{"payload":{"header_redesign_enabled":false,"results":[{"id":"203724916","archived":false,"color":"#b2b7f8","followers":646,"has_funding_file":false,"hl_name":"antonblanchard/microwatt","hl_trunc_description":"A tiny Open POWER ISA softcore written in VHDL 2008","language":"Verilog","mirror":false,"owned_by_organization":false,"public":true,"repo":{"repository":{"id":203724916,"name":"microwatt","owner_id":2029971,"owner_login":"antonblanchard","updated_at":"2024-05-16T06:00:19.354Z","has_issues":true}},"sponsorable":false,"topics":["processor","vhdl","openpower","ppc64le"],"type":"Public","help_wanted_issues_count":1,"good_first_issue_issues_count":6,"starred_by_current_user":false}],"type":"repositories","page":1,"page_count":1,"elapsed_millis":60,"errors":[],"result_count":1,"facets":[],"protected_org_logins":[],"topics":null,"query_id":"","logged_in":false,"sign_up_path":"/signup?source=code_search_results","sign_in_path":"/login?return_to=https%3A%2F%2Fgithub.com%2Fsearch%3Fq%3Drepo%253Aantonblanchard%252Fmicrowatt%2B%2Blanguage%253AVerilog","metadata":null,"csrf_tokens":{"/antonblanchard/microwatt/star":{"post":"ZIg6r8cfyawwxRsOMIyrJmIl9zobvX6lcsb2Sb5JEgeJulVKMIvk6KfvtKC9mROsd6mfs9nAu0N3chwAnyxVcA"},"/antonblanchard/microwatt/unstar":{"post":"o1YmGuYB198SAkU710Sip_WI5yZ9SGHg1kIXZ-_xGSCya8LpMTcvPp5qtyuyP3abt2BGTSZ_qOtloH9VRB9FWQ"},"/sponsors/batch_deferred_sponsor_buttons":{"post":"ph4r8IgGKUv-fAqqswjpZkGvRBpi8QHHgOgZp7p0oPKu7XxdDYeu5MPN2t-xYU1UXjWKgf4AYM8B1cVCV6ImSw"}}},"title":"Repository search results"}