From 4d4d292cf0dd90d9e48ad99b04a88f9baa9fc22a Mon Sep 17 00:00:00 2001 From: sgrogan Date: Thu, 10 Dec 2015 16:37:25 -0500 Subject: [PATCH] Make Idflibs consistent for installed and uninstalled builds --- src/Mod/Idf/CMakeLists.txt | 68 +++++++++---------- src/Mod/Idf/{lib => Idflibs}/0603_SMD.stp | 0 src/Mod/Idf/{lib => Idflibs}/0805_SMD.stp | 0 src/Mod/Idf/{lib => Idflibs}/1206_SMD.stp | 0 src/Mod/Idf/{lib => Idflibs}/1210_SMD.stp | 0 src/Mod/Idf/{lib => Idflibs}/1812_SMD.stp | 0 src/Mod/Idf/{lib => Idflibs}/2225_SMD.stp | 0 src/Mod/Idf/{lib => Idflibs}/2512_SMD.stp | 0 .../Idf/{lib => Idflibs}/CAP_50SGV_8_10.stp | 0 src/Mod/Idf/{lib => Idflibs}/EPL22_6_16.stp | 0 src/Mod/Idf/{lib => Idflibs}/I22_2_5_16.stp | 0 .../I22_2_5_16withEPL22_6_16.stp | 0 src/Mod/Idf/{lib => Idflibs}/License.txt | 0 src/Mod/Idf/{lib => Idflibs}/MSOP_10.stp | 0 src/Mod/Idf/{lib => Idflibs}/RLF_12545.igs | 0 src/Mod/Idf/{lib => Idflibs}/RLF_12545.stp | 0 src/Mod/Idf/{lib => Idflibs}/RLF_7030.stp | 0 src/Mod/Idf/{lib => Idflibs}/SMB_DO_214AA.stp | 0 src/Mod/Idf/{lib => Idflibs}/SMC_DO_214AB.stp | 0 src/Mod/Idf/{lib => Idflibs}/SOD_323.igs | 0 src/Mod/Idf/{lib => Idflibs}/SOD_323.stp | 0 src/Mod/Idf/{lib => Idflibs}/SOD_523.stp | 0 src/Mod/Idf/{lib => Idflibs}/SOT23.igs | 0 src/Mod/Idf/{lib => Idflibs}/SOT23.stp | 0 src/Mod/Idf/{lib => Idflibs}/SOT404.igs | 0 src/Mod/Idf/{lib => Idflibs}/SOT404.stp | 0 src/Mod/Idf/{lib => Idflibs}/SOT428_DPAK.stp | 0 src/Mod/Idf/{lib => Idflibs}/SOT_323_3.stp | 0 src/Mod/Idf/{lib => Idflibs}/SOT_96.stp | 0 src/Mod/Idf/{lib => Idflibs}/TCMT1107_4.stp | 0 .../{lib => Idflibs}/TSM_103_01_L_DV_A.stp | 0 .../{lib => Idflibs}/TSM_104_01_L_DV_A.stp | 0 src/Mod/Idf/{lib => Idflibs}/TSS0P_8.stp | 0 src/Mod/Idf/{lib => Idflibs}/VC0603_SMD.stp | 0 .../{lib => Idflibs}/footprints_models.csv | 0 35 files changed, 34 insertions(+), 34 deletions(-) rename src/Mod/Idf/{lib => Idflibs}/0603_SMD.stp (100%) rename src/Mod/Idf/{lib => Idflibs}/0805_SMD.stp (100%) rename src/Mod/Idf/{lib => Idflibs}/1206_SMD.stp (100%) rename src/Mod/Idf/{lib => Idflibs}/1210_SMD.stp (100%) rename src/Mod/Idf/{lib => Idflibs}/1812_SMD.stp (100%) rename src/Mod/Idf/{lib => Idflibs}/2225_SMD.stp (100%) rename src/Mod/Idf/{lib => Idflibs}/2512_SMD.stp (100%) rename src/Mod/Idf/{lib => Idflibs}/CAP_50SGV_8_10.stp (100%) rename src/Mod/Idf/{lib => Idflibs}/EPL22_6_16.stp (100%) rename src/Mod/Idf/{lib => Idflibs}/I22_2_5_16.stp (100%) rename src/Mod/Idf/{lib => Idflibs}/I22_2_5_16withEPL22_6_16.stp (100%) rename src/Mod/Idf/{lib => Idflibs}/License.txt (100%) rename src/Mod/Idf/{lib => Idflibs}/MSOP_10.stp (100%) rename src/Mod/Idf/{lib => Idflibs}/RLF_12545.igs (100%) rename src/Mod/Idf/{lib => Idflibs}/RLF_12545.stp (100%) rename src/Mod/Idf/{lib => Idflibs}/RLF_7030.stp (100%) rename src/Mod/Idf/{lib => Idflibs}/SMB_DO_214AA.stp (100%) rename src/Mod/Idf/{lib => Idflibs}/SMC_DO_214AB.stp (100%) rename src/Mod/Idf/{lib => Idflibs}/SOD_323.igs (100%) rename src/Mod/Idf/{lib => Idflibs}/SOD_323.stp (100%) rename src/Mod/Idf/{lib => Idflibs}/SOD_523.stp (100%) rename src/Mod/Idf/{lib => Idflibs}/SOT23.igs (100%) rename src/Mod/Idf/{lib => Idflibs}/SOT23.stp (100%) rename src/Mod/Idf/{lib => Idflibs}/SOT404.igs (100%) rename src/Mod/Idf/{lib => Idflibs}/SOT404.stp (100%) rename src/Mod/Idf/{lib => Idflibs}/SOT428_DPAK.stp (100%) rename src/Mod/Idf/{lib => Idflibs}/SOT_323_3.stp (100%) rename src/Mod/Idf/{lib => Idflibs}/SOT_96.stp (100%) rename src/Mod/Idf/{lib => Idflibs}/TCMT1107_4.stp (100%) rename src/Mod/Idf/{lib => Idflibs}/TSM_103_01_L_DV_A.stp (100%) rename src/Mod/Idf/{lib => Idflibs}/TSM_104_01_L_DV_A.stp (100%) rename src/Mod/Idf/{lib => Idflibs}/TSS0P_8.stp (100%) rename src/Mod/Idf/{lib => Idflibs}/VC0603_SMD.stp (100%) rename src/Mod/Idf/{lib => Idflibs}/footprints_models.csv (100%) diff --git a/src/Mod/Idf/CMakeLists.txt b/src/Mod/Idf/CMakeLists.txt index ff8d895e2df1..7f8ae51787b3 100644 --- a/src/Mod/Idf/CMakeLists.txt +++ b/src/Mod/Idf/CMakeLists.txt @@ -6,40 +6,40 @@ SET(Idf_SRCS SOURCE_GROUP("" FILES ${Idf_SRCS}) SET(IdfLibs_SRCS - lib/License.txt - lib/0603_SMD.stp - lib/0805_SMD.stp - lib/1206_SMD.stp - lib/1210_SMD.stp - lib/1812_SMD.stp - lib/2225_SMD.stp - lib/2512_SMD.stp - lib/CAP_50SGV_8_10.stp - lib/EPL22_6_16.stp - lib/footprints_models.csv - lib/I22_2_5_16.stp - lib/I22_2_5_16withEPL22_6_16.stp - lib/MSOP_10.stp - lib/RLF_12545.stp - lib/RLF_7030.stp - lib/SMB_DO_214AA.stp - lib/SMC_DO_214AB.stp - lib/SOD_323.igs - lib/SOD_323.stp - lib/SOD_523.stp - lib/SOT23.stp - lib/SOT404.stp - lib/SOT428_DPAK.stp - lib/SOT_323_3.stp - lib/SOT_96.stp - lib/TCMT1107_4.stp - lib/TSM_103_01_L_DV_A.stp - lib/TSM_104_01_L_DV_A.stp - lib/TSS0P_8.stp - lib/VC0603_SMD.stp - lib/RLF_12545.igs - lib/SOT23.igs - lib/SOT404.igs + Idflibs/License.txt + Idflibs/0603_SMD.stp + Idflibs/0805_SMD.stp + Idflibs/1206_SMD.stp + Idflibs/1210_SMD.stp + Idflibs/1812_SMD.stp + Idflibs/2225_SMD.stp + Idflibs/2512_SMD.stp + Idflibs/CAP_50SGV_8_10.stp + Idflibs/EPL22_6_16.stp + Idflibs/footprints_models.csv + Idflibs/I22_2_5_16.stp + Idflibs/I22_2_5_16withEPL22_6_16.stp + Idflibs/MSOP_10.stp + Idflibs/RLF_12545.stp + Idflibs/RLF_7030.stp + Idflibs/SMB_DO_214AA.stp + Idflibs/SMC_DO_214AB.stp + Idflibs/SOD_323.igs + Idflibs/SOD_323.stp + Idflibs/SOD_523.stp + Idflibs/SOT23.stp + Idflibs/SOT404.stp + Idflibs/SOT428_DPAK.stp + Idflibs/SOT_323_3.stp + Idflibs/SOT_96.stp + Idflibs/TCMT1107_4.stp + Idflibs/TSM_103_01_L_DV_A.stp + Idflibs/TSM_104_01_L_DV_A.stp + Idflibs/TSS0P_8.stp + Idflibs/VC0603_SMD.stp + Idflibs/RLF_12545.igs + Idflibs/SOT23.igs + Idflibs/SOT404.igs ) SOURCE_GROUP("Idflibs" FILES ${IdfLibs_SRCS}) diff --git a/src/Mod/Idf/lib/0603_SMD.stp b/src/Mod/Idf/Idflibs/0603_SMD.stp similarity index 100% rename from src/Mod/Idf/lib/0603_SMD.stp rename to src/Mod/Idf/Idflibs/0603_SMD.stp diff --git a/src/Mod/Idf/lib/0805_SMD.stp b/src/Mod/Idf/Idflibs/0805_SMD.stp similarity index 100% rename from src/Mod/Idf/lib/0805_SMD.stp rename to src/Mod/Idf/Idflibs/0805_SMD.stp diff --git a/src/Mod/Idf/lib/1206_SMD.stp b/src/Mod/Idf/Idflibs/1206_SMD.stp similarity index 100% rename from src/Mod/Idf/lib/1206_SMD.stp rename to src/Mod/Idf/Idflibs/1206_SMD.stp diff --git a/src/Mod/Idf/lib/1210_SMD.stp b/src/Mod/Idf/Idflibs/1210_SMD.stp similarity index 100% rename from src/Mod/Idf/lib/1210_SMD.stp rename to src/Mod/Idf/Idflibs/1210_SMD.stp diff --git a/src/Mod/Idf/lib/1812_SMD.stp b/src/Mod/Idf/Idflibs/1812_SMD.stp similarity index 100% rename from src/Mod/Idf/lib/1812_SMD.stp rename to src/Mod/Idf/Idflibs/1812_SMD.stp diff --git a/src/Mod/Idf/lib/2225_SMD.stp b/src/Mod/Idf/Idflibs/2225_SMD.stp similarity index 100% rename from src/Mod/Idf/lib/2225_SMD.stp rename to src/Mod/Idf/Idflibs/2225_SMD.stp diff --git a/src/Mod/Idf/lib/2512_SMD.stp b/src/Mod/Idf/Idflibs/2512_SMD.stp similarity index 100% rename from src/Mod/Idf/lib/2512_SMD.stp rename to src/Mod/Idf/Idflibs/2512_SMD.stp diff --git a/src/Mod/Idf/lib/CAP_50SGV_8_10.stp b/src/Mod/Idf/Idflibs/CAP_50SGV_8_10.stp similarity index 100% rename from src/Mod/Idf/lib/CAP_50SGV_8_10.stp rename to src/Mod/Idf/Idflibs/CAP_50SGV_8_10.stp diff --git a/src/Mod/Idf/lib/EPL22_6_16.stp b/src/Mod/Idf/Idflibs/EPL22_6_16.stp similarity index 100% rename from src/Mod/Idf/lib/EPL22_6_16.stp rename to src/Mod/Idf/Idflibs/EPL22_6_16.stp diff --git a/src/Mod/Idf/lib/I22_2_5_16.stp b/src/Mod/Idf/Idflibs/I22_2_5_16.stp similarity index 100% rename from src/Mod/Idf/lib/I22_2_5_16.stp rename to src/Mod/Idf/Idflibs/I22_2_5_16.stp diff --git a/src/Mod/Idf/lib/I22_2_5_16withEPL22_6_16.stp b/src/Mod/Idf/Idflibs/I22_2_5_16withEPL22_6_16.stp similarity index 100% rename from src/Mod/Idf/lib/I22_2_5_16withEPL22_6_16.stp rename to src/Mod/Idf/Idflibs/I22_2_5_16withEPL22_6_16.stp diff --git a/src/Mod/Idf/lib/License.txt b/src/Mod/Idf/Idflibs/License.txt similarity index 100% rename from src/Mod/Idf/lib/License.txt rename to src/Mod/Idf/Idflibs/License.txt diff --git a/src/Mod/Idf/lib/MSOP_10.stp b/src/Mod/Idf/Idflibs/MSOP_10.stp similarity index 100% rename from src/Mod/Idf/lib/MSOP_10.stp rename to src/Mod/Idf/Idflibs/MSOP_10.stp diff --git a/src/Mod/Idf/lib/RLF_12545.igs b/src/Mod/Idf/Idflibs/RLF_12545.igs similarity index 100% rename from src/Mod/Idf/lib/RLF_12545.igs rename to src/Mod/Idf/Idflibs/RLF_12545.igs diff --git a/src/Mod/Idf/lib/RLF_12545.stp b/src/Mod/Idf/Idflibs/RLF_12545.stp similarity index 100% rename from src/Mod/Idf/lib/RLF_12545.stp rename to src/Mod/Idf/Idflibs/RLF_12545.stp diff --git a/src/Mod/Idf/lib/RLF_7030.stp b/src/Mod/Idf/Idflibs/RLF_7030.stp similarity index 100% rename from src/Mod/Idf/lib/RLF_7030.stp rename to src/Mod/Idf/Idflibs/RLF_7030.stp diff --git a/src/Mod/Idf/lib/SMB_DO_214AA.stp b/src/Mod/Idf/Idflibs/SMB_DO_214AA.stp similarity index 100% rename from src/Mod/Idf/lib/SMB_DO_214AA.stp rename to src/Mod/Idf/Idflibs/SMB_DO_214AA.stp diff --git a/src/Mod/Idf/lib/SMC_DO_214AB.stp b/src/Mod/Idf/Idflibs/SMC_DO_214AB.stp similarity index 100% rename from src/Mod/Idf/lib/SMC_DO_214AB.stp rename to src/Mod/Idf/Idflibs/SMC_DO_214AB.stp diff --git a/src/Mod/Idf/lib/SOD_323.igs b/src/Mod/Idf/Idflibs/SOD_323.igs similarity index 100% rename from src/Mod/Idf/lib/SOD_323.igs rename to src/Mod/Idf/Idflibs/SOD_323.igs diff --git a/src/Mod/Idf/lib/SOD_323.stp b/src/Mod/Idf/Idflibs/SOD_323.stp similarity index 100% rename from src/Mod/Idf/lib/SOD_323.stp rename to src/Mod/Idf/Idflibs/SOD_323.stp diff --git a/src/Mod/Idf/lib/SOD_523.stp b/src/Mod/Idf/Idflibs/SOD_523.stp similarity index 100% rename from src/Mod/Idf/lib/SOD_523.stp rename to src/Mod/Idf/Idflibs/SOD_523.stp diff --git a/src/Mod/Idf/lib/SOT23.igs b/src/Mod/Idf/Idflibs/SOT23.igs similarity index 100% rename from src/Mod/Idf/lib/SOT23.igs rename to src/Mod/Idf/Idflibs/SOT23.igs diff --git a/src/Mod/Idf/lib/SOT23.stp b/src/Mod/Idf/Idflibs/SOT23.stp similarity index 100% rename from src/Mod/Idf/lib/SOT23.stp rename to src/Mod/Idf/Idflibs/SOT23.stp diff --git a/src/Mod/Idf/lib/SOT404.igs b/src/Mod/Idf/Idflibs/SOT404.igs similarity index 100% rename from src/Mod/Idf/lib/SOT404.igs rename to src/Mod/Idf/Idflibs/SOT404.igs diff --git a/src/Mod/Idf/lib/SOT404.stp b/src/Mod/Idf/Idflibs/SOT404.stp similarity index 100% rename from src/Mod/Idf/lib/SOT404.stp rename to src/Mod/Idf/Idflibs/SOT404.stp diff --git a/src/Mod/Idf/lib/SOT428_DPAK.stp b/src/Mod/Idf/Idflibs/SOT428_DPAK.stp similarity index 100% rename from src/Mod/Idf/lib/SOT428_DPAK.stp rename to src/Mod/Idf/Idflibs/SOT428_DPAK.stp diff --git a/src/Mod/Idf/lib/SOT_323_3.stp b/src/Mod/Idf/Idflibs/SOT_323_3.stp similarity index 100% rename from src/Mod/Idf/lib/SOT_323_3.stp rename to src/Mod/Idf/Idflibs/SOT_323_3.stp diff --git a/src/Mod/Idf/lib/SOT_96.stp b/src/Mod/Idf/Idflibs/SOT_96.stp similarity index 100% rename from src/Mod/Idf/lib/SOT_96.stp rename to src/Mod/Idf/Idflibs/SOT_96.stp diff --git a/src/Mod/Idf/lib/TCMT1107_4.stp b/src/Mod/Idf/Idflibs/TCMT1107_4.stp similarity index 100% rename from src/Mod/Idf/lib/TCMT1107_4.stp rename to src/Mod/Idf/Idflibs/TCMT1107_4.stp diff --git a/src/Mod/Idf/lib/TSM_103_01_L_DV_A.stp b/src/Mod/Idf/Idflibs/TSM_103_01_L_DV_A.stp similarity index 100% rename from src/Mod/Idf/lib/TSM_103_01_L_DV_A.stp rename to src/Mod/Idf/Idflibs/TSM_103_01_L_DV_A.stp diff --git a/src/Mod/Idf/lib/TSM_104_01_L_DV_A.stp b/src/Mod/Idf/Idflibs/TSM_104_01_L_DV_A.stp similarity index 100% rename from src/Mod/Idf/lib/TSM_104_01_L_DV_A.stp rename to src/Mod/Idf/Idflibs/TSM_104_01_L_DV_A.stp diff --git a/src/Mod/Idf/lib/TSS0P_8.stp b/src/Mod/Idf/Idflibs/TSS0P_8.stp similarity index 100% rename from src/Mod/Idf/lib/TSS0P_8.stp rename to src/Mod/Idf/Idflibs/TSS0P_8.stp diff --git a/src/Mod/Idf/lib/VC0603_SMD.stp b/src/Mod/Idf/Idflibs/VC0603_SMD.stp similarity index 100% rename from src/Mod/Idf/lib/VC0603_SMD.stp rename to src/Mod/Idf/Idflibs/VC0603_SMD.stp diff --git a/src/Mod/Idf/lib/footprints_models.csv b/src/Mod/Idf/Idflibs/footprints_models.csv similarity index 100% rename from src/Mod/Idf/lib/footprints_models.csv rename to src/Mod/Idf/Idflibs/footprints_models.csv