{"payload":{"header_redesign_enabled":false,"results":[{"id":"8392762","archived":false,"color":"#DAE1C2","followers":240,"has_funding_file":false,"hl_name":"veripool/verilog-mode","hl_trunc_description":"Verilog-Mode for Emacs with Indentation, Hightlighting and AUTOs. Master repository for pushing to GNU, verilog.com and veripool.org.","language":"SystemVerilog","mirror":false,"owned_by_organization":true,"public":true,"repo":{"repository":{"id":8392762,"name":"verilog-mode","owner_id":1180692,"owner_login":"veripool","updated_at":"2024-03-16T02:17:58.728Z","has_issues":true}},"sponsorable":false,"topics":["emacs-lisp","verilog","systemverilog","verilog-mode"],"type":"Public","help_wanted_issues_count":0,"good_first_issue_issues_count":0,"starred_by_current_user":false}],"type":"repositories","page":1,"page_count":1,"elapsed_millis":71,"errors":[],"result_count":1,"facets":[],"protected_org_logins":[],"topics":null,"query_id":"","logged_in":false,"sign_up_path":"/signup?source=code_search_results","sign_in_path":"/login?return_to=https%3A%2F%2Fgithub.com%2Fsearch%3Fq%3Drepo%253Averipool%252Fverilog-mode%2B%2Blanguage%253ASystemVerilog","metadata":null,"csrf_tokens":{"/veripool/verilog-mode/star":{"post":"w0MUS-id56vktue2rgsvgqEjkKRcmNAH5gx9KB-ZKHpBAvD3-IO2bxWpMQZt_uM2aaTPNu9gq4hX16pPhvbB3A"},"/veripool/verilog-mode/unstar":{"post":"so9aJ4eqtigpSWVS8uNY_Y8tSBdM_9JszR_Ez5tD1aJlUf2ED57mF2fV_qCIYCNQruvFZqos15z7OKcCPPhxqQ"},"/sponsors/batch_deferred_sponsor_buttons":{"post":"3gGsosPwBrZi55X7OIi_rWZdHClXDACp7SGYmA_2th1HxcojO9bW7R2Z4FuzgAxegQ0lKjbClJrL_ShE5stFYg"}}},"title":"Repository search results"}