{"payload":{"header_redesign_enabled":false,"results":[{"id":"47765186","archived":false,"color":"#3178c6","followers":281,"has_funding_file":true,"hl_name":"mshr-h/vscode-verilog-hdl-support","hl_trunc_description":"HDL support for VS Code","language":"TypeScript","mirror":false,"owned_by_organization":false,"public":true,"repo":{"repository":{"id":47765186,"name":"vscode-verilog-hdl-support","owner_id":8973217,"owner_login":"mshr-h","updated_at":"2024-06-11T15:48:09.416Z","has_issues":true}},"sponsorable":true,"topics":["ctags","vscode","verilog","vivado","systemverilog","icarus-verilog","modelsim","hacktoberfest","verilog-hdl","iverilog","bluespec-systemverilog","verilator","language-server-client","systemverilog-support","svls"],"type":"Public","help_wanted_issues_count":2,"good_first_issue_issues_count":0,"starred_by_current_user":false}],"type":"repositories","page":1,"page_count":1,"elapsed_millis":80,"errors":[],"result_count":1,"facets":[],"protected_org_logins":[],"topics":null,"query_id":"","logged_in":false,"sign_up_path":"/signup?source=code_search_results","sign_in_path":"/login?return_to=https%3A%2F%2Fgithub.com%2Fsearch%3Fq%3Drepo%253Amshr-h%252Fvscode-verilog-hdl-support%2B%2Blanguage%253ATypeScript","metadata":null,"csrf_tokens":{"/mshr-h/vscode-verilog-hdl-support/star":{"post":"qjRnGfw6SMhLYgZd-HPFYZGPNh-6rWID5XQFfqxKRnnXtwFm5N8NMesnvWJTyHgLWhWIGf3RFGMOBbsmODes8A"},"/mshr-h/vscode-verilog-hdl-support/unstar":{"post":"fcTvKcV_cChcxOg0ebFmqF4vmJCgaA5MytnLhkgGwClwlGuqZlwStKLZ2hPDu8s3JcWp1azg8_u5EgogE0Pypw"},"/sponsors/batch_deferred_sponsor_buttons":{"post":"iXDsGh8VFX509laR-0kS7cpGSkwrDvNUizvtrh_-hZXzYYO_VZPZXGcv7tWkKPB7vGSuD8IWAbN3iBbvqawW_Q"}}},"title":"Repository search results"}