{"payload":{"pageCount":1,"repositories":[{"type":"Public","name":"verilator","owner":"verilator","isFork":false,"description":"Verilator open-source SystemVerilog simulator and lint system","allTopics":["cpp","rtl","verilog","compilers","systemc","system-verilog","verilator","verilog-simulator"],"primaryLanguage":{"name":"C++","color":"#f34b7d"},"pullRequestCount":22,"issueCount":312,"starsCount":2226,"forksCount":544,"license":"GNU Lesser General Public License v3.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-31T11:49:32.022Z"}}],"repositoryCount":1,"userInfo":null,"searchable":true,"definitions":[],"typeFilters":[{"id":"all","text":"All"},{"id":"public","text":"Public"},{"id":"source","text":"Sources"},{"id":"fork","text":"Forks"},{"id":"archived","text":"Archived"},{"id":"template","text":"Templates"}],"compactMode":false},"title":"Repositories"}