{"payload":{"header_redesign_enabled":false,"results":[{"id":"473722449","archived":false,"color":"#b2b7f8","followers":0,"has_funding_file":false,"hl_name":"AlPrime2k1/Sequential-Logic-Circuits","hl_trunc_description":"Verilog design and testbench files for Flip Flop, Counters, RAM, FIFO, Shift Registers and other sequential logic circuits","language":"Verilog","mirror":false,"owned_by_organization":false,"public":true,"repo":{"repository":{"id":473722449,"name":"Sequential-Logic-Circuits","owner_id":84400210,"owner_login":"AlPrime2k1","updated_at":"2023-05-21T10:05:30.256Z","has_issues":true}},"sponsorable":false,"topics":["testbenches","verilog-hdl","digital-design","verilog-code"],"type":"Public","help_wanted_issues_count":0,"good_first_issue_issues_count":0,"starred_by_current_user":false}],"type":"repositories","page":1,"page_count":1,"elapsed_millis":95,"errors":[],"result_count":1,"facets":[],"protected_org_logins":[],"topics":null,"query_id":"","logged_in":false,"sign_up_path":"/signup?source=code_search_results","sign_in_path":"/login?return_to=https%3A%2F%2Fgithub.com%2Fsearch%3Fq%3Drepo%253AAlPrime2k1%252FSequential-Logic-Circuits%2B%2Blanguage%253AVerilog","metadata":null,"csrf_tokens":{"/AlPrime2k1/Sequential-Logic-Circuits/star":{"post":"Mt_2jOWaCPp1HVFJQyxGaCtbUgkQmTv-4VFH4AtdH_EeVt03-REx5YqBn3rz51CswsoKuxyQEy3lgnoaN6EmgA"},"/AlPrime2k1/Sequential-Logic-Circuits/unstar":{"post":"EEr5DdlV6EOJCPCQgqy-InoEq_Owq67MLa4O4hY_MHyDOepFpcRcC8GDRr4gQvdo6MBnXLEfOOa9fq_6C5WXCg"},"/sponsors/batch_deferred_sponsor_buttons":{"post":"W3Q34ALhX2NSprGJG_-eZ2aH7S37Y_1hvNUXqCtELtFyKF2O26Nr_HULGvEsHVzaeGNlLZiRNM3VBBb4qx9NHw"}}},"title":"Repository search results"}