{"payload":{"header_redesign_enabled":false,"results":[{"id":"473722449","archived":false,"color":"#b2b7f8","followers":1,"has_funding_file":false,"hl_name":"AlPrime2k1/Sequential-Logic-Circuits","hl_trunc_description":"Verilog design and testbench files for Flip Flop, Counters, RAM, FIFO, Shift Registers and other sequential logic circuits","language":"Verilog","mirror":false,"owned_by_organization":false,"public":true,"repo":{"repository":{"id":473722449,"name":"Sequential-Logic-Circuits","owner_id":84400210,"owner_login":"AlPrime2k1","updated_at":"2023-05-21T10:05:30.256Z","has_issues":true}},"sponsorable":false,"topics":["testbenches","verilog-hdl","digital-design","verilog-code"],"type":"Public","help_wanted_issues_count":0,"good_first_issue_issues_count":0,"starred_by_current_user":false}],"type":"repositories","page":1,"page_count":1,"elapsed_millis":56,"errors":[],"result_count":1,"facets":[],"protected_org_logins":[],"topics":null,"query_id":"","logged_in":false,"sign_up_path":"/signup?source=code_search_results","sign_in_path":"/login?return_to=https%3A%2F%2Fgithub.com%2Fsearch%3Fq%3Drepo%253AAlPrime2k1%252FSequential-Logic-Circuits%2B%2Blanguage%253AVerilog","metadata":null,"csrf_tokens":{"/AlPrime2k1/Sequential-Logic-Circuits/star":{"post":"UMfFZgiJRWUXHnxCXNdIQsyWF6keGGGYFaHpG8LdXqxFyDKMBoM_cDveFHKPUXfjODTvjODbufiikkG2gKw7ug"},"/AlPrime2k1/Sequential-Logic-Circuits/unstar":{"post":"tdeI2yUne_zwevQ2Zyk8dZ-It75I0HYofYg_MvRPpp8ReK-Y1bJ4vPWonnVdr3N3v547MPuyBIPpIlMphELqEw"},"/sponsors/batch_deferred_sponsor_buttons":{"post":"-i3B0Hr7po3Of_Htuyq8QPF7zzIkoQuQOHct77Em5vmfw1nwiEwZ9dxAkPo_ccngN-1WXoA8rkZox3ZM1b3nag"}}},"title":"Repository search results"}