Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Fix tests that fail with IVerilog 12+ #562

Merged
merged 1 commit into from
Jun 11, 2023
Merged

Conversation

quark17
Copy link
Collaborator

@quark17 quark17 commented Jun 10, 2023

This fixes #561 by addressing the two test directories that fail with IVerilog v12 (and 13.0 development at the HEAD of the iverilog repo).

@Vekhir
Copy link
Contributor

Vekhir commented Jun 10, 2023

@quark17 I just ran the tests in bsc.interra/operators and bsc.verilog/positivereset again, and the other operators (BitSel and Logic) exhibit the same issue as Arith. A quick glance at their respective generate/bot_code confirmed that they called a bare $finish, so that needs to be fixed too.
I then went to the original errorlog.txt that I sent you and those other operators also failed there. I just didn't notice them...

Anyway, Arith and the ClockDividers are fixed. BitSel and Logic need the same fix as Arith.

IVerilog 12+ prints a message when $finish is called without arguments.
The perl scripts in the Interra operator tests didn't properly handle
the extra output, leading to failure.  Resolve this by calling $finish(0)
to specify no message.

The positive reset clock divider tests were set up wrong and were
providing extra bogus file names on the command line, which earlier
IVerilog warned about but didn't exit; IVerilog 12+ now exits with an
error.

Behavior on some extreme string examples has been fixed in v12 and v13.
@quark17 quark17 merged commit 05333e4 into B-Lang-org:main Jun 11, 2023
@quark17 quark17 deleted the iverilog-12 branch June 11, 2023 21:20
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

Successfully merging this pull request may close these issues.

Test fails with IVerilog v12
2 participants