Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

add vulkan #181

Open
wants to merge 10 commits into
base: master
Choose a base branch
from
Open

add vulkan #181

wants to merge 10 commits into from

Conversation

canhmai
Copy link

@canhmai canhmai commented Jul 13, 2021

No description provided.

cesarb and others added 10 commits April 12, 2020 15:57
And rewrite the gpu hashing loop to avoid pipeline barriers.
On a discrete GPU, this should allow writing directly from the mmap to
the device through the PCIe bus, instead of writing to a memory buffer
and letting the device read it through the PCIe bus. On an integrated
GPU, this change has no effect, since there's only one memory type.
Copy link
Author

@canhmai canhmai left a comment

Choose a reason for hiding this comment

The reason will be displayed to describe this comment to others. Learn more.

test

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

Successfully merging this pull request may close these issues.

None yet

2 participants