Navigation Menu

Skip to content

Commit

Permalink
Uppdaterat filer
Browse files Browse the repository at this point in the history
  • Loading branch information
chrisis committed Dec 18, 2012
1 parent 0bcc40f commit 9b98f1e
Show file tree
Hide file tree
Showing 3 changed files with 27 additions and 3 deletions.
Binary file modified report/tekniskrapport.pdf
Binary file not shown.
27 changes: 25 additions & 2 deletions sommarstuga.vhd
Expand Up @@ -4,7 +4,10 @@ use IEEE.std_logic_1164.all;
entity sommarstuga is
port (clk : in std_logic;
reset : in std_logic;
lysdioder : out std_logic_vector(3 downto 0)
lysdioder : out std_logic_vector(3 downto 0);
dq : inout std_logic;
segment : buffer std_ulogic_vector(7 downto 0);
an : buffer std_ulogic_vector(3 downto 0)
);
end sommarstuga;

Expand All @@ -30,7 +33,9 @@ architecture behavioral of sommarstuga is
signal elemPutStatusOnDb : out std_logic;
signal elemStatusNowOnDb : in std_logic;
signal elemNewStatusDone : in std_logic;


begin

-- Mappningar av komponenter.

compStyrenhet : entity work.styrenhet map (
Expand Down Expand Up @@ -64,5 +69,23 @@ architecture behavioral of sommarstuga is
compKommunikation : entity work.Com (

);

compTemperatur : entity work.ds18s20 (
clk => clk,
reset => reset,
measure => '1',
valid => tempNowOnDb,
DQ => dq,
temperature => temp
);

compSjuSegmentDisplay : entity work.segment_temperature (
clk => clk,
reset => reset,
rawd => temp,
an => an,
segment => segment
);


end behavioral;
3 changes: 2 additions & 1 deletion styrenhet.vhd
Expand Up @@ -47,7 +47,8 @@ begin
comWantTemp,
comWantElemStatus,
comHasElemStatus,
elemStatusNowOnDb)
elemStatusNowOnDb,
elemNewStatusDone)
begin
tempPutTempOnDb <= '0';
comHasTempOnDb <= '0';
Expand Down

0 comments on commit 9b98f1e

Please sign in to comment.