From 1d5b1b28cd5e00d5cd4a2d40264d6040e2adaba6 Mon Sep 17 00:00:00 2001 From: Rob Taylor Date: Sun, 16 Mar 2025 22:23:29 +0000 Subject: [PATCH 1/6] Add comprehensive user documentation - Added getting-started.rst guide for new users - Added workflows.rst to document the simulation, board, and silicon workflows - Added advanced-configuration.rst for detailed configuration options - Updated main index.rst to organize documentation into sections --- docs/_assets/api-key.png | Bin 0 -> 52328 bytes docs/{_static => _assets}/chipflow-logo.png | Bin docs/{_static => _assets}/chipflow-logo.svg | 0 docs/_assets/github-desktop-open.png | Bin 0 -> 137483 bytes docs/_assets/open-github-desktop.png | Bin 0 -> 232494 bytes docs/_templates/autoapi/class.rst | 23 ++ docs/_templates/autoapi/macros.rst | 43 +++ docs/_templates/autoapi/module.rst | 19 ++ docs/advanced-configuration.rst | 291 ++++++++++++++++++++ docs/chipflow-commands.rst | 1 - docs/chipflow-toml-guide.rst | 4 +- docs/conf.py | 10 +- docs/getting-started.rst | 183 ++++++++++++ docs/index.rst | 15 +- docs/unfinished/create-project.rst | 122 ++++++++ docs/workflows.rst | 221 +++++++++++++++ 16 files changed, 920 insertions(+), 12 deletions(-) create mode 100644 docs/_assets/api-key.png rename docs/{_static => _assets}/chipflow-logo.png (100%) rename docs/{_static => _assets}/chipflow-logo.svg (100%) create mode 100644 docs/_assets/github-desktop-open.png create mode 100644 docs/_assets/open-github-desktop.png create mode 100644 docs/_templates/autoapi/class.rst create mode 100644 docs/_templates/autoapi/macros.rst create mode 100644 docs/_templates/autoapi/module.rst create mode 100644 docs/advanced-configuration.rst create mode 100644 docs/getting-started.rst create mode 100644 docs/unfinished/create-project.rst create mode 100644 docs/workflows.rst diff --git a/docs/_assets/api-key.png b/docs/_assets/api-key.png new file mode 100644 index 0000000000000000000000000000000000000000..d57e8525e750084d58a2c033e1e78142537ef5d6 GIT binary patch literal 52328 zcmeFYXIzubwmuAqVgp1J5Tpnqy^3@KN(ZHglu!kv_YNU|ih^{J-j&{aLJ0wR5Rfhe z2ps`KPeKnZ$s3=&_u2dGXP@)`_I^6ym)|{^nKkX6S+mx)E~4~w)TysBUnL_Wqt?_= zc}_+~^_h%}-1G7!QVs*$?hzT;^=D4X%6gj0${c#$9`;VIc4TB4Q7Oh$CI)>Bxn|Gr zQC?P2(prCZBk`t^)@7lCcnbpHR3fukBXdS^NtPtJ<~f`%mg9Z4^I*?CsfKzGq2UN8R|wXDsD(`=G{GoLHOz$nRToh<|A) z82*W#=i&d zYThuoN5gxAN9{=^xmTe)kFe|a2N2O178zGAgKzw{b+1hxe4;$7IH=pZ4%FNJ7-EqN zmiFHcOigE$c_)H$=#DJ)ey?zIhYBBA_cDa@3Xipk`u)7SfV(kzw{Db1v+~5;zv9Ku zhD=&L>n-nD_8*&DzZX1bmCRE4YltI0jqw|Gg3$NK7=xmOG>!d&6~oZwl2mhr&*_D{Vb*p6tk}aB{HU zSyP-6pt$&vC=qSwy-cU$=SOx&IYcYv?hezk{EbWAOK=-KP4VT&oPm^gb`|B9yZB#= z{raPPn}|CXJ$t{T^Z@)p|N6-Hd|I=c7oy0&-LSba${_VM#8X86t|k7ZU(a-NujgH> z?JEgaa^6wBt9U2;$I!+y=QOVfmlESREpxx_De|PV>T|IGCLvhauQc|d*M*<2VPAu! zn?qr4w$BhxpD6JH}+>?u@zgQIe4yS&-EZU~K6EEtzS~=uos(@ru7^=9 zADOL4?+m$U4GmjLJ-_rCSNG=4o4j__8FsQlpk9@Mhq)Yl z>CdvY&2{FU&S}f*Y~KgmKT6WLAM#0|FYA`-a?+kAcVS%Ne4%ZjcA@*2Sv~XgyX~hm zoFyN*e{p)tw8Vpx!BOY$&fnx~))fXm&*y#8f45(&pGNB%ilQiM?@hTXq!?tdQZ_x_ zJ$}{s!lA?=&0$JrW@biaq-kuXiCd!S`xyYF!5KHPQ07uGTjn!iFmZcRU_79V-MFKS z5Uo}K96fjBYXr|+H5EeNN3)@;E-82EhnL(NH!0OG8ZGtGT)CL@q^Zzn9kKrY!29&^ z=|xb@1671pQbixq{4=m9$Yj6OzJo#8<*iY&QSPL7m28!dsV+ow#%Siv%oDT?8a=}U zVHX#TkO?2SA(|@cFRJsf)$fDsq^x|7YmRu1f-I|SNb5|iaBEa+gI|Sj!n(hhSn=(o z-a)(SS8OjWZQI#xB_bZGN!U-PM~J2Mw2iVOe_@UD??#aqAV2?u9KW}9-+dHWgb)6T&e z!OqI>t~JvZKIJmA@?GGZpsb+0_fe|vy5(a)F=nZ^w4rvXs7nJ6Q#~pxt3Fkxnmz(5 zKqebjfsCd|v&FhE)5kM|jb+dl8|_BB+0`j`DBLk}re(%%+Hl&URvkUR-F=jWmg}YK z35rdMtzZk7e?0$YMenB`)^jm&g9&5uYwFjrOpfqxs@a&Mu@SjWAx*r7-D>)@u4()5?BZ_Tz+q~O0+j4d4bMI$1+KwrE zJoISCNn(ZBQ*|>(Z~%+;;&$+&^CDH~YUq=WoKRh9XtwLH`|!0ep0Et!J))GkE(VO* zB@^V3{w(pCOHWSpUak0!^RkoVbS!Qj;gINkuYlvdJnQ zyhsO`n48#5g?qw0fq~kT%yi6klFK@Uc7^xr`L3$$=1;24$3f$B@8Auti#?Dn=3n*N zem50V=djG=g;?2Kw(LFYt%>c(d71QC!%@4Cr})9-gLciU8m39(kAlvBog|I$u-uPH z$}-U4i{g_rI4z;n0V)>BO+13!Kb(27Rn}0Fn`JL56fl#xajD-vP=%6ly^#SticKwnLN^r21FMMYPqsq)9gwN_}Mo7Krw2lmC z9>2#h@{Qst`YKDeRP@ONNE04h){Jr8*fLS=4O7>^1|d)JHr4NG~J7Oi|PPNEy1F(myBLjuA6K? zy$AT0`TNRUDng8rm7}Hx&8tf@A0TmCCR2HoM7hLGQnsCUT#)ef$3|h9HJ%G;g@Z`05^RK?>-Ka%4gwdRy z{JJxoVe0@Nf#&YZ2OKjVi9B1IAC`i`pzNm;he%~sch)$@IS~n~gXXC*nX>(L?nP}L zVJdUN$yIFsNkyWuTn)E5%uC@Agrr`IE0OZ?Xm2z0-0DKB23!u%!a6SqbvOjpoJ!3u z9{IQUv9_>#cHke+h0oGnq|H+-8G*dFHYZm+#Bn-&ft2}EtIEh^N zvLBXWQ<|a;d?88Xb_==2aXHFw0UzVMQ zLB+3_RpqCm^~4?T+Gg?}WW)_y(9+_NAK4L_?CaG{gaP@7V)7eU@*h$3>YF=2_no;i zL6tzs<6I)2f(Yw*R=#XfC^gpOf?cy$%H#S)>yg<=^X=lAgamA4y-5^xvNs zK1GmGk$&AIecyei_(yH3&z~>+BTeo}DkFPrpscA$dK%bz+u6DMIC}Uh-1jpiWnA&p z0Q!&|A@1K_a?R)WcgV;nrkq}w_?kR>Dr@WECT#u6!^TcH(CyE5$m9cMNl7<5Uu%v) zH&=Hb*+2!(KWoU6(!aAsI63~T;_IToY4S{uL)pXIjzdydR9KV~aFv6DL*Dz9z3g)p zwZDs#ekpJ|`ucjxiiiXR1PBK_6!!3T5D}A+kr5FU7ZDd1BGnM`33B(f4is|t;rd%6 z|7b_W&d1i<$V` ziu@-rUnl$jLF{+Q-^Bi$*WbF6|J|6Zo>QQmtBHz}8)>OYLj#D(JQA1xv!A~d{d1&$ z6E*a)^H%n7BT4!K{`s>0F8r^B|1S7vpTK|i`A|~&U%UL5l7Er>JqOw6c0L}ie!thy z(A~)wKpN?P%KmpL;6KFxViFR67y8%Sf0r=%j}rfy`|lFE-cF=-u>L(XfY{%A_}9F@ z*OwRh{qFx|FZ^wz{h3SJX@INpBLB5(0at}Np4gL-DUoTaJbn>KzKy@wWn-ry_y(!C!ZvaEkELKLgR5h76e={wyNoerKuy|EddM$(-}^AjgnfE z<1OI`mZab?k}cyi+$WQi{VI1tHyypVogsrbtUjz(RjX83MHJrPPSix|KDnnw$q#c+gtxQRR6!9#5V-Eck=snj*7~9Z__aR;aIdl zW%>i1?DX8AqiG4Jv90;mF1u8qEPkU=u`o1dI3BBbGLG0#4e!;@qGg%z>>mpA}U**L*eY;V{6%+pUMpBE&*TuN9FfhIW%4o z6t_W( z6J3g2s@p2-`(Oseg(L1zL%UFS9?83~$E`aaYer}SN|{y{H&0ZWsJ9` z&Di2B44z8y*M$zQ>u*>QPuLN-j^O8~!vP(6?l6438cf1&{z@3NX;%OsCYh5)AmW{3~^^j+Rz|D#%DFQm~F8$i>LN@ zIi>^)KFdm>KVM2HDLNkXg@B2$Ma>v$rjH(>^M1$@DmHLw1#G6ek7AK9DK+*feF*RYLL*l*?E@4~>H{~5OgrJdg&9j=T%y@_x2|L*mwpvdYD?qfLf$uF6 zl3WAd5ebWVenD0&H~;%xLfWhc@n0RJrlrxXTf!%ufb*Z>2Z%gkeE`ii@BRx88=WoH z9hnN``FdBvsS4{!e#~(7D~tOTu0phFvbxr*h`vkI%rDAp<2Oz$Y`)LCHBHMNZY_$q zN&CCDt_;Hv$*$>(7wa0$$xg=?^US4=!D|))CB#Ktf|#ma6Vw&D;ef#Ep14IXjG(-y zjA{BzmFMI}OgU6`9Ma~Gv|Qqt677dFQ!F#2>%cPmVA&q0_}$EuNxF4pjTLG@8q*p^ z#Du*6cBJ~%^XJz$RTrAb<~ClFyRFprq8LWXz#I5eq0CgEf5!Qu>{jg4EZ0WsQ^Xwy zNw>kH>5GUnR1wW`44c|4uW%R2IukQF(lznU%SI11Eh4rX#- z_^iUBc0}6d+fL)W03dPDA3>s{Q5(!pw zQbG!W{_^O_Sr6S2Ma3^Q7B+eC+yuZW@4WYxn^Q@S|Mx{6s_l+N3b{hJmCl5-ZLW0K zwON;uc|;HJYoR%3`Yt-DJl&&4l%q|U8TddhnXJ!TQGXt3}ok5EHA$F(XH&bm#- zT+0n6oSd+MG2OFXycCqD`AXk{28f&HD_R0I$?ZYQyG}p9PQz!mT*nGjN7q*)1krt! z84Y8x8xO}GAuW*wE7KYO`H)_ztUKLwnz&c-i>Ou&F>=TX2nu<{Y;N6++DAJKr)RLa zdPnpLTbYP>FxkJiGU1=6{~+;`{S}ZVzcPEGv!>zbJBIahg6ljS6BF_)f9*(|uo#AS zfLSB}j^6q13pGK_EMXjMN7m|hQ}U?}b>uL5K=`ju+QqgBC!o`LtZtRy!^fn%|03`w zp>lM{54mXKcP%lLd#nrWpY&`@jU~S7K+BR-dltSzBUe~a(*kuqTgZ%Zi3+>=AMHzq zS8wLm3iT3#13~A<7f+1LgOe`i2CcR!h16{?O(36VA)fL^e5o5WKL1cnfcv@~w>&wmLvJKjj97~Aib-nfpBQvAa9AeWo z#DGXg_DD_pj5FU@L`??)>poW=?@H~LC)hx2-2*mg6VBxlhnbaq%LkB-GWv^&M={McdB zo#mRbWf1BhMY+QqNE|oN^~?0fH`^u*_aH397aeBC%QnUpjTB4zJD=V#QKIBDQ;Ef~ z!auU#krHP0`$d=XLd?ZMs5bNVYfGg-^9TQNp=EEG_-ELSEo(P+mtqEcjtnpR-uEP_ z0z--)+Cq?5Y#tyY9w#@`rYr1`LFP+oIl$d>6OX}#nOKe&ZS*DalvGr=KS0BgxhZJa z3?7dSI}g}cuVFQuu1RMSe>LGMx=THlMk6aaf@Xu)a~-;K%Jz-#?-jwLIER>?inE?vU;y zofNx*NlQ7_fMqFxeF%Lo8y+%OVgd*`J%}J*zin)O55GgTC?GkaaPhOi-T6!=$gr#v zWFn#vm1ZSOeEKx^+#R%AlqSpikh5oy^$Y3`Za37g zv}NZGGFyEMc-&IiIOZ@m!=+wqXawXQN*Ci&INNU6m|n}p?mPr8NEN49_)j;wr)t@C z-!>jJFz7hLBung07$fL)<$z1%^2ZaLEDG5*2~##i=?r5C{*|D=E*6e zWuREo_Ikuhuwz-LHmbr3yjyfDuP*=F{)Zk}ebNOQcQ7o6y;aeA$h?@v63?2YfJ{M% z1G#bo9&{vGf;LhrQBx1+*^FhM$0sm}amFzL1gV)D13_pAa_pK&dpcZa^Qf-XkN(=% z9=P?xro1npyfY@OEHhY@D@v6CC@~+}%L-I3LNbzRrfK}Ud+XS) z$Pwp;Cr)(61s~M8Pxz?zqe^LGL34M2&KptmJoY)59^*|)TfEH$h5-*=+3<T50ZctZvaEw)VViNib>s<^V@cNSf&B2NG{=y^SvPF zm8qku%J83A9__c2oO1job?~Q$16w!tFHVOs^lhea`T6V1%K6t>ptp*%+@Avv2*KF3 z$&`ye50)2^TEO5k^#}?vIw~#;>NkMu*H;N5Mezl)rM%tT;4TZKg2XzTcc?bdE$Wp!vM{|Ss zFS^JHY5)?`g!i8{r{d%F)-h8J&!smhi zR4A-mmF`81Vlf?Wh4Im>Ltc4zcbewJlZVt7q4V}zjVOk!5<(1w7(L@XFtoo*>)vvB zL}T!fJ^RsWIzoplCyekOxZ_l{jsF-&1i;X0p_n>gL4tz+;vcg+_3 zbNtkb(FiDCsFFp<&^FsL>aa?5o<&jte|;hpvjS59oS|;sc})3vfV23@e}|Xe7~L|F zyC#cm>BTtpRXW(g>(x{Dq{K@s{ZDQm8X2dO&ayljHnN8JEc3YDru!00Wt#u28`5_~ z0k;ZtEU2z6%~IH^S$oB*Z13PE1WiEN)pIzw;xa}mO)Skb;=kx~agdA@#&K#DY|2E3 znnN+KNc*w(DapBdaa5J_;l)%}1nm%G$I)itLCHhHCtdbhVkjibo;8a>^9+6VzJG5x z-eS7e-X^D#%Us{GkD}$UU((DYB|1>`6<9%};#%C(WE5!VvR>MSA9S}$2cbH$U!qF#fk&0-Sl%}$r_?HfW$jK3p`aU%$(hJs>S_t%k?N$WL2bD@<@+MUAD7lLGaABYm`hrH7}SW?bEVCiC7w;x*?UI~CfM{1hdxbCUjSOy53o zp{!n^etv$Imq%z@63d75DW3nrRzRaZ`@xM^>PC00yT)`01a-sN5%kjo%J`Q~Eh@F8 zOkdmDA?cqN7S*aF~ZU{?mIG|7?A1Pl9rP$XH(9d5JinKs=Nr_5y=G2(nKx2KJ@DQj2MjZV}}PfV#^DH<)Sd!H-0 zyjYH$m(rDQx7cZE8_CBrJPSrcf(hYeZD8v>40V>{mFcX!FC(G;EB>8p@9{FtivRAW zD;ZPf$3K*P=X(nrZ>yq!Jl(3vqUwv*c>w8+UudRc^;LrX^r^F;bZ?q*j=A+_X;|x< zXuRCfI!*Y<2?2o2+fK{EBbCXad=>vUfx3bkcAc#=Ey)%F+9{^ObmwuCZ2RRZ9&^Wx+;8)&6jzTM zhtsL|!m`}!{N~vbM+d5Sg`wOrT{sD<+e;X-b5Op(*nS}MDQs)KTPej?3gqockZ(T zLbb8~NW0@!NNK==QzR&BZD4X>EIfIDWVRURKAKnJ=y9%b6Q$eG$PHXB17~CIARJzx z;TV!tZdqw~&Bfj(ix=CWsGvYNPTGjtUOaGZ<=q{XUtO;$;Z8N@v^rR5+y+leuJkBm z7V&17yQX%yCmjw}3+)o5w|^*?hhA1;j&r<%TQ5i`(Mb8ErFoO{(f6L5gVq`ab*n7e z$m4M1WD=s#l)i0HW6fG6G{DWgC{HA@QSS5xSMaiUWFLO?(6jmQ&O4^Vu_(x5vf*sT zrDnvS4ScR|#*fn|xf#c7T68d8d?)XVR!rY^17qHXMi+gCw&jp1HUGb3GH;A-o7l!p zAV|v7!0#;aj_9UNPh7CzvkY-axv)bO4O?Lq$?b9#R?&9d9i%Jt^K41~R=L)tkyl*+e-2TTUgO z%GY-qu?oy`Ff*$3Cg;nOaJ<_E-XVb|4E{@IfZ>H;w0>Xyo!+SU2)f0Vt?J;t(-V?a zayxP)!qdFI+y@zgTeJc;y)tUDqS-Mv8qAn_8Ga}b!|6HCn-Xl-B|{H)&sK=cFA04$ zo}H~wvz8kIAZ{nSEgu+8`!(Prs98;egF-;F9%Axe%vIo0pp6uiCfz7Z`@Q$EN@FXrwKzsY`Z zIdlA|)zZBM^;~A1-ASBb)wf1W^0Aov6NQo)J5(LJGm?6^hin0?qZJ3XIO^RyP|O=0 z_NaoWy@IFGmTtHEq+Y$hK+9@(>jSlmfs}edctO0pyy`$1ug^^6uQ!;P9==^RCI!&Z znMkOfYDMLq^taJ2C{xG%_}gKE!)IoG3~$cyX%HiUVR~vdw&74pU+$2wyFQj__mm@E zgskRw+rIMt5S$=}Wwf%{?dP5k#^Q70`z)pd*>txdYZya^HdN~D%%fxABbKeRis|4l zqX|Ec?-`y?#avkMpJkmk`6Iw6o>j5hW^UQB2zb7}z^yIgg9!?&aBZm7If!`H08pk2 zBy=dAiw*Vn!1Vtb^?DAVw4PpC&GL8_FmZ562n1%hbFrXHFE-B%b9`GtdH|@}n-C^x z;kl%WQeLy=Q};k!rjg`N)M}A7c4d6L-H|N=W9w*J^yh;LjK@;?y$yv&4$hQD`6vmh@7uvY9g9j>9*mWj*Z|H1A?1d_1#4q$hI@k7g2`5%W1>IRw z!dUzZCOF;eQ2Zeh;l%@P0JoNJsMqJ_5_j{6B6x%%p|Bntqktz;2aP$2B5M^M3KsG=t}CrGcv-R)!+w%CQCKfcaiq$Ry9`jj@Me9~QuqvPjootvtuIAZtTI9~asn3W zknVg0rR4C}NPFnvTO7&U1gCPYEZnkvtRAH(n&sN2A8C7CT?9Gf70$I2{8;j6KZ@tB zSqlMIk9H=ZW_!!p!p{`h4#WE)as8mC)Uf0@;Ue6JNpsQ?NcVh;swp0*X%-Jz+7Iq_ zCG-XykYM>f*Sjh0CY&ilgfpZESl`?O%(fhY9~OEI z&#q9At1JJuj8!3zYu3$;cE*J9@$|Y%{g_t6mUP;H zxS4RvuTQ|9AJFZObrvn=*>*RosR6yGJMp%+SA5k=>WdoUwGB$_71m(DWxW2kJe$*1 zGxw_CciJK_`GJ?G5U@q;BQ|V@MklM^xd{B+dqJAhD87GlW9#DQfsH1v^s|>zn+;iZ zcjE+|Z5jML0A@^g6bd?3lWQ$MYMJSTU)(5%1yyxZRZLY$#(C7WWz?+sj?oK)UMUj3 zB^jpGZkD@M$&?%U`q+2iY2BGB z&|F6jt!r_W|9qRVgCCn37cMG(=ADUu9QX3Y`8^@6^Um1Upq6h;O#{gUQ5D@*?}W7r zIHFAu35^CRqz+tUv^4*1v3#BzPU`QCn)SCU0u@R&wQ` zQQ><&t-=@8#>=$87Am`z6#YhmfT?$PJJMVV_TC)6B7Zcs!uQ;V(XN2HViDQBcM4)&?26;uq@HCH2gWs)A(`GLoINPNzu;WetHHU~g}gEo1l9J`e}8UT9WDZmL6#Q4QIoTz+t}7RRvFHb+*$PH z`PRj#tp#PHk~@c~hgz?W&Ar}3gTpvugy4shvxkyHX%qIa`O0K_n19Lot)m0PiVLIh zD$x|7#33s_{4DDDseT&s9k3h-1Hs+)%F0h}c;TNM5xjkgUIrVTEJ>5SfyKqC{dZpWcQu za;bK$L1*gg-Ae>hd|K4^(@0MJaBhRuu>98cErskzT9#CiDc=TY-Hm8!q*=hcjX06h zOwaVCp>S&!Jsl-RNA}Dn8wDzf8W&a#+Cs+@O&DfXu2trHX%>uV9i(GQ!FwA#WmZQW zyfy7dq?lF_5GYXa#>ezdid6KiUnp@=mYP?;OkGkK{iNrSW&&`+DT^U;p~H#@mQQs2 zmKc(}chmOkHgr~)Z>-37{7Ka&$G&HAwvnCv(Mn?hyJ$CeH||vnw@sbAWsH}7G<%mA z)VJ_$!uXRFwh#$+U2bOnMw^X4_sB}GA|g7WOM(6$8{;1^fb1>a1iakCt@pFQkVzL{ z$i|N^4O@x@^g81;y#Ul#huFij%?egzMNhX1-Zhrdyr%NGR@vPxtM3$xasBVE(AQ7M z8A(QMH$lkz`}+YBbUDY-H~ms9_^=LjIqP@KYrc8DMyZjBbnI!thxiBV2h$T-1uCxP zB~`c1g~RJdv!jM{9bPeEHtU=d=BL5FH)BEjOhnlT*|_x1Pesj-(F8iZ`0*9h`4Bf| zj6DA6>VUC7Y-Jc~eOus~T)JbiR{>cV8l}<|v+l%Z*T?P9G3kylUNhq)Q`k*0t~h78 z*jX;QU6gUGG9vkyB}thdTV}mK)1} zjce45xG>8>y8yT8<~K&)76%{7gc!1e?lg0wD@wh)Hf>YhWU@W9yjrmntSbb|VD#aM zbWNRnkJ|?Zqi*)*9e!MTd@y>QR>f=XBL1+lVWceU0j%KcbaXMKqg>T22)5_5xt(CX z&G@EsxBKZoYHccL)d^B#x?Bql^h-19pH=UrlQ_-oB&&ehE3LETDLs5 zcVs8~#y+O?+DEZ}9ChRX1>sV}d0Esx^BUA2Oy#6#Bmul%^~O;N!_TA7b7R>3cXQ3c z@P7MYyOUAa4^$0xpKayWhu_ZUSPZ;{w)031on9Xr3=RB?zd}yoMiG;?HQ?NHQ5W;ErpH*v|8MG>kU(bgBfO%t@c}w$Ljje z(weqh5O!ym2?0eC(L#cGMWe!Ld&hGq6lw$4u&}O5m3?`~|HX1kfKh+%rc;|6D#ch! z&b=cfI`(U#4Ge}VE6LMg8Saxb&5DqVzz8k`B=*aKjshSOL(;)S{}O^U#kMNYCJHKx zwEiW@j zwk`&S;Em5v%ducA#Gx*TFoOiWGqNzZ%I1SKrO&;8Z5`WUmaJO;0=-{w%l7TaP|E;0 zu4Otb6hjZ!4#UqHls`|G(wY@ltoExTxS=bGC$5X=VL=PS%;^&RiO=PFaJlCDIXBN# z%)T5yt{)JVE9yAw#Enf+*Gs5`wD>l78I1133d}a6o>hcK$ksPz|9kZAhu|A;`>E>j zwA-vT(OW=g9gziZwZVLKx4LI=B>9E<*v6vP#XZUHDQI1#{B@h4teFk(m(T_#HFW#F zOZ#2KdR%-Y1paow55+ofdr&IeZv$M*jPIYd_^xB>SIPG3ZoDk2CgkwD1o&HR%T++H z!kmAwS{Ai|7I1h_Ts|eP{y(a^@WiD4A+!tBg`Hi7E02*xUM#sWu zFF7SdkdGq#Au=n*m88%c-vZ0-y#?n1p>mK;UdV9J2?-OM9CrmTb0P_BFl_2?s>!|| zEDiLu_iab6k9+%ESnGouaE+MeDgJ3`ngstb1biKTSDjdRB~Gf9KomnPv|-^=rau@EE{%pY zRapRd6qp)NXUU+@b)Wu<6W_vL~7v2eMW--Tw}lDfK-xiHoP}x~mqM>zyHq zhPS1KwDpqIckVKtF6U-JJEY(y_6;9aM{__B_Ox9yF#;xuKSDPxj*i~j6!s|gny2@# ztvzo^w>)k0tqsaEox4fC?vNKOOv|t4S;uQ7^J_qe?sYt^m$7!x`Nk9vfnx|OUbd=D zf~kJz|lv(-_vM^o1zpgXWd}W0IBFsDptK|5mVoJKb%6! zNiY_sh_2s{KLHnV3inUdxxv{S2GcWgLylT(Qjo%z!Pzo6E7v3A=MtCJD=V9A%(~v5 z99rgx7oF0EwB_{+k;%a#FNTCj{N$zhfnrF8;0y^|K$!3<)xqZ!lF8Oxf4Q1LQ8xM# z7c1#{h`bJjjBb|ujow&2WpOVTycUjbnw6|-v7b%81F}+{01S8v`uT=R z0QbW&Kd`56D3V>00Q+H)WkkIG$h#^2FNZgaVwCRXw9MmH(g9A9Fu-?e!{iVpO^{SzB=8RWzBubGbv-Sxbw}9O_G9HmFkU#M39eL<4$X+qJzqxaNIy$Z= zzN(UNpC#{9*C9LOH*2Q9-iC8ChvnjDTNqWtaIqYwIiy{i^rEvvgH0KJ2s7*5>P2sp z9MPN{SX=1JvZm8U+-iNN>dEVU&sHH$Ia*!W8r|FEUmS8npqq`|S#{3Vj1J3P=OqZ8 zs`Il6k#>KFNjl}6GKZ&Ag&X*?1^8vHm?Ch=SA|2HvmO$BDR7IO` zvC9^*H(bj^w`7=|Y_HBfgbK57kpk6a^M%gsb7GBcHs77y zSl_aRzA~Tx>EpVXvP5GWIQ_krxk#hSLZ^eZ7whg+>=jVW4X9A%b71F9Jwzc>FDvz4 zXkK5|uE_1G2YUWYuVFWYR8~CfI6cutKxbVoPMQXWC!8o+LD@ld7BYBWhqaj0dnoZB z@5AFhl;6JdDanv;lrt>s#b`})oUItiju;u^k80+~)6W-ZHG(m9!4r~cRzZdnZ5hKC+4wG^ZMPFb+ILQ90#5hRXsWd!V-vaA ztZbgb#!s`4ohP{(g?^mAhvT&3Kxb^BQ(rdZ7Ejd(BpRT9_CUi?+lc^SYSusxbGeq1-&^{9$H*cs8E_oa26 z;V<;9%=?}=1)LFUi+%{ux0Sz_Avd_a)e?U9N6av{ddT4i3E69GjEB4W>da*y74s(2 zB>8LXa~||>z_=!F9_}CZ_mdbw`Gj^}{Y66z@O*E;EoWqzNsQ70ev^EN{DK_Y1(~Ch z#t-)P2)tH?-xh0)z(8^8b9>rBS5U!I@Zm(ibw0swFnu)TWKYF6|E&K@WTQ|&1tcz_ zB(Cxk>jX^EVMb(qq|vlbE7BDX}x$_lS(w)G>f6l|EyhdQJj2zJ;#VJ_JL{_ zjtwnASb9Nd1sAqQolDH~gTt(Z=#-BCSi@ls_BbKkKOP|~XE4QC9%Y$%><_VR`#Su% z*f^IdV$7NU#`AusM%zeWgMCp+ZfNU#dx9cC#cukeq46^+ww>;N@YC!7!eaTVZS1;t z!f925NP{EFP?*sItQj=v%SgHGbFizyZ6*NQ$LEvt-<_TOhXIi<{peXJ%ja`xF6M`SOL8n*}1=4}& z$fpW)LDZ{^AoIWBC$BZD^PeSh!E%efNLw0Px^&205>b#2Ir$m>T&DY3TN9vZB9(8! zB~A;xx)N!89`oYtH$BBatEPGRs?$oGRHa}7HmltT)4YW#*Pd~%5UR&kTNs?@lnRN> zb?)}ECECykFJ7kvdEf4mq@|6Q}5t zLpLki!ICfTxWm!$&=c3qOqUvM)G*WNm-U!4bW-Sf7kgpH86f}>pnGbRdsC(&bU@a} zj>=JwjqgxrD12I-EjRyyb&JaUthJR)VR?ayn-ZK~BR2;(F#RKoPE;uE@)$-Rkx|*! zo?gv7EG#$q+k!hh%{lX&$?|}-L~2qZf=x-0duX|`ihAPr1v6nf90}&Bd6iRq$%vuj zcuYHohU?B@#AtZ4xfDA&o~xe52xY6v&<`wH*zMNrw|%OP_mJtoErvs1 z?`9Y2I|OZuS?LZ4b(q`1)aV0JHUYi%z1kT*$r@W07!}E2CnRC^=}NVE=VnEfQA@%U zfy5Bn?spHd&)U?r%4?}y==k_unZ)4|)}mr<4nzG+96ssUs@8#_PfiZpscbL4kQ{zs z6Z>N^=X`4~AACw1CJ&#lZ*TlrLfp4^#>t3|Z=l2!rk9_aE*58S2&8if7BAN?AFlt38{P(*16Rd6so*8NI8P z+HX47O&gQ{o5xiC3~gDtb*(1Lp4v@vA#lY%ZA`>v$fTx?gk)?S$zdu@55Fbpu6E8Z z)8a{3erAbwMs^6Yhqi;squBCi!zPD9b_++a2N+$-C7^ys66`p+vp##WLqR7!WM(iN zBs^MLcOJ_xXGcHE#3Rmsiv;gN%}PjQY(e;LPnMIjB+c>FY1&fx_W>Js0uDk90GPJi z_|!PtdYp3$dGCWP*W_g@iC-VsC&Mq%XblKAxgOy@;~U~fOx={L7ixh_ipu+^)3WUU z#%B9rNj2rb8@fmKnmBknGiY$cFNZb&fMV@7VKIiu{cv5@LLZ3KX zjdq%8%xjl>6BjMa&Qm;)<6;Al&2?=YjX05>N;HH>ir$%(;1{t|4p-~n4s_SISsyPo zKx;-_BGXlP1aJ`*mD8ac5d!gqW#{mK%+*B>x?So4YE(%dk{WloSTW>*v!Prx$dj+S?x{kTl-Y4#mTSll{QGzLLFkGgm}SScm-7 zUB6_y$^;#~Am+p8Aug`SQu+ODpXuP^0)K1@3=6Lrb*Dx?8fae9)D}RRx~SL*=gt>u zqI zJs-C{)HF8}8VP8;4~nx4B**|cT#pz%&_$%k#rb_z-EZDeFa6-;ivzQR6(q|2(XaB) z>W!@}+n=tvOWX{_qTMpA!fdPamoMo4zP!czr<}F!2 zRLuN%s{E!mFHn5mTYJ)5;B3W^Z<;-09iEB%CP?h;gSPDTyF5SN&0Rz#ln`Z7dYPiO zqDE$~3JB*}1)ua97Cy#o#ThN1a*+YgL{gEd8hv0>eCV$Xr zE{O*|+ntbLpL|RgK*BgnTK3hIf zW8U*?SU?2DbT7j*+8>b*PHX-00q8J7_ofnaBvA9@mfTh z`&fVoCs)wI?MJtKN@g4g~Me1r4e@Qb%NVSMvFUu9;qls%fmuI1Otsy(Y3DY!yM zBvD095A8?wm&O&dQ;U2;3oU37r5-U~kUE5UViC#9s|J_1BJ?|s7aDODldAaHJs=i2 z^K)O$LsusZurgTg7L$R{Q7qV8;Ht&P&heH#M10b{0w=-(~$uI8S?6@jMqPM}iQn^viVP zg5#&u=YQ^BWV9zg!ELf~S_Ju>$xg4!u-fR;&Pr_`G;s?dMyRhOa4#W9Ac6h z2T!o2i7IW8F}Sb2dH)}K?-|zQwyg~-iYTbq009A)E(ECp0!qgMO79S=h%`fy-a=JD zq*>@K6zQE%6G%jw5+L-@LMTEA0YVLsknm>hbM|}f<$BLLKfmwC`jZQir_DLX9OWMO z7>_q7uEt7V4w`Lt*Y8Z>$7%^EBuplow@_lG!nn0sUQ@OIW|7*IQwa)@UDxY3ah^Wg_wKDgP?f zg6BuOhZ4B)@)L->KkAe41MLX&-lZy(64k#TLQyH_rh0{GJuMSKD|o3#qEqn%HLE6- zlH5jhq@^5Rlr$bKVrlsf&Km7e=a50{9K!PtA$0cB`-$d|;FV4FQd&-j1d{Lj{8zMf za6on-ES0zdJk*~EDY7E)Lhf~;Ldn>PrUus%uwB62J6Bt0B0F!b(UB1(*zq_KQ-AjkI3#O>qcJp%^ubgKEg9qvu0_51{-6>sc8bK4eD9K83Qr+Pf>uKh}4xd#&H z)BPYi5RCR- z4U6TK7SfI8wM$YL4);fOC3L9s1-n!# z0b6Ysr0yzX+4{AAK@#iUtDKB7?OCxgHOET@gt@;QYr7G+(oZiX`mOD2_=(!DyQ|sd z7loaTx*NJy%8J_QRTiEtHb+Z|SF$d0B`qdfh#?9)nMAV-QK{KB!-=POewULQqeoMH z^4Z!y0`ID;CpL{W-yUqfwNjcNFBLKEWmv_@`CBNxTu&&=`^MV<`p=f?e7rl3@PDh3@PXiM+_6 z7ac0wR<|YCJB|)_a8?uE+3t`jUa)QvjrOx{I9}fSV4787|C!uRVRc_}n*#BWWs)%` zUjUSUsYK)Di2+@uUGIFWazdfX;q`Yj1Do!uLLXIgXPN|Ah7@;5^%TL}d^TWbw#RB(z*+KDfH@|Zq1)AS@#zp@u)CFhH+vsX| zTgQaGI!VcQsb6Govt*0G}%nXxVrmhYt!(IZxZN zwIV-LGygehU7ylv#&k~Or0dJQ=B?5)?p0g1U%dQ(r^?N$8P~r@>WgT^qJ!qobQg$1 z?*16`y05Vl$Rp+8O+Inw!|K0#0no!BEltOVj_ixgxNPxT7F*I?m&LlIc;&pE)<-^F zXax;ZDSNsXLA;V*MC$`yT(#EIoYu8J#Fg)Po&DH!Jvmvc&5Ee%T1_K6$CN)-{erTV z(kz=qgd%q4nD`3O5O2f+IN%m4pU;j)+BL|Cpg1D-jmc|25M`FWXB7|=4jF|$YL!_x zw7U#-6LeV>51*u%=NO$IQor?FA$k{WAsa`hiJD7lhD+Ej_3miNB)8>HG(lLtK~`?Y zioO07asKZK@YbA#2?WcZ?$-uddiMJO|>?2Yr5ssd3UBD{jVBna=Pni|{ znKJU}b`wD{R-G?MgvCJx_v%)QOcoRqfuDbk!McKQYFZv0hY!q)^zy3>|aGPApn8zHQeR@ zDz3dN&7E(Khks=jF8^@KK1&Q~ztjuB>xR-a0|ptSc>pK7VtKP{yk3}Ee}JqrtBC8l zD{^T5PY-b+6~Q2J1rG>S6hP^5g^#c$+bD@j`M1? zD6x`7LDJ3geW(WNq#N`g`N|P{AwBohZE$FDwI`3IUAu|tf4szh2}BL8(;r_!)v-i84@f63bZ`RWxn={J=_O=kTC1OC%( zb4uuA&|dU;_4jL27f-+GKNI&Kq?dmt?*D4k|Ni42iThQl{QrEbiflQXqz9h*U-Z(k z@Z<{vC!qYikc{8|tYUuAQ!3kxCv!5~SI_?P{V(o<(;0flpk)7Ew@<(P@E@D&kBRK# zxDe(BuaWvy%>I1!9R8Chb1dd1U{C*Ef!(!HIR-uG>i^pj{4rGeO{2S4Z~ZTtA4DI+ z61V(`zgPU`59l}jXX5^21qc3_xc`_9x-kADaldT)lc)dLs=uhRe{|fRT-JY#!=F{i zziiMyR`r)n6ZVfg@~dY3#~u0A*v#8T%P5bzrSRl>a(~-lc#njoJuwfgQ6T^ z*&C!Xd|OI(xk7_IJ74B0R?8db%w3+Dm)mIiovunv{flt@rzL;Zc5#1WAz`SO)v>@C zQs|flE;1GaeU|S2GADetq!$$voy@GFERBD=vkycqR$lav7h8_#-=23}y7uRo_|M}$ z-OCbwS`Eb;;qQAru+oE z%=Wiuo~EZNFW!tx#kVXowhl1m2*4?7w3+DdwC7ZEV-Mwf@ZY1P*AqUnx8}r*J4*rR zciqV#YWGv=i<_4gAOCAk^gqW-5AT$2>vd@SaiX|qE~|` z;WtdoJ9IJvKC%QK3mjAD`x!FiF1zjebNp{td&l_S=Vj5)gQofaFHiVKffmDnD|_KD zmf%;(&tak;ruY>i{Ob?DNL$?*I*JWQz45;QExdHFd+!*k@^8m-;rhTWI*RRJ`~FX2 z@Yg2|yiPytgaYjES20W_gN|ZnyuJPRGk;+@oPHSV#_HcE3I3V5zbx@T6ZflR{UdR| zT9m-+0|+L{WYXX7XqGo$J5p?(?qPg%1Eqs7E@h%a4&bTU5;PAE_Opd$%d0nLTYA?4 z>hVf32NrH``0Kp?>FVrS;ipfjZ`{{7nMb6viV87LuE9>zdny4Ze~`z+8FMJ(Cp_-| zts>fifBhhBQYGgEi5R#@Z}`;s@?x0Vr~ncEe_`T4?b(F{WgUn^p9VOD>|Dh&9RB}M zQ>NF4o}rUx&Oh+G0;=>|p9BKTmg0`LRxA8a^5#3DmK|yDO^3RNJXStv!xm|GxlKn^ zR=Qhi7#SdTdNiHMv7FB1=?{lLX^Kq9ij^~&USwOZLTm;GE>y_U`!Sw>DlCF{z#5-C zRJT`Hz+oLIAqwl2oY*wcj1ilZokVH{5nJzW)<@&(pH>yHD(zpdkOn>Cf_4qmjX#gs zT@Imq>z8uu*Sc&S_?ze$A~c1FZ$5)6;-d{GY$I(Pe@^0$juMUr%Nix=1fw0-O!yS>9Y~yxtNH*b<^b191cvS5=xk1;K zb}|fg`%Twskn8x=n{aD)DdaD!7|v+@g0f1|mm+xo*YU68&H zr*F)^*?AqhuOBE|Rvo`pcC;5$H?!;m^Y+16`diEGqC$&ZV5^cylfszjPIdy=xU}+< zTKv^CUyMh}-IqV*I!jB@#XpsHQrm@*w0*)6k|As@!E_?0zeAqbV%40$2?#Sz6TL(4 z5b8~0#C`EdP&PMy$^*{zUL&l~%z`IKXcwwXS_^svxTo+iW(yu{*=Gt|>bmXcu@`(hWIA z{8H*F%L~)zg3X-5YuYH>J9lmT-u`~o5tWGl*xhBkhMnlGq7iV;^{e;2jb;KV6<5IZ zF=B|O^;?7lfOznB>gDaPk1^+<)f-qE4m;eQU}Ce!=$MIqtB4EvoFV|v6l>ban?5|? zxMjrSv{tX?fPF|Pc*rXEd&LLF3oA(gzTQ&MO#bl)?n$TTshhpSh9^(1B#Fvk6oU6U zJ`WwCXM(>z)vgG>ri_k%Zu&JMf=y3f&*(}Jk&)X>zb*cW@x_m~Zsa{vy%IDx$wHdZ z+j*|4cag0oheP=EIFgbbI{PF0g`Zt0wcf_PTRPdxZk%2j8LKBgHc#3z@vP|Vpa-AJ z>n=-$%zk9TBqq?+fiC6unx_;u-Vi+tbWX_Yj@q~Q=y+;GI7c$!gRc{&%jbTD*I{~! zb?}5$0A_S^drRxCCD2tCw6R!Vo##b^M-dY$@gzUfrXhOO$x`UHPAtuu8CO)qc2%*; zhG>Am4+a`1o~%F&^ZM_o`n9{t7O)BghWz|33g#QdW9)rht_*7>pISnL`mSlC7Y})h zrMhklczasZj}MuM@2Bnti8e_gHgGl}@u4V(zHH;M6%qAg$4_2R`HzqABirLFCoKjh zX5K3{F3KRr)gw^+1}AegMP7QZOr&HdxCi1@vIFKO@=gpWA1R1?tTww9zGSq&u+r7U z;G|x!DCy|XjXgTdx)+b2k%enqvyHS=+UjObP?}JP!yC9$4*Md%QHOCMGxuAk*X21l zs{5L26r^yySsD8-+vUk#Bn5C>rdtoxr~wvnqB*_49X)fEkD9SHXyi8;UDVXcVWWU9 z)o&h>U*}wSE!((cS(!%EO20}CvOl~ho8xyRs40r=>ABLor}%x3i_u`aKA|vp6}r2g zeY&>*ZM-y9VujjJ9fZU%UoW&dT8%+9b965@XjNs5Zp&f=kh|PN%0!C6faa0)wY^WU zFT@-TMl{l^mzn0XK3XvASS-XMknQn_5MmJffSZ71He^pARfKe;r_B;mM=!(?9I9|7kAemhZtrIp1Z}u&y-) z<&SpM!|tqhY|{%7ziRtaw9&As1O3G}9p6SiqXk;lL#4Ku+}2PB?oe+ zfiL2`b0;rY@?(49b@zZO9EmLFlU(y-f0GX^3L0GoPLFrOe4odYzzg8tU0o9a#^oDN76*Ff$8AIAkjL$xUy)qx|6e=LEImuv$sQ%i`u6 zFDB%CE;&eK_w!6) zg^IYV?8w`kYH4we=1=ZDxRi8UmkI3SlCdA_m{gignkb5%#jxE}zZYDT)MxEt_`#v0 zSUAQ?@$+tW;5xqegldxnqpr-3@g>^+wl3dnDwkiX-iCUcPnz)MI-!hOC0Hg}^x~_b z=6b431%??}H9M2JZ<2YgbX&76e%;a6hq7AZXUuD|GzF(-%jfF|EMi_IgEJyZp)w`g zG1Al!mnGqIf?h_8!Nw-z@b1!_oPdWEn-z#KVN%nQUWekJFMUS><+lXgf|m7I-F}eu zTnzdpbp9QB*M7bc^OAdyKtwceD&4CHD@>RB&1c246gJmGuw3xr7O=L{^=_?`{3@fH zmfr_TI-6up`)b2lA@x})V?8(!nw%tQTVniM9Ybb)F@+B25? zHVf&G;c1*|6NQh@uPf7Af;HCKKbh*P0(@(PV93XgqXR1ZeJL zVe%f+k96P`K(-+cCxxSDx1+l=XD90wg(MsbC50)JuUjX<_GN{VV0Ud0%m}Y-e?GF! zk<2$=iSc60RVZ2q>%b&#sTpV1;R@Dt6;qbo3`2z z-0rSwcVu*53%Lup{w+rQJuVKurslU>aC069&|PlPWC90yuP>2iT&w&|1t=2thgDaQ zmGEg-IKWHUVKaR*kY&50TPZ&zmQQNTyapUF2A-m8mCy9z=h~yT0wFd*LklcSfZh9( z!P45p1jV1Bvhg+T36;VCD~tI!lkGQh&BZ4}_A$e|lkk*-^Xj7)>Ed85)$2Dh{ypJ0 zm$g;Heos7cC@lB&^zub?QYmw8zo6{~AWPiSm`|_s?KU}!j}JSll}c-L$qf9 zPKydx&0{*>L3;s1OS-%hs_8q!?Um4-UT}e1Gd}6^$@D*Q3)dJ)F zTZxL!ZI?vXF>5W?fcEmhAvn4ayXCZ43!?%RRc$d6a$L^Y}A3dPZ_1dL09Mftm0ti_nJ?06di{pZ>@yB>94^si=r2E)r?Wbv8NikJUbd_l^xMs5C0~IUz8FUr24(dspS%{UCl^4DM5HE*6CnxT{?yEPI?#wYXoN zsLkW6H~!_vt!VU%gGa|_ERKRLT7HNIcQr8J*g<0qtqHI9@SaJAt;lKuQQ)eg{hPub2C|8(@1vf+F%mWa>O$`qLz(iC0Od_;ODTf!Z7SwJ@y zY>Mk>R1&sWELj7)$t}Hv<{o|%7KaA$LeYxk5j*``Ytz^4E=>Vlg91hFR#sIUC5bBo ztA5Be$%K6KpNeC>;WC@<(&TZdpqP8z;c7XR1aluQOAMryh#!?pa1gnJ5QfjxC;WTN z%C!um-ejyd-Jj_=vlg%W0^fH?%6O#bn_xwHht}3zUh*$Xw&;X7hDb?eH=MDDm`~Y9 zWwFF(GzSamr2vC$k9pM_n?kfrmp8-JH6zlQ;|WV4a1#_0EXW6cF%DZH(GqiT%^Q9W z(eP!5#3=w?f~=19G{*EUDIaaIY&Kje*SozBs_1mE_?3pZ+NAwHz0Ioih_bM?Sn0d1yUzu@iL<*;BFi6 zh8n%D>DvNw-fu735nQLOj`N4-a*b`_$|M^r;)3&b?o9&_rc)`r#`X(qe%N?gatk^E zTY;M64y`D5DtnJ;6ABb*IsX^Q782K+xqi0|_iZV0R&3R9QPU>4_4gB{#xbJ#B9Aa< zjlLSMb$W<5H!$&XX*=#J$8{HdjbdDZ-@Y-BHVSu@?^#zY!%dJzZf7_SFrJwH)Ml2z z6}73xciNc#uJE-FR8~&`UQFBV;j#1{@u&q+u%Awq;B_bDa00!TP72=?Y3JUB;YL%i zlfq*QT{|A#kkHzxk(9TUFv}mQMGYWmlawCigbV(`aWYTs^2PfRN;jdhrPn1c$b zPj8p6SE^D!#gbnIslk;fSA}=0PE&OateL=Ei z&n+*d!W8rmiH5~hu!dxg>2{h6o2#7cH_swR%B3aS20-Q7I{x)0+0+F#*T6kb%w?!# ze)g7gy3?(wg|N1Ugt?DC59tomPQNRB=m|FFXc#?g%6gmLZ)fa&HJA{$8N`A%y`Te# zYVIfAQE6Rdw}=!+-p5QQ7+;f#i<`^~kbG9TNan>ZoiJpk(bWJi? zh0S09vt`_Q4RBe@z9&-5bZ4pdaC&HQE=7a@!%5{<+#Z&-+WeZ#7AQj3zRUHUVumX8 z@^~>+z`8RxIcxOJX(WYU2EEO4Sa(^s@4kaupp_z6%1`GxiM^zsEBY-+JCX*Q{An0D*YRj3>QimyM1OeBc5!_V}-u!CBq~P{ipa_ z^`|JGMr;i?YI2E9&$9%2pd@MYBK;p&K2@gsNiR{me$g~ibzv>p4|A_8TP=Z^yyBkz z>U;DnMxR>)L@nf!=(mZ%x-Qd(LJzR4*gzV+wVg@45PQqL^~Gg==2u0b-R;{VRM<;O zP4~{$4zW_Q?cp9ZxOwY=wz25?cGjm6n!K08l@F#&VnDi>yP6_2xy~=jfFHYsV#jGa z#r@25K;ySe<5y6jqf^37cI-F)Bh30&)TNTdV%F>`jBu5&!)cocwCT2UQDALJ8%U-5cZ>tT+1)-?&|hYIC%Ib8Z+WNHq-~f%0SgJTYhz3OA%QiAcF=S(a&pkm{+6{px^1W^lYE-Gxc{3Z}@a4s%&9i>b`I|<6++6*dk3A)7_>^2e zSphdpuBVcwi$+p6n0FFdR$t1lY<(P5<}u7Ab;gR%cj7&VHY08b7%fq~LorDD&>V~a zIqG0*G4v}2vPGx)a9sNMi<#~_D%7QppTt7z#6{xzU7Kir7lN$NVPm|UYUEn5a(2Kt z-OG?eM_NmZK)Z0vNw-&rEp+K+;Yn5d57_Bn3OhXgvY_zg^j_S&61QYd zj92NWdYb$;BHEy9sv+IRCPb5O#4s|=EzvpiNmA5#cXkJlJGLeLY^H*s%Q7+437NtW zh0)!X>J-JeE~VD5j`?rXP(m4jyUQOpJg%0i_KSkEsp3wgp8ZdoQAt#Ghdf30*o4y8gZ?Gl^j1V)H4oL8wFEbO2l=TB z(uw@(gj8vVWqOo(p{gi)%)*^;5+I?Oh=?OZgyOLBQE$fdWyWu7ttC$>%< zZ#Sr5ZV_5A6s!HKCD*ahjaal>o&<2n`7B9bYRoU9%W)O5h zq0|WfPT#b%8-iM=bPPA&@@|D5^dG2RXY})(4q-yOGVQG<5k>$fk_6E`aLV;JR?7cNAAL zv^$peaG_rKPFYP6#0}ND(-H)npBi-VfoFycIRl6{W~^(#Q#IX07h2aVDMa70 z{oWtmo#z;D5StveK-!}{Yg4znN8|h~pWQ$LH7hYrhjxq~%WpTq>k(ov6&x6I?vA{% z8s5e5`1Y}_4~3*WDoaT^1Dw)QuB<*}js^@|zwE z9AHn%oX|0rjI;`Vtbo|Q?bu9*?xM4!lFk{;1Hu=p@!OM?qYe6M4MI1UWe4PREWO(6 zb#Td%p4EltUyd;+*(aa@t#EBMK$o0})l|9t0j$hc>s!N0=?@+DIZ5rZo;NgjHFkj0 z2KA);({9k{fT*tGp7(RUDc9jiJdCuBQdYNb!fva+;z6Bj#3Y)DQ@@lRozf&G;Qj>N z{b5t|ycm)@Gy6StlpAmUzCH1~2CwG2T2ilFY5GYzI{4OKm-*h9mEJs{K;MrJwcL|8 zSO{_845K~oO4-!5B}#Fv76#fhKX5HwO5>@a<9(}l67k~_rpMpH`+ z>s2DoeV)(HJj!2@(Mpcj&)`r2`-Wln2!2%QzquI#!aSGqOp2CuZ)=*y9&NnNApaOC zj%{J&yb{|28nC~QJV$UK4;+6uT>!rs9>KTCUDF8i~>pz6F=r&7D58Z(G;rpC19TNw=VGPjBgM{5>>-8oL}Odi-N zIAKq`m(#LBk$0A}mapUub@k}gyRJ;@y=C%Ys4m=8UCY$(dIr!guy0T9w>BJ*>b;=2 zn)5LK5^@f>6RiIMBUiD!ucJiz;+d4p+L!_~?`CsQ22X6pcK8#UtqprydP3Zw4%wsl zvwowy=tnT_fQ4c~l_uw5?W`VjD6YFZdx!R=V;mx9+PGz3jvN;9a=q=V?6=<=1k8}Z zbevmL?s#k8wVMI$Gns0lzt2d}Ts!Odl?&(4HE0e>>Uov2(zY>+2BH0}J>|peHI|EW z?S;VgV|=f80~hV}nWTd`bl0bU)Ni#(628#84GrgE6@s!Vt6KZlc|)--A@;nGjZEC@ zps}63zGf=QueAT88@U2*-|M=b+ua2%6X%%=MWCNl87ysQbWdNZ%I|-{HWBHn!ox1c z2iUSG!)8=}jtK6;AKT%DtuD#4CX2le8C^cWicf_gw#jN)#MNeAwhKxSN8CQFum;(V zcpAbSnOw|wGNs-1%`pDA^WNtSoZWx`+Il3~irUBS0G*-@B>*dH91gj!(#Br4ieSs+ zI5DrL;x^ZJ{f4#C)2YjXdBAjymu0nDxhA8buh}MLk$+0R{9y-k98PXA<_@br+_*0) zd368y^0b(-l4+W%?ZI00YJ+cOud*`Ab@s;TUWQA~Lq#YJi$8jfn z^y)%)Q!75+BF|o^ooD8IoCEntd#g=Yo)>f1c!1x9t6R@L)h5WU#jqik!5C0?-Z3-N zON#l?Is#48{~(@4E#nY8_L9>eFvJ$ca;eR+&(QD!an%s@UdpsvNokA+C&lCC>O~V? zIy%bj=6$c2nDyoQ^O`kJKC`nB)T$5ptaRmOIL+o>SH5VYdR#!j@ThDP!40k?NAx+b zK=%(D?rj!J)U(|~%9;=pAdZ~`Y>3~}4FI^tZCZHvw#&-*>N?_%NAE@TC=!;&WkJ{&4J=!p*0TRE-$rlK@T*x=MRSH;%R1 z5qR>588Vft4;eqOlETw0*9>h)yU95}E6390We?I6w>t7SHD!SfB?NyEo|qjE89pOG zIZHt=08zu@3TyHA_BZzHld_sNNf`^o7)WnSX6?7~*t`=e3hF&a?~S!Q zJ?NfAopI&?u2_11r!l1j1UK%+1owXPBI)v@=c`YAj90ar5vGjD%{yg>VEgfyJ{x8A zD~GKEP+LRapsVARE~M>x__fefYB|B=@f52v?ZbZW=v+x$)$%7da(5eyob$W_yj~CH8zGf8_$|%Su%t?7inBt0a|sn6=m^-6W7# zUKw!e!_N5Upz>Cy@x7VHOHI2HVq_-@eYhL@CkY*>_A{7$)8x;X52hdX_mo2tP6WW# zt2IG4C2Tm?Q*4AMQX-I(4&#Z&GP8#v>)=pYG#w{EmOeKJD1$>}`ug@?MotZ+#A!FC z*ktgzph1|gW9NoJ+Q%PaW=CrXu5Sxuy;{`(4|-G}z7HrvcFI$=TM8>u==~2uY1V?r zDl!&UoFvwF(LK|Ln(&9JugT}~hM>(Rv>8X&NbM}9euaabrZ*LmSY`50I~#@|vrN~r z+Cd?7h2-SexAO98kRw_O`lv0yey8L%%rI@o)Y{K@$CB9WRVB7Q26XSA2$)~F&h1u( zA$e!GPbvgrzLAx!UMGfE=2%zuU&k*f?RFUEd3+n*-n9%T=?HkoA_s-O=SxgitX6i> z@ge!&%+y)zmxQk8_FwnSGQef+6}I5|iJ__>?V4ccw0abLU%{h79xcbu7FzewEI=!64=2kS0(&o8wcd1;`l||aV@Q<9ypkuu`r+s1j zn$c)I|8!KL1?8)|M1@Cw*Ji+GlK4cnxS3^dLtVVwxY;pt)Gmf^^5|0ITjF>@E%jwj zoryq+uv+}P?5HEkluiDyHtvw6s%5!LY4b^-ehF^ju=?ydwA*sS6x62>XUv`rd0lSB z(GmEPupxx9L(v;7+?r)o zBI_M`QrRn~USakF0tC8r{S`x^XO7OmYoEXiHDuA2zDXI79CBAWi)33Uh1%GmxzqTR z9%1%ZA^00}(T=+?VCa-{Mro#=7E6No>YInez$bX zy?O`kAOA}Rmj3U^spAX-(mS`62;Hi6g*m2jCk)csxRB#rU88+KVTw}~a`)btbva z*g~Tz)0*Osj*Kpury1A|n{|!JOy+opO(x^@W%q`&xV|mo@j-lWbaAhDE%dr`Q#pc24>`tCwIPhry!0{;kvBW%J7gt;og!A z3~k$wH7CtCrR0Y)Z`JKA6ckPQVM94ka!c<>mFuyQRSncQJ&+UJ<}k{*15jFfEu_v9_@;tgQ7BA+MY^?H zr`s>KPm zboGIllBGca5~qIWF^b4tot@8c$u=L;SntkLxkxWpeIJH6w6&ID(Qo!{IN(Zb&et(< z#G&NTV5mTn2SsCGQxFS8O4($+O*EdMlNI*u6~z=}$sq*t7rWDt(A`#gOQ61m@^L6q zlmpR!s}kW;2P4igtvc@%Yn+@+nL#He6n1bzp>Cz+`*8OTCh&6dz%@J*f`T)vpn8(0Jon+>@Uqkk*8;&~ca2mYXH=q~V`fv$ zeerNfwMCj*ZSJ|czBt+GCZkb~sT4(-O~RK9A9&4ya^JxZU3PeUuT8i0+#zxJu%^US zCm8|rNxnTnN}v&|pHJ$BoOK0~btFC>_VeLqs#@2#;wa@e>+F+nx4ycz`_1FZ$sFLU*FcgYE&zaN?kw>^Y7vtTAzKp%w~W)q_ zco^c(_i=!T*b0gel?xXHrWv~G%IKl`i!Qxx55Z{Cz1tF9l`m8&pVI2&4*qtN17 zHLxH7&wrXr7jczl$?e30@?JN_Jhq+DtK*HaEkB&8AZ^vb2ikxU=6hxr?gzIH`FZTm z+QPk58tdGnoDujVDFnI~1vn+L-(aa*Nni?_BP=ZaH11n4v0R zV*O%-eO%c3*jl^HCD6a>2wi{;t2k+S<5g$rZ(aGGZy-;HKAx81u^~(A1|+r z54nF2^LO=mM!;)6FA9yCXM3M{TG+GPM(x;L?5$B}p%#b8P?n!T+JRY6RrRRyP`{Ka z*aOg2DR}lpU5@nqz@^ZI&N;v9ggq{~yXKAhEhx}sf~>i?`!Ts`ljP9Xi}LJCH~)ep z_pv0hff{c9e%kfYkM7P-x&bCU40s9r75|Z@P<*IuwMMtJn4qrJ@;#q?Nwl)PZ?Q|g zrwz@E*>-SX;I)Q4Dou9Ln zD@xKOH!h+GAdRd=lk#f7jZ+ezwZ#`8d5IH+VYSk6AGuDQO5!OjcLy?u{lT)h?@ksD z^Pq0%4@$fY4p6v|s8R1)>|L&8Xnf&Gf`l7kd_k?m04If@cCp{5^UtJ=BB15$@kMUo z>Op-xd$G|cO37kkXK`6P7I1a@g>$PIMDQ@u_}qM?a8*o~^ZByh%j>?q-z=T;jp-YUv&n3GqY+c~rb#-9SH~1u zZ4Xdp-)=6+bh$^Xl=E^roVV0g)ehb(cLq(OgR85{O^ngWmK17Ns2?m7D=p+zA#`SL zR}xJIpbT+W;-;np2}6-&#W8mx4;srJ2a_N5Tdi(*fcaD&%y_D5n}4~YWH^Rl3O@@; z7Iu=||E8++#A4{jQkT42ZHft5f<^ZnEQgRuD{1itR6KqaN9{4S5-hv@j3<87aj~E~1ma~VzZI78(A-7s1(c#Y{KM3wvTyzsgBHHM0jJW?7cFjnv3VhbeVqVi?vJbY+WI3y5 zrBrJ~Pe_(aW+h)NL9+?flsH8K5Gm_cHG@DlV?TDUz+4AJ_K!1FC- zbi6L202=Pb>B{9L4GPVTbDNRD6hAFUFkWzaJ|Rx*j} z1IoHfD^*G}I^R{Z%Pr&{4O)XbCjM5rJ7fKUYPu}_Hrd(5#r~_s8B_Vao%B0TN^x;x z&R?P;M0sA+pmZk+g=}10AM**e_Bp=Bz1&{v)9y84VgtIex^O#cm(}@ConWnh%*cn{ zvL6+m^d7e6?@F>QAluzO5APMrp>ncdy!`fgwJ%aaA*~uc-NC-aSLi~vrIAn2t@i$x!~NO zf!=}W``{?R{vR1j`DU-8eOWbey;DFs*iWv(5gsQ!Ot$g`95uCYgF5+jM$eb#m3)h$ zl}v^+cyQVIom1wmu_D&#CaE=GA1JbFwui21=0zcQf~<=lMukY7AE$&hT~3%uk=q}q z(a;LA=Akz~J`(MfsSlXTue@1$<@wqy^}JB3tt+8`Z#29>9h|-CVyt=9b8xn0VZ2+I z{eCXi6=>7DKXeO8EhZMxdC#DXvtqbOv#Vhy0BS+9xsbIe0gKsjFQ^<{0ez^#Ls;Yy z%jSFybPCPB-*9N~m`~*;+#G!Pq3j#vH&^>zS+l0#!i)b&eEq}3KbyNKcoj5L`TOxs zN$}eDwfl=rxR{>_mr}1Lq%j{ZyZ7GaMyE&EKuf??!Sk97Q)K2(&b|FBA{<%7ruSJ@ zdqtNrxeS~3!juM)-j{dj98V|>XJsTbUcz0#e$u6`AG*jJb1&F3=+tp1;dY*6?AX-= zya5vLYP8hc6KH-avFnAH@CixkgwU{aRy{iUd~QfyuIHePk8?`LvAW_wsb`wr9`Pn7)s&;%hw9RJ^9nP@xfYDu z`0K$`|1x&7GMFVsu<4qp;09ttt%<>CY)H1$$1X6do_lgWGePPwwb>SuK?|iFsNa(p zVm;)uxzUtbSndJrd1U3RdMh(Ri`}Vqu~R`vkhav(m}e~Q>saYgH#`Lh>Z@M>fI~>m z3R%Dhs(0lby+j+mx|8vRA-RP*49u^-yuKC~S9^Cfh0T;t6wdwjGiwGkyyS6={JMnir? zkf&=2L@Vo&O2h2=h_{AHoIiLCZLkerOoX{0mTf9F}`Rrf~Q2y>g3V4tIy(XP2H)T1_>)LB*FIjd4V*gF3xbp14P7{Qi z5?0s)D`o4c6Cnk=!SPETCiV2Uydd3)HAvo0x6%iMb6mbpLEDCl1uSt-%Xz+>K-#L* z(L;%O&wM)J$Ghi7PYO>_>{o&8;2|nKsi3dt2gdA)u}xD+6yh}1jxQFQq6B_k3zn{g z#PwCw*3wg${4;8mXBcTB`{j$a&3*Q-J+uXM(y#Kk1G?$2q>75hn4M~I5K497;n_LN zl_!c{)zVW-^zk1c+a74!+unZm9GO&5x;685Ql92jQy3NkM|F>tM63Hm=-&nb?bNW= zOHC!i5jkD}d#xlZQ^8|Ar^3I1K?y|4Y*YQl zt_+G-IMB5QowBFT6I@=R@dQ2#iP)Fvs;;n^P*37KqIDl+0q0l~3JLO-bVX9aUfPV0+{#GM(0t zMjh4-;p1Pdb4^o(ua|%zB;g|H*$h$+_q3ea^XO-)BG1Id?wf#{6hZ zt)0*G>Y0_#3e+x{_5rQvs@v%c4Z^1CzkbO6F{FLS6kH{b|{L2lAf%o)=J zy}PH15%8AzfOau+sq>-I+_vkHITL`dafplyUUUZqT-Og?puyx2@%kz41TwwTh zYAiv#rThKOW2Cs`!nK7O5?JoddTAn-r`VS8Br0=$GaK?HGk8M^RwK`ziRw_71zq%l z;|JD8HP%8S;MtQ&&I68jHK#Ye1ix;o1+)&Q_C?!-u#K&xRoiCwl*_T@|k_+5MA{>7@U|AwcMO1z8J*V zWn5Ltqm`;Jo++sql18<;J!S&1gj)vOFHWr^naqoRGAS(orf+?K<4!6OATAt9dL2?Q zgUMY>dXeU6E?%|qa2G&c8o#wS;_IXs?v&z6AFl>izcGEmy`0_EuZ5FeyvmqAMG?G9 z67>(+WYhBW`zYJ$I$>Y*m{2KqYr6}TD`xM3ewnN3-(B)IvL37*^2<^Z^6x{-F51n{ z`5u)KJxkp9Xos50$k#tjS*Hm*walxmtmw4bSq*5?V!CRx&F9W|N6WK=3VPpvpe1>j zOcv3FOjgt@rUc|B*{ak&Na_wt`#axy1`wt($>Jikz^|E%+S2u5#_`YxU0X2A;ju25 zK;?XdmL4?ri)6dk^HR+C(&24t%Fc0TTY#EQgEGE-P8t@W=YYAfz)O!#>isW3NY zV5AU1Lf5*+d{eUzH6q{uz76DNr;ce2zqI*%FdVN;&K&khYm(Hwh?Q&{ObsdPw5qss zRJhA%m}=Gk3-ufNezDXmMcEA3RhFO#l%F|rQ8Op4z`p@_(O*?b1Xbr7t>LYDYE_z{8t2=EA0~lhx2-}!@dEmSdKJvc z4O-12#V~mle>=Tw z!zLpkBS`E@GyPcjE>9wgNBa^B>tL)!!V2TWyEm{?Q}BL@JMzc1Aw&>ukLP{HOQTT^ zSwP&btkA>QP3j1``qX(Z-7pG^ESEx+v2qXbv)4qJgoRSEp42N^q-gK}>odDiR#4q+ z{ncQzj)2_wGuvEbC0kZ`#L&>ADsous#rU?wc&DvsRbR?=vS$6onN^Fz)lOwdSX7q% z+gXLB8i$2v zzO-ti>~*4S;|t;L^(NlsSWf=A2Bk-gzO@B?5J+~0kxlFPDig`@q4^qkSY{M10+b64 zFzJ(DsF5%mcChGInXP6$QU62oLf;VRkZ70P zQCs6RvUzPa5*!@RocPHm|DDHaP`8eih@H-mkXMt`83~P%yxp;%{RaPBmW6g7X@`)! zJ_2ZBOfm|cq^9@>LS|hh45~%wtQ*LW68Q*Bz3op5GM(qE^W0!t=mAxA?*cppoCBm4 zh+jr$fwjz|HXz2Cn{T)sV87fT)%H<=aLtAwiqLEcITAvzM+kGLmxv++wShxCL4su@Km1cJa>c_H8!^%Y~5=(S>cfdU#<;@ zXtk0qx)ZuK6T1!t(qY2*t5RBuoQY+^%9rbI7n#IUG6`K70O_ltVVF}h=gwC-Wj7I| zmqp&|xK%e9VMKq0vi01()31d}n{*~3(H2ASe0zfuA-r+uK&SltJ@!}taFesk?RG)3 zk&anF5QVcf!x?^6!y`?CacNfh_{zl#AfxGTbcuEq2qv@BQY7&GFR8I<`lj@Sk=6`9 zi`(a%zxKuCuoYH%DB;W7eyd8W4}9ynw3w39&B)N%SPhIjBT?BmDLkEGY`s+KlsUhD7ok!h0)BMVjB+lY>V!Z0gO!{zYQ`KWu z))Xc0bJdaxzaI*DiweRp5A6$_>}Y-3EE`RM*yY?SVsZ*;H0w>G!QFXID>j;9YU`_Yl2`tL8>gU`|{2_SplY0IY!OT{GbEfr%@ z5kFk-ove*T<~sa#aN}Kj0@2UDk8Vwdo2xK-xFU$n3nkHFV*Qx0@~~tz^SF=1lfNno z$$^T}9YMUOFZIu=@nNroH>tF2j;{e2?YO5X6a`2ISCpCXmCD{BtgkUrW$kXpF|(33=Bk#KCLJfqX+oa$Qbp<6_c5gzmHo934V!AYXs~k4I8oFD%#t*X z&%nPxbgerKX9VBzE9nbRaNAfYxblW+m>V(sbf+UXeA54S>qb&;vds`wmAdeu?`$1@ zcKcnE6pOLiTO*yYQL;IHX=r)o5&&McP%pcV1S$W7pd$LrIRvjH>Xo`k%#lkhtT)@rp7?Mgwv*^se{ z{i$N}Ag9mjPqJ^FuH>mRt72}FvhIoh*0}4PrSo&rN6hAPkyGbbLr!0cq+Th=))oiU zRTPJoL(;letuGOp-iVZ-(hBO{meH4k`O1^iF8`9hb>Bk`H4QKt?62bAZM^hEu$XsG z`c-Z67^Tk`;00V?-#6S*$p3oaT>+vc02sn^|WGDreRL)QFd+Iee?Wt%i98?1q-4LCJtMk&( z4+aW*{gxZ)9ExQ5k1fQCMoN1b9Nr@I z=~84nw$}V!pOg*gSD?XqmbK zD=mk1ZWqvtd?r9WDg4mTzWMdBN2T$HL>WF4v$VkgoZ~G3l#|2A0DT*6nxS`we*{;* zHDcD{u={|pnceGEC}xl~Ij3OKex%F=|5w!$V-#&K_!Aq}@kXFb5ex}V90 z=EqKdru{^I;MDV!*?H&DY;7T{G>Yt$;j{&Rv$T=+GVbzpYaUq^I?_p0{I%_d?v{jB zZw8huMJfuQxNx&=_f#U+8j2BHJ>(OpLMb)DNDM!AQ)yPcMTzPl%BNN z34}WJsEAL>1jPId{hJc`mpkN$KKoM+-;iaHO$U;LuLo$YrSs)W>fQG9^6=i`*}!bz z@X^A&UsTOn)ZMLjjtO`sxcpW04q$%;)?vlry&D$?T(2U2Yb$kCmfheLcoe_I_kNBooH<(X( zrS;}4=VhhQ;@hTKnzkk_rmLNVlaiwRkJ?3MyHp02Rjzjt00QNok_AO1Nxp(K#BAQ@ z#23pA^M}e^>rT{vej#&n^2mIxL(b8uCKfHrB;}P&XvEE3(3b2XDCfT6 z)0`n}Zo5TD_acG0ES+;lCo$OYv_t*o6F+3fYrHpx1n4G{HV5;eMu$(i2QUiGRbB1? zu_n;h6{PI+C?7dTd+FBZw$IRAnkDoQnlAm%GSmyUD&^8xjEAiUKW9n*@}nf)w!B`k zl6?1Ib1`m%LvA=F&L`#@RwZL|sCrZN^a+3G#zxrIkkgJ>gn^o_*3*_)*J5ogFc#_&Q9c%nVr(ck&6JsTTmxo=J(XmyT+~+n zj-{@r%l!xI2V?9CaIQJI+DVkGHAykpj(4fw`aF%;Y$7heG#qN~Uu(+Ul5_pa zvXJbr+#Mz2)~b!JfE$66Pe2_3V{`RS<7sOH1FVfCXi3jXqpRJlKu`5=YPnNe_9j#8 zQ2ll8uk2Eb?5G}q%o1+1GqiFn5;amHC$i5hm3mQ{*Aj?fqf}-Zga+ktTApSbOWcfd~`7M@chX0B!iz@y zaqov(9`cDq;Z@=aQ7d)QPl{>I0cE=58=dMUMg~0Z;nP>(L>HI1Ew}6DCs!ajNf^keKVW^I#yjB5l^3!s#Osv5OTS!u)Lb2T}=eox3R zi^D)5=@peoJlcf-ic{O3*|EqkZ^Pxpwf!XTwTGZkVx`~BY=MIC3p3TW8TS1y@6ID~ z9&I|TYnn(Po8UjxhrE*Zg`+-zIj~e-dqpX zwK1+MFn!uiXGRI0vKRs9s}r|{a%KynI#fDvCkkBIA>(@*`X@`)+v@!Z6?eXK->SnW z4!f<#6Uy?2=1Kro%gnCiStA^D;|-GPb^6q^8|)*-@CaGGA5^(G~~1 z%XTqT`S;uY-&=98al}N1hPUu;YnO9;N-gb7{E}p@`CvzAc~{^Vgu<;YFP*h^enYEi z+3_(>X_@+=-V=xhNx()1(E%Dd|JxUehfjKIH4YCFHy-EQan3r{SfIqw7c(S*`>lZt ztdUSRMdz<`vZn1y4%IK+Dj)E}zT&uQ!~`g>!Pkx0$Npw#hW{cYXdmO|i$k&d`CG4Z z0IJi;wS>q6;rc$ai4x^j>(m#T2X-SlSg`*wJ4YX8lQ7lz{XY{Wf%75GR1BUvfO7xU z&46qojgwoOG<`Rj{@u26o8_)3xs?EmMZK)ZxjTfXsw z$q7mpf@L08yukC9MQ1-}VehGIb@i~Ug=+<&NTbL`G}NKOX!Ikk8iXj**TWvfHTKVN z+1DHbGMFze<|2=Pn#&wuQy;w+X-#Ii7lscgG!L{yK%YGZX|qrz&09IZl})lKbofRm zl=)`lCRvI}{*&?o?0?=mC1Z}>tKW9d@}};}((%q?=UZvhJw^Hk|HJ?@4uK2i-DZIO zo)|4Qx%YX;&gjLzSm@n?7@4P4!VkRb?RQ~z8|O(O%z{%)ip9E)+)wPzH&$#*35u2J zs+6GcK6AK5#dq&faF3lc>y(hWX>pZWWfrCk!OZeXwK_Qzbp_;0W5I0JEG&!B4TN*U z1{ZN3-YpFT9MmfO*Lt`2C@tsacJ6jUj$*qhh67e)C6~T}p|Q>Lr$UqF)KUpn4lJKn9z43Rf5Plv>4Ar;v8GX zj~9ggcPRCrMxSHrQQbEp{_gVkXdrU9^YbA0_w8Y0cgBCWBedDV?i}XUc53-}^B>s5 zt?hgKZ@jVJVUNyhv#IglR1QBFBJ3}%&6etWuzL?Q>tR F{{Sv0-H`wQ literal 0 HcmV?d00001 diff --git a/docs/_static/chipflow-logo.png b/docs/_assets/chipflow-logo.png similarity index 100% rename from docs/_static/chipflow-logo.png rename to docs/_assets/chipflow-logo.png diff --git a/docs/_static/chipflow-logo.svg b/docs/_assets/chipflow-logo.svg similarity index 100% rename from docs/_static/chipflow-logo.svg rename to docs/_assets/chipflow-logo.svg diff --git a/docs/_assets/github-desktop-open.png b/docs/_assets/github-desktop-open.png new file mode 100644 index 0000000000000000000000000000000000000000..6557bf384bff5099b42fc850c6bba7879e3c909d GIT binary patch literal 137483 zcmeEugMVGk)^Kd6jh!~OZKrY5*lujwY0Sn>8r!yQ+qRSM^tpQ4`}Y0?-^o6|Gkf-| zJ+s!VnZ-%4th6XR3>FL!5D>h$n2eI22u{jGP*7G}P>?{@ z#?sKl%m4^TEI3XHQdwaDElo|H5DZF)PhwvRDGG&80*d-NoPdH58c8A)n!hKUs&su( z7;Gq{RQ}x(Ouw$6hP{E@v3o^ER`ItZU8Pk&pvGg$wdAv8&bODnVcxwI&bv`upnVOu z0w(ksFanuq+Hdf;MpDw!I#Dh_2%+9s;}8&T-s*$F;RulBaCM%vWo5uZ3z`nHUtg-; z+R&&o#b|(lf&pNWB-iufpoAic=^n;(kZ3Q)>?l|>fg)!f+*C+_u-qe!-a|2DBH)U^rhE{n0Mq3Sa=*MZgd)@gtAMZeww;W7 zJiacoV|dF;eXxBUvwJ>^PAI}i>%#hSL!}~C%wYJvPL!Ro zGgcXQvo89&pDd^bn9sVxYFsloQ6(f2EROptaip!zC=lwV_~kO&DiS{BHl!cF8I*Ov z2UZ5R{yZ{4oSbU!2SLj2hi0ds8-h~g0nn`gv+yCqZiF#cYQf$exC@zwN`Cy<$MpB? z&^U2Cd8r>a;Scn}{Z%f+krW6K$dE`y1d4&JbGS%p%=$@c=)PgIn-ME?Q|MKADU(El zJr!M7T*9fy9!I)sq`9#<96QB-M`!n=-7)G3{9)tIjdBk85?G<_P6SP=qb&L%9ft?! zo9sKJA0fD;-#$QFQ{c_T>^}7s_HH^%F7Fe%E^EbN7jKUcgeRbP!$(l}2Yyq?jYtr? z%HC4+*o<3v9ygBTz2`wfs3!m__X3%4BU;Ri!_18+U{;e%Y~!) zqHW*1P~T^Tqjd}&0iEgx=~v`O(>ZdmNwh#lOU#EpjfgcU^Emg=QIe5Ic^cs=X#XRW z(HeB!tEt_Yt)4bz;eF*YVOyMvPT1tD8kiP$CLw1CDtK;a=DnPmNW^sclohU=-0tJi z^A9&E`~gWP8E>8nUd zP0;|BJ>@MNTPphA;SR1->$wsC4B>TG;qC36-fETE2Q+_%zzZGNX2oJwU-JXl>b9JZ zkW$vV{!+k83xUJ!>3ZYU5W!5FYqTBS378iNN`U`e5afV1)-?}osV(Mr@Llho46s;l zMQ!dMU}_x>vY@wKpc&BBKB((PR(R@QN*(6)E{z?w5e9 z$Sj+_U_={YRMDY1go#05as*eAAH^^$Aqs?Q32XX2w&`p@*aPi|SfifA5HCqD@p@$K zKSMGLl#E}HVee$<7;|yF9}zOj@;9b$1S#PwnpiO=b^+mz&=hVO-!tZgpkodVPSi!f zz~0i8Q-+E69w-M_CjSzys0XhM>o%HDK~s$G8i;BQG1`f{4ujBvY6Z-Ls^vx7M|pwO zjLhq=)qA$(es2U4rY%-Z>V)FtH{kcFlS%+OGo?JnD#!|}RdU5|PKYQlB1U+nPV}jR*ALXcl-%~ zC_nP!Hjxc`L%3V4Tkx~rvv-zwMUInv7MZ{x&Y;8~f&}aiNN)0_ccE}io{Pd(!NPRU zG|X4f8>SnCo4BN;q{O5^)zBnm^C(sSMV^|fuXi)61*Szy1-3H^Gw%;6ryUFMmD&nk zLqxJwCZ3JStKAl1RH;usoZy|5KnQlp`Q{T&EB}zoo%mrbz6G8tP?ux7Keg|FZS%hmS`OWLeW2}g;os!Wae;+IA5MS&CDlaoc# z8hi%20CwLYB)WJy2RdoSM*AR+Sq`pLvs8vuZVp@y_r}FWn#SP9D*Gb4hls zeZvN&`gq!!dad|+OaY7{Ooj{J1LzZanIJYrro zK$qJ`33|2TJJ5WmAX@b7dtJibhf?FZY9CVzPLGe$&03XiICMF5c@XPmYZDhth-Alz zwDf+`{F$9blX)Z_jVv>o)h?$6gfmrDMJ=49t+vnFLOqKrf;S zkiL^LPCU=AO08;d7t{J(BNp4CWF6k)g zTj}H;agTYjuSMWYYDsaQ^mEB)Do0@%3ePy9-soF6aV#bm=qB(35wVyZFI%+Al-4>4n;*dM;d zBrAxK2a|IuJm!N*tMKM>&M?<}xLN#sR8WO1Yvg)VJ$WH!Cs{FVhH^KAvWK=x zu(HmuZ6J7XA&xOJIkt&4A|)Z-Q8|lxASxwEhfD7^RJx$0T4<4Z%69iL(Y=yxL7JT? zox*Z-&YSn~1O~f@HRK7L2V4={(&*zT2b-E{t-iw(P80jkE*Ci*#j_3#j}l#-dD=m9 z&(-PJ-tby2kV<50hI!^g=cfP4Hy`vFsyv!em1ol^g^8rBw)hX!Cgdy>nxCJ~lh-W| zC7C4jKh7n%P_H~vzn0uDg&UwIn5*A5d{%yxOjJ;DUg+EL*=ciQ-DnCqgZQFfysvyv zYcoW#Nik4pTI8-YS3IGrP`|se7*rE>qn`xt7U{c~Q0Y=H+hl%+d^YbynG2QKL1rzD4_K z3pbc^^tt^t(;>fOv@$i_LEOQ9rh7J}(7vpsgt1snC1RHH(A6RTw6&g8TgKK`u&cIZ zxW(Y9ou{YO=xOR!ixbHqEPGHuhJH$TsSWEB|Swu z<1*pACtsP(OE|Jz^>^eBCpNP|`(Pq&@DuRPxTBmmb(XGcmq?X8Tm);87RKhE6D3F2DdhM_OhGHG4c&rh-!T5Vz&3)rC2fq=P&uVMg+N@}G)O8~42<0eo_H~uI&B&?j zk#%Y9)}g^3w*lX(?S+5YcL@<3F`YM>_iv`UF$?H;* zJ<#n5P&>@wlmc*29x&1w@OUt)=;67F z#rbjpm5>w5ecBs2H!bdSau!F7$Ijh88kwR-`~IyKMVK#MG^q(az`lq#P!>0ok^-Ut zl%asYfl+`!e_9=YKOkT%An?D+KtK|}*#9oe1C#x;4G0iWpa~GzKijARet&)<0bc<0 zKYu}^1Arg_cPN0bUk1p(T7zR{fc~otN(6WY#IGPIE)Mur(6ccxu&_0@v@73bVF6S? zTZyUI0s*0Y{P_hIm;Z1M1Ozf~@>$tVS?Uvqo~1dBj=rU?0gaQn)z9|;aXE1SislA( zIs{JUW)`*_PTWL)wcr4he^%2H5&YG}&Xk)-SxS~b(9*_$fQ5#RhK`5_hJb*8%SPXj zLtaSapWuKyZX#nlJ1Y)aT1Q7m8b?MNOB*9vdUkepS~><=1_o+C3u;?u3p*VrY71N9 zf4t;h?-4Sv)w40NvNN%?Ao%%S9bHR%J8mMPpB?@C^N(>FIGOyXCkxwuq6I*Z_U98? zdKx;~{|n5{#PAPbKcD;q>@U3j(H+;%mvP9NI2o8J3z?V$NDUYo4?QCt3)f%${MV!Z zjPxI%inazef|lk0NIRbYOx8bv|NiiIz`y!b`A?s0bPRv*@^4T62Kf^Q4tWDxOEdeQ zG*q-OvEu=N{a@9;L#g}+jEA0y>7PJ2@xnhC?XOw@r}4mW(f*rjd0--JWrTo$_<+QP_&+-VAE!drPYa<3Ahr<0 z1lg6}tp$YmOuiEWC-->=D=bv*MHUj|Hacw94}zAv@HaQx zMCRn-Qxdh)mVU=HBh~?ZLk4F?6Rpu<{Rh#+aWOBjKVAgDAS$S0LpdM#K>m1TK+78I z3ljjN{OJ<=4&>kKhs*%_#})*@Fk!`@f9!z|1R6DC{5ze1;r|#0UuKm3|H4EEkarFWtW2!`m1cLt4_2HA3fLJ1W1m1oCT7AMGWc(AU0QDK; z{T%-T%}6=`t)PkZA^r!o-eIXg{tq-!Ncb}8b^M0^2erHeh>!kEh)BLp0If(uBQgI( zDnLDeDRBP>n*X0E{{n#u_tSVsjPMoXF54IbQ3D||HlITx+ zS5B-KGUgn4$3&3dg$2@P*1*`Ot&wjB(UO4O-^Q7N^p%&-$-q$FW3LG0y0Q=E zHBj_`g*W9*+3t-bBr>kSce&gy7>O^jlyr5x2Krlj{}(mddA+N5M~Iwl4Pv+&DhGFQA;ER^ytx)Wc%Etl6bRw1 z9_4y%gw%w$Xgb3}XfV#C0vaif?YEaZ$(@zEJwJ2kA)_?wfX_uP=E_c$=scSBVrbN_ zOjK$?7;h$-)>|ZqXCrF0vc_;l;EAp}7y0P}khXjN?<-AWdf&t|FXproTn~>9^3$a= z>5unq|7&=gq_R1D(y17_TbInP+o34V?%%?YFbQQlCC~>-{3VH1NwU{OQ|aGOh}!d} zL@(?QmxWo(S5uHak&ygG{eRsCNdxH;HzVsHVZSiBJA{Oa!JdhIALx_8;{bk>m+%tU zl51{qJc}nyJ0^d7zLJsfX0-jk=aVfB>ih15>ur7*N0_@~NLag63N#VFB1tP z7kndQYCPwicp-ndDvK+XrHTH7*!_^~r9fuq!Xm)*Y}gb2JuQ?h;=;-s6KBE5(WE6s>244i(}Yj&SJ%#a?L? z)yzJXr0$AR4-%?G?6csv2u_NNPR=Ca#eMyNzWszBFQPo8{eP35z?%R}nw>r&yw$mn zzaltHSw+N|B`uP+DctdVoVW7p6pu=Uhm7)CxJ&NN*uhK?u)gtujF&*RuE)Sfbd>=| zw|lvTCdV>+Tu|{ps5sB4d{-unWS^KSE@A~5I-9XyV8TaV@#wwaxUpaGll6OY#(#)? zX?^@^GFKZGcu=V5DY^Cv%Dn;iZ%OrA0ZMv3bn~J6V4>dZ=JdyE*tz_$%jXswm~b^m z=O-5-lN4QI$UtZhHxw!U%nP!P+q>GRt5NR0F56{J)a`8Wbf-?tKk0l(DL`DV&RrdYC&`Ybax1{3+eI5`7((5j+`YfNTPrfSNn);aIN?xfvPj(? zjgyp!r^@vBn0rs&vpvixogm;3V-T=Ok_&eoqeyzrI=WNDwJDpfGM+mxR z>|==J#b!^g`T~P|;pc%IGg^6)r8>(5t%SF{p$d@;tro))3k$6rD;@TS?JW6fV`TQb z6x;3VDe16SVS$aMTJxB(R%N22*^-2jWY(cxspOsY4&TO7v@Zr^syXFo<6|60!+y0f zDkw%wmZX8WoQ{KaYaaPy>^OJ!hs|B~-6@XwakQj+>7Hqf;_`cMstxk354)W9!>j`& z_Q!Q*t3)c5`f~QAKfXUIZB$CybB7vr1tQ=^pKv<(edNy6<{wB~ab21(*U5Hkvnwc8 zx$><9vhoa;Vba5$pc$aHi5` zhoYl`{b0YgVr7W(bL4Wv)T58JQJ{NwE7njVasE59$Tcd6w9RL=+G8bmYUPqrNzbCA zPBeSBkoS~%r7}G?H_&qhRWs~~Gj8$l&2jzER>+a^t=3!su(3hNc??;SOJg&<_@hWR%$*&sM2d(%d98 zojk0RokVDkSL$R8$6om~-Cv|006V?=`&^Hf#>r;!t=JI!Ru~kc9AXKv=i@8d?HbnA zXy+^R`@x;VB8tJ2k)-~bxz7@X{TEYXZT)JN5eXNlBo7pjeyssFmQjtYYv0S)UGL9z z5;@!hJ|*)=UY#p=+3XBTq$XR$lGLpvnSfszKSf0~uk84=zwFjKZr`x>H7Gg9TQ94v z*auD*I=c&H4#kj300~vFuDPfgj=IR4dv`==I2?SG7oBN>ID8IV-=15QU2@JltJ0ak z_dG^!I11m*EH7(&_)_(q{%S>5IVaKW;Zi(#mU{YO{`2LY%=2!m=bK03&FK@vW-k3D zfU$%Z&B&VVhnluupHAUX=WkDzXy2C>{QY-$!W zv60HLTA5vL51>-IOyA5$SRcADIWO8#+lYj@+3k$5&dkpBetUJyOy3XvbrQ)xuD`<} zggRYxW&32;9W?E1lgm8VLpJ?$>Ri{$&DLzi5XdsE-#WUo^nHUI)@5VkkQJ?>BBiD%ch>RJ9)`z=yP$HE?DbrRndJHn6w=`uq98ED}Ili-s3(TTO8WFd7^RA0!&t=!q7|4P2$&>qB_ z0Xdo5sMPR6d5+XQICOq?KSAq)?q2lu;+sBuT-SrsVYc|ZQ1KC8WY zX=7ev#IUP?!9Irl!{<7M{KLS>pX5gsd z%sfz%P}dMLZ>T^R$<%$xa=nZz%cI+Xh_PJIne_yweWcD zBC+niRoj=oPh(vAT`qvcdBycMI!%T*TWfKP8nT-LDjXKw9m^8!AjzV$dtmZ7JiZki zTy0}~8p~(v#{?~`P_ol;QCXXufUq9*a(4`#2|?}h-MZjqe|E$X$teG&w=A3v(57N@ zz=`Ajw8~tj)0J469p=bVLpme^P9)9YGd_b^J>&cxSNKAhJyyTt+S@v3STK~#DnK_X zID!k;YKB1Ucag{mK-VS;FkGx#n^DdCl!~XsG7kwAi`2hKAJly3xWBD7ZfgO$iH8x2 z!%T8|*GDNS;P8n3?eKU zrcoy&>hB5sW;_?^2$nh?m(4_emXioYjC8ju#K&FYNdAI1&yVb~hLYhfg?N4vnBI2wdSE_Sbq&F4?TNjHRupG^s zr!9M@P~-}z&q8K?c*N-%a{ORgnAE`9SmPb54y^4Wx~A__b)%*gs&58T}HlA_rqbZbsxI>=Y7M-*r*WY z5FS(w%pRcb&J>&7EM3a&pti4xMA|HOEW5RNblM;~;9X}omA`ItybykidDC$6ws#i+ zHv~+QhiApMqzt3|_1jcYYe{2AnYo}bHw-o_Co1!c#ZM)#kKe&yNiw zt)8^GkcTd#pl-=S`7wL(R&ugn%lA}K{lDy`HL(2&3~}d(_`-zBYX+yP zOc{n`d$)6*hwGRJo3Rz@T(d+5!U|yPi%NHZc0FJV`Z&Qz7O+NrV#Z(ugaR5>E*^O&qaR~I5TnU;I2SW-cUrP*y~kemza8Syv zU4#XL>7%~88R0FzzMqa4YEW$*OEE2Dp42qx8{1T(bC5XxaHE9tt!l`+Sa^{Ee5J((`V zfyvh@L~ox3?wrA(lda)0WT=bnyOXx9=aZ|!gbyOPPtKA^>vg5s^8VV^Y--xjf4PY> z=_PR6B^TO_o|N^trW?Mso0851B=9&fE*>v2}Xg5brc%&2Y(Ws7#l= z@yR%efM+<9KqQr8YEBYbydzhiujQaB=JS4jG~kKu`%G#Nx8aO2vaaE0=x@O(;|$CH z$twtXh@f$KrO=0+Y9$tWc-tiDDmt@YOGpVm;rHs)nrncsaRC1xy;Y1Vo z(S|yutQlUE>+D8Ah$&PV-_kP|X*y2^leWh4$H8KjBk~QtW>b{%`uDy{4^Rjdf9B91 zFN^aN@~v(S$|}Z|XUJWFP&}V8zU4zd#8a!4WRdc4eM-EqRad-_h;i>G7ZOz;5{Yo> zz=HJU7CtJQm>nbG;ez|T#~v<4rBKpm z!JP{O(`YBj)Z}Wb@6>9wd<&~nd2tr1r_z3U7$><{AUMt6mLX{8l=@n?i)6%%RD&2; z#zdTksb4Ngji$$+S=T(!lUNy%P#_TYDHp1q&K`iQpKfbmXN|E^FsT^N!+SRdN+Sk# z-qU!~`uHIypB^T(&Y7h2CnAEBFUTpC})6FMUJQmGGpGO;J^+o3aCs z4A16P#pof#l!#xs>IU_`F&7EC%9RgW=#52FlYP01T&o+qbA5K*f=d>$Pt#D3 z!LJ?y@2ziu2n&86w$zB(2;zjJYBO>E<9zY0aRt1x*X}s{;ml2pKPiGxV}6=jCu%s* zHDm(j@NNmHb;%VmVvk0%>(Z*ZIYflV8WHBBeAPnCN~3b}$HmRYF4da)DT-RR$A!Jq zA1)6=v~9P3*_IMPz5MX@7`_7a<~K<-rx|c6qj1f{Cv2k)c@ku>UjdRZt`xZ$YtOTq z;H$$oo|oaO*IJP}m(FJ}CNtSJEb|o(OWx?&pm07X;VxI2A041oL)nO~(fneeyDfJe z%ZS`2NaHPPbM>R3>Y%QL7U-Mwv9`}bWvmp5gXnXRa2Yu z9*TfO2J_&?-R`4{KNS<8*ofFeSGbZ7?tOJea zfYv8ES??vfNlTG7ZCyV2C9={~{L}rShHBUJVFo|;>w|q3CutFsMKhug5FY$hJ5KO@ zC*iwN+ylHS_4B9!Kv2c!AhxfE6l0@KCsg*wE45$XSz`WTrtXc~JgH5dy>)KKo*hk@ z{`a2Gm?pLy&ELOXo^qWUmudlw61gcMRp<^>-`aX=yvz#mEs;|*H(Dh{-@SRWlg0kD zI1Hu%bJp2X1B1hGbdv_R2b(Xndtw(-Y+Ru$bNbg)!QS4R%<8Bdj)(i=;U3P2IBe_C zV@W+8CvO_iwfykDfr-ckQX0NWBoL*Uzo?Zz*CH3#b6ra)>D`-b65|+~Mq@!;%VKkQL=>kJ=C~TGJv5kIGMP~{$5p)e_m3jg%xe~_*R|zN7gXBoa7?N8SceHC zc!ySP>j_kxFLq}Ry389=v$fefF)B`?6nZlh4&GGqZjSf<=<4g3%pP3<@TbN)LuG9Y zBxdXr{#9|JMXo?O=1X;ugJ_+OJ(OFP4sezNZ~~jBcXm64iY~I)jykW;l;+!C9i6t+ zup50rXtT>kkh68~5D$juS%PG6?Rg*%dfOAz6W`YS(GN>8QdUFir8CvQ-IlGAY`rjz z?nUuwLys1@C72)b{)=ptPyp{PABubENOBwBU%ub>nmiMM$}eomjDZHb%HOZN>jpAx z2|z`s+p91VG4ZI7&{J!bnKwqnGB09;HOF|GjrD}qgy1zbSXZ2Un;AWHmA}Bwu&fAd z8Ku)gf73%Ntny& z#BUqQPmv$CoDRBZCo;w_I31Rz)*2;dD%EW1#g(F^t+>XAskoA#iRG4~RLQD8MIjbj ztFDdUtp%?2iHD!dQ)MoTVeM-{jeqA(-{>zAYJ+h0xz3mi;FHX;+K9;!-?~ql3pD=@ z`@7ggA_aEEE@oqVDkBv{t>bBNRTVvSD?WK|^Vzn95^ zkXti9n$Z^QOZw<5yue_<)#QFYPAG!`VirnA5T1@)SAy9HVmf!ZHn+XeB?^{SXVG+@ z_156KsoJ_c+3c~EH!|Ct^ut5de741imYK+b_TgYp%d7}fD+G%mU8w|(gG}tTEsFZwhI9?`iayX4n{Tkx@>`-`P z*}}~;6}zAkIXv8?jN;de@==1#k=5jCU*Ub9TRz8Zlhv@It=jO}e1o8j^>anDdmjQO zua11@618fzai=rbh4gB*NsN)}UJ9;RwW$n5xR>oH&r-!ZcZVg1CuVk)tkuD`FU~OK zt3+l*D33qfoR5oqyzQ1*PE(nJk(~Dh~t7 zVsQ-vOIq+E9iQs789ZA|FugMnLt1SS(odit2IDA=yyk;NSF|r4 zxyU=&amHrHr{~MeSIzKG8u+vGJgT5@#vHhXNY0D#E;?lk)Y>YHtgxz(-T0gx*0o;* zF(BLvtQK-@4tmgsocB_R8*D!2ODCOJOZ?6g1c01?QJ3+X3sFVU7fCLu0|*2>in!_i zatuNiL|X`h=Y2wtna8&3RzWEdiW0{M@E3-ga- zzT?SJ0k2Hyp4K@TXVTdDp;T&FOQWI9s4MyUd2a$Yifos;^e85Fm--fzj5{@}4BFE2 zyj(wC*ev5uB~{1JK~Gg8;vG#i@N?ApZLx!{GT+jVA5^*zK`1Xvq)lEbZV) zI)<^rC}WpJ9r)2E7@s=LPtCI*DwfQem#yV1+_w9XF<&>y3N)`o5*h3tJ*D-*s)oBc z-}lk2a!qVw0!~{v)^eN*iO~7xS1d(u=zP5#e&0UzP6IWk4wuV`?vO-=r{PC4!|q3C zkN%8y{5r#yr&c?FBc^cn$45OaXN}vlHGF$Db@AWl!2@bMdjc^R%9;+u6yT3EnBGt5 z(#;rHZ08r;hTgfsD0}}|AaAKwc)xA}ckGPe@x*pXoOB@>t!XP)w?W$*WY(j5*LrAekpto;kk?+iqtAnJ)Yy!acKOyX z_A@t@2NAp`Wjzb{!|&U&O}boLW!``p+;^!kS)8=L8#xY#F@pS_WK$0iM0UW?jZU6V zwUz5J{%Hw~bE{60H^KH{pBo!>$Eoa}iG*QXCrfHV{f>j*5oj;fo}|qq6)+6i><$-* zUOT{gtJRrHC5;=SDpjKvh8U6lr$x6u0(t&`+Q$~iJHOu zIWm7p58&Ky zt-fsvY<#rpgUs=GQM>jcNGupGAd`&hTt%dbf)EQfdaCU(B-+u#hNDo$4~e=IFS9By zk}D~E)k(&1$MGR<#x)vtn^T2zVs$u~6%>KP|Ee*@_p6c*j1na5<<8Vgw5|7|Vw6g* zf#*Jtib`t^@Q>d5JwcZaOoUi$_^9Ry`FbPh*Ne( z6q;l%g`{t;7$u7y{B&(fCrtu2<$RSZ&-GS^#@3!K_eR$-JkvBx-0zr9Mogf4w|$}R zJq;L1_&j!zxBA^by7)(C&rHX;COKP6G^rl1r*huwRbbVulr^-ZMIp@HyFZ18wZg-` z>n}@ILOfm*ZGCi$TAo0Oan^d));4Lj zP^psq&hz#Gy@Dm~U{=sh2)}de`p|@WNfh5x7v6~A_a)j*1P~%`flC0xbhMG)B;%rgr zg(|Hj@tsk;5~veKu|q4$3k+Ta#00k=7wtPePVo2l2ON6RJzYA#el8o>QaQK10Q$ZHuw`>u84v-5< zv_uOfhE~4w!NZv=aZ`RgIo+*HLCBILBJf#&H_Yh0i1y1RWm#PescxtjKJ)O6T{lSX5BgN7RI)h$n|;^mI&NOp@*2-qE#5u;0gE_> z)6@0#_(tF4iihiD`ZCSQ1C;LTgP*v!ENLMxS>YapMDKeeXw#v2IORJZ@KH8sM=gf)o?cm z;B*5a^222Zrs*CqUJT&LP)=ORMizH&dfeQwGB}6Sy|n?NeHdu8#AtMvb|Dq%NJvvO}A4A%@Xst>|q^&^G2yE46QxI$I*9PQ`pdU$aHpa41#tG%TOiL84y6>NfFD zF!!vu@XaXH9SZgYp&$;hm_F1SAAr3UT{3w%ADb=?;iT>EDrE^dp(7Z#rV@)H7|C;| z2Px&c5>V-XU%Ra6tCIVj1tPut0nP~6SRvmux2$h9v}G9&olD!Z0XJL&>oaV-J4)Oe z9w4`;OQb7}yar*aR~%)Kxy-sDpq7mn5JzY(w};uHE48Je$oI$X9kYhfEOPVuiqrxM zGnx|R)y$l%2U${D81#clw_hdKTz3ZJFZAC>u=#xAd2$Pe!!*S2SgeYIgXuS3#jIXR z8$lzN?*>@sYU!!7L8a};*&;<-p2s)D#tzx4aTJCuW{df2Ko0=l{m&?+=WC6;6+)jL zAbNnl1ewUXibE>d`b?2VWsi0IZHb2MjjN-Nq;7$$q)#U4IDFUj;mES}rkh%+w3sv$ z_;hbkqW9{?Vk+k0eb6wHnhx+nuDT zA0l`zBS~?EdPSrx5SPz(m$pa;%QuL*9{9C6uZ9`9Hg<;MuKY3wIEA_hYV8gpY|h*f zA;hBztr?RX1CFZ=viHwsNUphV?C=-L3BM~5Ck=LC-z&2)6`hsxtj-sUU!m!u4w_jb zLyUEhjGR`7yhKgbcUhNI+nzOvy z)(@R(1^}63Yg0uTKAxIeCH}hYuiyXN6@~k-iDm1|tVKH;w)|^7*EDC{Ab41X8t;yG zj&dIW=`a!ig%Vm*Y{lWxB!95wuG#_8Xy2xe6tAjv*ptpN4NWrP?bdbpvP7ln3!clp zoOfN+$m6YtD#4*pRnw_4&0eZ&IO*WeM3t`I5&exU8NJ&DC~x#mx}@f%Gia3 zc5cED>5L2AMZYx(?$9&lN+v`Xl@%JJv48wmX4gM6ZoK)xvWlp@D|Pi*y%Fx#NRcj3 zn1kX+l9*}@83D$gis<3E$ZLAX@ctG+x`75l5JFsO$p+?m z)|T&`^X1SdV9WtdMr&i)w$d6lW1cXVmrrX(fK{y31*Q)t=JTr5M9$wN&||7U+jJIq z$){B|lFSTEjc>~M1HC2f5U*k$6aqfVv}h)l=LNEV+Jv#%h>! zyS_{hfvBnuxmnR@Z&8VW=HKjccR>4|QYo%OFc6mKJVQ%S9d%rWDtrx)NKlh-1J641 zZ63$tcpo{M)X~CpV%%+M*Ced}B@H)6vZK6c$4pMtyxDyu-+BwxA325cCtv z5=Fp&IhH%W`_)5lkETjO!0%JqA2NqOU%r0GrcX-$mV zl$5eZkfG3k-Kpe8i}-xSDWl}ff%KAvbm~$@I4OK$=(XRc3QWA*%-(WEsm{4J zJjBlVHf=uJ?w!!2V(F{gxspmv^#Q>C!x;j3n3mOgZ=F}Z2Ct%TvvC9l160-{GP^KB8;O>NosvY4^pFBL!Wz~)?h^zKNMxhYh< zt^vgxvhc05-=mQUvO_+3@A37)#)dC!JnZN*m1!22sOd~I%@?Lp(%<<%=x5*#S<2=M z;2f#S<#!ZlQ~>|K#O@Jttw-ye?Y$u$Xc=ZmmuqGm zddfkL@9T#vW9Qg4B2&78)txp;ReNxYpTu^P4NyRGy? zmeAQ?SjC@@vsGBE36{2*_3zRo3CZ zTLFMYK5MZ;JGxft9@zZ=8;eOC!v}#1l~=28r4unTvR3f;(6o6ughB&wF0KQ!>fXzm z;%j)Y0?Blk#Pkg{?4_KriU;)i5}cogl0)BM2Oz8WMYyhT=oYV~`tGxubgJ0^lopQ| zr_D@q?!k+5K}4AFeEcFCbA#00Sz5K?Ycj^#K$0wvI&{jhYgGUZrcB?S&GHrcAnm4J zocx$m_F#8Mq<@S)k?Xnib=aHkR*T!1`nq_t!bh~QpN&LHDCOVq*PHH@0{$@p>h^G= zg8jv~f-9_Gd+6La>jCK%FH*`8|8bNwZgm~=qavWP*yDbDFURPPl#HPlHtOI%P7MMA zU}6dyttPcrl?uUG^2~_`wN}FjHqRj6`g=@ZhIJCmRItggcI!Di4$D<8y!u)?nH(?> zx^=NNBbNawdu%7Jh|L8S5%N;i9jqDj4fM-L>@EG%<_CI7$jr^rrDXAJwIj*k%^tm8 znJ-{!ym}WwBuM+?mY9!;Zbv5<$dFFf2~Dfi_BqZ|OJD+e48_J#M3>869*q%9q59mn zGpt;F)3vEsGlgHhFCUKzCBt#p&E&EIGRs7Qx-5%$*hytl@umc!v-)1{Rw%r; zRMWUtAJhA6q}gC&Xe~AOveL#(2l`OSp@9!ZmU>AspOP3wr4hpb3Y}}`GB8r$(OeOw zl7@Y5;g#jkhnY6~M~E;(V_i7lL2eG~ardg;x@mu7gNl->UF>q6I4U`H7v2>?y!x`# z=28gPq|!$*;kRm*t{aHCVG($2C!YJ~)=fki6yCm`)E|kBy-f0ZSfxD}aJ?-1@tp93 zGkmyeP9M=I9V%UG&J3L1TJw3jGt%c5Y~@C7J(5mZqII%Kx*Pr65W#6e z+8w}{7{M3s8Eeq0TOq^`rR}%Src@SHb2K}!SaSph>T|r(Bol(pD;yk>FLt3I9Toji z5~|tql`=q1pT69C)rc8rIB!JlLlagzfv5Mgs#uRl0+ovV<=$Arqz2EAM;<|T0voRv zteY-LPU)f;86K4oG;*rFRL9a3*>Y|9A#ZOF4~^Gkv&Cw0SeXXfe0Ushemk1xa}ai^ zn;xfPXSzq=Sh?nxSZeM~ZCPX{e_>uX41F;7vy-(p8JKNag8X;1Bng^s6@`w%Q`uyR z7TEQtC315ha8NalXPV@n_rbi^5iHxpHhDy{dI{*IeeWKQ%H|<0ZF;}4MCkcWbj+2g zmE~gqzEMt zyTTL)i z)>osx9FqCx-x)=}>}-6O8brGRs2)puv0(DzUavI;IYZ6=crywS5aQ@xv4%eIK}n2} zI8=Hk@FroAZKc{z2SSwws()i2V3cztFH&N-)o;1qa%x1bgkUhdnpwy*t;>d*F4{YY zD}`Td495yva6k=*_CNe~2CRUn+~}_xj7tQf-69lE*^pldQ2~__4}oC0&&dfhg#RcpO=P zWQyeZTg)DXrBvk~NGXKg*?Yn+8A{Nt)HKCKO*YSSTy;WOjtG5#yfIrCmrU62=Ch^Vf=I`8+W^ za!s;?v@8=AxEFrioUd5WIi!H#=7D(f64761KnW2fV{I3#w3u_5&;d<_*&iMu@6#iN z;``Rmms=}f(toXHe$;SestaI@{6!&;=GVRo_x&r2yw;^I4dOlS3K21w$dWe0{@Vo8 zM8G`MmJATvC6@Ax?TP7-*Il200Q}tq{u1UXY7-W3{PKZCq1K&wfpIt zLEXA>_rSArWks0?P*yJNPXhZSViW#FV6oWt)0L*3DAA_DW#=o@5sKK>p42+YK*%$| zNs<`GM=dhF(O;Y*UWgz_IAL|!$Oy#H0sW_&3a~CV{@NxGDIF3g zivZt^O>6xGV`w}69b=O<_U5_$g`7@q{RcKP=;k0%W}l$%-eB?CYVrn};)njl)W-NAl?GqU*C$j1KQ`--b6<~Dr2tvIYu85M& z+1^IScsU+N@30iczN`IqEaTs|Y$DKn+Uofp(vnwShj-vMn*la?)XcA={Eyb<1%?Ag zK+~B5qPMEPpbrq?9M6E$9oMm*8vv38T&<)0!jb=31nt%m?Yk{M4^#~#GbRI0*~lvJ zSD7TG0$e1V=mCE^*@FWKX14lm-W&=bVYa8i)(4E6F~2DohbmK&G5tTf-ZG%Auge#1 zixgU%7I$xvAi=FT6f4CkQrz7oxE3$&?(Po7p+JHMin|pJ5S%yvXXd%@%$+;m&X?ru zbM{{Ati67+r6v2{|8MW%S?bl)fYjd_T%w8YnT)BpaFL=(qAYCa|9YP-WE%9y1=h>1 zR7OpW*j5WS&lxb%r5TXjNZ|u;=*7U?|8}QgiU5mEnsllDKxaBkcd%#IL7PeU}{kN4{6xWl1X`_|~qIfTQ&7?jw=pkb1`TK`GITP!QKpv2-2> z5AD$ZnXCWnVgwnPita>BoTivPqtxQZ0n~bGz+E}(k@KsG%S;_W)uPeakm-M!kWUOW zFKPx|56!u@>cU;?(j}IrJNnm0(PD=XNpC7)3X}0SXUGtfL+g+K5|saSRZwlA^GQj2 ze9T7&j@xtXQ+d}q&FC+7JclcZD-Tg^OV&RWuxQ~8{SS8Kzd!AWvT&V%IANpCg4vVc zR)*(Th$$lm>uECz2_l_}O99&}KFv;uO+$#SWF7toB>SJ!5oh_P&MWNSUI1u(DAMoV zWJitS5mSHct4ApK#~+5s!;nQ6u=llWa-}xC^2ze>rEl%oFk8U$XY%D%%LGKK+FlUG8U8dndPBq~%R+WP&%Zu!GJIqgmkrKE zkg1UR~Q=`P>;8q|p=BYIl7Yfq2Q zZft)LS_CJ@kP4H-%QQA0T|})iBKvCXxmJy>y)s`{y)B*P+qJbc0sUk%qjFkt&4(85 z9@_S-xI(}gewG_U?Z{}EE}{u6aoq>!t(Uh4r=W|J^u9i+p~h4kVih)8 zPP2*h>zBWQ1xk^FemFM}Z{X&xZ@=QzE6U^ZE&Y^#v?59^2r#E zpski@LVjfnmMuV^zh>7FJvTWOk$v5>n97$bLO{>YMS9Tt`oGYf>0A|6VNv&Mg%7Ij zF0-J{l9k7crBmkZZa4S{61*vrB!yXyY7rT+TclzA){;b-{6zFyz&-nW6~iw0UbZB} z0?{cH{Rdvf+DTe8J7WplD+S%dL*BDy-^*#C>dI=qs>}9{EfPqpUT>{aka|HwG0vaw z!V)m$!7y~8=5oRmJScoB*~5@icjCAKg%H`3F2{P z53esTPDSkF0>%~Bi!-do`UWGDQd1?Wd#a_i49)+6>`xcvFLuTl*I2a4n!zkWGc7%x z_fKSHdPiQwLi^hI;~&s(7;vhv?!a`P2GnL3Gx?oAr+~4?TF>#fs|C|lO)wmU9;BlO zZ<0}44hSE!S90PQqV2CTSho-ju|oe}5mE3)OZuvlc8NFdKfbe&cW-HzpDbVR zgFzAyBp2o{I?@b?YJI@##55}^?BfigG&P4=G$>~LBC0737gBV#K#Q_#h=>fwm-`N+ z)s?U)Ttt7^3y~IDe!iP=&+a;%@$-)Y(N9?8u15azsK&9MM)3xBt~7_pyiv>}p1F{p zm|bfJ^;+KgHqPQwZOzu1g6ZNEUiCcPePinIkd&tDF5gVzH2xj@MFdg)M=|^oNSl)J zUuwbik8AOn$gWlQbTWO9=6UO6meh5RE!VwliS=FD#6>z(CVwU>+ybWNGUOaXc6_M| zbXuedbQehIP~+_w?13SZNX z+(yIrEgpeO!)}54%~wBP7DHxymiFuCs}w!h_%0#K!i){ijZyWRJV{k%W-P z-^tj0n_aw;zp?iQ@2*H`^dlWXL7HELLLX!HR>RwIE?51{AWV#h-@S+q`M_Hq7{fR{ z&w=z`Me`lQ;W~KHmYvWvwQSnvi=l5Rj?b}|f6bR-(EFdHyxo3r3y(M}(YW>{T0*9( zfBvJ`v{xR|8Xny~&Zf~5f_~U8m?_`;MFl0-U7J4g-CNZZ9Xp>F74_`cN;S`*dQhM^ zc+C9y*SlshR(8hYB#5CV7s7wwIj%^|KOuxnbwNA@NwliL!{$BZV`An#hW6whhu6EX9Pfgbp+7H}-Sc0-^K|Ik);8X!HB+9}MM8r5YkO+wRULEFD~$fwB&A&7>5 zf~G!5_>#&sz)IQ?L82_wUlI7)?ow7u^Hgt>)@U)O5jK%2A{UC~Ew<}(mZ$WsM_=z? z>AvjC1Et@cb||Kw%I<_gZvUiYqt2YQ>#D0=JI6c7DaM@y3A;tD&(mNEwF(TOE}b(l z6%@YAHCI~$$GRpVwrk>WvNYSk`Dx}JkO)1`(W zVS!xkJbH(H7+|Eeg;DnEP`yYK!YIt~4`Ao=cUC)A?dJTU@d<+Sf%yLH;S874ef43I z2O;i{q#* z;L&8~xB5l<;leUTtJC04id-yX$8XD$?2kkk1vLU#y9-;rWD4zW2Y<`BM!_&hkH+T& zGfSlM@l+m_AMe;$X3W7qML@5E50S5%jEc&=YR2ol5TKjqY1d-jzfcD3{cbjlQe1_kF$^@a)>vuuckg}cMax+Wc_BqGXMYNR!4hFt>vInI!5=uw? z{HL?>X4q3tZtisGhumtXr^JRCDxjc$))Q#|B-0My3oba>6gqr3lPIqd@+$x=9a3F< zz7&$7P`2=&f@b$a`OUvoOmrhd^IygK}2{c$XscQ#QT(6-RPZ@%)6 z;`fsBQn!?X+G!=jJ~4*;Bn59U(tDbQYSp_R0oZlFlNU``uz1w3ZY;Mb$I7?Xj^OW2KZR@I}T#24?|B&f;w zSWRg4Ml0$+Vw3jgHGocO z$0MglkzYguLhNzUFAUN3o-&mRdwr77E0F^|)r%(ORvSB3#1mFL-Fw#q*B6C!Ed*$} za_)mBJt+oYN(9@fVdQ9R7O%#jC&@@*G5^%wC^VWc=?i;fqJ5TAq2%t{(XTZg&R*SZ!X)}AfagU7fFDHLy_Oyao(SOKE-Y;C$V$z* znxGT-i$O8FoKh*1nff=k&9W})^(n3GQdlJ3!X83KKHBbjWV-#fzfT|dGmEh^2E!P` z=hadRbZljySDq3>6~7p1Hb>hH`|h3c<6!>=0+0^A051LNXZ2MQ8?1zJ4NA}izibh6 z`GtK&3F_P#iSH+u0-brN|D$vzu&b83Pu!nx-_bKXy2JKR>e&uKDMztE>RFp}eQDG% zGkz|mmr;(aSdzCYfuZ}fWS^J9-9VuqTPiaGwQRj!UV0K^wMySY+JIV~;aM&2uEwF+ z&8NZcV1VTPW7DzZ>ldL{d{3;W-Mq7}Q{;kd{W$~_WKu}XGkw#w-#c1W1chggc-k## z#R)`Sik)hnCI5OlU9RJ@fwfliopR$K)!Mh7Zg_0A^BXRcYMk@2&^F-=6|Nvr2SCZO z0gBk}ST%dC`d#O{e2<9!zy4j8+2JagD?mc1Wuw)|fT8m-lmM7{wAIDyf?(pIyQ_+8 z6Z7y9I#QBc+8DGQ43UZ-*+xOjkLX(YSk<7|Pv4+ZqdisG8O}G+ylcs239DG3b*@`t zwwsalcl6_TV+j7skq|1YJCwe7PQaYdCAGfR(A@nj~w&1^OztthyM2-X{KCKx($?f z+Q7c1@$Dqu9Jqw05Cj2^-e=hNs8W&+dsUMbD}ngTllQj%oDs?#Vq2SuatuCQg4}X{ z^}f8{8g`)U+RlGIupW}UvI&n3vy-^3^{f4HNLxzzR_A#W^dzlK({=jEWGFXqa5n5k zZ7(;}5>asBZLc2fwGrOqRVfWQQ(_2Wzv@mv5#9wG_uc|uNec-&oYh`;e%tdP=cWiO z!=ak^&T63(^^hR^$0?1*^GSH%3II(Q+J~&JJ)h8E@ML`e|>4J zu4N!S%8(zn7>%=y0J%Sf-6l@WsxM6a_UQ!J zbRv@`hxI}B?N1Nt-hQ)=djUxsyg;Fikbu`yC@?emk{HWCtaNijppfqRhB~JXy1#*M z_m?h{PFjRgxL|DZbWw~G-hUR>Slh=>aCU`@P0GFCp_^dI|XI z>rvMCba}9%x|+oyC6XyD|2c`JY=-{#Cv&6QetE{u%5{{6n*`7HP6QOq@XmR1JulC5 zyi!B_Ot0!>%J3`-LH*73sq+XJ&*o;mq>6eF5Ps15nvom!zOM<#h4PC5mHtNSmOQXi zS;V066a1x#P~6$1h{?iPEi0zVDu=X5aLJ3qQK$h+a*6>7b&8%>M9kZG1a;}@O2^sE zpU`F2*`q8!TWlmSj|?Zw;jc{BO{WMd-}RZ9zu1@iqne(}&U?Un&vaX0sb3`9Thtcz z(7PzgU=6{>O<>JyPtGdy+D-|e6Kff%FbzQ5x+PSNE3Qu?P8rJ_aN#!i#x5hjuo8}rsLey z;defi)PsJh`7#7$?Zdt3YdXr4p?Dh+)k?wgU{T$T>gvmP+>bu+{%_9A`rvnc2Ll9! z;-UVM^!DJs=JJWS4z16IlV#tN!ZO46s8Wvd(${plt>i4Qw=L#~;f}Qb*XL6AVz#ZF zZ4mue6b|;@$o(LAOG?CP=LbsAjjsiJNlo_?17aMV!Rz;Pdy(5sbp;ZXu{tX=N>pkK z7&Nriu@KDtlGBz`NajcE56aR+&5Lbg_=XdLh;;MNZ6qaY(%A$0rhRveH)tB)4e5uy z3H1sSAek6{92@9$9n*~j3&AkRF*xqKUsJr9bJh)Vtdi3C%Xm#VjJDZ^@S*lJ`T={f z8hcX;vp3iF4XSM-r<7h(;&J_6Z+? zts&s|NeF8zcwI}X9b9-p=9t2t-5zz{flKUI{_c-Ij5zyyZCyD%_MLbOv4~pb z&6YAThfits>6x0$V{q>`OnAgM(%BgZIUnWOxS7qvfSj3zGJX|4czhicb}YP7kbyPi z$ho&wVJyvGaCmDmJc@s7@hKm2W&i|;a{Jp-(MYKCphD(P|0g9u+W;rG9;ZPJIse`UK1Zt3G8nC` z&+T2da|Mz}+ezbpe^}?R@I4C!ilT*0`7%%x%f{YilTU;w`<1r1q9h%PTk zzh#5E0;;+qOzYpxrSBQ}VG14l6AtlMB3o5Lwl{b&u$U=>*M5oyVsVupWmZ>*VSqXn zMXIs4`zP-KEVSj)d)fszKgu{Il<)qDdSzE<_F60tAu2RH`q@jxA_495e}aTJMDR&2 zuK7Oe@wW7HIFbaD!(LqndQJ5q;b0V?B?AnUp7<|f3BFOEupuNoFUKxxsqSB3Yc*h> zu?ZV+Ylh{C82MdOF4Uw?N6i9yES@4k{e)T`CEw8~t=vVsfJhKBBF~N6 zUV&;DcD4j4(cf68Z?bc{2!yShkb3cyOz> zZOIepluyK zOgvc=tLu2xBygg9sN*?0NO<7sVbFh%f=ay{F0K`75$%*8linWdVBG3P0$}ZAM;I&& zR!&o-pZQ8QNOhj&qpM{LSsKVGI=i`W8&=0_H<-=ci<)h}t-oo2(EC04sC&7^Hr5@Q)~9Rqa*vd131!Jxd%gl~{q zLw{}#D#tIW5#sR?oR+gu+pLhRFNl5r<(3&*pT~?$X1;txI*;8mT)_VkBOh?6##!l@ zAULqQvolV5Z`2@pBtwUFt}kz63N&nmZwPoT77-quXe;oE+E310O z@U^^G*7G&omKS&%&Mlz^;=Ovag0jA7vNaTa_a`cV@U*iaZT;?$r;rOWenug~%gSw4 z4C);2+AX6{4h2^rAmT|Ql6E$o{A{VLWQ#0^-K$fW#GfvjmP5QzkchyRvBrOd;n zuM)b~>0`^PwC~BFuVg(UJ%Jwrh4u>fINX!ZdjxWrWi%H3&ce4xijjTki-~JQ{sM&V zZ6;!~^g&=x(I~242fGspnuoOR&~@DKMVGgWX!ub7)FR!YayO1rJobfiq>u0PeMFE? z{{>rI@|#WSyI_`7Uhf63L==oVuq6(sVQ7=;rR$L3>Ry-o(^i&j;$zQl`e*9+DM^W* zD9!Q=w!bL`zTCZsQoAjaJ{cO81|$+Mtxz}p&l&oVN+!-i-tY>yJl49DVf963;}R>9 zE!(8?b}0h-Bml|0C^VZ=7>}##dtmG%d%nZL@Apm-SDFUuQ?#5kLc|zx%5)uIntXkg zJaMKw1Pmb#+wHB;P8iBd*_r&z9W?c6BFL$5Ya=SB@)qH$pPtnB?N@jd*lFFAWEJ^_ zSPVt6DmqV;sYR^NrsPgCFt06g!fL)07ms-2?jJXlv^iJ)#$2;E1WY)G-tVx@dE}+C zn~&-62<#uFxaf$G#Y#pjeg|S>o|xsB=@ote8_&EplbH*P`_?*=%vy1+)>k(?jGdA9 z%rM|gB0`ty)ibW53D(S}Z>7lfqo=)0bz#iW1*$zI;STsC1ht5=gMs`o{rpnr3T}m8 znK6=vqDnZyS-h~iQl-NeBo4c2MUq%^Q9`^|3#V_iToocz58iC=5Hu?+8NwGhM46$+ zL7*^m`FfTS&+JND)HVaDKMVseXN`&UDWH{lqy+E9skOv{-&paM3T*PsI+NjRRdk$O z&I(^%kERknU64@ zndwYbNJn^CF;US;-!EP$OFxDW^s-}lvDPKc1m_99nHBWN?Wpo<`Y3?Lvb^G&+FB+c zqwr)#(#W>Ow|6OFd;d+zQM7dPVVRU&2x$h zwj?_0OlHv&G&@z79DgKhBI<=!nT@TirAd3*@!Lw@v-RG%@C7l?xDL_Wji(sw88M7g zVocKI{Dkq;D}AkL`|d}cOBEc@&0SsY6+}5Ze$&UfaYsq@%I3TGnq@_{r%h<_!_f2= zKYbEhzkw2L*$Edjrx43_o`$6gj@=k!@QPDNvW1)DbZOZBDcOt8MHL(O*cS^1isJ8H zpK)dTo|Hw{cfN`TG#mCsdz7FI7f>V);p1h;r1dUw?4O6-pk+&&02S&WKtBXUbw4&C z#+1ToSx$*9{krdEET^$joz-NVAp@U{6@`so_*tJzx|e5j+WESefrOcfka;J$}_u6?qe#iW7l?tOr~QfR220vrVtfhwwC)mOLfhZTCGtb(*2+iS%RZ7~Ok_=BTLSyff#QsJ z6aBw9T6eiEeNzZNR%oek!u||3Bs0*{l3C0`%aG)-_ODmLH2w+Ju z%Aus+^$jK5!T0H5jB~}^hz5@8GaDF23C2YPm#S`;mwG@74r+L$8(aGrf%Rf|*i`ig zb7oxB>>&fG{a3Wpu1HIv>&Dk86D1Rm_8U{lFI)Y-zvwVo#h4R7lV+8?e3+^ z@T~^IprzJgK@?5HF6cFN^_Wzwh^8Qv6nC09IZ9w-9I)?Gxb==TM>1yvq6)$0VgAZD zv2_!N7Z}aI_F74k!kb5M%jKRcgtZ#ILmQKi|Dq_x)g23mXDkI!qM2qkV-fxR1nwo3 z*pqt&_^hF#*;}z9lq1zHny=KCG4Hd$O>8#c{Q2WamziJYj3fd;`B&xaI<9yd6ZT;M z5N?D+no^~)vZ9raC|ZdOaSXwp=43rEX@3bH8%q5c_N@=-$}+}wke>eX9T|!pV(n%N zij}p3YYR1s;D|(YQ+5zA{diY>iJgGiz5zS652wfB<=lm$qGd0d$NRwV2eB0k%_>FQ z{w(?!;MW{5qwVMq{)XL zUOwp*fj1}t+joYr0;L7I^CTU|3uz#HKXAF_I(ErojCDGny2p)WQs zBYT6r9My7oB!0X+b^dRr+KY4Pqr7vyy<`((OpELF7{Vuhc41OcE^ruG0 z$eAG|e9u-)fP}}CX7X~sQHkMzP)R+;rPhgr_pkbp#GDwWNUUKXclw$2_ZZjZkb zXH6Ag{rB1iU$pHZR5~3e*jSIas7(l9@1!2NYD@`xP!>X4>c>Z@LaE6_{o6MF8bS`3 zcj7#hthANrI9*vVLowrNGs}`@ z;&m0GoQinwyS^Uq<(<2H^yC|EW9yGOxR4KJt#ASO%s8VHCD0QY1eU8Yw)^egsgPwv zD=FhapU%*IF!;hK6L&l6i+QjN3+efkHU;oFG%kguf!lSJ#d5P`5q06$vQOiPM`Icg zMOuRS=|jlS&7zc|Hp0(!(hDQ^I~R=edq>&gChgx~d?mCh@dgDTenZ;mJ;oI~&e&Pe zic1Ve4UG$y-=b;CNJNOz?Bi%x{vGezKia_Nw2!ED*TY>;1L7f#9{z+gS60Cg*=zV= z<8EfN2nuac1cC3(NRL5M!62*w3*@zFErRG1*BLN-tNpDP-p>$PAG8?v99LL47jUgd zbHYkt{-zPBK@=hKvr4anZTh2iD}RhunJC2#UriP9ErulHwzSE>n563*kYFYd<*lr* zIyOh`&u_?QX-$mj2iWh$DTT0X058tM>(UIPkLGhma(o3vJ!SF}EG0t>&3_mgbz`bVPWmqFa1 zt2tgyJ#G#e^1$IgkaE%xgn+@j9oHdw6oPOh-7(TvRcLUVb#58iHz##f6OG0pQG^`` zdBTFz6^-@GYE5QiW$)uhng)^?)3esJO1kw!yxkG)s>VGg9fEgXD4ub6j6DsPE)?Gf zQMtCc9QftfL(9xRE^*tAT|>m&yFPJugj`(jd#@jC+pomB9!wW6zC4eE zA2L@#;Ik+%CAnB{`+T?(RAg7I`OzTa+b8a{q0-TI?4bh8Q7WGFCsf4*gO=1} z{d;?48LmOMmJhJY*T=8wPX!QV{B)sXEUD zPAs@GJiJASP>ICjmx-NK#jDSlqUI83Pv_5_LY{orJvC(`V$9A^dk$>RMV?Glm^_9W zBPg)rD{(uO#Up6O3x9|OPe@^e624ErF{I=7Ad;-l@%?-hM6Uw_n*aIBKdqD_8#vbP zZ}gMP9xkL`xe*c)PvI9q)xQ1`U2&CT5GuaFzn586;&Cp;Z<}>1u^zBtT3XoOq4Jty z*zbJa00@(EM>6|FMB10*t90~jvKdUU`&ZiV_G0alO7X@ z6#30W>hW_ie})t!^{Se}WFSp+VE7+jaYO}8sPEBjrl-YC90}J6PceCzG*^XUX6>BY z3151KsXhQgxyxVL_C)A& zW@pVDkIU(1&ZF(T2qQNwY=zL?Q3~%%Lt!dn)a(GpCHJ+*+>^!kHc}VlZW}0s7BzAu z&@4fY>bJG>bH8ZMiPHTyU;A2!=3aFIBFOMk#)fI&cjNRmU zx%I>9mpCu@>F409CM&O3#vj=3g?D{n#+`m$msI)0)R>B4S%C&#PSbs>Q)0&BZYl)8 zj3Fu>#%)CyTO)c2gYRUSjE&3HzNvH!k5AOE@Z+2J#6igi9#NE?&P7}CU&-!$c8Se{ zzjhVfy=quQSQtYKG6oNkrn0X64tGd+(?y_SMOYnM-5< z@rjv*tf)6w*B#GbtjuJ+;zf+?u9Rh8;fD)^`aXu+vH^`ZFb@{chd+hufY5#(6qz25 zm0D^TYDh$-o!faZE}H3esy1M6t)@{S+$)z8CNk{1s${OZ>c1vUc4rnz+8G04JVwVu zi*btAyHF}}JGGwW&C(IZy4-)d(~2X&eCP9P&S1GCS}dBNDoVDfX7luHffhnr3PsY1 z7n~B=e!VcNsJr<*Rddio#>y0@jz;%9vDj1KTQF=uretGR_q;NS_Qk}=#F&vL{RN2c znf5p&ywU-5bTN>CEA@>QwZCFBlHS0p8LK8A;jFuForjxCHIfhBGVfCm_aI%FZ_U$A zJT4dgJ#N)3kny*^TDR%5GYQwV`W3G6H?8YdgVcb&dHWkEvjEH2Um*zo)M2+%{NE71c3!IBMKihrzd<4!$& zT6vHH@-jjWxXcb3U1zBb#aJvJC05Tx{0tB>0ydKBhAaX1smGtRFF<9RtJ5b>FJxiu8BytF$JC9kRm>*BJ6v(rNw94fhILrKy5f>bHo#k z;#&Ly8?v;A-sbCgT+{%LE=+McUo!{Nttl;b12<*oLkm-`SEkZ`B^sSflU)(SX&1UT z??wPO<*!MB9;xe66H$p_W^X`u*l`GkMDhlpJgm;2Lj3A*e#&6utbXk<+pRspu~TR( z;AM79)lxI`7Mul-HxK4tI?3;$BhuG6`x%o)=X+7_y_b~gN!J?*I8-hUmIOF9m9~0gIylJhya#{$Sb?k#1}@YVv|fjz z{m`06cwrMVS67?X5ypL_qDRL2dHMj^US4iXljBT4PczBp?HRS*%f9&M;Od7x;l0OM zrkjv%AyHdVGP(7*50e3KH%GzpTR8Lww!K%bf#cl%C!0h*j>C(J@+^bObejZPf2`S51qK+ zBWZLjN{!o{g{;Yu=i6LDtI$`B2cQywhv8ATm3vDW;0zDN_1HVM%cW+m$~F#z^6%~? z7lh;P1zT@-Y3Yas-i<1RPf#~Rr{;eD>ItbnFr!pB-TRBdJ0OAw#Nsq70F&+WU9#J{ zR%nI$y8BIaNf|J#`5ciu&(<+Yeudhq_7umGdV4!e96q*fFnmirMm;vU!zBA#Z40QE zmjAkr3Fss9o3`AYgX+6i&V`9S-6ZhuG;)!Xu9yoh9=tZW`}q)vna;7B!`nu^-VV7H zNvY-c?UPJVx|I(5)`5iiP}6SBGowE9D3K{`09SV2J%j17zcm;lq1e#sgGizIi9BHtcdj zA8~PhzsQ-r+c$G+?-xv3cfYgv@mwt>%`6Vw}F2I-OH|d8*qWJq6YAdQMJXi@{pPKm zps>F?Jnu2&*W@n8moHBCwr&lukG!X1UC3I89@|Bq82i6ZR4OG+mmco zuiaZpk^c7vi#e*(pzHZvXZuz?U*VO#Tv5?EQvp!66>(eKDmFB!G-aeSj(~uS{=rno z0po2X-uuTfC^HM&=kK%4qQ&d%-Q>OpvGi7|puei1i;oQK_Ny#|5#vV%;)+blp-NC= zbA6u;G+GrI1MroUB0yNE&+hhuV8M6tSE5y39=7pm>)?p99OX$Ug_mR!Og(0o5Y}U- zE{F4{?(rIFqN-(WM}$t-VS`?}#zFXszNcO8Rzh1{y9$7+{-)ee=5)1dT-bfZE)+|! z&ohMX;%+)Da>=fZ!|>gXpFct6a_5>TajRvSY%{{kFw+^6Q}v>%YQE zCP`FIGnh~m^^=Y(jeuG@l`n3iQiMb(NbyC^kB20!_uy|6BKMfCr&lvzqLYg^&r1_n z{9l6?)NJm>kaSn*jE<1%V|9ta1%5r<3?DIqW1(((aQajJqI>z9_T<#Oyj$O=sz1Bh zdIA%JjCCpo8g%TV57=DK?&B(MT8DOK5b8QgJH&u59u@@cTu;%9ly{>YCX!MHrSwD> zeQ$*i61kRzm2b-xkN-X-7~0pXDvNOFs?AqIT_()x^EdO`TDIFt${3r;YlGgNeW~xH z+ouH834MR2CT4bnuejB%I`s(RD(ic#sseQVC0i1dD!ranNxFuw3dHj73l62agV$FnL#P=n<{9=fSG{&mG1Z?dL@~+>@&}Ychj8>JT>rjbh?LXVxPS z4{TZcM8^4XvP^RpnAP{YHP$R$rja~t^*@5BWi1XARgBvH91x}}Ds}&PGvhh0zPK}g z_#VE*bJ8xd|LZYYvQ3R8>!^k;r$2z6*h8i4+Sa`;g0XRS7{A_hbpg6Makxz^JU5fHwan@u@QakcCpBDJ-kNaYhDl0Jf|A&#*3*^GZ(Aqi6x9@h14 z;y+GI*aXk;-}!s?r%p*zN+zV8?wy^RoQ61%R54mx7hhoX81#W^>aU8lPjOGt3qeK9 zpPSHZI-VZK>91c0@Ijj5!W`S7HGzuD#vu(3iyzgXedQyPZUWqd>Ltebw#?@0m|5BdRIu zNX!QlAtgQ*Cp~Zq*jeHI_;A7+55hS<^h1l^YM<@2h}3xJbGPF>Ej%u_Tcm2&R!x8E z7U>G9S2gyTITfmsO{8zK+cnW58}-%X$M zj3Bc1tKU=CA57`r&+KeZk;{bZw?9Ruo#bsI4j2JByx%d%nj09%VRnT59%Cz;e%)@K z<#mC+D{>zs?~;z~SABB&W-=cxxd3cAzj1U&g+W7Oi(ocio@%-;D;H;etUo;g^1c*X z-(G*S2byQPIkgfcMAhNRCC&;9JlK3>uDSd*FQftgV?L`QLq>2J0(KU!c{KclTl@Tn zk;gXZT84Gen={?+^ou{gr@oY;Gs^vaI@~IhZTNh>rgE%sC3{p~d=?khI5Ip*u?C3aM z?)nxitMmws`I-8>s%EH+z?H(4{?AH`tFjXg&J|-a ztjS|~*<;!RhJk9}ut7kbDA+vRG+=(IF!N;h=%2tuhUf``*TU(D`! zyYVdC8?p>qS@k^xhxG;33^3 z$3JghqJ5%@MB5+hW%K^KCG_Ts8Qwo{JpDXEO?^D`Atx`X#TQcOI`)@}}Mz2Jen~ ziW@hE87>p6{Ytf@6B@8jH| zd0`k;(DpcfWT$xl{HpG!y9_&h4N=9uDVc%5i)|Y0KNv63)RaFNEi>jQq3`a_HnIny z+G#$@X*;+ulDg`MTKtyR7vr~Y4!Go5a>0jXyeEFV{7_z8T!s!&9@|S~*%vC0i}Qa~ zI#QtG%=p@CO?IXLXsG5#5_k7B2r~2++lrcq>^EWePw$7R*e-g{ukBXf880u7P z6Grc+BV(tEVxA2@f4!ES_0)RA{bW%o+PsYz)2A1&ny6}_6dg+kbbmUS`bqGrBNqA- z>a1mxckw1J*{5{WqUmrfE|5i@Zt!92{jsfXpC@}BlSwxN8M!@Q0C8U7$VT`VbEynC zroH;G>UpFXVE+9xan<%fdh>dO3?-*#EQRqb>SOH%xZ`wqP8{aOc&Cy8`QVtkF!J>k z-oxd^t1LoNbv<;~ix-#q-)%G2rG$&%*})ND_3n$0v&&JDRgfDfSy#Tw}frETt}51 zZqj>=RS4XU+vwGsf%-nIeuD<{SgKkvT08LPsyj^szpY>pO7g9Bj;l5K2k#<{pM6v> z0xGG`cE6qS#Vf4_994DLRqaZE(|>EKnXGo+f+Npc4rUbdFs*27#wn~T4fno8WB(}Ubmt3%ueZ{p4;Nm(So5->deuW2oz*;r7O2YfJuYu= zOc&(O0LOUPVKG1aRs@@X6@O9)#X05w#ubP<~ag86ex!DzT&US@<$jSe&Nx)GvdFg0&XV|L041fn1;R$yspQugWe& zNm7lKe%~{;vs8=8QJE&A^TvcVV=u$(*3&V+Q1DB?C}98Asguw+eXq8# z^5kha^g9rNGiZIE6=~XQF|BL15d4T|Ww77_dN0Iar81>HOm8AhEDbRhmCYW*Qu4_G@-1-aCA4Exx0^Nm8z2(P|G?UrnDu4z==UsvdPwF%ia9zJvmkvCJs z)~y5W3PSz5S%2(Sb@uH9D_>33f+&My>nLdbCr6V0|6%-VZvJC%=`R9IUTN!P@c22( zWsa0S(EUD8PngKe;gfnRXt4dm$yj5-&v)M)Xi5{nkv?Sa-8*HU(ahoKlwH-~x(bx1 z6OumcII*f)i90>({OOD48HdgM0g*}+&cn2-hY4d7HY`LXNJrIpV{RZri+%S&>en@ zs(ebag4v|ploGyubTF}%ADMZG|3Rlmxhpk1U7t$0bMey&wsI;}U3&LjgVJ{7S_qe< zp)0JE^8fY!Xtf-WR@l-~hb3vt@n1 zqjSACJ#E$Xq?@nv0Lu>Y<%hDkix=bo>Ik$8vm|LM&5IP&!W7;%SZY?s=Y&r#j0naZ zO8oc7`RC*FB7i+$-fh7#{tC+_umH1lb5N*g6vAtl>Po}GktyS{BIC>Up^EGcxne_o za~EM@s3vr7TbOuP75d=x_|OiQt;R2W{C|b)cY3@tmDsNJ;{2U>Mr>(qQs!S(i$x*t zCynZ3C8Yo?$=X?yHOy7hE|v!C)7EJtbOTNHte`bXL{v@)pzp&A>8)}FB@Du*+1uz>C)>XN{^zAEd+z$MfzS!6r zvnd8W4v)@NVKugAJOV}boLJsJ5;a*{OYKndGfKAHwqofmscBibdw1s_wmr5Vm~|R= zW}cX|US4@E&92}g7qsZM*`>z!|93PZULu3yFUTBIol0Q-fMl1+k()g+)U9Z0>>G{a z6y;mM&SJ_)$0@d;f}l+qhWs^5(yNX$Gj^VyJ#36`+UKVaJu+9i(>@dWh`w+2X-@L)>|e8=5wnAPVF%pWs~SaOJY^ zId?jotv`!G_=eA5h{9jZ6v>;zE@02#J`yv(q->81OXu7FkG=1HhpS)TeUnHLL83+% zH3*_dk7$W5dXMP6m(fcQU7`#|7bSYHgXmF4?`1?Cofr(paF%!P?>T$F`#pcaxz7I0 zHLh!Btzb3gZ^k*k;~wv!shya>h+=ZF4v-{a!3=4K1Wc@PxL4Jqy#Po%nK zU@e8J!&xttPPjJ$HD$7W|H_99>^ zx5oQ;#%RhVE8D*t&ghe=RtCSJSe6@l5N+mOH=Y|S+J1=|C=aq{wbgi9B5;~$);%!z zm9bJ_4iL3lS$#iWpEfd3wK?6jdid~tbAtJBh6xF~q3K+$okf%TUKMwdz6qIpWF%E5 z2)VmR?t&@y+aJq|v|1{o&LpV_{mbJO7)5yaCQF2ZPh@VmyD%t~bS-6{N-zIKm&S?l zpl27rnK+2DMCm-NA=QpM4fM9 z>JKlAJ0ZV%GyV9R_qn=SYBGup++el)`s|gv3>CwEk_I%QsLRM%9SQ5Ze+~ST1aYqm zKm=w-QpfoZ#!`+?k>~sq@9k8D(IxO6Y53`Ay#@_-=|e*vw+jM+;arr0ZDAAtiL;uE zq)iuZE9MlPb=pe_YS@~oG^v0+{JZ#L`2x#lVs-AbIl(f+4=c~g7vw5=l{K@|r>665z*Z2Ed{xCNF*}tj}*BP^7Jr9<~SbeXlZ${&_jP6vqatSC^pT6 z6xXm34(*B`n~Avj{3Y6zIa3;=kCB2dhIFpCTBNL+~}8?h!?YVQt(t6!4Heed{1A74?g65|Vp`RPY+WAAZ?dApz*KeJ{D z;gqjm30yi)a$y2X3Vg9*SD((W!f2wgV0{Oz%kdgIMJ0P43$S$W#m(ZPHQy~WtyHj~ z-=x$|VN~nI1%=D56LX`8k>_b2Yll^3din3U9fz0Ytrtx=Ub&wz=o7wPO1Dp2&9JF` z=w{pWlIK8Fiv=`=dtJOeyUpQ9pc+BloYILW`f8>CL>5Nv{E5Y|?!}D9$g?m=M-sbY z^XZ;7WsKi!;&d`l9B0{#MHuA)a&y7(wT({;+hT-)ol~!FZ z%Tn_QEc!rZ9XoLclSj2ui_2T!P|RubEz-)i!yx3~5yCv9SFbO z;A!x^6& zN8TH=h(&qo7=lEzh!Vfe_WLP24$xTzuV2rq<6Ha~qrA`vTmeX?|5Z13VlTDJw|P;M zjgkgv?Kn!+>fLV7Ho+0#efB5T_}>wYJSrfEhSQK7$A|7#Dbu(}2qSUsGDGV5a@R7_ zN6g=9S_CSrjp}Vd89QcWXsH{jxQC#!=~N1AsCFq6xw0!SM80BIZY4o*5E{xg*pFWw z9U5Ucujm-OH4!`5~<#T}@<*V+%4KkeM9+c`LGLtU*ktQz?v71KuO zjk#=TG(7*ngNtpXkcs8Hg-6{m25>J7BeFXocF>@HY2_0GRdbKmP>3RR1i-JlA<_qV zl*O#YGLN!BhgaC<^#<c76Az(Z*21pKe8|EplQeLr1c0qCT5I(VFcwqNo+|Iv!7%xJAyrqcP~ z&s8n{i=qKV@KE|n@n!z~?csIP{g?2AjI?BuDM=eV6ZLz9}Lk99k!FR${U`+)t*c)-tV*sH0)2I@2># zrHaPInq|#=U0U-@LkpKrlitC& zg(#0x$6{cX7NbNEmEY6q&~^^}nx8ceq>+DIxhNArobhS30#N+IAGn!hl# z~Gtd(4%*bffXJ>Tx8m%l_=Uqoa zpt2@*LdwWf5QX0qnIE6F`}V|Wn(K;_J-YhZhk6&ke7ADGj3qou2vx3G@im!#Tt&yh z0g9>9o22yR#?3tCpPbTIiM1V9yx#ZdW%rl`IWE-kcXa0YUu+?Moykn$AQR$a1OOAFST>g_FZj}1H=$2Et6>vPIrGaw~abqM;KjBms&rl zA|=Ccu`_O8_)Un!e4mSPzZx#IJBjtjYUVY{slWC+&(lkMwJj~pasS;PiF}+tBMFbyWkK3g5U|-``*`Bs&i809 z&gsM%-fKPp>CCx8NW&ON-s#Jm?vz#6&9e{l!%hHS+35yTfOJw?aJS<>LLy;9!np2| zb>ioy5wm4WbsVq4r0B3;r{cfwG~o-&2;~^aJ*hSVxE*%LuJe;92z8!RVrHAFLt!7$~Ph= z9-HV?8FwBXO;mtDihfotOsFM~Oxod8&55>BR;XR4m6lFkRU0p7z5vaov`f&%+wH65 zBtV#)%5@NbOG(|r-`-!Gqa7M%GJSW{@c!V+DsSpb0p#RRn(#; zlF_-nwTt6k!ZLR7%k#kdTfmZ%h*H|3>VmQ_4gvIqe>!QNr)WCUAYPC{oSn<>0KaT7 zh!wf;TyS@lbde7Ykuf0c+^g`Sanf5Uq-muQ1>60VGqUu->IllUrlG!<_I>IsfZKmA zfLoe4P$%b&c})pxuL)0YqbY$b@r1d~yA;TMrxT6c1dn%E3Y?DHU8Yt!X3TS^Co%UD zzH=xivJccRmDa+cw5KgCpz7n}EU6Rghv>)TcARB8&%`iK$=_4%>4Uhu^h}~BG1lo| zJv(9wy5j6{a)Q$Hr)GC99Cng0rH@&%RaL))Q8%=Sip}mVdF}N7Y`2$9z3y2O=6X!V zN4k#3|0*(RZ@!+#uGI27?Q=iB4&KPO=QS6bv4%EfRtV9{(M$X8dQ*Oro*nLNX9rTS(&V3Om2*&#OSS!N&iUqpl*ef~ zf^6&08ZlY7+Ql^gC%yh3m_mzwkWd0lA3pgA?h@ln>JzRgl!kg_lb&93$Fmnb(BI49 zNBb~Z%6%IbiI@y`6Z7@_E>u@{GVQqCv2l()tkrz2t^SqRg~4k-|BdO1ofR<4%5zke z?wb*+*TpP+#M0Xf6Y-0RAfBPfYQ}kj;e8*2P$~71eN?`@o1R%;wL(tp#%&+KyhWcx z&z_8sRsiGuLbn3z8Sb?P6)}VPUhR}zZQ-XaU(my=oVVk~;}y%H6~NlymUtF@U>BoR z$Z=*%-xw9q zWdX6rID{`e)r_CEs6^42M{R~~W0E;r)oSfovOQUA$tJf5n~4`E3-Wm4%&?3*qMD$vd+$Gf6U1=D`LuB zHYdC1WRzy7e5qIC9nzrn@coOg?hP?VM3}=|<&Nf{6UD2#NrqIo;4lyhvB|vA2SNaan9nwuMYBox)m{r?zx{BFP+4rz=d!QB z9MJchw+G!Fl2kDaE3$DGub*jESaYH1A_e5@eH(Sc zJ-QCv0*do>m7h0?dZfYn8&Ko!U&*;KFZbqZ`EofLM#loOt~sGSb+%GE|L#I>{qbs=*bj*D{n~0^0CA za5&OOZn{-5kgYS)j=cZHt@nsc4N_$paY{iEiyRu(QlFO3=ZLZQT4sr)9Kv%ksdc_D zQ>WOi@yzL|TmAUf^&qQ;p04L}?w*-HXYX;n@?{3N47C;D&HYWkwQ zC3>T={%AYhL^@uhESjUzUvysYXfJnHXDH(}ls@ln0tzwn%TM9<<)6g=%q;Lk&uHs2 zwysnb_gj&g)c_xr{>y!itkXbn*}r+OO6_s9AhZpDq8k~__5zY&RLdJ6k>kVM&alM` zA)9hD`{s2SH5HXM2Nu{Z!P6MMsO^_-+x2C`If<%zvPN#8$3$=2L!qC2VZ>lEP+z}0 z05Ju`b7P{Ro(U&YiwmyU*gx--{wU=(pF@R|;m%eoi;`d^pQEaWn|;ZL#AhSYzDv!! zGygT6e2quo*r9Lg*QW3x?pLAK{8w|12086N5(2c+>$9ae1*UU}TRVV58_5(J#%kj` z>nzM^Dy`c#0V24&cxc7;Yf{=%-LSuR_`LAqo%SP$L+0suRe~6FkDmZj93p8-$3$M1 z@y_LTehZ0EjXm6S!*!4>R)&J8P}gRvB!$dnZ*rqXO^F*~cZ#Adm4Z;&VQo_MMDe3> zXPIYc4BnAU3Z`<5)^)J}cxJ_r_jA~W=`N6$coc1{+bnSqWqhaWgpx0WBHyS+b?)4- zFHA~LNj=f;c)y+;Oizm(|M2A}aKW!nFZ2~K^e+`W%eu4Rgh3+i2^Z<8HiGqEb-)D3 z7;s?NI@4DVjvkc)c(b6YM$xj~1U>T28>Z=g)oGe<^Kr}^G#MYq;WDofP11h;dk zV~!Li9$SW6z-!9Dr#lU#k6I8pp=R>hIFV68TIkC-yS&7(T+)k#u%oZfH^cOcA7$2a zHuKA4=MttzfOcivzMI4%Ke)aBl)a#yGEG`L^K#HLCc@VQ?vkBX>_h zJzq*CWg{u!`->D(-r9XB1-3aRHZ?15d=^Xn6;eSaZYu^%+iL^rhLhL} zd^G-n>aP#f&CT%A-a@Qva{)JT?5Urkm~^IPYosgFf~OIC@fbsZ9m6V{w3R&o+)({T zWKi;tnF$e6ss^lUpu+dsnDp;1`6ttD<6G}ftXb*4Vf_#%NlYeoe+t^^n4ye0ff)cN za>*as;9neu3hD+fswxUovJayBepb-@?WGaiR(yFzwsVkyS zTwpw0i}q5M3Sdfv#J|_E{!x*WdHVw=uE^_M_scy;o{rvCltujqGGc7s#TM{JGzXaj&_*RFx@4M})Y+Vv##xf4nilI5KMeH8c1p z;$0&O)ZT=oS#w8+{N1`*7(p`cX|?JuREE@819Ad-||z> zOqD7WcO>OYN8TPU(HYlD3J$Hl{dWkHR>c8JvqalCiIbC0J`$Y5C@mlCthqGL0htH9&hs*t~tbpzKQEaXL|pB)O}Y-DY{`?`|XDH6iV=To=Fxlq7r<#M4Cote51wuRZF&Mos$^dO zkzM)3l|bWf;Vq!yt|NSHIe1E)^db%&OA=y@da+!hfHI+y9Te5rILr z<+LY%p-tl0vHByysbh`RgAucBkgB^binASe^P!&MESxM{=G_nVU`Mv!Sx^3qN`V2d zGq_->DbNj=qML z6LLvqIlr<|yFjW}f4or6_nC546Z4N@F@pX~URlqF*1X8)%HiSvT^zUpr0~&S118C$ zebt<38!Q3KA$j1;fas=&JD9_f|mg+rr+y z^=~JqDRJPDS$F>0G|gZYr`XFpBfpRRt>|)=OwnmCPF>X}f38VjkK}9d+p}8d&feFC zhPM5rBos(ArAh0>T#03dgItq4|G?DZ43TY3h}C(0sUkAN1_DhAi7xQfQCIua^J4o^ z(Z3(prndr*oOjyKAS_pBRBA`d#pd19nJGu&kxz-!?;1!F-voKn)Bryb)=aVa1k6>c z&hN~=eAi$PMP%tcNQeJ%J#~y|3B|XCXO(jVK=TJX%hnV>@Z$6%;YJM?-)qSoBv1T zw^{iQA?cppKvAG92)2t=&aPYY6W+g}4TJkSRDqVe!GrD?bV|Ahc^YBqa5q0fs(0fp zy&LDGs7Ai`_x;Y8~Ph-*FqoGsMl)xCEaA&>*Y|YdB4Tb%XMi@`3J-0Z0$~`K57?7 z@%fe)vH7;K#`%tsdkTK@r@zlD6XRYRHr9TUP5n8vlt1juzE$Z}FEg8CeR*aP!TnT ztQ~it{XeMs3bRJPQSN(zHLvKzNIJhjgysUzyYBvGhSV>Crk}mKPOFBE%2L6zUe#?0 z{Ji9H$SCdv6ipxR;Vul7ieGogZ$woOyY1M`)o{_YqL{?F`7D)HUty7p2NL@a@pK=w z*hXZgedzbQ>`R_;pp@u5dU#|z62QUakAW$)3sIy7QQI_I=D~#A_|NU;=HBK%h`#mW z&(fW1pqW{a1&Kn(J8> z5YN>b_LTBJK4}NHNKFbI24DsO`$%J<8i%=} zCa6v;W?wjrc6cbdV)@<<Bq^k=JhOSOew(SK3P@M&r+;62)Ci&n$2-F)*1Z(%>=UBfC%Rl~IMD&;>>~XH-tA~`z=o-7XWn|>(ivtBe60tExmExdI=*rftf*~`SYjXwyOjd$c5XBH?@y-jF?1eA~I7y z6bxC~=-Tll3sMv}x;`c^4jg|R#=FbS%H1z%GHu|&f1`dOBsuApgHu%92o=+uFJ?Ew zWvO{#5M;5n-6;SsBf?vcxqM+|MWqf4Kw zXeRNXz&&$X6BM^~6n_lSt>135RFc63a z!U-zG>4;0F#%tgpf3*r3hw9@LG%P|HUX2t;>LPzp`TPFP?Ab0ue48ApTEp)osaz#z z7Fu4WTjMItHw9(=QJ_HE6-DV(7M)LxQ{ZTNw0l@FSFc6{Rl zr^<9{e|(aTBx#m}JxonG-J5GX5p>xgKhcnIge@`GiR)B)0sV9Nx#>uk zXg*B=K;ZQ|ES|%S9m8*zO4!8bunEXQ+<_)~LXsESAMOZt#kkf?rS0~2b9Up+pQR|#-m)3Ifa*E}KHcj4UXP&MG zC|C&4+PJvvZCtltWbGv;J>SWXMLY5mOU~kIBS)U?P~KBXCOqoy{Qd{sJ?|*1%6%F4 zLW9nGJ=RZ!5+2?V{ip|P_11Q}_H)x~vp+bc*-K)Mu51!N=7y^JpEP>g6S?Y45|yN$ z348CWMmjiQdqF?fEm^`RB+Tn4$NsJqOe@;T#^J13zZm{E8!+?0X6yKZwxV9=PrX z8`@u?*R`C`>&D+3pYbv9+XBv&+-&%Nbz%mpZ~+j9@MojF%1vqj(utw3F@DX&UE zWGHgy4-1WRPoYR^`=u#8J1ZuohUR{!y|bl>?;C)0n7a+b&;F{+%gC-}hv)^9^+gci zj8mT?+#3@~%{`A#{-Laf8noQlR>bgd@3XV#{*rR?Kv_fopDO&x9)9la)u}s^^De_} zmN(u(%-k2gx^fSik5|5!$G6#0!tD$}du}clDToGN0%hh!k61FdbB&D?0eFPG2jI$+ z;xa5Y@X%c&4E6SKRB%`X?Xw^_x4BeaPUiMIL@DYsb;9#+Hu4?r6Rs^Wt=mTp#+L*Y zb-Ti}Z!fmxhlPHhrXgihZ>G%6loK12U#0q5j8?IAWNMzyUjk>u5JrD|QcJ-s?weDyG4+x-8CH!`NU_qmC{`<9W-i~}e>XMlT zO8B{9OaDH;*zxDai#aZiHaoPv2~$+_cq!Wds0t23^?P>~(6o|%Zcn`}(Q76UMP?_4 z*%g<_v{|yCX){`ly)OsuZ`oScGUP#|c?2rCxSu5E4*E%+{)TK|`e>5rV+F-#T372J zgWJWWsbek7>1f$w-M6m3_R|jYLPk8|ddvHC-UldDW>&Vl^f=jOhBkzTu>--VryLf1 zG#1OOrO!9FDM(Vc=sw$bHR5jQskLyS=g?-O^y-|qUikoW)A%t}y?&K*)d}zyyG*}A z75r@xs~11~!XHV4ryQ*ieU{QaNxr*?3TRPgURARha3tQ47u#=Mi*q!hul;(HbJhU_ zbl>5AXWKC#!{$ux=8q1p0c3c$`1L`I$LAXa59XUK6FwjUuK`{G-F7yT9X0hWs0Go-WaBM(K89`v z@aqqG6=`yY-{FwcITHbTiHZ5-9fyY^B5ts^zmAwPgddS_3fQ!i>|p3;PsmDN7fnaO zN znC1L%a^~B$nBESiT##(h(Q2pf^UuxpiW`C}&ID^WR^iCfp%!7h-}qq{*{*kuQ<$cGfz2q zdc(kF~;<020ezm+iHGjbE06lkiObxBgu%2Ju`F6)!_nG+w zBPqEw7m8_FcRC=H)(d@@8@nnc1p@W!A@eE9TskRzo6w~e9~Iqy_@SG`CTiF1B4Gk9 z=m~`A)Ms25t>#HT&c|{@>TO2Oqj${@PlGSN4++1A*Y_!4n8$0gPURfOAN#>WaanrhUu zgY{CIosKVD2aW3cqA3mj49#M`z|`(Zn~kAnHqjc!ud%N@8jwkg{!4PPN}yyWf*IeG zT7&!n32|-3hJ&lDrzgSi+$NeW(dBtchQe;So0D2q<10WVh z%1{{S1{(1)(|6`kR0KaK2O`dElE))1S>2%!2+90`$UL zu-ioAT*B!Uzu&@~T(A5_x*c>kP+$Sj`&nF7c5eWEY~t89tNTr&Py5e1w4`X!zg zWcs;F66GS!?O=?|s_%?CI*p_>hEsEtj6k!D8vz)Un@&3D;=8?PdeG+2{7ZyO{qc2& zq_VR3iK)v`c<;HS`^dA2s$=kSu-uPD!my&uuFn%U|yM>M$!( z5@LoqYX1c;iMsjIMWIc4>|`}Dw8+yAiqqYA6=tWm`LxohE=ONvGactk_3E7mwy(~Y zcjB4-pFYZ4T)=CIiRg9ETUJ17dZ~SK!~R+&i7)mO_uB7Qwtu5t_%vynzf#)BHlF%r z{4d{)tpfFwh!6N|Ll@8H3DOyW0hbwEF6L-ysM&f?U zJ37clQ_In|je%+^oiQT)9>j(Ds{Ge8=eF=x9PoV~32-Ac@QarpI&Jtt%PM}G% z%R)Q|-m~ zYA26t)e`yp_~~ZYiPGoN<(`JMNW==CCcieF;JSM?Xfeff z(!ob-NV;6^aeN%%y;rY(qGZ{gPWN;e_5eP0VHrcd$kO`Y7pK)h-!jXqIi@AzjH$F3 z)WeqaYWvDKQ2EBEh8v`?0&LdPwg*mOl zOO59NTf`6J*A+y&Z=LcuC_6eae5iv|Q8xd$(S+@;Gx1ZCiRDPfss0%0h@$4L18 zmtRmy?e<)*NFklk&n=3WQ{lE$SC+s*e^t#KrKvkFzu46*UtrVUc4+EjYG3d(zNIKR z30?Hr+1m)bPjd>f|8hIo^nbhn$VfKUoC+TN45z#9A7{6fqp$42Cwx(CuGDe}`d(Jt zLLk*y*T?kxmIhJH>wLlC4t~o+j%CGqYWoBKw#%^y31RhjYKt+JFG=$>Tqh5~=*}3C z{Qi+y$Hq))=*!M^>9CQV872y?I<1v>DO+Ew>yD|Vc|e8!^ntQpW)gvk*tu)OJaH?@ zJ#DTY@1d<kkCKrelLoS4u8CfB&0qLqij8oSBJ#_QS{j(1u~JI) zFfVu&`=3p+%43h--xcp#aGp=!Pu`H5eC#nxk^P6Tw_Y|+d_L;UL{6Y(PA{RI{Ok9$ z)Z#?vRKf+{lAr(RDK2j#ORE|f627+sgoGf4?S>qo0_)`+u1W9sPXc$vW=#$-MMU0! zA)n@~7KAFL$zR>WH+1LA5Fh<;Hwu3Jqotrg5n`Xq%gKvl8<&5GVb+%s+Szg*5vf?V zm|0@Yk9sgIfkIwXB|7zmd^1!8Zm)OCD{c)hr5?>D=7vXMHj~?~%=5eNLAJHF zn}~n>qdYrO^I+y?(+Boka&}Rq!8G5GDOoU}BHZWvGi$_k*1C3Ok~RQE{|D!If&2a? zm{uO!6JT+Rq{eUwW)crtBQrf~T);!io$Wvm67y-yZmTtlR?*W>mJ{nD*tmV*0Eg28 zmXWSvX*-)^_9{hhI?eOMj$MyLlO-pM)~Qh(bLpZ#mpUh8cX8mfWmEl(>xFfA>zbC9 zJNE%UZWyk2^o8?{RhZVIb0`(wLaTD~hvX{vvO4RPXTOXOS{-T0L28TM!v-6dI2`A`r{1o5Xy7e>dcL z$nQ3EPI_-TaF}^~z*TTCO^~gOb@5F`_f>$%#L3Zg)lz2odVf=!X-?T1Kwq0Cz1~^? zEOU-C6+rKH!z|V_rkg@ov@Ik7ezJCuM8^ImTGNg&JlH(XLUcv+l|zmg2Mi#`l%q(g z;lvG_V{3xFK`Ht%v?e6{YhUieK-{TV)IuCezv>*{&Dry3N*%hSxr{_(7h%LI9dalE zs`u0@_TX$IN4OO(e`?;-og7d$x;S1}-au62a9c{T*_2NTm(`^*b6GFfF%dTBASP-S z0f(gqhKD6NzZi_!SXj8&`Zbf^u%$5(S~4oJdO4~OrrFawgu(E;!Qk&XmIHnee)cp5 z?M(ibqo$FO-xVi|<~GQlVosrhLXX|YtIGKb*jOBS@d0kfPRyy`%xjOcnwsf#gRQUu znfWyy+{m?~HThoNW8qyp^s;Pb@}+qq{86d{?~5P#YBIhV)vLd#219^ug9w$y>l$hs zU^0)AiYf1BMT7D?nZMufJR#sSs6R1V7L!B3k4sO8@FSy&3N~UyFCse?>4sNR=SEdf zv8mg6tJUVP7##k*9WD3_&;~PIQwv{LzDCdfGE{+F7RkqRgi)W$232f{!WoZ(Q=pYQ zlBVj7Y&%y3_VhadrgML+&4u<*6Jxt_Q87emC`!cEDjI&JDX7lfn7I9Cnn@lJa1&!+ z)DK4<(XjF*9qEimXRtkpP81Cu(eBG>pT5L8ZKb=(4@G`&O4_%IwGDGJwk`nuE`H;_ z#z!CDS5$wLmSp8q*Fs)}D5`#H328~^pFcimKQzbwC>Hlwd46PIY-|vlY)AG}O=&&6 zD#B8WW^eMPIp`WRT*aqp#Qs?(-5PXV*;(YvVB>GE{V7QRLK-3fx1`VP0*0VpM@eND zekP;NxTue28%GoJ@#=O!47p*$?gM)sss0*R^{SA3z2V_WJIMRWb!@C6#p~90w7h=j zW|NJa3~xL`S#3JwrVUxm*h+6Rfnpo$OWqbdn}nav@lL!M8p!@vD{PR{}DvLHq<3Ut72GJ)oCK=DG- z{#%M|)LU6{QJi3sy-B&f7}|$CPbAF@NBUjO-J3lU7dms@;uv4g$`USupf;vXh|=o{nHrc-+dO$b89XW_SV8ILwMwPECCYpZ1=QEk zrz!92Xs=Snupk-l<5}C@6}Xaz?*s>Y`VovTMEg^>(`J~$DLWF6e7A;;e8_77yWo>Y z_g%|yVU@~kAI*|NO6nXCsd&W~nHDSUlKN=Lm9aDL1CP;cpoO(y286uvBW{VQgxmPF zb~>gil*uA4(aO&o-Wd$2Cg}K%p13cb2wZ5?6IDlYw1`+9L;^#~J)j&EdbZ|>KZ;($ zd^baPE~wnUTlQxl0ZNKeh@j02{yB0G3PJ{_6J$FyY)yUe&c$U78w?dCI$6KE@s}R6 zlKz;DqMdMm<=J!73Om}Y4Dcq1yZNi!cf$pJW}a0>M_dE^Znqut{Lg`596VoB(cd{F ztt9K9joh^h*IbUa{_-ZXGoct^mB{FH$w2KcL&^4*y@pQOw$$2zmeZm~=FYS0TK8|4 zK>zSY?GtMqH0j^Bk%k6zst&G$+!9ci-|foR0n;Ps z=7Yw1ISrd86z>`)SI&%H*!)u{W4-;j5XVdclq&NIW6DUFUy*fY%W7__2sDKVL!Tq<{>- z8RC=TaC}t=PDit|A60D6i)JjM>@$G3!VwW!a~i9^K2;}_sBVqo_J4>w!$@E*1e98Y-dLz}MI_Ya(U zD2h$Gr=-NhcLVi(I~s!nz@IaDW$_^jAW*WJ{{y_iZdoy6xu?SWWq%MYrLm=;`q-ZCFx=lAGn6meZ& z-zk?)FKeAn^AhzgGlpkg8q-p4&0Nnum>YezHfN+4|Dnll$?gSOPWfeeF$yeFUbT47 zc3QkJ2laS7MoPkV%`SD{ts}D{(c|gM=;dWpZ6k_z0z+@9@HNrjwo=DYN?ex;Fp%HZz3RLd20F}^ z4YE*(D~w?S@R9F`_e#-2Z(P93yAT>xx>K?h%_{}`qI43oHi1kn!6P+4rnF;cO*4yzadU{u! z5K#(T!9Rd409wXUeQ8PDI8E@wX2t6t4{<84x-h84-ZbA0D?gsSbusS)9cjx2n^-yJ z_8J~TY-TxA(GP9UHb#3hquAJ0A47n*|HAkUbqOJ}=+lJU~)&Qqij;QX!L&ho$iCzS$^0F;5p8ayhM6m zb=?fykI5GPYd`*}nCpA6DVU9ro;em7b-7#2@MC04jzp(A{|Aw>$WfNyRf9=Tw(n?v z-@wjTq-?6NeG7|m7sAmCc8!{8V-j>IJ@kVudZ0@Mgob|{`jyK6NcB8;1)z|<+%Wz0 z8}bhMqw9CR-Mseer;HEr)m-K^Cn9uT{g7eJh$B!_7Th{(Fdl+Iw6@olp+}OPPQK^o zqfJtE%76*K%ji!e;l0Zd(nY|NWNAXvu?Y9R5htwl)z!Z6YUp#c$@c0^ZyTwRXCQ8X zeq!DjUp;bt(F=*Ifu)7*XOZqyBzW<&)7QQIy$d(owezXV+Hxm>p^@b2?7-Ak46BP* zzwl-^BGdh2QYLcLqR0-^ErvSiUHzmeZI7qtXL!jjYxvz`!Cfs8G@Hs-OzBnnhX`s( zUWL5?-`i=KC_4zA~N#6Z+kdpj5rVMpVYrgfMfw>0pi5lW?BX`6CW`KQ$jq^9k8 z(c5(%i;RYGWgMRso2CQ%X`JAK8vvzQ=8U2Aome(sJ4bYBaOpsD4&oc)i)P=Rz>f!A zIJ>a70Ec~np9ZR}oGqI{AM`$MjbHmQ%}mKDqNwxUA+A&bzR<^vFHgQlYjpFbDx*4* zc8Jz^^LtV&hPxAwik$7oRV?0}%W998&_WTxE;hT}(g z-TIVZc^J_8RH}2ZKZKg1XWHb%KDsJpAc>s^5I)4GSRv-eDztHzci}A%)r4Wwm3VS5 zzyzwn_=m2z>1Lo(!bH`q+1G*4bwCpj~ED2h594;$D(IGY@KTwf*wQqY%?2>$z8|a6`v_MjHrDJGRX*C z7)aWHYTAB9!}G76I0Jdjdj%ERq+;UGGOqjtPxFq5Q{bgwwRix?dlYw(+ceZWlfoFHkHe>4kY?I6m7xB<502N z4L~MjKIj;jNi-?=VoJlqu&H-!Ju5)eYijGN453f=`{kn07BsXKaMamP157tflYVq~ zUt1+DdRXY^v&X(_uMs~g`9C2#2WxwkY;4A@_<61zxMK53U3E}E7w=vB2lpKc<-2wD zxZb(2=YJ%CPFXc;vKCC(%qjSvKho075IssBvoV8UEi`>@SQ%^fC{HM2e^P4^OU;tL zO2{@=X_!)z+GPR~>01>T&)=LB*Oj;i457Nr*p-WI1;TjJ3LgvygP$K&t3qU5J)vbz@-v zSKTi8jSRO39y|dwu@w^Dk_L)f%~VBeT!VPHhAArwi4P~7djU@`VM11k^ z42_2$?*Hp|{2A0}-vHfTw?8S~=r#&6e1aezFmrV+JTMqeELCVOV6xBuY@{Ac?3 z4{deyO;g(HR&j#0R|4*FrEK?tt$~n-(w07fg+O2{f52cT{sMwkM_W62G0_gI*2d);#km4-adJ^~fn`M!<`Ge;HeU-8_DJg^Gv|xb3%M%f>xT79V_iysR zo8tEU2WyDe6jpTqu>${W4``*3pU+b({hdGO$)7910)m3{}d$=Bzs~3#`)!78+HOFqWikr0xRR%M6 z6Ks$q%}1MvbA|pd0fPNadDQrB@F~AJ->Qp!b1+T|6aGAA7x^gsgHcO)M_>4jZwz$( zDrp)dbez_yV-vfX2G{K}3TB4JzT^ELPUXikD51A2g6pf}bExd7<9n@z8~ysQ!{x?$ ziRo8tOr9=C#pBrL>ysEgID&(S}_*=BMqTL%tE))IbwZ6C-sSKZt zT%39SSp~pv^7lM@4^`y*mN+7w%?;)I^POVdp9k^5{%5sq3&YPH0BL2{h4Rpp?ov$+E-3GOye$W=l@dd@5Hdby(+uz=S9(s(}TgY5uNoU^kWqUEj>LuxJV`e zRw%eyBX`*&x0uO3Gb4wI36c`K$)~?H-7%v(Q+V&?ucNBOr$R3QsH8XR?am@fP$F%C zH_Y6g7^qO<2`J)9s+gtIQJfIa1yU+W`p}rDs7icNFo%MaI~iuo^-JxmRH?e?=vN@& z*cEnuj;F4%(={i%sdwP|5?@5KVp`=KWVbkuluh$7+L>&V<5{Ya&^Qy!)3=b5W;h?jS)O%xF5q<>xE5RlEbYx5li(ph{T zJdJQDKSF{pG&I)p#=QTbs4X zWQ4l|*5K*>l)nI6XVWxQx7}BdS+|As_4W0uFi^@V> zwyS%zDPBF0FHoPvPZ~MP;mz&(b1f!&fzJo&w#UVaZmSAwo{UtS8t){T`pQ@bdUd^FbT-l{kso&!l$ zd8tpnqkjMrc`6CloQz{zw^rVFvH$Ra^=S6ApptE)R4z1z_h1wjmvBRDjrr4$|GG#Y zp?cdvVqGl6c<-S?k>6zQzfyR)K3M+#LU(PP*6qGKjCHu+=U<`QD3QYaB)!~VNmfYW z$M`|Q1c!3-GpSouCcD!k=6=kjccoAJe4wQ6;>{djcU~_{<5Ts3MWI^btjwx&G~(do zR#f%R%$VkeAs(}BIgfnb=ZncoefkhpmSiSoR0qU=)bDR4{PP(gCgl$n@qzf;{SP=^ z=ilMkQ(it^{1MTMX=I`w@Pv%xjmMLuo3%hUk}oHRT?7Ez;W?`Xfz#M&*A@a`r?>do zYAZqEcDxljG~d~J%(8LPEy<@hqI+7m2xUj0diKLw6*H(IDCj zbiJld*2r+A$9@+)B7mouVCC{DtVop>-$!i?6bwAJB0uE>p|NQ#gP#}d(L?bJY(jg^ zs(x*b@*xIc5+35t@bf~MBZUM?_nSX(aS@EB|BtM@H6VXixa}UP0ox-Ix0U=a$^2xsA;q4C#e+Rh>jtj-SMZAit3WGp;Op#v3k-|-28Wi;Rh!=){W9r1 z7Lm`5=XMUP3>)u$#Fu&bx z!+4{@hHst2O!ah;3qq-K>(^*JfCwwV==Ny#>;2PRg7s3}H#syRpt=X@`=BKDq6&B7 ziLF7Bu5bFUJdO$oac6wGpwp($zaK)e7i)y&{Bs0U1VT7VQeNXw!wL&Zg`K-Py11cQt_%!)`AuwgXK7>;9FB zNvCw}MwVp-X_eoaf;_{|sFSN{B)cbHNATp?Ze z=v0v-`9}#%D?t(Zj)OzsBZKG?P{D@{$LSw{A8FO6mj{=ktiR=~0JMW;z2MV{FP3lu zjZW{1+XA-O{Cdc>c>J2MV@{p~nYPF3MfVr_)QCdOg9e*6d{b-lC1}~kwY+)kH@`Pn z&-Bo<8$O2dgbr4hO%DBLqhK=FkAA!`5|fyj4=)h8U)|5+IE^gLKu0`PLi~|6*IQQ$2b9#v;ARFk78OYjNkm7KA^1X>Vm5d`w ztXlKb&Mv>>PGAx}I9?m%1?)#)&@}qPqB)FL*kw9;{90#d~awoSNKPhs3WkUl@xcwDw_*D`jfsgtGVxAKrTH)qqu!A zu%GlcvopGBR>rdGF|uBWPFch)i0sCNn7V;hLhj99LMEGF%-}YCIT~XPxH2_wA7R!H z)0BM5l7v(nx|fr^;qVa&w!V7st9zWW{;Ca^=lXQeUVb%$Y*sCI!(}oj_pq-T|NSG< zXz;fTqb?mkl~8(zSzJz^OdJ6M7#2`b$OPFbd)k^e^}im@Mc}(UuD#JLn#9g*#?;w; z5;yfi8I!{oSC*`m<+az~PNWTSR4yo;E(4+U1vtfs3_>%Ps^ zRP4RSwli&Nb&sheg$n2To_rVZ1Ol@T^_;APb z{I0JC5z$;ZUh17Wf-0`oD;0Z!TAOt6$0b!b&z3gLB0*u`M%%e7ji&-zub$>(*lkBO z9k{8Q!J@dJ^+c0*qkGqU6V#E@YA?gz{|Td^Ri6 z9E!?&+|ElJi`dm_DII3EUK-UitqEt3IybJb{u8f*6*Gd3+=xys-o&u^bDirKZoDPB z{3}l9@H;^oy_EcYLUOMu-JizWI7=pmZj!@LhyfXpZ@h>H&fpE zet88SO{BUr`bE|_IE)bfNlg{{b#o4Or9=p(*?dmB>3s39DJQt|vUX5L`sMMqAxz^q zg~{;L*>c`}{K#u}SZ%(pID|?z^-vGh6O9R~T%$QQiRmdxKqVJ6tBR(AQr|8iupJL9bo;AX`0@E&PTUW_U5VHQlfjb@a44VJ4L|#kXe3y$fPU9i=yweG(xyt2%EPs|HAl?Q*|YKoSR~TOL~)-& z8%A9c{_w?k)h%{qx|P*1cp~$}YsH8;SjhDu@OY{uR?pyT%ufA`$01YRL}apXCI~qN zpWB0mx14sN989_3-qbb+QVDZiRRSsw3DgJDh~f2C1JoFmievVZ?;e8;!?d z?)JMqz)kJoNG!d16UO5{tJ!(|XA%Um0fZqfXcPULaug=r+>4RDL+Jgd&=vVE!-zqbJp3}&tyC1 z?^sn-OYrSFZ=Y90Wr!*Pwkq=Ck9M$yx4|Y49>0Ij;g);N*2B#g4dM+;-}s#J{BU(x zl;(BbypaT6UoGM`*1UTCzF*Foy=hGU{23)ux4$TOS_`-vJPw5E&B;+U7(f~+R2A2N zTn&{&(K@*JHIhM9ijAj2?dA@fhkkRjny$)q{MO(`)|>gntxy~_+8xE(2%pFuYSL~- z27;)yePll_@=?w1@?faP9Nuc-`aTh-FU4~;*%tTw9~kUVhH zqT*~K_Ekb|DUI*gcmKW{@DNL16eP1)loL=nEt@?my?fSy8URE6pS?>rKja0v&RzaC z%$+2$hgc`>?@~+_Vr(|(T(h(LYvbSH3CsND`x$mW>!_kARm@-A&Ltw=DlgJ+uP1~e zZX=3hQ{^p7&$SqmjE2CHEf9TjB_0%TyeWal5-Pa|ST1&3rgE6cr(fNQS-lwO|8^Ns ze`zJyU;H(vu``x!S8~o7dycjE!Qcc8$eUl-6T8*3IZ0DmB(!4!+wJ%78lfIL7Zl{P z1quNHTLf#s=FUOG?V_2wX6WG@kg;}d4%~z=kh}$e?z{^Q zE7UXQ9PD@;mNiwnE5Cp;LVf&l!QK!?m+^AZ5WGN2ERo6il=l?P`zbu+<=2%Jd2f+{ zxskxzW@W3>K66LMLWUhr2d@!@nD_-C6c}PSeKhYYB(rAF340piV!berjweT>Rc~7B zC~)?`ani=)d{ARS?z8UTo~unT3klVHXQQ(OcmNTqSmzH9WkiS;aFOSC2wrjb#u5t_ z<#art@WRs2RrjvTP0PGi7<4U=K6`8f-3lje7?fMjc^_>1n2OI(@x4z#eJZsom7FQ8 zX81k%weom_FwEg;4mPO5F+=7g?aC3Dp0_)G2KpJW5!?n-cHEx{&UC+0-6p!JDG|Dv z3IJ;DmcL~~m)8_sQ%RhfIAXp^3k$uIn9dGDv@IL< z_K^QMf`pVap!3rGhgFbySqVN!4UL@uM*vk0hMLXhSXJK}_mkIKF?qoWIYrZgB5|Ie z7brlSDXr&nTLgDpuxNtB?|rtiorhPfYzkvy*XuI4QoDX{d&dUyy&(3={#cfgOY2A8 zdokmwtW=ih3w`t%ZwfxiGcQ)C-cB;%v`gMH0!Ucivny>FtobGVuJq^JAMG2e>}Hs4 z{$yS22rP}0fdxOM&2dn|;s{TRG-~0)pDi%*SGiRQ?n65xdI&Cp;)E_|Kids1roq^H zUjzpy*SvU^0fJvs1w0&2xy(1PF-FFK=4JgF!3u8*9f$ZRVi??OAT1TEv_8pL?UC%r zGfYLmM-wPYE<^*6>Ioe&1C~A(KZyoqkAr%kup-_JQ1H+(OU9gu<)R zb!gA^WH7}6Qqvnbf?PA#ttA`vqC{8%Adx|<7tQc&nOoLWQpz#@k(CfDh;%+r+w#TV z{dYM$#=67rY-AjXG&W*UzZUA|vsIk%$Qn_z-XDEG8Eg+A>8p&YHjZHdLM6*(smflQ zZA}rCx9A>g2wqyEg2-xZQX{VoSW$F`TP^6sk-Iyp#PR)3G%CD==N1M{!(RJ4KPA7) zh8X_bT^fWeu$N!|#yF|_ZxDh7wnDRY+LFug_ay8!bmvq@#&dqB!t>)XwpN3A#Lek- z)$Y5Rw{D2T$2QRutvd67$O|oSjEmdcHi6jFnKO z(o(Io!gsY2SZ&)P`sgzW+_m2*>sX~P?M=W)0%Y)F$82#eO8Rt8eB-ieF%q;ff9#dj z#wEI#3RAyCUU14_yVlVv1Rcbdz|v}F3$zyMEHLw(EUR=?t>h>v8S)74oP$#=$+k|6 zzv^4zGO9G1s}j5K&b!`ZR_?N#o3FcaDAGlRQ@=U*YBp$MGwT|Mpc_?urIIb<{A?#x zswjyt)3j}+H`_{>+`N857DK?rnNhj_Q>AFQnO+T*eC0!%yEi$?%K@8F^5B;Gh!OGz ziA*YUW!{3P)Y3OxUeWn-om*sD8#AE6w*}`N$d)UtI?Ten3wpy zeM*(f#6mVG8CAgQ*tvi;(Ww~$B`$odEVN!}D+RLIcqIG;lm*=GN`$|xjd&3oI&p!$X;DJc0X{&pp%YJ{>)$$*n zI2czAIJOUkRr*+Mk-aT>U>G zP>C<%=Bg;5{$b%4=S5_IEbN2#VgH`C`43x6_X_>WGFv=%z$7~4Kuiwp#u)N-?5FqP zRNzfjUR0$w`zD1?^oww~D-lq%^G;{pl<}?M+3dwXi~>Y|`awZD9HssKMCoCwn4c)h zRYLPo2}{=@wP|oYRZGb2tl|tNIXh-)!X|w9y4gKKw1w^IGHcI=%2U7HSM+2`$F!^6 z%+JryqKFXRlzz(bu+C(Nu2kEwpq)j&GIi$v3E{Qu4T~P3f;aM6RH%h_z**cL4kasFF)Xn)*?%a zDghIP$wu%XA%NXF<6tZiPC<<$7pQZH&Jqy*y~>H>_|7Q=hO%j;dFkoW`PCduVm79- zSEvIl_#hFyCc9qM0w?Yy&Z;<0!dk#(q2I6R8*NNvRCfX=QqlTChn(oMk^!L$)@CK5 zD4h$YQaM7seQhPQy^r)AxTC^aX*=5^pu7=Sr{9K?U)0iE$*`IFHlT^4uvt=;Hpby; z>U5A=<4NIy^<3Z_A0w=$hfEgpy)=^B9qPx$!+&AJGIWPQKUKfm7|Yzl9t9t73n*(3e6_6T~3FKZx@Y^XMX&;_=4rZBF9jWi}u>t~)09p|!&5$?>bx`yhK@&$O4Co-V(NROW|A)%py#qw!Mf zoo*g_Rb^D%s>);%&Qb?eNpv`D=q;5+?tFiT*gHYi?TY6mZF)1zSZKYi3F8#-Z}7W2 z!ruX%R;?^)##MnKL{*#2XmB$am7epPjeF>jX3=OO{cu^n!0T_L;o7b6D)X28;rDO} z$V#*qww$4p>s4#(laM4(6GG>ADbq(SD#h{)3T;cvS;BN!8h!}Uc2Sp+flRixbkb+MCFTeq#sXT*f zxAkPZA_XM3j+SE-KhXhr9A;~5L80v@A$XBiZRh=61d5$lE^-QiTrz*t(RdM@{KtcB zh)2n&X3q|aM77FDOr_{&Cqdz=K@v!V4bSa~O!U*wo4Mhu;c>!uX~Ht-UritY1a^qo1`4Gp6eS1Ny{>w3ebuxY zRl0LsSH{CTK3uvB;B^^bvnquUL+$PPbDG4Z-t_2w@Y>1N^aYd)4d*Mt5mNXzabw8p zH(>DR9s;i}O(8F8(-8g}3;F~jye@r@Cr?ZiB-!q2x3^M4Kv5gfBU{s3H(5O{#YAUK zOaj~w5gK8eE~X8;&ceug%7m#bjxu278j7}xngaKyZ5y>kD0OnXMIGCLS?L19%Zp!` zPwT%n=5^D*!uJlMkqb#;RXNabj%(xWjcpzxlJd;^vVRm7Qq_;%TzP9RZ{tm&nh2mD#-DKz z4~>9hIrT2vjdmvi5Vt+(iCOTV8N%APg4j>rna(y-IT_AIUEWLkkc0{sqKl=$c&-o<8`7^)Ugn8I6{)@fmhkamX zFa-|_Zf==FzQi(Hw;oB=N>hoVqtS&thd~|f37h)`PMxaH1RPvzbqA(W(f|&F=68Si z>QizBq03-_7iZpbhG5_}KM|B!aMP0|Dy&z>oC;h)KBtlU=&yj|LgoHQz8^$n{Y6s6 zcqkUd7gE^tpSG3=2JxJ{jVFNR@s7AZE^~umw6=xSilWPS6_rDp+%PG2B?Uk6Fr3qC!Bchou%G8v#=Tww7Ue10{^ zpjv0$3ITk6nRa^xJ?%6P#nkxrN%$Pim8;eI_Se1{Uap@`_Py|UJu9$L*SKqJ zID4sr6?Vd$xq=FF3PE_n;!cwy90tu>u;k$q`M4iiSWtgI&-C!S-A-I zg>kVxPG|t?aDN0Z-(I z`R4f!JysHGvM+7$Rh>1ruFo)Q$dCl^jn+$k8otYD*sU!!G(SEom!mr4t8?Oy0Tg;;4)O}t}UK9?~l=yM>C;9#- z|2_}5*vIFzGqg45j#@=p(Op&Ez<*Yuvrv^XWPV4Xiff35xScKNHhxm)2m;tl|3JqZ zljo60({*ciJ#~HZxQn2X(IL<0MV?4bO2uPr+d#YNVSXb!&o58*xRSP-NvD-~;j~&7 zBWV}goeH7|uO;Gl)m{&DIiBavpzvwh&kr`EfRZ)howHhfXpEgMytevPJ`^sLFoEK; zBrd_BetV>LYbZwc^N+WIYt`Gr#&=$15qAum`}U_`gldJZTqrWZnR926p0z-q(@c2y znhSH^tbAX$(N!gYXrX;37FHdZ7}06xBeJwcko`uh3QdPc^OgP0fNdG1-~bqkV2Yi8 zL%0S;xE@Sy_05CtDEb0E^fH`)X@0fKj$~UHexMhE`afE|R0D?tISECw`qXPtW^=I2!XdT{v>pznOb=N%P+1#GUFp zod_d4gT@_Qxr|e)t)NTZ&M`d@?neW~Fv3Mlc87D7)J+(WJetd5w)+w5;Fj3VBK4`e z`(vx;ZQ1khnTe~P-6Cyt%eeYKzK%ZR8fSe})RWE*p5!6y5EQ_Mj)y9Z?8|s~}gLBGeIl$S4E^7RAa?Qe2 zi?$EgoSC2)%`txrA9sRD4#)jqH+4VjjY7;<0gU2zI~(?}zAdB8@YWm4 z(dW@{5k`hELr$%Ln_I^Nm6#7>hjQ8=2aT;(;4+oqYNPRKYxxWC^O9tB5zbC=a8LQ= zlJ9B}{{T15EW(exdglF1{1nvG`#It5wTr!>w`U*1ByuN!l4F;uE zGM*OOi6pxE^__2hlZ|?+^J`fdFZ@Azj5kFae2qlCRATiTHuCt?*7#%x1AQ|YuNQiw znBowF-x`lBvtf1I#%d4b{1O^_9$rg(JC!Ql6Px2+uL=1Sg4HB}2!HqG;)vjC7pKl3 z%drNJPZLL%Ef2ugM~F&IG;Yj4OEis zYw1a|z)AI;&EvIwpHsTKfed7T6|7@bw$a!(?v%YT!miBp#Iv^^uR!2NeEa1HS>J8n z&Sy>=Qcw?-AbVd7c8ICs8vZZUX5x-jVs`UQny*Yc>Q*H1C8KF~jY7zIdMn6Tl|H5r zHtPrLa~0YXbLHyufg}T7g(f;3K1+EI=*h!FNCdM^U|usJeS=m|F!OAsp6vJ?Z!xqc z?05GTeBAqk*R~l`ch7m%++$dV9B?%HrG_K4xB$+|jc;-gi}FR#<*@;gNJtr*NvnvY zN-xE`AsF7veot_78^tA;6t)cY`(=&JcIYjW(p;xt-tHhVgT-k!IEOBiEg;_Qv=dI| z>E*nnoki^*0#204+()E3`-;Gtx|0@@Bd!MzkTxJG8fLD26HJuZC;h>80rjJA&VH3& zuD6r(i!kV!lrp=6kkPOFIgSPCRUz)-sfKkTggq{JS5CKOH)Rtd*C~=7gii1Q0!v&^ zDAPsFm)~6@hu@L0S7uj%I%vf-J~T(q-Z0Q-LKuxSKZSR^e-vXMCQhoaS`pc=^i{JL ziD}T|Ul0W;GwdRC-AgXHr1ADL^v}^F{&4=0WQOAQv9Hu}_)K{pRr#yRsQYfW>geGu znxPT=YN|tZB!0UjkcVV7!9cZioo9q26%PniQEDK=vss`f(U%hj!y*BzdW*@5*3`za zNBms92kkYW`SLEehS;t%Ea<0C8MN{{-9E-cs`Oz&t319|D@0>X@_7FazwsubX`Sc4VY)+@&To%kYgcnYA71E$+ zIS1+&DwTpB16Ms?)RNg$^cI=f^Dc(1vX+nOXRA~srFu2`J}}q~;MIAIVEYINoPR;R zZ*%dH^Jw9!bM*ew4j0s4_wyydM037EYZl42?orL6iIj8Ubr1!x5AbrE#b|KCgOU ze&dF_ZB^>~plvRei?`#o3a)t(h2eN2Ifr^0LYO|3+v>8yErQ&hlfts#Lq6;N7V!S+ zCG(u}qtAMC2K+}St9xs*wIYbCJ1(o&ulgY{`nN}OyXo+|jWqh&RJ45v$oggu22^wR zE%!<8k%6CT^xEAdWzD09A6B5doQCUBAL#YQ)WqD@&f8+o*J_No?W7mD_gR8H*uFt# zSJ|8#gNtJ}s-qC{m@`S5khNW3g(#h^#)gZJIxQzvugNcfXnq*4%Qe4uFv>&+J1fO4 z9Rw96k1lp#e1mf%OE6X@kB2gX)1qxxMEfz4-}jQwF@rG#fJO#aY${w&dgS+ZKi)sN zwjaybjpVT8cwfA}-CTtry#1_PX{PjQIynm6d&QBQL0f%sKn81IqU_Ngtij0HgZc7O z&ZQOOr)0A5_0H2FpRnyb!=YyPmt_~9p(y%je6=)+IviCYEP>5$alk~!ol!E>eRB2_ z5&`q?$a+GRWmXhB_+9=Y!NINDa9d-+n3A(@#!vS0?xY|H;(QC$Aprpc!3gsMl+`U8 zo{ND&$E{vyI4QjE;+js;?GNN)-D|EK!rt$yq!U>Gtp)I4@Jcv#I$ya$Pv#_3KjIln zuLH!3!F?>;+_JnFjTzDgU47j|QyVFPYJ#gao6h|?I05ssXGY}6MG+c!MOiP_6#i&^ zZEe}}7dVn1hw)JPLAvQi+Jz)LRy6IZ1{v>$t@$ie3SLL5iMPnJ6jQlFRh{W#t;Gq5 zQ_1!Ojy5v6w=6f?wci`Fp7PCq{`1$JXn>>67)3oil^=XR=B{h8BIL)M_zo@}e0}sL_wR*A#V14Vb%Hg_p%J}IyTqVA zT0>1moj)E|G+EwJs6THGQ^v0rdzP~KA@5%1aWu0&(buFqrrt`B9m9}}3;QQ2T_AT% zSc;zPG?B@hI9siuA?(>TBU|)2t0*dl^oD3_e0P|aP*|DL&0F{yQ`@Fm*Lon#-NJe& zd<$%U2+Dwi!7t?kK*?xlG4+m_V!|UEm8d{srR>17U`#MvchKOQNl{qNNtFlAL2qwO|(uCRLqz@gylgWLX zZOf~pNtkN%F+RSq55B-nA2L6Od7uQRTu@7g%!NOYsFJcus2PiqaHuhV0*6b6Wx?2E zi5A3!nlC+&36arv@}#p{IJD1v#$pmruZy~O_xB6eA#S;7h!g}U3!>oT>7d{WIs~cf zgdEm~Q1GW7B*Scsw+D(}F3=g%)_|6!-hr@i+}Ymi<80|y%#2@0fL;`zN^S=1KYLOQ zK~vs)zvFamxO8*9xGD0gUh(caOabve)HUGyPSHUT$|tqQei&X+Av93EIASatpGq`Q zT+irtq{$yTfJ1Hmcl0TtP-PINAU~iq2>QJq-oZY9e0_y^sbpQ6uhjNL|81L1LY9ghk7L8DM!&!utz&7;3Z)ukGt4kOw>fDz zN@zEKshuC``dQQU_T~LH1)}%(YAbR3v(FQ<74V@rrzzzWAxyQ()6H~`B>7sh7Dq)D zD>+%OUydgqS807M?W_rlPIqzn`F5vtzE0~S=j!a?%&lcQZScnoD+HK3&wafSHc7Ar zK(T;}9$IXWHezBobo(p(bu9`tkn{8+AP`c2zCX5b4u`5_fr0`tvGGit?X;6Fumcr8 z>X{_N-0n4@Pn73BW3$oJi2UL^q>xpNGYG{O-AUOV%k!yl+x@^DusV*$TMz|*DUocg zc@LNBlIHHa>*Aq_RR)KsY-v<-TS9Wj;G3>*HfCC#y+y~%fF37X&1XpQ&-&sX4)-1Q z3wX`9L_;fnD58H{csgy~@u2!ht#B63<39;-m|if9Z2JB#tX-z&1BSp}JV*7P9F*DP zPnBZhvsI7z%Mw*=* zvZBm!d>YtNY78 ze0Y`iCUB4oD`5ViQJ#+;(JA5zI1ym;5d#q#^Kv^i+K!x zD{`m_2}6P1LLn#?Lqp+^cDMH?zZML-u;Ui8>zhiE99rI7abYhKgLi@yqgc`oBSOFc$oXA>p{!@9nUx2X-lVpPU%A{cOEs zo03z+m{8a?8rxDJMzehWy3Vj)Mpm<-Ip_jfY1kH)pGfeC;c&9Ho)An|S#CQ1vQe2z z=IZ_Ub1;Pmvq}VV zyX{h&T>Kz93T~BxZuJ1GP!$Diyek9n)gqnEDP=u4a*=hX*ZZLm=hhKCk}%vBt5UBI zW?kqXi1bSaFx1@2UcKaZ7lLU_RZrH|&xcyCac&$gm^q0huL5z9>g=MdAGYI! zX7d2;)Ers=NAYs7i4J@YtO8K$I5B_y*Z}uArtoNNDqB&2 z2bFAML}--0nEAsI!ij~eqMPG#@GL|jpv5~(~t76>E%@nno%jf zR6&ZBr!c9BZ(t|v$sVul>(S+2jmc%R_oJ6jl88abG%zZ@>rpXCMk!O*f|as zF%G_fvs=(>zcTUqUyss(hd7|^=pPqj^Pt8)^z zQOQr5H^$U`CZ-WDJ@MG^hyql)?w_&9{s~q7}3}gu5+d(|+jTHO6)7#GqnS8}7dt;~ejdzb9xhekzo&F6ea6f?6%@EQ( z^X2F{1SvP1(jP8&oHj5OX?%!t4p$It3%$RII;@@C@K6)Sf&Lgjh>}~rfg zC~W7pNR@M+-kil8FG%qv+Yz-sD!ya8(Eq+OoX(+}Y^30`WknquWSXiM((%duyLenT zn6~OHFNBFK^4r2VbXM8@l+4=XlD(K;f1ksQkj~bz#EPm3SpCMVKT8~2;*Er&13S4M z>j7X@3_OEA3`}x`n6(EBc6;&T-1(Bze#1c&=nhsR4oo&E~ z=UuTojqaC!k`;fGZvOgokRa7*vZiOz<<1!lvWJ++FTsZ*#gs32kS7HnS*mmdWoRs> z7P00My1>f}WPz1)7aJta+}`?`=k$7j@bFnr&{i-8E%~$rKTAQ-aN0f=wiv*RpFiW~ zytm6hf$7auLfjCR;qUY-DC|ks!}b6D{r`MZE9U>nh%vyV`9I(M?@fFfEUPmgTgS41 z#(#Eaf87MYfcd09hIGQi+Ch#e#>&h=*mTO8d*iR_c5;c-F(dj_U;p^Ny<}g86Mih* zuLO&-A8`~;;<}g$1paG{|0U|=iIIZylx@pLNNR{=HUe0ekdTlC?w5v2d@S65K+OTa zrQV%4vV!iXf2F(=E#6K6NdAY-^|#td1w+-Dju%WkK0mynZ!KLX{Y6T%h5MV7)|^js zHbu)xeYTKertois@E;Ns4Aj-0gX$L{&u1~h=mE07g6R$ze{;bcX2MtMizjiLLA>~X zx$=KI^ndzAPN`U7FXA)rcP>o3V7ChXefQx%vO@(xT@XjzYo~df$7Ce%!ow<>@3{P5 zL;tsYYd@2!3?N>a*Yt_3jpWyQ{gJ8^2x`=eaoy>1T{cSR4~y>qr>6x_^eKKa z8kwQRW7!=~=ycH8+<)Hf2QdVw`IjK}T+wB=Y?RlZf6nHW{GZtge$7oSmE%_W$I%75 zWtmzwH2wDiCSUgS@HtJ{Tzc>0f*}EGZ2|Z=sz206kU?Hn>e-}Uf@kHv|Y^lp4ki5Td<}WRPg$oW29*W5T z?1D!bYOXM*JKWyFon6^D8WmV^UNDJ!9M1cn5&HLr2csKj3)Jc~T)pBt)cF#|*fZ6N zweUC514QQE%QEzH$lk)vyx*1pKBjggwc{VZ{ontO_t$~^{b}{PJkraq`&(|lmjVdyX>e^<-(+jbPnltfz|@etw2oNJ|oXBI$-yO^@cDFoT4E^ zhlPiq_eeflM@sS%5kV*P7S=9K;Iu%O-aBWZQ>I+VRe61t&Yz1r7Rk(EK&$$91My7r zt_N*v)_w9Hb_yvOIG2$Y4-_2F0=GI2KA@xB^Ck3(wFQI1=+k#ZWaK1%nHrGiY3QRk z^T+98If^MPLSEsG-~B^T!}9;M@$bx&B2D z-YZL8?Yw^Fw73yK3knLNVr7-G-DqV1sJUZ8eHLIhk)kP8DQ+0JG7Y%Cj>!Q;FNOZU z8si97MGCVY*kH|wNb7Dq1~d+mp?>`GIfvP$pO9-323Rxv!MU6B6SSmyTkozzR^>Nz(tmB|2LGWyJ4QkE1{8yP5FLk@%ba z;UXs9DTaT1MC}(`0;db(OrSfv(iYCExh>g$D=~Q9UL?N@kpRM7{pO*t-?h|?1@RCh zy{FQKq zlck`^r$GSINY}hxlcG|Yf2x>dCskaOF~t`?1`C^GAdw~f4f_a}hyehQh29`rLZQ+eV7a(&x(Lwoy| zAJ6_VyOdDRVza_<(v-y`Gk@Efa6!nHoP!LR6QqPm&!S1(3H@4;zCj6|{LyrFnNrCp z*?I{V%822u6xjB1ahJ_j@Q%@xYafv z$sA!2w4hqDkmF7Xm~kW$75zbd!)+r0`E(^s?bu`eIb^!}?VXjN%h4>m*E}bkennd2 zSf@W5TN5_HoUSfHpF4-Jm*3rPP#CgohHKUhh%HWQ<8uO-7gz&`aOUOS59?WRIbjS# zCYickZ1jmLkilwPO-mSKnZ_`F|MzMamhn;f7H?V298^7>yqQ3G*ynR5!2kEN0}RE- zAat_KxWN}OAHVz%G$}$EwZ#YO--S`b43;lNOzf7=ssZm(aT(LQx@N1i1@O?rk+>D+fdXiTEU-iLGZDLj!L4VEiP zcdv~sd3~#A97Y+fiv;O5MKL}=_hR0_RJ5y3UKc84`FzjqS^Ij!0}|!Vd?%JTsFA$tGdop zAl}Mof9HfXht0{8024t1+Al+J^4?^0$l&Vi?eS;TnpZ*Nm3=1fsTJL>0rS}-=N}2I zr2QiS*_4-qS>vf+h0`qtKE*cc6=}6&x**DO?16RT&RMVQ6_-xdSp#ZaTd>=wmBQ3g3YSUpu5tGq2K#_tNw`O#AcLxW}tIo?J6KBtbqm$%_5`U zn@9!MWyEJO85SU0TyJ}^ssn?(&XC>O$D(ca4DF2nQN(S}SKswdYXxc}8n@Sbz~-U! zdZl}HZtyUOaWda0{I$$dGDAvFo1k`aT4c%d?Ob`lbw20Ja98~ao`Ns`taoP^Ex<*j zdyG{La|5W=_T%8wePsc#%aZ5aN@MafjsYqU2dZ9`uFuyaB)-o1fqtpRqMWM8cr;#r z*M+f2kTOQfLjHL15`zzs;5g?F4A|JH)$x5aiCOL3{vCqjL7GGNmc(hLIcP-oEx#-d z*le5X^Mo?os5r&oRz;|sr-|lKrA;NBK@DmnaX-%pSJ;o&OeIp5eq({(Lwwv3eA@ap*A}C* zW5*>)h|q_dW|SPMAe+6)o3*^s>V5ON!fv<4o<)LAP7gorY`gJk{mDH3BW~$~HCCy{ z6L0Z$ts`*kG)UnCLhvm9gnG(jqt*Y%-d~2rwJmLoBE>@ zsiba0#9FjB(c0})z9fV#Al-@BX;+g}vHck4CN{r|YD^UN(>|CljU7#ISM{4F!Xj)H zH|ShdM8Rj=bVu1>HDojhTq)g~ZVgZ<4$xgHH$E*rY*fs1rA8yYecuAba#(yEBwK0) zsqj1RN1~GsH0tetdQdNuWG^+|Npt2`INp24vQg&7cXKKy{2etGbYMnB#F`h-ABBhe zi#JT?C#-k_lLu%vMWdJmZoB&_DaEV`S{ zx%&2sjT$xOuY3$6Ktq;l5Bt*-Ovk_7i(qxPdlV-k?#EB@T`*1Y!Nk4&uiu&d~83cnYB_2S|LMJXo>^6$Fgoe<)a>Hw)EvAX;JbDd z(X83k(R$r9R)*nqGZEaUFDV7U?CW6vl_UZ2AZ{KurJ;ps*ugA~WbX)nZZV3MSQ0@0 zYrCb}PiID}?B@6CzQg;xE`|Wo_*xG`AQRyF z!4zF44jNs>EOGvtWbcOrZr0~T^0^KlJKjge4SzwE?0kN_YTjw2ha7dJ(XZQQA@#I* zc+Ts)B+{j=M4zVCY1>BRl2rv z<2Xx`LKKU_x{FzbRN7d)kDJh!!Mo+PNjp$4KUr7aAM#%GPPO)W^|JZC6AGVt5q5r+ za@Lt%zkK_cyUZy>dYt8l|JeS9dQfSqulN;Gf@Lg7UT)_Y@!Wauq*MR9I5Co5=eqaU z1>Z`Mq+Fzb&3rWsL6^l?`JoDm7(evAwEpU%Zl`?bTDj7L*z0L$JWV3p|0WogY1Efi z`cjs0b+jx7tNuVvBEh6?Mjb{wI)&tkHrJXAa{*C>0-*t-c+B@|&4lc`b_WZ^#RV#R zB+{WG6sovP>au0pEUc}r=JFb@VU1fvTE<5(_zPEEJ`S% z*+z4FI~iU+D6quNX6xrpn~HbVyv}<52Nb+XaRzuZ5&+$8(ryZ{l|+M zHIX4;5}JDCcODWL?+Qv-;cfSr702nVjm85?Mh9S$zwfRvKK+dEl=<3+;#W_bmm?GI za57eyskpP9YH|2%nVQmRs4C)wj9L4WyJpK+wGbS;4pQhDrhkJsmM~e==C2Xrb5=M$ zT2U?drU+!SC65)LN7aA8&x-b~w zh1|}9TO@aUGBXR3UbvWlJu$q9TUPRLYUAmJEx%og;&h`K6OFXR%v=+@Q>XjfAK_!?Qv%-WS`2Y2@fa+QI5VQT>OzSX*l}jDe=sYzT}gbOPpH$J z{7#|iK7YSd;c4NBj%if-i|^)Pe#M%tSg81n6q(Cij4g5Rx+`3}M6L%WpH#U@eUg0d z2|B`W++W;ysu_*Hj6*vdAzo{fjn*-lkDwN6l>t}H=Z|6+UfC-&r%ZIjc^XB%z`Zurl&07!g={UFb)q@RwtK zR)eJqMpz|x&c^iUW($Wzc4gNjd=bmbX5bRoiUcr4r$VVt1BHfsukPOEPy}w=D;Zq9 zL8ZrN9k{kLz!u3BT8iPW(ivgapP*5|X@svc8&uX^IYzy<72f+r`7r?VnF%mA*YV}~ zEAc{hYU`evvCKiT@6z7uJqd$Tx-V(md)TaWrm&6uOInVVL?mWRBqwAfCUD78C$v2x z@o#SWql8R%2)XvmHsUQJ-8@<4>dE)Cn_?s+?~RZ>JS7$zu-<>#<|uoKg>`fs?jJUA z=DYm1@%2aNxEiM1^*mjk)lYOYqqr-v!qxDKW=~nFJ|9;XXNI?D=O|E!qm`#9-0aOK zhWJscbqT`MkYYUhKo_o;((|;`j0VKw_<5H^EjOQ3=RYxBBx@fx&~3wrVRW)SCb<*T z@m{Kw0^bEK>gGPo+~4Ax*wB>JkmBMf$QCJtpoHOLVk&o}r!bk%S5m#_Hz zH5+_lsbP#<6#Ya1pk6A#OY^Sw^mvh8%&HGafw3||^T(z9F8}OLkJP|;;+@HRpkcd; z-?rj*I%4h4gPuv2`SFshhg8joS#zQ!EcRFDHyQf4;qhI3Z6&k1;Pibxdr_L8>=kai zFo0=^8qcQrAl1V5YHRr9)#XSP4loiReI_pG{hG>zB5b5KscWj=RKAQPygwRGJ@*sM zYPd%`Xd}ZxChn;`V`u!BUlDf?ja99Ep|H~_%*L;7c;a)?+wNl|d=ZDi>>)4=v>G)D@4v+HEGc@RcC7wda zBDUWW*S3AoJZsX~nX}5Lsa~K6rM4x!#;j`Ka+c(h4N$FLuN@u6CxfGIGeuhwDX*~O zaR);H^kqK&ECdYyDxIl3)^RYszA(|22QLU3KupcH_NUCWgTKDK1__|470+LCoJIHC zf90`XW`>a~%-aC|Mesr)0RQ0j3+qYUg2sCR54rW{&?)I_94awE-XEDi3u&~DcN5=G zXt}rTvj1)Fv4SC9jz2Ot77idUPOt|ari-V+SgR`54OMIJ&yDZ0s`dR&CEV3@O}{!}}cx={;1Oy4jQ{EX0dpa%IZG5)-9b^SCnPK7CyNBG6)_JbI6tg&B zVjQHfU%QW;ZuC>(>^NFKMgov=95MYUo5uVF^8w4WDw%P!OW!qq{PE#%u575ee($g& z9G}%l-FWDI;3+JL>?Mc;FBfLeuD{;l^SMT6&BHCGniX7b7}F z)wfL-HU`kkjK)3{BV0((H6*_1;bW`9U6YLv<{;U zygk6|ykF~(+Cp(AsC|WId^$TovU^2>Qz6+<@W}Xm9!d_^o41B5A$Dy{&1gAxgo&#b zHV_$q<7`{}d~P@}mkLpc7^ZI`yuX6Q_+`_g!bWE*hdB$fE^3k4fJr$GV z*fbi%{`4U{w2zwY>(Ku4;+Q}OQ7W`UA5#P^_xxKfwf3TW+BD;vz32M7iiFp9+p7W% z!2V;!QIAhFZqm&VGuQmqxQ=5^KWm_B!=Q&{)aqh`419^X5!sEvMKY;dw1_6zV;fOux1lBG4N1%xNG{w$`^=i(h4&k}^Ohw}|p`1%9O zt-KCaOG6t00)ZIwJ;p4X>0fvQbLWU2&YZ27bSgiMq*lug#1O6?@ACYuy&_)+o8O_x z)m?DY+)j!h^ON5rsPmVvZgi_SvS!i%ZKma-Ob_e7W>e#;eipDY`=iXllqe*3EQDHg z)-Ws&At4%$0~LfY@Cg`8-y0Ui?c@BIYOu*2LB#;#b(s4}BDAY2yr|m)=QEaf@nyIY z)k>GR!%!4qPX^@|>cahOTKzna_gT-TS{k*V_OV#F{9x7*EFExF72>c!rt3Lw&%Y@(#nXY#*EVq^J+9 zl2)Gwvdej)4rv~pc~}L{Ao$OfRALce`N=4$*RFKBURbZaO+uQT z5?2^z<1fbqE?TI~PIe<6Pfx-r+J+O9;h8XXfxN`K4)T-(Q1A71DM0EmYQebaPpfPq+KZRz1x zWi798om`(UKV@vD3#N|so4lncqYzhipTeg zu)JDQ&RF@*{E>?*INk(-zs>q@`vZ91v9TTSG=Eh4`T=Cy1O3L~ku9rU*8o3j&HTv! zbKplmj3@Z*qGK_#_54TovXT~q?i;uJBg(IpD=qz0!9r1IIiX^k2KO`a<>FjI$Cl&9 z6bh-;iAn~*g%5@mFC0*Loz~R*^@>nYMnrMMu~G>)#_(~1f+;t0gn8f0E8Sj|oNFZG z|9t+I*;wa}nAd)t7QpQB%SeK+9P5FC?6)2#U(f4ia2hdab_6ZpzXwqd04q(H4DoN^Sf?_Z z&rv=gi9lC;QWhgY9*3i?+6V_FR~Sw@1VYAiifJ}RoO|OY2}_rF2CWKX*96I3!j0Vh zW^gao@Xd!mQMZd+ZRYj~e8%5vcdpGx(wKtb>D%6_FS?L2t3xP(Tc>6lU4iZyU-?O) ze59CdroVf*-sv-)>7O10cHPiq;Jrl)X@ou%dyrW7f^g$GVtJAJg;97n!p9T zP%MV(!lI*%SHAg48t+r3uWf(CEL}EI@Vd`RzP+GP$udL@DheH7T*&1Of80Vw#zNVH z_SdlMKalWy2Z@k`YzPXs5A8`v+gWY^t0iMk`@pN0*XV8Op0~p54nBGAa$*|;BMsuM z#Z)*-9iTa|b_oI0Gk%=Id5E4gO2lm(t~ry(&X8AsuGUB}RENHU9;*sguo8H%cFL&` zBW(~lh_!R&evARa9RU9Z`;P>u`PexCs7{S58r{3}x)5hE&_aPbZhs1FB*Qi470-8ECC zx9}qXpSSHPId*l(&XktRKND*aa*+PuhQ%vop9z<&c}|EyNH(z+8`MZU53(-A^-JNU z%;dDRIajDABHz!FXsJyxA7XhR`ao?cS||N zYNwmQNCx)c7dM{4zWme7tjt3Dv}t9xVBJu+7o0d7wHbsME32_KPSHERekA_bE|k~J zU;=2&>&K0U1o*pk2rI7IyMd3E(Z!Dhh1-TSRD^cjANlaA#TMc!e=RY_sT$8@+mTzg z`!r2VbiH~HiDfyy_ITg>Fa`>?D_85>Q^HsCbyO`b>H<=Fub{2h?Kjt#nL1`8E=zi% z-vA9$H9kavd6U7#$UPnooGwT7rK;;{S|t20Ijx4@B+Dir74U1vfBx!WYo9ci-)G#T?3kT3#X(8i#K_R!} z!8U`q(mN=Dz5~%{48ftJki9}0N1+EgEQEPfnzl~1^gt!_Metwwx!^ZtX9}W)`mfJS zt&VF=G4cmem2jew^&1sW6v-KRi_nsYQHzF;O?2dP7~f#~pLYzOmYl4BN%_gJX+*QQ zek+eR@NkC#M5hbh8v_vD^}%ys9N43ydpp1wAF)0ICGBS$QpYZlj58-fWuxaeR)+F?ETSLNOXQDZ#lm{oF+bK>{y0+eJ}+X8L>=Pa z&mJ{F4a|xY>ko;FuU&ikMsL2Vr6}^H}nO@{@6W%1O zpbQ%f+wzcpPT(!$>*pYCwHkfsv^QTVc<4k|Xg<7uXXy$f`Xv=H$`O5(t=`yvdSn`! zLsH|QYIna&$0O1xL`fJWoN0GBJbpMr?8Cl~Qy|km!cgsH1jd}ro7w@_{op$l{ z$XEYBh!XgaSG!%5PV6@*yfo(yAP`Rj1kg9nm_tkWt8O-h2w86MvE9Vi*dVu zF``h(jry;<5XCe7vFYp*FgEW^Bji>WBXO4ORLGvP{Lm8TaK7;5QYgDS7Y=ZWpHQoX zONh`6mhWA3X9`yy9Ni&e^^+?U3Ob7{>%m6LGF9V_{4k&}wPKbpn9}}8X-=tQVQ%S) zCq2)?2*Dr`$di&L(NMuX{y6axW3Z)W-wkc8>`F zrxiwI?bdejsxnOgh6gi2^|RkjiR>?U3brB@8aXYNb@LrNdC^wJxWj4Yhu4Luq2gwr zoom^V`LGu|uDy&RUmB==*;Ufg9N^Z;xx_M5kcC$-R%Ym7?07nCB-Vc>Nwa-*pgyQe z(DFXm`K)}wG2DlvNP(I_kYoFSBrI=)m80ul111u=#CG z^pgq&`cw!f*B9w8R1=+wt0*g#0wg`SOP8q2%J7W~?ABfj|ePEFf6$dD`-XGapNKKRq0|S{z+W#Arb_B0l%eCL__S*lk z0p6sGf^wl+cIemuR+Ok8K4j}aws2}ZdgS+~h6zBTPi8N@Fuc;CG8@+XnaUITM(fTy z$#R6|QLirAMD!PyMXd)J4v|^3J@YlBrt@8G*vB;(deK|%S;+cZtyaSIZ(z;qi+9iu zy(6IxV~J%Mh8%%`M*M=wW%ndT!Bg{v#=jm6KRUzUSpUXV{k8LxH8?`4*)(ndM&a*b z3453GglF(Q?lv0b1z!)bFk&yGBUn+2CI1`U^f4?5MY;IzAnuMZA`+Z;#O74FU{I6!)MX1dY|M3<7 zoP;$6+W*ZH{r4@qcWC z!(?E^8IVu8w`2Z}*Zfc2{#(^ktYgV?k;VGBS;c#u@l*aCBmEy@ew)MZ3Y+hvzZJ@u z{p?Kf+5P{kr7+E4s&Ifn-t#K(<^)c8_-Fj~tt@h+Z2mOm`(XM+JkvaSTH25(f_GkC z&5;y&Atj5Xks%@UCA##lrz0cbrgLteCcNT&PL|r*J6Ag20k%Plbh~L*S>Vf~Ebt04 zJf`Tg=l{Lo&OD39WGOM4aGtx1Imv z((gM96k(!==^2pzQSvA*#pIV(a2Auy|M^mwq7)V|(HhcnV*e=Fyy{u-z-TAfkpKUD zDcF-4uwkM(bQS$$G@&6nL|J%j?V{HFF?<=PKI9T;BE`UF;`v1}|T9wvZg$xtc8{_}}^HPmVDF1aqR*~nizLaKCub_im>uy>-kefBmcZth>@f(R% zVE4XT72EXvHSv+mW8jJA&ai_6fbK4?fo+u^@jnL29TrTfk~eaZac})x9@KKa${>|)qRj);67)0h32Jqp3HZjWn{e${_8A(o_c_ULw=^ciQXmJE zyIXBBV#pp!9ym)<^VEg#HgWqutptS<%qq>mXV}+vv+9|)c>rknDo*tRMlDhe2`Xe* zSN)zOc6W44FnCl*o<5eUb++lXSgX}IjHPp1&K=Gb`8L}2H2v&ib9(f@1aB}Iaoa7F zCEM8*Jvlfo>3&|g9>{RYu&Zike~;_hM%R@bhyIuiby84h%P#)9KDe*dzWFx@wC$( zbtYCUK@CxQBSQ!`B}B1*ixYs5Du(qR*44fi_TQp^RBA;_LZ=>PCT0v{*Poo-dqwlx za=O4h`#HN1_mlmQnB~ds{S(X8;_b184Mlc*G`?<01VXK+_EVp4F?Z$_cw_ulVD?nw zuY8M#9Jrx@B5SKuYFbNOo(?moU+Wd4EyqUDSDa35b*4|{#3H*NTwNs-&U+vCGCEgI zY>0RrH4{wvJyG%az+dafR(&qV0K`x1sfLSypYnm3!Yd?RKN)(O7?SAH<&&F`=M@gc zl@|;RtK`-SoH`zf+%{fd5JS5J?h3G@6y{3)9=(K2HKXYKoB{JBE1W01^;gvvFoIPN z&z4VbtIx#;*59!R%vKnW&6c<4+pITyD%Eb3?|nF;|82BCfHJq2+Q^sQ zB5{@ZEytwQE7oVG50K=i&~M?HuAvKZ4QbR%qg_5k7RR==+W3pA-y;BTU2L6SBgm+n z5Z)nr({5mUWZWDigtn(&P8@@De55FIU%N;FUInA5X ztk`R?n|2H;WjxmJ4Il2>cdN2#l}Q3aYsTZA7gfc{?=XFyaQXRc&Z;jwc0lQ>uJyIu z5wC0d8!VH?M^Z^CebQ}xV#Z{}=k>cP}S&f2`T@MQyvtuiVP2fX?AbXlSnrjbA>BAX3 z4sE5{mA0$k+Kc;wJs?y-@W&^mF8csIwi)13j_d5QPlf}P!3oW!V%WxDn~BBn=i1X| zG|Tk|gp(}$?tr&o!i1IjT!FDH&V`5bL2}1L>Q1M0E}Ns_%Z0>!?&=BCu>M`Y>PuT$ zgwDNQo23hj-QP5Z*$NhB{-0H{0rh*Mwbw?6S^38fOlFM(3ZE}r$@?bc#InYmNq-vE zZ;)3j4?p!`XgGXxVwx#Y>&ua92hgT1P`8sh-e+&Hszg-iH!iPvSJTre>_eYYt67>Wb4&sS{c+oLMFO-0$+gFT|VrdXPb-6Y`4;0W{sI84~73V zQ579V=*kuti+MEnpLDIYxXe_{e|fD4vqp+Ekf-QY=#$|vlVmUwPH@oUiHXD0?!$IG zVC<_8>S)6Or_pA>a*WAX4~$)^($hf?zVYX0;~>%eRQi|I9-gZ`4K_2&ifI>_OQrtHZFI!f57tW>5oeRaS& z8r!^1CX}(|Tk>IMPRE$+eZUCfer*=;3 zRw4JHZOe`E%+=gGAKKZqMHS7fNhtUWH&0FApb_}xPWfgL&pYo0(q`JPh6kZjk`MgTcCl7RW9&wru zA@}Dq-G8+sxIX@@68x^?UHeTjW2V2aQ$9FF-CMjkUgYt+q4)WYqhF`5$Jo$T-)QTe z2|>f8m*5dV$HXViPdU$Eg-O+Ch13f%>De8uf`)HR>&+n()V6oQ959n_ba|Pjq)X`e z$30Gv4rcATpKn~9X25x8zu<{c?@+kN3Z2nKh?36HMWWBCvN8cE5%Id8evrF?<_2v? zkOx}Qi5NNwEP@eBt>NWy$87lRsDkt3M(_LdAlwS4i8O(d=9xAI#UGv~0eLI$u^?^+ zt&lFnpDzhLR_V+D+$hZ9?G{W!+evUQx3~_L=X@^Sca2q1^ty>kRuEfRIQvz!-cr-hO&r zE_Gj~9b*M z5-dfTlM-`CR@Pv$cT)s<4#6~Mjw0^ik1h;?i}O|4@60U#N3C-fW+D_8X6TX-XaGWLta5n0P8#-?l zibNkwb{W+Ookz>{)Zx)8KX8}^O#eFimfu#v_-so9G++5(y1K9(FFarvc&t^`{&gb9 zWu!p~aD6y`ok4Ak8>Ws*)X1h$-sG%aqqcVDuddT7VwFt{Pd>}7Vgc~pSlg|UK)+dv zu{4Jsf}BFGy-^SAyth4c+PC{4b9J&7>+b@GmrgqFlx$0V4lHyKdW0J#Nt}Cpc55TZ z*{rgc1nITKx_VSB8(&`$M+kGSvZe=s5A2PB=lqw`sMJ((evnLX%%kYU+fvZwfz?;s zFQsI~nXZW$IO3Hy0f~+JAMXoqT(l*R{!S!nS;XOCrs1b|r;d>88etfE=?*952 zTEUPVNdBw2Ys(GSeW_V?Ua^xd%h~X|A3duD1UZ+hcJh-9iDO)yS+lM5U5F6&)+JEn z9JRSjJE+qy6VD|cCsL&PNlFZ?Yccy>eJiESxBEa(6J+9Xa3lBph<5|HP~EnmDI8rl zd0B8DK2wN~=Dc#6>F4IBTwPA~1yVF6S zO-dC6pV?S`A$FNPWzw;!!L0ooCd^!b?p(4Tc+C?n4)$Z)sRGf^fjaf*5$AjR-o2i~ z*-`-FNL$wGfZ|nf9;-dujMw?uhgmpr zpJD{PEXcr|Ve@R2Q)Q`E(Y9?G9dLxHu{+Em{hW^mL2>z{vDhFE9G`BR?dq!13<{1Q zLxXckKa=d~455wX-nH7$)(a)`8=_|`a6c16Tt2@#Ob78I-bjl)<)P+TXTv#cL0l`* zYPf7?Cr{X`1gi$T9-v?vM<}ZxXXb#?C_})_68t43vEpGE{0lq2H7GZQoBjJ(^ZI+~ zE;jjcmdV_pI5-56eMqQ7=3cx0?hddm3i;t@bdve(S3crr_^5_ zV%nEYn7*wW)S4+1ToBFLL17w0iV%KzsrX$mwT(I=0!g*m8KE-y>fyoG0D4DJ3#Th` z5O8^cI)NbZ<}2{<$8Jkj@k_AoMBYh+I%Bp}>{HnU9K!oPF@{dW{B~4`<3d?zRxVvO z0l_P5=dVzz%^ZpNSr%}XR`f{p%de;N`A>50D26O4}|Inbl|Fe=k@%FbED^t zQA*?c@vp5XZh~ob8&8`O^@~7u99Gy0)aC=Aqlh(`YIC?0dKQ8>epQx)ryK z*TmsT<+I%J{DPBg5r)XLs)Bc^7#xQrC^3ieTG>FTN1RDajYd!}B4M&vaG$eXsdMvb zPLQ}E(!_iPo}S3ie!265%iygPKGGGq>7r@n&7Lt+>OyC=e`Qv~T+1Wfq7>_u za4bo-za7C4mhf8jb(7TFN}iUU z)3KemFOJN^)!bjqHDarcp(Q+%6T{4&4N$49?q%?YqNFo^BX*MBIb%Q2M{h<-wuu;D zTKl}6sS*4hApwU#JDOwTgBDl`;A^~mGB?l08~H^<8Z z!YthrlJ4*Qz7r0J*3;R+5p7iZ4#eo4uqg>`8$7p@xGVu@G;yz#mKuj}!KyaZgIENM zAM{=+C-jA!dY;tJ#?P2GOGO`@JfzpiVhbj-kU}yIl)>zPiK1!^F=H>=U_$!-#;emPMyLxilP;-d9KiO*z!0${xmzt4t!bJll8)r&svw=og^c1(#iiO?7#% zFu*FBItR~p%Q25UkYj%5o^3Wtq27g&FJXbp>SQ&t0;NpqDU6fZ@XDZO+0iZ4$U${d z!RBF44@Oc}zwO*;y)I;pR_H=Bm-i#yr%+V2K9edmxGD$-n*UATJ*rvB=Yf1%Bv5&w zT1esXw$JMA)q;tY6~f8G$9z!PwX=Q)Upvi=L-Q&@1IM)wPKgHC%){fP;WXHCa`TAL zHz3Qqx@)EWX0FPJ$rw+g*)j8-pSR-ZJ2Me{9LcG(XCeVSBIS0Ex2pI72wHvMc6ty9 zl(xe5T*M?#XQPnIH&86=qq82-Yf9r~mx$xgF_D&i6KI@1cYQd~8ORsrV{()~MS2?f`PF&AzihWy7l&uRb~5 z9t7fm_YzSdbOuryE*XnkLa$?WKoKrybfpdkm(p}l^eL5&&wsk5g~jAeO4i{cJm4y24JXhyDrCh_9r;Y))RP=WV0z%x$blPX4_4y_xY^6?fOxqDwYm zZ0PQcSd)ik3n*{H7^iwG1%RST3e>ePAFmP13sQVP+i8dXPc#rMBdMDcrCDE4l zYPwKChUDD9x)-Ojnaj}zs9rZ=2^?1=7_@I*^JE7%u#K0y&7ua#<$44R%vKOCE&J}2 zX<=28B~KJ5UZtIYMw$Lh$bXV>y0x>L>ukm-TUt2TF zLrKOvH@cRvqv&rvoe@==*h?Uzn_%>T@GVwb=TuRUL)`I@s zZc<3(U1@onjGd(mw8U>8-$a=M%G$tBfIbY7H$Dj~_8)_-@Nr2c;bi1taqPoViKB^Br@y z9v>*xK+r7PbB(}syxCHzdP_&Ww_u!v8?defTS>GBUn!FxANNOWd*%Lm0+9%lNASkb zMCASizmhGsa7PHam9|C0QodGKH4^*9oZOZrzfj^U&zAPS} z9pQP23>8!RlB}(LhJ`v}bOZN67y{WRollhA`^LDD)64_HD#trO5dx^%_s4P18}00e zifR3a@nl5*v*fmZuAt5+M0|^`hnv26EV)54fh_Mwx&pd zP^%kZ-Y@=dz82S{aP!Bj+;1DykA%;-3eqIBZzB_wJc|0q$Wq15!)QP_aL$^8h}<5P2k$~0nEi3zw(J9_#wr{!gS&OygLjn# zKBtjd2=CpBaD8a&{VI|QU*0q4Tf&6gz$$epbD8m!QEomR)70Kq+L`l%Hex6CEf@W{ z*M4US#Sf0ev$nX*bnOX8^=bBZX^8+K%l+S;HV_%%YEJ)VxV&0L77N&o51zOR!|y@= z6ApI8nzWyhw|x*3e-_+<`q%j1fy%n#X;QRWYl%$^oXa7XKpNmkrsTFccj=6{HvRDx zXKQ~4wwN2tN{?4slcuVx7K{Tqt&fYL;EjM{MgWi$U_3ALfB zRFX;9ivn8&-Ool{9+gDds%ulpEFHJ5oLh?@s~RV=Jr!HGsIxHI#78>p2+v+&V?jwMw zV+{H5AcB2f<_qq`_@c(zZHp-D=*U&8(PGf4?;37ElQnx8mcw2D5YK<|hBr3>&LJIY zF!4pj_ocu5b$yAe*CM5>znpNqZ+3}AhGmY9I@mYBCa)l+G{Oro&^TmV`_t)iYoFb* z{c$RlU&iAUuVT-NG7VPhV8^PLoo)q!2MqK$bqRrl$eUHiHCI--$J1BZp0G74M?2;gk%HIUBGfk7zS?S5 zJI?Bl#gCN)kS;f)TCqb`2xDdJ(UEK-+6?G2+Q__v2nCMPHrX;&07TK%Iln%@LSCe@v_IEiJ7LuPe)Ebi{#6&d%fZ=I9pPZ$t@?gD7@K%VYk z>Q&}*+g!pmd5v+5wLq2cE#a>7kb!2}Imqq@HC7pXP}af=j77j=)}fUe0_gF?%s?mN zg(}JbtI@p`ruFRJ>IE*-=nD*sSc!7G7+^Li;Ih|ECFA}Yg{Q=qW5V)TpLkf1zP^d) zj}NbVi)T5qjL0a4qFXEoffBZSAfhZ}i zIq9SWGGFegeOiPCVQDStCgj*>$0vwee6KSra6SO4cIlDZ=;sztxoT@0jQ92|`M3b5 zZfPs#2^!;-G%RNAv`VF946TNIV!#5jlVTaQ#unuR#Ju}5HZ{*#J$_spClrL z9Lu!IiPn!&8dZ3vw)bp`{jYW;uY{+&9)zL`B~EjvZ`Ngs{Z8BZ;@EwZzpG+ej7S5m zirztD7mPB`+)kF>ODLkQq;r0~a3fC&;OW~#Wu!llaM`C-#LHSOjuUyr)M zV@6RIgV9`~B$tD1g*E&6bR6lo1an%IErn>rJT2@SKOfKazYSNx%D*=z&b;bFQT{Mk z!~AZF0buAcyXal!Hdm&lc&y*(_KFXJV(l;BVfC~y-c^p5>y!Cxh@2c+rY&Ww*8+sT z)aW1AZin8K$=Ew{-?xNRnYgXR?j|p+BSjHHrXcKkA0Fm)2FEhGzX@a8rll&f z(YoI^u+UyAlK6XrbFTCBneUg{xHCHS=@0K<1o88)c3ZXd2bqo9W-ZF~8_P0i_*g|? zuB=vY;8B&^Q|*bB zoXnHC82iBK`r^jP6PCGY8AdnZ8bE*UUeLO;OYA1VYyoK__4!PyY}?ujidz}$YQi^@ zlaCi+AJqa!p-wQ&&#h1Y)c!Ok8pSP~tWX%FFI7ep35;@+#}Sp4%AB*UwJ97ZrDr_0 zphqGh>*lDY(=6|ojwRaV_N%&^4XKse?q#20-LSa9Pj3T9gvJ~a5wA4@)cP+COi1yw z{Atwgq*{exK4;6gZ6`rBf*GI6l`Ak#jqrD~S~>>PH=ZdB?in&>Da~c$Fyyely|`bG z6XLL|d=pSKSPoeE+ZRN69xw$S%6~D&Ie{MUcc0>!IE;AGK6un(_G?lmk5Y-HvObb2 zU(v0?r@qW4JDZ}jELIpMfweynO2|v=-z+ptsPSA!@yWv)T@PJ)M-_!Se|`EW?nn%z z@>6ynxJuFnVI8q%c6}_+ge6&;28EN;NfAE(`i*3$KHN`vt1E(>0dz~|&AmMvX@~N_ zf2|et>PEBmN(OKW?tJ^wrlrb+A^>P-bJM>OgQvRBnK1Z>4o8d{RDt0MK8yI|Tl(!8 zXB=4V=*O(q`hKo06MIthOqTj`HJtKmy10iIW2}DXU!K^_o;QUTls0Z8eP>=b(RZHn zPUV_5aqS1W1tv3V6cY7$(ox>Kc}@>737Ob9={|@X-*+jmirf06?hvpfI&a!qR_-9T zF#S^Be&A2^_7=v*Bjq}aoHckdqp-v&T~xV=mzFcSFIMg{=f;^1!nfOmPTR67>4$Dt zRtX%0()G)VD23{sKzKi#1BhXwY)lJh3@S=2*B9!x7Han?u`9!I5#+H?&$ZH%k!v~1 zu-4NW^sF~o$USYtcWv4Z5kxR+u3I-F^8SWra?Yr^oRv?B|C-;~uBG`AI#X+H5Mu9j zEZS%5x0*wu;EGs~u)GK-%S8e^zSX*Uk8$9HHWFS@A_COcMb%o4zz;7{O&VQ=W=rx8 zA&A}jzEo)UuuS6Zt3d)q*Akdwjj~4Hm}0760;3J?n3CAl%PIf8R_sI;HfV?93Ms{l z3Cu6;GQ#F*RM#n~ZyXbaq)(ep$?}rZ7`s~hn~ktSUAIHm(+DERVY{;hF~e1K$qrtt zv6KBjB$Vp+_sSYKahz|i2$Cw2@60F7O2^DbziS4zYv23{09x#yGxu+T>9@xgW&sP`>W|ix_-dxj<5oi2jJQl)8)o6fh?_+Y{nwZ z63+FsNo1;!Pqf%tr%G0T7<)MP@z%IA)P1I)0QbE@T2p^bJ#JmXC?=aI|4D z>T=auAZoMbw98;Jv!IVjCAu4}pQt&Cw8|cQ8SU?h*LRb8Xxg+hQ)IihrEu7jFub+{ z-Ek;k)POh-LVsXoyDaQLQRI{W0I3#a#u^UU^DN(i2BPt~!2L&IxwZ&c;A-GYi`sQj zn0I0w(g$v^#nm2ix8#J{cblWiZmuzq2()0*9R)E0W}N9=vHkkb(V~tjv zH_iN;tD(*ol!`Q_+fx-m)*OP4ZF%4+HA(q+Htc%M!bjL2HM!Lq2sqPR!8C^#*6h&3 zIrrVUS_&U2zQkw-iW#F_PZw1OP1e_gVr39AfhC>8R`l-FeUHXB<|eR@I768gALscC zBmTW5{ga2`hxK5}U(9)5>W=;^VcqSC zO-BM1>wy#0NhFkgxGTf$H3gDfy@Uivi>PR^wA18C-?f7HSS7GXFT2dU4;r+>=BpGa zfMhLns&vKt?%ZPgdJHV^=esg?c2Q1O0+ri<$57$!`X+$*x3QH8l5>%-|_JEcdt-(e&2(ZzJYN9&lbPj~(l*7O<)1U9a#V zewbsESg31RLVRddBz~f{SLn+S*2>}~h{aD0FJoFRW?(y2O6LA=13(&ezsA5(#k7UB z?AKSP*>@t^)BJcFe;OH>a4-;B*vvI*JT%3_slYu@OII;i@x54w_y^K1ov)wZ28R3H zOz7F$vNbw<5Xb|$JsjRmKHe(x|`SRHIxAx9(0}5w{5<-u%=i@wh|>18pwA|`^Ipg-FT$r+>NU1 z!sjgv?id#wr*|$i=0vMvJH!zr?n?rRtK#n&}!OLLCvC`|4=`OK}MFB=^;6_JEu=m z*RVW8jm_6_Gm#5Y=~gm{yBya{Hhfsd zrirX&rBLF4?lj8DtE~3Mg-z$;o4xD4zCji1=&&wsj+aoJlG+wSJt1BDYDG|>f-U)~ z7Ry^(^x`KP10xrJVQD~yLey~~Y|<7?x9c6HC8TTW)vVvu6wZ3C$K4-{-HvpsWh+qB zGIUIYHmiTvYxcQJY^|GNu00CICB94HKI{1D_oG8IKGj)z4x|XQ=4#na?xv{LKgk)? z5|r{spB;Fx8vbT|PF|(+0=qqVETSK1#K7}9H`K-VG3DF6z=IY2gjFvJf{mNRO*rF=6H9pR|6J+} zBc!hN*x$7Sp=N6IqleAM624g(2y{lC15tubOL4g?0{*~FUxq&7j(}Njo%CFlZ{60_ z$sC^@i#!O-(6Uo5>E__rL*t(Su>HCm0CKZ1IGJ~>K#g(g`bbUbZr@_C|8$b-}B>u|20Z^}pHFHnV4C zI(2?QI#q6|xJJyOIwkMS&~R(F)3AkXftSiHf#<8@eQbZN=SE>f31a@uo_mWjb2SED z`%(|Ow6UzmXR0xcmz=)RZJFR{4yxD_i#fq(O6U`mLAv9C76|KD zV{aHpv>_&ep{|fb87}h6eB#8@15Ru)Tdz{ii5TFeW*<7++TM-}lK?}9GCfZ|@@)#1 zm2N%9Y!aWSR`!d~`h7D2_W}SXTucdREK>K`<`96bT3MiMyyb&U<{CIs%ksHbS%+E8 zjD;z^zy?B7yG;&chONm26p7+p;dl4(pLshw8f0OBGKGa zU9Z9S0C7t=x?khj2XRr%F;(Tcq}W2u=?N^XC$#des);!Ko0RVa^9EA&GW~R3pC9+Z z zsFI~$#zd4C*jPD9u)$yW?$gIN9BLJ5!ru(O(;tp(|HbH*xaX-!>j=;#oX?L36%~@n zsq)0LyUf+=Hj{X)@1k`=pYX4KkC~NLn9VddTI<)b3-6l-@B}@3XuA&;4)>?1QuTsw z0@w8>a|{Z154ZOofqTA*%{DgbAy0nrRpLJI#OL&K2=8Af37vO_O>7laps z8SjdKYmv=v9x-}5vkfstWttB}^Q}8bBlV`ql(@e}=#DT2Lp7lImxOc;Ap?a zP8Dgw>tBp1jV=N|YP|665Bs!0uEFQNJ&(EkE-?>(1Q9@KL|AYRF2oXvCfLiLkMB(Yz_ zJP78+!{srw>_)s5qY!lWIH)-3QYxU}Q9FbeSjTZ|KatGTS(6kq{~}Elbl)Vk*{pA1 zaV>uuAM7APSH>=6^Xc*o3*>!m{d4ObUQ6D4{LCO*+BTp$0~ zQiW`lMewsFTDxK$D19cH>g6?=%S2SLX;*j5C3v~MZ^37ZbhjC{Z%Mwnjvv<-(HHYI z0*q7V6h&3ongmSZXvq1;E(OigrW>TVoOg8c%=%rOGoPH8;7)qAs>@#rYMIBnwKZ83zjqU)rbCtr2w|=x5P=-A z>lwu%uM6}tdo!K$xUPIOCN@M z1T#noUpKQ&F^4HlnoX+U&CMQ;{)f4;KH(dn? zGPNVH)ek+R-A*gLTD5_B|ny+c$_r1=q|8cLM<9wr4 zT04Q%M`Aa1Gg9AgwPp>v>;EcmnmCd!&2MBoDV=S<_h|jZ_5S)k!Y6I7c{Z-w=S(r6 zS@w7p?Tl_bLp447ry4U`33$MbDh11)O&o3aZYud3XRqX$8mq=i|I3YdK-u6nCs-xO zy{s0QnB}%P6`Rc19y$}dTsRQFO>?p}Y5xj1#P(Fos_%?V5jHkoX0Nhb^KS9F7r_Vj z&jqA;3!R&e%529kPJ1=>-8$!TpX#`R2xCc3(}$!Le#WS=G$~J1vu!3Fay1oiHrq^p z(T5BxBv*FHj-%NOb%DBd2>s^Q=jqnR&1h46Y1pqipQC1WjOV@Ft5t^NZdZMI!i=d^BHoMGjpBePoN?aERWGSbrJAg0uztD` z^!@rn!y$3K-IQ+2aY!Fr3+ZD&kKQA!8hCK4I6E;%uo^K+>AaG0pD{Dhq%YxYb#iTe zgA3jZmnahyva{FaMfSK%m&Huis&4b+Q{0?)H(g!`t>r=Tk~NZneD1TkdX+oQy`M$G zHz^gB@coBZZK3AY&LjIWiJdJs#yh?i(bGqulMaDXF~N@Swa4RAkKN~-T+bZLdF(Dp zz)2-pks2cc>fOGAJGPq>(@&rIPpx)YvvIJuoJ3O4s7k0SydAAO?OEHT*yY4IauqS6 zaXx!$@GNq&*T2?oa?UAAg%;ou3ntrrq9l!B^lCSooVU8Jk|-6;gIMVGv+|hOrosw# z=E(A>cQFR4{8FtAJysQjrtBs@KCQGKZYtPtJ=h0tm6$N%jZ|e$lq0XAsosu=jCeo{ zPIoD}IC^9tKHzy8k%_TVgV7ZCiH)@em9mDoYSGZ*iq}da`=q1QvhAUA9vd}v@c5X# z`o<%a@4F7s68V}_?*v$U&h|^o(>Xui{_7N8pDPprxYu1QiL44t;reO3YC7BTPL*}v z8u-Rnup?ZDy!mcJc=hBf_v_d0UreF|XQ^UF&z)xSboRLKe%7Tobm>_IsL2EzVZ>%w zr0WP-1yS-tS%6E?!rcFRaLLYi>ge@^=KZ??CL$UyRcVNwui{N zjz_gnxA?+mYSx-%?qc5-YB5-c@NZZju4<|EYs9D6n@<$3x;Jq82uMOaMeiw}<5{t4 zv=~xpAqQ>A_Aw$_`pC9A0`;))JSDjV)>!cir%E$%mZfXi-8b zF^rub!EL84HkH>S=c1oUTxcb`p&vtO=(2tGd98*INidLwHD`@amkz-SBSz3}IX*(W z&RvsJSGXSEMup0s>oeKj$pOTc9TE0t^VnGtem)n&wK&55KRW$*JbYIwx`6~89+mJ= zGyCH~VXChJ5EicO=PZnABP>Ec`E5d{OPx;8pia$75T-ECnWM8?3+`<3R30KOVsOR> zx?5{4I@oLc?*+EtalQ^L377kNzZ(LW3k1ItAbXmA8vbowGP?~hBhap&XA(#zcwQ)_ zWrldx!&u{Vgchtp_PNxu9y0?%BEM_1TxN zerFQkyxfTX*Az`;SNrB{3pvwi<0BlD%4&NXi`@{XZmk!fc}nLoV=xI~M(K7c6HTfQC?o_8!fQ9V}`i%YHm5kTNkpQPydtzShg-{m#9eF6~_ zva#QRp#-ap7VX!*!qp}Seob}*qD7MqQw|*Ng2-l#g|83o`Pcc2H{>?=*oZV2cSj$KE~jqf-El(swH zhJj<>Xx`u}8EVIR%c=ALU+Jv`H`L6`54Q;s9L`*ir@zk?oyL-o(EFShYK5-37?Aap zNu&aJv`7gwc~CLF13iEFA8Y<^QCsscl5SIKBHOY3S^n+3H|eH0zeKXKPahUI05%iE zrS1U(x#Ag(Qbma3k$LYLx3UWv)=naB)sd65ZmQL|VYo?*xtI+5p>Y;tE$BHcndeo!&I7H5yVN#JR>y@Pl*1Pao{&uNJg_^I)g4?A*0BRk-7> zPwap&EN^=5t~^kVp={%_tZ`AXPSktnl6HvS_TnYU+pz~&45wD3y{p4wb5N@7#x(zf zjdeEV$-^nS` zG};zz9bMJd6b9zdM4peiDn&Ib0X3N!3g!zGYi!(4c4S|_BKn`cOt}9{@0Le8vpcj( z!wXC7CW5JKd^T1m<&QCug1q*%F&k4EzV$ON|4t3+Rf{_#ZoPqxa$|lE8OlT-5&J?L z>WS_b>%wMW=ISZ`4m_Tsh~!iS1&Lu!L(Rpu)1=xqvaj;eg3*(M2^ zG|=UBHpt~k?4E7FUAjw7AXYE;(DVqK`Ibi}zPQLm$7rc*3Pvo>025PsPIjE#zV0@a ztQ{at zufRrVr$doiN4rJtJm!wjG#bMu^G$4IXQZB-n>}2ht&^2l{S}up^A+N~FsL$O$fKD} zz*%co15MtOYQ1U7#&K)X!lD=yu>WxGG-CRjX#~gKZ89e3V`~BpNQOL+)7HSUzR%6D zV*HnpflUC$c%deT5TatrzZU=A7@h2Ldkl~RHopzi`hGM&>>a9J4xqHZTEgpXTi0i=X-5U!-dy-8RDGxe+x=Rsy-=S-LER&~nwx z+@FOYlrE2c*lU_SRll0&W7*Gf#2-)|6eqs~lL&Z5VXPZRV!FaNQXKlHplUCA8gW<>$011{Iw}l+f4!HT#G?dzI&1)0){M zuFVTyukjOySGtHbyJkOEbDD#rx3=#R)~1>^IcXGejJhafl;>J~->ttKTO^Kg<#WeA zT|X`}E^2Ul7WYd$YqKyeFY#NK59dUU>DV!wcKJ|>kJs+Ph?2m@o6*CMKPz6=90EuQ zkK`v2!WFa-QL!I@ne_wc0{%&kxAD!@iR@G-)OBkr-Mw*3^8BxLR$K+wr5c|kcRB>m zYFxVr?24$eT+b|hye^YIg>(7p*!DW#EE`eS;9ds#iML>dQuFow^RdmJ9-!pX(B4M(mHN(9;`w+?fwN@ZT9>Ij z4a4%0!f-F#xGEUr3NlBEU+QxzuJ&@%RlTNeNXW67vn7(d~x%>Nfm^P7b6Y-@oHoj`y( zJBKKqjcBAAnaDNw0QK&_)*!7U8O|z2u`mJRmEIczMe}{k21G+83FS|aoQ7g zbuAqlpE1YJBaq}EN~>v7MRGb-F{_G`(drGmwj|Jczw`RJ(*Y5mr)=idz4#bJr7nm$ zn(RUC8=AxQwTmJtBl&0!LFW|L8Y`dmps1joQ7$n2L8C&QrABp(rFxm>xIs&4$)Kgk z^4L7-js94^Aj21$432K-}hCO?~@EAgm1EyXtunw z+RHk{1H`+)k{{tcVy;ota3xshKJ1CLKK5R*3L8C^Z|sJf4pb!}b@f!>wY-7$aa4O;!pqJU3XuZPOh)+8DgnEpo<lpzw#;}JYt8W~<@2$43{Tk{6v?B-Pkkh0xHvfBRLE7`mS6_oAk6JDbH2~0qw_bElS(uu~Ytop#+v;yrDkSlyw9J?C2BVL~mu6_E=rz zRm+S$=Ophd?`ux&-y<<}L?5?))l0W4Qk|Yl@~@lA&I$^?Zff>+L)Y5sPhZnS^yS~T z{Jw;x@&s+y4xg=8V6S@9jmHN?m%+kuZClOzDPh%cxM^N zsZMt!k1-~GX(axHBt-x^|SFQ2ZaG)6(t#z;D_jmiZG@}kxqMKowt*UySTidWEIa6-=MYGhF& z@$U$4eDB2v)j>ZpF~<=;yFgMbZJ+3zR|A6r4_}mGB%Mi_aE=%>{@PXE29-;J7_Fkk z#kqFegtEgBML(wN_d%EfJdv5YLrhFRPEWjP8WzGQ#z*WraLbbFkTd`-YCkslrxYS< zG^xfKweoV1RN-Y-dNg>C>iUvJn()Vqf^$a?o3|zCozW;f@-^@qO(Vxxs+Rq#LB_;M z#z{BW4O_9PigNP(hDtK7PvSV?lX-= zp%IrhX-p@L#r2j^tyve!%=GrULya!b9Na@A2ghY^AqzLygmjzsDt@0-qONi@xxAkU zfHSp1E^EagxD$GI(+lLyW&Jo8EW{J>!YwaXXRwvRttHUe%m4Dyd4j)CXggX%vEz2K z*6-wNo987jgt3V|Z`Ggon$W$j`PN(Bb0B5;G3xY>OlF(z36R%hDn4|g{tMcqox{M% zrUdqjYsI5VF8)~sj;*{1_3$%2);ZU7AIvYR;*R%44!ur}-P7lBy_5j?doT2xubnP8 zSnqpi4jbB))1*t$!DIwxa_1A3O`QQ2ZLAl>EwJHc_^Gr(x{UupB6>eS+}{zpGb?Oo zEse6D$<9djJrC$kJDLufyj$CMrEU6I!fZW z_;&3JN1ci;HD*S6?2p%zxb&-hTD5lD{?#_dJXW`pa&hS5JY7?V)oQVNTtb)?)n{pd ze8;NSJnO^1rpDzwL3!H$W*zw(pz(>K%j8@4HW-9^A!eLYsUX#_gQqwY>dFidf0>(D zPRf>eS|dJxs{|YGR{8^bV2BS$Xg{oBF5y&^)E02w5F}-<_B;gVI%Y|W>h%{5lw>!) z$(!9INkw7{$%Ol~%AcP=)9I@R*Sn)^=3nm4ZK>&qfuhu#*VJ3o(>_)H6?FqLA1f=V9HK=|y zTDQ9fVNKvX{lSl8S*j3G8wm0vHa7UAOU7KVwG~SOG3VZLVA#?6rB*A8?zvPDn@-*@$S{|f29ITJldaUEEk2^I~n!$H6TERl@Q!IjVs#}YP z=sWFm9aps;Wy9x0p|I*30r21iR7$@ka$Mw>`&z-;2VX&Hq9+E@9g5J$r63QT02J=P z1}Y5~S%O3oi0A>w=@*Up!X-407Fws5%nJZfn6=0WJ)_g#eyp4}Tl!RA@u;$^Sw+`G z&;Nq0ZP@cJflo)ZeLPQ5ePH-_kp>sQXXnPD4T%9OQw#VcAa)t)RimAzVuIdpZbyv@ zxZ2T!NLtvNSo1D|4|k_?BLo_~HEB?P7SVnM*Ui;z~Weavz6FSWmq_vRa>b{G(anRTiu7u0M` zOxvaU16)w%Zp;LqeJ=l0lQERe#z1me?VWpCE&+`>{@1IGx2)qfJXi}a_ZPK9-U*gA z;agd0ZZwx4liuh)|>pNPX}gd zwou-AfwqB=@hee70T#_-hq*k8nF^)$+f^Q(>u|^}uwhr`%&WFJe@9|btXcH@9E2>D92Kn=wMm)81|V;vLGixq#LhxDR5B3k7Lp5!dhHjEmO_; zg1@HqxsC;_2Utp()JoZF!@#yfNh+>yzaQwf?Cs+)Fc2!^(QL(!>WKMA1~#qL_+bfP zcmEf7)ImZ!M2ZY9xwj%KzI;~^a$H0qq>P>4SKs&|=3TQmPzgeT2SM&J{Uz27Z%AV& zaBPV$RaS!2pDk4nxKKW*Sf10bLZ%AqpKhz^>A%mj%s= zYy1^^VWyBm7j}8J!Tm`y1Xo8yiM#_NPZ^lv#EBdck_@|cF<-Uz&fOMl`-j-~JKIX%zOiZF%V|YV$kBNnx zt90+&n3%I8t2bJF49tOjmHafP*=+yx6IAiwIsU7Q7`2v;WgRa%!~e7g1cu(oZ-ZD^ z^LM}>RxjZLhF5IeT-SqMOaCv%nSPynxytna7^bw6nD5Mfx;p*6wfYN8{}Zc-mIln{ zEIV>6{_&+h1~~A8Qwp%x&7HL@CH!ZP|M~X-ZUDuQr(=`)I~(=a_U-pIqM3kE!L_RB zocf=?{Ts~8RsotOcVr^}pDoM(c7kMbPuhC(*RA~Ld!>y4njQ_68~&dyn|=WphVl)g z`i%eR%fGH{2@tmur1ii2>5B4S&C{v@=PPh;>YCtxz4SjX|27L~ntMr=`+v5a_zdV6 zwH@xs&;NYKe_k1o4FJx)kK!}`XUji{emnO%u3#~GaeGZfg!VpLnqe%oP~ z{7W+PkAD+?bl+4ytjo*QisTVTp45NEf6b_Iit#Q$pjR={&0C@|cYcKhE=hXR-(sdd}`UHD(G=l@>yKgY@c z4X*!sAOAO<{uyTe{~17fpC>}L?-9ub0OEnf2nxQ&##lCkneRZVMtg#bkcDUQki8#p z`-8{+DWJhXmw8@A)b)=6`nPTxMEiE*790IR?_d7tn}*Fko>k+T zdQZ*-ojwe|{x&%gQn1hEUxL=q?e79#_^?gT3LsaBWGsrlbNG@Y4|of(e-l{SJGqT-`C~fufBe82-b^F8d zyq#ckrW4QS`PLzS%c`S(?X4DMC9C$|#V=~#1bm~e`_jxrER}EsgE7@(?+YosZCg~E%JDaZ|cJjd3Po&&)+0gKq~eXc6~*&(|d&U*K^r! zdNVgdFIS0i)jWbraz*^eLY?+%w?(UQuEu&i^U3#5OFXt1CZc3p&EFG*+zn@)0VD@5 ze7OJv@78*EZiD{Q5MBD*_hMt+Qo3aDJ2{_~DYM2**RE69maSY{d(`$L8w$Yb6%dxf zf{uR$Uy~SEsppPJ-5KCpKi;B9&H1slI5-eUR15e&E$p4+R>lWKyj;I2dA%`L{@U*K|H^8R>J2UCb<$<7Y%Fd1!P1nB0C*wftyN+ z!W?S^XGV;;o$rjICQAA_L?SuM0Ao@Wmb@#Q(+!74bQ*RzhS*P{H#x=GO5@Kz^)%080_-5Yfj-7$e)6pikVtXYu3t8 zO|wpE{>u#AwrJzVBkfLXaWL$}#-z(9*A9y z#lUN{K##)7+(tfkQ@GNL!cKL5b9fy*^OPXQA!4UZ=l8_*UP@W8Jz+`;`_4(2#HjG`VaAZK49>8y(zpEleC~_p<6>{5@8q;OAQ^2qoz**? zU%$EE*YRB$@E-!ca1bX{z!U2q&n0;hMqe(emTLe&>v2diIS@?jQP zO{5AqOQXg)#v-ZFY5>V!WwQz^L~m!h zN-LuUAn=YmE|qEpAD!=WKF>Z|hp2%ylBzA$Ym0T@m%~}zL<1UkQ}5+{nAhxu%|vsS z&*gP)wp?A>;GikC7blbNYP? zK0C9XjMtQIF1k1GSZ06BZ;_)>d&aJB30g#5H>-O~OeUT%Yb%?@7ChRmRxa*Gm%W(w)uc1(@s2TU1f0Ed+mc}PERC-^!1x4x zLZy6uU|=7~l=4yO%s3bML&Bn~qQG8BS!1_&`=$HlkRsKXq@VS8#3SNI6obU%ju1eD zDF&uM&$k~RL7EwDBt`VGJ$C5d2pf1qrd`g$xh;L#WiQdYEo)E=-*3COp#D3a4HFKj z&mO$u|70S1s@H|btd=W3u;zbr7}e;)Vf9vEM@zrmsKLPBJ>XHPbw`)Wrw#{l2l!NX z+HehfffbE^`j$w_*2uhheG@DV+k$=QG=NglM$HFwdC(`}%Wgi5bj0#a=&KEAX5XXi z~x5DDDKSFfv5zW-WYrP>>@o(9<`W9`3`WIzAb~{aQ4~3iID7^V~DHSYKY7U z%#_bz<)=!G_aOD5e{aNv5W{!UoJHZ5?dv{~rR&I0ls_J{C57>K5v#Wkr^-E(b?aSX zmm9PT-*9Nu8~I(`c-qBEwVdvCH@#H`Q}hJfnjco&xY>`Q6v#cH;?EC0H;_(2HakZZ zs#PU1JIfWUI3&oPkiPdfQKxzp9#vlv{|vPdGE-}+sTOHj`yE zb+nYZF4RtvD{2ZH>bPcxaNky*^E%>e3n>0%{;O{W`k?3iY)CvVUO~z$%S!LqLQi-6 zMWhA`YkT7<(^gZs>_7tE?-kF2)N#Ry_!B@=cfL73iR6Bk_1zff>2^cw zU_NJETy5BRCcWHvj0if3Gc<1(#wCUCdre>CGK~ZALLq82ue?qV2x*n?vtLhvcj7^4 zo1kDTjiP*DsUS1%gB{E^IO9wbf3&Sz^zuEIjzRrNZSTyeY;x0!p%7I}N{ zg-614$d*RwbmFdpR$V+4u7l6v8%n%5S#~qc6hjueTc73tngZ;OdgB^2@O>A}*3%M& zEmHuJ+~M*7m}W2~LwT?^BAK^{m{m_es)D}S5ppASxL%k@16NhcgKbp@km-M_r-qfX z;7RyuBFmiMQxxy-3DzDy{?>A{D8pkmBP2SBVfYya< z5oJ8GHxX2#-Jt7=nrQFOBCyt!!AG-$dTtU&igs_Q5%|~IUi2J_x-fSbcg?SV+HJ|| zoztAii+w5yQicqEK6te~TM)E4+9&k#_O)zPOvGEddI-qRFaO-}ZEv80OeFP|{_>gK z2gWMW*PsQN`%|HOhNC@jKec6sCX};cQyNVr%zGw7O>5oz{$LSPOc%5$e@E%q@jc~o zT1Cug$7*9Zi~Z>#ZSC%zmOJ||#QDFPe-gGYRq%G*&GX7R$zD16A)ovnklo-9KzaPA659b{H7o1JLzFzawDcXH{FA_Jd zcx+eHT*J(lV3>{IHi#s&ZxnlnC+WvGvp!#KJl~VV5A7NI1nw=OBB>W1Oh5W!;%(<@ z4Qg!0CuB_Di0mIo$iJ;?^}grWJNt}o6Aj#oTuu=(Ad0{D9E~s9dwmJlCb&)T{yUylyzT2$QS|I9rPg~0_K8g6 zLD8$LH`2vHLx@rnk{at%>X%+KWGJ@z^NJnOwr}bwyuKlR_fu%Y28awP7Z&I_Ge-)? zk%hHXLe^yiCIh?)0Y9e>zSgEaqnnV#Y|1mFWAw!8eP;Y{PPf)-oryEkH`D`$#&`JU z;DK^(?*fQ3aNh?k))g}++WYUCKR&H_|1h%+o^&-^9#45&dZv}=>BBT&ihJNquP$h> z&r9DfBfD4q&~72vilPI%%=&hBxV24dTc?|A%lTX6ov-}%9&U@+cl1o(bXSBwB=k@W zet)>m?o=r8YU3ckjC8qpjBC&6qY;KNCIslu8ZAbsXGe*T?U|lmH{dZCMy%24JyZ6Y z5_j+N(~sq5l8P1?sHkz%xQX@5wIkjj%l=p_YGE9V?8DzFVJxcFa=e5vDw;SZ+&}Ss z_|Dnig1ueSR`B9;_|!?nqb#B~jp8BZA*`H@TZ`!YPsA2;zq>2Vdw+G(C(X>QEt_WY z#?-Gkn%94(TmlStnQP3anaSQ;hnP%Az9eoZFao0RAyl-SnmVO^7Z%CUJXj`<5O5F;i+o6~hdo`<6UuF^i=~i%8U;CVM z8EK_8MF=xil`6g5S#cNc_xPTNTbYfp6I;C^p`s2vk~h9h9<;pKQ~S$|M`DLj0yn=% zMkFiKe8C^Qtj~%z=NnzKlOC}zNIdzuhoPPM#&NvHnQ@uke6qbS7pCN$+Ak^J={IbS zSnv%vn1~WKB@sH@o9U2Kx$<(A4}4T@f{;gDSt5?yk!lZDI~JZ|N2z$+lKB2X;z;sk ze?6&Ty73d1*$ir*^oBzHf=rz*PtPXraP9E;l1W2936Y!GDjaI_S54t{0F;mY;d=BX z^k#wo>8mWrFLwYA^!ZrDvCL3t)~6+y-0EEb6@9QlsL5@m4Z^45eET%4fz*)O5q}}r zzy{U0>-*-7hi97*Hkp@WL?}G;BsyB6^QNhTh z9Y9B$Lr(T11J3U5BE%UIy&YK>Jf1Qb-xCW_f7beG>Jp11@cVaG{iZiyjjG&c!=`~R zv|LCZ3i_4h`Bs5%);P&OO!ncAvV3dP_T0j?SUtIN{1npz!k-cC-fn;rzj+Q*rs=C{y^<2OI9ft zQ`O*CQ^RhDgHv!5;SQnAez7FqxS0%nLdy9jN zL!bQa*Odu9yLUh5Dr_IWoxpUs37rZ>6aq^#x0iPDxw z`(kj`B~@KAYSw@68IR`@KjVXyCM?|4r!DzmB-=iMY+AIE!VFDs7qN_Hgt$_yewnAA zIjL>{ItTTzHF*DfrPSL`+NoB9y}xYyC_$;xT1L-DIVC3L{&J6 zX=qmK#kP$FTzuBc{lb*+Q$MpNjo;sdjMA&H|-r}an_)gpHP)9*iBo1_`p9u2bL?Z$Z%UpYgx z(0-?z{@J?$Z`1gMyq%GTN*fM1tdzUkaJ^bDsz^}cl}%?9ja^XQWWlJ4qte_~rFkE* zb$Dmo1vci?A$#+ZjbWW4O1nx?OvEBo?Z#<<7Be_hM2X;6p466IR~4CWU?{=udz8&Fq;X0b`_jg3;yqYCEDW823mmiFlevd7E(1;-uSHg)mJBBu}+6bFx+RbgHW36 zy%gUhQijuN>54#Kz1?>=RaduGB%>=5L*q!Pz_|@x=!SCnv=iryR^%=B)_hE5_h>Y+HCqh9M(}9Lc z;3AJqIs#p!0@G#M*eeS)QSg;I3QT>4`H)Wuujko&F3j<|PrldAz3BhP)>i2qy zN^y#{xVvj{g1bv`EAH-IiUluFtXPra5Zpb%y|}x3alhd^|M}0Ed+*FUnJ_~#$@}c= zXFqH2wU#8l`x=Y|q2Q_=dXW80rLAw{CkcQ6A0h;O5sbmg8&sBgtzDHk^0^#~QxJJk z1R{$FKIe|Z=^7WpLY-=UV6oJ~Fk?f-3%k|9_zOAWO`&Tk{wzzXq$l=)J0GCnYda=G zCE%2PjJD9EF8x}wv`$gh7tHm>q?^w;-Y3NBn0I`_VbPcAV>;TLTC6lq_;{uHAwa=g3EqsywH*Ew9qtl zA#4lFFf8T4P}%(k8($UoysOgCt}b?$)}n(+{E=0^@p~DvcO(Xa$6wOcjE=H4(H^o( zN>OZ7B&Ril$kfO)ySlca%JzaoekpuZS!zh7;;ytne{Ndysi&{G*wWj0en*UV>jrem znlHC%eSa+$OC_)7P^%U@wVAS&YPYx{Dw0Q9ps{}T&E@IDX%|N3lc;{+TXD5CC=GFC z7w_h1<*vWd3?z<=rEWF=k&yIwO5-T7yH?a~24s@)%5Fw1I<}S#Mx?r614B3D4^6Et`0*m>zA;~O7NgWVKoC z9B(eyde`9a+uThfX_BoFco2Ib4xoG()N450<6)XwIaOw1RcK+p!SE?#ROjFETIYks zBpeXuK6s8YMl2RkXPz;ht8aB%m=!}~zC{gm&9|$_!WW$KTT_i#UprfCZqw%*3&P32oC*UhmR&9sOXS?>Fn*A?!lP!LnZzBek3}Ujan7FW0`iH4 zE$o**Tx@D_$rnMM9E?1%SF=jaL_6Zi+cnEuG-3{>{hqTU{uNb3D212(nK=(PK-ti*elIqyEGIt4ZFl&(s)bLa9)ZR z_YD=FL?@FS@DvAQ#MtJsN`)m2kGL-sLkt{tgn3^`J-{ped6-hdr zfU{r1G$m#9L>(`yUZDos+@DFtAr-f7gTOqJd4k8kS$L zeA1G*!D)jk0J}{g8f%0HtekU^ue`qvNd&6_1&BywVw7RV&rrBZuGHP;{ExX(oexxz zdIy{~kj@iUXcs>B{Tk)UF&P&Zi{p}*jgBd3f0eVjVXpXf>b8 z`s^TI+C~88dfX$wSqd_Ge{a8{--lW!E}}4@FVOt)dH~|=S!S?TX~Gn84l&uMifX|GcDscY zqx^~?rw5zan|q2dTXu8mkg$?k`YsZiWZrXW$(s;#d*7uvZ@h zvtC+rtp(HY5{nRvose9xo8sy*@>rmIpUv_g)Aw$;fIF@OfqJK}yS^cweLFH_l9-+T z?{YA2@I!kcfUEV6Dr$!pwW$>c293d8uXTWB$K!q36iWYJE4>JlXG28oj+aiDmY7~g8O-K98re~P$1ocEn8tStEsJDGG|cxA$Q-#iVlTP` z!M3wT$&^uucbP4s;Ib6SzZj_D*EtdFNfNPs>8Cgj@CIyQ!AsnN<+Hu&)+Hx~0stRe z4ZiF(+G{XqsSV+b@nm^;<@BlW$~t`Y!RQxo3s7Kw^oecL$=D?)>PIPjNX=K(qYC#l z)khWYuy@p>vX(6m{~k%cQpDUT=S?>$uA9N~ef7rAbg$hjDhG{EIPlRKLQDSk8VOz3 z3(tI_dl1*P-EB0x?KHj4G9H*)?^$hNnLgyJHA4*neAnJpj3uwPj_(d7cEBir7v}7w zJ)w|5!DLxG7JMvMRZ5g}yu2Fr(9{>@!8X4EzY9|xF~JTq;f#dW&B+9V_uBF^ zVxcYQ$^%a}TF8l%>bpKtzd!YjjtgLv@w}1llcA`kB$k;>3cMhv_DB}jBqyRUBqctt zPflZVfZQZh9S`u9yq__(1JzZG3pEIf(Nj;SFADx!Div1zRf@0A`9>(vJ?DqKOzG$1 zZ`oG3Rhc?y#(12qFJ!}A4*i~Oi7^W$IDd}De6;J#s*};|FbTj?k{?+7<%ii;%Jmu; zfoTqylO!IyTt~Rza`M*ox8l)d6Pq+eak+_$YpG-vvegLt>UNVC&8!YBis)}~awcLA zsjt|m^zm|0zv=0tAtgf6Jwugff^eWx!v5wn zZbmd}bU>n1m=;ZJ(Aj(0Mcj%FN(|^P(>`g;t-<7lYm+7;v zM|}^9Nmi;Ppt>4uaXiI(h|UBN<~w3;eLV;Cb$1Gk7;lvD4T=hHLM^SpcrpljYJcgH9zDU#o`CAd zGptFo`+d1(M>`hgIg+f27H(8N7q^10eMY8J*gqg!P-ohnD!tC-4Soz10jY-DYEO7z zsxGgo`vPaOk{>+1(JVp!6^;xYFxNegYe;jCPGrXMi9gf_zT?vKRLDq5YIm>;T>1VGla%iHL@~Z)SIPd0nx#TS*u^_`4jN(sThoPEr z?Bt~J<>BxG3rM1#cYjZss{dp?ITr=9tNvSQyR3#YRxZb%*(5u`S!V_v3TmfusXy>v z>tJ?^888kY&Y99R&H*N}_^OFUKZsX5j{!w5PhT!;s;xq>&XWZneHCalQC$_%xpx}K zyaWQ+cNr}OeBr-U0te^235A`D z^`0F;LK>S4_4l6=-k{vZZ?zZ1WF{}x22Q1Qbm*vecvYP@u~7Cb?tc-q$U0aa3(<_H zSsRJIptBI({moQweYa>Tq2q_XFt9+jwJ@ezS(&YvRJJ}gx#~YQuW|RcA^q;#0;Oh_ z^W;j}dRe%L_2QKEDgP=v5SP8%Th;93{m3Yu4!SEIY{biS+ab&#g>CG3?c+&~Ccl-6|JB(pN1zXkAI)g6!!6_T>n_lsO0gb?E z8mDE31WUE(5JLJ;**Qn;=1;|+f*SU7jT2tacB@t3KE3y>iRSLzWL0GgDC(^nPJm-? zlWYc0J^Vx~c$4HWC^~2V)&js2G~W8HHVCVD4$A-^moIfwr}ELw1ksE;Je;M~Z(COa zkl{;(O{cO3e|7w`PAGRTk3YEH7qO|9c<{rw{Y(vpLn;67R>8zWW1PhN)~Hq-TaH8vah z^rM0ncCkW?mts&{02+`drbx9*9gdE~9jpveLj1>6Z&+`CgFtMDXT-szS|>AOtC!8_w=DHzpv)MdKVz(u zFwf-B;QjXn9TX=F& zfq&N5x9Y3%R{su|AdL-r7Pgg>Sj{iA>Wf^46b3D_>DM@(W}R#RS@VR?q^c!hAvyv+ zm)a5`QmrzZc+%041d;E_` zh{O3a)EpJe!ZR}pP$bvk%|t8lLWm5pixrGVl?j)4G)aplve zaWP^+GV;q!Q^EzjPnFpQZapJI=!EqcVa7ckycqj#eicJ9=gI95_efU?{Jl1~ZRh)k zRv_cG6Ws$WtKVsq7F|2`ayQvR*Nt>}%jsX+zZC@2{vo|TEBMb0YZt+u(aaLLUAkPS zYW)xvaHlh1akloT)^m*3A8TCl{*L-#{hy}PYbE{KDsX_~b>nwf!g6DzDo8C4&hgw| zV*f>p)S8$@_!vzfY>_`Eyktl${@9@`N^ zADTM#P)u_0H&-XT9z1Z%nr_)zJ6Q10Q;`{s`(&VLs^? za}+p2H>@%mxto%nnwR`KBhJUJ{3AMrK&5bke zka=_dINlYIRd=1Gu6KO*X-&7tTMJD~_DAZe7M@gBA|Oj;%&H3BzYc9<&lc3W$JvUl zqqXX585sevm<^vjA7Xv4QBGpL|7ra_{nw8eG2elfJ%8Ta6LmOLhXl6R6d$aVmqzr_ z6E6DwW=gnuv!GCPvEv>%#17%^!8icz40DrA1RZPyWWQ|hX06j5?M4gK(=ULZ!ggIc z$sa2o$de8OoNfkIvY`%0(CHM8-Pzc&2p2&fA-@;mP1y+Z)Q7YW8U$Ck{!$za4?bW5 zulJh|)GGBZEoj4qvYEZ~a;7~z?#OwTt@kF`>Nn2K4W6ud?E<}9PZg<&*WAu+EGW3K zgpN1oKK`r=PZvKM(zXWO>wG*976VpCyre|nYE5f$nIF;)-B%YMz;(j@gAyIP><4Sj z-EsB~GtgEoUaf#dk-+-6!F1_h!RQBYmF3=x!2Q+!{+&Ve=>Yy@VG&E5hf{rgTBBgc z#$RIchs}S2QVkgqD(&UpSmAJ{qP}CQd)>j)nqI-xH z)t>17xYB{P1wWUt3~k-zV9I(^F-#%dOBReWvi*sQP1LSV#po+|pV5Sa1mH0R5rnYm zqi)M(3;FXZLYi0vWnzf|1(HEiG*HW_vYa!h?~kQX4Joik9!H|*_${s(i6W?{r1f5~ z=UOcS-bra4>GEdvrKps{QqvnWMELj26m>#^h!u4a&ArIY&dI55)0|Ufb-gb1ISb&c zwB4Vzyo3^T&|A+6x>O1=9?r}pLVk0o%WXS9mjc8}+R#$Vo@s3Qd1{%=B0bS5rK1(kSHRy*gewT!qj6A8O_k!>O@2H_uHm#F&gwYcs0Z>pKI}Fy}u-?j0W@Q%| zM3h|uSaz5x*x)EfQi@_ zg95sci=h+j-G3UHf8Y!W`6fQix0Sxc(VfrFLWi5CvibA4G6a!v89vymd+j_ItYR8_ z?Q9@SkEAygN$WOD3rksOP8KRoIG0BCEWBrgqph@_v;e?lb?5GrjuD2ugd=ungpvH%HuDzH}reB*}ZTo}oq-_$<=Gwtc(m_453Le1NeM#Ch<- zx?x+sSUaoROLBGD3By07_jJpRTX-z3oN7~lcw?5rYoD!6NbSBnI6~h`J=_*Hqy+~9 zv_?E4Nm)BFMEHvE)6C^k_f!MEtJEr)!myM@J}re-HJ=}rl^tjik2Tu8j|;bS3v66d zYjnzS^01benrnB1^Vz#ms$h&mCQ6Q6B`)INPv2jW0F_m5}(k1tfF8ZSRc$ECp78j2uH4sqFKgjuT3_5Jq5FO)u5d_d@_U9H*-sqlTkhsWJ1`XnxSoTt1sE~Tbn zzw;h_S3}UuY1&XS(+`s|dWnIDlfcfhbYA0(SX0BU8bjXDK;jqq@H@WEl*>;U+}b?W zSiiHy#DU_k9iy6%49LgLjXkcmo^c z$s=hWFn*IE&r)2gYw16(w{L2Hn1g%#yV25KU*!eIA$+2*{1L{Lj8C6 z5h7HC)mLH(7LGr-&@jQ`G1x~%n@Yu~Xk7JEAW454=UVk^k-9n*?(}+g_stE*ic_1% z6hyJ~7gWdLqYk1H{VHafz^l0KS?!;$Sz`R;V@QyX>_YV~pnPaf8pUx%K$P04k)tq=99I|58b&3U0ePC~AAS zKEz{0aBH0~rHM6nek!#V1wc5e!v50q!&IwcRU&rQY=rsUO-*Bm^SDj1cS{y~m%pA(X1$&`;vNVS zc)lUo0rAYKjai2PXGv2$Ss;Q$kNVNHn?;z83|`R-VK1xeUA(vRq9re13r9+HYJ@c6 zsM*629#T!xrHL*&+#Fz0cXwK3?P?QFe2`;gZb^_#h1Ve{p?k07$sj0^y_6w| zvGgIGi%WQ8D6;(tp@cNxb^@Yyve4r>HLhjkU%rVLJC0=ar-Uzi?0WyLL~*ZT`hG~k zOUZ6HV3(&%d%;tAg2&6H!r-P&w@{c36;ScHDpyI)1;nrsdDm{bt2BL8MXS)pmmOHL zl6l^)+iF%EyWT$g!?^Kc)1ajY-k9pse6@LL4Il(ez*Ul7-}*dNswZ8pU#As@G12C)>SO3|Ax!f zST~i!JC{MNvC_!)46;no z_}c6?VL8cZIa<_5a(V(y#jq0j>yE9(@PYstN7sRQ_yL)TQK(g7CP$hm{>;;PALi9X zSd5xo0Y>4taG7-2j>-{f<`$+uA;2PolEXxR=WflRqRbw7qIN!fZm)$*$m~pSU^{ zbq*D}iSzJQuAm+{km0TIQD6!UeXeb9ACaF;1a zAx&?&6p%trCMNTvF%}&5UNs7LRNZLgN$kq6Bzzto_KzN=6#t@DBuVJkvLU=!CU{I+ z4>b#CWknbUXFocg#V zS#f~pkZcuNv;cO?igUV*haS5ta5I<9{nUUG>fVHDZ%Bryw{k5HRak!bpBq#kpvOw9 z_ptOmmKFbHQGZ1 z;fRWk{hjFZOBs3B@j^ZOImWso?`9kN=Y~E~&MHF3_I(;lJRUgZ zfwETb3!IulXrqdYBxWd?)e|CDcKiH5I(*-f`;MyyV{2@z*^%Qjfr^6Da&RNnT1830Nr)m!12TnzBZa4jpBNe5Ti` z(6`e78^$%p-{wz};uQdSNc+ilxU8nA#%Rh_TyJell1IZ>uGii&EA$ud z8hH(PrElmDxs$u|DmKIIO{BVw5&J6rKM^7V3xo8j1yroVV|k)a2`kq_8mNEjb+zp4 zXh0w9jtr8(+aOw?$g{f@iQ3^P^*CO!-@yd8>P{C)&>hacY?T>ZEbDTvwi^h%tHPvM zSqFzJ;)nTw9)uX$O`sMG8TQ{s>=sF;dAzJ%-{fu1$N5HM3YS6tv_T)8-r6Pm2EM59 zz?bDTbnamCB{nM1MfEi?Dc1%moXCykYz3Wz;bKo6D!3!qf9>k0ie|kXK54w_bujrh zuU2KIPpTmE9mX$d)4zNvf>{5XbpP|j32~~_H!dO_NDe+D5Kq8MMNMbXI^j-w2_ww7 zuNr~c2u*(uO4+L1HA2<(hmOS`%>4PSm|3E6`uPHv>GNv2L8*`1sl}jXS#;z)5Y7?d zJ<&KjhA;<`J{gu+zC^b1pD-Q7zm_$zV8j+#UlsUjte&W}EqYh$T za$|iYU3gUlkw^UenwUMyGJEuyiLPHfJivA4Rk(MUk{$&PQe!zr;EY6KABz0(GX@3u zr+~Z%q#V@^&Y3drhEbW!QES?{;ge$~K%%QCvPgMzvo)1zG zB=9_q7(62`A{k1=c};YOepL<7ESD1T2Cg+RFnfk#a~^p426nqie)ozsdDp$Auy!t0 z)qKoDBjcU)HEX~lg^Sue;(u;|eh?g#a#ck3iGm(s11+GzBXg5kTOP%GU0alyper3y zJk!W~U@`B!TatP0w_@Spmh(?3f7FKF`gCqbO$s|%vBbqXOX{O^#||#>R`yy#9Hk{8 z#AGX@Fr##M{lNF6u>|+g&yA8&TGL+4I854|c5%;Nv^lOV(*;KJ0Q$`$&z;>F%Tgv| zFQ*+Z-Y0+m^vGvzt9k7;;C1N?n%yrts)}zrpI8mNPKa)~vUpQLzblf&+SGpF^f@*= z{@;qh3{~^tR8jNs4sMDa1$|VQ#<9$fUx6clLZxgzTQ~(^Dxa&Fx=uwTeio+O;*rtg zZD@C@`~P50uZ0y<4BD95E@-Whj(^hi$@j3hOs6KyUAtk)rAD{jFLYon+IV&y&xBvh zOFW}@HP4iIP{jdNIjjiyY`@evfEa7lViLNR<#qimf7s4!(5x_Tm~!nid>R`|=&d(j zeWHPBP@(etzOc=Dval59>49&^kP{=&`0T7E+{SlWak#qH2QGPTphJ1EZ0s|~_lFO< zC<08Z+NE>Da{43CG1z7;XFeqCFC3{bI!$%69b$V_{qk>`IH<7Gu_M^uKP z9j06J2FgjHhyjal#A|1B+5lk^%Bj4vF~mHgP49{rCeF!c%kGqz4XYt`9xX{pO+HsP z1$Or>oY8&M5374c!bPG)^ENl)AMT zIFZT(>PS63C+=cw=#gk@jO;-fI->CfZjByjJW>gLDS;}R(1yvc*jz=#*f|8$2S~dq z=QV4jivC?sZ_45Sl+Le<+=O8@M<)0A5$dQlLT=!HHO=o})KiI$E}kKu6!}-^@O(?% zX1{v-0BR+55cu+tz0eTYaWLNkA`muC_<4D422i67SAJ+XC>yJUvLW!vtDv^HK0j2Z z;*RNXPkW)9I}_D`N}C#t?(9Ve#>2~U&+Gu3i4AJx`RsUo^W$ds5=)R!!AGJ8fHTIW z*xongqzVHP_v9A9gt%hHWj+7xAt>yl6c4%1=pOrKMm|4=hJf(Gz&uTTTI+=PBzc)w zZE%0o1%@>vE;GDx7DrD+W<=Q<20DTefG&Ue>R=gKg*M4S$zQ$tth_w_4`^(QVn5n8 zV;9A3!jlrB(* zF=OoU{}gKB^)ic@xHErR2%8JRSo~h}j;1@$fObw$VyG%lx|sWTA)WjF^7vH8zx$S* z!Er?}>ySbRxEXl5ykFwE8W1+!c#i#1s2`RYzs@`mL)ggw?ssLFqSh3WA+#*dvKEsN zfUNnQ1>yX$lPDlwY*2^#H*xzr%;qF2zf3s#J>MUKzCM(w?~-g*%|8uf1-N&bYyo*b z?+hcoRBSi6;!xqi_p_pN&*z1x~F{=@>P;H-4pblM}uM8aI5U>c1n?q>Z5mzv-<8 z+LCZmn_{Z{h|f|>z4Sf?zwBnF-8`(T)|m!Wvx zs|%IQ-z$^QN$$Z%WP$A=FFh1k(k@WrkZI_zWeh|w_@!bbQ~vjC2kdVNLUxbpg__f^ z&BbQUSK5U$^q4Sle3(d`dS_>(fjZV29xPc69(W)qH;cTJOvDwwX-O*2!nc69&n5N&F=JGCgC-jFGoZlh^!t$ z4pyPS^ArI9ZgY(lVfr-iF(=S^v{WvMDdz>BsoLWXcEZ0VX)+)Y@KB)Te$?7-y4Ho#g~Ix|h=P)1pY95qz1B@~z1VtZ3}jft z-#-;xA1_*#;7p|@Ul;`XcY4OmE!50rPo7fqjt-}B(qqydU&a3v_P?*a-|WXs63}8v zf*NsEruX0SC(%cttmI9%ly==Dg^dRPn8<1`B8!WnwI z+Kpek7-Xt1yQs@fmpKErpL@vm!eqU+-!b2dn1$Fcw@*SczlYPpg@PweUS{`=ieZt& zWN**rub|&+xMO$NaGe=%@8?2`XSPqiA_66c94^A;aXEjjBW2X68+2B6nlm_@e+Xm5 zqMb((0l>2UW1e%4_L?{;=00OEdHP0`SnlQM`smJ}7UDrx(sLeg)x`Ukfq>xV3{ zuR*0ZFdAKOY60_NJWkVIpaeS54Zk?-nt7ymZ=#jJQEUow9SiRIMGl^F1sl5Ezgw>f zXyZQ^Wk@1c?p3x3tT=Hz|Gq$)nKrr{>#K9k?C%WP<@isv^$NtjVsTqYx6K0*?f&(6 z(y$}x+$vCdj7vSvSlhhoPBHY3Ciy2Y^12|C;~iv4vAeg>>wG>O-Cp*vNb0Qu!bg+AtWIT8U-t;MPB0snK!| zENS@3`Cyt&N|av#Nb;9AI&%MqH~PP2$UjeH@L(^k6!0oA9Y2c0I5s}>Pq)eY5g+OxVsTHEEOEWz=hl8Qt{0q)Cra8$A+4BmHhlw!)rk# z-x${Zay1*F4Vrjik?fJ}@!vJK9Fs&VA5EpVuyVh$Q4K0bf4WW|qX|cs_Fk9)j+TUz zWAjy8^0<#mHf+|2UVeKGiP`wqkhu7{+GN{sZuTJ_GuNaG_TAJE*-Z^7lhqx*X|lxq zL3(;;M6Z?mhAHv&Zpf+8xF5M+KRSk^6T)DcqG0FejJ=-q}kQ2rmX;|5quS;4k+0=ER_&5!03me&`FpeN$c9pjn1go;5;e*V=f|GHMdbn>#T|K)Aivo| zs`u29#Xq@Drtp2A5_vObWl1gkuYWI8yNBP?>Y70?_MrofCDJ6V$~j~8irzQk>J5*_ z=F{TLKa0Q}q^h7eJP^whZX)k)%tYd6f@LGBVQ=#}5norZ&0PtXP5KFCeW)?%o@pJ! z$0@YCii=`LSNy+jtX#I&DKtV<5}&E^_m`fHxt#hLk;ROTTS|-X-EHsf;zD2CR^icn z6-8yr-90s?e>pIB@Sl7W8WRMOMY~yD{JKsRC`sNILQ&6vN`>g+b$Q=pJ=yHg8WmAW z=V66?H5kB>)L0DH+j}$oT*c>9-br}98^bP!HHx|6Khs`XVq}saDX&%HjgqpDYB5{X zCs3z)>i=oc>rW&wT05tl#I7K6MZ13&LnsTN<=^1YwlBYX9d}y>?zZr6FFbHf<5#rd zdhD@$nn7T^T`!EWc^XTfg31X@^8sq{XLn*GkxTUCl2kl3N{?`Bri9>~yp2e~&qe`U zEsY(r{xyn~Pw(`?72Gj;*OG2OgW3;Ppla}6EbT6$l+3EF&ebe0+9tZP;{D#;9Bxn# zMdOk)!2Pvwv%L2Jm?UmDM&;W9#m2I>P49zEJkh)ZqF05=CjbP z)^`+{&zjKAvCii!t)mWBYtrLx-x*_4kl&jV-dCBv$0+0?s1|TPjL+paB_Umz=yLS3SSMU`+HM4bzdR_Y81gMIA0uu8es@B zF<(IB!5t*8L?#Dzw0Y90Bam7XQbKcUesxPV*nC15P9cp~39xX5l=!hF=Gx9rdbXwRl3D0U5f}>E zWo8?}`GbFBg8iQJ5U)Jj|8EW-&SR>`e;+B8$UG}bW5&I<`q`my#FJU|ixv^gk`~*N zWi5nSB!}JETiVQ#f~q@@G5uNwD>x;utfl4;aY@Pb)KEbn{$jI#%6ULAkjv!A?8
M-58&wHwsiqD z>-av1j_Y(7*XUMyHDMO@Dr8u|(GMiFX`=X2KwUz-I~W3e@hJ(8=jyZ+Gw!p}UR+kN zl#SJ6xmMl1rw>_C)er)o6k{TlbXN0h5ujk$p}$FksFfIL4`XG#P(4S(4-VL|WP5?> z!t6kENKr#?YkAiGtaucgjyW!rUF<|1xm;ADRbEsvmM;B!dL@|6OH2$;aS==Dd zfW(q;*bfwHpv}E((FMzsdZ+U8YdN7O>fmVO=HR%d$g#F>ZwZ8p&y9iKh1E^a%BcMO z)Znultc;41!Rck!t%nKE{{C_Mc6~sr;8M|I?{eWZP%SFCTn*tpi5jO+#xd=bv9DMC zM)2|Aicig8DtRzw*?J6eFao8-LP%})WgQg-rJypQ)#(HwbUH(f8%{?5Lbz=87qqqn zbH)~W?ambG>Lg9~Wl>7k10PE?pwmY-SATmJf?YGOO&&FfXTV=A{qNJhS@3-#i`F5jaO&y=B)2WMDHn1q`i%Zu9o+*6uUk8>*bj^ zTwotQ+IvwoT>ksF+&2aC!S2BjIvb0jpBlm!kEauG`$UAV6OaG8g5YRWL^@hJo!?SI z9GKMTf^K?uWXa55$LhiUQu6w+(G#hSt($c`h@shj&vi<`%GFx+_1J19-?I{lIe;;y*OzpYEqb$R-uJE_9m8LCWdK?o1K00J9cDn zM;j`5)Y-3LMSt*-fPy6Fw_C z!?m{~1Zl@kOKW;hqmddk1rDW%DrM`1lWy}oqiyC{E< zvO3-qf^6bXo^r?fE>>NSMwk}E#*5i+reOGkh`yGha8jRfyV&)C0^we&YSCdSv+0$}iCOS`o1$OxOO;7y zGJ_6>kfO8RzZV<^OC|wM&T98PUbn!L>%r#)L3od!a1|1;joD5{U0XseSPyCX4-z@& z$bcFnza|mD(-Pxo;p#8kj~h4T+HJj;jo+`o+y41gG3kp+{LxmeBX*d7GF|?oXPCn2 zD(UYd0meMbgW2N!P4Wm6#INWptNl}7z2I}y6yTV>n!UY`MD0GXO5Jh6EbahfJAgth zlFDA^a%lpnx%wP+?(v6vp+1PLrln6&EmHjbWgIBY!ue7EVt_X>cB+LuRd}vs9JC~)@bcEdYc&4j0 z1UMm$c4>NbmK*us+um^Qlj4zaYnEtt*{$Z9GuCNY&M6@Zq2?dEJ1XhWsqgs8yr{| z;AdPVZ-i>_xCIqiRS(EN8Fw)}d-QziEeAXp7Rlz_<##1k@p@Z!)S6IfJPuytMmAJ% zQXA^ikgL7JrYhYx+=H$}3|Uz+{n*UQSXms`npk7)>f0E8BuV-)<7LC+aJBjyksqGW zF^Zt@;*G|2Y8zjJ1$)y}53*9-rdHjW6X}liNe(*#yQK(w*gEqRqZC>i!~7qGF*qda zP5U_HstDU03flGar2db1qe9jT4{Aqz%Y}y~{jK&GY@B=Rf1nK9GHo%7oO%F_Baq}h z%R^G}h)stQWP_wTwmovU*e)@nFe-(GlY%@JGeVujVRD6UNxF8{dE;$P*j@_8;oEij{)j4*BtU?4kn~`*}V`LKB$- zDe5__eLSXlc&?-{wAmoTCU9TqXo6ns8}n>(!C_|o?S>?zYxM;=HfgL`{( zJ-ZW&MIiYD;^W0ER8qqo_;+55Mw3#4jmoSG;elPeAdsE=3YyMNeZ)(D{faHD@h`01xo z%IE`TJipk}#bQqBn$zV~mH0tUpkUJ&@L7^I83L?6Kbr@(lf- zT3nZ5DC?pVO3xP^2Rqp|Y>x#7ml#~IcsffYlP6PVQFC~{Y>?CKOqe`CXEkq+Y@yxm zQvB1gOZrXN|5^!i*t7aq#i94=b!u^j52HlLJ-zvUQu8-MvTrEzmZTd&dm~=nqhSq^ zPTu$3ZMtyCABP=HsO2!jXoR5_WftebI@u4@YZz6iA4v|Uq_{8A3|sRhYd=|EVdw>- zbA5(XT7k^y`d1ipH@KQ|Au?1snCBBDO2;+gZB9(OTVE~n?17#@bitW=yG3KHnWK5n z&ZAwZRaQ*+mtUKeixKuDD=8Qp_EkM?BUO7)$|vF_HvV!FAbEZIcKeYU8C*#I>13&G z7CIzRpRsAz(z&k=Xf7Vjs&9LyG`JUf%q z{FLU;tVD(~#IWNh3>;?H1^?^zbHU1Jdhe{(AdgaG$gaInsd}^@mdKn^qI&S1MEq>O5fzXmpTw)bg{#=BQRHgPzD4*cI>rN965K=ym8p2kW=- z(Fi1g9{N2ZSDSh);1*@;s*$1CCw%P9N!zv-RGosJfT!ci!tvX__*CPD&^nQ9yf6qK z1;_R2qWpBRfjoN0s%w?{!7EX43P zVomFr*gkK&Ya==lGhwr$Kj4FN@b8*G5KxYN>e7|?_Th2x9jG$SjY!H2fCJMN3u;tp zSzetj$}$qhIVhXJxQN_akK6`e`3>teDrhvel___lEp=v(r0v$4`_hOBhJ0-Z09$A@ zc%U&0-uHggZ{8s6t5Jp{LsbmBAwA;O!or7el<7PvU7ZXo5Bmu6nqVKO{f@<-d2At} zImSUT@8A$5OsldN*O!u&*`%&saDO`jM)@${O}1xzdjn4#h^1L4cPElgrOS8!4m^Is zyy(H;iG>era&0%Xs-Uktmpi~#vW)3lk2@0*G_KZOJSTQ$E`MNeC7Z=)TT4Q6>;+xA zyXvLsJ(_rp)>kNd`t>^9JIpGU0}h`jyK!2n+cu0H7Tdv}!z~T^u2u0n;z(k!1Z(z> zM$Z^NByLITRGX>dMLza}nWz73GfqJ@g?^TeLUrPpH2e#&9r*^XZ;BCq1(X8%Cp`0#@WIF8OojH^3`##X)9AFWe15kCWc<%!u5n;}#ggH8?NG4e^XcFJ&E|OFnHyTAy(W7DW42_k(omp-vTg^( zO_UTNsv!u(sIaTAX9{Qdp|jd__6v0`&lQt8pOG7yc$C3q4_(V3Vgc)BF%x{CSpV9? z2|_j<)z_e|r@2_P2y=WPfX(CQE6fmOTk^)iZEQQrG=C~PtC zciLvFk)8$y<@(*j;VlwZ;JFJYI=T{~LX}SJ(R?PukQRu&yRbI=BM>2?{>y3LWm-qTN#U2vuGeKNX5|)OR}c=@N}%s}dHyyK>_GY^QO) z{JcOJn``K8w;%mjfg;c)um8X-#tJ#xKON&RpsxU+PJlBMx?XT)^S^LQmM_V5s=Pv9Y{h)SU+>f_aX zf%Zhv;hJk3$p-F8T)AG}MvLJ8LXSM-zk75!}fa)Ap=gdXq)arPB2_VxV- z67?k+3x@IcP0w9@xfSPX>-hZ>YRG&)|M8<1R$4J8LXGs8kj=HFQL0Z2!HgDA96x;k z`i520yFUM^e;ct7MW~=gcgY7+JE9lQ@1(z$Rtz3pka$pECi+AB6tZuc;Z9JNNWIV@ zPv0KGC^okR8QC8Sq)kzysMxh?dNXa#|4(~Y9u8&K#*sAkHHL~|Ci_d)tl5ifWo=4? zH}o|lWzCkcH1FTtmh3NXnzTj}`vN#+vLs(_MwEV9{xrLg(yPe8vDf$Z(!m~LlwK9G>B$wbgTjhKDxZ?yL7ktqBoweJ zrRq&j^kv5QkC9$o>;lia&T6lx{4wu`4{OMptQ0M}OgQOo<95u6!$$JL()O7a8Vx3J zI2ztQFz5<@^uG5s=9=u;$i#s6S9bOEL7(W>mU+&;*_G3xAgkh}I3DeLJD}`DqxD>Y z#f4p3`MlEh8GwW1n$PdMl6sK$OT=6ze)in{puVae?inMw@LKfw*$;<@UnE%rdJbN) zpAjS+)ec)&@sh9m8Zc%OOucU|7?fwXzn$gF0f+W^=ofMAj?M+4OJk)<2{NmmLoe^K z)n1YTQ9rhZq_sly(>2zN5{gQR$~byw(RTKez<3fL_C9S3i?U!$(4r>#F>Yc!cb83@ zm>-T!pwodj%q)x~J9^R1=tl(CmWCE03N?#k2j!uF4$B4dk@gv`jpUt0Wf1oCC4mF1 zF&7U#GGvZ+f(~_okc2hZ#EoC?wM}*6tl-EjK)w&?$ea{p6Avj4Dv8MPwzbycq#OG1 z{?bE|R6W~(TxYTKY3|h)^3G6SyIOU3%OHO*QVd^|V-H6qB4$tg7W<2PSb`?s&7O_G z_dH}|FJP(eQ+wq7M71z(=K~b`rHXz{wOsWVNi$>2`i47qqzHeGM-#NJoS%H1AM<%m z?QCtH&0k$PNmCxSjHkQ$D^YIj+{y9<_saysJNx^}vU?h@l2wCIF`li(&QjjK#gh9> zOM9k~_xXsFu33(UX`^lZc6{=9hvsehd3B_zvwOFKJ3#wdriS$w~1QN2!2M_tDyeh&k8fG?nWO*)(Xq(LQ^%);zL8+j$xKRcPver zDNWQ`vWpC_72S}K55qpf$*hSL&(+GLkE{|GA0k7i!OVPanFHe3$~ZG~)I%+c@jlZhfA2(8SN)d6585O{+qf ze(HW;?%1h79M0I{jT?NqKU>|OXs$pHMP>;0V)RxiGfGDTon{*lW%H^s$r$&1o=wxnZ|O*O7iBK-0A13!%aYaWBq2v#~~1E`5O z+s2db>YiC9N3);v_{a`KF_;&6u!_X^Nq{Ff+szMm>e+t?p`5 zCb$(x9Q`z%Ck?q&ypPtacif6|itI^|b7n{q4tlH-6EB)I`k8rTkrTr?S(7NolW=%3 zRAPyb+gDy@8FlryY#-(s0@v%LXyIn^G8elf0wO*Hdfc54AKu+F?X3$}fgpl)dTUSA z+zp#dUWpN^Jb@~W-zYg(wDvh}0aY)xjC`Jl#()KchiKF2GmH}X5)dOd)N;!)vTido zmBy>JV=9*SjPlyXloiJDpROsKjC@cfuI{?J;4S)=?#mf3-xFzTmgrFmnQkJUOZXTJ z$U>J9v=IL;!VtF#d~R4{4|(cb+sBF@B%-e*YuHI{!uSBXFZPS$8gx^nl-&kp5ix$Kr6E=lxBVh&5hRwJeR`z8{;e z4PEJn0*f^~^yiL^LawU;SIr3G5QBU)>}eadzTUIQT3rM}oWM#|TEjt*Hz#>E}M_Re`hZ4*y(f{8c48_zK+%Zm+4$CZ%qMpEj43+L*;<*E2KWTBKOk1 z%F!>2_6@Vu-qK+2W|Am6&LMkzaf+#`NoJ#IubX^`#ck^-pQN2Bh=2?^^mWA%jhmGo z!m2l91-DR{fZFmf*wG|6+lB$06@gDc!R6(N=GWkWtHhemLvqymL2RM>(hm!p#8yZg ze_QIT*W$~{@u6DdhQS*%&~+cOp>cT+t(#SA&I$g^;#@?airL%5@&nF~y-sylUsJfY zg|d|Px*6m7~y3~*+H4B#EAVjvQfwa`H##83vWFCaE|W$PHMBw z2uo8WG2_D1Bsn&>&(GX4yfsA`Es!MT>Z3OV07+b#p;8pU`=)&w!e}9Yd!@WJ%w}to zq$)CJ^^~#MHXh;;Vj|;PtL(al$#4es;QSxi(O=hzhJkdE4Q>JQE-hDU`7x;rhR-(3TsjxfG4yHesfxa?W*gl0lEXBu%fkOIqhxHLEpK(>qSPgnrYp?#|+H4T%&IyeidciO#vjfs2=U+kx+cG{rq6H zfj7DLe4ccgC7pE3u({z)W^Ha4a9c~{Y?w$X$leyci`u|#X1G3{)N zqE;w`sgbJN456=v<3tE%SZsLl-(9qpKDKkhQwJ2pz=06mOd&2_syd=!3^xW2xa8Rp z>fmNrb%`cozZT*ra^SBw3bX}D24LV3RY)CZaUntgb%G6#e8-PXERbWZHffN{IfyEZ z!f3Ss0Hhk;fh&u>sUZFB_Z>XX^E!Q+$JL45QBlG4n w^NW_M|1p@~tp$AZkHKuJ7T|{dM+P&nx{J5E6mztZKm%Sz24?#Cx+g;a0|)DhJ^%m! literal 0 HcmV?d00001 diff --git a/docs/_assets/open-github-desktop.png b/docs/_assets/open-github-desktop.png new file mode 100644 index 0000000000000000000000000000000000000000..13a025a67058300378255a525690f3b6f6c65892 GIT binary patch literal 232494 zcmeFYWmsI3;k6d%thhTHl&A=N!|9kFhFHPDTtB1rG%Z3JO(1T=**#6yhQj6l^6D!b=U0>FNa( z)N5%|At5;lAt4euJ8L6T3qvR<@xT}rL{)`>cc~g*N#T%$1tfn-V??|WkVK-t3MHW> zMaGZ}M*iFr`muC6IeW4nU=+=@>lenKB z_lEiRlDTh3388*zxfihD%)pVzMgo4K-WW^E$mm75L7@eE;f*68xO-_128N;`mcOp^ z1eBFQ3omFp$(cP?J-5B1&k$#Tf(rb>p+$qU{rQ0$%B4tvb_nr1jbHP9v3tRrG9Ohj z1VZ0>(LiRUdZoZArMt~^Y4?vfn_^JmGxzRlR8V-JaARyFrVKPf(O1b7f)#Mz_ya%} z7e+{=+He)9)n4|KG2o-CG6$yT+yuVNfj#3;U8Rpcegq`3Qug=uPh$=br;%|*?^C<* zl&?Rki5D{&#n*{(GIvI+5^mN-UiH%i)IbZ^R@jVd2PUWmg~P@0nvsXw>y1KTZAx4$ zbF5+z(`_UAezrie{XxOb7_P+8naWps$n-iHJhA%gTauao5Kh#g{TmnOZ6paRhT2!zY+BTbqn!%!Yo(IwzNcZQM-`7!ro1%$>m?9z-66i{Nn8qlF&Gu zZqzXPe*d2eIbm_)mswj%9-A=>591~={C9j9X!Rse<=$6#&f&*Kp8$ z;a*=zV9)9my#&v=g~>>WargM=>0sc)pIL&G>^3oF937!>g+P)q1ZVFz zc`y*{Hd?;PNic1GCUb$qJ?H1y?4tetX}h!V==t`65#qNYK;<4F|9Y%H6J6sCY#?+u z#y5FQ z-%*mDOLr0m6mrZDX10Z0|K8N@%25wUUcjzgCT)vR(+in=(ty+9%^>9t!h+8U&bX7e z5Dl9ypRys8m)`{+KIFSg6A!REXB%HVESFURd@biqq>eO>NSqM3y;8c=E2!E#L-j^f zxt)Xq#gvz`I+2wIpvJ667MezViKacXSlC9K#JEpKaKY2bVN^H?-L|&Fw>>= zcya#-=!rri=&MLhN!EgvJK--JTPlk00Kx0kdTzu%Abh{A@bdCXYqiPfgPK1@<45*y zvthHSugQnDxhWSQrIWL*zYzScgU00!x!QO#LbK528Er>(f#%0R68!u&0C7MU?~3nL zsXcBy{H|9|I$X4uk}hvPoJNO}9PG_^*mUG-Z>)7=8zN0Ol@7~#x5f_paYSt&bR_R^ z47^T(D@?5JHx**Zm~5LqaAX_eA0vaaNfQF#S z*drj~=oi!%L_KnjiioU&CFAEb_&e!(COll&Bf`d+ekPw9VM+vwCRR+y-C%gbv_+c6 z_e}T^7+He@6TYFn$KU!UuZj}uHBb((`awBVNgq}9mHTL11w%0o&>zbdVYHKQ9R;le z%LbYcOXoYFkM10=8I#{nr}uOVbY~0`qAOlb?efOOcfj{c=SM;0jO6ktn*bZUR;d-= zIbpI0iE66GF6U1P@zTjsnlj5@mZf-PjwtvjZlc5~K#{xyNpD3qqb?*UvqQ31vh}m2 zvaKdHD)AP4kG`Oh<%Lsjli6`Lgt|w&2R`^dcx6gdWV?LLq!An>7?d1DlYF%UlaqAe zRVY%E>!z?(urS>-jba9S&2o))9g~=tn2_kN9-OFZ8KLgC$X8Qkc003LU|zITU_YZU zgMC0Z?OZ^t(pK;kB$}l*@nG_y+IPyjvNS$dqJM(ZHN$x>}B_>Sd!*+dCn1Z{=v^)LFX{t`d87*&2yO z~i}kNw02f$2*@Xgcg0rUbhhJV0uEJ?h(Dv^!O;_tWD{LQKp7@L{k zeJf;KF?rQHC|l67FXUX}>2gnTO>#~13l#C~;rZ$IQzQ1RRIOp`4#E&~ ztaWj5Nn~-XI;$FQ&1}`K8jkv$##%+j!rkIzH>qmFrQLa}+7=W4#fC-01*HY8 zaOr=Py)}IuzX0~pOYxB9s7Kk4jK0`X^ zD#`<07CVP?K*Ys=r5Nv~s;O!??_<+sqvj$7hlhz*!L})rZJ13_`2j`vJablbC8Rbq z757o$^(QK>T-sgRBj0(~3ggZ9wo_XNo7#PlzOvx96y2zFaTBR*>RhT>s#XaUarLNa zR@aB^`=~K$e2Sk@Nebd00zYsofb-yF)cA9_XIN_}t``*#3##%`lZ+VYofjkaul67I z5vPz$B}^SniSOkf%sf1~03ZdowC{BDBrXhBSfyAu0nH-j!m>=w%(DhOBUhuENefXs zNlK|Rbh|-xJ%B2q$~vRAfxy9q80PS#=qC2C9_LJ8)xQYF2ktWgL#C)L}F%JECs#k z2R2%5#fP(`b?XBu7D)rjxi~la6)^o%$=y<@Ay%BF=1qg5Dp)E(LCtlcZ^wJ5&7FOt zDd-eI*`WBB>VB==5bY-IK%sdNNM){gLS3PLcVjW2Cgf1{P;&0E#sdtt-(lF%V3%X3 zVRKOORbjENp079C>KiN`EK+(>0-Ob&OV~q&Z1AInH#?CKo!Em6R|SYp8|I(j5Su@=jXo zsdZ)TeT2GdTZUTMW}F>QEE2X@?N{mp>BlavD;g>HY?d2b{&g2BRNZ+ znyu2e8M~B$*_YOCoEjVn8;EV%9zQSpETO}rr}0PfgXgs#;&1970tccN^G0PgfZlEl zd`TC@kcO*!|JBH~8jrQB&fT6c&%4KoRrZE5NY2qtd)koBe%s=8+>nmt0hpNRUwY8n zyIMKuxzJnLlm9Wue~lw-Xs>T)YU5yPZAJ2XT)l7Bjt;zJWWOi+@6R9WG;}fjpP8)e z|J>Hg1_8fY0G}8b0e=VPU~2UL0`|M*53qmj>yPPpeh8160Z0&`!wO@&(d??|+c>C-A>F{%^p4&8hZ3b8@n<{ri;v*79$V zzxTlP)zIGB!twVRDp{F2@G| zfj&w>Zbsd~?<0nssF#AmXoLmTEdwP@b$k`0iz8~HKT2do1_+W(N`8GD`buFb-mhL6 z18Q16T!iqqHLTk24X1G4Cfko`odMlL6I}N|Yp#3T#=FTtkJC$@geL_oJmhewe|!nZ z!7(8!{frU+4)@Qmk1%f+??p+V-~7|d3j;~c1ReTaQRF{g8I+$>E8;(2lQ;?7%c_LF z_Y_hH!2IJYBjxm;?*jS_k{6WU4Tf$7?LR(WC}cU4J>dVB!xbbE$l!%jEtdS}yZDU` zqJN5um;L@Ae5KZ_PlR<{yfU=VA}Lv z_Ict3i<5BzR09-hRYnoXoRt!(nw*rLtILt(z}hRq>4mJzh6qvySj5jTdRYBeY3lPO z3*r9AMs+4Hz^wUcbK#3tZGJT@EX}0j4>=s`^1XEP=tR%N}fi->XS_l&%(3a z6t`#wEDGCVFa3NQBsul@q9G!;-j(rU$ioux?|5oPL#rB6lZanJq^K-k0d0XuHDZnF;N@>}C zdziGrZYPqb;ZnTTLTw5ffG+VLMWGEJCZk>&X=NOZx@uRqF?X6w*q$H^&Ref7YMH@N zUI={!FKbu-WrOYKmJ*jr91O1jq~ko9lt@@abV*GM%^xCh)Ye2F6wA{MMkG6UyR<3L zg8vgznHatFX$K!0c67{rDSwJiMe)Mw(4mFEc~e4--4FtA)Mfw-I+AvxE*G!U@H@1T zXUVqxa2BN5U z<}38y;5bjK_fbGKqhQlg$jjePnQ`!-{YSOa?kcEz+v+o$Ktj#k)ssez6O(7#fz{p; z48-GHvJH!)Q)9{eaJZil~2I+mb@>FxQ+@wnZs5yGb?M#0Y;!vaHB)wKPtAb!ce5S=zT;n zU%+L$imh+2dwO3KGwx3T(w01Zv_7?4j+1Ng9N%?&a(yl1&>wJQ(j*@<2+1HPyg-U4 zlV(<;fCY>Na=kNQe-gB^t7yFL=c9!xjZJBmuf8)RE@tb8^60YYlEBsw_|rla$L?gXAQnvEL0Ar z@&ZfFw|Iiyeu&)MDu?}#!qDq7NkH@A(;=Mw-k_^T8qHWJ9D^K8eI04=mNtMa0&{UI z^rFGmt2M=CQSS|h<3V&T+*}-k537`i3MSFu#fKzvXSSKZj926+Zf0uzyyVnP$T-Bv z5ri<1NJ^>gEOh?xnIh$(-Vpqq{)jG2P95Kfwe!=qO5F~ahTB$1wqDl{Wg1sUgSu{~ zWU-qg&iE=`vg!roe-WjC+Z&E79g1(Plfx7GeLM5#SazG;9}cD9$?C`7Ahj=%6pfk; zr}7qU4`*On+wF!oH`q%Ur5uZs|5c)SZ6gtIdrTHa3T5R_zKHlyewf`=wp%xfQH-{! zjxTEwzoJ0l?f2qPn_Mhg;!mll6-0mshG3*$7%SwKq}KszaENFG<-Ol+&Oe+RgoTC0 zv6?@H%kn*`Di^DgwmpH12&O8<@dTHx=gU8{JwDc1thGujKeY;4HQpN)Xw;Qb){_VG zj8e;{E*Eemkw)%yxBh7@w97zMS)iLf<-bqi5qoTw%_p#+B zo+~T?NXa^i+J@Xm%$=Khp+=F%r9-MDoc)m^PVm?4bAkrQv<$ z4wLf2$2#uoJ71EuYmp>qbT;*%?gGG9EC(a`y_`j{AX>CYb2#53r=Z7K?Zhs0TPjSjz7i zePOyN;G69V;(!hvG*S+-mmTNd|H^*2soyoU=U?-ipuMPF5(Ais=D8@NC^T0N3jvrt zdM~WPH9-SeI-huAeCO#mOI5~0?;^So=&QR7`A>@LH0#N@MVmcVxDq(@yoVDx70?K| z-bO?r)kg6GordCc-1S1B`#hSvuquJKM2F2X?`Yy_NnyPS@elu0RsxK0GQalDLwO+} zq6N;YSD!obn`zPZYJ9JC!S!;7x|5$nk6a8dUCV@A_#HTu(R#bpqu$k?F4Fmjc1sRq z`cVRDecv?7EnGJLCo$KK!BL84(8sFi?)JX>@tFnN9L=#=vH<=VpV*m&l){qj@iV{k z$(c}5spfst@cqLj3(f*6jZ4+zxiN2$fZbh=%ee>f5Slk#!>+U&`2x$l$A+U z?dRkh(!|wbO~|LA?zgWgznFhi+oi32;_l8ETdFoK!U+zUEC9=jKYODg(6n)eGIPYwFFJ8oN2Dh!g zZyEeDFC+rV;1#aa5^A&f*+Z4{1^DPJ9!6UbcLatp7h3JhNW2B)u{TZsN;4ETrC9T4 zdsGRRJM7++#UhtRc1!fqW7kugcYmN^loaO7K&<~RSoghH?w5qG+Y3b1;~ozd!4Jut z_CG);810zeL_-3~t?sTLv@?Aj?Ys^ej=3{9=jtpL$bh#ej!Tv?J411@gE4f*CDh5X z!SR=u7SUc#&0J>H#X4;r*23}ejGH?+@O$r&yS9CH>sCHMZi&wvZ?|OI`jtoQpDms} zS}U)#)oQ@#_u#{Z%I&V|O7#O4|tP@Af93AoEuomQC_>r)13*0}0X*xbHcA}UXOjvZQjkQ{}hU3}Yw~MH+86-$zkk(%(sY<&GoX|Exj}eg(GSn3(l> zvp6=-Gp_K4jUdywS^CZ)6{)t$XvD0glM}bvUktK4;t32o%8!z=AI70qeqH3DH(qkd zgXrsIzFzRK_U>Bqw$k(Ik$uI_3F!!9@n3Xr!-pjhYJs8*IC#K;%StoUj$i+5V4<$!ns zouL^u{k`G~{41+m<{qH1wTsA%i*vDSwkO?8)!&i`Q#OUH@G~ zf9#;azT~4&bBBxR4-3tPNs5j6?y4K^1S^zHfs7aDeX{*t@#NlzUt1Lg6_X9{D`Eb| zt~Y>!>D9m%s`z<`v7~qNxEE#24VR0f<37OEhZmv;swH#6(Vo(N z#P82M!|NpBq@B`n+8NZGbt{sCpCq>DUboFRr-@?@A;OFuL zJUqh0DW{KO|00MjfXIQBR98ayKkw#Iq=o72AUyBjDs;bvnVV8&6 zQ)eE!A%D>szMoV9?GCF=<~hrLrdSqNKjnKgSZ%&cOW3a* z^aA^jRKe|N1RUWOo-fY!Z*xh9_d(gE73El?)*@yV1XkY*3Rv6f3%O3Yy|1*BOv#m@ z&RU{!E#aF`29h3#IM_d!rIC5VT&^Ee7>^f&Q_Yn#1R4%(AfCk-KhUE2p6> zS0fU4m8R;T=|Xww%*=}=XE6g1grD2sc0tXrBD9~TgQ&e)4CJ1`b~7}I-D)qiRy0=} zrOsNEv{FzzoXlCZ?)FebbX?E(5>r8C<)->KbLo#5Y5)c_lJSk)nUl2>gmhBK!r$bZ zFFQoFc{YqF?gO}8L-lNJkYTfp>I2u0w z8>OeWYLjLtRg_?q-}nh=z2bDeJ(v;WdpLuoLkVaJlbijt`kJo#bfHSj(`AkD%pJwN z|J0^sgtQvxqpF;Gbv^I;r^CkWf$O9gGQz> za>C{P$NS?tY`YmH6^Yem*DlVFOUZq3%fdI&F6mpG@*=$R$72{l$9w1aG^jGDPYU9& ziEkj_Lu+?3ZkBc1gKM1^15zi>dD*t9+WNU(*5dhkPDg>N`q0JxpkB!iZE$imORMKb zKsj9?dV6vKX#&G6uC zR_dO=dvpwt_XJ_bkPWiaQQN=?qgXXLokTw3Q6bC~xm0|ch47Qhx_4Z!X5AirCS(>| zUgy;;7l8hdpOKnryC!;U zbG!uLzCwq7uvPflcEsJ1Lk{Apd)jnBz+V+>y1t|k3niF^AdN+d2UE)MX5XHyevSi7rqyV(I1pw4-fqZJYN7Rx2J$t*||<|(_JKSq^#0X0y4;n$o_6!`iP z{?YU4UPUzc{fD2eIu@u~Ev8dBu9q6yky3?sKa_I6;68?w2U{o6QRpIZh}`H3^(5VR z#f}<(7JajH4tc0F9D={^JqOyPaMhGthu}X~e--H_42eRa?VO!XUYWoPTCw`Aj%9wG zW|g~Lmwvfug1m%M4BO237=^=W*6%=|xzZId{)3S@|5dtV46XX%Zrl94>O!??lm!@A zbu`;(I2b+46_fqqM$Deh=Mu&Cvp@OgN<8hYd4hWSLJ8y2JirT!YLUEzR~j8F1-nZ2`cs7%k@M|#eo_L%k5eAu z7x_S3hGg8}Cy?Q{=tIb9+nB6D-_zF|$$=H;HA*aMna!wM^lNmU}VDfQB(8?(7b8sG2NXIWvC3!X82?x~)oZ94bq zq(VD;Iv&?_UA;}GU&htEMCkP{sut`G27yzWV{KL|i<27_PN{p34ZB0Qx3f#FPjh8! zzclK~mK~&C4Mehj`U*`(?tZZWxuMl7+uEp6Bwp1GvOlj>2cKE36y_{DC@2i1(5Xb4 zVbq*7Jb&)+8Mb3c9_{aoU~+#&&>K;iAtHWv}p6gw@5pM@9sAsFt0uvXh`Gw zmBwBuq5D!nL#4+fLP9QQTyEQQ#-3h#(X|hH|BT9vJ?L~SsZBtekae+!cNBJS%kZw< z4AQUv#`B}8OMx;yad~N+#**QX=al(lgZtwM&zgTx6;Y|iWm3{WN!=>hoQ`veeVsM_ zSR40AD`3E}@3%;Mv%2&QaVrh>1bQFxPUg$Q;+`Ebdpn>xap&kzooTu{4+2XYBy8Gh zfz>4}4H*?J32WewynS`l6z)(9&Q~Y*(JZrDo$Z?;pSBDdMpOMTEbCCP2ZzYPedD~~ z&#`nW2A8S}VLrx_V#J`#v55WDIoMmBBsTOxX)bck=!$jTi-|twog~*wgZ;^!n=Ww4 z+IW4$^7N5tM-|sRx>_5W_xwvvfj=-%T33_AbI|VwhKqEwCNA}so9SYB`1uBy@HAmO zdx<-iBcZ?_{`p|Rqg2)O5hhT@?S1JhUnk8xX5-B31nlkU-mCW?!~PoPw^@Dj{mc;k z{Kzr0Bj_l%ML}1{RTQ1D<*P`12%a0KXo|aJQMpo;D5@8`3yDuWf;=h$x&*n^b52`H zmpqza!YAPa)=ia-U!8c`kZ)CVB14loQUhn00I8walI_!~KFH(k8c4sxnhoQv-b8`ir%)+U>T)EGPDkcox-_MD73S2BjZef4gvE|jU_gFTRw=cH970(Py~kYg9R8`IlN$Rx>DT=>tydv z?iJA=OB$3qL#v+0?$%)wGTVB(8XXTesjJ5(&UU(fw0uOeKVb>p8L6=D-vL8vDo!1} zrJs!vD`qEl`zY5S$Nr3KRVt?xduFsRo|2+fYeB+-gVrYQt=y7WWH44A z@ODK46WE&Ilg0DkHJ{!X_@uz_^(~{KGIXEMuOZ>B&EDY z32;nEDg~d+$;Lk^*sl$%Rpl6;dVD5?fnm_b==*_6SX{+42tPBbZFypAMa>6MH5y9I~S2ysFnIQ$tB)v|hfxbQ;OqJ4eg3 z<#*ezvDKSzY>C^(rZQWSv~K9`#FhZsYwr-sk(8iCgvlBTPL1;npfP4BoinyFiE zFpsLZDI5>>|ft28K4{m-(fg6A=X+ytB}N^yXwV%QG#9= zVtm)EjmIW@!q1KM3hTYwElBi9wQ9B~ffX7dN>`ic#{0MbS$geMXk5PpgwuYg_e>+c z^4={1FW1ncY>4pdu4A~mb{8?7AG4S2#ToA~I;}J7PyhPFWOQ_AppgVQZQ$`ST%MnU zmGNLq(aY?xhee=H5YW9@igN*MUGLu28Qw_=U8Bd)9W{|x5&_xPREbim!|Ba8yE6hD zJZhsp;)!IdMg5pH@EVxntCVE7UaWsd z>KU6!>{Wujz?pMeXRP_=gIwc#QtVl7=Y1-3O%G!8yf%;yaD+9rOQXiE=IU3(y2E#Z zqbzM;Pa!u53~60H&OI-aibK{e-#wsVzw#qBQVEJX6R@;hDq%XfW-o5_&}vj9a#{B< zCv4-zn?QwcD-(YYJB#yNE}ZJY)j^7;-`FDZD(x=du@@uqxhF#?JCVzR6ZH|DfJJz> zAa~uUAAhZ23kx%lY=4%2sFQrX`MCthE1-@<(6i7Rus4q*&LKwex@qrW504Ypb=h_} z_*)8>vm)223+L*{mdY9aqhVBGW7rL2Un6Z1Lz9vb1; ze3Esjig9hA5%a(seb>7PC#I8;Pj=TcDwGSvU!d(G^u4{3!mSs#63QJ9m0dHtwsQNe z10_&Wnj9RDVITR8>ytQspgUm6v*x|6aU_3opE_cbMd9n$o$wm| zD&L3=H!FK3KR+`3TDT6x|9tUNqe7|HZpLg3bSB_bW!~u?-*mmBTx)p9)^M2$@p-dw ze0)w+zcb4nB`huwe~}@Cuuue^{RDB?>>g;fgbdDFF0tTs!T}?!LF4Uv#pOq>Fk1Ig zU5(35kd@DYM>kHYecLgyC5wZkBWrJiLKG(0!uzdGi`J&b1`=xyi}gu5Ka)hPtd^cm zjiJEp$Q|)xe}JTGLA_KFx5jTh*p3Mg7V}eaIlq!Ls3XQYCUUE9!CvUnKGF22epcXx zAW&8=Eq$}=Xt}M6B#wPB0EG5~wbJocnFDat9BsWh%8j zA6t4yTre1&@scG+J%`O$j{aKqz|+VhCH@db?IEV%(Y$|;9G0-sh67sx}A+hb^t;m#kj8{;a_%96{+n0F1bmS>;F+g*eF46qKNx?=ovQwz`>`OxG36<$AqclWm6ul3A6g+Z zxz-Actb@Dn9-ca>%Fz~Ly?mGFz|U>kex)C)aqYZVdLaCc_>}kol~3ra8BK5;gF##m(z`b;3o@`KyTnslo#Y$hO|Ior7` z@8QSojc@lFdRfD+*%}{*x*4qeBh5xd;^^2 zIco>eD!0+;fbJWb(-VJa4X1G)GOv+_OKwid@nxP)ho8IMZTMf|-q*S_>Nh(43V*+V zH!8U{oMLVrxp~ce;2Xbq^WwSpvq-n-9UT;5l~sLs<%m02KlR0=&j*qnbUc77d=s2p z#@TS5^q8ehOsw+>>ed*NL1-qtvv8WIhY2J60xShgBTWUCOoSQ;AU;z@Nj(YDV+ zRRNf@7il%cR+}X-GEX1%8Kn26{o2HhU7mhb?F+S3G&bc`cz4{@&AB?U!3fo}8T^vEh{-*+VP3}X(k9xk zrTbOM#8@P?-ZaiinQja(JV#l-s}~F4$c_ML z6L3;xjy+f&bnqR;e6kz95t(;IJKIjXc%mNNOs^YxA!xc^KZ^h2J-!5|F{yNMW;YV> zO6x=QKHK;N7~SfMaKb$V8@)s?w=S9b<`WOB{V|eP-43O_sM(J8(ppmDicuJz9S^R2 zDeXGQS5Hme@MjvyE1ELeMK$Gn!wzm5UacmE9AcdM(w(3|; zLV70Z5BDbHw11(Kz{`PaoPkH@HBjDb0uBM*;X^gOu@_AyC)9m=Mc5gzMI&%$tN%N- ztyUAu|C}6-zoKn2H#%Dgn_Pi_dI0&lZnf5`b<(`$bm)hK0fJoB+NH*QRQswh$`+UB z=D`z0^datw%MGQ`S)><%Y%(F!&2ZeC~l{d{P?>1#f3Br&t~L&4te zrDE?t+W-t;*D%}?BI+Hr6ie-ePCgD;c^jkhv~lxId{(dX7*_$6Ncm!{jWQ}faYr=@ za#LaQ=D7t{6tVDrqWY9QEr{z}=pQQ9Z}8s8@#Q@syt8GDL00qHVp9JI)Ok~`qwX*9 z6odPh0 z`M~qJ3mpt!rVyEnn}4&0|O6eW$C=G&pkYtDIAPZnT;61Yao$xaq<;O z`(zok)}7=93m)3+k>#QDA?wmZsnSQ4L}5TQ9$^5wJ- z%<|7H7OK>|YcyzFu6)Kf#r17$bIv;V)?2UL02!WGM1+S>iyt+@cp(C0+$Xo9c(bpFv-9_Qie=l3OMs=~5#0$L@5lS0 zCV13&UD`;rpr87`hx6Ib?xjxP_O|g#fcXi*6x0A3Y8eV)kAaNSs_EJ+yqjgdf`YF- zCCI1xbiYG5V6FA|hr1yrwHBimM;@dHYnfzPStpCQ%`M{bX#yYrmR(3^ zqRMIBl2wCzp-M?6mO$-LCb36sNa6_`o9yK@ds9X$_E)}X*rY@KQkDE;wY0wk*uTS( zeTK?(lk|0jyoYgZK|rCmJSAUt+~#3(4Qm14-RBXz?=x?VSe1F2zXW&ua1bDgWO1%D zQh=D%gL9%n>0NJ=II2V{kF+1@abF=FZN~5m?MgY=ylXKbIkU17K!{ra}7D==5 zKdroO|ERC{-M8ICl>ctFREw^O1&2|vYi}e;#jo{aZlbkXv#{+z8vkG&sI-(P%ayqK zXrs0y2Uu6?EjQo0UW2ALD6Qx{KP_TBp@;CI*kp{4R&2#y4VmLkK%<$J;`s)oJ$Y;* z*0z*An_3;==)B+FTQFHmn{*7YAG0i=DcYFg+U4lw6=Kc3^aGJt{+_%p()9yx_ zMoWv2TOYwg%Vlllv$n?*{`TJRt@Q%yh~!Q5&b}WjPP@3kfm4VMM4*8A5xTdJ*K=Ct zW$z{&eO2z)yTY^}%_ocIib%u^ewM^!NG%t!C^2OzBcBbd>mBy(q-g5)8UQcpf1c-M z=1DPEF3X!e9dY~=S0zZ0x;J3ShxN1}>H7FF`tb28$A6ExbY=<9DL32$X$iH8li1_R z_Qf=PEGlb_rEYS(v81kpiEzJ@0!X&FIhGs-NW9T!E&DmgYYy&;`ySEdzi&~aJ_|7_ z+nrA3+k)-bMq`H?NMikjKY0XXW2jxZhKy}@Y{ago>D8-+K?Imv@yiE zsmwaAq-p9|SQPUVr9!L_l&2hxtYTALu#1Jscqv z#7RXyf0*mga{cH$AkEHp_f!3)~=-R_TAQK3$bq2vc#UK)7T zTC;!9s`Q;vYGBIAeyX|7zO526jPvODF`{+W!gICGJL^XCobX#Z&{(R){f%_^DhPES z5rT{EkXKi*Kzua0-(j`*SzO9=Ew@aZVWZio?&&eD)>{3LeRW>=4CO5zuSAyOM*%)p z6H3NAs*{x_l@!;faP})_x&Z6mGj5%H1lxV1$Hzfa>FbA(@zxPcUY5b!uHhtZ9Y(c` z{HGhUVv(OqaAo3&n1e#tOCKt!wc+<3!;A&&yxDK?y?83}x@%}-=qRmRiuK53()oB2 zb0jKOE|&-}q709ID2`E88iO3Y{l?LRQk@=ppXPLs~M+MpL*l zRXrfb?B;>b>)AU-^?fR)X~5|UqzKQgZ(G}E5x$UBmFHsnN^9Y_YAk)ydZT8+`cApPhVg?akvTXZ}bGS!U72{EEdVN1yQt9LZIfnOTzcI~je zZ699(Az}=TG&@z3M}4e^QPeFtL^*+^oQzEfL?cnut(0}O^-?Y1=TAzHvHVY0RqI0n z>iAaUxWpdYZu5Aog+tK;SWy9s7-JCrDHV}Sg3^iC>l?w#i!+7VX4gyW;6qKn)*7x$ z1i^|G4-~TQi6CscDBo=7-S?kB+J;G=4F}0;9)`)$UHnjXu6dslWwIrbb-neyRpuB7 z4V!kyZLlm!QDrT89~Z=tZ|smR ztvcz1S8Vk~sWBHO-pQk+%F7ykL9tC_K5DUV1L2Rgm@2GRbXLb-yy^Klq>JhdyD<^+ z>OjwexS3yRFoxbdao=LeB&B~!oBf;lkeqoDCo{OR$rYbwBe?%6abM|otOjPMT6xBP3Vg(-i;3d`};ywGH;6mi<^y|STN!`HK@!7uzb zltxo{O)ahpY5i7%OooFLyj*p0FDzXXlzGjE()ZiHq)X~5sX)TvAvSZX@m^p((KHQ}Lj1};;7%BZfNbxt#< zZEH^H^agL1j`i!q587G6HqJlvS24rL#T?^Sfr_O7dbqs-8r4`=Su zo2W0#t9e$2xx8fB&DXPV=2$RnRNEw6r4>VkDskpBYM6rDC#WXr7nk!e~of}dqeHS`MLpOpNPkZrIN$uMb z4vU@=4<=@=7exkNk}vckSDUPFAJ#v^8V)v{HWHz4!oq!QicukL$@pQ}AJxZOT(^Yi z-2xKTc~)=fT6vv0AEnh)e_T1pn1rCchLM_bQ?$BmNo;ofQKm9ve0%KbFe-cbEzd+o zOVN1fBLtm596N(>waO`CB^X|cCwcvYyTawtaZl?t{2Id-oD9%T;Y@17j(`5${uRM3 zs;UY`=i`)GT0^aWTovXbruz1by!CvN`eFa8)3=Ll&rkjo*U9j0CVUZxrPsHeS_c<$ zWpWwK(L9GM`$yzCOZ97{CXEYCr_CwCFBEArhEB*NC$tBUX4;3*n@1-MihzNCL$0NI z&H7d`3qLV|!ClJN-cv`2p47N0sfFbT-WD?6j(A$ePamt0ht=tnR9io*P0W{XKQg0| zQ0O5QwboxYuAk*xM&v=(RDeKw`gw%>l(L$CrX}fxEEo0e+hK1TQI6;RaZPUm@Mtb8 zjii(Mx58>J7cI5wl!~5hLY}@OLA&Jo>1%Tugo}193t2r1zV@eXiNdAAdMw|jmI@Z* zcE@O1;6ueC7H8?ZJn(HDJC5ay@=Uddv<8=lUhdEH?iX7@=1F|(tRd;Y!(B?ePjbE8 z{!BSiFYza2EaF-V>4x!E(@VCsdB6>lpH88HZNq74|7iaWVKm*}yg3z)02kbE*j;AR z=S^$z{Hz^z)mb~OXDx_r(LXnL^A^m@*QgP0{i<_w3$U>!%ez{gOSN@v%>g_+eY4<%>;H! zmVe_GadKV^V1o8qc|Qv)l4`>T_`?0sCqYr4Kh{+Llsx`=xHgc4+xg^6Z6GtH6@_Eh z5QEfPeb4(H74wfaU|-g0#hkm5$&=!Gi+j5dT7}g$#Lui>K~q+TbJpQvOT4={2{5;^ zFQT_(ZR2up2%xhBrw3fpoejB+FTlrfRwO(bR_@g?8Y~tB`d(JI@wrvI-z-?H4WJW( z1?R_Pb*MwJgSl0uBF0k{c(a>sT_n}(TxkZ*NesRuGk%tJitYXtjIQ70bQ-HfOSLG3 z84sEOpM1~9{sr4UJ(54<_p{ZRQ2kJuKFSx)S=skHT*kW%iX*21N~NQu)I(JKY3Gy{ zeLNC_I*oq2qdGA{#%qN}UuP3LEVx3&0t?smM&ztDfQ+`T%>Mh8MIiDLM;hM1c4eOs z`kdP~T~?$6ul3Xa!`@p*Mb&oiY-R<0LazxxKKuTPZi?p8!+Hpn$$e z!qeL;4L)hyHYK(1o3P<(xT)~DoVSR_GZDw)LrPi-1S^z!#W{S7c+e^&EZBW1G~Tn0 zpaP3{&%}&}BlI*T7%FWS5}!sw$8ps>K(GV)xKRlgXmKc1NGIth50K{)l0xZUS ze;2)92&$501BP*4#$~_Dgr*0B!fm%_OMwb zwOhl>hTA2UtB|$kGV+Fxh-x+aX#s4$iAi%|BmjvHu_Y0#jks-d)-QpV{(ucNp(?u8 zQ}gs3-3^=y_R(wJ%*pW(uP5P2=4t4U;&3jhe|#8PKiy_CyTM@+Y8B*cb`3PJfnpOy za)+4BvhR!6)1B>4su>=EV3>A6R6izjns0FO!$f0PfAlcu#d4S_FuiK9zfZNLuQLs; z25X!Q|8hqKxqLJCjexnT{ugm(<1Q7i3GT(-_y$MRkPe&E7*szlB^!TEjA{n-HrJZ@W&s zmt22MH2(b`s1cp?fH^xALE5~@)Hp~Vy*$%674dnr?`Eu_?{SBoN?`0o;%D~E$A|n* z&cJYx<5Py$u>}>qU1@Gxeb6f;JZbfz{OI|9+o!?z5&RF>%2H^chkhTM#W{JXm&*K} zQf>%$Hi=%QNI5EWmeJ^$Q!TyS9JvYQ{UCGP%ZS6+>T>kRG0K2KS>o{|m!`fA2Ev@< zSIaC1K)CcbF1!(%6ggX@y~cJaYqxAyGpe%G1#1-1pORa_ZEth2S@y%$id}%C0Z+nuUWm9FZkVfJ00clDjP2B zY{FYGKi;juFeEkY;6ztd{Xr{NOcCY27TzexHBo?uhl=PbQ0tkmCv+6Yu(#Rcpx=g` z?tHY->uGy9p~a_Ee3{7~%WGztO8MQgplQAL|CY3tg_%miy?W*c1N zYWL_$uj={7&Nz2Bph;{4|FUth;J)j_i!*Xt>;0a}YG|Rc*oOK8H6wBWwy;zO*E{PC zievc@22f}G&IM3t7T(A_%-`AwI=&eq<2U_*6sd9DdSFSlBdntcla^|!R8&}$1$)|c zp+gL%#jq*-$4J3L!fr3o$4|3IF3n-`=3Vi-&3lxZ8S`IABItHpc0U$@$6lD8Z0j@1 zlkt?R=*QPZ=$H_j}caj4oGp6YZ6C{%!4BWViZ_8F_ z8r*a-y)#twVsjvoUcuFiU~NtGe!UmuH;~My+8HV@mk^#vBhX0> z^m%qjex79>*wG~HkL`Clu8{||-+NOaZ0OJ#7Z-zz*E?Mx|7}&lRv31gAkodVI3XNe z9_&k)BO7;`Hef8$DJCy~XDw_2t&c9871BpsqX}qzO-8)8whcSECJUg0xpubhxCj^e zRNPXpSM_A>%1I8Fww%O1$kx^lYGr8W7atZVD*n9VG9JZMWj#dcBqo^MB|s`#*kR4> z=jUnE&pXss2|n>c!>j5ZZ_t{yZ-%`h3 zm>K(NgTbcqV;l3xgmj!hWT#oh`dc>6jgfN%tYRukhPY9Z0`zn)0p9G8IhB3)4TgZk}35$=IKOrW9R4zNX z_pYP8r z@~Abrv3X2S>fJlPDDJN#szT77N@NatYX!M)I1GI4TJiYsQK)YxF)*(Jx|0Ku5wmX? z)1wsmC@y4}bk?dHMtV?AH2uRvhk%dBWR)qpi)Oq(2*K;+E;NqgOzF78P~A2v6koaz zCS@KvV{88Ots5LVT4bduE6RgJd>4~2zPRMbQb5e8h^Lr=Ufdq`u{B+iA;LMX13Dvc zABMicVc=|dte^QBdmz8cA$ZCS!8Lg{-g2KtJ>G1f=A&&-aY{X>{}EBF#43O=rj5rU z?EbI|fi_4;)o$jPqDoEt0`JZEm(4pH5v1jM!aat%Nyy46DbL=V15g%Q_qqKi)-%Vi zp>dmasr0hFCL6%uR^R>-?e*YY>dON=4qjuS+p9bJXy!2fo)~}j-RQK8KJ&$v&;+t> zqC0H1=!8HBUG6ak$=hX;`Q(&a66K)N;sQ;`p{`kEeycby$_E~L^)&0%(|yG>Y>XZ2 zC>HGxu!mqnHULub1#t85U=lV4^axv6Oo2v^`~Y~&ZFM$kr~c-`swlr(dDl9a&F%W) zxcpQ=Xi0er6eB^9!XLA^WPuIxxuuU&Z2(Y^C}G4TPMnJ#74`!&jw5;YJd=V4IfMR{ z{jpp%NP&3g>xhWCD*I&@V3Hj6lQ6E?E#)|-;&QBtUXBUufF+?mBZ$zL3T7@ zyg6OuTXJ!*%xFBtWCZl@o@srJheLSD)t_rd`-@x|b6o>Cmh;_9s%+)!cDCM%Z^in?H(5_v?Zxd-STEF^x{OYd`B+VV=d5fM;h#X--_0icibMr&Ti&-5|y?o<4 z+xn{7l3p*AAsOVZP>~I~mOQwj=a3Gliovc*oj+Y2*%}fG>1X0;gtJeAVGEFYdJi$e zSCv2Pr|ke@0jGJ#K)IyTCPy*=kRQ`UX~oE8HBtK2mR0Y{H()e%NuZ;0KQ#OMZX3nn zXI1O2#%8fJjEpr^r-090qAFWGV3EfwL}|h{`Aw6l`}1kt5utHO^AG?Avwyy>!h*@& zZslA^UVo8a(4SxBHwvIss!S4IH*sl962DuBexICXF=OrA)Mo0=J?olqJ#2y}M3R`7 zwB*sSd`dhc<#R-}1(?acL|$V*h`GZ4qOkLRx~*W1;z2q2t5fBY#>n>V1wF>1$&3(j zE8aYdFKt|Ay(Y&;qlzLAb_P=|`3xlEQb=u|CW7~6KKHdu6l>8!bzAw<)LO7FHNI)G zn7VdY)tpr&s$N)PSA94cpM1kQ+s_V#kytpN*z-BKMF%wRv-dyGdjuk>(5$zwy(($u zzPBpfplWvJtmTcWtXHp6)bO^<&~PXhj8my4fk!RkYDc^wtMfj^!U9H4#xtg+eRJM- zFznA_-!r7Q*UMDoepb?h9b!CljO#WFWVg|GFVclF4VzROq_TmKe_A$s>6Em>RdO1*`T{!IL^87 zBK;@xgK*AQB0x2?&)Su>CI3x?`7=EA@1HBZu13HvO+o^^fsjIYIH>$S$I7^8;m4wY z(!&fgQmEF8J=)4^jW!=H@Ywf~Bs+e-jsbA(IYZ0C+Ih3Qt_#MrK}>%2J0m=zFH+AS zBO<2rp+s_8dPFzx@$4cV2x?C-w{QkKr+g-fL7re_G(gk5?dHcF@9A%8T$c^5bL|#H z_xEBFHB#-(Xy&UI6~Z4XXt{%Qzm!SM3a0DpsR+{|W(u~tTRTL~P3IL7FTCCA%|DGb z6y|$)!=EuZ?wskNw$*YLdR9FaAC}c2p{-2XCUP*+_+te2uM-*G=mZ}g9$;l)uhCbx zEswvA>5b{PtYcYPy4dzMK?&2(4ZSr!55OjS0|2DaD6?J!sp9P*PTL8>`pyn)XMd#> zJLOwG@TmQIVJw=N=x2KvBb2Gz;sS%Y(^ufzhgNT)S_lcV0MQ?HmCy^=KdypPcU4S zc57X5yV7_j(d0!lhn}OlkVpoF-iAWG!U>4BlJ;|FM@*zJn`|7bi9Cpu^UR;F)u~>J zs%&sMfMFn6_tFMH)60fGT3%KZJ`u7{UcWDPQ>7`@t8J^OM18{{vP9WmJSKfd2znaM z9x5$P5)gDu{BX3#GD|6h{w-Mih4+0D`#;Z@T_7K2~Yv1#eCdZkN*!az7 zmy-MhtBQS@^Eeq9-~MYb?&;XK58Y4WLpw-E7_th{G{5K0Dqn`ZG=AnpSyZySf z7QXBg<;QX<;{FYi_NraA%s*eg&-^ui3&1}IxA12>zvwpH7rd;DnY3En8Yk;Dk72%f zFD+_|ya)Hl^)x`lZESSA6;n4#sVxXT%1oUEC{bi0#4T>I(8ic7HR2M-3-VtyP8ed9 zU2mU1EONXGWUF26jgB19hF$hHXn@T>6frB6U7EW!Fzcqq>-UV6c-jbA%z=Jv&qW7T zwAWmmZaf{rp`;cVY+`%)dYxCf1iF6ep?A?ji92~OU^_Z?^Q{jB)t{5-d;j{t~v=A6b=beSQ}R!ZO+%{o?QCJ4W}lD`wSl}FFc{8a=-fI zcKm~}>4w7$XXsfg6OD1Y6<(pLVRhlOPCW))s@VS3zAw_`O50ZZJU4`=coUrfo8Q4% zuDG7trHf-UpzoO8Kt*(F^o_x**B`p-gnT5^*F`}eDX+8I_md8Sme?=^Lo=umBBlDH z3Tb%eq>{nJG5P9j*c;*64bC6W-6a=4@saA=Ilj!XnvlwOQ z%WN?2iPZ2zue|=)gG8wpr3(dy*0k7o=~~5lQkz;i1DeJP)>RWnDhs&I*SjNV6JKYB z6Wxc8ePp$oC`{OK5ucO`^{;>{RUa^m8*h(tcNHkjAU)SCT9{a{ma&d^9LOb9BnOLP zr5;mu9$d85jxMr5WZLc!K7FgCu>Gi_0fLyJ_q2L?zQ?I4dh_jSkU^zXR4gx_Bl81? zzY#BGdyi-UZp8=TVbqh&IZa?3TXPAQNApF1;H(r^nh-NJ;kD<4T&$=|v+pL4&{pBa za#%V}{rk*%FPrQ~O4PhXMe)M@^Vahh*x(N7UTzz^p<3l?xlKC9?eT)7EUbIoQit=c zk{*|f*I*u4weu}+hg+qE(rsPPdSrFjz3u&DNJR{jW<61E4HoLthA`hUo7vllA4Z)r z`qw@P(}yIrp2OIbXUUZo*Xh~>1^wIapxl7X2j$-tJZ)rPj92{_d}DA*G&1C+G$32r zi5AP7l91d*OC$5G>mVPXT(h9quTzyc{myN3p{?g>RM>z1lWEelx#%smJeQP0R-xz( zTE?}39Dv<7xCPmVQd9^-9bDuVl3z$JhJYKsE0@$Lyxux=)=oHTK9@$bHWQgD&i?A& zSf|;oy(c%yHu%6@{d=8s+jiFYU8i&4Xzchv?AD`o&75#HCUtWL&(r{|Pde!sI}SF| zc&hoDUvP$hN9m-7^@R@OKbr^3B0&L=fy*B;!H}}~XT=G17VeaIpZ8@xE$v-NQCcwJ z=4JK+J=!Ap>XJWrh;5giQcU!8#;g-9qwq3nzVM^?RqNhKtsVUA@pm2}Er7WipCS*1u{L*AfToBrga~P3cRz(7^>{sU ziAVdD$k0Z>#{kRs4-sehO+6tzK*y$nC7j7%wKv92MiL1eo!-I(4lcrW9j%LPw&HW^ zlEz(EmmJUAQa{KO+wEAE#C3zbZD+anCzj(ZFR7@$=b23A1s>+BOW!l~qkH|7+!+u0 z*v<}SJ;OP<6T3+oD#S4sWxFqT!9_1Cb7$0E67dmz{qDb zN;K4OhAXi;o3zgwqes}h6dp3HG*-xeo2_DPo~!El4A+#A>wnKQR%hj3;h(FRHqpb* z=&pVfbxRj@V^83^BsmX^2kPry_I^jXHUTF6Pid^&ue1rW&sli1`;!G==I`$C)UWS; zM_v57M^euvVB4!ldGOgQH;#JmJeX{)Yv6@)P9Y1buQmmYeWmGRJ);s52G>A~X+j}D z`?BPY)|aiX8mAL1iY&p2@ zP`m6zJmBgW@bH%M5R;emG0JKG0Fh?ly+4_$m2G6VH&BXrO|u zx6m+e&E6Hgfq$4tf@JzLV>MroB%MkESXm%TWxb41@>IY zI`%EL$)YJR4kE)1_t6%JrdGSYx_1OXc))tCP4LJYqIg1f+Z&iP&dICXVs?{5v`K01oI?;%*Zd&#v_DMExv^iZB9>O4 zVl^@FCWE^OEn~rR(rq?vbA>qfWcRYNKS7A2$B(4s$%#~0XD#OSh7&SXi2bNydQc)+ za~1&LMlo6Hva*1!c3t&KHXOhEk@2*lC5aLQ&&|Y_3%r|)T}l1kL@9LHy^}!okS5T1 zTJ!eu9lsMI!r1B7>_X;JimJMo$^u!#tP|}J*}fuei?J)k(?(?-f3*zpDCDlKYrHV>C4tgmp`K-{kL-qVuTVVK`udhG0PNDa?E3wK`#-I> zQKI?aPY={28w&IyD2vc>e-Xj(ieQKe!q6Ou=0CzE9AM;r&ZJwzZ$K>Qi%!Q=HJKJ4 zzmYw`l%3C?JtNQ_!$Bt`|RmlO;7*Z7N{czT4gRc2z zD~-b_H|NpD_`1cwv!+)2l}TM_$a>S*oHw$2-f4cx6+NiiJ#SqlVuSG&dZik2Jx|^2pbY@(C;&mc#>podF)vXhvzs-kLB~kEx5N*0KO`T=+ z?t*4vzwbF(?V)EpNu93cc{%5r71nm+!|1i`we7v#T6)l8ZzTVZ6eZpG=#s`fQvOrB z4ByC62FI2o(cUZqCwkXO5V!xK3E}h*+$t4+2y4)I>GO1+yD+I{%^>(aw32ZJSJBn- zsT8Yb(4)>@Ks{iNjiL4(7+Le6Cg`h~CI9_pbN6y5VI z4dFs*S?M}Byx?X$`!GA90t_!#B&_uflC4oK&CWN>DC4vsLT7EO4l|a8ZV|AD^h(8B z)V`_6W1kYOQMmRj!gyPMkMec%#46W({$UgoxfFo+h&QNnW z5tB#U=;vBbZtHCJ7kb z`bu}5I&@F*WQS=8o0J)t$1P7??v~$!*pl9l(^1h%GLq#u7yFmmnb}vRD^in43jXhOByt)r@0Xl}3EzxaU&Qj}KG256genTM z0__4?m$6IN$wA!-=-oZ?68mCpMn^l080L3xxvg)caN3`giN^$zi7z-A>PWvt@%z%{ z=(SWg{P)eFUrZN87=EMRA9kuBD=rz~I^#!OpY2LOLQ$6OdBvY{*F8uzxN2>Jm0Hxu#9S4`YjkD_A zVmx?6@YH<;C81OGvVFIgY%j-MJYvo4V8w$!Ip+hqMeNRAY)(xJQJ|o~HupS9$KrPz zG9|wYp2Va1U=Vq?&lU&?PTE^8SOo3E*=}0wnJ07J8{hH6q~g1xw{Y- zDF9FeoguIA%spG5k9(iez3$eFZdLD|ZoNY^jpWxxNbWa5Xmz1V&a2m z25&V`IIR@Kn;R-#wS3HtzC)@g!~9J@n4`ei6e}YwqM%xPlC|7=Q$=-0JM~8b`oV!z zl%E|?^ytfapZQuvM@W92IVn}H27JCB%~pWwk*AV9S6AKpg73~UBvB~3>RK@6M0}od ze`mDZ3Et%O)56YOA@PMC0Ch;#sluxF+^Q!~|K>3=`f$u@(KZAs5xytTh`m(M*znbt zg8bFK*pNt)wWIUY{YNua`b(4$N(x5C%E|f>D5^~P-G`Z|f*ldt7ZDJOR`Zl$v`rEQ zMOW>^wSnzzBcP&NOgZ@Ng|m{%dy*);)X~fRGMp55_xKnp&kIT6bBEYD=#Z|4a!6l( zpkq zPY@K#=N-XatXLb5VCxe?iflZVr;ER_RV#6S)%-@DDV#0LV~Ll(9!U{hByjqsHd4~x z5Ni1dxkpYTP}BBVl7vtP_n#&xT;AB^w}%w$Pa@_gSTMupSkXW z|IHGncq|F4&J-qf9vr@?-JP));DJhG z-wL|?bl#j=?MZKEegU=ZrRy8z#7nE3n>_f`m1Q|DTi7t9_dc_+^Das;6j?0RSh91T znR2ab?RL(*xHv(WxKqybsTwt!XFLTMRKEcH zx<;RxvN;|2+PZV^TigocvrMUClg3RH`?6&nP}71vGDRiNutRkI0?}3l@PUPI z`=LD%3D;H0E?=wx6QGdsSd)IU$ltBs_=&J&`$oAtqP75FFG4~`_Pk1_eN3#2( zD#cJ*uV}OHew7F4NFYVN2}+Q*SCl*eanKJVxQ$3J9-_^nW}!!`c#aY&jZX0SGYJy| z)j&K$#dwALS&*g)z@$+eXmhf7O}2K6@9m5*N#HPn%*V`e&;ztBhZ8j~%dMyF5)PKS z*ny^|?&VAWc%8$n*E$wsrA=&HUeoJ3vCLZH!Z!wWZkO}o3Eb`h%sQ1*S{=6J$fq>A zf)^jOTP_7yifUX{+X$#q5qO@yT*gYX>Eqjsoetp5WDS2n#IJet_TE``o z_U{?I=oCR#6U=rh6ygf0{4CQBLPh;&@ap$YT?(*rT1p7I{4op)4cPC<}$ zF>ad~I>fBdbCKKQD0|IBuoLu9dJ`aNi*`as++H1(tY+(%h6nhK>+I!OFe)U6BNA_& z;?`jBMrat9Dy{4qv&uIm>SwAs(PlRnV^37+F2JMJDM0YjMW;J(yC$3W_JBs8Z^5!2 zf{2v&w8by|o>Z%r7panO+{1Z*d4f&n&vbiJzr4dWXX9UWrZ`GZ$fN;q2*>^iU+=*{ zJ`=p+n|0e;a4)xCSD4N-v~hE5Sj_+!ryd7u{h*PIB$6DxXm{G1HkvO1fUiFS!3@tb z@X2~(pGASA{8hHE;7@L=zmT54i`)%tc9c)JuM+8DhbCW`L-aGtJ0dad+D$@a!QC&nk<=C*;MwcBNX z&fP>y3BpQE2^S@M#GCg_4PXq`koxvUo7mCN7ZS&!Z4fyBA(Y%9%*AfK%n#!CPRjXg z4n?pSaaSyKl|8$!JJHRv8$V9z=(H}cN-s}^K2M{uj&Nl70Mh0XKYYO3JjSi_3VjH2 zz*iNP5OEQx$<{8@FlbW2@L&TC<()a&XTnd!4VGgy%#{I~YW4B1)ytBybV>j_P@d}L z=XM{5w{2#aUny%Oh2Ly`z?;ql0&>+E`TXj@jU;WxX9%Sd$klt?y;JuGxUv9=stU+c z&qE8>SJ?D975@{a2S$dgXVVVsXGZy10UJZ9nEDN^q%=wYI7w`bhw8%FNP9ymk{_Y} ztkNtzxb=OwC>qA%Lco$)BPb>bc%Y|iWKB#E*JNhD%-T{9h10Ka;&uyL_AXqbt^i3q9er~ z%$|M?elFvCAA6*+%$5_X`}3)ZbrM?6ULWFEE<1j zq9)6j(Be3prOxZ~=X7KBI|b&YwGE-(s6B!2q~5}F1`?$Q`q<(u$MbvQ^gS|aA#pv` zMcTBU+%vRyh@Yvbk(q15>i4w%hI!Gqc`%&0j_77~Sw|&H&rmJPgHMvSq7@=u5rGSU{(R6Zot4j83 zg(Uk3g3d2Gf?AH^dZ#=5v?`60_yJU&JH`{!p+I=>cQyfdFi3!C*@ll9V`JAAJcf_n zsrX3?rxC?3Mo76u^9KGnOG`1*-Get|9=^Q=_)m?v@@W`1UPUrr(s+Ln0~!1C0feYG z&Yn7kCP_e)U&+j9e^0dGC! zHk6uMa~z;x9z#n5Xbwi7FG~ zGd+iN1cc=Kcp2`cgFsK^C0$FXzY7cnOz(hqS)F?3>1(e7hRSRp5F`{<+vpB4m3v4_ z5!Mq?^f5DlZ|&t>6f`{1JAeBEl1&$zCmRg9KO0y4mR_Anle0>LDPgxqM%?cXs=tmw zT;7}fftuO1pIqJGq0Lu2bsB_4?`nOFjZJ$42DDv78S%TLKZ&AXL{HhMcvLq6Ojfx= z5bc1QmTv$m*1X7(g3aVYT;5bv8`mt?<-#0jsh0zMYSTdPmjjGcyfn_ObuMVZ`&*g* zPef1&D$``4Q)0yAiEO-Q%=1`|s@cB8wUyCqhj)1m#C@*lAUrc~iUa|9S;EKV9mg?E zA4fH&ZEh?^)_xKwM+wzj3dn^E*-PaVzVPJWoGSkGz`;jGj#lXy?U2HJQeu$P6EqS3 z4v{3^jPU1De^E76pjp6RVd0YBuKyM{_*(q`B>CHSPer|La>CYEbB0c_ld1_9^-3+! z@PZ`M?K%!s7*X8IrDg)L2sYrg_HlXA&C?dI^^Oj`6zE>YQY)R^`}xQm7FgRR7*gTV zXF6TySYQpW);-kHS|Co!&6xPgrds`F)E4T0NF;}FMcr}nF{b~=UHe@cZ=HM9NnZ8$ zXL*vyDrT$qUJ#8z>Z(lbbrZ1ALE$e@uuXFlh#4wNjxlpOFtE$xFn&;gTOkIDEo2;{ z6Y*SAsqcSUSWVbQ%CGI+!$3j%Tr8?{7aQ*0DDM z+1^OwY8(o9W;!R@=aM_#r#~+j>{rl1UUyLc<%_?ls1;1Ltr4#Qe+L!yB|4sY7)o&A zzx?`NK8W`FTlxRh-F+ni4O2D}@%@L}|Lx}huGkIz->vzdI}#-3B?`rQYb^O+$lxU^ zkoM>A{*{owUDFT`kn}FG)_)@@Xn4@Fq5dAl|1uW_P|1If%T7my9>HoC!|F;Jp#1hb;Fp+RX+);;l{@+-?H;+*) zD|7p`d%XXxbNSs{&`G4XgQFtLsH?sIjU)Qgvxq)NSIQJ3T96Dy`Onr3WPyq{6ZLu^ z;6EL2z*MXJav9GXf}h;|*WU2|6Ji9g+KeHI*wp{dcmDqEEFZwrsyUPJ{U;|4$mpGg zfoHBr#zp=w1Fiov|8MRAMm}$!)eeYl{-YGq1fbzmAhiWz*#GqHa7#oVLm`j4v%1ect^W=Ln6O8lqQ1^!na2w1cKDKOsu6!>?= z`kw;-VLbk4fq%D*|FgiqXHNgKz<+qZEFaN#4Y-ETPMqmdi(u=8I{9iFICVmTfC=ne zO8k!Z6q?K%3~5;=dXv8BSj^R4nbps$*>bL%Q<&5|e|4aQvM3%&Bz>?H-`BrZI4DZ= zeG^-klUwqF@#kkMsQ%~4I+fOCa+ipQ3BsNM%xAXVg$t#x*_}*@pXo!0y<1tsHJ-~` zsui;iY;i^TCQ?1^s^oi@IA){s6jBd_a6ii!XXU)y&-1Gk!i6YTmG7gXuMiF!KvNRO zvzSqk$-Mnye@%&(V0=`lzx&}wv`WLs)w58={!5uWNzL^1p&qSZkGM&OkZ6l$4`m1Z zX?wKKrQcuLgvACc*1dVhW1*fdg&!w+*~_(Ul7LZeHNqGSG>I8k<+113H#b#g#=7aL zy+jw#Ao0Z1)n>-s#G~Tvo$}iA6VzLY2GF7{^+S!PR(}oNL}f6~Jd)y`Qmgm-)~)vC z$kw?i@f1aG^0BgpP3O{4Jn;W5a`Mto_lqaXtEX#IW1TH$Y0J~8wo-Jj@~L54zYJZ! zslRPB%%4Ih%z>^Bg_2XVc^>9lHIL49!JpL|BXQO}i|chCzXsOb>s*gD4}T+)o}Q&K zI+{6x0@}0_ExVF9sM%#9QAQHACweK;r_ncqO`gJ{S)`+!ubxMj-R~WVCkkpp6>vgC zR&De2)?p_l`xK}b(_wE-1ns?kiv8CZ>~oeE66S(^RNo+zZMU{|w|O9VC8DUR>TZArR+WM6yxmki>m4C=1!kxF(Jcpx9FsY;I&MANTxm>3BB z>L9nn^tORsd?tDmr)XTjLIN=&isT4>H z*=u8eKxRDjV!m;geO}hwOV@Xsdw4L(=MK=X>Yhp_vYt$bN{`CFtK00=@kQMG@x?85 zCZf;Pj;%luQq%(+Fg~g$)jnQC!}i6H5X-@pa&;@oA?ZhdbKKcb_H6BodWyv$*rjnV z6^{vw+4R!xg%bZ#6i^we#hQSI{)JEh9Cp!I@J#s+El}Kn%5F;PgB~M$%~&qx5mD}4 zr-dMB!skturgwZkJCe7w?f8UZ-VR4z!A-}4V}W{={uuJVRDI(-u|GOj^wN%CYBbBe zby&xZ9nM zs~kv&6T_iNV}w?}H^e5usOmLW-Je_lZ8xML10(}rX(eG^O>*=Y%t_oYV z(tl04ynj+J-f;5_EUV|Kg@T|5&a-?nzbuuvILN>kadBNRFxGk5lzd4sbd40>2Uk3l zlm;mydr4TdnMXaYTQjC2BBa9`pSnMtnlz*ae!)Flyk3wB>ydf+VCJM%Of~y+jx^Tj z9yw4!##9g;5)&ffnzpZ4JPly^8%--m+d1)!`MfIc1l}AoRwNdj{oR0mM>j7ODpE__ zK$bFGHtxu+9EoTXBjHCFJzE_LzXZv>jwLdNS3z^L;@ITnPYsbhKfQu|yzbA+=EOy( zBnYI;SFPKyp9-zLIbUO$O}>7vUu?~O8fF8iKP&2~PUX5O>$KLFzvhb1K&39*sqi(E z%`l;R3ko>DCEw}jnC5XTs{_A@@g%IR6nVBI)a)EB{TQ8)1O>VuIX zONvUqL!L7s@EIuljyF5QRFf)%kAC)u8zren*|^{P)Ml0=S^W5u3!uY<4PHVdh+3_E zXglR!vqjOb_o{7%w)kai8Dg`lYG2u_3jeO~d}zm)z{4lm2i-0klWA2cO{bu4r>Xjs zpACr6J{Nfj+3vUy;s)KjW%=+~nn_zmCY!)KEby5LC>$L{NJO?9oNEqUU@#PDVK>65 zG~4aZhv@T%h&&aO%fWw&jsj(!v9AD~dfU+aB@-02yT^{?{1n$|d$iJ8!S%Zz6GS!X zGgg0H0q;wdbfK*JZ8-!<+CQTcO8l`LXazilJ&k0`a)D8%%fXkqpO@Jlp+XfrfcrB_JC{twGWmxxizq6ZIkzy%H^NW9 z?YL+(svE8ph9ozdil~?Avg)Gz3Z9ByI%sgTGFo%>&R?we-=^80lfQ>jB$T^sLw?-E za`c}K>I#@RMXRgJ#&4}<%fv<=k63(_Z_orLlw^`V{wY5Qj}4`m`6sRQTslK3A|oQkn-4n&w3EL#-rpD>o+QJ+xFylfbZ^<-Jfg}$l9JcVM;W( z&Kb~_yt_-*4Ub58=4h#QVrur}PtP4Bo!)ggCRs5#a@=G>p<;;WjK7xk(M|L$xM^_EFz61d3ts$DSK49AR9FfZ63(+t z9bZwOOy(&4x3Yj3yccU9BYKnDe#k@s?)I0R{K}R-`Oy9*-d4}^0*8UE`Jvmr7r#~a z!pl)-bQ+3!Qon)fJ4OwluC9rNdi5>SYl)TeoV2zdN&h%wqH?H`fYq#;YV>y8Q=VOP z$ufWfKVgyRb6+W2LYrklmq0VbD;48yEHjOA5==Cxy}w}t+(1C={Q4<5f;j-NGREFw z5~&X0#C4O3Q&WNU4|>OMAN(O6HQpA?%V`L)huSy+Yks&hoD}x)H^G0>mkJpL&N4!? zF;@vxqJ*C25A;}x>iZ&QzPS0@nPh$0e3<&DlR|H&QDTqn_opwp-_s~|>YlX6qN^Y> z4THX85>hE|aZZxz8;+BI*W^SF@%TtNn0A{hczQ-~*bPW4oRi&lLGZ`Nq-vX4hUHGhaNxP0J#)35Q`5UjVI7ol zPC`6)Y0*JS#`m_=ifFMd$DH(t`ZS)FceRAB>!){5m?J6cY}Ok6F`}*H9pt%{cCk~T zlLw(z;R_QUlP5M_?x`5nQWZ_GLNy9~7&s*vs>g%eKW<&xU2v)!{Q^oyZ4sTjx#V_A zbVDu(jwXGGh~z0*8)fI5UTnmv!kXR5s(68OYfQ?$Uqn^-U8T-7>+nfr9E zy5-d8+jQ!;Bb6b5F{`?(HG-CH-5TeS>2Gd|5Fh0_6b3(9(5;?)$X_{mSSJ{-nR~)7 zVO8CANd~VM`9jj*brY&pApgK*waOj1)k>zFNom^J|$o<7E*hgt6-CG)+4pc{u&4zWz$t^ zW$b3CbKi{e%V&F>T;!-nIcSx6_%rL3y%IhTJMQzl8wyBtZ`K=eo4{?*|-}<_dN zl>J4Q+wU6>Qi{KKTtDy8JbOK6b!#v@2SpV3`D|}iwLCD&9(E+Cg3P;~+qckYH5q0X z>eS90uP3pN=c`8qoFF4(FJa*--hsMBC=$(H3%)KVA;=Y~BW-Car7;JCBn><5ATB&X;!f@N{ZCeBrIXBqh5XZfgs@duZ#*GBX5slk+z}37KI}3ANt($D+gEc z_#3cDLEMw6V^MgO{scsu&$lnM`PGfyN!T3kPX?{p_apImjirU3>^tq7Q-QtMbI+S+je8A3I@Li(RSZy0>7z|Jr@O_6 zn_}&fsMyP@AXD{25S|kXc|43~If}?yvC}01KC|<&D@XKqzaI%opWHo#&V!4G5JH)* zAdQlTJ}5|IUGgdS5KiEkq+_Wsaq;DD5y;Z%%88RaMo2VRx8ASjB`KuJ5N1%kIkD$0 zm1=i)C*ux-=V`V86c}(HY@5CUf0QN_1FilFbw7lb`L!=38Z>+W${Tyfc@@p$10}sJ_G|*={{XzC$b^h>y>bYwhiyH~|Q@m2Mo0tn2rPxp{$NBPo|{gwx#S zDWW2-mgBJ)2nCgl({pR9D%kGo-3e;t-0cw+Rne!=( z*mbP*J%Vy(TX~X!bRL*PYB7~fsjzViwW$IZ*HlT(RwACP_|UsUq==nmF2`!cGlJ|- zwWT;Yjyct`U@^!ZKW(a~G{BMaeDb)aS3uzX@;m!iwjbtQ`W)><3$7nkQ((RZMT(aD zBK)r#z8H6~|Gs;e^ne8`#EB*}SV7-O6BX=a#hm~HpF@9)0vfBeNB z@5fx%`?_AwqX)C_T(v&zy_vLW&nHXBhX^K{xfP)=p7qMAv_DfRG=chv?|74Ci{Hu` zyyz4TJgK*uIVQ{9d6oOw`@Xa5c=d|}&3;`;A`ej#nGQ=TDHMkc=ZD_roiue1eKR#l z$xRX}b8>LX?5cd&WLz^jcg@2sPRD!UmE!)K^ZbAUv7uJv(xWSfj&hDlCmzEqASsDj z&))LQ`U)hIeJTz}RPrGyOs!`D-nrWI(^2>A{yX&Z$lIf-u@1aMih46a8N1Nn6vv0t z;~n>v?eLVHx&a8#MQ&_xF2wQ^aCYh!yqiW!Z4J*}e|Dt`#krp#J#81Vaz^A6vyiY)v#C zCups{PeO9m3@uY zwV&A}MKYN8O_PS^WcC8A;2(76^AGl`gvw30?NM!=_FUMjlM;@7V-+Ua>|E+-Xuhu; zw$1>l# zrE|CciF_-tHkC$aK7@NEssL4q5nI$YL*us9!a_h5_`Py{v2n72H7gIKhQKYv^`t0) znrR0qzDt}LjkUQ%Awo-8fKu>^lrU>c5pcKjzPTMh7&CmV%3HNtr;0S@J>jm90XEtD zJUJ=s09X=y04iMT`_3YxHHuDWMe95G!N6(3kVwB1NcjdG>b@9v4gs{;JeVKPUN|(A? zpE;*qGap`&c*1%=lEDybwnV9$n58obzVNChJ7)~TSSeEX_TlB=N(K0K5y?EZI^<z`b$)Vw6~d zsDlC!=P(7EjNh7mjr>N=D(ZVIhVL`zl8_S)q+T1;=Hx#Q7z$pOuIob-m?k9UME}=B zS$}pQv0TyCZ9;p(-|}aDd0M)~L**ag?9q&)%$G-Zj9<|XpML%NL)E+*ijBF_yp!BF zzi1P((0q*gad$!(s#cR)>4hY< z{7l}Tyc1t3zP2>psIBwvIG>a>z5pt`LBGq z=h*NG37_tZKFb&R`%0v%v?or<%h@y-{Z7o2q%95xX4hG{@1=SY!z5e@rD<5^ws)8R zX%A`b%h@jtX0pnnGFEkN#y7>FIH==sv_CHQ%P&i8rDebgUCo|J@vA-zG-&I5Y{jjLkD z|7t!ZkwfHj!iGO6hVD^{&mAmmoslwFhhP#^?BA9RDzEm)%+fG2Z z4@6@Br(|Rw4#d1a8QRZ3r|q6(+bQB^m1nfq-&3YyK2~lkv(T58a$k_#M>G~SKj0L6|?elrV_yO!e~_>J-)8#c z$5gb8QUu)9`nSy`{M|Mblr&nGJ<&VWCUeFva-VOa;xmBsoVhy?P>~h>ES3>q`FW;$ zkd!p&ywKX{sU-Id{b(<)RsWss%@IuUeHBsl_C2wziHatCw0W;(dYMVflLQY~+|z58 z2Ez{vKM*JJ=vT<=R`f<%etSl3Tg^nraWXLUH|7MF)p_9W*PTTp;%z`ZpWB@KemstH zU@y{N-~{FWkd6})TXZoiUg=MjROt00VMtRN2d-e65Mk{E_c6FNE-vCBYG=NXe!AG8bQ_G$(St-Uj?R}^Oh zMNP>2#DvTyh=RbtCjOD*tiL$OHQO#+X+ALshn@4xF2GoQ9Y?msi-H=;G&;=7EOrx$ zY#M2f%YR-dQeqWgyH5Q(=G*khBl5>Z8SgKQ5xFs=8Cf4L$tH;%8}w8q@{NAcx-tMg z=+%;7;+!GsakYTve^aP(tr02^_=3GhCu~kX*jRZE%a_VT@2^5g<)=(`F7j<~Yna^OkvKQxn|9EUEqU6oT&)YdhjWtLZUFb!d+cSG}qV zy?cATnI>J-gR!?#XMaDk({^*U<3eoRa|-pb9saZnb<)#|CrwR}&q(0?f2<;~@ef6R(tlva!mvUX*0{x0-v;PWV&kmgpZ zixu%3H40BA&zb6EkgHnm9*1No-CDJk$(mE`uACg9Swb^oe1|m|)HhnVIT3e-wWUJw zh#MY&LPYzy;UW+05A<`>^ z{wza=Qx(1~`Dd^&tn@|HQN+NaKD)XI?1gcrDx%&E#prCSbcW?>$sd#7k}d*SQa;vtVj z)m%)Kw($RPZK(0y)`yBc#P4g(ZLh`b5zc%$*VEmdG}yLpg5ateT9iMhXqFcr2!}n| zOg)vDmaVxa5q-((FN}Kq04nBY$o9F6uh^BM9&Of|#~YMf&PTfz`?YcJWW&) z`oeKFswz-nH%`rp zV__$Fz;UBfs5=b1wm;fYb%r9O{ZyTvWcJO}GJ?j)HjUbep@ufaQ8j*-L`%34rdv^^ zR4E10&YP##m)c^SnI*<^2j_Rmd1(e%owNhgDdFciE0-=kT02OY)6Sj`ElYk3Cp5Ne zoyrSWpFll0QXjTDGPtl(l=rET7~Uo9AhZah&_6vPZC?!J>@Mw|jXzJX)V#Ixg4Fux z6vXJ?U+M zC4A$HC#fzafW%(xc?lt0?RN6sV9#FqS%?})Ot#+eEDLOUf$9AD_yO^cA++P(y_$xkEwi`xBCA+>FD`8=l9W#Ou6=Z zCjSs7`<)Yxg*v(9KbDYeTG*2{)`8EkJVm)Z4l;E!n7K&{Bbc?Q0-y1l|tsL%&n?gr@k^M zS_Wk#u~=cVPPSFSdBf}jfNi_bT_lu^uY1w)`$8n+?s|&$v-4q(EG0&0>&}HA&k%H3 zN3n0xE591pZ1x!D+uC%7Y?mzMOt)xxij_8lC18rXzAJvKM}{e%<%ykfmtX6iIQ8f3 z9lKD9e_$2R@G0sXhDF39q_=46VPbzxz@I1S7f71YUxat)IFdv2F0iV9oig>hU%z1P z@xmM{q{Eq?FL`Bs`B+*5oIk&^wKV4Xv+5foF9UOlm$j!~kF5hO9l&lZ-3Q)XRVC*@ z;Xn18*Wd6>;-JqI5|0lA4_?8g^#I8Fte8XNzrf5!9a;B720IrYpt@k|2f+&Q5JyYS zNcJ?*ueV*MPiu+3i8-o4oH{h6PuNWVysL|$zVEQPAPpNtX{@!h=t35STJs|+&zQJ9 zExib3gb+&F#lP#;ZhVU}QLcE5(5Pqn_VsQ3lu7ad2y*e@ska{<8%{2!`bXPGP#+7Q zjEQ6LER>d2CUpqu7&T-{+mb*kBlSnb;oz{VWmbu+iyzj+E$tSRg=>Fq3u^hyiy}mJ z%boI6sLoDe(0ncIAs=wa?irgnrPkfWT%V10euIN%DS;DP3tL-#RUi3S9@&b)Zhz$> zK_LKIGhqT4yXN(MH|aa4O!3C=`RMg$InlxaflD_5aYOZOkVZ~9+`R?GH4+x^UEF_> zD-FBjMA_ZUrwOT7SNLhMjKus?#?XJPSBOumz++f{VsL=wfN~5FEH7r|VyUW;P8fyu4?a2q71S=uC6>oKjGs!7aVM8WTskgVfvtr#=szC!wj-GuG@HAhczo& zlxQMD%jJSY*kUB(&fc_ZS=MBkTkOZHf*6U2-2r8OZZz^^IEH@T^C0$EqcOBiXDmTo zPb*zuP{Z(=MfvoI3ug;1F>o_tc2Pv*#gl>~b>xA7(Dl`zx}^$~0Ted?1+L>E;1;O$ z`%hBb>vY};`VqQ7OYVynEtgqk!?OA=de~TuiLzRSXr}ZcO2}$C-U0Q2YK%92JC+0? z_~_6YJ)-wD=RigG4xyUGuNrgqWl7G>kE8Z{^b!pnt3v#Z9@(d?SOAC=b69j;tpL=8 z%nN<@t*A)(tl1s87`Ii>6K%F__2@phc`agMKwQ6f5J3B`gz?xIN5Ah9A4E60Fdrd* zAI0DhJxA(n3dUn3V5x+Mg_RY|G8NarFM8s^BO*Aiv`O}V`2Eo0?Us^%&mOCR{NNOG zHJ$)fe74)*?_(cvp}&-z7u|C@ZJadww$jOBI&0O8tugrJ94jDMI5muB%!qOiK+Bh( zL7Xv!S`8&Yur#7%=wC7>8&a9hpy1V1a1opZVeHklhC&X6rXqVGs~T;f#vu5ZZU8(zV~G?*G$`{dKE)?Ib$4%x}1 zB5(k2lXV)ThvH06z9_M0D0PhA1DN4H#F#ckg{WJFS z;+(&uhZ{Ibcdx@Xh0#^1gICe5T3LjL>@}DXG-uStm4|49ByQ8d4uA$W`cUe%KJN#! zsaZ3o9~v1|i~(ZtW4#rB8-|1y5XUibbu8xQ8y0SNJ0(ZEDvFksa6KP1@BXix52n13 zxOmCqU-@kMR(4TfUicP(^uM!Za}(AiO|@~>!n_RE9jL>Z#rPzw!dtviYUz)Gz?4}EhW3Nk#s0@M=a=(ZwX9*B3~#lbaf66wF2v<4}p5BrBE1v-L7 z$Tk~&aarrZ=DlGN{7AJwzJ{#3ZMztb=TO_gXNo|b>5jukmVLa_FpV?A+G|=O9;jd_ z3DsVZgQ7I>D?IITSAKQx>kjN`K$|V%>^0Cbec4r3p3(l4kjuQ-uW+GQLYZ`BM8SHOZ>=IsO`!YPS&J~rHYM?N;&lkk(*fgmK82Bc{ z8+b$L;{83j>9fB9NCA*c_NK|kst#17eN;BiQ6FuFTDSXkdiTkJSd2!uv})%HgERkPn$?W<4>AGf^8A5E$X64(Az0?6?8uq-+r96_l6lGg98UZclP zyK&c2joIpX!p1A!*(zYN;N9Nta0cR0*g{^e#xtsHEFs&2p=B&v&}nE;kwYAf2s9lFE5_91zr}qE|`1P z!3}@`?KW(fg{dXGA-z2^EFOw89A7tan^CSc7TfbRVNd6j%iwyKV^+zhGGXm(>-Dxa zqns^Qi^UsUa)WX;l+`yBD}|a!!fD&p4W5H05j-vOLPlawO>X}IT2|J+d^?Vk6ZzFL zGugiseH!_?k-GQ{*KnZe87|h612OJ9mhs%@lc>X1Ix^fAqZ`^JUAq<{cz#59muT{# z+!o%Zi%fTbyl~FQ*0LDeKi9IcUu#5qYh2@e|C|Q1+~gvFvVP%1qPu;q|;%&gW9K0o$~hUhbvy8t`$`!wV=A@msI`5?3! zRsA`G_^Quizu!bA7JW&IV)+#MWRhlU6SRSV4lDsfESk*+>R!(w4UDAbF_-Qz-_-_Y zi77m;c?)pE*0BUWJmt;h;~ICj@BL3Xc?HeWQ%cBvFyoI<@P?MUR`SlJZQoX>(#iLh z@+goMgEpM$a!~3BNXP+CGThS$`}ynY5y))ojdio|)_Q*#R{mQH)#X6kfQf)+Lw`C; zB?IY+0S4gV0xT^tpZ4kF6T291~nSXkR5%-0+H6_rk9-$huLpD*Te2_ z$>bYvK^pT^bLxsFf;3?GlA;!sKf(YF1@ye7oRza4@5F30lAQK>m;cOoHP3$h9C?Al zL!XI}NEP*L>S;s=UdnY0?vq>guDH+`w0SZRR3{x;Tq#c{at1(zk5hRg;?OITxtOvZ|gvYS0S$0W5co=+c9&0C~fwjd4 z`n+SbQJ2`$0yUGngQg+3@gSY2)~fEV&I{+jerwU_U{m9Bxnd5?>mnNrUA6Lip+(%l z974ILl5^Yki%16qK-*_74V+<0B7ZuX2V<08%shV_C4)Z}rd$Q+yiB&w$WJGiUv>{% zF1QF9ZSuZM+Hdf{?;t35YkvrrX|D(y4$Iu8B$^??<&NWYzhYB-^uxUlT4XGbL0eM1L%ih>rKmligTcXg+j3W6dBk8wF~U4(zzyz0zT zgSY`T4Csjl`Vmt9Efbe~MwT!*rOdNc&uM^j8J8^Nc4}{bz`s*VWP2x&x+U?IaZmiJ zYy9S;63=`-j{0DfU<8+yR~7I`7MthDi(S<(ep=?_zjPonBTwYo-Y{akqwoQVUvL%Y zZ;73IY6GvcdjNG4DL6Y8E>|NlKGcRP!Uy73amsxX(iVO|nwK z|9t|Ds*HOcTn~BH;1p^U^Xw3&FEhc}bg}$=;KWigvlZ{Eo!BtRKTxSMem)b4g@p4UG;knX? zb27D`?SqDU#k!%mXtX2}`6F&Q>f_$)661!2OB!N>FJ4R)?Oz~Sk~qti;q*cR>~;V$ z-zdv(=q%EGIK8s&%#HtPC;_qg=BfP({sxe7X{cFO0w;g0E6-?KKH&EfhE9r5XV+n; z#w??<>Yb1cv*+bIYsIt4-sA39Z4j4oBneu=OIDw<_)h z#Km7K*lk{=lV=?oH454T2nirwW(V@R_?(p9R9l~6^XRpwzLt~CkRqd9k5Ub>3%foH z9NJ2ZL7g@MmBC?c7S3ozx=Nsx*F1fYbQrT`Eb*tQ9vU*cZGd*A{<7m{tx`gh8^oIf z?RC~_?h2|r3h#KoA*68+?+M1mK6QHhJl#hHuhInmWBg-jA?nfO$X0@MngDj&N0|+G zt<}Lg_b08i^(Wayu#D>>nEvFkW?1XpTDA*q4b{j^Ob$eyN8AVBmI?L#*U<7{^h{*j zn}9wlLj~xa_P{;cS`2LSW5Ywh3byKb>xYuY;D}D{F4GRsN<3aXGIY;#Gf|uIt%Udc zopp(EQjX=Q@fAJ~nX~aD{q3JNQG^qVgmq^f6p9 zPYXnzwOP{HA6GJ=!~ixp9~0|{V&UU^Ay@818^JA`QJkW$$|$U>V48Y13d6}vX&LI+)j!&gx-EM#Ll zK2X~aj89~95EdrNQdT@4=n4Z2=F;Ush zcHxGfen(TR65nGk0et#Gqm{4Y%xv2|*7+$<@r@(e$Aqs(bnL~Wt&EM7XrG>ZYdg>a z27uGvD`t~@+D2cN^2aK%8M!F~OwVf+Ay-*_@geZF>~#MJ{~Pr>^(BLh|LqI(`%j96 zg3|8({jub~jLJRgKd>UltOt>3Chsml>+J-XGlR{v8fdm_tm&uWi~J4gLvuRbHRV=F zOmjoR2>r>onoDxBajes>5trq#{EtyW=NqO-cJN_jWx&-1wrxR$nG{)Rks$OJ2L`-J z)qtq6NWu%5XWNqLG>64fzA2U4G*+HEoqKc6(mA9*^Se(69j8`Ni;shhRet}9sdla1 zsIQ{G*hrUSX5@wMO*AItymz*0=>K`+n1wEKF`N9ASYcjfshF+deay+DQ~PYxhKbA z)wY{E%jaHo79Z=(u`TlnfLJW_rTQ+ByUV3&!?45;dEEU@jjUI#FDE{tgHNrCkl_i+ zL&Z*dyK{Ejj)$MNx?W`oHt^d#dH=M5Z(@!u@}Um(v-SD{59Qv??%X(`Zo3G%B%4se zA|yfj)1;i$wJ^EYcRfMrHLsd)Np_3Jx-Yke=*d66-TcQU&I8c)`QNo8`t249_eDj; zbjK>RtzyFG1_I~f8gk=g8T~7_`}8FHZKQi(zlH@gn6O)JxybVh{xoPEjfaT$0}Yh z_GO`qL8Px&WdS>o0$e-w#MS6fuffW%5te*e9CLon8~uR?Z8FHD6RPP&Vq&dC!l3URFYOP#lBeruMm8Z))Fn}9E{iFy0uv>sATQR#2w z)D;8|Ap6-A+a3Qtw@_BKucE8ck*xwDgsF1?rln^lMr;vd<1BujhIl7qq{E4p1b&?0kb9B}*CptVZxjyxqc z`G0k7&_bSEj5MBH)c6CgYH9m9-b0W4$JnYgv*6vm;IpvKquDFA?)5TVb^> zv~~P`SaE9ZVubG1r@3CVQp?h_o{#S=b)9sV>0XbJy%TYCKREN+EKjZTVEVc+`19PA z^CMLX^$=WguX*VMpoHVD!sm}R9~Wm|ONNGNpXq6}I-93;cFAB0x!z)XKT%Ak%+gus z1B)%B(-O(3aqN2tH2fM>VAtR-Tz2F2HF<|@PqFmiJrP_S(C;D$*AW*m(fa;1>=xtH zkYt5)g;W_RYwP6HnDkjF3i8^fZ*)D6L8t`%SMLPr{CLIz7=JrMPYo`{E>(x^uE|K& zKd;y|OS-Css)LlX3fQ;b8OmB#cxRWnk9a98mGq$)TM>N6)i(YK-dwr3)iJ09gzrN? zqw7#0zWV1m;;fEG2VuekEG^6AiiPLF!y_QlvoC2rFNdG{7I6I0J#e}2;Sdu_Q%MfTSA zSEdHFV}20rV{LudycTR@zpUZ)ekx*+@}$8%>v^Bl|AHuVhlCGnhh>n)iq}-`-R@46 zwY1o_9t-bs)gc0_qLJhm-~4+ZTz7cADgf({0ub2pcC97h(;l;6uqq#@M0(G$2Y!<`gQHew68_ee zGkEcR{MOo7mRs7Tx|2;W9e1XmY4#m$M@wzH;7zXTIq^aJ&?d>yOK#T`Y*=~9C4_Na_s2S6kh`9U=l92;43bdg zb8TmGE%DO%Z2T;-PVO=}ycsiDuYpV(o8nmr8IX|g7Qb#-lu>0<8I@F0Q<7HYSTWJ` z+tnj*ah9aFX!yJTQ66$S;7ASsy}Ljdma;*!T8ehgng*h*vgOX-I;SNBD)4p(pWwpE z%wUl7nb^$-!u*FO%xITycn>>RL9>6>tjwpFq+XMLaN$~E7Ji_@x*=5oz29rQzmzv& zth?HODuH_Cm8MYXA=Wb=OXbTE`!@$QR^l2-W*XXEZ*F16ovG4@tE*}zAI|5q0e|5K z#D|?}`Tk5bKy(Ei`}WB!#r&WTal5PsG{5PLs-4vqcRyl3G#fFCj(>J^XQ z%>}82kveQ!VXG7h8Q*35@5}i}EKD5%Rgb9pkx8xbJUqp&gIoQG6e&6G$1^sDOnNw!M+`-b!3 zQd4)Hc>Mxj2oj2yL|R_1|IHF=wOd~!;9&708MS9iv}SV-|2_uiDD&v91X28zFh18FP71x0v1M zk;|u1Pu)>6(J>H*Rp+_Twus6Q6CW03NOZ;8cL4Dy(i?ew>_u_SOTiklYV6NoR}TyL z;%uv&Vx0%!NdnLtaD;C{#Y_BJw`ZU0WJrX@>ao0_MJZBUP9`9A%G&D$1t=m4a;aj8 z>ypf=;1oX{su8J0DMBdX)vt_CNB-y4xulRk&=uO2SAQnkzFIRoYDAKif6;WOCZvxp z_h9-hv&Cn7=ff9V!VrQtcRjU^B|Rzl38+8U^HOz90zI*IblVHIxl!t7of{i?Esm9% z_v%=K<{w&%>^I9n@fUWc$3(6)Qmn*Vb1{SA)$#xa`1v&(4zZEC+N5O=FK4Q(fH%BERGXh0UDQ+VBP?tc7~w^){VQ{$p-li$h{D^GFa@r+q3izbiLzxJo2 z_#^f|O@(UgYB{YJEm_`Zp?mzn!fm1`=l>fteHf5r%k;;WD|}uYql9P3*;;t6k6=yS ziK!Mpwo7pJuX71!JrOpx7O;f}HyRvV@Gl+vo}%`Sp9)KY<~Ay9FOb<0F(%r}|Ji}5 zzdrEiPUrOBR z239;Ka^0PV&l7;;>n5FjLY5QIEGM-^2qc9%pFw(udQXd;)p&bL%&XY?YH;)B*&;&E zB!ct z)dzM&ftH+%BIzku^t@t$N^boTBj_;vb+7@^K410IsnR1x9$+v8DXng9PDL|UtutWmfE6F7P6)BFFORAA30VFqoO zH5N1|n?w52%!S4cXi&)1HJ9$0t1{wbIG0>Lp}4<`n^!pX&mVFmaPfgx9!6v8>p#T9 zRgrnO*_sKgWiz|xfZ6Wpr9YopfH@f$P-ZXZlLp)Qsq!r~&Lal`*+e0}iTc*oFzS*e zdn84@<(>;uA8RCVX9(df;oK;R`}SCm~bmQ@AhpVkuvE#6qZF&0OWYq4$dO zox|TycuzPqs@q{0jmtrd6V3)jdZvxBzQ@We%cV`h>?SBD+Zf_7fT&+@6%lZ1np0Pt zZE?N}`NoeeiTQk~;(Wc2Gns^9qJv2}9KZVve-x&5zKQ!`tVIZ+im=$Xiebs-9iqi4 z)iY5gq+J*RzeU_tCo-pyj_!FvIXG5ef{(cL;l-=(U0Ka=&#)sk`r-UO;rL5S3d)8m z`Qq|wDuj}W^$i!@#iqFg|KIdBQ)8vAlp-Tb0Wf^_vcP+c19$V3=uzFoZZln%#LXRN~*wbpSv)7ys~59-s?6Q?hX zelRJ@TZCHz1=P(82vHafVZ=7_Tn>%}){h+mv1%=%Gjq9l`ln%7u-`0R+;TBfn0!p| zW3V0I?eWQem&YMr7uoyeyESFVnMU)udXPSS_biJq`dvQO6igprI;2dXjqzdIwoL6S zcx5NW;T0K)rOEysQ|VZ~?;a8HEfV;y-Djrxe_WHj$Euckz%b<8Ql+040#4tr9jRPDrt++m z9UN*l_ijSyLeP>-h-Pj(BZzN;-XyTs8rO-o!v044J~=o^<33;3F8^vgyxNNE-#vSk z0?dic8?CirR_I-hOmpC$N4q3*P)~d#>9PWflVD~eKTP@u_TF(Qxs5P5qp_$|W_va$ z1yFnXABMVJI4mEOaWmn6*N|+Uc6+3FA!;30pnZ4Q*h*zQFV*WnFkA4Z%Y&^5r8R8% zhYQQD0sHhuouqrYKN^|mTIco%Yp@$N9WybGQL8rpUlu@+uwhI`ZPc;7A4Oou3m0!QjFt)lp|Th6aUYzDI8HpjJ>bpwZRb`f)YA7w4duN38lmm-byJzI7yc6U-fAO@K#7?v_@@7M~qF>l{< zz2n|P;FdESkc=vKpQ!Pfi56~xk6N=q*`c3kOWYL}AQ7Xv`qX}htJ8g#fH-TN2OfhR zVV(zgm4C9g=YLG*7X?Csk2DB70H+M>hX%8!A#RO)Bi@d_9#W(k(D|suvZ69HsJ?6@ zIX0pBk@gxJJyQ8(GNvpU$Vbb*IUIFDBaUx>ORP6S%H_ zlat546lMq8PuIikKBWuR+I%g4gm|MF)?+`!|4*GeEKSyoXsJh%%YS{pMmtdJUd*=* z9@iP+GeoKO#Tk0yE_!isO9C-rKWSIYH>;6%7VR4IGt_UBRC`?i!c5qa$z&)}jx6-B z*2(zZ!8kxD^*#37r6lM9RKZ#K327;TFX8Ooy{fMEj?uf-Wb>ma&#*tB-Z zndQXiedzs~*afzbwsQRyjyH%t)P>-y4~{lGxJSA?TE>FBc-trH%DHhWE?#xC7;G$m ziy7xqyVPC87XND)4CDX<-f5V*vhg%I(F)p@?1?y(u&j6R{6C+y0@27bs7OTB)tTTtfP=>n3*(E6@Qq@=dcgBS@n*OBDp&EP#O$7lRz|^=A666_+$WwHgU( zvC>Z=o@+vK?Q1=yhD3jmLGJ$wPKQFzDUX-sHn*Q^;j6Rp;?W0koRo68VmaFDiq|V2N%6EiNfz-K;J@ z+nbcK?s`%vA;|w%HYLYIt6g|JVrI1QGe3l(!fKw}XF=Lul6EWeHd9P*N#hCs{m-SW z`|+>~;CF@`&{9Upcekh>=1xjph^#CEn#?^Fu~GzN%WYp+emNDUH(zRq?7PVg3`!vU ziJ1n6(LJyqu|n%LV=Ss{Oryql&e*4~>U9}`Iv1$Vkt_7cgNd3_QT*Es`e>z`q?Y#n zJ(B-RaGMzl*JhVxQSpY*dit04uI|Yj1#)}iEZq<}`daMe1-vYG3AtN>vruT=N)Kbs zDPY7?<;?EOuLcD0Fy37{p({DTqn{a?ix(rc1|tMggzjr7AcX+`1E3__CNaPFom)J; zvomKJrevxZ$#5CIT9kXKE%SA=af*!TCr<(EukD3fjoljNuQMp*b66V9 z$NB!vFE|b}F+}&TcVyA?S#6|d1l!l7GaIOFeOo@m`L#1Ocgiy|?)3S{OEzB#NAWTU zwxR zo*K8LO0%}$hQl0yvgCWH7Dge50k1M>q_wm4L5_0w{w_~efK@WA(DZ)N@$ z6Li}20P1Xa-$9=}jRL?u>Nqc8x|3bd*v@cp8!NTy&`er|@@(x|5>p|btCYHvg@k`m z?rwUdp}?f)0Rt5PU(}H4M=_rO&98-%1LyB8IrIC~BQwiFiB|y{YAu!i{>FAl>KHBBnwBPaUwjp}K+fIbWxIJ$(q>6H}U798E zvTeet{m8gIGEm69ngD1Kf^OK|R^jK+KUXd6odA90gGU)e%@**?oqyd19gz&4Mzyz_ ze|EKH0Gegr>jLKKp3L-&klpOOM%jeS?Kfde{rO(mY)kk%TnwMPqM-iL1G8}WHHAZN zlMv~O@Z$p3(aPjWDEfX~aHsu45Qy)Uf$gBC zZOHTRPj6S3Y!40yO@$WKDT9nJ7d~qgDJl0^= zz|k^Ppi~iZ#dla|T_+3DU5yd#2SW{6dNpuUfkkZgPNI&c?)Go{8-U;99v=f`jL|8I zt-2ZlMXMt|+(5ZbB5{HUmB^o)r+vY1C?Ag1*i`)t0OQ$5kCQXg6~)n1&!KgZZ6_3H z#d)W-RzqXSd*#Q{D10yh!Z9;lyV4OOm@J%o{*usko1u7Uaa${Ae{Q(US*XOkw#A*$ zFyJ(Jo)5iLuW_)VGmvGBdtmG9d$;eHH0p80)R5Tx5I@VHgD;dIu>KwA`HfwJqDg;rWkT*tIjQZ;;6+eSh8swF$ zO%+O>G$#i(XoL@M>Kg)6lwXm*1K=zDTvKN*P1Q@?dz2H-T~y(fxH8Ib+P2Ga-d)t- z8h1IYn}HLh(&?TpjH5%Oi>j_a9!P-CaW@@yIYldI{zHSSVITjRohHfwO;rBBM;tPJ zK?)_nf5YdyYyHO8BXbT6YFl&FkOW%7-#eHPFd}+oUZkE2h3Ya#%uF$xNad-E%WMbe zBQV4PB2cPY4<(ss2Sq&XerP{xTo!w9kD0<@GEx+CLni_V(>HP*dHWKSD~wxX4BJCz z+xeS&Q+vRm=W6liRgyndwOs=}kEn3nt+DPl7K4bp1hFbW-?~OEqn~5?XQlqVHZESK zdz*KH63%Ja0I4wxJWVKmHn9YGf#X~oTArUo$m3s<+m!_5e7bOG&(~M)dRF7hqVCDM1&8H%?Vfw}vFTpq;7INc*y{lNFmazClu{@~cp(JW<*NVQ;_MOcQ5 zF5Zk?h#Xt> z{Cg=p1QqC?em=Z^Oe@Xb8dBk+loEZl0*u1@>D;ulr~+Sx4-C`+qO1qTW@UxuW$7lT z=D)9(sZzFCYZE4BTa(6S(e-X)fOTCv-@h!iRI8wVyq^0|@YqRoQ{1XF5tMkf({O zO0C2&jH>6Ia7^LVmD?D<7p8tEKNm|sm%KfB3EPS&Obc=b{{(c0@)FK zUprWS6&f$Kuk<}AjL+sj#7*BAlzlFm0~=uvP*N zax7*nxg5Y5Hhxc)9qKPp>(O_7lA{T>bS=C}H88W=YPdAqyE=4VqCwytMm&W>@LE;N z829uSkcItG24~Vb5`8#QmiY3=m&a0N<<(?L$9tS@{{yh@YFCgg8&sPhs3fmIMJKncd0_( z-|X~9lY07jmG0U8E;@BzP+Uqh?)hMO=i<5FN)AK4WBeb6UG@9Y&!%VItdM~md#=}C z8Mif>XxCF7U3*XBq@+W-uCIBw>dyKA)Tv?SPzC)#fo;{jXhweZdfRVUyl61Pg?Z{C z5q`vBFENHuGaOylSBV}fTR%JE_q|JEWH|bt1BbW4Jng(&`QvEyy9}ZslHLmrq02S1 zI5DV0{$E4Ju6A`^=U9qvPRG#{;(ZLOz~@FTB?5n@`wx#+%xjysRLq^wP**-~`ZKYY zNKw|uGiMaZEH$8}{0=S2Dwm$B>^6ViyV1qlKUs{tx=M+HsN8q&1`(IG+y89U?2K*n z;9X@+D_TaQj18Oa_4YnY(Jr1af8_@0&+4tZVWYazQF+Z`xxs4hAAgezRL!i_!~n^E zA`?zzYD9cEp?!y;5%7q+m7p?)!C$K>8_$KOT>gqPTH925Ov~aJ2T4n z%kP~hRtIH{1K&~@&#KR8n{MUL7C!d=<(fbun-D;jTj0bcj({(l(z?s%&A|M8N_sH_k&GRusN zY{@DiWQ23b$R^`BI7V7FA=xu~Z_YVJDtm9oaVUEod*tBwz16+<)9vb=w!6715hD(JJU*@8Ofi6U31x!5fIb6BxxlJUu$fsRhsWU(AOFpuP(U}tANV53$P7f zGF=A0ualJd_AIVH_m^}(wS;Ao1cQJ&=%^)KSV|emJvz(aXlEyC=rF5t*G%7)m+%C8 zek}CuB|j#f3S{u3z4e*^sPJuhlO3vu`EHPl#p&^gNa&rWimF{RR||1~Mb*8OGbEh} zSOK(VYBcC#Uj>RaKGgE;R0KjRY0(Amh^{WKEg~^LQ z=Ueb5ydOkj?x7gHgBY^KUDox76r5) z^xrRYMLFN#=6zckO1fWa8yZ<^rUYsCDWR*q5dY%jh)xsf6Lj*7`LI<0f1m)$6L=tQ z_!*h0f$iqFx!o--EbduALKpT7EQ7J!WqLz{26{W!rV;gD?67HO);-X?{OK@8;Ldob zmar;iZ=!7xg4OcrFmEgl4h9x%OUzOM<#Y6W`E#yqaRP)~eWT|xy#Vdx7~2G%s0 z!;DyRiJv#b$8q~7MW1j|mXA{#OR4H9_S$rp^lrmx+Oz#Uy}r35v*1CLFSR4AR% z5$Q3Jg)2lqaSZ?#3y6RSyGZ##>c_r-!?mV*=)~wJZEyGJZ+NDXQOMn1yDOHU`uY@M zI??5sdAc;@BVAoxRc#j)XXi5MQV{9W`_J?=L3@4xvP7<|*b%?})Eop>+|}w6BtL=I z52TSp5R#7#a432FgF}D+0&KKboq%WwOQrG%4qRmz)F{vwxQ$M^_3M~4Ld#B zH4BcWUEJ2zfN{636X+$$3jLrB_^dZIN;Qa7@qqJhyacWyAXhmSh}zwjXZ+(t=ZRSg z_8JqqIRGlavfi|N==+$Rr*O1rGHKt#qu*{RXRdXYCngm zl{Aj4rB;)67&YVtM>mADxKmvoLjBXXXNaL2WT%PD-#+&_w^N?e=q-9{R7&m6UmX7@ zwPN1Md03Y+K33ETeI?)7C3zsaC~u!dV>`f@zbrW+h^e{`i~!(a99wEb15UD_@rd6t z9a7!gf`f5d^(z^(_CB_qMXQaAzUVt_!;Wk1aTFQx5Nffe;@r~p?q4*|dZ?Y9E4U=9gW*ObIHFH+7Q}qO^Ov4 zM+ub}=i0kjSX4S)V@COBh5EDt*d_EpP8Nov1CILMksamV^!;=C`nx8hUr+Cv#uVhd zwV75*e*2gJaeW}Jkq=j6X1S|~{>eNZ6X1~f4Gr|;Yff37CP&iR?AF-=6bmbro0Hg0 zhG1>Ju~M5g)ivItN^N4ov)?bdX0gI*u1zgsp-3f}V*H$hi$hG6P)t&iJ+I}K`LhNg z$>ydGT|kaU`PO~`lm>Oq)D-cf73DIu_VG}@bk{>oR_K4rPCgG`h~lS83YvG#C#^_2#Ar@>9brviz5PcY}lcv~ztBJAquzTmF<@g9-8 z>ZtTa2dw}Qc#|ZG(d?F4>3paB?uHs)$Ad3pB2jiW4)5o(o<6qQP0ux&O)v8G$aQ)G zdShYVUJbP5Qc04#eU%1d*$fZXi|}6f=o4wn^sKF8_FBx~JuS*#0ZAr~0sbS-!`0>dYD>=z)#XWOlgwPZ}Ah#nvpRd#@ zyt|Lz*~=Sir4c-8*Y{l`f5g2PBvTrY zo?Q&Vr1$*fkn9$0ZIeNt-KzUBo5 z1reD_rv_6?+V2Nvj7sSkn-ti2w0E0+Vzvo;UTwKF3gDK1cG?>vK0e0_HK4Cq{psOQC%)oVe@&$2|{ zUtTi}YVzy~osmEJ-aPhs(MJ(FwsL^|=L#u3jUE!A1X~n~+V!5IGYv6Duznwzj4AX? zRUesD?OKyuUIRIuU-%$Nv0faV4<)V!gi-DCNc-{5IHPmV;DMABca7~s(!GMKc=D}} zfTEi$be{9kBqAA3iXP>$KyoZ$4Fip(J9zjM^3`JwzU3sKB0U$05MklQB>-u0# zz9A)Yb^y1M?xqe(eLUeVsJv?(orR`P*_ zre$v@#Ft=phg=Ji%t;>-(^ylnA1KGmQyA8I%Un8=rtm+J!cZdpwaBCrE|}1Z#+^NC z1m59`FCddxv}~9-8B6F#+GSI=UXROSPkUgeN8pU)3;TpxiE``-b?)SpH1}hlgx1vI zZYWiu%?wE_kh=99t4I|FZ&ILI|2fXhuQ;*irbcq}Y&eB6Sut#587Q@*8rDCPhQdr%5 zfm}s_&6wU4J6e^wxLNKD1oM|;V)_N0r26d-##AcgDfLk#i8kSQcEU}!Nl)$*|AU^Qp%qd)$T6Z3VF}92%%TL)fe=y&@tPrx?P|nCq%q@?$}QWoui7n5@q5~SK-#v z)fVkHd&Yd6e%iboA{LG1bl@?2Q%nuH7uVY{HlXDH+6_vL;8OY!*IMnEzG(1`=rj>K zTPpLW*Os!ko7)#^wX(9Af6H1xk>~XbD>BS5l=bnLBzbp9u+jPuQ97bP^5gioeFzE~ z_VBPy>MZ5wm7Ck{n)C$uQO~JL%*=PHsQN{3L%b{ZJO>I>1k*4V_4$CiN@WkFo?vsH zy^=d1zG9CdS+>Cx3H)0T0qCJ3Tu%Abvg>m5`@P&nr}WZUG&FSZhvDNlq4s=dZ^GLb zj+i0T=h5i)HzL|*Cs6MV>mQ>^an1d@+WelRCxjG>SBFHlD)ec*x=q**Y;n$2rgyPY z-TGe#dWyjNrJV9GXMZk(p=emT!&_S$J5<}eBU5%_a-yt7k<3fXAF-_ zVvMte3pwSXGr~w#?JJp!C*2|BW783=7yte32|)dr?xjkbLJT44TV0>RH7vusgB7#( zxr@YvMemtuM^TJ^2B%dmd7h0@5b9HkoNN2b-v3**c>7jPYD4_VH$pnR=%#Zyhpd1G z$>vtU!fH>j9%$>sMRZ-cXdxPHBdO_&cYWn1dvWUbjRR=5txvER)NLOf-tDL}B0`fy z8`?Q5!eG0Dd!w{vvq@qF_5l}NrlvN?zgRh1a*X<*7ee#{?Od;&K5`i5lghJM&iu%` z`j6~ekRq^Ya~N`RxsV_p<$2KWADPhAC*VU%Gjs3Bo%&Muo~oA{^JkUkiC2@mR~6I8 zE({NBnPaPTn9`(~uJ!+hL?=64&?HQ(Nm@!pb2BG7>OOZBY$Zf;ntzFPZyX}_|Ey)m z%vUl`=Tuja(TY%XT_vBcyhHzEfdycK;F!X9Z#NYB8){v5F1?z#25c7?(L3aMccm+= z5D>7)ruRrM>hWOGtoKKaC{CE;-$4I42EYr$ z*}oF5J~}_CyFcuef+z~*A|GXY9HE%#In7$%tgm3BX`h`@^DroM2T}e>1rqY^hXciL zNY$iIi+cRCP^vL%iIJ%8FXtET`f2KMh7kXo)RjXd;CbEG&6&05xLjKl&D7@9!m`Vi zS??`Z3_VQ@TdC>H=vn4er;GdpsGiGJbG}{eS1Q{q^eALSHX96l(5!IqR38DpDh^JkMd=?(C5{US(C3PKs6<3U6Hh10O(vB|OdLnk_MEK5Mu& zMuRf!2%>(HXVWF)hlhLB%mx;&C=#hI;Y z8C?30HK|K=dioMrx)bnsjETo~Hzn!`GDi3o(TK>4Rckj&e>Rnrhh4T-|I_@$gp~a0 zU+BEb$_hQ&6y5kn3`D2+>-%f?2SpO5K6#meG+9w7w^`0^B9)&)HTi)_?1pao>HOVf zFCgOagOs+<5#VmSTHlM`ufvE=Gl4s`VbZ2li88Vu|K)Ozo|sp@44~1 zgKceFd(~VeU)jNdCaD{!*XjMrtOW<9qlTO><4=y%>q2}x->uTBC9iINLRkrLlLO<_ zy?h>oZBkTBwm>E%>=ze7isn_ZgdJ|L+?b8i|HQ~H5)_gO$ndyUuTS0WHQqVs>vT=yZ~mVOBY*ZDD?y)>uy~bkVw~@3g{Dh=tIr3y^(D?%fn34wyDtS@>pUGmS&s+!=uv|`LDF3y`@LCJdV z-#jZdnAqF`C5^7sOUhqNW`;6yg;WD&(kF&5Q#jqJy}|C-y6NtvaO z;GV4~juocu(i*@Mp_qWTpxApQed)rPUp;2PLVG)iMvumVgRwe`iE8r>^ak_3yTYp; zd8V+ELX}`vB5W9;@*h|fg3nKZl%er-HHhD|Fm-2)dwFw5f0CR61qlZmHJ{IQ`H71E zoP&u7Qj$&VDc9AxlnxGtXdc=d0}^^6dRz#*3_?J$(fXrelTW0;7o>1u%&e%# zxVdTP3d!rx-U;)Ln9H@;28Z53wUS$&H4ZZ0m?;g#Nsp^ zdQXf1A^T+};epy#wwQ>&UG$Xcc=G}3hvEARt@Sbz1HlaR6F59EnQ-32VH^)nQB!@= zy)ZVwCXdW)mi-k-e=X5fj6g7$2}^JCCBBZ&aNFJ6+Q8*HE6^0IEXZnvJm%AsNwBa8*o`{)_NTEOp!(CbZX zK5vfpNa~(FY?Phc>Nz-c;+q_XH>mNBokT(ZHTzE%@qvht_D=fwlz0*3aG%?uJ=~@G zb`*!b;Vp%|F1p{jkE^(!4h{jcl9-SxE)>i(9V)`IR#YoSdi}Pslc5ob&wT~iG2JgA zQAy{wDg#0&cxbyPrB$L{=J_QA=T zWyd4|I|6L@Z9R{sOFq=GFslyp@IQc)h^)gUt_5mg<5vD%(k^p-p5Et2`5U zF;wlupC|&^0lcE@U0v4%dtO6R74!ZyT@0{|+ms;Kp|it9R@RF1G3dlN8ppXqgg7fk z82r5Z`l)rz$wFRg|KV_0-f9x4vxiSwDVUE`UgnBD-vP(1T5ahCt~8c47kfRR1hOyw zEqi*^h`(r$bUi#Wg$EyM@63t32$PV7f>{W&LD4W)>+D^0w5qxX`ip6S2}A zq5mKtlt3bLi!K}eZRt?JYKZAUxr>5vlZlW2>s=4hn6{vHZpqZfGwl|w&wbKAd0rnk z4daY!vx53M3d;Nk3UVo2CbSO^FAv(>IkQYpap{M8@C${ca#1{U5x0$)kXTREpNNn0 zDFB>tR45l0XY;}JMlJnV0ky7g+sbz?{%2$WawbGyh$gJH1NF<#4{N25LA+}|G>}%) zOlzO&1BCL_@e#l|=&WqscQ(E8{os#JLe;cS6-Bl2>fn ziZmER{0B9p{v&)(M8S5`ll?xSES{1b&N?*ESt48%M5i?_TNQqDD*W@e%%dTlZ=`&Gf`w3*zEe7fw!& zj-|vVY`$O&a%prJzY^%VGh#ndy_}xOV~2j(<+HNH&lDj|VblK`$2ys5!G-|wW{ON* z#gV6L7P;B@p<|xNuZZQLwjr7t(|i60?rz^C(9W)BIauAx&tOG@wbaxKji8u|&P&#o zDtrw$q*wTF839V^2f`OF;!vhyjJro;#n-C?l~Gl_6D9>Ho_t#lbZjdb-NWwWl`!@{ zDNVY!PM04>Se<3RS@!i)U<`H%HirdYqWA|XOALsmc1NdmZui;{%uoZf8i{ zzKH)$k|&T*iIU?cy&A_o{bp_~`0*=cL2rvxmln-7-;#-J8g|9WhS3ihqEgd$aLf|1!fBs~6v_A;ldpZDu(o24Z#@Y8B=Ab}oiHDY!7OQQsw5|`LiN?A2&iPi)=@I)aXWQi^ z^Ztw*n}-=~Lbk(p=3CXHXcl3XDQxN#~pr=xzOnIWULR*JjJ zSIFrTq4?3Z_AEf^>yca<$*eFe+#k9c&I{Zkk?ez`^VpBp!$Qjqn)4sZx~*J6^#$x7 ztRllNFiDLXb2uFOGyA+f&+MfybGX1Z&}~O|(xlDjX-&%snJ4D zIoS=n5o;G05PY24&%4e7I@%(8IRhVFh#2&z{7@cdQ zVp<>&$8<}0OkvfS)+NbpaylV%l?RgeS2&!f$5dPPOou>&aB=ZUtWwxjI=Y^7%N=fL z2e#$H>g0y|vyDZdn#B)b-n7{agZDYwGs6FK0-G($Rxw{fx4V|Me+3`No2yA!vv1di;a0q*KNhnjDi z*{3>pk^cHIe9wWc{R_!OD$)HwKvs-Solo#TQ&c;p<*PGAzTirb;p3u+t5iS$3?C0NQ47 z+N|*RFhU%0l4~^>nU&f8LuK#V-zo-rZZJtfhjfmuz!kzNpQv+Ni~NpI+RqjL z$GrciCVO5u%~hMsLRS{k!J|4-Y=;8agb>s$J>n=!nWr z_Ikjn;W-T3S7a*$?tdW5W-qgSOg&xcRl7d!(!)iKs_fogyfq`0^4h!BO&Gge+#vdX z?mQ>(|3s1ne?~k|fr2z~pNq4c)o5W#d1O8!VY662GI4NUQBkoZFlTE(L-rQ1$=BhM zctVf8y1n$J#XxY6qmPS10xR;p^ifFp%)RM-4sgw0{Q>$isxsLC&(lyn>BE&pN4=!6HCEgauDpfNVBE{VM|6!U(>UiR=#)2J#QC*~WOqv~2&+pye?o{d!HFN3w& z`jN>VUe7anXxl#-k_xF?z3V$ITNFc^trp>naSJZZbF2&)Y3>%^ld`t6+aA+dQ`7@_ z7eb37c=#J0J$-O2H<*5WZ)?4=*tgF25x#uxj-UCrx;@zv)KVE3-S2c2GDtC8SKlzf z5p&3A!yCW123y^s6*R26Y6Y*wwI9#y&nHJrhf9ib8%v)de2M68*lf@L5Vox&#?&vTRK*bwi6>;l7hP zO_=o{iH76V0(gmR94Ok5HHLK64}_r;>hxRM6=|!3*u=)4MXfmQeuKz&&fL((f>#_A z8r_7w4-v~M4L(QdV;;D;`I&`d$$s5~{*{)Z)!-q%+BYuU5_d02ebo~!I=(VKb`fY{pOxsj87SKQ!zqB1INgABg2c$!E%b)4!1t05(#KHt)u35~ZSk(p znc>Hl_DC|Eb#pu{Z|!srY_(2uY_EG=@xK{SfJY{ zuG_j(N9~~;8ahvT2@QgP3OzMDtmC~5?9ivv@3P}dx}>-@n*IxquJIrv%Oh@ zebxKBWCx)ZdDh|deaBy@j#n@p-KP0KCiIDw<1mk}s`MtD^TA^E(Dv1eFqmW}(?2@f zFIFiL<-&z0lHi1m{ugH7t`gMweFPvFh6piqsPCgf9nmQt?mk1=j|ALITXgY_NiLju9h^4iX#?jZ{xT@k|<$*voz1V?c?E%pKzn5ELtt+`787DAOJT9>cwj&1xvQ zZ#3+#t6Q;M>DA<{7Dlq9dmM&#jEXUie`;Jk?xp?6XI+8sC|qg^+GtKE?O9>ugUZxg z6aeT7nhY3`0#|~!#K68y^@9l6`|E}}TFgxA{>7blZTN+Sg5f0^Hp(tRioqXSHFK4$qIfK6T=XE)G?kbjVsQ+HL3(@ zCMMKn^K*A;a<|4`1C*5PJ6HeYB35N#{7hJFGBqAum3+`S2ID0@cjQ7M^~Cc@GVptRaAl zlDihmnl*>KLl3=3d#FD?nO>AWNsZrgnNz^zW2?}j-vGT)?}_Y{r(N-kL(PD|ja&B| zHgxoQv?I`9=Y6X9FZfDCJU>c|^Y`D5wZIR+Q^i9QZP{OwC%Uj!WSEl)Km_ScJ-7l`+d#JL3t~Z zkD0!&f}lwdZ+K}RWH>NjiQjU6vlR*jfs$0qS}uy}%PvW|Q`)tp7#mNLbDUeQbg1cT z#heR0Zp)Rf?*YMzC z*BN``f=!}w^EOJ~s?cR=ZLw9H$w$DdxDK=eu4wQb8q(1mxvJgAPPjJoeWPtnrxvKR zFrL{!Ztk)tArd2l9$UztKtSDl1}9CUU(+z{8`f6l%3HDyTW%7^V3^S&;+Y^xYL5Xv6+&v#a{nEO6U# z$e5VS!&g5&Y$|dl87N7#0K0&GiJWWkX4ot0xjS6GS42EBj)ggk`1_BZ%(k~WswrAz z%03J>AP?Y@SXGh~OAC?<>e2cABWwR`yUjhqFfhq6pA1>>&M7dJbMS1(7c zQ4Suo_om$)x9$|Q+QBO9j*S_h9FNa@ftNz5A;;UAbI=ms<5tJ7Knr-AmZ{C7mala- z%TSE*NYgaDL!;TPf9A&2rr5;o;e0tx6hhGXm7FDIuQ+&6_o|<`Ny%F5W52}#zn#=+F!V-MD^36IGvmD~AD*Y(iHq?8 z;_ssskA1Ke17XfxB6>~lRJraj&-B-!c7}R;S&Y4RDr=8+B{y4rnL{ZXhBl?+dhtMy zDh}!~*@Kl^j`|3VI?^=;r%8dbHNcbN{O#;~2T)`OqJSNKtcjAHYMoThghw^z`@~@O zJL4wdR_!fck7V`|%V(es2lk(ExzBnoqhGybIYS`*T+WT`3ftX3Siz?V7&%P}$s#Kv zZyR?p5|J;66Al_!zHsb871!gM5rt~5XKy|AqKp=C7}sC(nhxc1-*D{uRKJ>=d_5=C zr^CLw12BqIlf4}x^;TY6A8$>44n2F&#+5|QR%YwBHxXPZybWOZiM!RGc%^1~S5wco zM|!Uf(6Py*Y1S0Z&I0zw1VUJ^dd|bcL)Uzy>_dp8r*EtbTiG5`wySB!F@sDN*P1l; z!NAP+;l{)oKlto{x$ZLebuJRzK_)B-dDE=9g+D&R?9LrOyRx=s=atfy)|q=fL5LaX ziT=jU2wlg4q^0wSidUyV`j$zRphsZeX*GeOi1Ho@HYM|s?eHv(k-Otx^^u)>vft%C zeJha+m3Sk64Gx%0v%8Y3@6e77cV+OmHyM~~CsTa;>iy@_CAN}WUlkV9rIWX^_IrK1La+q4guleb_{&VYn0RUN(c^Ob=Hwx1O;}9S|eAxY_7W zaYyMOQThN@T-POre&ig=VR19(aE8O4kmC<~$I(`%a zxFZIq>?p_xsMe)YX~J{a*nJ)cTkriG?mmxiJwqmAz9$T|Mmr!wzt<(NAXIIU z26F}FJrvYlFYoMK_uVdSdD*5pk6f!yfEK+tVuVi2CLOSG3mbdP4mtO?Wsi>VRZq-W z0BG#u+@~0$0H!@2(tjtgyJ?hBQt}YEPDK)G6JA*f(VWLe89Kn#wx7H*$tf<58R)sq z!|l-moLM)m0C=WJ^?ndX%}CwTJeUWgOL}TSG@KOI`=#O7yBIj52}=dHS?A9e#o8|( z*W9DwH?rC~*jr8s3l-ti5zs3U z;=!0i#abbm{(J1~hbOw6a0)HC{rIYpyBK@wL1Ol%R&@>iE(W@mlOHQIdltSFzihtB zO{zkDZo+-bUVOKQOEFq<A3hp^T%_kh+0ft@5820^b@++P`+5c_wAPGAoq0hjK!brAorPi=jL@25yY{cY zo&k>-ACq~kr}=-7D$hA}9MfQA#aC_YwZYM+cgF`%k{xfiZ8`!E zT#E&GR2K@>hV|LTl3g|xoTp`jzQIr<$Y>t0xv8FK7!7@4|y zG;~x?+IZl5n4(c)NGU8TieTN`5u0ok^p#N0dJty==SE+3V{X@KGl%-v=)Wv_>uS` z>($K9PCTrfIP6cm7usv+wZVBOPk#q zD`<=IB$L?=Nc3%N612}b*nLfE$hTtT*VlY;J!3xQedLsBjCnYj^r5l%ngFe0=Hk6{ zx2jvZ&^Hwd;{MJ?IlU0(uub#_%Vr^dnsX&p?M;#W_Ixp{F@_G`)1Y&t% ztEI4U?}4Ep1JL_yhHmi#Uh%1bNhKXv>!HsPw!b62e_Mf><~ko=AVnsmAY2+(Vrjul z^4ek^IC zdW7uNBVTaYYIgmaPs3(6NMTJ61oG~@0>Xo!6a2Er`#peRl>CHu9+L3hM8&oEg;}3x zcCy=>2TAk?dy45BD^=(s=Of67JLu_)!jUUKLRFa^uE-BGH+cAk4WF7P?5B6r85(nk zCESt(3e#-X=aDW6rQAKt;hh|Ygu!mgum2Nu2FN`B^>gFP#(ZZ|wTZU12>j`mt8ew( z7MWX`3S2R335$TO!Fd1)(e6*rvsd1ITkV79HLxTAw*(3ohY)V)$Kt)16R$3}nuco+ z)bdGA`hk-#&g=o*&-$a(=#R-yCBr2h8VW@kX$SajPZ2j7;)Psrqb%!~4!^tOCdMpbhdXjA9iAISAR%FYY$ z?+dabgVy#A57afxM_zE}9c^X#2Ef)kMNYb`dCWv8b6hVoyn`e2ebzL^MrbUxb<~>vN*u!Yuc?*gdmX3uEGAicY^?-?UC6s9|SKJ z)so<1K)Yi5%N(=}5wa~DuokxP?@8m|!j)>2ZzrMauo|}Rxq7F7cc9Qgg-QyrDz}EbY(oOS?O7D z9N)K+rzqih32;X!L){y-d1j(*a{?RbqM|V#9v%)!Np#T4-LCrl4Fx~*k;fnqwo)4m z=AUj3jUK=KNj_avH}=TfvMZkNWr&K9>6iG(n3$NH>x<+Ix`g@+Yr54h8?OM>2KcV( z$@Ulfq~`lUt@Yntp1&BW`9bM%pA=>d`a16*JUawS1!oI`GBWf0(F9J18!O&jnPh>AY1ov;$543{mic z9AwBSXC=S~0}XyV*DfzhfJtKmn1rnmF!)cVR6T|0X6rKVZw5UzRK~|z1(WapAiwSe*8Wut9Hr+Lum5C8A8{N$!y^ zWD-UaW9zmf;k-oK+yrstW7Gj#7ruq@^RZSie5=>foHqR@ntEb3{gpT*r$n4X_)q5O z-)?@tWX062d1oT5^fF_k)gLUcfV&?Z;Y?h}u8go@$MQ2IC)(35KE>xBZMb^-;3*9< z@&`5zNf&d)Jn_8WibEG#P%D~FxkUEqbS&v zNBu6-TrR+$79;@NS|CCRn7V)OL=jq^<9~1eGb%98R{~X4RSkM+vtP=*7VZ-Omnr!z z1OvbU>V71|Iydd-i(FcGedQ?$Yr-@L{CWQ{*gv5BWh-)TMNNqV~a($^v`_oBs(exqMP{(k|kx14C~j+_^=MZ=_{;-e~Q5e>iURA)7&U_fY-@{~}jY<{6HLoCfpx=!7MdmbTd!&n@oj z*WdaawP)7UjEZ#ptg!skN&IpsrwDOZ{J2L&28!o6^_1 zl2^q0-zIUX?VlayFe-AQnv6{1Nt-L#`N({Uu6lDvN2}7*FV`_+FHwq4%FF)7s@V7f zwn?|fxYj+8Vl3Ev{cGdO{Hi7=&_Cq2%`eChx{`|jrv^+8ut_MflM}YD+$v3tYs~}a zS?is@aczE%5xDQ-o%t@B?ET>@PT=tOVuK$Hbgf!Kf`q;0O`7eO{3bEdoMB5~3 zb?NEyx&`{yrIsSa?=mOVBGwvwlt1x_Yw3^o1WfboM%xqaN^L!a1q-Vtmzwvqw^LTW z=n>LD%;(h<&2uJOOrf~HFlfq)8m$)bZ1no3(yN%aBx`)lIMD08tGT&_ z?-H90*h-48?dWYxGw0{-JAmtFX699OYaScK(|2h$?MNBwLLF>1H8F$b z{4?btR~>mLLdzV4_i2b3uGMi!@}k=N7B*~lzDBEcqDzO2Doxd;Q zKR5@(x3Be@y>^4puJNKKeK*u|V~>kMt_Y3?EIocIyDQHYFn{IcwjEmuEq!0z_*K=6 zTyf?2`1p)W)Jo^XWubJ0N;1V)o!gG0rU;wm*RPdA#OP3ul@fcp${nUdHIWqKp`%Qf za#+1AcDEameZU<><@wk1;i|Vy3m!C`0+V&e1CL77g3@ zh|00gY*|@)W7rJ=0ga&G;OPNQ>i-|8{wS(p;;Qfu4c==~eXqa1Wm(VWBIAo%3763i z3T_YmDy{EK>YS05iz328C~ZWC=bME<#yUD8LR)CmX5V(W#azK!7k+7{D)hxCR!lm` zH3dSAIiO4P?i>{?>y}t3o{nd)q|{0*5*e6(exGJ5Wry8Sc<-Ec zu|7Aq4R%y1oZbS|kG|yDD0_0N#2cO3ZRc~BuA1}itHK(iGoi#TbDe>CH%-3~P4A?( zc)v<7@{8)`>Kz~F1+E@TD!Anka{2OQS0Dc$?QK}p2=GVt<=f%uVES>$?wsvPW_4J{ zAL>L-M3P)Jd++q4PnoJQ^tR3sxFwEFeDHBZ*1753y4*W9LG&Sw@Y06@*Q4Jwb5GOM ze+>Ah7C97YyJ=|t?NjDvpK?m;`CBqk?FbsSg8Ul(ETgE))E4)wtpi`F!EqMULcSa4 zg{H(;&WuP;cZaHkm;bJCjg{W>9R& znT4s=e0>o-19%)Z`R9W=1fq|2(Ib*;JQ32n<6KKx+3Fr(r8s|XPi-q9vRq*iF_db% z2w4n}|5UxaNl(wvHQ(X&@jW;^N$!gX^vsH-;k_%-^2v1c=EN+7D8p;dhd=`iW$+5{8T%WRK6zJSCji5*;cZvbzjC zo~X-h3kYiN@&ZMfC)f|UxR9t}q}aw7#4!P;9Wer8>0ad*m_;8AGl}Wqip9rwQ6{){ z!QuMuJWxxTCo-ZxgmhnPTE2d)mYZUX`d;GtrwVK54!&v+aoJ;3&kPW5+U^c4kRe!_ z8-GpB!!v`9D>uhLn&BDm!u}s&?;Q_sAi5<6-Hwp;%fnEv--nxK5(A3I^Hrw5Dj$?K9CYqkdn z7d9m}#sT-kA2x^sQm2wEEOkt|m|63&cdVLXtdPq#+`)Qo)HP1wT9R`VoaQx50YqVu^A*p?}9H0)g*(Og~NA&E!vkLI^3u`zxP zxlJxxIwnmlZ3Ku!y+qPmZZ!{wu?kB*fw_w?JDh#a^(@!Ub26obLzBb#4njC?VmY8Q zsc}O3ye}PlgxT(pDaPx|-QJY0(fRaETTjP{BoWOq|3=^dtB&4_8#sLDE3E+0VKsy*VgFI&RPAp3HyHoKo#k)6G)dT zDja#rv0hFNfVyA*K?h$_y5+@Nku+FKzgpn>Zvo3$Q^%FI8rBxHnBqqf!qW}K@}K%P zrrbZuo~ic7GO%Z{JYlve{_2P=T8wB{n}fjd5Mbk`18sO z8i^nBjS$avC)9MDRmWtH^+)B96xs^Z<`-j5{==<$!|u6`fGUuhE4C^9jb@Y;jOy(| z^G}kmhNIk8rsi#^y4K8jxBZH;+TRwlFX4WA4;W$$Cz>cd^LDLA(r7Axmfm@P@_|!6 z)@35sk?_BsNHOo~W5olDHPwu#u0K)Y~yxjQ}Qqaa}E^v__1YXc1Agh^j8E49Z!+s z<5yIOV#SZHX;}4fOHo=QdbSVf(kS$5Zus|oHi3`7Khj8hetyg`o;dX>2Ndp_n0+I= zXZHRDZe6%l1Z^x0uxQk1<@qb3u@CcBrg7%>!Wdm`UDXbd4S0Ag{e|Tu#OdUeP4A>W zlg-L_B0|=t%iHpP4FIkJd4$q7FA>zjBoN=RBBH*3$?+6^>wEz!;V9fb8|1|Q*yr*zK z=hNoU#UGsXW~}FVC{gKVJV%j1r}^#_pIxyy$7_zJZm}dwvADsj5M@%c2O7vbI*h3h z{IvcWt6k*~o&NPVMfYh)b0B^NUKTFkd4fHVhD_U#PEAU0=YBwnL?Hem28qpn+}vEy z)OkpXYgZO8w&D{ZDGeL5ZS0DrZz(xwUKJMT_RW73o?sKel^1mJ^&JEYH?~V{y0)!0 zj!Sc!NOtTp7@F1$u^@{^ryT^EjKxTy7k;(OIB`x|HRescjAf`#J7}Nw-uaVrUlTel z=696H-X6gdQ#IHGJ(wKwWeth zf;R)T6>$1wSmo5@JGb0JlDg_UpM?wR4>panZz&D~*Skm)=y!ndM}mqBVD|7n>Ye%v z#OUG&yH3Y*X|)x*ztCu18RZ$Zi0Sh`KQv_SR3QE^DT80W<=QkFtl07|tzisu;h11F z(h30#f;E;BoVV%$*!y^`$yr-lH`7@rS^>35?Z2+ z5r3HBxP!+O=wvFm9pQJ10NO;_+p?bC-a?6&-@bXXeZRU)5r;jG#@j4dLThIfqe;bQ zXeFf?%OGLcU;WDP1+6O|)Y>|PHgS4g-_t0SW(RY*2QwR%tk=7u?THx5D5Yo8@;M}t z8CI=nHy<8NPvz#0!%LS1B8Ghldo+UariqeEZoxM;yssE-4VfNJuQdYiP9w4LZ8B>g z<_v(9K8Gv_G@qLnIm7w&%`F~N!=j*VAd>QWXBk=8e+I`~L|@a4Y{NcCAa{YiZJ)gr zxkgdvLZ`21D;GVi%KhvB12;rJ)&#GlDzSe|Hb|~kuh!Ue6W@CbzF_h`s(CImWP2%b z%5d4AmAIC8i@6>O0wNDx$NMF=0;#8!MO5DQ)iES?(5>=^|C1*8x68Wp(J!uo)yukB z7X6+TgS@x7AYlLx*ORSR5dB5t+7=@ z>~HB$-%?VyRp01_rdZFaCUVjWd@=R1eETqcd$50NUcd!*T6s^<;}EC(=PMRq(PHcB zBN;EnGY9@|peXl1XRL-FJ`Ex2ee-Og3H26RTVEtXF+;>lMK$_I-Ov6f z!>Qa=`G^zkkGD0Xxd1f0m~V)YP8Z}J#TB0CeMOV|8x8>gjsyhba25&T`P3`UFSElK)ea1INX^Pv>bry;YjB>h&gK9SbGnx@@G(_4lrmlwnJoC19-!v<;(gC|R@GR6Hpy zN{A#=(mC+kLZF;Z^McV{9|}wqdazsLB)o1-8tCg5XNSphZCj>m8}YQ9z$5Zrvt}3j zc-LX9YdD~{=DRHIS(F;&u^X}jq^vik)`gxFPAcnVa9znvD5`4YNlO^=~k*jTv_ZP}BjJ0t< z;xWA&LdJ!%!{;{t3r4oSv@se=f}orH0@1@83k)(ya>L*xLS|oIqkT1_hUv$Ze}6w2%@H8;zA;C5h_bM5VAa~|IO&WwG{_lS7uhSEO~{^F;Buq$Ns zfR%*=3(%*-F_sY9(l+^EG$8%otCs&loE^!ZY2nrxh(CgqI7u2MnPW~8L_~_m!sdrQ zd8%50^-lv=>{k1eR({OVj!Y9+zA`oMJ^G{`l5)nxlT_rg?L~sJu=L4g4IQ}}Its!R z8;f|5SSu%k%w31L6HC&J?VSXY?WQ4-8*RJ4NAjD1fz-XobtFPSEhMn`w>;6BqEcz^X4*y{bHI7c1c zvfjw93+tBbNw3#KNs?>2(ETGzSc61Iv*Jvl%;c+1tifH=8nxu8!O5v?qNbgG7%aK7 z5XJ)3-rCu2Ty{wx0o(!k#dai9fec!lf8n>?x&v!cvBkkbAGtyaZHy_kcBLctO5mED zo5ICMDatHB$H^7P2GZ8Zd4p2xkK^qZ1&AO2$vBY7U)jNAW$jTJUl}EuNO9YnN=gr*rSIRa^CEI>wSjx|5vy~ zk}jGU_{>BQ@x4U8qcoq!zq)nhE~9La@!W3fT~lvwmae4^VCv_F-St!oN~bgUCG^s{ zw%T8*5jWiuxz9LObv_xYjg!w{gH=7bH;MR*in9pmgS5t!c@CNHegRnO&z;o>)BbAobM{=mL$iO z4mw-p2dl~zcr2xFuEAqI?$Kl~MIu4Iy;JLteo+Ch;7SI++EpH=P!8w2vo1ws6%R!| zX0HEU8H42Ap>!D+)y-GYPw)r_L@{u~*lIRcB65*iQT z)HHg1w?{i!t_G8S=_cO7bAx{FI~k?R2iR7WdBgm+I?Gt#VJNZqM6N>%DR#tb-F6ha zWnbUgE!JG`yD1M`GY!Ug`5pJAx1Z4Zp^NpbI*zxTmjFS>9;|89N(N_L=KWsHH1#rN z%cpv#etmh*fe0FBG<_-!Y`ePcFdh`Cr+2(x9dvW)1r0ns3b`nt+T@cwJ7TTdikBD^ zUUotxB{6QT!hcYTe`}f5X!?f77_<^S;h+S z*0%wRTsmh1ul)O~{0j4}XUDD*yQJjjXhixUBdQ7BYZa%Z7@Ujd0O8Q&A1q4GP^@2KY?dn-VS_||7SopxA zL3qt68Q&(>aRF6rXmE!*wrvkO6vDaGNjLdEAz;=QOr%6ZEVCFu4nOoSS%j=JHLdJP zJuM&s*u6)RbjfVKHyF`3tkAzqbdw3jl7kD97$t>kuX!3+4j${FREg!>bv+h<9Q3g6 z_d@_KkRVj>_OR+585DP`$_J8gr7pJp8v|wUcWwhR1zJneuGk#rbgreYI`;R-viMwx z^96o?BV%@DK-YCB3a2vuYDt}&BlIpv=y2O)!3CZ-bg_K9vQk0XSlycKL*ltB)v+4T zKQ3`%ctX)#@^dfWIo^Qp9fhoQcNO=sn0N{+*>qQhHFlkgj;x6&kUS$ z&3IFTl5QVqN6lW#y16A*6|O1o)5wRqC>1ceY_=rajrvwiqRJk2z-|#V;@5$;5{UbE z4|ta`a*9@{>1Y24YxqCZO-MEKH~n{r%-iJy1!|L7uZnPJOzfcLcvjqbGr>ZuzLANR zGs*)KG;YjI3(kBsLcq|1ojT#(r?N%d`MSHHmPbT(QkIo?ROGNSnBQXZ22F^_9m}k_ zo(q4MHQQh1TLg*+aPtb|OdHnCYKSD}-C7lrN`()9dxODh4RfuPt}enmCyK@-bdDE_ zTRZIqo#O|6F7h|6nRm8G$$Lc{t)jP6?bF=u>lm7YA1e?Vse;3)gda&ci$ z8)5;gH-94o{7a!#C>8PpWh(pZ`HvtZ;$_z27zCPREGv7f0g#Xol#sYy?qF@XYe#YH zJ?`?&$_^O*UO#FQ=;ow-c^MIAYu4$6-*LX9?Z0(Cbatl2_fpoq4*sP&`{EDYz%<@n z;M${{p!po137@r~cNkHTHWzNa>Ox9x-Cdn{s7f|r;GY|@eqRmg`9|$>v2--O0B-`38ugykid{E1 ze@UGj$$3%&o_{~vJQczuC-4MX!2*}v7R9qGKKazFo`YQjpDBIb9mR>NlMyOVm@P+C zE}m*9`g_B>IVmKAf9*mRyA5U4nw%grgGg=|Wul_&lYzcDp#e&y`9`H0^;mlAaS}y{ zzPn9K)W^BZJ|k;^Tr!_x=k5hy?6-av;vst4nF=`+XqO$`m>PK6p{lQQjb0qyBqq&C zS#=wEq(z@9jy5I(8TDF_=7c4Oh3LINBE?SYdjUi2K_hYVv@L(2{wiO;(lDPhHM^!j zrOu&uoqBSjE>L4~rl*$1T|E9zlIcg2ii}VPUME~W^!yPjq`$p3bbDZWU^n@x6?(TaC(Za1)nwvCj%fgEW)! zto1ANjRI^@5Vbn5HctCWZ+DuA`QGcg6F15&dU#_>>$M!W!%U{|CwWLzLs9@TC2*H<`I-{-ZYKc-wPIS(t3}V@l4Ebvf}up?!3=d*>oI! z`2CB&*)aO#Dz-VRqUk*E5}OoKj1`}N?Yh4lrps%6PmD|I+^ zlmh4EdSbtUZU!4ywpnZdIcyaHY6cwgkOQ_ENSAX)O**v9Wg@RGaDY;21dps0x%TN?l18jJ%`|uG9sxN2Le&Y72c$jTew)R!!wp zRoWycA_1SBQ4ruqK9g=?KC?Zc?hoO47Q@~W&^3CCIIsuj21{BGS&mnq_Rm0RXQ(Ik z4Ff+4Y(swRtpO;>)AcMv&{i``xQcgR*++f` zU?#2lIpxBd z_knLmvR|33D>to@^r86wz(9$kw}>nY_gR7448jME5aXUM(Wi| zs3#i{lV6m@r_$&!Ihq6u96I6ARBBJ-mN+6YPt-i|Q?+w>dF-D4=;`KhmRT|wH;`1F zAXs|wo&H*J)DQnQ#$1CPR@si^s89aLGNX6Mk3);RkQ3~8T6{f@$3*id&qJ6TWbnW$ zHQmtny8G7nBwb7`X9*O(;G}DZiw(dpwH5gw3*yOtZ_&=kP+*SnpQ#5@BL*uiI$GWO zFUc-UoEFXQo`OAf6DpG)?QRaaG||ph&~&#&23zDT7A^1j+0E24awecUGVz)NB^SHO zlv(8#ydB6l{9geS-xq8^JLW)vboKB-ctnP^53W>ROS_)cp}S0u8kJb&$3rXs=0Igv z{!K$T3%ggWB>RY10w&XoE{-cobYm#Slp%e&szzGX9cZe7A>0ql$g}O84)Ab@k;(Wy zNlBc!YV{{>x-0Hvk(;nxLQg+@V<%?G zqqKT2z?qG`Em>Kr*PC6S?63Pz?)~Qz@`bt9YrgXf&C{YU|FNqs!(MAQb8nVtJUr!( z9Kj6AMVzia$7!wm_ECmvfVIqcaq7UFam+MrQc)}uUZ|2@So`gx$~xdH#vq@(po}8o z8+Cz7Cj}KqIm`>c)JT|XY8O6WSz283o(uL_L);@=ojtom3%_)iKjO8F|JkFQcgi*| zSt7Z#BTJ?N;_sEDCNeWN(97m7e~4>8$S%#18_s(tEjMuaeYLG|I&F+@Z|xm?_E((3 zy`6Xx!W?mN!c3OJ-Hlaf3Px7lJ;GH}6ItnPmHdE05rK7r_!>s+jYQ`Wr^!h4=sm;t7%YSP2i4~aeF zS46rbQetK?JG#toh$c&Y(k}D&u`}g=M8_NXpq4=OB;0IazN^hk{gEtos*jx7cn#Y} zDPoO;9QTDOn#2#+#*B0(pIlq~Y-x`lQ>l6efr2viu3sSZ{c-_w?sxmlo3l?^?=7eH z7;(qgf{tg(rybIJ;qy6B_iDO)E)I^zm_D$0rp3hzYh17V7^2S&0x@rAr7{w<`m{Y7 zJPgyKkqy(u&^9`GAEESe-Lv_W4MpW|e^Y&P(MmDB;NK$G~jcCRe4Sd#!jx^c>C z`jtG!JkdGdsPs00z}U30tTKlI=lU4htaBFeybX>Q>biNXw9SH23C(T%H#+oGF3og+ zQh3_?qv1y14ThSlpH&<7HPE(sxjz-s4%~^PD;Ymn-oG%1p4+O-RygN)a!3B%?OcJ$+@@62wt4Ww z^V;KAj=lO*DHIK`@%F*f_fKA$fUzk?Z}wNCj{Id(-rRfe`d>T&*kP8yU+{F=?v`0L z9@mHL4jS*kRv{8O9r^laHURIrIZl4j$olGfIWfZZHwSxs7H_#CGKnn`PV1~kG@rn{ zQLx5)7vZR_yQzh1$;YPaRdI z8UY%OlltW(igO`Q zil+zt68eZV@_CVXPri0S?wU!$d(_0|#It6Val0oRA_aKqUNZ8nJ}A%8KczzJ=3+on z$0}i~+Gf$@4Yi%lcPF2~;?9S#pfvhp3wBY4Fc%ZP!`B|vH}=|5W!3J@s$YG_Dr%3r zje6~@S!(w$Hd~A*{Bum_q`>EBc;Lwg#)%s0z_Y#d{(`!1=2z5abbui=FM8kT)7u?? z7k3~W$xT|~E`X1&bZ^M}6}5c@$~~!8I_jqPxqynu_)@YMAHs@AR$YmUx=4DBBmpG} z%q`tg1`S+uUm3b(yUmv0-M%~O|Kb;to{YZ66V;KJg9^dEJLrsUrLcDxxK)3;@?>#d zYX2&qBI$Wq2Kv1I81O7PlH3-(_69@K$W(y@l6^>UM9LgjqA18r6AVe2Tuo96@&Gc{ zn2zsj;G~;TIhn?MNP4HQ;+dbn(VPr7H1J}=65J{^!xj_uMh_3-2pTz(B(MzU~Z{K0aw(su- zdUg-z@8`WS{s9DL06&}cqVy{;!FF1wa%zPuoLNx~VjjCmhW4F!QmtSVtYG{n1w6m- z9zJ-_5`mjee~7)JA_*%yBkI19(bCI?U|X9#;(f-e4hMd=`gXC`E44l1O%*${=YnZ# z*qTd+n%RoV2hhf_Sr-xiz68k4?7-FOy6g#i%>@eyRH2VD0kRJ-64>EW~Uz%lU88 zJvvY<8T5|S!;W)h%>IggR z$<{{NXfn4wH{S4aSud^v}fzdOOb}jztv@4Pj}n3u+9-9 z2z4P7HxV(Rn1SaEvoNBEds)p20RgvA5gXqC8Y9_PBaRm%>gXPY(;{Zb#0KEu-F@wD4bFfjT+h zd3b0H&v9RXRV?=4+(FR{!O!<%tslE0Th1uWO*P~u8&s9#?9zn|p3b%wbMMFenAC5u z$KgbUU3q68qhV|;OWB~NPpFMa#;0K}hzW4jIZ_FT*To)u*b{(`N|c#}8W?>3`e9>Z zQBkIwc~0S;PhF9)tHZJ6>GMVg>3{|Mr$y?8gu5(l+TjwT1BiQ#;n-o>sn|)UmKD&i zL;<|ek@O-v;*Olb?ovKOTlgrY*M6^NhrgG-ATS_0>Rzq+)^XCL*MUsRa{>HM{fes_0JxC9#iAcwATI=IadOL9< zZD+I8#0v`auhKdxnYA;te9u%xQONlYHQ7w}6Sbb{0( zqv^->VZ1{n|4UDe0pI1h7A=YKmeow%YEz|PJ_B_!Vx#uu)S>z-jE11SNJM429TK!lSTtd2jdY?v(`IR8e3S4@ijE~(4q&JIn$_k{zyAiOaWtMa1^;lLp*=;m1JGoAtfa9y2tH$gJs0NGIU*G*&cc ze!2D8^7Y8HRQgMkywvJ4vbubQrk(6Y=Ez`~Byf`#z26(jb?c3hR-&xYNWw9ILlQ4h z__%iesZd0>@8OvvS8N?$;RxC@(Ikh-qKzel_g@xz6nr5pfL}3X+hAG$hrmp|3WF>% z4aSco6GA88Z|{%qA4u^PY)%t_BtGN5!N&z`tn{%;u(73fOpZ(pZDmKKcTgt_BoAqv z9M{$6b~AcYimug$1#6hQiH4d59gybzvGAuY)*{GDVA$al66(EV5zRTREj?-8Xb%pT zJHER9TDt&-Anh~4Cy)c?JAd6wweY1*_4Ww#u>BfYN#)noZ)yx^;Yl>h(UL8iuhG*$ z7&b~YB5M!yst*<`Jf};7c`@e<_&qL7b^tm4<%H!X*I?m93+d_R4*g2xBc`fpxv$!VB8#O;W%3;^D5!u`}d~~Q=;4v^^0N=vVGCI2{XZWUf^8{ zpw==qt2T$3bcA5`n$5AiwrpGEpPm|eyRahD`tj5()7)cX#IoAniZ&Yr_ z&o;}Tb@c$O6JGVwoOCI{-h8WXx&a3;Ji+_Z(>^f$u>Jg@Wk_ss-4h?Hm+J7evDox% z-4nVR{f4xlJi)b5wl4Q`!;33p4PLSAcKwX9DIO=J$S|4xGj^@O;yik|<1`G3Sg4DX zqtf62dmE@dGe7mP6bL6nZZ}H-Q9$FzZU>k6S~JPF)=uCrGn9=(UclivzWE<&5XX@A z-)d@9k9S#s>FNmk!Tek8XzI8{=Z!p7UP93W1mpk?Hb&-}*zd02htTVO3=F^+Y{o?G z#|EG~N8y4=YmQS|_=C24Qa+Gu7&COy8Qii2HK2GCaK3cjZ=37e#0_6+3FXQ(%CXn< zJsgdql>I}OX!7o_|DVOjct5Q?rV}^k6vA!BHa9pj-bxS=X?s7biOk19-i6dCmKvEO z*7HT2`^cU?UP!2tf~|gjM)|^BaujFe{P$80JSEgb92+ngOI-hC*Q)F8R;h7+pT`tf z_do<)h&UxnI5To>S!AgjX;x=Ms>9%`16=*!48im?z}?7{PIv!^M~YDe1D&IoJeBaE z?0xq7JguvlpE}d!j)~>TM_s&`uU_u3;tY{p(C0OM1c`O2Gc0|0RO)clfA(Z>KqGq{ zrQJHv!9bYCGitF&uS=tX0#r&+;2Rl*exD}9f^}LB0ZWqWjSr#o z%CC&)>@9WCqpFM5tGNcd;N(Za#j0(n=X#b@XTqh|O&)r4I4_h_^VLK-l5KbVql?vqVMfll2xX_hp&Lf7k+kgs2>z3tgzm z^cAEh)PLvB-R0y-i8hX_SE?S5a`*}=k!`^|5rJM`xAgD;cW^-F$rYW${DQFk z)OWddqmBls^j_mlO;_9z(my9fqt@={GL7jy=0qaTWctJD(%_$ZExdX=eRznahF8nb zRv8dO)^tM_-ZFtnt7qfvlQ=r8c*-y5D(b%d=$)@!p{atKTSK`wVkxb)u$i^sPwLo> zGco3+Yr#@6gx(NhRAE*VzY$V-6@0Up{`0%DMDT`%s``3kRsDkp9UgkbOf^n>CzOh} zW({lA@3?h@n(8?6`8@P4WQe5zgpab-_PaK3=BH)um;!~j=wd!1X4aNl8{i^!?6=^4 zNAwyu0|_}8I>(!t;mod{Ei{5KY!VvTtm;%VGX5x>%^h$#L~WBAX#i?)_1%`eFUx3UmvnHE7U7#e^=9fOI&5)s&9>0wgO!u63~kB_hw66`#ek!3+im% z(NX*Nl6tuWUT*=q401g>5QCGJLRzYm&l3MiQO>I4Akif7tnrzZ0W3E<*Fl1fnvnTp zMvW~DHspZFZ>ZSz+bi4D<)A~_GhB@m*Z5@0UfZZ?Kkb}6P%F5hwDi||L>+G#%GxxK zG|)2eqPYH6yDgG= zloqsF{4gC7qODJdJSob2XCH}CjI^{)zBzcc9BiAu{1GfvAyO|nGsf$h4rr)W66h03 zwKn${>1CNW5-D^#ajAEkQ8CVE_KH6cgx-8Bi5;MG+gVL>4j{^X7e#G{ zX!Uyjr6DY+%Y6IY8Cb>uGII4@9s1@kK$AAWsBvY5Isx5I07)te9DD+Ah%Ws*NJC*Q z2qjgu4j7fW^%Ykh_iMBB#GKD(cyJ7V6?X$^3yUv(-_#@?tFA7xiF^9fc!*YfZQ3i+ zj`d5lz_A0xn^hO1CPe<8S%94iKderXXuq6g=-PVi_OG2zuE@7{Bb72UE}e}m zN&AfFfYZW=z-tm4I**Y##MwR_k`_thw>IdJZ8R;HMwoX_S6{lt5eX*KIOQRSUip|@ z-LzSAvwfm{(ndLREl>lF3=C>nrS8vZ0K<_%naVNghOB=EzYmBlg|#EMgGL;eFLGQo zyoOr7YP!E;QqMELnC@5|Q{ki7@hHth1*Cb^oUKG2IE;IPOqevz|4{u)#_IL6y9dz$ z@!l=+_+Q6KH^lP%`CmEBhBj6ky{6&GeELOXUX{@ktr7MKq)pWiE_thd{`iFO(eu0C z&!RQnS|$8>a8mOjimP9HtNr(%@O--G-GLM^d)P5?Z(iZ07QSN81ueaRG*6)r?gNk= zcq@|Ct0Y@XcEH2*;`hfPIxst|9kEw_Ff`7Hqkzjo-^Lzj}KiR4XRX9 zzcFcV%Mx<=P0Ee4izQi=+gZKZ5GC+)6IBDrE`?f3W@G4e8gY^lBuBaWRFMci9qxgX z>l;?1-x9t*7gt1kL&F?{-wQWpa^(OU?Z60=-`{MSRLiz%nXe#(5afghH)XO&RzJybz^bVdM4FKq}lDv8a0SX+x^b3&d0X zM3HNttlEU0n^nb7RP#5S$^~Yg1LmYzs z)-12-hj;V#CUHxy+@hVH_EWEQN1m0D?xg0WhB7^rYMH;9PSMbO9@~C^`tr}4>rjD~ z3lMp3e)B@6B3Jl^93Blyc8PE^Fk{|UeoRDWxj^snv#8br=?dxfM>J+Zr=iCNY%w)f zArZc%aAB+W!GZH1I=@rL1faHR6mzZuQu_>ZshlY1JZv*|{UG+>82mnRsT!7+NW_tq z3|NG;6z^X^r{;Bh3c>_$d}g|FQ<#O@JHX4%Q54}i|1nE#{cC0bK1c5OmuBBQCQIZw z#RV17$Aq)>3I1ps@7bjH~r zgW2atP5rnOn+fomwzR{}YrY!r?>*x3I9-tr95}k50l8 z5R=$SFC%c3^2?Vmc1zdDR!3ntlb=!Lh@6pqXPfT9dacMx7FI7CE zml4!N9HgrKREd~BAe8@=>jlaIRZX4z z=%^K8Lvn{EOt+$w%Z;I{9zhGfWvX~K!otEuo@glf#S3J(WHqjLB1_UX1Ug~Vd@+A> zI=W^*nFK8v3_@E6@_;k;v(lZ3OdmXxt#hg4P!I)YGz|*4`nfRq9Z3@q3}Z5-{esWx zS2YZTo{{qR0?iEG1f-RL5JseEwz_baWnc4<+IZNR&@cgZDd%CAGIxy;nkUfi#xCi) zT#ZV32zHM3dDllBocQrRUdiBzO~fT=^@4ATO(~j7`CMg3%Zgz`{4?9=^VVL@=r8=I zfc8&RWX1mN91(EQ$fCn)nqWccC{#qC1AmIs$Xs_l#1<(c0n@N8z&NRJG1$D!i8=6M z9A@5*Vo^yisH5b1|A=r6U)gr=UJQ<{{x=ee z=8M7i(WUjwx`Hn^g!Y3obn=tE z=j>JtyE@Zt935wWvgwp>nm!%${+t!P1OlBwW5Ih^?jt#D!$-j2$I+RV#>BGgJ8O-V z%J;oLT}=-g#2fRQPIx@G`B#VKS7sEXb&z9?ANmN+Mz_ezG{7!t*@%68nx?>IUB$fq z1HatlzP`Ca4%37DO05WCF+R`4+7ptMU=gA=_is@BSK&@<>DRr-mb)jntlQ!B#$E* z-Ntl;Y-XzBH*4g@HD3@0wu7F&!{+8?3EoF3b^Nd{Ec zH2 zAfh2$w9^qKZP^yuF@A9<@jBz+t7&|Xz=M#$jhCZ7oKM@pHL1Xx zsYOS;XHx8-qp}{bQM%~C9r`jyS=`bW8D~PPBiHUZm>^fG z=S{IT_J^Lp{s!^{Y2jBBle&eDVZTPlR&MZ*(N{bdK_?asa76jNtv)1xjzF(ypUZY@ zn#!}Og&GK@13AGunlCXezOMTtJHNJ;wl&L9Z^ky3(Q+EFh&4n?aF2qMrc24uKB13; zIz@4b084JRet~9Gy@H!A@h#;dPxB&6EpL**j()CD>8(v*dzW!&wJY{WJseN)|2J&{ zYfJbxtw*koFz{-}vgTqAX0BEZ$_;Qwl7`e^aIdzHse16?r^A8NDUI_~T+9&ts``cF z{NUZD(^l{0{eRQa{*R*e@48z418<~d)z_}B*hhrIUNnpIW+&-|yDu$PtiDf$&7g7| z;QJoc$&L|sL00dUy!4MRInA~ir31n&_8W`7i&xpNHBvtO_E>jbVJ5{n(>L3;ga*go zP#l<9k4^e+-$>VawLjJ%v7w!Q;1yg_}tT14+Cm{C$%s=X|=5_4S0yw z(l38YkPs`-yV|1^|1=+5SNm*hIB%0o*feZyRP6!_PDh?Bek~boD9eacOHA|%5a%WB zs{oajx1U&wLBFPh*hH|)uHn>!c04cWi>W`Fa^&ge`5s?(d$A>-PuM#h(@JK*cUzp3 zKWvaWF+XD|e%3+es*^Vo}2|-yPU2&f>ICsqugxLmPhr`Q!)a z`Z(OLk6g0La{GJ*Ev8;ARRjJkX-Q_$#{aIno4Q|+-B>beaX*s~YkXTSd(|0awgaQl z%s#1q^|Zd3kI-*C9gFCEI;%-w{}1MigYiLh>V)Y}ZB8y7uGsO~Cey4#k%@8MipAv= z4z|?7d8zyz1lCUmsY84( z#?8Pj5Uc^(E}qqN@n7p_|3&hJ5Qe@6kuBl+p--Ups9Y%uokm)(eUa$Sykpw0?xw5j z6CWs^?r6+fj%dve>y&HD8;B2WjP`AD{jlHwuIS{mDiLehy?&S)|A_f+<_XonNbOs& z->R5do7p!R+z4FI0MOv4ZZS^6ke$9{`ZxEXA)13B#@7YeV9e;A;DHXc8nlS@QZkX= zMLI4%Pt7XfFpXy>{bl#;5WTrV#P8fy;O_Z+skr*vllC>=EuwhmvIimUWWLV(z+bL+ z_eVA^vU}4M5B>>c{`rQ28>dg*F8y0ECAT)e?4XF#+*glcw#jPV5wUGg8e%lPLa}TQ zXUb`Dt~vPP%rltyknuJ1pO9>1GeNQY+DyGI2M^Xzp|4Fs#PiNp4Cy04h@iRU`Jp85 zet9WCL9wf3n@lcd*4&FAu>h@t2M1obT}@95aD0Y6VWjFtWCZYNR<$C~?bV+;1Vl1e zD|gA8cQPyNZWLZ^s)Bso&Zw z5?^{IwdXTu!&lc56i_qTTqY%az-eqQU8PV>j|zaNeN_^goi+3bapOG&f$sd^DGCv(=_LqFt9D(L0`;XZ!<0*x(da;V2> zaoJe5({}3ElAYrVE;{VNUJvx>y|@=wE-@1FCTOUdezkmaEO(f14{KA1aN9+H;~#$f z=(+m2I%)C9`_!MaWon)VRP%CHZ!es{R+`UKq+qNZV#m)FQeukEUQv-R zEobCfM!-eU&v+bZjEMf!V-hx}n1w^84{?PRDTU;C!XG}qev%r`rCQwGy(_o(S0VA`U#p@2V^11iK8S9Z;A>Lqy|{Pr zHl6>3;^{l+&!&RyWFQAGf}^;^`h%}Lg+kpo?9%L5Fpvir6$s?m)SL@)?xhSNu>MQ! z>JMxL)<~aVDkvJS_;~+9h-zC<5X13RZlv^-z&n_jlWEj^i*@9P;9`Avg?-Rh{JC*} zw)V`|yC3TW|1Vtle>=AxG^x?FY=UDJ<68MKn`V_DD_<=NRjv= zHrDgP2K>?0H~qIMTZwdP`I8Z`74D}k%O)^_q7&#yeQ0|yzQ6XT@_#q~{9`Bn^S$i) z7t$rDh5Ti;K8S@7VY|CM^gw(>Y(em*XRu5AsY2RM+50JKd$!~p&(0|nA{0L?WN2Y; zQ5;}LX_8FQBLe*5&+DIvSfSOe>TdfVMifDj77-~WBqRi-Q&Q=WhC#Z! z8p4H~qaN?`zu!J@y!JH$v-eti_1d3R`cNy>cFHht z^9lW^m`J1_L2Z-Y|LCp$<&p{9p|W~)XLpM<$g#HW%a<=3C!4}8wwgx;S#l5M0_x}p zpWP$>8c06+YOlgWcrl}y7D$FQPvVi@{(~(4_bLC?2~~1O;}ZaQlR^HmC(q4*Q^-z6 zTH1gKc3&JwWH-0wd7O_Y3w5HRpeUfN+hbv1u!h2x>vsQ%Vg8~1v5^VN1`92U(N?>A zG{^My^kiLJxbzJS9w`n}KYonlJc0k%?4Ms|;yV#&sgTWXs^r?bcYi+8ANl)<2w67H zRtVkwpMRA&&keL)Dg+J^(%-i6{A|m?pZ^Y=gR3RtJK;&}~%mdI`({Z9WMckaJG@5BI@S)J|^3_Zki9fAZoN3J6%B2^bAvFr54k&-}N&(HsI)jy@Cn-cI&UDPSW6Fw?>) zmFd51?B8uwAdnhcW$Dg8KgxXtWTI<`N$+JmnFnhHu+#y)X*stKu>t;h-Cg1f2d<#Q zyj3gBKc%Ov(Jf&Wg3p=+NuYKdUmg1FSMO*S6(K`(L?OnV?n0vhmDdI`H%z&Q}+FrTw^(^ z4D0U*-6C+<#{yae}qAQzaQlat9rd{7kLz4Nj*F9A2MFo_g$POR0qd3t)5g72p{vefrt zT*4?SkIBLc8#xrg#1+`nwn#n(f0BJ~4V)h*LA)1gxEO4h>olo-?(1-<<7wVaRIQ_d zo!C}-bu7bA7m&*Q^fwZQc=-f)It<@eXCQQ*XnO&PpWUYGtqb2k9Gne-LOz=#ixhn= z@^SD9WqQv}rhxosVRO6%^p&+*IO9hJ5?sNd=>t9GdqBsujf$isE7a`Ugw6w+$)7_5 znvz=y!VZVRFr|0O1W$G9a91KX3a5oz8$Y!=*lVIx`--`~8g85X<2B>N9poKMVxF9P2-xH;!)sWJLJ6xmp)$W{Tjz=Qned)`xnB}h~Fx#)i2ZMYUnN~v?<^IAmhnjJ5e_W zBJMsgV!{Nl{%-x54%jr<$YNonX)=6QA2Y9D6ylPScCMj}iA?T|GHg_kQ`^`>M#~UO-b%FeksIh=a1qS;3lh(p@O? zs$0#$-sa?&IX}I(R9APJPXFA3Ab>;uSbNDIvzATy1#TE@TXa?!c+*G|QneSSK@!~m z3%6w7-r0Cx+1<5gTsW-7FM3)YyqOC!&(Iv0V$^+2()$8X%t`PR{J&9tDWA|Nsi;OK zt+5Qa)t#I;AkG~HqhFn0+`W7EE5^gQ4ui{W35s2YKCc-__Vsg4f|U!r~O6 zuVHLY96H^>J<^1EOmOEF^8~IBLwC~Y_niZFxn8Za2zGGWzWN*A|GosWvX<-3wsGUv z;aQRl4#&XQS#}`VP#@)7X)^X6q4C^b6ynTnzcZLLU1lM|{;?{QF9yoU3+)t&YA89r zL=Oj${TbBVL3F}r{B~#0Gcq&RR;@a8Ly0-#z;G%`%1{3rD_s=2M2!0@c#Yle09e zV-@txXf4AbGTXJ`V%?4qXpQ}n(L&P=yNd#Lg?A|z;0-a*dfGOjEJV%6YJjrn3WZixe( zOV?IOu-WO&ku2lUjSHjJPW5|o8b=M=Pm$p#GL0!WZu$@QfTc5EqJ|xvojIvho0P++ zYk-#cC65)U%Asg2ck`efmc6KgnT?ESt+a1KexDO&x^M>)Qfv1}g#d5~?mm5-c|K~w z<-n|@4AT0GVHeCSte;R^~6q;jtldY-}tkH)my@?q$FkfC?f%+OD8)+cWn~C)f39^v9O! z=8cw)+=gK$8k)0N5Bw>H2T*tx*=L_HWhD)snf1PSaHg4stKGIq6a-&9no(-M@#4Yv z7cV=*DX(}-ccfkk+u}@$P{P{hV$RtTKk~nk;f2R^?5S6pzYw;ONgOYj7uc_34{4uc zZy%Ogvug>d9OS>wFB|3F?Iia?L~FgWH5^D+D>vb?e?{_>LK0vmPjSt5y-NsY>|)H$ zbD7#&s$tg+Q~`%}b=?H<%eoU@34vge+=a27XTyM2jwV5A`BKa1ix)RZLatEG$FL&d9c_qB@7MbwomtPzmPRjp)pr!{5*HP z?|=UM<=!{{jh=z5@J9akDr!ydQ2(RM#2??l+15;5u3XvLYRl8Svs=wobjzW?ba1W= zRDc_R9)0gmH+I1kxdyd*Wb%7rekZK|x^$-6*+@w}%CR;#+-(BjWt3QLPq^lJPJ^-W zrX3e;+bp|`?7h2ttxzC&^@+F6O|ClOCMuR%CNL35is1sKJp9WSLCgB9B>gi0L~5uv z+4K2vk{0hJ-E@^T-YL=Z$>IH7$2k~HnS|kCA;BRx3(GK%QZqd7_03$Hi9O!YDmfut z<)g6~Aw+N!;(SF}636}dy8ixX&WAQ#P~E&TSXF`{j$NTe=jXsj_THTp0d-x+a41=VK55HlgGd3yD&oNU78rTB5!gW{SKICYXg<4xPd%oP z;gsC~j>6!bVLKvM@QnHX9DSGvq4GcnDJiKmQ~g;TZAqSPEoj)+qQ=^1nzf?wl4YbM zjN~ULz zH+{9Y#|tVGMC+#1%gwZN6u7S#cZFnl;2(kSBVsfA+8s%!x-}Y#wgO~6^E7RV+EeF& zh8y88$(pK{T~3PW0PI)w5(Ndt#^7R$K`p2#_>73nVl-av_D`+{&EhSdRZB;~n@kSW zbp7_&(}~q7f722b#Bc)#m^cQ#-E-Mi>)yK|2Q+_{DP-8_%X9YWgW}n))M-Yz-CF71 z1+Uj|=gFq>1UePE#*^{7jA}XpFF2Ut@HZA8c9Z~gubs$slO0=JMu^La^^BG!hZQ4J z9mt>MXTd%se+=3YKZq(=ks1i$KQF}k6ERbS zaXLdf8QmeO+O9zFgvvLT$Z|FrTZuF*$52vU144!hC8?eUcWOq)sPTMNL#1R6>t^~H zbZ_syMwjDb$K;_QKI)6ABq$y(E)Q$k&-_Y>!TIF2UCoL!aZFLP4t1j{Z@id?O3>kG zu>Z9Czpf$@+I?N*IhT@-apRa}#l1;2C+$wt@qF#r0l>!5@*yZAY4P-P9H*x$E&1D$ zd5qcG-sVLybks~4Jrj;(G|w5T-(tX6cUaM=nG&kpHg{&=4w(YfOvj?Uf`ak4W|8!1 ziRe(f9e?p(?wyc8b3H$|aWJ#?>J&(&^m@%-t54xoKD!*pgU4muINbTw9SbA4KeQ3M^7;15C66*_Vd)i|&* zW-4R!Fz6S%O6!9E7?qzANd!DMEL-Y55u0KnK_c%2kRTr0QYYP}s#>>#U}xnMVd-J~ zF{08*%kyp*ydj=eUst)BTUy=-yIK~gPe_#5leq7zoF4^mrmw5;yPcsy_$}UNSVFk0 z-%>O7%dZC~?rGMl`F*y6g6r6Pzne~ZChlZ+z7KQGF!$1iYyB%Dpv=nUS3CJkHdQty;65x2g!VAzDi&QetbKeRxh(VI| zNM_$?g^*#*RYiP`e(>6TTwK9xL~U#oy{72#8q>>*oMs9vnG|_#Z4>qm0Kw9@#$*Hg z{3s9H*YHfaAd|?lA(2wgwLp#4xG%2!r0pY^hM9S2hr$=|DT{V4OgfCEEhXLKzw}ee zfkC|4p!yoSfHoMR)>RnarBTgl8PNV%zN7n-$rMO&!o^NiCuqpnk$ULF+gPhnUHQIR zGX(~%T6#0VUg|fvM-=)H$@-Q zIv0p9rnn^&4v?;x4GXER&%g2$gxAfgc3|!rsbIpFAtc*U^&<(H?guzsJ&E?4Zp`-k zbA6gGwtn`vf(UPDnh}54v-$mh@wlpmS+1%N5t_fBbYE- zUcmz5Q70Fw_#bcf_YW6Xy{F4{H#_zlGySRgUPnGtK609EOXM2P*YZqnh=xKZnX=le zs;2##nBaYoM1==8c&=whUhZC1=19h7n+e@UMb*!pcT!1n0#bv1ZlPo#pY4 zk09~md6Drgf0r2~zO!;N=A+=Mlt_kiEi*kqq-rj|dp<2>{(^<&BWLzrY=rZk6{B^A z%bTpSGCQus2%XWf>^0RL*4qr}WX1N6;tWmZ3mLldip>5MCFuhRoVRVrGnpA#BYqe} z#@C3Om(v3gwM=rxFh>xw3l>R{{4X9l zCZ0O;YU8vg&kv{4ZJ&WDa_qmb0t0?j2hX ztRw(_R($(MQu_w!u;X76z5;>d3zQY;Ncf<+c-h0fTMmTlf;dyFYLCm%Q6Bze4gRu~ zO(-$~Kvy^8cn)t~;mV!e=GQP2M;)a>`z4WUAlAS?F@DbX>+gAmG?j*f2G#-#Yc}R~ z=_+fT3WA#~U?Am=*Nx~58azG@_Ktsk=2Mk&M=x-#KY9~5n=*^9!xL8L?$P6=DMoT` zo~guS#nE4nqK$3UU62%g9P}I!nmAp$ zC0;nVCy8GEn+Q?)3V?3GE>|3w7&Xu(jIih#1)j_N#OI&(vHxfD*I(NN7AXO^*oVZ(E{pTT*UkJ?{eO&te==u3 zAEPZANOJ=yQO|Av@y5N61&{6(pJJpxo=4X0dpV^6hWX;}dYgX`|39bYzyeb6$*3C~f8$U6L8b%_sgP3Z z&*r#$iT`wSe&)?z>jfTcG#;#V`auOm33Flvao2%UXbrx`Hu^d1y@N@tke`|I|5(4H z{$3UgCrDqTDM3fl4Dg?{@T4rl`o~mS2y8;ZnE0X zG&lsggV&syab^H))Vhz}y8q3)zxzZS(;J=6&JAQ4?~|}ULE}lY6$PV+yx;{N(*$+w zPSr*OWzHNBgbN-AGBC(=u1ii%R^=n}eV-ulD%71%g)_1Aap9q)JT3{Rjn8+@Y-U&H zJpG;{nv>)1&qO15dE=7NS$ht2)c}f74#@lOY&8wzt)nbPg6O;xous9yBrUvRgkU!u zadfT&gZa(H-Tb4)pF zaKH5W`M!UG3GgSgf%FCpdO;D|v+ zW{P>|S^drH4`nboB~XV;MmlYYRPjs=*d_Bg33PTI;X4a>%EJ+geDkm~M%D}kXFsxY zu2`tnObczQCVE2?XNt_pirgK*{iTY#$w>OEEHsHPR19H#q1Sof`o`xZ2tS|1tq7RF zJ5x95$gTf{Oq1X3x&7{v+QYpMsm!;2wFrO)5x7H+G&b77KGO-Cd*0NMmXy5sJ-G^? z3cZw`%T)|EBfo&|a&HWEB)^>21zP@n*ONH;@fgSrHH&pJIK!d4GqL*jOYl@0E$T(| z!0ObYz=$4!F`$<#moMn~r>p$zpQ{kS1*btn#^OIE&nS(qnxw$`m>Cdoz>_Q*xWgh~ zC2N(`acK8$jq}MohnQ7BR-w_K#HA9@BDEsnmA8eA24+%4_Ob)k&iz(ry{+jms z74SD#OH@4{&ui>M4NRGg@qt)HA7nGbR?x?Ccj}JWWK~ywgb49<{9$Z$81k>=5C9%t zn8HA|&A9b+{A>IBmM&#LkKU>wO=X98m6QIrS17iatb7$e3&6kE1dM;5(xUKGYgb1e5w}=}OnA-m!RdKg2gV)NQKf|U0-J)zod`|(t3HEnTQ87BK z@e)ijm$xZ#ZU-=lfJ6VbU8;C5#`mZw4?bE77#T$o)Os|0ks?+8Z>9E09U0|V+0??& z8-XJDI8g}Nm&l%MaK#qyusbL9#_&tF1%zv~M8x)cl=6k?J_2@HS!RCWuzabE)fi;* z7HrY4zg=N|0t_-Hyx5&7&yc9GW9tS+5uofsD#I{IxXhps&xObJ&o}jL8@1*oa*V^< z*Ba`rb|#tCO6&-)PGNVy%&swy>1NyaM2*(LMMiV=(On&NuYs)huv-5v1Dn&JKyJsx zQvaOWg(jE%)_s&>_SP#{sKZ2GzE-^p#?{#f)5-oCgWbubT&`-`ZPwZi@<(ht;(&8$ z4z*QQDYl868qLu~O{=pSN+wqG%#myOp12&Y`aC6u-(Ql|ZmKZ%+@VFV#ps0BG3RNI z1CRyV7-(Y*gMY6sVO9_yEXg(6P1&5EPN=$pVT0Z`QL{CwZSLbKgw)vY3i7(zvF}yt z5886u%wD3PhtwBF+odWMs136ehRdF@T(`CgyIcRbG$ zJV@h)oHw4I)u@!uCOw;}XlpWEb>j^ruAB%!>+Yj$tc2LKjE!EBZ|_&p($c=PT$ER< zHXtG4v-t>QRp78BqoCk|H_^~7@ztJ4`??q*|FA)<#!HJbAU7lE?rNU~afOWpMVcD5 zZQ0-VWbrmp0*jifSElrnlzL!=&mVdwmwp;AoELV5?q&rXSeeK&g+5}-mM~Xiv*n2f zIg=wO`;uxuAs{7*p~SqRN3Q9;m7MyuKQ&B=GtcY6P^6{ zuDeg!ecpmRPqFV}6t6W!nO>Y$R+rgdNb6$WxN+lcu^{IM=YhgR+Lxo#^TZm@h`Bx9 zdnCb8&1Z^()9Ht*e3Zc!iL@0;7zKui;D)*m^@`o+NqLHw8i^d%Uux_(1%yyx>`#HW zVuMZ=N(C8-wa~#r-iz0QU;v{tg)8B9zIBVs+X54PF*N8gtL1b6n^(sVXH zSCQ_pR4(NFbhF=+K-JUmYJIlUgzPeWppk7~8&ajRH9d6-`&^$~dzF%M=_zHsu%LbJ zJWjSoyW&1mqKaqB&+)uRVUA$^1*+(cU#-A*_Y_FuU27Fl-BmuUR>2ViS9?$vEHYi% zsaJa3{BAo=<}R)?D(Br24X&9xu$MAq!uBBaf*14j?}70G7wwBrE>~l4r#;W%%0i=K z9g)X54f0ILU%6AS7E*;quaBNdVp(l69mjDVv(paqI?iv>gbrvG1vKc%DdpSeDOvae zEn@fLPKNVD`QeLijARy>1UvXShE%NHkv92C#j_DcDDWOak9fFP zh`S-naR|A=^SnIwaMWG-q8fi%pSj80an_t3O;)#ayvk$F3M%crj57U>)i_}p_QWFj}yY-ZIH{1Pm| zF~@cENFo_{4J>kfv1cfexD&9^m_+c>4c;g7a;-2vN6{9R6C-?feH2f|pc~-CG;)e7bdKO&6|4Emb0?_m@>{!OS5<(_=(jN$Xd>-MuC=c|{t75b7>pM7zH zqpx&F=dDNV?TRZ@gzPJlE$0y*qC{|qWvVPD%i5e8EUL9R>^CD$D+5OJU>_eTRP>w? z%0@Nq$Ijl}eX@R3((`q*Zt@if8*x_36u-Ex>y-M9RR~qp@9wzZu)h&}njx|1<{zAK zPHcgVGh!tCjz2L;pEZ-CKf=z5EQef2_^jB=WVVw%)|`VoEs}#1c9NKN-_&jL#_pv;5*ick zZ>Qf`3MQy+C@T*uHu~Hnp*hBViU-bUlTcyiH!SHCh!v)*Iw|p<5_Y(-f30%y3|!;a z8axy3eti`ds#8@7BE5i-rKGliSw)~05XMyF{rW!AS#6NW?V^F15si%9%6Y?)@`?Ev zDZR?DQkyZ88ndQ%Uqnc|?=B-cZS#Y7SeV{0$X44>v0^OOTR{TidpA%GZvMyIuZJ`> zw-Fz%Cu|hTkUxLkG>dNu!f9{&013Z3*)}_02q#RcDH3zwk&3&lm=fdpVn0*n{0u<2 zQwBC%9~QAruOc~rwQ=dXJu{NY9kc^wR>}uSgz;VB1_tCw5)?#V*LP#jD8{=#+ljDm zOb@4fG!w>uk(}09==cRC7ksqjyIME+txGS}OH;2BhUe{kPB1^2XIsDD(t+?=y9b)KtiEP@hUV&Ng?4104+^ML&IE17QelDi_-PO>(>$x%CBA)OHu$_m~4Ge zh1rzJ-7etK&8lZKQ|(iplkBOdsJ!sjw4H)sZxh=X0Pz%S1oy#4GJN28?Q)Ok0_o~- z20XQvDot~IzgD}|-p)7~G@7k_6G0wH!!m3ym76@G)I5e&y=9`Hw?9%#E#OV?3li)#bi7R%X2rZV6wdLqvVj9vS;Lp8VTcWDnV)d=&W&P6ra=KF-Gk!==~G z-!>>iV@-1XO&2cYt9put-GN)O!!u06nf_xhI{7LEt0YevV6V?5*g&xi^g|yrEA3p5V zUa7R^I7c~QXClR(=?SK8MC=jNY~59UeHzk%uO0T{S-AR$PWW zOfO2ebsH4xGamhE6Ju-a$(_E2Bnokfv=8CHbkt+846pQ(nIC2t7%$Ni7FsEQ;AiYxD6P(X|L$m)jz; zN-zn`FY9&!^B~I9IV$}xPGtZLMTe-7yKyuMSv@DK7dIZ0Rq-=aO@ohAv{-t@ZDhv} zKGT1ag&rDejhq4LDF@V(ZIL6~+P$cub|sIx!=o3Vz=O3L6@ro7_#ti7_&wZNnnm{P zj=o)KGEyue(3;Bz_X9-jN7zSUok51U>q3QK+m^c5iYa8lKNl!}?pm6Y!&2AdaC zJtplR;p;pfmT9fG*4<}4dKK*W0j-|g%j5a3X8&ShEfb>~N`_Rt(o6ep)Aodwo>$B` ze)Eg6(3;)JYK3`*q9dR~@#E|UhSQVxZnRX3ZB(e2>Izvg>k%l(TD@n(vlHkh)?TAi zzQc3%E^(U5g(WVl0gmN&EepB&&dnXzz}bxm2Vb`cK8UmTdzM2R<;9K+i(&NZRGbM- z-J%Hh>d;p=Q3uN$=f7yS2%9Axt}2^MSYO-^K9%8F>+S;8*cmU!em3j~C*g4VT4L*3#)*z;T95mFN9yKBaGU zF@##`LW%Jh>vwUXS2c^u4*`fl#a!8>q!_2LIGPUMpjV6|cb?l9yaG&Wnjy=dJ174d zG*RcI)I+>IQ6N=m8*{=|5Vz^SnxlaYs{-0#Pev)0v+NdrBhHoGCyvX*rzQ2eVHJ%{9eMRg7kITC~l!9=7E$qO2NJC)l z(b?o-!0!IUS9No_IeSKQSRH)jpQNWW7ne@zq;afg**b9IQ|uT&VM!*I6hEQm5|kk?Zxt(%lKwsbf{~<)cOf+eP-} zPVQHU^?a+?o@m3YMg0qCQ=VBG%V(0f0}gM0&Ym6B=L^vUCwwjKr|;;O-NnoPSsmqvYzZZ z9Uz(lJuPrrhiy$k^5aft_6@2Z?}r_=%Tp_8wdc7{DXlOG@SiX6Qathz_OS|zNr8zG z{s=x&tk41;@*b4TS;%Cf_f=YB+8mNs#QS8Dx(=8doEr4oCPhS;?aiPIfgipDvpir3 zLMxQ?Ie5gZI96C)(8f6zDZmhU!TI$I%i$3|j{KDHgDR29ig>iL<@Q+%oTt~S<^4P3 z^`_(dD;n%HyKTa1Cm#{>kQoIn2_*( zP1$~r2WTpQ1Qo%I`Z~{{R6K%}h;eVU3ys=XY4R=ur}7EB2nfWhdCmJCvG%gvDbO4o zFLh-PTij&Y9G*&Oj$_>>ZX~`s9%wU2j$(+5*QYHrADN!Lw(Y_#rT0ZaCm_7QJ-R$M z1+&dOVCa7&)7&zkwXj8vgVuVi8kGjzjUPHsdL)ko}$uZbjWp3r+)JE zdn1a1UgO^M;z_gZfRo$aEM`>jZ5&u+5tF~>Ec5nxg5MCFUN!C%#p=~ua;DUyc!kd= zHzqXrzaUm3ZW(VXVZA8D`;T)e;wLcDehTSdZ4C@h7p#|{K1{GTBq!cRKI@0{H_iu% zRos=ZB$MEoiDb>!62oUu$LV@z^_EP3GY*?BX`owJa?Dp*5nQqK85}%8#F0>25|gVa ziRG?*c4nTtdA$Qcd3RrI2K4|{;yw4BxLX)|d(y_%j>g{6@npnd8Hs{RNJG-aq6Fj@hKOaB0)4Q{?XUXJN?do7q2H@~WjkF)L5_DUh*Pg8y zj~L#^e0)55Rw2LkF;njJvNAK_=mPOU%*4fQuJT=jMn%ma>4VPrnUl(xK0h|P<|Wc& z`(qmD!c*7%Zwt_GyC)I?D7Wg$t4&3j`)UgAGg%O~T%2u=7*@pjxx!og!^&8v^gq>m z#q^~}bg)euU0U%I5@Y{9n)hphKrfAB8>7cJNB)9>j_6pa{A%EVO4%-4q)3zhw7MP| zn!Wt47|ykN?t$mO&_jLYk=ke2Z&qEA-T=NwIK0iiIg}=*^U_0Hv0Wjv$BSv;@jE_p z&W4!&;@69U)7f^Iqx_x-4fU494+ZgTmSg8RDyck&_jiE@?&IUzb5+JK?BP$WLRx|m z(QjoFCM2U3ULA!-GieP$*RS=u^{yn8rE}QHON3kq%ZWeQO8Cxw!2&;LXI1gw-_4MHxEZ_Ke zJkIqE^T>@xrtWA4A>&rA#Ml3xcyj|yn9=)w%|Zn{me=iaQud|O3P(!?{5C%aZFqsc zj#K@{0;MAkO=aYXC-v6MMp&0ZFlwaRaK_O1yLAUFvPajSkpmU@pXHG^_xGRqN3B)=dTA`1(PR*H3f z7s_#?d%-W=+w+Sy#=j2uFL|TJ0zCsbdRFu5`8afVU;Fb7KR&w4!%WoIGg2~hF{IV?f~fTF<_rfH zn18-3haoGGogWl8n&~f2#_w*D&8XU2CS40ZIpl^B%bNE@GhacveZ!I;UKFqE&q00B zh;3cYcdDV?*NdMQD@f@-g$!>M3Pqv76fN5?Cb_i=N3ss;I(U`^W~6zIS|7BXpT3HO=|&U3Zfgy++;r?y?Gpa~X%g?(bPE1TFn-5x z$(JmKlu2x$!s|Y)+%2)1V}wPq&Sq`uLX0!|3+cLk4(hAw&V^bf?PdP+?V_PpgW?yn zx*kQqP}-*0@D<-8oG_m-GJeLxx2M)^5&P;DVg;yN6NU4Vgu|{1-gVWK=%D|e*RK84 zOTaZ|NK~?8b2v8hlH23b2NJ$OTXuSbzcz$IRbN2BtM7$NCef}==}=Y>Isv16(riVe zPqp=NW@>Qy8!1yQ8($Afn})jaiMoNUXc6@HrL3rf&T3~s>f7|{=mYjqj}XW+QEb5W zUGjt_Snlc>Ydw$5AvoD4`DPUlMk8^e`_TOS-u;!j%xVNEUdw-xT&U=qX$fq51PI(L zMNqLwhoNTki>_*=COJ+X-(Cjs+|s? zV<)*Ms4szE{?NQ|-(PIZad%X@9LwUVw&2LT3-QW2?XdH%mHKA4;)wmEiEiF3;ojl& zsru+<7C|Yy`%EUMv#Rr_4`Q>)9QI1(_T`e^Zq?aW?0q?~rsU23EY)vMrQsj^p!?Fa z_08Ac9w;BTo&L~XKL&kzx!;(GA?ru5isnq0WJu&~L#m9?&1V{f%(q|Ei8{^S_;4cf znU75C)-(NcnOmXe8Kx89ncNZ@e*5!}BC!o#H@~tRzTUWXM^ftmLZGGAWk2lh?oOl1 zqKggM>v(m@l>2s=%{g(8h~45#f*^?BoKwbAHAe@f0OA)C|E7`86)rQ<-NOfG%}Y+6 z=5b^^=#f@@7scEd+FHY;(HG}u6rLp#MkPukMSPw2;A}mSGi5$=w{p5O6Wjj5`*u)L z=H{g{dqqjKlLKljM^T}Sw!P;`$KbkdYrrej(sMZ=$7~FV!#}4xY~Zk%Y`^_uqWWi< z$FWY6a>>B3%jU8m7Z+jNQ;rAE-7n8R zpNzCeKQoWZctc8~C_XZMz-~D|1pRFP&7IY;@hi8Csk=sq%6GfA_t^pW1Wt1Yyy(d>Z**lqSe9AoS41gYKTP{s!rt)qOs7SU@_Fo-aE<}TQ_ z+4}n=%AXnacnj_E;9ffHe0Qc&sga|TT9oesuCJs#wzcg*m;DUg*`(vu2ftjou@ati z@VPyXWgt^;GZ%alIh_ZkwxGdB~~ma%(T?F?fOR z+7Tk&8&3u#E57M_q!q~eP&eCLOz%rDEnSujtLB7nFk-Y`Y+u$r@KM6?BCTwmyP_g1 z36CDfRNQKl)~!WPJU$l19OARV53_3%fU4|?0;lAR7#Q&z+IxFkS&smkfk)k261F!V zu39Jf!{WX{nT0@TBr@-9?Eny<+O`ZkF!BX<&mo&Q_&RbNujS{l#(70C?TD_e=r<^j z;n*XF1C`F{eKQWm+n;-Gi`-oeS3T|a6npTDxatHajGo`-GJ?&Qhy8Up9hGxjA8G6A zRIA=)=LpdX@T=Gd^wGrRz-1U)5{fk9sj64eWgpO)o%KEY>bD z?xe-8EZIK)XL)}ZHg6gVFM(RTxh>!ck^CI82(5a5-Ans($rk+9`&w>&349_UjBDM~ z_C)gD&25{t(up~5N~0nuls(REK??+CJXcOe;U2fxQT=vN8iic%U3u`_Rmo&EolxbS z+hR2}?oU3}D}7gAVR}sx3qJ0nK-9u^(nRxZ#n!eBwN!1dpw6BkL0{t z;UJ8dPx!P8X4E~pX)-eud;4@dm(khJ>zj~2o=i`nj7$TYdTL0X+)5cG53GSrZEthv5+0mSJ z7(U^AfW%HG?#10hOR)ch=N8(6C=KWl`6olm;vBJx8%_ zUZGW3aE1VgBx&7F43?N~TMCVQyqhs99qw)2gYNK8Jh6wtmFF1o zCTX_EYh6MZBG@m5{7%kW+#UGRHe?zZImy5tkm(3234>x$m@V)XDJnPJJp;m8Ox*|= zm4brqGYZ3x)>60GEe0PH`*ERy^>Y9` z*4V7(w%M0ijLq)FogBrax)*4HF%vnQzpV9NsBi16WEW4r+_XveFuEwO&^pu+DZgm6 zI+QPn3#&GR)9EOa(t5m=Y-up9+$6P5V@?w_+RliF5j=op0khFeD0#0DitrLH#T*T} zy0D`Wu|rR4nWV3=rEA#=UGdcHtNoD*<`h6n+`qJ{zzRN13={im?_Sn;!(8dL7MIgm zj0%|NgVQG#3`i}^D>RWqir6grJ9Mzpb!PafHhj9s0%O{Bp-5$d=U^WgF3Y4+5@fU5 zDbB3ho-$&{^vNUQWpa<>uG%yY6{%|fb`1XmEY>Y>vD0T{Hkh$SB2*cigMPSqdLo)CCZLmR0XY1rA-K9IXLpVP8k4Yzf{p-V!jv z5G)}B`|o+eb1QnLF?8;{z_}aB?yH_&d00iO1UwEJB%Zf8bt6#3Adsj}1L?NK(~Att6Y;X|D=yTf^) znZs7~ey%d&C|7wP`QF9RhDOrSWffWl7uTWPBJfI>oHUXi4^f=(QBe6e`vZ zb>0WJL~g^(EufBg^QH08+aFeu=P{H9QC0RAg{c^9zk4A_$f4L)u>!7{ zD~z_Z4*Sn!Fy?EO9r1|~#oJg*=uxdou*J`FX`ZCg(M&;`IaoWHytNfyuHgzN>pO(4 zX@;D<_@)ym)YaA1s^;zA&bz{&8l`0yuwnZa>%={kUU&yzr)+r2=ZPt~b#revD>|C` ziqD#d)kI}}?}^TK15)o7&KKs>MWVOzL(=rCZj$m2yfRA)n!i*L3quM0wBXRz+PSqr zd3-wAC)U}+CmwU!K~cGzQ88bZDT2N>b&ve`(E=XzT+%%xGK zn0}tX?PDOGhhh(b)j@5VO2y-swAs3cE)jU`AsGO`p~;KeD%`hGm!g?e1*GC%mM9f; zH!mR$S}@ev!4slW@s0jxO*}o{yb$h2=Mit%Jj2HBkpHD>V(vO^ATUdy?BVclygaix zmazRC)t2e$4U1!!xix|Hra8?V>5$w9W9P;zA8dK{1RBGf{Vm9==oRRnDU7;0XQm7Z zT?|G?_T(9N=(~EXBDn^BMKO*rYDqi&Ag(X|@LIG$cKp2T@Z3W_<7BjdF*r!yJV_{L z$=CQY`xV>3lhlWA_;udND1l^ZsuW8mi|MIafm$v|{a1Kn zB;Awb|bq>O1vQE*{yrt;L57CL6 zgB>|trl4qXH`*-uGmD1A)8`%D{nd8`l!#S~Kv@{MZ+a!(I@qYs)&P2^%R+n3VK%hV zZZT=KbMHBS(bSDxgWxxcrR~q3*^F=J58b75g&39VmJA$;uLTG-o`FXpJ!OS${L?)HM>T$) zqjTVK@-&(~I0Dp)#ik;`A51&snam=UxAfSu;8B#fi|K{0{Zw!?(`NrJ_sI_2)_n9+ z^h0B?meS+=FtVX5ewWLby`T&vr@IQI#gQ!f;!E$`^xj~R0=Wv~J)?DCFS^H9_^SN` z>of%eP7GJgOz>t)}5(?XAg#PPu0nOSS@KMnkmP@0}tf>Frxb zI{lTGY@H4ciqD+0QF_CJm#M`g9t*#?taF7WA!;3ylX%=kt=n44Xhp0vuRnp)z*H>r z%eBpmdl1(Ma%cI&@ZK#Uv`}c#7}>zy!Pcqi`Pm{xTNoMBl>Sga>vgNY zp1oQo7b7NpH24y+Oc{SXEbUzzoIn%7KkEm~PS-*)(%+PiCtXMN{4326qrh9^Kr+yJ>+T77&3Q<`E&Kj%E9&bJYB_U)i|fFA zZwJ2Z{iwQP&m(P(p0uq-CVjZD`$MwvL0(if+m&u`HzMu=6`w&~1&BCb81!d8X%Wr- zYMT`nD8~{-5utcfFG3(>`gGJ~d#2JN1uzD=TzbE2rpJ27uiRO+3+CQFZCF^?7qHW^ zjM>6A!kS7HF#YWu{Y=;(Z|GoOD#06f&%9-6(}B9MPoH;*^{~P|@4Oo72*LA5OzaWG z@#xo#msyWQjh6SoBA6^myOS8=p`*9Mrjw4>dA_^HTcNO5pERu}`*;Y_07_$Nd`QZQ z7W)KYB%u56EXnUa-+~P?BfimkZ5m2#i}n_@^HSPqsE!tx%K2964!^+;M{SmRBZy?{ z(p{|9T-F$u!)3>3nns}}qWdo&=C{z{7nu{r@b0WOdN*2xRhoBlbdugjgh)NNH$`>+ z=;7`%+_@<9JdXD@t-=RSEE0JlUv&Jp&i_Tx|Cs*2;!yAGCkyhXWnv-f4@&aB_g6A% zR0$vu(Vf@B`auLtjQTxsktGJFX}z&5^0QUeLgP==Msh|BH+o}BUNqJYTsG?OKN$fEC_{%^GUnu|1U6qf5a_iZAPR`>Q28D+;Ey@4pje8=Q5 zYm%?jzw!6C-~QK4Y0A0v+`di$!k9q+BLVQIAN$Xlh0BnTcX8ZNt=#^>U;nP)=x*Ga zPTX=>)cb$4{(ETu_$vywQP>8z*Yc0#(Qg6&f41^3@_Q-V0pkC+mFU#yzcBhQ-u(V4 z4g-*t6P~|8O!j+;;&;*c_ctYoBY8Vy)o604{<8xI7y$>SILBN#``?88vB8D6$Y^EU zW4p~=KVtd+eP97$^v8pwLykxt{=Z$A-%t8~-=BpmkOIlEAdr6W&kjtkhU5(mXt>G2 z_s?zwM6OCCyHrH^3$Jqf0!hv--7xHATR*t#e3H}AZwGm~vgrv_@?gzjS&&11iF(0j`em7LXb#GJcOy1VlWXixr+DATQlv^zh!CRIUwvLqJg1*(j8v5cZhi%61K)I%{VqovqEuE z`#y+=#8spS`G;m3+(bReSd+SW!9|1I8xmjf);_gQ67G(ynEU_H_LX5#Zr|I2D2gB; z2+{~54bnA~v~+h!ch}H}l2S@{C>_#L14?&ycjv&61MkB*$Me&3{9o7k@_O-MRA%Pc zvDRMeUiZ4Un(uSjc$tRxi5QdC!(IUox3iu}d@!A2F}@Nc7YkK(f-!TInq(G3E?*+m znJXMFcWkqbyis5=?#a?^gNv?ydkzm1LBY)^$rKar@Zmw;B{W;kx$2(7I)ko#Zh3Gu zILDrUw$_)b*bBOY=5$@4Bje?zj%ri{v4gJCpBwaQC0w7p1e*Hm!1hD^-)>V`sPHE~ z1B6&OhOK5Qm&y=#Zf0}gS+d8{_JjlLRyY?BHjYc85e#Pnm%V(f4=8ngj_8?ivPMUv zQXUAQYQF?1-=sWZxBuLBK7KdnjUVX%+V7l8;44JfoA#pB8_F6!P(wOj%*S_>crRBK ztrHwsn_PP(EEEu0PGGtWKiT0Ic$}{^q+@lw7Q7P1Ztv)w&g6a4%ld(r^`iDWU#;`r z=T7DPp(DJqHy(Q=TyF34RPseaV(H4j8^@cY?874kIz3-{2(AmJ(%>?Odlc8Gc--GJ zLdj7wzBf1l+3zENHb2zTvbDJfIP!(uXo1-$VsHNRx57@qnrEK#+#376f5D*h1u82F za8c=v?;_qIFv9&p#p0)hYSoh&y($RE`}k~Tf#A zfyfr=?d{d<;X-%D&DpZ>N=HAi_Jy-mj!C$+Ql8;`rVsVVo-;M?z*ts9&@z+0kC)YU zSiZUsKIvh1W3$-q-+uX2;?hPhn$e;kDBis@7 zdb$CE#JO>zGlw7*KzD`kOANL4B&$-TC0@0et2F&6(yCH{8m8OndStqcbvKTpGEiab zNC9v&&&W<>HVUs3Yi*?|RsGO20Nd{e2aRAW7&jqfhUtV1`rgEu36C>cN;a7z|4BuLi z@0oMC8d#Lm(MdUKsGO5i;pacxx6RhnFN9TG7hvsOSNK<6)MjXfZYgE`p${Nw5sN#orun!o&zVx)VC3I*cblqR+0x>_3*(Tp8-r zd!42cy<)$navpZZy`w=;Qf%3UX3%0kR5|n+HVkX>enS~SCSt!iS5-CAy3MoJ2hL;A zh19X8r5;z7%KKiP1$q~J2D${y5bV@d%Z%B~<%)}@QDL;jZE$|3;874S_%P}mozU`0 zZZASsbiRsWnXJVilut6Y@WpoLR?RhhjIfEjHCN&Dr$;Rz3Xx>*awNxg^We!mE*s+q zSV~_6yp&gi@&24&R`e~4&57F7mZzJ;MG{Q`43 z*1_YmXB{b23;}@5j7f3>&G~otJb;{_&Dl?Hbibj6|9IVKphg6mHA#oaq~9#(L?MZt zZKF|@G3$9TRJuZ|%w^(5bV3kH$TTeHkM^fG5KzKw96pd=ky+yYeJt>jt}$~o0G&t* z#I2pmZZ(_7&ZXC~^>s^eM}rMj(Akrym}6(ngwV-*b(_l*E4GVgvV8zvP3X$0)i2`h zJz3!%z94S&D)v)kQ^1oq+vj(y?N`(AhP=jb&CYffeepcc-52!pw5!t3;{m5vcs^6> zqMvYHTydBx7Cy2^)@xL3-gn_YhQA2CI-jzg-e9?YkreB->68v=v=a6A+V(xIUTnIlV*P{H5i+oMhh`{3Bp;4bJ6=uqD{5p>% z)H>FPWH}Hf+apard0VX1foB2+0JTS#%082oE@3$iRtOJYDCa5!WyvJwG8_RqO*D*i zEO`QkDg53=bF9mmA|aw7xV;=m*`R4&R4Xm3rUB8g-M*2!rX@E(cgxf+4md_N&;~aN za2S*#P;k0skD0Bl8ZZ&Gq4N;SW)|u76EJi& zv;bvjjK^gUKP-{QMJBOrDW2B#?t^$8koVIv72UII6Q{j_M9w&?lv9l}lZEdTtX7@E zK*p2;#;Tnn;IOL9*&C=QIB1ZCDey4$T&t9P^5?Vb=d($fJ||oLYs<*LBpvpJQKkEZ z{Ih8ESNP|Hu`d<*eSUvF-K^(yeIU~!6LkD7yQD!T&2pkt9w3;YUUZG>M4Aj43heO2E z2dyhMObq`bOWi~hNV@YY`Km{|@C#Cxeq{AGmfMJEKy#b**I*^;deo_R&F7ov0z9f- zLzTRM=AK`k=P^Yzl}Z$|ai=(w(-tuScOW9cALrs~)qK+hdh^z65x&O^trB?IHcJ*w%CRazXjhF_FEj<1 z^T&6@J+1HlA7e%j1;`Yos9676habcFD_OGD_5}h_xmc3g{0AP?S*ZNp3uM|550U5& z)M6toh?aVFt`3;!D5kHR}-RT|CLdG_MN z=?RVOu2u|De6(<;sWF8x37}KKvnQiwD6Tmc1 zQ2ANGUF;45I!y?3JIgZL*jjgj;O_3O%G7h|gebSmBXW5E1`oI6>AjuDnNnxbVkMfA zmTI!^-^_inW;5!H`=)^vOY3kml8^JC&hxH3-FE53;$q!~EFd%)FK}rI#_ss2sWsY@ zSVh-K=HSb6sToV3xZ^a`6I-me6@X51Cj`(lvq{Vj!DUpaF8$mSQUXXr|1sk}M8Njn znNLIcUHUD|=F3;_HjYZRMgNcxByQ4|GKO32apT}7*P-)LJ=+Tlf-O9BEz&=<074#* zcs*I2xj1o@k~*$4gW}x4aqmWYlWQ}i(zJEz{xFE}a1ONXIkA+Yp0T#@RN-m?cOX3r zG;!txZ%$G1Vk8M$KMO=NSg{31vX^x<>k%=J|n-KEkYY)4ZlA~|HKCDfv< z9{es<*SM)kAzLPqIN^nj1*At1%msy?eOe7( zO>$Ad1t3k8`Bt2N1W^etu3ECd-NI(uj7w+%_$nAs5tU~a_gkH=RV94d8vX0%`HG+RghDH!6-)1oTE`t7pZLVW!+ff5O~{~JG_`@~KZcXQnwz`ivV#_|c*_k4_}51Zr~K`! zOTb<1d2>1O)5AL8J6QDc~0C$hJ>@~}Tl;LxEP*I3S zG-iNu5FZ{TX5$o2VT6~j`8bqhhdr{D~KE(NeLof^9oyx7L|=xdCf#z6EJ&>>(OXJO4ExeBx5bEohvLZ zLfJzv6FCqZSGUzQ8eV6<&I4Bu$#%rOw3YpL8QPsgPa#rIqI4C(Tu9C1+1=#c!k}F-vrIB7Rt@w z3AXpkq2;^r!UD--9I-*g-u)2^_AJB2+g+XqLZAlOc;hk>J}{79EJ1Df_%xSx z1%t(STykSDD;-Z?+sW$`$ZkxA;`okFFm^iIw5psbJmo(t1j2+2{yOsVZ$No-7woYg z#ok|_>NnK$ySv2QF<};~3$H<0iHTDtPP@C-)BIoI?^-Gx=51O^TZZe@Ka%=c6M~io z(mqm3r_HB8O$0?gBm;;^`_=bFUQCJcrb|{2k2N^5(q z3zrzUdyG~eZ;fdp1yKgc9~jY}2VoAe##^Y(O)2Fn4Aya#-LP+P*1ly9iiHx20+Jn= zV+2zfI=XsSd+JG?cI$g*-h(H}-0O+Cmp4i9O&nBiHkeb|Z|JJxyQ9g`&rzuPD7Co0 z+4n5ED^?DNR&jM|it&@vYtO!yZhWSHfkR)OW9(##kJ4C1Cv$p>ruc;sz`o1!`^*#ZBcXZ`EErNzc#-sPM4q8$36hkvk5_OFuU`d=xYN(_TjyXaGM z?b&Z*A;TwzZLL7B2_mBDrStS8~r&h&H`B^HSx%1+M&&@s{u~t||O5yX&lm6@l z+vakEpNG0OxXb$=L6+&WXioM|>*j0fS=^2{D^6A6(0d>W_-L~Mzl5@>Z&u|%a2Z0Weas#-_9`?LHe6e7V7SSfD0Y?5Vf z<9j{2b8T-FX#ea@p1Q%fRluwNHYy*VY9GlvlWjY$1cnjG2uHrINK-v?kH-Jo93r?Q zGO###*ou}W3Kj6e!(93QMIQLEJpYK;1Z?kUQT8*uSxuK9v>Xe!MVBTSNB(d7A|uR4 zQksZla;jCaSG5NQ#*Y6WLS7AXH|@K9A@aVlEu3V;7eIZo4%>g;DWo7I!n2bc@(Yx3 z3zt=cw*gMBgiY~#2)#uA`c{lc#8h1Euyz)g{SvU@#oF_SqXs=EeafiU@F|yd;Vll! z!H0FG-#|1G{JN*9NMZN>%7g$jz%aW5)R=@2Y;LbF1%yYD3VPTdHO2dhUcSP;WV9HY ztA|!avDE73&?i)!>FivrmD@e#8ymBk?GHF1Q6gE52WWgB18Qr*B`wZ!aJ6kcAog!c z))(fG{{H;pJwqo*SX-D!UD304{E4w#Mdn-$+RPAZS&Z~I$6B4;K;@f^s5)vPujS}W5 zQ3)L^wp5IpH1?M`E{$4+97bR}Zj8qquJoqwWbjw1mFN!?%(cD!MEe7d|9ReTAwI-? zfrf7DIwoHxRB%Gfw#QTSS9R&er8KhLxna?M{UWXDf?ug^wj?be@P%>hTa#G1$FbYy zfHxA%Hp9~N`D%2|%N#lJYZi@K76}g2#i8)^C zS+yVqXVm>H7M?1%D10AnrRZxUmw{W8(Sh3n=zFT)HZ!l+VN}ftfvDfbm3RvEhc5lj zsDW7hmeMOb=;m?a(Sp$Y(GiH5Pw>*!oZqumax4`>2>zXkVc;PZctbJqdQsQ@;W9}P z?{Mby`WiyZJ;(U+&XkRy`mvL%8xt4Dr{6Z&>OD`wR00RKK^e(IU8=>V)1Ts5Ed^Xg zuC`XulxIOu#ng1itzPZIui&McD1KQP==0y(Xr#_9#Wt~X&9_*;!i8in1^5%{1!lE2 zv5!{rUZ5E1x4f5dvhb3q$oEb!I-d+pbUc~$tO!N@0Z;rq&$dno?@e7Ic|d!>Eh()% ziIXG4h1wy^hA(8GISt)FRzL8mLs3~idyo63Flv4g^rWK9y^omN?hu=Z-|J0EgR9^~ zsWAnA1D#TantZKimkfh;jg({zL-qq)#=&C(4l0pD>?i<1?TjbqS?P<71weSR#7U3( z^*!0Hm_jAbW>}!~#^C4AK-Eq$baRAC{zcZ-GBtIT_0&zRDXmMjs2^zZrjm^5RwDK0 z%hS%k3y=*bKoD?CB8QD>&!khi#R+kZGrVS0b#pkE${l8@1h8zFl#NlK+FyPk8G!xH ze?r`wYslukK+i3+8Tdr3UFRr5u+Te{tqZXa_3#|bb<@O4vG$zvZ7R3e6Rd&G@w#2W z=s!y&e<(dO%II8?4A~eln3x>NxU4vJcWhcEx@kNFx@RiDx_jlhxq4S1rE)pG5ZG&N zIidHPx;2L+PH_YrHXlt64b7+GS;FdUrpEwkui4rz+;MG}7qDZHP`&<+q;fG?>AYuq#PENlbmG zzS?Vuz2#MQD-jxuO z`*&`uxhNg#a!7&mGn8VT20?#jqbHzIlwg^NdZ)xQnGJqQbu-5t)JD0C>6iGxr1u8+?uaioa-`o zRc?9qO8t{gI3QX^t5TGO;O9RWAqdZvFql$Qn8niN^BO9ucU5b2+?^iiP|U6fxaEb5 zP9Sw@m9#mfvpU}pkmDI3a|B$KA;hw40p#Yau-Jp)vWxKH%APTQx4)rSz-4e|-$EAU zqU!D7(Z;uuhAFg!^mkSel$X+z^`ALm_&PsqM<=`rc`fZQ8{fsH2C?rEJwLc`*6
(o9aUOiQHU(b+it6W~#wT|6`*PgI$j&(S0FPjPRz}8656OJ_l zv$q*F^WxG|I35yl$%qNh$0>#ts9WBhJS$tCMAIyHz9g~mg#o?C@_h*8bVWfkGJ%ap z2~LR{*}XevbKl5mzUqF`z?Gv3tykQ$bx)>#^29PgeM}&} zGlnkDNHUg+E~FfD6NYHhm)ej<4ENVWB3DKxYqCg0Ct(?|Cb0J2eZ!AN08eb0J3U9% zwVAGwCT~@{o>KFc5?j*^Ov{(56jkhUGjVlV_FRgboN0DDLMP@cb>GPD(@Ep=6TO4i zo(x)&6^hXIzFt8v?mkO&J#tf{@ahYHVsVx-lKP;bSS;|Q!sAxcXtk}}EU3NL>e%x@ z3d5VR#N(Fc9AzILlis)*rW6OCPnu2BS=%Cue(JZ(4aiqU*rU`T?-Tl1!9Z&R*1CF# z9P&R`rik7LbBDp+`vG>l7?7uHL?nr2Lhqe`s-?HYJG++6mcU4rQpycEWM$^UAlxV# z^*62W@dZ1YS>GlR^Lo*Vev{1vddkeFhK#!hMQygSlTtxW+(aPL1f88K-=Me*gN=fK_UJ5Jg9LsTH47*pDok_Qm0Ml)?S0I~4A+PSP}@}{cs?U_ZJhm8 z&(>J^HGn@^ol8wtUHgnK$J$}#DCIuF8RNG8F3ePafi{6wVLBUSK9VEKCCm?UGX!p2 zV0GK(tnpBnk~L+2rM>fvdHYxhcWC<-1j09*t%^>g^nxGk>S{W)C5t&mFMWpa7GiDgVdLJ*77bGE zn1jICL!(!6F;W{Xj&qFbf#WB8EwmLz39iq+6gKqxWcH@b3Z*b$3H2(0Qs3fIif?6WX z6I!WV4!*E1dZgHSE!L%4n90qSXFfH0dL*w)Q`zX z5;wElOu>RLAUx$$^#eo`Z+A*B8}HOB%Hyltj}=Ab%val88(lOj&6c;-%Og)2b?&@1 zjYlrLefh5(L`w&NEVY+$po-U__`|BIWnN99KqtTJq-%U4P-y(K|AJ z9aBQc=yHP2voc9km`)cZ4}czqRDh2`3bEYJXc7yvjgCnPsX%B8Y^=E1yi!g6sKcWM zYZY)#qu!{<=Q2;kBtAYLs04D3yb+5E&~<>{lE^yB9X~Fgf$P>6M!V0ny$QpZxW*i#%{W)*WGjH!NVx0`tYKmFZ5_G~yK$cJNroVbg zFADG=PjDU7jIluqK1t(Qk2AgJaE*d}m0%f`|L|Nw%1q%-%10W<()Z+h1mUBj$e(!1 z%>;Fouu~g8Pz;RTmyKnwV5bLq9^OR_2D1?aikVDF)u<(vZwFSrIb%k6}qDW6h* zq>_J$4~N=XHG{$vybsOFZ>esPAd1JbqoEOx&w035C0@jFgER7$;LAC;8KxmkM=uak zyX5S8M-|SGAgotoOrv>96ZSLQ2L@u{e{$&K7b16nzeFXL%YeS@FRn=b67&PCKFe7g z&d#_#ApEv?_^h+}o05h1$queXR#)?u^>zOO!3|+5@%iHl>(PEWV@Qe1^;6}j9!4zX zLM0i1$nTMI$MSvASk(jTl-m$MB;v*8_ISN4!8?AlNz3!A?5j!B*Au!+ZdsoT4CBk{ zxep;F$x>%LO0>)0D*hwvLNz zLq@NnULbhRWJFH0)*Ut>@q1iUq)Rn?LkFjFTGjMc(i33mCRQ|$B|eXit)MB~?q68S z3+w9`-BV;@qP-Iy6Dx7;PSLe&aW&0V5um@!{u^LLJ{x&Sjx@sh#{t(Dv^EU}6E~)&J9XcZEL(DBw zs@?Y>_&vP<_sW>fCZiJU=)S8pF;WR$JlFb=3D}dDCJq%0t4Ix!*%kI9mY(2?xzSX z@}*u^He1=5;o)*Oa8{Y7z_jlYaahgdO3xJXp8@6SJZVo1<;R=M2TLs@qO4OW!4Qw* zR~0asSdEoJqq>#?xrO+_EEy4^>#<3Ikigj`ko;OCGmc= z1ixY|U@+&%Aj9~p5ltyE;4;hJs}Dxjq*fnnuFR8r_|M1; z;{_l{U_Ebp6-pCLBOjjPS@B?DW6Ar`RvwhQhgB(>{-Qb6RG|O- zejdT19;ok@UW#j>izm1JQJmM1_06o3-!YfS7?WIkrw+>`DJfkoKO(w zHd1@lx#khTV+rzJJh|!K&QpPj+P90IW3HVu>b{%}-{^WW!O>6?!kBxM>&3gZ^|X^6 zNLJD<)#2hGUjehBw|k~!;D>)Vfv?u$^0L0Da`R)>|dzy0=)N!>qRShDZq6wcuoC)n9nOix+&#_phxGbiMw%ec3+CTd1<{4 z7e5u5-}W>I@(fYD>jVJAbgp_sgNN9 z5ELi+{@(0bYq%(VKLnuMJHY^kILCWY)yJvKOf9kIbz$7swlzQp!@-qz_myIyI^SOL z9VK<7Wy#>Z z-k2NELwDis+yJwsf(B>_$W8ZXPrGt%xW&x+KTQ)1AD^N7`>gxt&5F8HubAiCkEfh> zKZ_ol=QNaHQM?iuYo6;*DhO2?qv3^cjH?RH_agzg1+gg>Ea7A-Yw?Ne7R znoX0!vyw&k-u0Mg>bY3h5x$QVJXfKqp{#t+FV@68qRir%roFn7U+AF7^P9f6d;S7P zdgX#xlvq?cb#eC|Q4aLFO$0|b>ptIKcZ>(5O%#m%juW0so10JPAQWoXYk44i5ZrOt zpA(`-AxitHve9UUGpdZx^89K)>&Lg07-K@r+bn-BnyYqx`ypg@aMxrB_l=S9&)(j zVctu_uoO;dv9Lrh#$%@>+33#UK9OtM)sf#I%Wrc5j^OJ~0_S9CPK;`?jwbJ|aPeZ* zs!EkMi}1afs?$IU-ei3MyXEw!%40m@9zNTC4A01?djOFPNT~`sy%JgDPbJAMf-cTI z4_Wb{U7Y7mmo`U0m}}7^_gAmbO-%|z;tq_6vp{tIuvFM9UK%}4gU5)2iPr-%&80Mw zRhWhM=?I}?Yw_Elw)?{z=X2C+D=u)WmS?00L=HjG7MdD5wLZF2i(~b5{>m?)+%bA% zK_fquP^o>WWh>S?f$72q`IxX|hVu~em_A8YyPMl?Zkzt;7w{CrjbP1A+ogn6tQ5HK zIn?~)Qz5tLHO!UIuVVVM;?}-f&$wfT+jHnX@LI^AFxPOm9;-7m@EUj&9s?X!jCN@$ zLnq0#1Bagxf^HD=KP}Xti#Ax(KR318%1;W-}XukXxx$O}@ZSZ5SqG zbE5<3Sh~&z#}xp&v-$K+%Hzhap^t7So7Sg;!3|RQT;`}fDOjfzxv@`@53~bdDi=ae z|5xV_SjL{$%a&>h!C}3ur|jy{1)#N;*TnaedV+N4>h6=exNsbt&_@$wBaS&@G3@`KNUl-ay1@n{hTJqY7z zqNtyiOZ^ZIO7#&s^mb`4XD7Kj{6{6*~zr?P%fFOUCSfLn|4bLCxpupNb zUfTK?_8}y9eQ(A)=a@y|(hGHWDs(W=Y5X`SyM~0#n%UF!T98)8%tZYj-0MccPk66L zJI%C2n#Hj384wwQo72}1utcWs&$s^+%i#d%zGj5*E}|7SY(8BhBDv4;G*Dit!J6n* z6jk~{Gx%*4Dmyd>P@UNjP3dCxqSq;)I%v1$B65d|_T&JJr(E0gH&L8+`b<_e{L=C4 zibSy|xr+IB8**3;ZM|ufTUnXYRg&*caw$>ihNXbKUQW4eE5V^Mk6Z3Jdwxmj@*?Jo z>Qv3^RNqm1OzTZC8rLUy(`=@y|F)^MM^oIJ_og>Zg+9S-XT{rfxiv!Yb~z@rv_K)c z(JS~d{Y&%~(^NM7xBUD$jX@3Vk>Cj($RYkw-6|Yt5UI$(D>EKCjLt6)K)Eb6%t~Ag zc}C1s%%7byQ;XS0^D{a9Q+mZj52W~@E$1;(zrf;S;JLP={}YKAxKJ3YfLjUKIZL~DaCBUN}}S@ff7`NXZg zw5odqcT%)@Owk{&CJ*M)EL_t7Mb!dz`B4QbKzOMle}erie zZ(&vmLvaU+s4M58VLKWM4e16IWX|inwx22=ddM8q;s|*#KpPz2F=*FkIR}yO^F=eL zEBzq{G>+@)0K0c5sfi8)7X3Y&-TL$Sa!d>Bkn}0xW3ivS;ulH)%;6=X%)QuW+RycX z4q1(-@u5B86n;Ebs3`e>CLdATzafg?Wb29fT>3{8d}urPib)oLc3JH%8TE-yKl$eY zw5tBaci!?LDppGK31ZP*kt^q|Ac~7Va{tYca*rFF#-&$kQGT8I)KjaVqm6$x$ZvZn z8(t)BW}_3!ud9R4W*^61sxzv-l`Xj@SLm$=HHmIqr-I|9UIhS^O30zl@SPR2m9Vyn+?6%83v`ffWysiJ)jJShoN z9&Wq6M38hQtgOk+*7Oy^DC?1OzR)Y|Tb}3Jc!hK2p?NAaYyC^(o9}OTf0F=wH@XbV zNGss%UbQeEiGytG0R7LAhKh^UXmw_zG?{CQ&y(t1j#ou!p;(Fq4lmAPT;meFyRncr zc`D1c17f+?$KdXp{FVhMog|Ml+t0*OH%HEaf}&<2V`;ldZ(PdVle0)1dfiOk7a2AY zDs+mYQb_$}XGY8*(+nGO=q2%ai6YNp?(tZ`oc@!@zRdBiSOysPuM5y}(UN%LXZ62gtZzH@7uAA32F2XPZv;IIQ_yu6Jkzz@FW}}9fWD&A z<2yR6PqW>C!$7H%ubsLWJ&6~I2!KZ;!t*}*NFfpfxtBqjg!P8x3cf8@o{~f zs8lvs#M}+TAL$)x0(yCb%d6rZrizU?Xp~!`dCHd*^fW;IHW}$Qx%3DImvb&!eGQ4_ zQ>8(UB}!7{eS7H8X2nJhZ1TA?Uo>l*6#W+FnSdkx>LRA5^Pr09>(MN)v8t7=%a?U? z=3dJ21)f<8m6uoR1D|jA=$0qB(!0W`T)p}#O%=j}aj7v3)3jm7L+HL;MhB1XgYtOQ z2cP*{ywG*f_J%O`t@UhGK+zdZT3OhiVUYJ8r$Tk=nTuu^PDUDVFv<{63Hp;`g66^j ziH#vuGcW|g*wT#wTw6CB0k_n~nO#Wh>zpjw-Axc(&5m$+xy;w!x1<8z^TFnj=27=|Ah}(X3)E1G=)PBtATQ zIx*89GnUstpT+5My_*aW%N3EXgxs|KAC~+Oz`3DO93p}iFLq}xj^rxbcRe;i4vq|DIyWe7EAJrFs zzd?=`)~!C>I&6z5%Jk($-WGI2Bo4c@v&m3R06Mz8Eb$1rewDG|Bqhjc+~^ese@eGT zo&D74+*Qt~Jqgp7?AJ4nUZfPHq_)D9wXWk6Gc(c`1;zuBRP%TUfR1GP2sG-Hxv|gc zjMG;F^r^9rI?(D0wZozkTECRLR1Z?Tw>PfAGNE&Ka%K0@9q(&xd(g|XCZ2jMa`Ds# zl>W{^pxyO62=%(!4cgFdi_aNAMT&7T&mHUpx5WNB*zO%vjG~lYwQ)&y9khu0gEkoW z0Wp%k-)m=8d&m8wYspM=_qeZ}<`uEXbyF&pNRV@baCD5}r92-hqi(fADlWky3svOC z!D%Br8P+ft8!R$M55`Jg>&x71B5&`--MBJ~l2X%oA)6n|t2ru1@WbgCEqaRO>P2_gQ#^&nkSE*6IZ5Ja+nI97p11Vq54 znuN@4ejNh1kK~4i?yh$yh-~Ku{@uYwI*tf(KxB;m0&uRZQh{U2T=I_LL}m;|~pp07fajkr@c>apj`uQ;L5LgkQk1k|2Qo-Ogk(COyWw~@-0 z>Q^f;ho}NdE=fp>%2tnL#J<1&nesaCaYKo$gAOdc|FPizqd@kOH!7B154+^NF0ZLC z>r15NaWbEqBXVyHPJS7*-<@TQIMoE z%i2*YxmXk^?1x_h z%>6H9-d4dEn9cs^{IQMmP|X{vVDQd@Af87(wUC=l$(6f?mGt?%*5r-Y)+HdxE`8(x zZn4-yeQYVE%eL8Cefo1h+w??>GF zZ$AQ;lma5|m;7x>PygE+fY0?ozb`kiD-^dK{9ji_ob(DSEra(e)u1=xKfk9j0O`@i z7e;51|6^e=x{$1AAmrED^$cUy(@}|4yX`2H8`}+R_XuvG6sZ9L)oMk zk)NcK6+S$_4<7lrpZ?f=vDxDoi$PfBXDbMdMcSneacYPmg7V_7$x&J~uwyIez^_RK$+w!d3zYl9{(!rPOmAiX z`-y*fff0h#n}hoXquDT^))hMV0wt`(j=`1E0hg(l_~mmoleC^`{lVg^!Nn!xWH$9}XQ z13c#JBLmN0Hu&G`6exn|ig`~W9wb*CESvFor-YM`IN_IXUnKO!Fmfk@OND+*G}-3l zz}=ttg(WC!^zL*R;$5D7@@_l!}hxswd0!QA7^4IMy>b^gAdqm zO(xCwzf9iWK6{=6$$A#W&H_&yn3yE2hi`v+G}2v6@wgZ1NYA7TkgZcnmS*WV7smmA z>~1-@R`Ron{k_=Yjgp8id$U9Ik0=){h!_V-xPP%k&?Oty1Qnu&=i5HCZv<+-PlXn*~c zKlhM8-BVzsbzIR&_kQ|s0Xoci7AHF2a7sXkNyNS6Qt$u?AzScw28NM{w2ia8+qzIR z9ce;085-&~j|&*JdT#v$b=S z$W~g{>eNc4spreb65iqFluG6Nc_t~)=RcYF-xl%j7yA~7a;4!|?tQLr*T-W` zLyz8(CzTvKkoRfJ`uVA9a0BLlXaW5E@Be&_p^fBW)Us>s@f5|yg`zv;9)d=>*xN^2 zzu5A>X77LhD}ajig|;cQ>m&yiA=rJ$#F6G8lezWlU`#OVt9=%y%zOn^uh)^vult^?7Lek|@ABHvrLIqn(=LQlMq3 z)bql*kg7X|K5@A#QFW8=qyU>7~myICnEy?N+xxo9n{d zWCw0t8U(ID&EEwslQBHi+*7-NHS^e@heLy5Ku1Vt6t&`t?>VExYWHBBy5a?PW>gsZ zSQxF(ZRCgT^Be)4l~z;QA%-g?T@;?@M=D+(4bBBY%$W2@eAgZc+tbywN^?N#ZDs~9 z_G9A+fo&kTTZB;JxBrGY)DR3JXx`qhCY#}ENNEAhy4g>{N%sZqJZn{6w`GS^CQ`!oXR$s$5qA{sV{sQu$P>>M6E*ov ztv}sw#u}k8XnkMf;3}_HvKYS3h2c(t@FRB$dCUI)(e>70 zQLW+EuyW)epmZpWNSA;}hf)I4HFSe8bazQ82q-Dt4MPq!bccW--QB~$5JS(q{l53T z&UwG@{H}{X;E!Qu_I~#B-1l1RUh8_&rgEXSGf&>+`s_LHemBqb=I=tKGO_n2&Vxrw zunGi5xs&-jB4#`_1*Q+r#MX#JM;=CwSG|1oDn-M2z2}SN&s{a`<)jMztuXR=-5Y12 zhrK7E*z^h>PSyIzo6{DvgZa5C(>!-Xg&{2I>}X2LWoHylsO8t@A*!5;DbDb#wqH@( z7|^ExPG*Xrn-9Quyd)1ExZ~e2c3u7W&x!bdH&p9%!Fpq&41ow7c!)rAA%^E%t4}+J z^=P`Y?_*GDz3r0hGGK$E2enuNpTm6ccP8|zAo^*rh{Hyl$eWm0 zbE75~e)S!~Op@ah?ROAL>*duzU>|dYMLPL6Dho_huCV7M9I^~2vL}CdhEA!o8(-9X z>PeY4gU5kj=$2TN@0AQ2FcN8_q5y(lG#}$JROtCMR6U+K1WY$RxK=hepUevZVrBlZ z*ESP+dp|y0c0-91ueum;kAkb|1sshA!KX1b|w>|X%R>q&cjR{Jduh9Zi=7?|nvW>|-XN@;{_60csVE(d^r#0b%rs#PAL{wCp zdWL|Gf`!j|bmGb>`Ov{(gZabchd4$>rabXy&r9)r`|wW#6S4xXb*C#=vTGF+Sh&U} z{q*J=94ntmR~ix|i2kleM8`44&!>0t+bpfAM zDS{cQ5U0Ni6cQ7Xn>}?--1tE5O1b(d8e!e``%|CM&+#!~G$W~R67TPB27gr3mdMZ; zA2^e68N9FdU4aX|x_e43Y%rQB0`@5IKD9zxL{m(+f!6`Av;9Wg@w+sFpud9{YnI>)eAuJ z@adluIL4^o1UWzb;pf3V4@vs?)-xJjS`H;B zyH~j)H|0BX8-Ymr-2=Q^I4bDw2K_ye9i6b%j)p+a{ij>SeJ>8xt4spCk%P=j;fjJz zt3iIRZ0p2nE~9VkTJ9m))B$J$5&zX}fpgafY*Xb|2`v&xu_9LlH;VIiSxKY)oO03; z4rxbEKOuPY2nBet932(_krmPtQ#T2UYv~&EwPnMt+qK@GW zqt@qQo*!7M3OKgufsm zH)qfJ+(=tYG6g*AMK5N}AxdZB>ssyCw#Lu%jdBsQ)!ikdKxwo!b&tm7kC`f) zDNg?%$Vc!VjJvbUoi6?!uG^Q$NcTbDdUatFu21v01MRTOVQho`GZFkzK4`y^ddGP&Y+e z&=C;03DcX~(Cm~G`CNH75B_VbaRjq&k7DNd@`TuOu4cvJ9)Bgp4tz7r-Ht<&0;y36 zqwK&MT5;YG{|?LyiD>c6RLtcP?AZ5_^I4AQMbr>qfm+6Ebr7!%%`3HrByKLtw93K@ zX)HIS!d|nzc34zZFTkm&Le9<>(DBa1?3u0H-#Jv?0X8{dB_AW=Myo#Y{yv|dtFbIw zJ#EnQN{h&_0|G0u%8EG!i4ZIn&_g1U^wMx{uLil7xVHN z!ce>A7Tpzd6K85QnVW`{fJy|rYU+IA6vXw+13goqc#dE_^7h~vd#b2)^<$h?0cxvN zu?~2i$TLD!k6ORe=WrZnco?=|G9Ct@TOsW?9|b*rM&$G@X^550A`-VB40K!1)oj^T z&-gqS-c%|&^*G<>KWJGPlbAPo_<#)C-zQH)LdM=Q?8? zSa?)*ePKPlQRL?cp-lFwj%x4>m-3bsR(qJg(Gl1qquzuEJWT~0R3XBFdFexb)f;=> z!{q)q`n3OV1JrN&_MyZ_NypeNj$?cb&AOX@?^TxnE+#rEu_gCRbZVqhZYMQV29>su zK0x~FG%liw>7mTUFZxegnJywvbQ>Hp0_Lc-DHGm$UoFEBpeZNOyxpLXd99|0xQYy_ zlFtu*K@5dOSm$!Q!V2Iq)FO=T`~3w5b2aJ^KcOWO?xGW3TJAyms%Zi4(VQy8a%GiI~H?QvGfkdG%&W@=B5NpL&WJb~gE5UGlHYwX%ZcKDqw@$NqV;zuX`kRqeyMLF)j|8~qSO-3@0gU+ z%Z={=N#zF|cG;z7_wzlT@#kdVmg9FKWyVynx1X+w@(l`&8=cjUT43|j-UiI9y> z%}k}SN+~<0!02FZuVNjNJo2TVL=w9GXC5zQQa4aSs*#2Kc0;NDvK~s1Z+6>zxvdw3 zfrX=}5~X~&5&8Za*xa?Daf}L}y@8jxF7Qd8?dOB3{AKR5)kASBT31%2KJR~ki;`>D zIMo}gsDxZJ#i<39QGDqBto)DFxf9J=iue^$HPv)1&P1rn9)K))3D|&Q*S0oIR0 za3dx@@)zYY5+P+1L?7Men$4$yoq~|E!7C48jkV47$-ZeZKcRmydIJi|^ITl7C)Mb1 zZ(;HB)f~aFRe@hRAu>*tEy&U-!zi+md?2Vij>Xw*uF*8lkLOhJZYgXgmb4wcy-AoK zt@jIRG!@M2wbmu0-sHl_eBkPp-ibl^9=tV@>jBrBb~YeZ5j)RMVAs)h*&LuBOb8S0 z>iVf_q~uf?)({J{OhT-KaQK>kzFcgw#lf_F$O`l0`9z(&DfRxIbq*HD$&JLv)q|Xb zyJAO3O7UXDuUG-(N+4Q3?G3!#mqT>=b+NIrujyFT&_YZ*I~Tb3-%ivuum$GLv8m5HPBS-5LHKP)30UAQEn zb&2p2;{6{w^OxlvU^Rd0~;-Q~s_ z+s9v63C13nvoR552`s)xO*^7&Z`9g8o|xIyt(V&T?h}p<%r2+pSr-G-VuRef8A}Np ztHQ$QNC^A3M2uRx*?^%>3;=CSg3r zChU2XUht9on?$=dnSL1Ihcg{*z&y>P%2tX{tze(nCvdr?GEZwf=2Hjqri2;1yRnu9 zcoZw1l5w6#1+wHUlq6q6qL|BvzJKt${6+dIRf^}d*+nYmVEnNGtU+C2zE{Edh)tF! z#;g}cl)Kkk9d$5!|b>UGN_ zbvmbfJ#pitvII2IMW?n}em_1=FV?=GS%F7WPB*>zG7(?_$q2 zR^0-7bLmc7E40dzEQYs1f<)6TK#W=n7^|O6qp)sk=>cwE*Qt|9S9Z3&{MOq}atus~ z#b(NsR{`lBO%4~%=!kPsjvjhi|JyGb#bgGE$4e90ro?bYEF-JcIr;{@(2!p^kF_v5 z7przVGtLgj4Vz@SDfdOS)DsWHbaa*+DRRH3;kbz_F zjWyl*?QgaumOkt`m}g~yMTD7uc8xTpxL`EB@??Y={&VM~(Fu4=?U|Od>Wvpvf^oSGXGsO;^v$ zF-HGGf`re55hnB~K~OC5JlF7Ov55iXXOgeM+>e2|`IdFQ)*4@fgRec^z5tF5t6_Jf ziI@WX6PnziK$iuYs_y94aXI`UHIA3OsrG%g&4VCz31ZQ2Q1t+7`8l0+!VE^w)CKvM zA*i_-v(%jX;VchW0XzfwtkA>G^-v8!o(2R`B>un*eR(qC4F|7bngYK3VA3{G1#sL1Auru8|``ppa`U5ix;^ zkL_0oQulxndvTo9J;NBoP)ZHu`1MxbtKW$kB#jkZ^o%%)YUMd`Zr73b<~~2FJ&nhI zB_x8(3pdE5+}{UPlW-`karentqKJ%A^7!YcUPF)I8$Sk2T(Vd4MVw^ar0m-u(Jjqq zVHwAn4Y|LdD*|D0)I;v4CpQmWgUBBC*C??^VgC;stlM8V?G30;sIMX@?dm_Jt`{$?l9Pc0MHi^EzdCK>de zYbz1#m-n(Nmf!bH1fxXPBWcE@hF0U5y0S7~4LnF+>uU}tIK23_`LzLfEHz+2Frc8;xdiB!Y^D1zw)Hm!Y zg;M%uib4C)XU}v-SF18^PwbxPH|xSo@wDUicE%#^qKy#&+bKoRT)b1K2Gu@s2~g6! z?!xfu^hz>OA{a|MPnLkGo8^qDY+6qC;v>&{lbKa+Z$5E*9G+ z)+4UTXga1wk?NH)jl%aiW%*u>y~;sw@xT!&+O|f=Cd#xTQ4S7149m-08G5qc;X*^- zlv8*u#AoG1J#Sv%-e81zvn@U`xMxVfAWM>I2t~D!F7;cZUlKeAYEijxiiQ8~w>lW4 zJ7{)D|!6^E|p?>88p-Le=MajE&|cfn=@@g4iily7hC^Uj*R zc@uE=&^q{B;+BSKRL*UTS(gx~dvZ!=W{t1&y>%5$}$&Sn%=i)X}oa>j2!; zlp+Vw(u7cRJPTGQnZbI8|4g@J@rF_t>I2oTThURl*1$UIrdO>CJf9LMv<+=IE;J#5 zN5oRq&(kLfh_7KMtU)3@>Vjo%`=SH)RB;Mp0ZcpMc{2662^4?W!D|B>(e#LCa7o@I zXrqn#_?X7Q_%-RbNoVf<5Dd@tsGB5MfY{b^ZgCd5kEx$Si#lIm!8S+Yb=a53$qt3x zk4gix2qrLaybag^#X6oPt0RJ;X7978qfEVHJwx#B3xMr%`)=9(-xxK4%Hs}&naAbR zqVhpLtklc6_A2&y475j{(Oq3ET{-2vr`53IH~qlH;FSkQ&6de7>YnCtyh_;!YbiO1 z)i>@JM|s4=O58UtFtMr^)*<076+c;CDyKWK9Cf>t!H)>4js)V~L|e}sl>8QKBmcNz zYm=hdMDr%D!;19d`gSU>u_HX`3wigK9O~t2^PBk-H$*ji+i0;2-u(-;CXZFT1uyy8 zIw6aP{o5n@>4ksyvXa095!VYt+`74;i5^NnQ@0+2e?b+kXUrOIJPtrADDj4;hP>YJ z#s}Ee0&FvQ&0IQ=a_u?>S&?#Rj_OJcqebxZp}-saCYPxIWA7kAt2?V?R_dB#4DI1Q zOW}Q4&8p)5&r75tB()b|28A&P)3>s)?G2ha)uv$Mwp-7#V)>0e?Ri6tH30ysr~A=P z2s-SpjczhFd7Iq2I9clmN9_Q0&+ZGDR)d&*!?Oky;cpb@4T5?*omu5Fs`k)`;MIln z7b3QXJ9Eq3DpO=XZQ%ai>KxwAgRE+EiD}4L|5qB){f1F-)IdJG9j(^1BfULqCx!8y z5x9>QgVogkA}#*~VT!(Z^npt21FAg`eJXgM->|6f=50qXg6Tm%F5nOj!d}>D>T(&= zTGXw#9Qd|e8cu%cK~uQ89sSEcrAE%w7qPtbSm|`$J%ZiU_Y)Ahrwg;OQvkE`;e2u1 z`>k7nz8O0`2W!@QUn=+P}bL0${0{a{`z=40#Y5Yl!SW`w3x}i52M#p}mQ1q_|6GSk7LJ{Vn&XQFT`p|5MKmrxu6&@l zwdCtDtfp^=B*G`Rk!-p;RXNRb+dphip>08DZmL4|8Q4M31ne#slt+vM&9nXPrVLK% zg3L$Lo05oHUA7{5KdyGD(mdb(nZb-`IfZDnk5?1_o-vwti@`Jn;9q@$GI3rEZa(6^ zK&Jl5^BSKi+qrzQYYv0Pf&G0%yQkuqk^g1{qW!!incxQcr{|}5MEr7<`45a0Puywx z-`i?XJJEoh>zp4lp<8%X+^8qIo}ChIS)CXT)xE#HsyjH`=#RlA(Q(4Zx13z-ma~A* zyCVT6CL_Gz$n@6S*Q&mJuH3L^)V=5%K|nR|hwc8#e?DuNtt7^bi@w52NUQ)V|B$5=bH(&V~RUI)fFT92Mx z_|Y;uKfeRI@O>CpT&v0M&5&#G5f-0QtFnxUr~`E}nmxVPjtaG+D;3UG-%VGfp|XmX8kys~qr zQ0}71{fnOcMcK!QsXK5beqGBiy3L0ir>0-->(%4hMY?n!I)+2N2DzD70q`_$9q_Fy z{1F0JUZhymIr#g-mmXWI0h69W6<1!u^;+~8*r75ub2VZ2PpEl8NnAHde?RrnXz1~t zZFWyf;MDK4S9vT}ZMVl;RHKl{F3+=YzNcC2@dDYhHNUO4xYFtwm{0_d63-R=>^1Qa z(7$a;yZjGY41lu+CdbTsV~y(VnmUFCrd-Zc^lxW&DI)d+Qbv?+pkSRT(7SeN0rxc; z8lKYFd81MZjoQKwSAL~GZ(Wi!EA(UnRnZ;6fARX9fqqZU&o2dWqwhRfHH)*g!XFv* z-2=Q(QOoXpHG6BNK`W7Ag!BH)QQK5T7Xjo;wG;h|8lMpgo2gQn;!;WhyE$K;2XX?;a|Ar&i$6F9-3&p5HZ@K-HhTu_2nb ze7!C}S*?GZCTi^PyTBb3<*?Ew_TfUU)gzMJGrb5Cm)us-f`jOtU=Rhr?MIoL^w+%I3!5))eR z5g*|_Tv97%SEL&3XO^(Bg02IN(9o{D-?$#TF3gjwOAd|QZ4t8npDK3l$3TYqP^p-I zAGtOKHj4`od2^INo+08PbI7IdXXe@e1P5~(y)YsNGTW(UsTCDBWX2gntj z(9(Y-9@6iKpS{Fr(jMf=Qae8@vZwbG*mukyAIG`ca|33#&}@Lceio$ zXy}&S@k@=mSV4IyJVXZ1*#9m%F>H2noYiCNs#FW?b_iDKkJ^WxUT(zdSJzmNq~_t9 zL%2_=Q^b5B?w4_lf9lw^2wF}@2E%4IBCp`j#go-bHvq`U0Z0Ly7f2w3Crd~j?@0)C zdV~Tg`~L6XUgt(nAOuf(qDX}s6K8WqLH{;@j^kl|I#!g0;j=G+nLah)=aeEqu^)?~ za*Gc0JpxLN}l(AG2|gq5v`08x37%r z9ardm6MZfHvK#r;=>h{XLNTUVenvo7W{gK_6F{nOR>NNB`@`yez-VUs`PWFZ8xLXJ zqZ56o13LgOwc6IIiDwBbUAHXG7%kaTnAeQq@3EtWI3`S;9k~T~+?U+7?{jzwlde@? zcVM=qh+H2-S9@EXlksJ)Hd(XS%LZKa_JsVepFBTbdsc}z2l1DiYw@m)5qZju!F|44 zK>Bt!Una^bWVWg;0jQb=zX@RJ8eY&Ir+&TLH5c+a&W>@82HPh_zFo+*1oJ14Ym3G2 zPSr-pDxuOMO_IdjOyrm;oh( zRwhjh4w(wwwljcP_O{XW>TI+FE%I+@G$8<8-Yjo?0VrD5j5p}UY5uG4OJ?5((Dwozwnm7nYviXI z$^LiGMR8X%qgKWmmILJ2G|OMqT19ZYauOprqehOEN`p1I*h>h%p=@ljl=TY{6A|qEQjyy|`3`-X7mIz+ zArSH8_uY@cR94ST4;rQwyBUZ+vzV_ii8k;%Gvatp@fo<*s_kLnlb>y*8?bQwmuhhU}fu?o(uxD zKP3gw7H;!d_rWfgX_Y&+y`+nHpU?yal3!SZb3OaMra0hZ^|l}Qn@XYs)>)|@aA+EP z(OZm1wMrR?w7Gq^LoDz=MeUVoS4?QHgS{lo;Z&x_f%u<#!(T3q!zmwV7-jFg0a>XfTCF+di+%7+CQ% zSc2+kwWr8t78{K;XVF)xcD^eduwLkkrr5*uYIHOUPW^H~z5MXqdQH12XSVmoIXVny zCS;{QhT1s6=C{>uuaxR+tRKR#>;TYDu*4Lz>yw-jz;BX97f>VbG{FXm+<@hy`t(aetMj1NFzvX^KS zd5pv$+YU~(6GXnsQA-zT`HFUtY-q?o+mQRZ{TJ7~zlyk#H}g;YeL&*#pZXg>K_wWR z%Ab`~xjjP0QaLiL%c85_;J|3!|FyncQ-d8`zni2YaRo90bPEaUku2u+_AytIG(YlP z_|xA1jrXMbC?w7qpbW}uIyxRu>(`CoRulx+oISzAn>bfYW-Blsnm3>B!U^cQbe@0O zVE8JXyD1sVfg{@=N6;sbDHijcj~kzyd`aq!NbLp zJURLs>2-lwAj6F-Bx;AfikyYqFCW0S>%A8#{09 zhvITHcfP|=$s~9&GypYLx#{eDi##2ZP`JB3e`km~iXlw|7fEABh{NDg`e`VV z!e?32cF?Fo#%0ix`p~R~veS`ew7L=yYldF833*S1s;&^ba(pe5kC)wlo?9@eZYsUK z_v~=MYyFF@t*H{Y>}O_22>D_yy#(N5=bQ9u3-nA7JpG}edaatpdVeP#^9UaOQl27s z0ynkXj@4Ie2RDFKdVp-Y!8vBws_z_!7{04XI5zEMe$1t(ynxNUX_cqkeQag)@FC(2n^hETyHXrgvYp zV;{)x*$?~3CWZ&fA!VmoFD2t1T?qhtmB);ouX49fsKA=!0sz?t?aj04Zx@Gg(}xoPr!voxSH*%uD=st(+nV6>mZj|v2h%vIdCrmO<;Qwu=E;Bs?e zZ>gmFYU0kz^@FcrBT}wq?~mfuJXV4UFd~ooCDiSXkLs^t>1i5^XO5M_ti1EOPwMlQ z-VFx!Mj;XxAS^KI#Fc=M$!P>VDng%B3fj~FS{hQ3%hC(!@2adw9C9{`rB5#=jP0hs z?18az#8&laL%ux&{n*3}KCo%b0Vu)p<_kWB_aDE!ZrhzN@;{zGVI5`h4BrWpioid( zu}+<|nS2rSbw4vriQ6H{Wrs$%gKy;vG4p1Av$Yg~2N3JF+pc{FpYKL+bp2ix;0DxQ z+#MZ!3SMK9PW-XQ1VEddJk0h}P8eGJBx;R79RrtjJ?++YGc;5E!@l@}n}?S$G7%TG z|s8d_g$*=|=@vkF;3g zjf^OF?BvMCs6>@2N_H?Nh4cCbl1Y~ccis_o&HvA(asT!KcLso|GR)UlM)AL5F}hVU z(Te=h<#c*`hdR~zdd#U_x$LU0%IbZB6a#Q%VGn!he)x3=KpUa!QmsZ0C2;x5-(Imi zWR&qv}X~Ur$siJxtx%JOmsbO z+7|+e+{pYrB!^+}#_m>e2Y{3pr!@XZN9gJwcXYqskbS!44gJ9abU)4krtj^XH=O}q z&@59DG^WoZk=p1k4XUN&(S}=PE$lK7IFi8C-abk`bz-0;&8ZP*JDZ)R#Bk!ntcH~x z7q)^88-wO*+|vM|wRBSywj1ZR_`l#r$=AT#ji=xT&8!o@IUP4;%A>}Uh`_d%PNHW7 z1e_6(U(BV4JC@vRN5Ptv_yUG}QIA@fs?2(6=kJ6Q#y_8=Dzc`Jv7kDuw%=5Din#C7 z328pj3?%W3)hib4{cPQ0H|JR6i=vq;y=M}4-TumNz=uz4)j^W35rv)oqaVw85b%WN ze)upL(1vr()!V~Lm|A_>EDBQoi0y-C=^iwUDy6=TiIzJ3@n@wHFk(Q)^o;}g1r+Y8 zd9bAgf{SgE^L*WSX4{<^XcMJ)w|zBttL>#9pB>&sa_HSgL7G>K5C!ko-VxetSC6`r zsz7|AQ(XYbMDTEZFYe9_e3&3M-sIsw*F%~w7*LcC!bR9*Pw%kUJZwr?*t-oQZl(uf zEzrSI!Z*-1v3$_?5Qx@`mX-S9VeKXEBEQ4aYP}3O`iGg^=hQ5~avSGLT?qtdTMVZ2 z;E07gP!RV&_MhAxd@f;GSguQJ#<);zkL$hvg>BrG?m_{>h$x7cLcvpK4$u&8WuyxorRvG{oH}Ae9 z6vCf8Pe%fCI{!S;t6~pltT_?BCb&eO1OCX9zE!JD^KHZMb86V59%xUXt6dVIS%BjN zJM~;oBq=*Ijv8KR2xE#O*tFsUE0e{A=n{NXXeOJ0RNy zv_RNpxJkgr@siJ$K3V6@4wP8JS>XpJ&k`O~W_*q_6Eh}Wg_85<0xLxAfb*#CBCO2Q z_>9o=^VQhm9rrE%ww)gaXYe*h#kD|v^f?n_0yC3G6g&~K;3?~ik$k?@ezSvir z$z)vo`!dD~2a^Uu+}~9lq9jT_dcIh{Q@(E*#g#qXaa~5W_5b2DTYr`lJ48j~_4@Iu z2@!roXOkNJ+S)ktb$bxg*0r`SiiiIPCBH6|?%wwGeiObjr8x1#gN3oetfnTKrA zDwY(dR)5;_XFlhe{Quxb3>QRPe`djCHVrWWEgb|K94{IA*lxaVu2iQ<9h03p@sZi~ zNE)98EU!|%DOXdy48i)(t(U~W!x%<`;InEafHT+tqh)If)A@$-)#4YyhmBtlXcx~b z*K;3Mt(MRC(k<>iwtYQ3FWwMMzxe-Gw!yz1Wj~?iu?^hCpxu=GqVnYrx!C{2nkMW~ z?gx{*@MvvX%i*Nz2{k3agh}~0=ilJCAaRiT9kLxQWL?@Skx+ITer_8vWG&l+oxq_D zI{u>k1=w76F6RXPdHnwOt0iw4Rf{E!QqHfK=TpUca>e#t!$PQW{LYmA{r1wUou9Cf zJ8TjBr0dIS|JL2*CI>C0w%V1fBWIG}*FghCxne$ZwVwZh#CefCmgG^^K{%s6XpGZ% zj%7$*;jr(>L?sOX>4}?%O|@|%f*=JF?m~0&L{>uujKBWsPN%;HH3WR(FuIL8+5o09 z;&QW(VT5l%+GpI-lpOu6u{&mZkH!f`8rDIK@zA9$r+$Q3_S>)~9j4$80v#KUUXoG+@@nrbnc zFj(6E=$}P%$qvj69}T9SrZU3>d>Tj@eQ z^3uh9mH%j0=4vg!cVV8&!;7-My_AphvZY`{Z&&Ce4;K#@Xfq<9aBfqQxCv%IP6<^G&g-IUzrcW*dd@9;HV>O*VwCG#Utx^ty znhz&JFRUl2_)4s$%QLyPa*H>yM=hsnnXB~BUA>CJWm0Km`_Es0*J|0F*>YLmv}rX) zH|fQ3DN)R|fg5}>m-G5vfsyOMO#a%<5n>K^u-M-B9D#nX+iJ}k%LT6UIac3`JBHb@ zc#-!MlNF3M6M|ijsKf%)19a=(;n9k5dEMiUCQ9Lyxaum49az23noj)$do=H`+$@Dh zE5sxhlkp9pG}*+37#)vHSk+nDEi_ys9fBjmd)x0Jm0PYm-0yRxzq~XLcT+Ea|F%Ro zFZKF{r^Dv42+65CrPMnRqqh4(o!#Mg)GcQF;dEj0-j_j6NNb_dIsQYMxW+QoS+m>WFQX*% zeZ-mfmR3_?LWk_3d8V*suITwDapAzc@{iZO3Z93*l=t~Rz9>?OV2Mx*5+^Ig#HzI( zOXRjzj_+3&WAZnqrfa`#{%IT0lT<;^jYqg~dpbxg#2odL%C2e&x7CCikuG5 zsh3({r@Xo+K7YZ(5SiYSI6_}g9$>zjrtJR8Y5<67vYfm@4Ar{Z3MDRh-d_)K4S zL|(keSUyKN>@A)%GBdGM8PfvM1ab8Wx-sNF9?$u&8Niv`sH467kH$5dI=Uxv17?wW zW0MI25pA69oam)-zvKbLe!6nTMc9W7-nX!9^jR%7uhk)Qj4)eRQ^4Jb=e5uEQCibJ zrp_gIL5A=u-amJw=T-2C!WvG2;G-d-9*at&g52A>U7d^uzb%SAl9*g-oU1iNG?Zkh zIp-SdN;L^x=Ts7@wLD@G(R8OTrV>rXX9SEiA~f+M;ZM`*hhh~5g`6b0i+CM9FId7D z7uTiKCGLM5YTQV0>g`XC?D1KjV}ypE@4x>Y?rvG3C;e*eG(~wMgqM6sg~iC%!+#w9 z;z2@6Qyq9}QhvP6XUW)>@YvaZu{3dL@T;ydE=ik5@PuoW-h&4PCt!bL7uYU+Zhx_Q zb-Ldhn{X=4DCV!by(9|scnHipAI~X=BkPeHqa+qanBl9x{o1ZfbG|-9N(`1J)IwZT zGHM;$jOlPE%^vA=Uh&}yH+$MKXt5`IR;<_hA76Uhcd6b5Z$Xy!y$z#JwrDc)KMa~_ zXPoUL-nuH$5l6W!)Z3aRru8sEgF2Q`8Y-GRGCq`Qe=iBQtR{6*xGR}B|CR3&R>K>B zBWFcxwG?HN%~zzkv}jR`q#-HFm_nA{?fn7Y5xdaAflIXjaUDRt} z)B3d@t=c`Xi)jC!TVeIo<<6#OcI+_x>ygSxa{^?p(ul;6CP?5*}x{5nn6o{YuN+q^TBI6CaudD#B z(}nU5Hz;>lB2BcLBH3TGkZXwNeqe~aw%r~0-aZe4$cxlyRfxRkK9@^=chsp_xal+t zitSgKQuqjqTXKhh5_mQ<@VDmA4=%Qa@Ag7%fPeWBA)Nf>x0TJofhzSSo%wdUV+P6E zQFD($e@t2~xx)`6M+%=p8d>xRMB9KetYI*;C`?|%I>oRAWjCUl`Q*ir$;8>*8tR zEwXlurf$siGyg>}94#n&+jsES<{mTnl=wd5UIY{ z8_=q(I2~Py_PVEUNpish8c~Zz$X{S2y(3=S?U22&k&{V_Ve|Q< zPh#aJzJ1Q(5v`^M$%K|PhmTi!Zz<)|$x+H8#c|2TWhD5f6ars8+ek|<) zub8FM^5x;;S@@B~_M#(Zq#Fb2aAHysSThmh%4L{zO2Qyv?B;@*`Uq?Kcjlv^TNP$y zPd3@ZdgKsP#NZc@xg-noMQ=x74soKei+t4Pg13b8!4cEyZFa2Ks%!Zdcdn|k{;$=t zL1BJ(cVj+jVqmhp=@U$Bb%#krskMoaU()~a0_XvDcN=z3!{U402Ir_$Pnz0;DKgy0 z*$GGE1sNWWnJ>Iu?w&~8l+jxBmO`5=(UYf4WU`YG-R`nBrLFk=tJej3PK!GQcmTI) zbeE3Hemd6vqpWPzfKuh}sw&5cY={eSmU0H{0Qt!!*pZ}pwZ;?ub}MP|q~YiDt;pL- z&V`YjNARq`i|6)NF|RZmlloLrfKZ;iiICpm{ArD;itD!b9i-xmMU=rJXshUb`M3Nt zf2O;+wm41q$xqLqpM{CV(dw`oEb#jbYg?8v%(QZzbswtpQ94EMr^C*!6&p9fZSZx@ zPhpAyxbeY4#;vgS;`_p%d1Es`rkcfm^68nWa~l~4-bs&Yftjw&nIH8QlX!)=vBZ6g zbdtD%w5wu9j#< z!MqeF4LNn;9i%?pe~%4M4ibMLt#Cr`A2^o#dw$u|V75W+eD;q?HdRd;OJ7qx&Qtm< za&xkesVz>uLUpo2h;^UQ5UywFutc`Lyfow_uUW2Y)E9H#LBXA{duQw~lUb=VQ?kuW z8=X|BL}9}yg7d1ud7+sN~Q_ zZkg@R4H3Eu9*0Hp8G5~S2<8gCH#*L*Pm9TYQY=rCI9ZT-CSTA6!dXr!u_suM9u;Ha zyKgRehKrn^zdIlUv}0iH0gSH=o|QDn^hmIy#g6ipYv#YamI4%3$08tkJfbWN(OrZ$ z7mdG%waedWv%EcY*ubL-lffnZX0&89={$Ur{`GQY*ZNn`a?X6SRk_Yd^;+c8dmsT6 zGtz@)j^0UYS+bW0F$pOsS+BK698uT7ZY9qbBdkqXhOyc&YBbO`zw?uF9M4F5%H*g) zHd0M1pN>^tt^*VX{O#%I= z%vDZ(JD1oI?!$4$0oAFJIe32o}?_Ez!0^CrGWkX ztj}93%Tj5;uC-z*I?%p;;)uv_vJ0c-)+Lb{EEt2IyjA7nnb^@GNiDayiCAb~d3k=( zPb*dKQuWuCS1`ut(Tvj81$=&hk3WkSHl*bLxi>V?RMF&~GnF5@B^Vb?DQvV%t;K_R zqCTwk#@>Ua4cx1d11cd^^&A*2vjuf3}2EFeaA4% zsI=cd_2SbTZL*f(LB3 z09}E|wgd7|Uqr-<;`pU$buhF+Ear+)z(GpD&aGvWO~3H#Z_{ISh?jE&c!;cGVMs-S z+wG=nro{B6N0nE11^_D0u`c{x56#Y|E6apoVrtV9|8oieHe1jigwT|EpXOy)|)_Du)lFX~8}vWaf#Cf=hgdnK{P7Xs=KH+kR+R)ChP zy{7Cjxp{LYQ7=`y0G*#}ny6hZOK%1DBgloAeBlSYEx~mgIc<}{BjvA*b*#e`1`rtW zhT#Lt$AqNM23y@r#Vs)6>B@gJNKBMv^u4_ znqpgMY{M+Du!Pz_2nVi(#GB2&9wq72y02pKWd1@V<2Qn}m=nP~NZUv3ro*lF4ux|W z#n#toSi>T4U@G6%g6H9cIFiTlsfrXh=VLoak&1X%K0#Z|;tN6UWR+EvPdFGsJT!P_ z;La1qM+?R?l?Ejtn&qIknW{8TBYi=uChk@Ix~*qJr--ToKQ z{(+zh*2$$?{#pOP7_>_x?D7F0tGW{`20tzj1;Qn$AJA4@bt3t1vSFKreeSmVI##cu~Lj zH9+oj@;JGI9|TSCj~UyF+pHHJ`%l1OD<<6EC!N@twWozll)bVL=JQ+m-{&v8al+cW zV`^G%C|V3~@U}44q{XF;xSrY@oT(youU~Pg>%C_;CUm7@ z)LUNnO%Myj+GaX9tv~~ixO6R-)Mi^o`b(OmuRve^6vFb6h`YxOQZID|G0uXmf*heY z@1Bu3=bZPAo34M~uKG;t@TMqjp>ft4YN=`~)%N15cXW-lAv3Q0Y;aGrYT89KhhvTz zEK1Nl8g`i0x7Ja8yI7_0#*@GAt!&SFBHP`r#;MQRQ;gH#u$82Dn9GG`3K@hiT`Z?W zfQZ!G3E?qxg=H{U?!lyRv#e2n)ZzdkyOscjIqnVBVQbOU#%im2b)|rbL|fLMg9pgb zgy-o$nwk>{i}Xd9TK+6KP#ix6n$){4mFRGm4On~=Xq@ZT9`Ss%uylAf+_}5fAs&Rt z`voQRG?-*=X?MD08Bz%HTj?`Sc9H~PcJ2-+U*yVZ6=&}BzgUP7p3yCua6cD!^X|A=%B!R^G^}9wk+ZIQ~Q8x#k8P$lX!!`QrfNO z##8eJa7G-#SHhyF7w}dlVBdHD<~Mgj)1NuX`h&^!*yxNo?4=CjOs zuPM7d-{f@3#W4o7rMiE@@B6kd)m~ta@A8?>&P&P28#d zZ`y+csQK0r&*yR=jR;F`mHt{;-&d@Q+7WO)gONZZ)9qN@aWob4&F-(`_ng2-tM1^3 zsTn3ZN3#;z;YiX3nDQ3KsdiSmyb zH5AoKbhE~RazGV+6>sN8Ixr};c*4>s6V$Mewr+KCwXEbmu=k~7+>kRrk4z;!l4RJ{y_i( zWuvx{UT<3QmGEFc9g#pM=(T`0(E)6DmQ?o}1supjwSy-3egaTt*!?h5X*5|;U*T{# zqBNB&f7KI_?l|kR;B&RJMz65F=NA+y8DO85j)qOb|MSIGNG@N(i0$|M*RETRKCs!D z(ndrfyPpLxhrwXBRfQI#y&=kZ$UV|HK8eS<;htAz_M$?r-6Tv!%1!YvoHqHJ?|KTI z-d1RvGpJ>MRgLiH#>DAdTtZrcr06rt!h?=XB(Lh{0ijdYf&hfPVcn>(?Xi){1#)@J zo_}M2KZA|=7hOGQP@|ONH2Wi7Rp~YgP`{O!VR__ExI}u zEjFzuY0Jokd^}%c57+SdsGUAcy^u<`V$%hiG4%NP`O)|#6Z!0NTgLV&=k7p`m+oxc z_qrJ0cmNU;#g#ym{?454uaTVyYVlmTCeu(w0>9=9hD0HSL^GVv1&$$^YN^JpWtC$a z1F_X(iq)lW_d6HRie~`7rH#_wekQ%i(W%uOruFp#oC7trfS?e-NV*>m7#Iz0dNJdc zyEA@tL3ELJ#>AF(UaM)F>SWP=*19~6!;F4mw^Bxi?Q8$$b?JUnO_EY0gOMz3DKK1%rG#V-T4mzqj7ZnO6PWr zCViXmD8Oi9*RuXo87!>xxY@ZRNsU~wxAl8fWQ;rLWrv)}NV2Fgge-`v)jX#Atg~yt zF?3U|#1-hdkXs}>N^`5QhxCgg#*^sayHLL(SD4JVcJ{1Y02>!gr~@^<;%Wh(fO`kc zcNw>iJ7YRr){gZ_+%Q(%MjAkhZe&k7jlZGfBpt3JB9{L|H{;(?rn(P&_WA``< zd2ZtY++F1Lprd+&?a^m20M3#!ksYnV$zScMATLEWUx^m)KQe6b+>L5YPU7;ACvzvV z#z-U0on~Gf^$~h*n-rYDXF7pVU)Fl3>5h0cjpUx*0zKySLMULZk+RVsVNq(V%C+OS0dJzNb=VnA`D1T1hZw>@>|l#2ZL zR9q%jm36&H3ScU1l-o;f&q@GX;%uXk)!a}}Q%NTN>`*dSd|B&_F2rtf&-J+TIz2A* zHqVjy3JB@6tlMb|C*ETcM~V@G-MyZm2%x zd=10`H-#w(sVH$N7{Pm4F?is-{L*fU`y?~ro_6(PCT+z4n&}K|{{RA^XEH~XIiF-Y z#L$c(i{2@vO+TK>{RCFXh`*u+$-c!9GVzA_wGi6LkqOyJ*Fvx7whvj7ChN{1sjbiS z1}`RO55Bj=8K4hIUjBeQq>GT?E!FGQ?9Z=R z-b20&t&pWI7J)q`c@UNodAvCrK#xh-6ZUHQ1)``eTarbZ%Dh75cmiV=uNDXC|d z4Lakr4ok>VK}rK$h8X&TdXq($DnS&RjUi3e#)VyXm+%;8E4^~lZO5#@hwJkf7{`mf zN@_Eogl_RYv~$d3-W<<b~Q7qVvJG;P=tXYm7e`wKMdFv^u^3inFygR6*jtOS7ohCY7%F4 zrU!u7oLS~MR&~SHW6|%bq%?_aG)@BCeZY&}US0E;U-9m5{1M4z zCCVsa+-KC^#dZ!#Xg*VEeiiN``c*hEEUyoZ|!g4uj7Wb~|b&1eB3 z!05hog&6TrZ@Z_2+Fud?y2$&0TQS%NdE>Lx(o{a_?YFcWCk5j`o2)3a(cXq}tbN^D zC^Q?`C(jqpj)e)D#!z)XiHYH$ETq!N#`gAOVLIxe5bRl>lsvG;O^fg=l(OP}>jJ~! zI~tGd=^a-PV&G^we+%^bJlR2o6eMox@H$1|k`-T5cXoT>)aM1|AxLfxexZ?xXFfB? zd&&QhS*KE1kmRI-H&W)?vw683pzi@X+p@&u*|Cw(>r6JXIE-Q^*%?zloZ9U9{{4H9 zPDRR}u!%uf+dE^ZMYbCOK*5fAJV`it@MhHO@K6cbP5MdhCk$>jHRI5d#uhwboe;b8 zg>=7;N%h&9(S^0Q8Ye7c#5+fVUbFF9;o>&$TYTmB*sb1p)kbHt5|;Tag9$P-_r)rq zrY~zi$GOz%EiH1lei!V?_JajiL&Qgf`%l*Gyh+G< z{!7aJ$X6J#Mr5Igb_meWp2TB|7wRp$=K(&B(0ew!ODNCmguxTr&5nS^dQTyhpB6CY zj62;PmjUKA6~6MAAeV-1x}ej$(tN=~gXe(Q?Pp%jI|`I6nFO|hC&nxh(+R;ss5#uR zN23f(w;BwjyiVgmW{brk;JZb>M}j1PL>V~`BU)Th|JScy&B!hMQMy0<2^<}w;+-G# z697OKxc1plB4x8Ori)GaGK5=0yfc7*-riIlayxosxifUG!EK3XI-IIL)y~tGNSS(> z{rJk)zg+9G6ki{mRU2F^_!xG?@hnmuNIN!ROHt9J(ew{inv-nR_j_e1`ZdJ0(vs}} zB@5Ey*-lHj{0ApoNb!qiEm{pH81@Y3o8KaTAOEZ~6Kx=!q73BnZ>-mDi?;5Co z3r%~F0K3zyyJ0zi0DWfpF=JKW(~TeC{CYu=Eo)QUIj1^z9B+M9@^NWrSY8YXJJJh=4PL2ybqPaY-zel>sITGSqJiNaYzBE96FtcpK>{NEPD zeSLjbE4W=KeWuY8-7#5Q)4vT5q!SwLVAIzA4p5;YA)rHjzV-_KdYN9P!>jk;(WauJ zI#p86L5~CM30fs=0b)u6kT<;r`u>3?tz&l~E*hV?kTx6d!B{=7^iqWer%<}FoHc>E z@$D0B8$=%~KIgu#@W3C`1icCBi^SjA+9e+Hxyd^3&lc&r|CBF&3#5e%y46uegHe>j zp6NX9^6)CpEwY~i_drLABs_sh;!NYJV+&6(?|nu_1}^UEo$2=gjK`_BfI|FQ>T83aK2wJ8EqxBhod7(m9D$a0>M2^0uyYnd5yQ+Bf+xiLU+vC_llVlUmv#zU_g&K1E7cny(>W1M` z&AgmoE9ass_gktjr{f3quS0ehF=MKeI1A}@$j!>=EnO{6W`3YmI)3}mT%fguHI`|9 z-)1OtLZ3DU{n+fdU3t2FSDU=$ z8nHK%{WhTT?Q?HIGABgRtBEtn57gXdGVIZa(3r?o_w5i zp=!ai0QAiSm5c%-fGkz%w(y3>Yc<=t%Jjg?^ID($PGKbe&A+}&9kCAx16oJ?ERF5| zvR%>;B97mttkG32O_kO}JjcQJXE0*rbJ+)D-Wh zzq*mOhcxfqqulwz>Y$~(Yopd4-wn^qxEm~1+OZs5t0i13v-HN{6Ge~g_YLZ+$ZAmT z{z`CDjOQYBDh+>5?(NU`j8S@@@L4HTnbf0vk&nOi8`YsR@mmb@&(z(0P2qQX zH6J8is^B&KFzT)L{(WP#KTk0NACp&w#f-@%yB1k4 zHE1~uCDB&lv#JOKsMRyr?)NBQc`Uoicu_ia3OBD>?;SO4Y?YVR7)Ye_tZr#1nIcjX z3(wMAyBwYUx{CenxZD7}>1x>IGoQM=4wpBp9#(?)W0q)D3ohWoo(%xu`AKdcs?%?N z_clY@Jl#XRY~ib@VOH7BPK$`v_Yzgg(y5~7(+R4jKj_K!nd9PuO0DYa*v|k?7!%hP zjcLT*&LRU%i56EhK=PcCyZ?^tYJx_f4+Xxnwm>%G$)?#N9nY+lbD-~k-mPIv#*)l= z`s6cT%N8m5WMcNq{oMnYMxE8t#^E&BsVVGYhtITm+|$KS18cG>7cT-&g$z_n3~(-x4)5lXh4B{H#ndo%nq3xwHB}?q}{u_aq|!#k;MgJEMtN z*p4PhJ7@_K&l&0^U;SZGveI( z`rv~T1#!I4k>`R_j^Vh4kK~`Kwa79Q=u{Q5&UxQnxKwna4K|}eFWCCd&NPVfxo)kkT=J(%tG0O}JR&~eTp#Ps zexwk(>uPv+UfJTz^5UuTX^`F1GmRb^K-l8N*L)U5h;JVdW~38fC{!)&lHzbJ9RG+o zNVE&)Juh+#amR$80!F0S5pF`R z?ydDuoB?#Y+2t?jRpKssO*Lk-!iUEuGbN_#(=ary#n~^sveHkk_jgS=rC6e?yngiB z4JQ&$6p=z3LJlv}!-&>kU&5t$IqohcX7jH|7jJ503O8B zkAnXY+8#0Ten%hyCq>uuc&)nApe1xsEJj%=`_!xN?is$M9W)bonHGU=||Ga=zLK5oxF|M{40Z-n9 zo=rqfyL(%fwaK2)6A{wkk^_r_{0+46u3cQbM`53ZJqs^q$& z1$Bx6@`nJiwbDI}yB^F9@~8OxT6PoSO7HKa`01Vu-V@Y}$MNhW9$7NF8Zp6VqZt!9~m;7!B z+gfg~53gS6`DkwfAZ(NLY9imuN^eNx_tx*IV6>4efk%2ISieOs{~e-Pb0KJH1NFu9 zv#2+yHbh8U2i~^}k36=ntAueFX9>f+mDBRBut*#O0pQ!5+gw8(onWx6S#PBs4$Dr5 zIR_I_x138qcnIn>=5jK3ZYwTV7zINny7TFC8VZ_0&?VE2pzJ{I|4$FJK2`t=h2Q;alSht#VG6!Hs2e(gbn#)XLqMj2}8!2_ihAy&_fxWrA0l zUtC>+juyRl{S;uHk&YNdRRTwpwQI4gN`obRUF2R9keOV@Yu6e+#&gcmjuJnydSBZk zQI%6n!$tnF=vsrWA85HDNkp;oWNMK4Pa85ag(E7wJIJMFt_Q0#I(cfG^h=)Kj*U-E zfh}`6saQQ`ic$61?x`vIp>q$-Gebb2on4&ucS!9s4v_Xq{V=f0|MendON~Z>(g1Ck z-`$pQO0#s34Hi?#AE|xJsymj^s}%XXzjp@9ul!@wKsV4=$PlRmc^%H{uPQOU>e!!k zaZ;hTYtpL|_P7J*$|9M|#-T$wfmv6~E&uSa_G_%nhTOr_)syWR_m708lp=1Qrf$gw ztht2)8tcT@-%2NTU?B1x8+THi3rrvB2F+!3N>oqrbeMO>G_|68TW|3yBy)xH7j}FT z{mz*=_vTx*35+jAl$es70B6!NQVJw&h>2<_u${M5V~d?JiV8(*$aS^vyy8zKBxq)T zxwVI>Lhu}6RhP+wyLQIlbA!5~kn4^tV4$R~=hn@V&aSZ*Fh3Uj_1^uw@SV$^SP0qH z;(jS%WAhfd?1$%p4(`x6U2C4`m2cRP#e_IG_)(eM6>*J6{lLu_7+_Gl2SY)xzjDUB zrFhnPztIcR9LIq7fcV?&lWGY{MF=9_hX)|cJ-F}O6-qQ$+V*WZMe8eYxxV*cVo88= zB;`fTtCC7lvo^-WzFLEU7nM$+(r5nR6$&9|tY zZdan0^Hzld-G?2bazEGWQ<9k>|ICrcHv!Wv>z*ovHmGpph56=3j`T4^Ygn+YlA{s z&Ij>wL+0!oXrI2m=f0{Bw*}|g>1^E8fws{lQgrjhGU`#Gs1?Loi3@;4mQ4G#D`}bY z`eLoPE(f7^Lhp=K=-!!EZ~I&kM3|*M%WM0S>R6>FunHm$^->=Eu$T2_WI|X#h2qp& zW{~0$hXq`r8izTYID4Tr=Mp8L*?^T?PbP~ulkSy!7{@9iy~ZXh>lMYWz@sV(vA-AT zwRV9vT3C;J8r!Ag1n?Amey@zA+NhC|D4e@vq8H5s!Y(7udOPc{nbWcMWl!uK;V zE*Q~O5t~4f$V97Eq4hK_9=&Dsf*=lEPVu{@#V`4X>8l$uW|7r+%Oz2cY&KkOyzAFDpl5t^QTlV_k=*bGdDFY%_Pwa1uDqkye8)l z2eQ5&^R4`y=MM%{Um_Z&s@z)ZL2A_{~f$(eAr|>nLjHAU46@5@UTI zckHZr&Q|N|5Zq*aF*=q+Hi%HIb(CVMrA883?5SO84xa)-x!snh3}qL&;k#&JtJ9d# zZ2VbzU9}1nb!BRh$ab}NSo}8c>daPQIQ41WjYT2GwY}CmKk=i4v|U!VRx+4n&(?rA zETwx33sXruY@|h7-0t-`$isOy@W<2cq?d&jpR|7uyJ^@F_Z8qYTp+B3UniE56t+kd z&pUSKr->QaUUhVe3Lo}NT2HUP6rDB*7HTP0Im#TSqweA*KwzW*H?iBqJQ(Eij^|X> zu+e*9z0n`<$TgQSmfEj@zFnetl%N{?VXi-xale?#w{2Np?^R}1Rl5Xb##D77?nwB| z7V_&+F`@iy@COSG#Onu4gV)v@KGt2W=*BX9WHmSoIB0E&byA2X#PQB8py!9i1pN*6 zYVNh{X$|=(k3r{`FF+@zL!W1+Og1*WU&rT{pH(#DAddWfwbp81a_+FsV7wIWB1NqfbO?)92&dAhtyAL(Da}Ng>?ruvf9rXIKWUp z$*0{|Og3&{^7lVkV|e*R>IG&=;##XI`}r!Y?>s#iDbgazZhg|`ksuB>4^})k_3nCQ zZk9g#1$BTqN1brydcw{XFZlss)2}Nf8E5NvS9;srhXVALt#!^J8P?ECx#Ob|rC~*! z*BuGH5%?IU!)Z>l@hod@rF?Ny7&yyNNd3YTHRF4STaSKG9dWUbJ_H>-bDK}NT69Zx z&P?L}z3=!$(Y#dqr-?7Q`~XjQ`k(@jZaYhuf=K8{;>LH1O5}mMa>pYvM;qsBF%?z^ zOHov(HJ`l_7O-k~d(2D0z$2@fT4jpty_q__(DW@8FNa_v9J}x~;`A1%Cq?kZ@jXr` z`eSAaI?QS)r&XT{Ns8k!v-b_y+XUrIKkc_LJpNmT8lL&tBu+;ehy}9Dw8o%GFh;WdZ zzg_?JsUN!nwxM#QvFw&-md0EUoEc?R^L-@oD1&wN%+%%l#mTWj>uW*m5YtF2NjaZb zoILp^p@FdJ4|O#IEn;>};jPB}*s|5w!QVh!){B)oc@EDZSf>G3W^H$Zv30t;S;+b} zH`LO?#&PN-Z2Sr?d$T1Xy$3k{dJ>lp=H7T5#ihx<<$h2>)WJpd^4##%BGdgPSe|*Y z)gjN}N?tl2^pFHb@ES2(KE>WMQ2(X`JJ4Wdd=HYSOqOma7ngA9A|yX~&U1kZyeJP7ZlzCN$&R8wl3+5rG0M7Dg2&5 zqTr}eoZVr)`mdcOqP84r1tL5wmycPr6@yy!YUmjC1Zs)PTw<*b7RF7rb+d3<_pVT_ z1co+u8SLF^VKrXeye2*#6&Q8MvGo`&++)Yj%BB+sIhf(doIKQ}ne!#@0kFhJz}mZ1 zU6$l#hH!~J>)?d31Hs?3x=awrN0gc>(O}3qPfyN zeFA#_(yUDe*ZDN@TEHf{g$q^FYVJ}_Yn^kW;PR3QA`@azIx=a7w`B3j^U)-!2p=X{ z<)JM1#SA4+hmzuJh!*CE>dWY#Kxz<)(cpQVB=&Kt3%0gv!{`By@e#E7Xb{ z0?nAbalH&sHNfo3BghS881?LD-8D8L!0#7YK0n7pBHHvt)}yp0!>cC4#g$97>mN4Exwb#r+uPqNZ!C0U zJWzU^F={$^+ta6yLFpBM?t|e&@PN|k;P!r_hx!2B7=RWz@{D-r-tkOr>q?u z+J8l$qiAzy&v>9P#iU*)-H)>*lmngFMbER`a!zZt4WX{glZ$zy3)-}UKH1@+L!Df@ zJx?}us&-n>^!h5Qbt4kIA@>0nDWU?XpDYk&gh@nPybA>1y{YzGbC4UABA~6l<24T4 zw@GaTNbR;T6l{qN&>KbsL!4!A#kA7$xc;@~l;e+_8FA3^qvIHmz;DX`9(#&*OT*{ zTwPbOl!DEplZ`rSNX&r_gxVva?XJBhmFE z;k82W?i=V_@1;wozq5aT57E&2$HPP0I161BN3=US$DcU0$K473mOzVD532wJ{qTtO zA(rQNC$aV5mivp7hTk~0q1Zuv5;oYuTz0e$xnb5>+Y={8MCn)7;mv>#tBL{!blRw+ zXKlu;*bBNdEXJwa^RJ+LjA}XQGNrnEX!IWdO)I&!;TsIdF)A(Dxgo&MbV~ZRZod1n zQTJn%r_1i};mjv*s6KVghZ4_JWVvC);W9uIR7k<#u_S*1I1K)fTG*-%O0fr zwx5Qs8*+c#*KIlk`|GcE%sM4IKJi|LS~Hj7Rz<1Zdl2ce#uMt)L^@ezI^Y{%=nntJ zoIUGt(^t0dXi4x~&=BW|A^K}BdJsrf4-D!Xh}Kq3boAXyPStI%nP9yjs)Dr$*#0QTO>M5LazAEv50qGfMnmSS zZh$Rcpy(PH3dud`+gW;^dhT;&H~iy{iEvJlLZL~HLN?}hJ}$pr8@jQtC8Ru*wVpPc z&ivHuuM6N+3cNwzF0jZs7d5$&A-kpuj_g*emBsHGGO4qZ505ZZ#0U-Lo=$T9k(@vK znVg??*iHX3Ij`gPc{h>8KlB>Gpyv?M8m3B=#J+3Ovqo|?QK0z{qerc5?%C4a3-MM$ zHl6M7bI2gM$zrvc0^j%M#q!~Wx%mzVHgEO2J)hVYdtVG;U&5AN9P}L5mU;4n&Xb~s z(yS;eduX!VY9p;Yzt@M@!#?;=nY^zV!2SL~#p$S`Q(}&6!dU_b2dce!#swNnw(!kK zy+3QM-jo|Eup=kAi2G=%J` zG#c6EJw!+Ki74;y?)Kp9+k*K{8|NY9YYp7AaC&ytiX9iQY5iLZAi|t)k3V92pykVH zXmaq6A{~)k?;FEh2>*yA-gBo-y{^H5D6;*iC&= zc*$?Y9reGH;HNBJnEi$_dYo=QQ-(6%JaL$LQF?VB@_i|9$o>q@yv$>irWZ58`SQWR zp@BI17uD3q3sVlLF}AD3Eu<*DHXANI>reUKg>c-9>;NO*@o*;M8;%80xP81?Oe_^- zGN>}1$}&rIK3iu~b}345KE*)Y?`5~KoS1z$TFOqx7RRVG_%d2b=w|EXB1 zvSgY~qvix}76?}`@=12PKjlB)ubpBMV_Sa)uV$HZ?!8J6`@YJ6q;k4l)}A~A(ig$J z+FJTH>KtIo6hSH}`r~?Ztu>skac|CBQIPzq#^D+0N|fTIT6Vci@U3VCtokSH2ZWO0 zlV#>XwlJCa68q8t6wxHX2vG$(3Q@ic}$~NViJVINa|kwLoJp&*kjvvL@DgVwkVG-~?fNSE+q_d9`HL z)V}6en{a9N@V=tYi8zskf`VajYmvWUvP7pF=bC4iaAmD%qm9Y|Cr3dinKrNx)YrY^ zviji|dWDr)o0oUNN+5?wIT&8kT-3n8E92dbIohCfGdnCOq>x5;_inMr zAi?xBf^af%baKwqb9q_+39o(1_6ywsst=z-Nq0yZdbZdc(YBrQ5@~+c(U9TbiU&?Q za6FBL0CV@5{u^T~&Yr!Y{-Z7dU$a7+hFxt%mt9X9*6 zbmPe&%~U{Dyn?$z_P4rr6e^lOO$WQ3&OQ73_EwI})zgpBuh?mb29;F(7~ujZgLwfC9e{30|K%K%KN(EY163+5Ot8h!C?` zZaHnn+8hfKAVuuWXOj|*Va_*;AcfEag1CAg{tnbQ%OHfH=cEaT4LJUc`A5sz`iAtf zpO<3K11s`Sz&_90D8Nsl3RV+aKGi}i8W&)VfA zf0fb}zd{0Q35m)|!3xagr26W`M$>_1DVVNxst8qospvqsKszHP_N}kraZi~_TE!s+ z_hkKR(xIojKJ~dj@;>8E2$ZrE~3+rN8 zR7LDJ2U+K85A0>|K5{7tj){-u$b}k!_cDgz+ZJYt-Z}hA-q%ir!!cMAP$%Nre2=3o zrzISiToZ_NFf!E^Gq^&5e@^{VVF=@)t$4lNm4L7Hy80q zBXs{w6up=eJ{*WI7JNR(L2FGg=;r#$@xt@cGWFBFQRc~fps_R-L$>4SjQ_}G@Mp>L zt^k!_^T~MtZcOXV3b&`8sAP8+|1~>=Nqei%fL)S z;BOUWDGG}PQX=+)z3*Z^(|-DJaB;fxrN(9H^^L1Rcce2>PbapsFuT{mvW=dC0HZrV#Ofq3$bCpXX((^7gQ+2Brp zR0r&2=)>KK7viQ3>T>fU()Wli)6Z|pR-rt*du@on?rjsW5&JsLJu; z!|Px>!^7tR^;i}A(?@vL1{se`!8ai6M@^uLj(3M(w*`*d9~F4K2kc$ir{+Xdd$xBi zkPw#lE9YP0qL6%0bf>$c*hp5n&j3KVx?u7GyhJvwK9(2RzYDbt&QZ(+J<=@fAk+in z$5lPicOHd1$30#Qeu8?JEM!9_F(UE`j;NNup@EgbU##$IvG|bW+wJBx<%`#cXM=vX zA93d`*_24`Gu!U#OGIpo`ZC!MQgd^QxgB;nfvd#veDZ`a4dhh^{P?|Z`R&C>$Hh=S z-mB6gCD#w;wwzr>eKY--YKAp4IzASp=Vc zLLqjtm?nY3xLq3p+FBb`U*3IXA`pp^cH1C_!s!jXHkwdwf!_H&`MU2?qbL2RcbH6h zA|J0_q@dJx-k+Es03A?}^PLAWRp18pG2(O#a{5GBx_4aeETv!S5T!#*EOLIa!M$n1Fl(~6dGCNsKZ5*#V(5$`JJ$CcSLxrs}O*MeB4rf z7+2Wg)hasQ1MBcdI>BrsdTzc2l)rK2+(}{2y?aP9sU(-1gYro?b=z=zI*kam-oI%D zxIoI%g+j8a}#bZvL+uUr#Ei)X7cKsWLt!d z(1I^az^~}|Hy=rpjS65Dn30@q-H+hQ_qJhIVv}tJ2ydU?Jp8cRC$ve{+sF z4A(lX8VDo5TJaPB($crz(GzSgJ5M_J;Xna~326Fwk!+y7`h-VZF88_f!9q#q!j(yK z-G(vDBSp}nbkX0Txv9q8|GxGbA7flh>#gS3bcA}Y0rk>2x`#Ww(cHE-&(Zq%du$=3 zN(Cf`DF|987r}n-JzQ!&>{vaMCrz7iW+VtcaN)Aqv*bn=bNQ0RzaE|g=-PO4iP@Oe zI{Y3sEGM^ZS8c)8r$%Dihqq8~bu3%U>k3Cx-*?br&NZ_@8+RX``ieAlw+a1nkC2M_ zcuBc_8A){;wuEZotfkjPkDNieg`iXb@LB9{JCJD~kUHZ{Ku^S;EOvUj!4!3OS^^~f zy=)4*(hQ}|0?*Ac(0|o)Z>f_0qT6pi@DN+sv@NcU(?hvRzyn6r~K8JQ@6D;amJ7;#asIDNdi*D9*Dbeq0`) zaGNMo77fi_HuRTj5;$&xgNln{cBdBukDX~hQu*@~XZF+D1np17k$F=zPO6#X0a7Rh z;*#zh8nsPDIsC9L(Mr=LFQ0l;zgOhrSKa&6wUsQ@LUT8dSYi#{hMA%x%eMV+)YICY z+-NZa;HltUR}|kGp1P+K`#7LjYDPckOlSzs8#9SHVSbE5FPr)Dh}#unk(wpqxmYf0#kE+PKNGe^U+3KE2wdV#qPz7 zADkmC1C={sWUYK}rV=$%scYiU12J7RC{iq0!x$c5$6c%?a|zooh=`k5T#r>QFm?Fe zAdzoss!?s4I<81?s7rik&z9~vBf=-h@m#-;JX9szEB!KW9Dijo{Ktw)w_@9kj|xNi z%N>DrIiwzecZvcEkDBiVZVdynz#cR!(^xgOv&>meKh4O{T*5Cl3zAKgTCGo zB}>=wnrkd+a+*V@#kgw5CjNE4`;iT?;^yUwRa4P^6@`;gAxVE-(G<@=IOs&l>iil+PV z_n-dtRqHA-)T>ltw5LhD_Ztw9%fBH-0#JfL0d-Jgu3hui>I>%JuEXhok$9lH$@8u& zz*sxNc-Gl7Gl8MMtD-aI#c?I|>}$|Dfs>x`)WIAvPrPu2U=dx&@{FGu0#2f*=FjLD zeq<^75*0Q2;&?M+>ShJt+2|$?I$U?5-Dvkkvn0zCKT6p3h2Vlj{akoC%_$<$E7IQz z@L9)x=|xR6@`pd_h+k_6Rl!TF#(Ug(WdL9Fbn{g>->{V`8sjojhNRdVOMe5Etl5WU zj}XSZ$1$fC2{})kj?xiuSnI$wSHHx*6i#OpOz4R?f8K2>lqN&jP8kv8`SyJm`_ES@ zY(QNO7f!r|+g-lQ7(T&IUpm7;Y{-+~OB;WFqG=QFya0Q!Ba{0f@77KvLkDk#{8#ys zkJf97+H^XwmCJ;ZSu4TRp7SdcS&=KK?Ja)~u|!+Kh}T*~ZyHd%u%`7)X1pr(>jC#y*!v@&51^jgdmV7cL&aB1 zg)jI!hlJt+=j%wCM=34D{~xMdfAr8S6XFVs#VQC9GXasfBe8hQ11BWcY4hI~@4g`6 z`OlR!=_i|C{%C3V#{*$uH0@?L&ZJ)5GUtb`^%gxJ$%0aU=St7JA)cDG!lph_|4xeg z@Bbx@kkAQx>2>&Orn35D_)MvYNrPfy(fD(ZIf8=pqE!k+Vvfyi_ki0HL^T>p(qxQd z`)Q=}8SH8=6=EQsZE*2I@Lg5XZ{L=J0T1<)3Sk1CMx9fgwQh#dO8s7U?Ju_@n&miQ zOCdSX+?F{FxG>A>?D+s-2)@mpxEYP>>gfX5xo-x%Q7?Pb+Yq|aSo*$2 z+fC^94`qfwzK!HO(is_Q44{@Il}@K3BH{~sUt!&PD5o@`y+r6F$naJS*-wHmQPVAc z;sMN9**{~AlkOKE^T+4?*TEf-vcn_sw0_M%|Lef`0C;#U`myfU{ z$t+z+?l`x2Z*7*+#iYl>da;Q73*?dkv2W|}i3r%QpXDzbZI-1dG|`}LU1e-j|8K+ckMT)ChuBBw2o_4)OQXoO0W(fV{+nX`|K%obaU}&snsA5K3~}bH zu;J&Y?VkTlu==Mn*5rtNjE){2Y{VkNb*#zH@o6hjLi%pVmh5bW{&NkGlt&s)5n#H) zCi(m#M_N)*QPG~4GY#XP>LB_%_Ah)#kS(f_*mAc8a zzy9Up!2%FLtWW-r!!#5s1Xh@5?*Huu=VcN9)vjQVHB+w3;(8p-I^5VA0MvHZH>Q^C zlBR&5#f^V*LbEj$y=rsNK^}e{5(9YfS~DntgOz*!VU*Dr1FulR-Jk(=5T2${iq!M^ zZ-oC_JfQnvfAV}uTxR3g7|vVwKv2yD*sgox=gJyow{eH1@+6~0j<*KZimQG(#Do#0 zQtt`O-uFOes74`r*7SSD@L!Y1M@x8lw#MT4Ad_~i*v_*xlB~4r(iP+Oq{-9XW*RJY z9}NvF8p`K_)^9$REx(^Pc=ET)e}lq+kE##>p%36ac~fO=UM>Gg4QeF=l?2v{?{6STQ7hL z5C%hZw(g@~^>-c6Z7V$n+*bAvMs%GE6~izHS>i8F9!qLyBmx$+Z<1bG)39j0bQs?M zAm%Td#xEYvtV0L5jzkx0R!L7Vy7ZE|sC>SJ?eIc92;@`v8Cq}8nu>Ls-<(00*Z_a# zSita7^5S@7J)%D@$2P-P*M*elLv@OPyPC}|Y>z{*Q#_5|NxD1i;w{yLH;|yjVZj%6 zYg&a>(tt)9Le_UQyOTCifVEOz!^u{T<(C(48yy)6)bncbnbclRc3Lh~hU^ zT@JM<%fE~W?x;3L+BCS^Wrap$x#1KU8lU~kN$0eO@@c#*-ZwX8mOsn;duvOPx^b{ts<$9TnyJ zg$)Cuf*>6t4HANYsB}t5OP7>%gLId4cPJ&@AkvL=hcp92H^aaHL&NuY)N?%X`_}vZ z@vb#%)-wb1Ja_DS-}~PC+SksOO;oWp7^Vdv0WtN3nC@N&MzuzZsJC`_k~t)ll3J7lBNbpg-AJ- zLax$*T0f0f6pR%PVdoc`{r!l3t29sUhaC1cC{As*gpRr*%NdY_MP;Bj@eQ`N}a z=x`z1j^W`X#I;FnwC!7|1KNDvmI3tI7q$TaKK7a}ZUex$d4Ro$5&)K|Oi>h>u0LsF zZLvKr+}2OCn64C!q%`cq0=P8;$4j(i%%<`gn!7Bx!{rv72${5)8yq3=TH7C&!0mjh z#p0C5q(z^U3guL=biXEhupNliXoG*=TZXf5r8VLV6(-lW)2g zR9gJ+MOr(Eid;ZWL$%WOc7Afc-o-!0f8`74zV*c}OQQ8D>m^@n(8cnJaGbzZnSzkh z1qSA3Za6VVT$-#y;sp=iro&Xjo0=HeK=&sXC*)Cke69@g&Vf^o!@Tpt=Z#12r!4a? zU6-9;UCGvGa}oD_!R}i14iKCY8Hj86>i*JGtrpi#9H8E~ekFUpTC-k`9a$2^Q?u1~ zWe}VbX853Lmt`bnteSjnvZWzTf>{)$=4Cdr(8 zusOxZTGUS8Ko82II4pE?xtd&iukni$M2mDD>kM}wzC$l*bZmWq?Yl5(cxVh87g*cq z9UCyKq`8*4bRX`{Fn%IbGK z`^JGyD)`FTFNM>kPnGcFywtEmBFs=q)V#vxkiE)l+zAtuzJm)L!(5!1CZf`Lo?AKzfGJsL z2{*WQuDQEz(86@(v@E6g2#T(f0WFGpe z_WKn6xE5DJh8G5pB~_;K%jEm{A@7vy&U-GIrx(^WGnt*fB7T9}Jcy$K`SJ#v%~&Io zF!AOK?d^rJaG`4Se5W}(?iODOFZ;Q?l#+6jnr;c|iJnR~~Em4lj(Sg$W8A5KwE zM0MGHl1J?Uks_=gb(h=cA$y?!cK(@G887|E8Vl+q62adWVyz1@;L@h9Dpa+>IIY1c z(*FW*TX9nH%(7W42;{E2g7R%}_3>v$->rRgfSqfQOZmlLG^y*W-bf{uO(K0NyVceY zdLW_)YQEmvcp&On>A%Qn(D6XMUazXjT(D2zntMXVpgU3BnG!^Hf|X|D9@LX|NA@&P z$BW}*k5w~XGJJF8N|ry?kZ~%Bp{##}?sad2uNWtY_u}gvX-)4b7Yay=A>&%RtUx5t z3D>1E^%nV5D39&BYsXVJbhL4YYlG8G6RL&{E(*Pu3sYcu@E+and{+F@8broGOn44g zSS$ipQvguGyactFO1Mn>*ZYQf!zmNEG+2fMiMlwVHyi>{6&sl~U-|Zd?wMjby4@&| z$rHoLdFgK{krOg&Rwj!wdcCk$vJZH9IrHcty~@8&Qzl4Y{XFc~F%)lk$K6_{rMR88 z>1X1d+A@UuaPw{iKioi(JTR#FiE|RMYy^m}3zX`zzF^$0bJ$^bISM9=*yLS{EEwhe zmRLCAhP4!~T&As@wtbyFUZ^bWhLpl-BNXuXrEA+1t^=?jP9*}}#l+7$Oa!ivLZ27k zIo|1Aj08^&$OVraXEi^d&Qd;golde@$+?J)Z1AtYaUXy1QUp;yl5bXKwz^KLuVDWy zkwr^EcQLU)sa&VeODfZIfaIBd?F$n*Sq9ZY6UR}3_j*EY2P>uI)!L9L)sKa8H=QB) z6CfK1KzXmFlYF>+H}6E?RIT~L)1fWjA31_U-RV#Ac;qoi! zS?K4H0J*iTu?AUhHqZc0=E3_;W<(NznY!AN2EQ*srJ`6^>A@N4Gq*i=^=7jY@NF=> zMLLa{6jU?117s?W>H+-biMLt&j+qq;{kFjBR2H0V<;3PnE!B^=J&X$U9|#9QfP@6< z94Ue+TxkvqFvAM1JJgxT3xMZ;FU|u{OHJ;^e4^ov{_arPx|Mbo$v@tU3ia6B$7Whz zp3`k9z&Zlb7@TJHy=0*f49FWPAm2q25#NzKqa7P|2_mQCL4xhyn(yrv^^*CeNY ztE%WR2ZFyQZ6?1vS814xe&OEOzML%4vMG*a^?W8Zy0gSvZs$QFYtT+A)SB+WE#5jx zm0_im1FO>_s|A5x#N-Q!HafRS?SA>8I@C69(laNc3O~J#ykLuq6DI7-(*I+@puY#W81d^qH39{bwHIpauGQ&76H7-1 zSu`8zlODhT>OaVQ>Ns*fa>K?rT!AKJ3u=QxCt&>*=WH89e$1aYlp?={z%Dgt*4$F| zo~FxIHaUS?Q$T1zr`}!EKOyfw4!MH%NGTslQzc>wUrwKFq`AyuhaIl=QfG;UW644{ z$?0U`fGp`9a`Ht}Z}(Ll53Vk*DSSqiUghJJU`uk0>kf4$HHwxnA~uCJPwP!TkZ{@w zhc=WY{9!$>S+l(EI&Rid;3uNzTDn{dE;}-TL|w@=c1ByEyc&DYPg!yF+S2|U15Avn zqW;$%j;kMTltk}7b=0|VTRlcBlcb@^49q7<2%<$`PxoS%wk`Uc)P*JATHSfW6nz^_ zOQ3^l)$@do%nsJJ(p?__Fv|z&mcENOY0>X~-9Y>k)%xQgYW38I${+2XP)|EWYbogS z%my~4-@}F?Lq)}-IzKscbiH+RmJ@}uV#Y1aO%&rhFNv3CqZ0wWDI=oxerX{LD>s}; zt#JTvK4IUHLq#bS(@#TRN9M8@uZobXEttBJJ0e}hZ^-g)*7#=5O-J0P)61O8ITT3UmKX*8)r zj~(XlO`?wT?2FOvWcUV&@w^6N?1R?ksJ?KFA?J8y?9W)P;0GNm`jZ{%isb2Q2Jzgj zeyrWsWsRqml_uX>>r}8JS$o@?%Zv(k5U^*aR|}rcpF0BpplpeDZth6#u1LGDk3!&P zFmKjXRxuPOoq!dLha(#4Jhq8}0Ri`D%>>bF?&Bh*xn5E-eaRwwOhBgKGQ_IeK0OI3 z_ev!C1itm)xkC6-ny(u8u-A&arhe-#t`3DCak~Lpwh7=-Ru$+h{qj*WidM#K9{K8p zm7K?F_x;l)4C{lMn^89>3+m_GUniG9NcsvEgvOJvfRMBDt(fmLn<)Wcmbzis&1F! zEuRGvtdXGa^b;o{^??j}l8tBP>q=LJ8r^FLhr_&6ks(R;`;(tIc%7zpfMbyYE-AlV zwXT43KXEd@e(qz*A{C}gQS7J0*!YX_%}uwZ4`#h67`pRjhJGNnemHwt!|6tHl@&KA z#*7CY`W$uJb4zR`U1-{|;Em3sZS}i5veTpb3>Gy~pLfcTtx!N|0ba%@F3o`&R&e|x zt);Q#7qQku;uu!BV>Hx9_8?Xj@cNO-KQ=|WdyyG?La zGxykyk@s@(>W${foC*-?6uazV_j`ir$5crx(Mr8QkPo@W9{eO!_sws0M5iog*t~6%1-sXVUyUdYuLo}-h3(a zL++5a>9ZNKNKV`)`5KEHa~KMkn!K4!@D;kJR0~jw&&<(IL*`w=hpimFR7LK5mjrB6e5WUy6`%tj*0d^m$fb=I!>U^DY z-dWWW+beHG=;<>2iouuP|ISQNT@Ny`hMB0$-G zV!vvwXJcU&vhxbf666tMz8q}-9Y@>sY}HO>BKIuwo89yB3egH$u%pv<+V(;AqUhfY zGB)Jx!l-FnrCKv*?e;8EBnJYv@^9=Q;fG7>`V}$>gsi-EXoXKVn$dM2hd7`C_+cbZ2SBDS!WfnMH54lU;XZX+|T<%y8rB4o7{X>ymsrP3Q#| z*L~%TY@DQUQVgEV+aB(b;`#BFBJ$2bCvGbqOgQb-L}cUTGXVWv3hhK;ZD`9-z|L(y z>cA-u^o+jfJP>I0jplU|fz67H$Em<;}p`JK; zT)VrZOcW)YG%1?mo4q;7RW1p2j*Mec6iO%ylg#pVs}UUwNE_7Cl+A104cDq$ChHs= zPp0|Car#BSTMO5~y-JtwZ%(rB7F#Ps6hJ!TG=s5fuUJ=;fZ|1X*&B|a{yQ%7vA)N0 zTvO>Td!il;J<->fp&s^iuOS~9pzboJ`MlkXDo5AtN@_{{`Z@NGp#t19VHDNLnh-~R zxK@KB&!A*nP@34-OwaG0GytX$rLZPttyGpUaLvO|j}6Opvhb>T&Ky)?K4txF=hTVK zR=L|KFHX%GJ)=Os0$>2<5xPLd=bx|6?JK(CiT5SB12xbvscG+`Mt3h%$`~r8WnpI+ zD#({*ffKJ<96m|f-^;z;tvh6pc5NUy~xA>`eBBPwJcFS7Spwo@ucTLOsPewEX0!nkfQb#T>ZXK4zu z<4uaiEKnNIOJ2PerkjZX2wGz~KqK-&uhOu(_(Rr&i^^i!3EN{T|MXbar*&VYdG~i0 ziV2z3TCr#O=s1(ugYbMl?l(bb~FJGT^dhj=#qwEt@Y`=_jb>2kSezjCc^_Idk`4qAvK2RxlEt z?Qvx_y@{RsZ8|zjOop|Wg|fQFWK@&ji5mS_?r>bo%?G+PvJLCTvjmIzdgVJ!2lW&p z)K9c#?T6|>TvMr%k!|Jr-6;ii+H_P$-a_?s7+MHsVRBjtY4?TWu(w{<<&zaRIMOjak_| z05jYqSwJDiEPoi_6*`-?myf30R$=Ls{|>@B1035)WH*x(=sU*)Yb>!6$f0m=-jR%w zCu)^S1c1@o0N=Gs9`M$L?Gr#J>wNDKfd!p#A8zws19A#r5NaY#(Mr=uAdUx2DuDS+u8=RSNZxuP;Px%jyp*cB# ze#n|0oDFip=&wNF>bj-7&kmeFl~22p4Jjpgt;aw6crGP<_gJLy#nxOe zfs&KiIXJ63Q$MFigy=$PrxLEKN0ZMI#nAU z$4HTaw`r%~??wA7N184C`)@Vc_|A^<=0H3bm2VWv$c9+0L=j`%HQ3Fwb&^^?+ns2i zS#L>x$f3HE)VQG|d#)b1F4p6Oa}`qpy`k=<##~BxNeOTB+g>fXvlf#Y% zFbDu*e!36*4qt$?!~oaPmo>%|+fgdf6j(sGybEXQy~s9~cothI{}g6sb&__jv}xY) z`F-NlFEv8b(bOLw*MbLvQNUeo+gaxVmvqCcqtW@0|Ck&fW4B~}s-SH0jvAYQ_hqbot474Yg(OH^XPH1DsbMFHU&N0`JLd;{l}9 zw$=Xrq&9tnAK7gB_C3>|pI95uSL_eDk#j5GMq5q%mZP;qsZnFLWkJmDo(EEJ5qI5_)7U3epCrR7XMFh=Zl4HivWVfjS`t_>%P*{Vl> zu+KSHRPj&d$oNb-ea&x~FoS35vb_5E43Y`)wX)u`VNbO z+hzBJTG&K^@*tk!d2yZX^m$D~#Y_BFgZF*&mc^1eu%Wu-dW|YSLZ=*@H4ZRl`ZS-0oyX1?TsM~6P29O&XIz~ z+y3$^wC5@BzNm?^PaBFl@R8k>Gkw6_-;LYsZa6YA-dd$udYOLlU~Di49)-t`)H#U1zrpH+fQSqVkh0H&+e`D z*LiY2WVMZhU2`p0lTzXcoWA>v`(@rzA3+tX`nm~|f3mDQ-CZ()NrSB91eZ>>FHRtr z>lkn|IrQz@m%Ta0Fupn?yy%0Ij`C3h0G6yp!(#I(=G^?m!DKdv{(kUPf$=I}A6QvZ zjd%ER+?+(L;HNWbsF;p67HANc{_dZf(?r@&VypIyWeia~1WKGgn!8ivWz12i+HX&T z7KeiJa(*mI-{Vqbs)aEWkAb3x5ISg4b{w5W$0>uQ0#4py9WR4eoV&nYaIiR6Ad;Ox zJ$Z#fE3iyzAk&uYG|s_uJAWYRt-UyH#Dtq|0^uGspqxD-HdBHM#wynO=tnLd%>hs4}UE>QOG_(?7WH*+TtWZVD1+nmpE+Pfs z;ICxnr#Iv}+UB1E)7j?plfbX0eAAJ~u;$A&4g#&b8A@MpxaoAzsiylCC;ij0EuMz# zPA4Rlw$Wb^a?7Wi+)ad5_DtB{HxgDKpnCCnDP1DD;SCy$zO4d;;f3+C)-svhN-ST6 zsjuNqe2)yc(#3r1Qc&z=EnGMdqqlg*&S0u^-s)m5C>|&Tf!5eBL*E&ZN*>bys!RY} zJ^<~sxyyfvI; zO~Q`vhWnvz%-1YTfoxE+Ku_K2=v}DfuyOj7@&nn4hYsIMmMdhvVvDcwXlPvllXcq- z^D^yM|IIHo$Bp#inNbuEL&6x@3Y`AU3)8n{=gL5y8IMn1=|PYGr|WhWFL8iOV;l(S z8m_EcBFWl<(jW&xP1`EWD%nweJZbW1ebv(sV!Le+!$rv`@0IuD&9dZL z9JL#Jsu!39cLP+V==O5Y@qx1g^f&~MAxS5Mkt{IIxk23@or7|6^iJB1p=4cSi@Z}& zNlFN_96*~w3Mbe9TIJPfOwKm6%uV$*mkDGDB;;8E!P>poH4fRB`NeyK6AT{_EoMU4 zU_FXWImO&lDO?pr5`zr}3qHP97vD*Wu2P3eU<9R4fP{+Yt&iRhe0W~?0ueNy$@SJ` zo*Y6I#H_m;A-T2+BKI0As=b!wyD5xQ1YgmF;zu`ayK`8~F|1#+UpzASzztM6+a2=w zH-B#oC1Rmd?|$Z;?Kp}(&*QZRF3Y`nq%W^d4{)C??F5fvAVxw*b;9BR9)|`?M%C&t z_h+ZKwywT0){`N@rfpW{XWy<3`rN7{bgI0MSm@o|H6nYre{A^3wqsu)_cdW7Z;5zx z8*Jt?C4;>udyR}}C_!|vj@M(pG|wUIwy9Dr7H5}-BJgs3{u73%g_%PCMmCf0b!Kl; z<_Vr?K5}-M?e}n%stF`JDqiRQ&8BW;qIjoTlxro#)8?s9WvI!dTqx&KaT5w_ISK6h zP+@$$hlL!iKR>n)oo*f$SR)Xcued+C)O6hsE%gHFS(xhgJib4=KX~0V@qpg}*$d?c z+~s|(<5Hf7H;_))>HR>cO_)CYw7sleAPCa0S~9^he5*CNMYfF0ii&aeJ19YhnUhH| zci7SKWwW(4SIgI1%eH!zWRZON!d=P1_mfE8+LnV>I-9&v328zbsH{Ig@^73s3C(Q3 zI%@gz+ppecJb!QMcGF~OFM?3v$(UGMO%wN&-+a%V@39^vKt?-oY^%8;2jEq-0=>g@ z0LTbmirh)!-?*PV;WRbqsx>$@0)Ll?@ob4l_1t@BLi2a+`tw@wJ?bOkj3$u?yf2W= zD&$)dyQI?q3L{f zh3=QVa%XmvyCxd|8>z18T)xkdXGY?%osaQlm}xA2D5^Fc$?8z56c*~@*C9-iWc#=2 zucq$uNcqFo434H*49~*^ATsCE%0+XO-@_vh+28roJD7Li|F-%0B)|S--@E)hc;5|b z985ish5BBukhdIu9E!w<#ulou#8O`J@vsK*cm#yifPj4kBx7PdIqf#>?3NA$C%Nfu%0~c8-rg!uKi>b^io9)sLqiye8^`2et&ax<-HHBUgDwP zJtp@M7roQJ!{^R5`#qj|d3=KOf%}l<<+PV<`<0tc!{n?6*p7Hoc!D!bSGrssz(fqw zvP&hG*O1mfrXR0c0iO~4L7JNSu2|1Bs%~Y5ryzi- ziZ0Rt@`&hJc1@8-!a>^Ki;Mm6TncKUAQ!L{jkc6@jkE)J+~Y|AkJ~-6y>9)G`$jSo zYgQxie)OcF`_1CJ!(QaQpnWV(*WDz)g8j|YrEIwjA=Z#yaD==1+MuxZ$=fxiNVz>C zrL-mu!~N0)pd3@S+|pe%LS~W;yRVqhf$eQd{Jr^~(*QSA%q~N=p{Ca-pObHt@ma9B zIyzT5$tfFgVNeB>1z#|6rYvRI$Efoq&@DaQ)Y!b&{n1(7G!ENJl5#^#tf+Nh z?s@oq2x zPCI_)al6H4ngwOG@Kt*7Z1$ju`}zr1MaQd#VwLek@o0mU(Q9|#I2eG&$fBRiY}qfA zEv4d#T3&x2$fJG0<~*octoF5RUf|3=NjjCiy{wv*&1}9f=g4Kt-$dqH0eK46EPwMI zmPn+t!yQ%ki&jsdU~RNsXJ(+6w0Qd5@1!miTUf0v6+milp-zq2_=8)1rj1C3K!OFg zR*r1?(BlgRpsc0Den=T*xbjz|v*iZ2%o)tsTR7Y=5s<2w6zD$2h8j|!5^>Pt!~t2B zT<@_bQt$mDRQ(f`2wYIKBFBV}U@%kfNznjE$vK>1_$u?4yQj^EMGZ}EC%vUw4OeEw zidk7=S_1o>;}M{LzzlI-ziokuYgbssUh1yBW+@g*|HY2``%}I@hzna>MTmzv3{Eeb zn*oX)3*Q*7qevuc9KtpGU@ges>lYDx@i$fsLEA?NEX$s^7D64(da7Rk?h*deKBMqi z6``0xh4gMM&+To%fbaq`%RgA{1;0J=jyjs>UPyc*R8Kd^*!thx8*}vE9TRx!@PB1a z)e!jrSlBusbS3fOSKy7eWpH2~u38(^UfQpoP5)UctY@&2|| z{#^Wk`zeFZR7W5p?KjWcA0vc=?=y5#Bc-&m^cIKE=VA;5n*l~V5=-=8sZd+^)EQq zHUZf4<0KcXfBna=cKtOUKfdu<6#^dGyCw5(E_+b(|^%xNoEn#xT( zHIx$5Beg*T>%)%@LSdWk>0o;s5%5#wmSrf!A91R^SICX~G`{snv}+)S%FYpW=!2@z zmkk96)8+Z+PTvVofR~RT&ZiMF@sGQq{}k6Btw$1}cy{?kldn;y)M9~N)yX48$%(Q_Y!-GrZQhRZto&y4q5&9l@%9aPDQBeZU21l#sXm)dXGD(eKErC zZP#KK-9;Z|zk4j9-dOCnI>hoaqrmFy9oLT%Q9htVp!xvlWcG02KW7z((x<0CakURj zYU={=vURw!t*f?76(QrwZJdhF|D`s3R$n8;c8@bY)AZQ$*NN$Qo+yGrhClOJ9>5hw zF>+P(ui@Z7%64ayP%cl%exfG*ULoi8o|3cYPseT)&;$`@dCo`wC5t{Fpx|OQ?CkK0nqJuhPLJzm2fKY}7Z-FgCR#jbOF7a>xt{Y15&IVz4VSz>$p zI6lw~aqSMKlk+d#HAnJY8(|oe`TxfR5UTSK{Ne%tM%Eq2oA6?d$$a%&%EH>Vm)#@S zro_Enep8ztu;^AxSp+g@9&^t`CmIdXV--h>sBsyPM4>|b=Da6pfdu)FU;{@a(8J{J zk7ECW1jPgysK-Q|m@u3uNfey7*?Rg!p;!t)=B6&G^T+8BZUcKi4Me+SoME*5^RDs7nu@QRN)zmcGt15 zid~Dwq3TWyl}A^$JDh~)5A`63LkXzQU`=|A*#8&~af&nSAWjbukkCo+&@dcIHBTEGPZmZaseS$qbEX{Si)FjF20wfzkQZj~PbFxau>k zbG%LwN#Ui%7Q^Vfnc+cerH^2aXrV{ldr0whetteg$~3xqgdkAio_~UCe_#U-9=+(j zI0emno%5;7dt^eZ$w0{yM;52VhE<%H{+p_9pyJ8E(Dfd(o70U8^tMiu;MWaVxy=G! zJjV-W|J!KsSK$X#RZ+rsx7K0Gf|qDH2u>_$;RzxT(- zA7B3VUX3a)>qsz@D4jLZKNUviO4V7cQLnVBo{8fUUn~v29TJ;|H9gPUWPury_4AgC z_mD*@2{QkQoK|C*_yX>h}stG@mxGEh69pcZ%fL3>ggt{bh+)H#`U;upam6` zI%V#x`C+XddmYVd$>ue4O5=u9_fd3YK?G#MRvRR&SyJNwvY$8mZD9TwJO?CQ-J|V+ z=>3#k5@s;onMx3n_Nsg#&KbLz7}#GjreS~8*9QT``;XTqN>Hb9+J_4H<$9*xF&1y_ zYqm%sI24O=MJJF$ul(x$zJ(53`kZ1Ob$XkjNYVprhC6qDPReUqgpB!KK~|EVxB79z zKi{XRu-tbTZd;wl3dxeOt{NCe&sXTlz$~v>T{f5>39Y+MKfKfZy+6Q<;wA386B+5? z5LJqr?A|T^8cd*AmjMolE9?@rJYQzcns3aIYFK&(=LMrC?;np79KaHsD^FSqk$?H) z7XNssKtd#X=9%8Z*q{-F=tDVcgF(o`H{;)vMsKYfwEK_<@8^?Sxe>MFx=sc=nD_}! zMngJI6b;?e*DfqS)k0xcgul8vMEkaWhttgz4ryCk`_N9|NLPz7S@SO1o8?fzo{`jhFOw#!TPMZ|3v4(&q3r&Mrf|% zN~Z7nPbot{L_=#Qe~QANGxz<%8z1RwO{x6;!4)3-)PJ&V za4eixN{K4{+eFViUAamm(yvMP2I^`WH^_c4gEjY^s2cuXKc#Fq{nLnDcNln==v%aE zZ{O;N(P2pzCEs5HIxXc5&2!grz&%kN^i$aC{WJ$CG+8WbI zg<&tnh3Ikm=hj3#_pK-X^L54#g;J*Zyij4xa+-LHXu3$gIKPHE+PgL#=D{XDrz31# zJi$-Cf5mb7jp!a)v8WY)vpfmO{4YrpM_5=6%A;MwsA_9d zEBK`UT-{6En(#W^`DaE{!^K>^gJb<*-4TpG7VY}hYngUb=JYaiJRFN%@v8`b!def-%0K)g<` z`%cuG{Da+WHmO&d)VxRxf8Oa`9D<*tSa1g4FIoK6&mX_#6sOqTqQ2(_A0O#wQg(%j z$4|-ylKyIi&nE8T_hCHlI$0W_i#$>W>O%f;AHhl#L6b-Ve)~KBQu5zh?9+ql*W~^Z z?}35IX2J`iIywoX{C13AEf73H-M@;5R@}ioS^Atfd|!DQ@yA_!HnF@%$Vgo`(f(V5 zIAWoo5eV$v4Se{f-i3~e!`fPy)bXd}ARuSTdPB?H(%d}c6l^NDq?wman9=!Kyl?;9E{15{Zjtq$=r)tL|B_7!U~}U^eH8Gswg2q- z4su7?#kx?#aTh1jul6rmAi?;m5N|NS%!TwtJ{KC}PFp8fyx@&7?*f?ALh zN;HKb{9B@p{{L3GBH;*r@O&F#mVb5mk1+{KM2>460x22~b@!XMX8&{3TeQU8cL(9N zp8fL=zeIwB4(o$lnt(Mkm&sw*an)C9+{v?AoaL(5Mz_gS1#)L}ci;bg^>_=ur$ETy zd&xUkEB>ENQl)xoa_YPk?m4thb8v9!Vh6I&_k1_9Z?mq94R_HbSlJj3YP9ql%Kz6e z6HjQ_$; zzabE-z(ygCMTqF{hr_BRl>;HNvB9^l` zGN)=iTLxcY?3Z}2RDbx(cF19FAq2owC<)LybTN()M^x;4T2~Fh5_*BhP&CT8XF0 zok$!A31$&?IZ0(%#I+~lK z(=^yn?%m6rD%0uLvbMH{!C(r3Db?YS4axG)_J|R%@)up8!cfZy>m6z^%30 zD5d>!xY@$;2FEaC{;Z##GP`A8yW+AKoDxNraFTB~MP|RbA1xjTYBFXy z@a-IVDR3jW+lv*crJCnH4cPtY!dG zF-6H8PyO~5ys)6a?()PkcUB&o#x1=CjLkDbN@v!Wfo zLdV<6fFTCo{UH9>W^ljeJux~Fdkj!zLL^HJC|U)DdsSZ-v7%yNO!m?LX~T42AiD1a zkq&X9{n}^$p{u+}k?(k3_`c*^`MjU*H5nTNK*Bc%!J{4*N4eKJ9>O4}-MH@Q3Xk)! znenRrynyQIU?L=7%@ugHu;>}>KX`b27D_n2bJ!d(Di%&68BW|xjqHdi?2#uckV`EV zp^&)OR5@iZJlybeL8rV#5Ndc%uZRBM>LW-W`E@FntZzVM1s9`K_g(mo=-J`= zml>8^jO!}1D)gJ;E@Pi2)nO|$jy86?b?Fy&=LXxOJ9c`y;g-`u^3PN`(bAt{J*9i< zdc-Lcwx*A^K9~!jFg|dM!9xj{gro?sUdeo{`PMc7&?H9(U|yw9-tn)Iq7V&P9WRAk zjEg@>*qpdPn}tIixhNx?<%^8&o5{88fl?`k{@m0TzX1Du!>(c|+AllgZ(RX)PPHf2 z;97nrjk+!?^to%x;9XuIgLvKCj!CNCa_J;6=ZH=gQN^My1A%K<-VZ}V|r}=X*0XLC| zL!fGf*+r_rl^;++Ed!EFczprQ+-<)Cs0O4&@8M_S(1a>(#n3z!i$FMBcZ9S!pT!sr zr4>~{CUatCqP5soH|$#zJqmPg$_(*anmw-%cwh&tO|SG~{6C7>SMMJ48P3{siPTq` z7U&0JmS8v?P20Swa7^XZ&T?gA&??NHsCHjm>lb{}c!c?3XzB6{Z8dp1(RRIuQfPN7 zF9g32w7WCl`=k~qAf5K`g&D0~_2Km8n@XBWv17jCbPh2-PUp?1q&%MQUkA6)T!IJF z1z6UG6BD<`3uMxaqH&Hqs2OjXO;EL(ZAIA+-<^B{H@SV=n|G@^$!fltK8U3+e><#F zEBDf7{`e@+kn8EwsL4_g#W^%)3wv*&MfUQ1h*p921)i6=Wbnr9;2@KbKqj)UA%=!Q-tnyTBcMYv;6D})5pd`BrxkYKmJ|k8R$mL6v^ub1Sg&(Bo<%5%A2ELO=}J60xsBI(!4s z69+lND(go0UCe5GdhP>x!jGC3kI%yEt^u|L1}bG#CO_R!)21oX3jBJ!V5ZT7oDF_lY?;UhsIFKe3!`^mhg~ zE`E}QYOCCSD%{P%L&%~vIfVW2p_#nN2JfU9*<6X~*sC}u^GTHUD+U6={2)YEC^X)y zBvU}OGzP+`Tuk8)|NQxBdy?7H^)^gH%LT9D!jU1Ww+)!wg}Ju}x5IMl2z9>2kRhF{g{8Ek%e+Zz4;i zOh&3AYyOmIR~V7yJ&4=MUY-@7K%QV~`=jdy=nKgBy8+-%$w?jo~+C`DWO~;Ih0#u+{rK`7vv&8Oa?F#ic zj(4FhxgSj*ys04?qm>xF9-&;hP+>cD03#{Kp?M7WJ8CIs-iyfCo_Xwr)p~ephnWBEX zP=i0!V5N!u-y6sy6eKvxCcHA(R1H*ZIraMMS&|eUOD+VYn6KE7Fc~H~a!A4QU0NAxi@g>!$P0SszLL9tgyjCovE*UgBb#Lu%VK3`7Z+@_ zR6yBBV>b0zl|-r$VKWh^bca*l9ZKVoB^7|^9eT8urA}JT;<`n2C&V+Tzehs4tQxZ% z;e!@_BZ4ly)~Y8KarB%|WY+q&PsVS5iqbTe&&B6@q?S5c3OPl66@4|u^*rAweESPa z=v?#%{#m3NtrlJCD$51R_dQnE*Z0z`pD+8%R$D;99^)n5#@JnBCrdq;=^kKJ9sg%P zN4=H=uv?f<;+Eh4Wvl!;pnU(PRlGGJ%4#RcCN62d!R9d6@%?O-*(_wRlpDw$h0c6A zFVL!YIZRMtXZhUC1&S1Km(pM_$yyPE_cK9vEL&TxbEXQLBlvvXPFRD*0Lz3*_7acV z(V0Az$0IC(=_+-5k~R2xg-)6lqtkM5IytUs8B}d5Lp)g$vvTRQmrWAbtpY#%^tB^x z3;r7b64zA0-N2%11F^_}isjFHHx?LPR?I1UFQ2^FzI|CRX7py;s$wq_#j>Q>EWWSs zfj(&SOHg?*m+4#hn~kYEdF+c(5HXCs3_Ih9u^3UbY>o=<`)0BC+uD*o!NyY~E zvk->9lIP&|#Ev8lk!@r{z-)>wyXZvQkir0}M`oh#4VY|wSt~Tn1_j6Fh1}+*m4*AG ztt+fa;#YZEf~`xMA}b~3IX`n;dUQj}$y;t-@z?`Xwms(LT|MkY*BwqIap7p4=w*Eh z9cnGRFz-Htj!rGOmq9cb@=*vU3RM!IgR$Kh*aD{>eGYxVNo8Z7igJSR=R(5@!bIj> zX@5leVP#v9(jHK(ETnZ~{$(sCQ48(S8KVS$8A88Hz%mme!T8LP?&?ZN&(LAL-3GID z_Su=Cyl7zc_4PGSM9<r35vEgiNnoBD&3gb=kLkh3;EcU}O+q>)APV#p7k`&Y)=hN+T)4 z8>8p`{*xoGmZr|oDm$OeSU#LpBwUfQu_7 znO*~9Q;H4KUni_T59bI-)hL^t@Y+XT4pWYW8u&7znY8l2D<7ITONh|)U8A)YN?j^w zpLOrU@EHx+(9B#hkMXUy&ldBsh0&=R9tO6vM?PeYD^$TGOq>k)3?0^K{QR&m<*-bn zPfSN{)ymjfHy(7k9dC|-3Q=ZI9rtq_$|)H(FU7hXL(X=xKi zXq#i*^4I38*C2`C7#?Ot8`-6CU+I$ROW}&`tWIEXDS!WEyg;Eq&yOh(zr}61a-Ul0 zmOOC0V=aH$e$WU?==!2uvpn2lLF&2F-4*sw7i(t1PtAgi&z4iU@Qos_i;IolHCz5{ zR|k6ubL06jaqevbcV^?pz;pq(QW?-2wM)R-!WG!90=B?!BTHEZcG?|>pi3@=&ownI zRgPZU+QPzjZY;27jy?Dq6sd&g+kfE$%_aa$i3S+BJJZ0M3(sD9g6?q3thlddDt>i zg(s}%3BVB>y=29#mW`iVRh(VDZg$^@AQH$~mOoEt3{BiVL#d2@?tUJoo_(4WnE?;P zydw7#M$FQzdv;I4&k}&TrYfDl`7lNfvj)bqC39VbQb2b4h%LO-wO*JIUKL zl>pT-U7L;aS1&XR)D13M`^=0Agy3n;Y_BiFB9I31zBE$4H3!=bRW zN(zaD|EKs4v#a}HN~I-&Tj4^l#5RlU-kVe@VRibqlj()Ut#B?jt-)A`(9NyO5| zyKHcTM4ehxnNMV|_Qopu!wgL~O8JBgKq9-k!;aT&ULT)om04MSsd9el_^wUhHf*DU zD+H`KVisW?UcxNh@`c8+T{#S>wfC)$0XueG2!Rv`V_^72CP}Tig=yXCC zqZ~y#Fwj{lYGykF+*b=x(jTPdF;kAom;s2)!SUfmh~+_yz$&$V7rIi8ElnLlhS9MZ ztOB@5HdC(Lho;zl zu%rKe*vB0yQYm>dESKf#@VL@W+m%4XWvSig*4?U#3g-vJ>uZ*Mn9$wBwcb<>+*f7V zO;!%4J~}6Pa8+>RJNG1%of4{t9Y=>19Sh6vHm@mhZFvsuu8aMm}t zUfR}^tjtr{uh+!rp8*9|aFl3QyndGSLifo;8DX000406&i->SLk!QE_NY*h+XruxI zwU?vJHEJy0_0>K={%ry%;3)4H&b5jClZ>o@&$tvs>gfH7OUC*Ba#4}pYkiTqqK-sAB&Z2Ivc1ISLD>jCt zqNN50hFL-xUQP4kqxmT0NE2mfEAzS^iQ}&&vOP{ZO(B5b%G|h2>QDZ;o>ceKU+>!9H zLwsHmdq_(?0wJ~sGH~)#?0piuA=P}NORUQEF^AeOf~hK2szH~VBq1K7Z7z;t za&OC<#me|!Sno;0j>5phC$8&o5j^Lkk)xVOLPGWDSBGXLgI5N?@$6 z+Pk+EK@pTvKuG~Xx}=6~R9d8A5a~{Vp-~V7DJkia5TqN18M+xjx|<<}96G<_z1RDC z-~au8-?x^_br^BZIltO_KYKsVUgpre7&>giisEMtQG9{oKH|Js&e>i(f0uVoYsO=6 zC^zQ@l04=qjpI=MOs%8;b9!bs!KO_!Zf*{!T=KiUvZ)P_XAvLAjA{-~Dl?VBd1;ylS*IRQXyX{&00 z;c(BxG+$VdKA1FQ#4T2^y$!^gO#1d8Hs!U_oO;CWEu@d@Ru=w@9g{F=eQ)B#ltGzc zNbln9wbz%PHEaC?_7d-!qfbpbqa)aA19hPm_Y!tfdd~pzR=WbtTbUo zHVdZ`lrEO;&b|(NS-l^=Ond*Frq*S%mSiubxr=4-KJ{D6(F_A5TiO9R-^0DK(ffwW znO27PNv3Hsrr|on%(N7)mM>{aaugDk;OG1A^%o1lWg56ueYix{A7di#9`k8ERzl?~ zZY;FQ>x{pYfcLlja8Pfj*q*6>GN_a%h~6AnoCo#Pi1KAzvo}unzEE7?f%_a7P8ywx zpcWVDuEKU{)45Gvr~(LW#4`b#8@OAs&=&HcB6)z{6CKWR>M2Vn7aHSb+Ity;L(D4P zo4^-&7I~3L%e*#e0(4!2v&@dRh7j`(t+^^Ci)L=_(NbEuNH1mMe@v#gbfv{%CKwXs#HGqn!I}2 zYsBqm*s%Og{1busOttr?PaCUgfcNHDF8_5?$mLkv3J_v`&Lp5s6kaZy(XgPN3cInd zK9m7bYlfZRAtzvvjaW#<6x?BvA(WC|RY;>s$)=!(mMr8=7lT5AB#oqXsWGdhVhVS% zY#5b10C=V$Ilx+$BrI=F&94I*Tq6kWZ!fV5dIIv)o6r?c2OmB)vubD7U@eNFWLiZ_ zhy~pMC4h5*GW~6N!FJ+N^GPLb0Mi9E0AF8 z!3Mwipy?SY-sR39hfWs-ZzUjvQ5vn3$_FXWAB_{-La_xhZy!H5>vaff-=5{rTh%~1 zws{-_-U^S+slw(iz!Ktx8tRtG-ff178q{8j=e=U8n6}jyd?>tgVwWfqdBg3RJbF&$ zB$SM=zwPQIuCXOmo9!V7MFOWouuCpjf5Srh#IEHFS9YtSP7XiTWnE4cU=3DXRexpt z<7j}&CXLIW7)O&NlK`ksOy`uVpU*ISZ)UWMK{;8; zzy~cN;;S;jc4`7$!k9bNoH#y#a=r1PB{AyoezWW3FWP12PNlAeU?7K@s ztNdleGGN+(bWNg0UjUFOv^vY9^q3=IjWEb}{hEePjDX}(i*hgfxENEIRoYDDrUyyB z4u08C^q!Ee{n@r28olG?H9VVNVL#)UL??c@J}?cn_ zv0?Ny?^$<}yW@KKL&BLGa?;29*A2Y#4~kxuV3;5%o(|a9&eqV$Y}ei;Bflgmw>qP8 zxPQYbWXof$yF273QY={)9uYp{b*iIhUuJ!R)BFw8;%VH5%Po6{T;ZpaGzjF!h*TeJ zS)H9?z^P&i^x+c3cPB@-D~Arp%Px@;@9BEtWKNaqzJN#1VWV=;{+s>83`!}M&qVU@ z9QFeNTsBw#G|fwQ@9=Qs@TYzIW5=!{w3hfr&VrOY1C;{vC>i!r-$Q` zuuCN603AJ`&g(<**eOsi(QRUh@*=%&8Q9BHUt){2nA%f89_$5LC!{5#ZZIfhwRR`S z0B)Gs^R|#-NBzoMLy9$@kE>=GIwTW(|MTc~MDzC^w&x2idx8RTb)|w8RujZ9gNjkR zGPAzI&Ao*WL|I+(cGJKjVbUlIgjkcyX;E;mnpvJn$k|9>;eLQ~fF(`3qq8$)aJrc` z#q3p<%4ahxRM8Y%p3kB)%3H8V&L@_!@U5XXLtn9mNeRhbWtEE1+VTAn>)k8qxqUex=Byra&W5t*?#aQ zfP_rVXX3A_Y}?zN04YNGyNNtG>+1QzN7{z&$2&AO(}zVgIv5^#y0~S9D_NC5gp~DA z?TPB5X~9y(ZpG2+aNtUB^7E88G?_Dd!En&J-SQ4$rbXnjuC_e1ibW$=oHtK1Mv+B> zk>kpn9bBuh)n~9%cYJn0x;uMK49@C&yrVh+Gs^MSB4Yw0g~L0(d-TmX?miDC8#W&7 zO}rXdX(m3esys3iS>igs@mKvz;j;!eGnZBt=Mt-h9YwT{E@Kh1ocOg0A_MNLvu#?N z*_x0_n@OcAyV-1vH!%*O>%(^pKM3fJAAj93`v8WiJ@hHR2JO?Vi7AdMv#;nIl4FHhwo}QmJ54>z*wnGRS zUqHJfL@9Y~U*pt&-*yEkx`K|V$H{f}kzb5x=XaMuMWO4`jjh7dDOAl+EPx1)% zUj`%TaMi!Pirri4GPHP*Gvx4K!ba$@zp=S}t+K%5$BN4vXE7jW^uIe&b!0D4xCV4*ADV-O--xWQ+ebx(3> z2?U`3FF!c1<-R$2`3k1+yp+$3moad2rp6Uc@UgI8W;bcqD%`*cbfYw6`~7&X`8-Ao zAqnev(n$!@tEJFG0xEy%prdxmT`B?A!n53CZ;y*pn-d-DSw6>skso6v>ZIdVQuX-5 zo;@P-HE>8`N82l-)*-%nx64aCSahw+w#kl?I&EYH+IwnVii|TYRX<}V`uXCwi@@wPmn&RKITBu6uo0Rb6IBx%V-Oo;VKF{xF8$Od+6`t zB>=fFwjBAcqDe{Eu=TyFKYL8vy`50JEu81Vs zWS&v2$Y4=>jfEXgj0;G^L=|9{OCq(d>3!}X20kb7NlB($|C8j}uJq%oF^TtqKaOVc zhVT`qYh6Z2ly|O^OoOVzkp}e=c&BLX0z4P@mgw(<9LHGa#Uq44FxsK4sZ6xvZ$B@3~qm45ey9*j^@X09i zsnasE_R0=0<`=62Miatr>iezoaclWSit}mJQK$Bxw-X9vqWeCt3`kEE@t7|imMG*} zKp=|5nZr$?M`FU>LiX3*-Z#kAuHL5Ch+5a2-4h;)`x-x(^FY*iy?p^jK@|emT2m}+ z@VciFs+r22-{HMFa3uE&hWKf0A9)l0j5i3Zw>zG1i`akB`ffZw5?k`9=#$Ji%feGP z<4WV2W9Y@vDRwWA7=A2+RMd4<`Ir&#%`7hbj^u6)`f7lN2D7ZBW9r-c-7{bBhWayn z3&^5c_A{kaZ{vMT-4aVmHvQcySO{y`ocF?zwhq*q*lT3dh*_I;s*Xcaikuk8XAUEh zK}I)2B+s&aDhI7;oD(KPb*n6pDHr8hoa+N8boodma?OX1f#B)jWK@pw$94fgtiSid zhRJK7>>e?5gyyVt?6#QO*WO611-3+A6!_cu3Xn}F3P+}hjVoz1X9?`e3Z+ zNtWV0)oZgQ9&qb+WS&+bk7AOb9GHK*Y*$aKWrh_T=Y`q#MiQEGb;hm3BJEPYYZXN; zAA6l2 z!R|wF7X}$`;BmPLzU!g2nbAP9!4tblp!h)oj)<4Y*Cb3;|Wbh>8-Oi#YDPujSiaOv;8f4h?Y>%2?%!L?(1xSG8(5d{c4d~xnH)X=Y?zk4FW>)O}afEoXx;s%FaxJz+ozPzM zo-S@yLMo*aT-9^&Ur-d(gDppLWq%ej$%fzU3qZVaS$+|72W~@hFGM!>s^l4aipm;Q zPhaa9g~*%pG1V4aiaGcD)L3A9~js`r$i8_f&j6{bR;kxxuKK8=!OAoryCDy^+< z+!!}MKZtv9M_a4IuPD|Iv*k!-8i)-|eNZU+@jRe_Tu7%K97W{=4X%%pam>EW#_CfN z^fggG5EQP2j-6-WhS0A9&`~))_xW`t4o< zx))5#EBERRFA%rIzYl6>0;H;UjK$LKc2e8-wpxl-du?Q6&yQrd<82z(HgSk~DbIQy zWoj6GyhVNPbV9`ZvN~cU4A-YXhYibJDr>Bh(VGBD@0~U{Sy!Ob-`@yd&2I@;!vAKx zhEo~_kFc9AZM3Hl`W-rPNNnIGTybr1eZTy895)uLbNURg>tdvrT$PSxW^8H>C>cOa zS@~LbUwNK4dhnn}XP3Q~aZGZZ+Fd5N)W2{$iQ3H4V~PrWl4+6<+mEBFno6pN2Zkrp zdX%a>^=jhGZ`uxjFDE~cLY~h#Seki^)T9wFnuRKEPE3_*`ow>3wXWn6b5ji(oW10h z)F|s0MaB7jU|?M+(yIsO8*zoyIQi!_Xtr&;vZ7)cEzj@trR>~*QBz20tvK|wnu^}$ zc)+Nik?SJLo+Rw0gnckC27aO(`w4vWrsbQe3J13m439ve?&G(75k54lb&e=U$i647Lg&O%vw*W-q&9Nbv;g7 z=cg1x=W;)AW!zUN*dB52sQXiluOLmhjE~do7`1;q{vd_AKonh1j}E-LT0!0=Vf|E; z&iNu<7z@{B&#fUpAbPTr6bjjLkTBPDQk%TGtYf65 znu;(SH~4sD=~&{%Sk~d%qja71%Y@POnmwytAordX1L6=0?8Upkc>1yR<=T(z3g%*~ zbya{sz3;4_nSUW;h2-rE2!suR`(f;>Mh;pVU*veezLTT>XH`C1R#E zDYj31YKC`m8hkr2q`Ki9vO$&U#Lh@VOzZ?IjRe)O(3bA}B$DP-_L$}Mt zc1c`$>;Sp-!6%erpPS2|_8TK?Yp57Ww<7fHK=t0Gx9$uzNuSbc2e#19aN|0m*+7ju4b4X(5`ubCmVxsAdX?x7 z#2b+!S|mp`Mc!qRx=#p!-bIC>+c1wurCjR}h(YlIi_~x#=`D{0*R2Vux|{T+nv4dq zuzM^o&`Stsjc$(d+^F?ZU7hxcvK9~|M&($Bfig|0Ze@bNYZ`l`Mo z+Eb1x!t}K(x)|)3w=zk`Ar2Bic_UNm4*LqVg>q1?%2VWJA>^TQ3RgJL_11_$VYpg# zd=J0WiqCqWR^yvitYA6myjd&Kt}?M|tAFhI(~m{THZ?oN!?>GMvr`^*CQ&zEmAVnq z+&7L*Zw4)$ylZ9QDRKX?Z(uRClS&&@v4^=peRyulLf>Z2;Hc$v?9F$$N68PVY6szba)Tmz}335pV-{X*GDm)$f6Bk)&&z8?bhg`o1iS=2~t&u|OEU=YfuYY&hJMifBgI8d}R; zNX;WWm6>dSW`(x%mLxYbqUjhurMlv6P*N^Jch7yt83^Khe5t2ooh4~481D>W*r8=?wusL&n5{sNpA(vXjg%va1D%Tw$3Ji6Fn~dM%to9; zF$4K=Nb(CaErDb`Yk+%2aFV7Czts<|rK=NJaz~(uMhCKmkt)Cb}CpN#$kC|fqZaZdur{Mctf&dH-hB>bBv5olpmY5F2+j#Em z9Pj$Nj;U3YN$Y%D3<07YpGwqpr`mS37Q}1wN;w$inIr77ri>+g@(q^Pl$lR^-X#t)@sw8Ij{d@9geZV1-n9jma`J=;|8 zM^5?qFw{w(WHmR~x{-f9|A@!@?6oKu!8Vlhs5k`obv~ljQ%5pW1$K9nBKDHqddG3u{ zRy6iy#WuFA5r-Gw%V_w|{F>V9DCn0KfD92T zvWPVIV9|-^Js_Xl8E`AU1ta2?U?XG{Jb0AIx6MZne*C6W%N*H()wQom{3>}ugHiV- zEM*urQCeJBy`uRrS|nJ9Dx>872F=9lia3X4I(n^JT_0+E^MKU8!|2__SHG30`mp7Cyng~ZJWQ! z8h$H{8o4cQUWH&lPa9h|z;mCfwx^qsp|iCEU=R>uSDP zZX{vid(~UKD^xahNH8&zwL_A%q(XS1!zI5-Qq|pG9Oa*W@7x3?b8y5MYP`FRYLitZ zF>yvJp}AMiG{+g-g6b@2iqc(gKT$krT73@Wsvjb4%nVc6ggwu(-afMh*+Fs0`%>yp z&)3_P6QJ(nnWE}>_dmc+lZ7Q;Yq$WUk&t&V!L1IyaHadpC-}$S*pvj|bsE}&C=r*_ z?`!VzF`U8hl?xC>4L6=TqDG$Wq1fm{=?-11SS~36JJCWC&D_c}JgRgNT44`kd_3Z! zPt6TZ&zwz6to?bA6!{lo+YF>sI}11PED)xmvYl^Y;rQR2?9IJQpwC(oN=V`Ki#t2+ z-RbwX4i3Dv71E7B1z*@$bW6VsH=k26MTk|o=v_?=Gt)6WCIlaLf#H>ut)YYbT4f%z zNLx>CGf1Y8Rnl<_o)gx8GYma$`?t4MR`E`>GGQF9)X(b~jVJ`XD|eYnIrW6FU14XQ zW|N9t14EDXwmztS$#XGJlt5P4=4Xb7hqpFUQAHLp%}0bL9eD%TtMk$-7h&v9SNAf;X%V`%&1d(uH>!0cvt7B< z>r$_`CXcR6POv0JN=?r6a{SyF&&Bpg++TTAS8;y$GOub#{xJ~BfmP2s zJWoOFE0WP2$CMth%`ZmSr>lMV-8;|RA)nN%=DL_&YzB65PBoi+?2z*`MWvoylJX3d zl@^?&{)pkc_7XA&qhOy^eQIzXInyS2ohq@pgT-TSKOU&f$bO#8Etw3#g0FzSL+PDJ zLuxm6s(r*Eu_ zu&}$F$`h5adr6slQ4L#$-$JF^Z4GaAKx^Qa+re~RiW@C;Bn;Z*BmjOKTL;qWp5=f+AT)o$5RxCK9AcN$1NNlkkkG9c9P|H)yINdqUZ zC-lR-2l*_=_bJ)zK)Bmo0zSORlCT~sF-RV7X99hhMx{o7NK1Ii7ifBo7Z5E1SYC6x z&))o*&X|*z`9Z=k^TQAPq$~&NsJnOBP{qD$chZvsw*XfuUfH9&GI=82ZI=bPztT?a zQ^;^QWj9dn*YmK5tlpjYYp^5v_&Y2TC&+He9+eNPfTNbDQ<<4VAtZGbwGm*87u84D zPQiPz(V4=vU-Semb3ZI)^5N!F*vGEdR;(9E2R+rwDk=nu$rZkbB?KHhHESf|58@=p z1f`bRCH*yFyw^qdX6%ZvaF@@04eO^his;ftsA4%p}P@^Ov%B8RC|NreB& zi_S1#$D>aXGV{IDjG#drQ42CR7nePP8ah?y2q_o)5iD(%NYmc0oTiXL3Y~+J3kxpKKkL$d=8QZIAq#H5gL z65JBKw5Aq9wZ1N3cT%HficlSvGwU90m>G=JmWWga#}~1a#nt$JpOCIR7=|qG(FS#( zKH8=SKFvs!ae;M&iFuh$=YtQt&d16H=p${pazKi*fd zq=3PqnZ6^xhOZy+f7k4uFZ}j0VWn}j>12`)H`CUe=%Qlh%{wzmYh>~H$R};4vY0mt z6(85w>oFfmW3;*+`mCd{3iO?EP&CwDi^qop z)L3+X6P~0aeWCb^rW7*ntABb1ul)d(1Y8xBm||>U0x^4L`6z}^X0l2OZ>QdggAanJ zzyBw2@%Rn~s#m8)oirR1`7ZZEb5ZAgOb2WTAHpuBQ4$Y@K|2M-3Fv*dnd+l^m2@^YPnW=J z7wP%^*s6eH^x>5~>}>W*i-{WQ*7&(3uGahVs8ugool)}zvBkk@?@ywtje)^y1}9S1 zfxH*@*}m%6X`IC3pj!3IRjzNM2F-iaahz#8{qGbu;7G=&A_-0^mE8n^A-#b_!PCTd@z9(hpNllWP z`ir1G$NH0HEJL)|qKnZQoZ$UHe`j)=uy7G*BD6nqwpp>WM@W{2@t`OcU%Qia^wsu~+WTHnQ; zBuq{@iCyZORZIrZ^(HVpK0}?D2r@Ic2Av0?VBFv@To!Fb3GH=xNQ#}FXAe2b8Fg!` zC%p1?!H>CY4&Pxr!I&js%JCrOMBP2(PH9X3qzP}pq1Az8y5a9;;M6;m2XWCZzX#`z zQoEL;kY?@|pb5UhJ+UP{sPjiY+X;+F zk$EVEeb#?qeqE`S6EA;zU2!$YO5IRw;R0rs^Y!3 zzn>PB(?{s>d80rM8NOfl!4ct$qTP0LWMbTCmDQ!{wwbYEMyKTqqt(oMbFe~U)zUE_ zOp5ETewHQ+I-Y->7cS7{<3q`rAt`A%S+*?^$}`A~RDV}jZ;1E2Kdbb`l{u11Z9UuB zVzA>Jy`JP*zp2Cj1Tl?hg9;w3E033*sIoUMW)s*cheXUXw+L1l_FbJr6lZ4jjw%b% zg4k66pYP#eDzd5XqJ8=_7_#!2@b*uBv1Xw@zd{)#>hyz`619o~ln+0|{HFC89z}Y1 z?S4AOg3e%$vOLgA_iO&B-Gft4pj`CDXMvLREt@UWRG9(yoD3$D8Uv{X27){Tv@<36 z)?A80Us8KF1IwauVc9V*^@=^!O9m^z)h8wCy0eVl_Qxo*=k+l4)KzOQBKlw@*YVL_ z{@an^{HhU5juK;KIrmS`nIOjkbUa?=IYHO|GII?k*#4qEvW5#(R-6vhE@;9dqhIii zz(-#Pri!SWt(=btQn7h*4dQfo?D7;(MhYf*oDTKKp4wtu)Huf6A1^aG$Si`NzO;J( zw_wpv#eycf9i{q&4Z3_CFuh>PR9}hMVU1=n zD)G8X&*TB5D^kxUiQac0X3oVt4{9Wgm%V|;R_SebE%RxXV$oi9L^DUMT0q#i(zxKL zSB{{ZB$MnwLqYgw02FtZRtQew{&w>j#Bn*u{vnir&LBlkMkC@y3WadI-3_Rz>sI1B+PXXprt=`Wn?2ztL3+_$L?5BP2u`!4X3smk z9IpCXl+@IjPcV?Y6hJ;XT1Zpr)MlQx*6|==ouP`_yFbj`Wm^(x^6_+N!&LyD!ENQF z(q8g=)E1PAsnwy7%$&vG&;Q--{tRUeGeS)CO+P=k*y(BSB<<3$scMW!aUyS_9j`I; z(i4cYa>Oq63e<=5Y(du05%K#!GoihHQg&ry1^g*e005fxrE_%CI$yJnjF|n4@`t+s z6+2Jj0n(|&%!`+B0S17Ugwig&ajuE{pTX;_g^sxpCnK&()e9X*3bYY)dvh~O9ewn! z$`DNJX))U;I5@Idx4hxk4BrTa-zd%T4m{j`!QpzrrM|*<`ra_ zLo3s!FO89&8!K(NY}~;}xA`A2&R6NX34m&@B;{lUNo3dO1lO|azM`Wqd`Hn1oowoY z8RV`NY5_AaSsGXA7C*hV08E`5|M8u7};`h75%1ZEBSr5<4 z3(%vdVXRXNUV6@Clfc9M2^z4%^|IBe`f4uHO*o>aZ}2193ER#q*H%usr|AetuXWqT zKQ&D=gx@I#wMgxP&JojREx1M(+-8q;B@46s0BS*WN`KN|QUDlXR2WY7`kS)ecOVq~ zw$uPx(UuN-G34K_oYFO_7SVChtBU(0OJ3?!X3`E_3z}%SlKm8?_B#A96~6hT`+N|y z?+nmpS*X}%5*Y!Ryyun|)BmzQ#i+9Mx}KH9y7+auvXeZ+4zqYjfMHM{gcf$nRSp+T+YXCCHoEKJwFB&PIK%TxHy=l7lr! zYy|y%9Qmqa7&aEIjZ>Gc4nucoJv}2v5M{D9FDBBdAtxtRZyEpe&w!)|%cvbt>+$*2 z-3nf`V@Gj%3wJ3)7DFYzYlznRz$0kFkOBo9ZAOIV_4^-OE|HALjtd1OUwGgTe1|RbVO;b$ko~*c&rNOW^I>^%1$eO ziyx08@e*Hn;vwZ;^^T4PmYVbu4R1MQQ*+=2ZDCv|$vvQbn^aT%jDaW_f|h&-1;n<^ zR5EHc2yfw}SUio8i@k=k>zGqKYS(f}DskWQ5)%uzNT{f1e6fL*3+q=J`U>+H5ReqG{bD>M$uyYwyWHeXm!Z}1VqPk6tCTW!9g!#cZI=l3Vn2I1h2k&Knr_@pm7t8IqbN{g0 zqkkscu3-$&J)#}m@rv0lLRsYYC{FpDi1z$udP02VZ*Sg_agU~Rt}6pm|4;QT6hi~J z+d*498jDLfo(Kk9aHyR@D8$fz3W*yQ0Qgta|kxMvAJi+IOZ@JKmQh);DFXK7`A zerIg;*PU+1i)%f@#-bE^w4GzP0LzQtF+E~b(VO|_0|K&M3JL$H#r`8GBogpD(&c?V zhiQLOiTzmd^B15Ou4(?qZfbN_Y!tL>BqIX-gb9Tpr}1^FNCiFTxJppAeIBKj0S)*# zMd07RY;dPq{LgiXB*4B08SkScJsN=Qd0Te$rm)9A|EUb=YiEOC2;TkusQb@#1J3m- zY0)LMJZ?yAzJ#qPL*GGhnhMT1JQ>WM_`C=~L3h~mA2H4`hK3_~m>ulcD7!j*>k%v! zn3L~jH3>^EqH|RR7q)f5&lS!0dO1ds_0)A7RLRbcXl%*Sje;3h@nu z1VpV4rzdxe+rFp4`xV6?jge=|Ap!T8jFAirXSt>em+RnS#*-myTE{Z8;k>`siW!mk zs+-x5mVa3cKbHi-!|Q}3qN=cr`xCj8^`3hXk{o(G%T}bc5*=Fw`wR2>IECY{+~(Eq zVfdx0;5r9;8ZRyi{Pq0R+&7)KX$PNhz##Pv^vK0;Ajpc@@IhC-2HGOU(P z_lP-v9TnGs0L$na^9Rgd8|Uwx@W+KNW|LHhCgF^0AESLI4^F*j@8e3R2Pcc|Ogo2f zaYViRp)WKTwlP{epL&@(qW4RAS;PJs4Bu`dK!q>TU~4MvH&s}ix-*qJpDtXpNRhfA ziox>t&xT)O(Jbm84*UKgf#X*VX!>|exlwWA{`G|acpg7Y*#;2{$GvY_a^Safd*k;{ zQ+KR2znL`q+u9>(u0hn)=a*~J6OhqDhu$;ppQzzL+`=XO8>l=FFtAd^Bz_)gW1DsNNmy-T-52e5QJo0d zIv_yxBKegg;Cc=`slt!evH!WFe}3}%y)U4{yQG_S{ip1#pHFX2f0P^}E2s2EBdz4v zfzW+-Benq4go4Dwr;#2v#T?~hQl>ta_NQP=*2&`jb&|)?0MaCy_YYA_0V4O08Yf2? zQ6q|kw;4KC8!uPhO?wJAIhBU|d^WtuX%q16YJ#7y6*LHF3bn80+=}`q9{bmL0t#fT zH;-eBWVXKjP@P*Lz7O?qYEh|1&0h)!HL>fFfTlU>1c~&h|5{IDa^n8MuJUog!rjA< zQxg=8m0XniF#h^xKj7WdMfeyODgM_n=otrp<$+{(OZ$Ov2R1~=nWUG}?s}XzWgt^R z)9tbU3f=Wm0U|XMQIwqD!jgI=$w_pfLdwIk;1>?pevNjCG%(t%er*>2IS+ovtQr`u z^Skc#B!zw+vXLl4lV)nlxvKpXTy@|2(lP2C-UQCa+~SjKl=w9Y+8DOrt)*B|wi+b6 zLQ233)ePF@4i;UdccgXQqRZ8P?2rG)NIo+M)R}e=`Vr7lWX9Z&;njnaC_v)$&L6s? zNtuV~1k*AI9i02=OYZ}Y*_*n$;Ej@&hpgx^aK{ z{=VlwFZ={vydLl3bzbj*>@PuB7Z>)Abqu zkL>m9c=2eFiSXolV~F(7zb_Bp8<#d245MzQ_P-5TMDcBDZFA+ZG5dr69>+i5oB)o!>Qq?PQAj!jNo_r@VynD` zg*5;uy0E<`ZYn7X$FHfQ6VEgemxkXVlYDB{7ZdnX1P9;_7LV}E4D}^f`FbDkl7eQv zy~J_=k|BuaD30R>6Aw>Ix@cZWqFVv|;emRcBRK_;WD&*<6Od|#^wOHeIV2`r%;zu( z!vO0g?Y8urlCWKY`M1_{AOF@L28>=GW{>FFxDQhR`gn5o0o%W>J8{5+TrRL&E^chr ztKd2y1lM)ZU-U{0az(k;<>9JRZQAKgC{k_Cr=X^kD(>RprJHfQFZX(Dt2tHLP}q|& zRwz8LUiW2er0@(+zs9|HrT^hm(??gR@ozfAT|-}=({+8J@s=YmhLi|Au)$DFsQBuH zecPxHQ-gmLN%pdf>ERcKHxq6$d*@1x}eQeONX6n=Vn6bhlHN%yIw+MDIpIzD? zGC$C4kDsgtW&6qdeWQ=+m2wCJ8#AOJu9k?nO$XJ>5QoDbiDd!9+_0!sSYDR-slz(!lPISz zjtBSZr>CZJB`#|BC(mmpP5`OSpCnVS|3Fm0AS{SpcPnqdKw>}rcgNq)oN$hF2W|H0 z=_f8gnfM{eOmx0T+`OCK?C$k++@d%V3MEjgTMf(1Y59*`Ewd;BLRxYg+oUaj}Iyfi<$CNPUk;LO?vMMUvFYtVP zys}UClR)>X_2$6G?yk13nwo)V#E!7b=(lx^Ht~rk-}G7f;%i7*wO;3&C3z>j%GXd& z)Dtz^(u17Dy#9Xk?t1rbJ6^Gk)?l20mreIHK8oRNdsKqqlBd&6Gdy-%E{xkMnFUZ$ zh-FYD>%QGi$pQ!tI~lEH6`S^wovbbz?)3)QnalvKz73NF>*VX*555}Aik5D}HnG~~ ztSy#02Dn|O1md|5%;H78K6tJ0+33iJtn_9XwO@R7%z82YAtIGQF?Mv;ZE!!8DTIXM z^Ub?t&+=p0mh9T8t>B5@Z!EoUYMS+l!{w+Q3U!{xLO#FM{8~3Bd*w{{AUbNf25C^P z7D^@}t0cJVZzICi{(I}ujwcvA<;Z)_@T4&QwF6N=z_l&w(YjGp+X3s^nyQQ00F#sF z8_;#QX2-2Id%W=1U&90OqTs2XZ$9HOb246SKyklcpR`Ldz0!BC>byN6HSk<+Yr?&rz@TtE z&}n#_%Igt!ew1fbKE9%seQu3zr}BAmb5`^jUg*?C^4Fwv?uE(irSDD%SLmx@M^0ks z_u?b)=2B$fc3c9lrMc5fKyVSKW@QdJp#2t?V9GEP}+i4TraD&qQm^3MZo`DrHOtvlSiJOs?Jv@1T&W9*D{>%q~46 z1v-K!&=a(NJl1brdi>rg`=&4AUb?mrfBx0;>i33C*0(Tf+;(gOO|0XX2t;R;cicF> z-*(lZcG{1$J(2n}Ad)agID;&{WRg9cc9~>*PJCp7FR&$j;(pApG*fPI&&oIOn(XTb z@tL<%klv?z`SaexG4Kn7*8aV3@^MN!3SEJCXOBBO@D|~zJCcpSyfdPaSL-28%tolF zOYhpJ%vL#!fhCJ=-G+hG^Z?2E6>*&1ShZ>xnZ0LlB<03_yLR#G^nI~YfoAKxjSbRE zr(F{!Sr<6vatKFF6XaBGaxedKzpSr&$4<6-eyipTj|uE~cEWH%cz>kGV0)zT_XgHYMfId#>y*t28 z%tA_tJgT(l!8fW{-J5Tgl4A2u^*pU+$A4#f!+stWN%NFULhCLQ#)=+i8^Mm zCku6=`$UzHt zeFKsH*0!ucgD5TCi{*OhpUsN?$0ED$jKNtr%YE!^W*#^|$yA+MzvEuUa&&rd)Sppf z=lT3|q(@8D?4-xI`gOxmWv=w)qp7lVVjAJo$P4_q94a4ab<_iQ4k7X4c2=n*-;IZw~P{D6KCQb!e6ez5tKhTr;(bN^zRZUCi$W@ScY{@_^V{J5Hk#JN*LlBhJaQMS8^QGm^XR=Ow_fAcyjWf2j26DL&UvRj%Vl$- zi}!|9`1a8Z^}>*#%hYU)LwijsL9F){2m1T!=MWBOMekz>wp{p0W5rvs;zSlX-0I2m zW20Nrt(D&Uk#Yi{m8s7vi`!6i$_B2KcbTc8{xnCDdspW>((63)2oEuR$VnFWe&*38 z(tmMbbd9`Yo)_kRK*ry~fnFIWIA?a-pTG50uf|d5E$Gu0KKYIF$`|~zj)~}=TWE4c zOuxdDC?^ zME~wiz)6^krz&8pl}=%w-dD=+n2$QYt}4{|)GL%ou#)il(FZfZEy|*oHKpY?lR69v zU(Cf=Gz+8mmb-O_?do^KL3!{4+dwL4Y~8yt8NJ9rn8!EVjAb-w(4nadiIoAz~!J%s47e^<2TS z)i)2RtZS20(JFn+xw`(6MLqAU46@>mMARKw{c?V573z3-z;IW7u)%hZ_n0sgmm@R# z)QbH!Y`-w1I!-O*Y|kw#i?E3eKPMysDY= z9Iv_%Elf$pf|_$4BRop+Zqs?pO5u0r$^FyZ$^0~TBS($HzgxNgTsQDNNlQWfAHKdi zE~>U`mlTjvKsrREg`vBYk_Jg>1f;teLZn1mN*W}kyBlTb?(T-62N(v<_+^soxtoUcn%m9#Q#P=M*nXJF{`|bvYS8~B zC)0r}?dphcD0Y_@-2g?o)Y;%}L(?Fkc@_-FOLwRdx}J{VSnrH14(7pHMYkxWg!oXg z0L~{r0#_gi5@Lr2W!>OmrKYk!a20d8fPV<@*rhWCZ(;qhACK^jPkVIf< z=c-J~v#*L)D0#YBg4J1{ZpbISeJ2xt5UTY%dN(oyB*+N>ZK*pV_547G#bX; zCnTQMA;GoA2H#Fhb#4rcp!E@heJ)t_ID&25@HdS!t!FB1xdK>+;%@{Gvzly4oEwF% z&MIZZ;a#ltn>{Cit?zz5e3R*gS`T+_G0s(Q8q^qBNQWTnuTe_cnJ?>-Fzr^B>r%S})gOCHh*J=tH-gbKr&z$evq1vo!c&x`=Vmc(D$s z8g3!`<{@1r+SLWBelIUFDA9U>U|e?UF;JgDp+*n9B<|WQWDy9^3F3tr(P3Q{mbO}e zt*D$&v1K5YZrf$0dzH-()eF>IRavykly3w#_53xzvODD#Ub(f=y!v2gA__Jz>*F^| zC$VDlS;@Jp7o1%%%vCPd=GIK*vZ!FrW0p_iqSYHC>^V+d@R({Y8Cq~#u>D4~zp^{` z&0Y)y%Ylgi?Lss8>HfPUqQnrNM)51EA!LDzSetE0AD?j@ zY<+CV8dlcgon1$C0&5GP>?koFTv-Y$^F)8rlDwsN_+YLJ)m;f{aUC`sb=X%ekY2rb@P*?J?Oh0W#ZaOk?__tx;Q3x)mrX#D^d-#MA`9dEa41m`kW3CB zOaa~oDi+^8hOOt{O4kWs-g~7eqF*P5KvL*`_xW#*GfIq_dKp+Qoe);j;VBA*L!tFt z9ox8CAv;%hP0jCbXm{A5q+mw{T%oi4{$yT*LYb@G2`iIf8#lh0Xc)A|v~uUNh|1VC zhu!7mxy(Jq-^qWC!?$Tp(Xjz9M}#*o9dQOfkMMbH4WiX?Jd9&fCvf+ox$@L|=BZ&M z2;;x4L$sv}Pyxw3JR*jSooq0#r*EZfNw9r_Y*Tt3Lyd-8FHfuz`JLj&COY~`o9O&) zu?@78>o<>XMB8=xRELDIH0%28V1XN_0eX4d!X>-jBY`CPD--1tv;@}Lx0(#B)luiz3sd?^#4|+PqhnRMV@GpZxAB zTuI=&2+U!?O%?kaF8!@7??YU~rLUt*Ib#JBE+)QL#v||Q&ufOe zA4XbxeY1dL=AI`BdU#J7Jrh_{*P}sc_UGa_hX=hrnL1Ofj&l@l{3>95;qb>ZTG4KX z4Yv(eD31|Eh)<&RP_d>R7T3+2-~*33g79s}4|*6scIyz&=>u|Tx@{=jQ0aW#SuG99 zH`mW_zw#aG!=O)F?Mj6933(h|5yhyrmsw2>I`(DE#jMwB_^=yGsj>o)F1TcNo>gq1pju-AJ)RMDyfeYmDgW}_`W5#Zx2+{I}82+>KR zIgXYss|WfPU7lLKi50nTXgjx5XKDu5_;yoh<>H6mS*H~X*Wd^KZbSov#2(|nw+US> zf?b|kL+x#nco?{FdjkK;8W89r)srjy*g@e~qsF3N={^qHl|EHS=6U<5pye$OeFGYZ z#(YYIjK?}wRI{}5+|$L9Q0oKR=U=D5T9JTYD)+bUnpZt$`pdH)p)+JUG!2UbloP?a zbGp*1ZLq`3eJl+91xz2Er_6UZsZVsWB~W6l@Z!+su*DY zjvu9Ie@6`jNN~ElY9zZij*;LPPfd%7dc=8CP~T8x_yZ5tmt zp7+KvVTgDrzza^sZTkZ(EM=%w^}q>5}^GUH`F>(=xw~5ivgHUN8Rq*FXKQ z=h3Z4Qa$Uj>&2_arzum(yCCvu`zka7yDD#;wlU$TOUwWNCuNu=GgD zxbbexDDiKvE{0*bdm%;y1k5SBi zsi0xouuTscwi+nqp$Cb-_wOeCKi94={EE-jre|*jFLwCa0@-OtY(7O3$3{n)#`N+M+S%{Pe

Wk{ zLD=OOK|L%j_`q1L_|4nHW|$}G940hD+i)tfGv=9vW^jVg*^-GiEze)T;s@!cjh$`p zGcy;EYpNx=C+s-MrK-<#GNfS>3uGOnblUBS~ZA>w3cOwsvV7qj)YMwzvBlQ3_w zdd$c1LR8W9T<<&E*%)A9ja9!w4bFV9!1;J*4#L+NZI8O!d#Is^a(*79tO56a9X?~O z9!Tw!tbOkRa!#y|+>!)mojj%wGAo4-f$4DclyU|c+u!KP%CV78l~r1%$)yVN#b?D)vGdB`L2^!Q&=g6bfx%|3g@-60#^Yu z#^#^vtL*eq_f)g~J6zew3$I&mYg}Hg}1+bTaVny9MUvkT`d9zWhk*awtYgnybJF$}MxV>2BSbE?||20y~a2mrzX7VD#m$`5pKc~ zKt4Dtl+D>ozm@ia<~LLcH;&WaF_srEb~w$pZo=65IpJeKppSHG6e1$2GE~vxFedec z^Y>uJ|A14543tT`>1B;g@QxaBEo7{QWngEdCFh50#;x2P@a^V6IslXC_E6(^&kl3_ zqTQ|Db4eWfI(f2KR}a%_vM4%IgpzJ4>{0`c*#Ypritz$2t_hy!yE3gU-X=@lb6aOp zXxGi>gFp`3dG{;RMWLB0hZu@Hi!nOKvuIe(OaE&D)3np$HTrH`V^B{dzVDYFcsaDx zg}v9Igag@f#y!LC{o2;-wnM zJLg^CNjXdn{rwu>46G9q~OhQ4p+obr}ONxtRB;n7@fXYOXe4ln~gj zOt``+$WQ89`?~hXWINBG=ZB2yKB;Uh11oo-X2KxaJ~P@r(V=?zMfRf)@720_zTVQb zP|K)8Vyy6twQ8Uv&iX8xWPW}TiDnsXYA(AN}u^+X173KefZ6EZX9-PnA%vAx9( z;~Ww)S~W>Db2m(>9OV1i&-^|u{Nrovzc?k-gtl3iy@S=!1K$zzxqcC)rQ*SYu^$}2-GY4@~R?x-cB_DdTRHqiPDW$R8p|XiB19zE= z#llpflOxz0crj-K+V3}TTA`Q4-&W~MCdYA}%*pYv(z+iS)h}$TVOw`-$!LKCs^PMM z$B7;+dDIHs{uqp&B;D0!eQ7Ht-h?5I$2&KS zJIL@kF~yJoEIXE6jm_~)%gxR!=kt^G^t{x31s-20EOO(#R`m6kOeBA(QjBlB=8~5I zs}KasqE$1QAl3RKobqg_cPmxroE*O0A?n;9G2RZ#H6VJy6*M+-QefnKJCm1_O0_hW zAxK5Qb~*-ktf3lM2(4{@cp4Oo+lPt+sOf^mJJsh=C#GlA`t)KGFyDEL5)R*{b z5msw}b*F(NP*$1ZbK=0?oSnk;I{sl;14QU7yKVZAUWh2{_Vs~wZ56;fmg!0B)O9;? zFqqp|q7;A-%HM^4+kC~cyy5Sc0MjW@SK$8Od%9p=7+f#lw*M4Y_@c<$c09T){%uzj zEb&TD-`f3fA~&b$@+A2rn!N&w(W>C358Okp-+&OaxHz0j!2H*9V2fjwZ10TC%V z3;oNFi6PC!x9xIU4WJ|s=(A2ZOtmGyMAL*@Ll-+Y{}Ix{sK1~Ld}1iOy$yXxTcU^0%o z=ItO$@?iO;oACDfh{G@f4QJEfDW^B@E?WKCqV$`veHDOL6PNuJcP%>B)(F?U*N~g& zk^ARp;cF~+ztxIDJ9faE7a=&&YQB?AHy;u(SMhxCA;LGY-WZ*{71CQs5G%VD)gv&C zp0=%iS6e8a3Qc1vF7loF)DkQ6ojlJEakN%M;;xnU!@M`q`Pi-fcM1=Z*fol^4+?W) z!F7-kwIIpQNesB{cDq9_V5$$Y|8vCo&xK(lzE<=5)Kpc7n4)yIO%omalPJ%)buuIrG_{4(+Gz{h6S@GrN1BqRHC4{)|6k?G}mVA}o;;9zkw( zeQ@>@uI5nJG@w@!JL4C5pZxXvm)3u#j34Ye=2D0!n??ImkqUi88N1xW<7=XLRfSMej;~s7S*wvYY60) zDt+6iar9CP45#_Gk6450MLNo@b{EePSY}1U2gs91xr+cG$_b?;GVr^A7k51pah|*N z38{!@(ewsU8Z1fLk%kAA-26hHMaeJf$-e1q!8XoXslXkMcQwmyR{cLKX_`%64THx@ zs>h`7@*x)=ZoPA0i#DdX_^wnzngK$-I}^33h|m@Kv;-*H62r!rm#Agi;#8Q!_~YQ& zBiL8_lW_~jJDMRrLcq-u*~fF+9i2nlV|_&ZDXj!L;;B1VYu|R#M-`M^yOI6ymY2Ls zl}zp>xFXl%+vMN%Om;>$PA)6&oX#sud%H1^ulpA1bUkxqpU6808lXmFb9o|lHQhz$ zt>;>?I(dIXl|W`N(;sYEHpr9XJWZbdiav0=?sR9S&fe81{?Qyu4`=%+i1^|t9%P5J zGyzI~02I6tabWp)w{YcT@f=C-ROCQg2Hpwd<0l*gFPfzYa^nfjVttmO=Ch=5+hxd9 zA;wc=K?z^;#q8+jLj(Yd7ytaef&tdW_r5TdJ8AqRpF3~JMKF3_vSd7~4qam$D~11#Ub_>g>WtF@Wb8LS|V4Rx`? zO*6>vWf`wAOuJzv?N&31Qtxy!X^(C~0kj?!qPN@Pj_$23n`IdV!UUG{`O(}O2EGSk zkT5paPOEt(w?&uILY`j{Y@Z|e=E$jBWqJAlmS*f!m2^RF-KE80aJe=xAZQFl~G z_aY6N6*`b%CN4EekdBZCNVAt=9ZcR6iFvkMyIzJXtlY9FRL$a{3BRNLl#2Je;LtE?BtgVLsO*eC%U0LCq8&pBNR z1pl&JX4dNjaxZ0Gh+eyUSc1^a(uDG<@MY$}lFwaMHv6sBt86M~LwaDOz+|LZyBvXZ zasnC`HOfsk<5*SF7vn{;2m03O6h>nX+{F6he79d|zBnJhYU4M%SsiAt`ny_kIz+{D?8lOXTthS!vP5_k9a6salJ~o z_U(y)i5xk_54(0o4iI+i4wI+297ff2P!j6YGIc%bS9ayrGY(U8A~1L(x4Jm}5WgY5 z(7FtM!A5@4o844hKZz-a67v4d>oiBsrknaP{G8T-pJ$%xEU@U9{tn;lO!kcxSWEe(6 zWZ;>$_%YZo3~p66c2OASM;3Ho-m!T&iaDg%knG)G$L1w~<(ITSLW+b2@KNw<$P_3&;9hg7uLx;cSp7GugZ}XX{ZqU6*8H@cTPS)PNU5 zk!RtMFDODMk5!(sQYXDk))+VlMXMgbd@3j8e_Bi9-;KHLw(21LP#-Tuj;)Hu(T`5F z;A1ZrxXKp@1x_|>vK2vh*uYDl03dDdfizesq4BAzBDtZ#gHKjMcl%@en2WPKj{RCJ zvtWy(0pyL$M!R@4aD&Vd1#SJ4=Uj6&mMhn7jUuW74Zc*TA75R*`n*fXqWwcM_-h

8|DBkRB{={d)extAZK&CQ1rwO8KgUP8efkOU9g5j_DH z$BnuUzl8>Yh<9TFZ`kxWu6(dFN%yYne=>Hm7v2ErI4xR_-YD)ZP{ zfNa1S3l`N}l*I=Y4a&b0@o<0^D`tj|N0!$7`p7)kPaw$)*CHT!D4q_e|Kn)A#H=GF zPYw>8l1+$HO*-nW3L1enX6V=*prK*9n7)zR+g8x{bpRHX*eoYaa&a}~>OPGHoZ9lMuEjGIbv8G1JDXR0hY=JP(O@Z< zVX*ckJX|>BuQXk@^64Q$j4s%E_XmN`hdQrQ3CI)EU6$OR5qTDZY%9_ykOkp@0M}0 z$Jl8EtsVg@p>mRA0q-MsS2rF6giZx?hBM4PIKPM*5tT1vx($6ei^l&<^2Vg2Ln(=4 zVX^Wyp`Mu%z+UvWlIKFUvd)7I=ddbr5SM>6h5xkQO|4INs75f9#(|jmBvAe(( zR3f0%H9X2wXInQWtYf+E(FD-0Vn}YV0ttHpgrI6~(1Bd6T4lqe^MIE1hZeO%w~lb7 zVjsLwnyx6}e{BD+3qu{eZ6|wN-kV{iR@nwjvLH8wx>iyMznNU0CJJ?bMy+(}+2X!H zgxz!fnrCN0Qot$272$)CqhlZQ^R$J=ZF`fb?P`-pGBjMsRQ^Otkl8hyI8Q7l(h`bPR^NBqS)wThJPXC(FgHyUZ) zJKQAv?^BNX(pg#)y`P7j?3B>T37Y-jez}EI2=9X4Te@k3*jJaZYONp#h50FLfYwY<$crMSW^saiZ^aIL_hKB)N!+ye3Qy1pXfupIxI&1#nn z+zR$SNo+dt1;9nrD|$c~*`j;Qu9(PUF@|HhaMD?}eRKyA&wUiKAc_YoM(L<&hhGay z&7y|5To~~m>Zl6%m)6igu)m{c9ps&CxW>SN{KHotjBQl&2Fl#LXsm_8CSJ3#LDLSS z;^YH5rAaKnHHkEu16QUkrvY7<6<2X()WU1A`0D|P(%naoX-t1Wj7=s}48G{UZ__(D zA{5=QLtbA_YZpGHm3y#q<3ho#Q4)5pJmtE+oW9IP2Xt#{$ z%{le-#p3wl*EgY*cK}Cd=K7}V`&+98;O9ZGdZ{r$X}&brlM&pwRA!W=>of3_OvJz? zdM(huAdcxFykC~TuXx=xBIAbrPg&JFi6Pz3$=iglTa%X74&gy}W*na0eg4y&moTP?9wO$v0`+c#pR&*}Kwuwu#Yoc3U5y(StsAKtUZD@lqTp@HtRd|0g-C z`A5x5S*J_27B7z{IqRJ7AD}sy=dXA?Q7fhNB!;J!aOW7P9i0x1rF2t)Fq|01dC-W= z(p_?4@Qp3i6`uZ7T%PZmNdH=N4hq1hJ4v##i{dr#?z3SO|kwzz=R{X*U$nPqF=r%$%TG$9%Mh!}=-0F3s@r}x)dxx<5 zdgyLxkB3Y2Rg1APCcx#qyDJnS@p4Vz1qGV1dcoIQMR<&j_Iz*5Dzs6Zr^`^mozUG7 zYBXNWqIxKbsZVz~CP6Nyb?b~##C4^A%QUyh|BP<=>2uZBt^7{D4t)jd?8!nd+Fzn! zXEBipwwncL5_D`4n5-x_fX$^u(%A(ul7|<|Ca3SAf@5qa?u?o4A*&U=0n{mWVFwg~ zRS91HXEW3Un`tROKBduAazDKAPxwX?pIqMokYB_b@%T`RNf95+7m~pR%fYDxyyG_^IGm9FI8)zpar$XPIsnX z>gwNnSY7xw8iSOacbjo{--G6PXHdp!&&53EH*0aR(BPF4q4i8>=D37|vne`s% zbKV%MTbK_?6n&_YE{d99cXlWSE}2 z?EX%=dW~=g^L|~5yzptL>8Jpk78kMUBV?hm*s4zh-rYTfGfW)Pv-L4w!2u=$dso*i zs5d8YSQ|;Gr(dMSz5~bFI>FMX(-@*Nk4_$qFErPTpM6ue$cv|&ZwkT=Zof#y4YE{~ zZTX?getw2oIz2Q8_KqD*(?mRM^t}pqJ3s>fe$cvqhwd5d>$y#QUu!Ap`$g}63~X&| zFhGde>R(t$y0Dm<6>jWxRl@-sx)$p+?4-MI`c}a8(wY!VL$0HsxsAz2%Bu9Aa`7{p zUuflI7~D60 zoMf+_1n%GKefIAe=6Uu(nB?L;Un8SlMO`B8F^9`)&Tgy)jJLA6t4{cvUF5l1%xA7D z`V|K9ILi>;opNn=mq^l7ugH3lpT{8V;cwg@mYn;FD;`thH=$%*hz{`&$=HhmBM%pJ zo9p=N1h2AN>#t*!gpI*UZXYEO)Aot@hN28u{}HAsCCp*?4vp==Pmz9 zK1}2Tcvz|0qR?r+`SvzAeR^oXZ5|+(z^X*zG#mDo$MXZvz6$*f_^u@N!fF1v0%0~pkLi?s{-mw)!8&N zx$S1VCm`0!OL4*8x79`AO-5R zA*(;LO+wyTK)j~cHX5j^kl$Zr8Mjvr zsdb~PmBxFuxz1zI%Rw)IeT%>YT6*F&Pk5TrEdB2M4g$Yz+sMi8p55##6u(T1KzHv<1dsPJ!MpbXYi&%0yI@y1Jk*7?T&Zjst!=8B!j4ADz8m3?Y@0p8 zH}lq5^a_b|N6#T+S7zy(nlZiMq?5dm2+gtwrtbDuz3b&(@I(46aQHm!3Y6xfqVKuE zVzE$>_)Ar=IdG@YrG!-)*zpyF%l9gHarcJJ*iZv(DN)yOSwI%<=7uTQ>hOw33YN&R z3Ox#3-Q6@@+f*QH^f+#!r}C|8Zlrj1B(V39IoqeXVRZWFa)8+GF^8^rkvLk~9ZOQ+ z;!@PX_IR%Z(e|_v71;%qRlA#SSA9Z~3r`Kaga$l6$!TjqNqchyhO1DpcKxBb-_9u| zluE*5`j_I;k{CQZC<=}kj z3$!M#<-AHo(GiNz%VNf0*W&dY&7}&a%iE!rW!b%jr6LEKL{8rp`81({Ca>~ds%PFL zX*ip~3Ee4a+;uu0kD+$fZPCF!g?24w6wjq1Z`&7Pnjqbu%5D(tQ6oF2pAs{pC4l?> z^q++|7lEMfrC;Tq7;X||?wcbQUj`KD&zCwfFDYza#Fd%z*WPS(^(D@FB@V=j{m9y( zbEXM{IFdN;QP_Sr?h1S2rJdxD!CjSge7#rl*j>zvZJnkN6Zf)qi5(4@eTU8o_nI0F zB5TnuyfY+vntij@UpYXnVBbn{cngFJ1y!9_9>bZGNV(LnP#V=(;g{*+o_!X1X)lIE zh{E_ydxt(M=?dI6IjwhK4n|si3%e)Ls3Gy|ygrlXsG`|-lQceB7=@=s$kg8!gsykN zTi85d)|@?Lb6<;q8z5h(f$Ivt4E1=HKz=6>7VZ{QM^{vAJ;PB#$r8(>si5aO@$)@4 zM8IDZ>(0SSY>AmwKy!CuL0CXOZ4Vs-SNtlp6a|3VIsFUpLql*L+TF?l_ykRnz3hi< zH#a_RoE%0Om0%u1BN|W1gba0AKke-MrkIF#?S*2y^NWGsL8d~Nko4=!XZhUAXYKrN z2BDOk03!L?cVR{}0QV6hwY%x8Ym8?|1OWly8kwvhjw{AbRk>D|JF$v?qRfb-!_JH)Q;?XmzOeI z4Zi(MP%g4}?7#Ow1>*U*xC+?$N&nIH3y-K&I%lt#UBQ7YiPoBF0iiZ3?@PoTA4B2} z4#jf$#L<&BZ@0c*8u_M99nkk~BX4N;oL$owf@dNH=Fzz z7*RFTLu-_p1@S!Vc=hoQ@y_r&Kn89DVje5?dBtumOFq+OXu`k4lqqtJ&jYD{$q{@W#aL^X+~eA4sD{WCj*3Kc zeGTf5cjd-fhV9|R%3K11VNtjoTUVOL?$Ub2T?#%ljCOzwob(My5NEeX4$PDT2+ft? zr$I*o5}qY-TRitKX?=Ag*y}C4{VRdWaW@1s`w7J-IsO<*;XZ>pdROMJ9vhp$wKp8V zZ7O#}{5ruaUSy3A24@|gZoy8~7|kxcMyi0tq!+ZIKHi>^$=0w>EzKJ@m5QO>o1Vb& zXgHs}igkt&9GLBJ(;2&Mr#yEajvWqv1&ito3i;J*AUC7*O9`AvbfXW+i9&GvFX69Lea{? zB*#-4oFvJUOrTMj;B(_qqi#7?_0o0YAm3q0bI){^D!FDY>{#{O=B@5^&1>J~M@v?F z*+)KaYh6=eBPOT$ZyT%=U+9)8KYD}bHoubQM7&n}e5IsQGL&e};qzAmJ9wwHY>nb1 zx_;AR781HF)~3fk+z=jCo>R3_{e_~+c&K!AIStu8u}ntP<2GODv$OMWKjr#;PYL5b zKk1dJE>O22zB)K((CS>J?dvxS;r7(zJ*5e7b2hH0-D{`VCq`M56x=WEyV{wEg$qJ8vlkj#Wh)I)mLT84X?qM9WIu|hRvx>u@OOqHDV6!9b z$gyp*B(GUz{+LlSH^}}_>%NJ zKj;aKao@<#bUWy-0jq=2056F>%-hOZli?4l*Se@XRkKKm!ost7z zOX#Ql<0b?Tk=V+N0o8;1rDfcmX+_T8@!k|jgh(tLjutgjKsgt(X1poRjMPDBG7#67 z13PpDI&lZiK^Mi}+6VtVk5^I%)H_O7bL@hWuOVLk9ey>8h`{{S`YbbegFls2F+%rh z9c0flHM$cNzQ*J5BiaGsgP#iMoz;6h-3{1e2knor4*TTu#RHN-=XN==@t?vvnEo8% z{aF-y)Tv)O%!vZ-3vJfn58+KF$M4?bs_WVV8o3+LlG)6pha_0sZ3L?7p$(J7U`%;_ zrb?)!68)^Acq3Y{`le4Hpy&1h&Nw6V!dg3AeM=l zNwT9No3tC}6|M&YX1Y_tDh|em0E-K?Y0-8=+l|R?N+dt| z^BBjtP7W~bgx~AFB%o!9d1J6|iY}&PKeA-*aPH*|DBWx>h)03!2eY#5Jyz~N)2So# zG-2(tvp^d!L>|D3;dTt9iK-Ek5g!8M-Q)$3nTxt3456%r0( z5veL=ycG>0%^Jf1VmZ*T*GZUxNes!{Y&pITz*+na1xprX+*?VQ@s>C(arcyZoRCM= zR?EVe#n-=PuSAL6;#Epd;!|a(vQbJ9mzk4qC)u$1-u<3z_t6RtukAd6 zSJ(=r{$a9_J0uO+*xWJ5=gE)tmKL5ZB7BNS{y(4p$APe8CZ4TBM>9^>tKv`WMV-bA zKK)O0fA&kOW<;|^89%Cg`EWAI`f}2RS~@fppp4NgVE9ZE_K8nvLWpGclnY<*-@=Ap zyHYFyWuIDxHGcE!@i%YIiSwwRyo%E2?5H>%MQ#ne>AO$3(ZrA3hfJ)c4W5&ezXgO3 zv?JneN`?*`H-#Wm7p7d|7qQ&tAo(u%r0e$TjPWKMfB!ve8h+wXKEl{eV*oIR?~!$n zGfPoM-HrWrBFIY9$0UM z^B$*$;s0`VaJ-W7=y99hYfpc6tf^dK=alYClUptAu9?tT=tM?|4Y7RRY|t7-T;fLp z?)0boUhh&v{AsI<7PLr3E*_!9crbdg#-Y`hfKz6Yy6RXEO>toUQ*NNaVDx<3op=$DmBxyC`i=W5 zry{QL$S|dJ5XZkZKKwp@uN2xStF|#hJ-*1h2wRs2*^v*s!w?YBxHL=#wZ=0JBlApc zI*r154c7r5{h!*&pWD_7VDz$e8|(YpR$0l$7jpIcUnoPz$pbe$XenRLuJL%(aB-G~zCt$2PSc zKd4A&y-8pEb!`(6Bk>I%VfTCJeC2=E(_W~Dt8{a9bLF5MqiNjuRdCipnE=c1GrD+W z`~H=RJrcn? zOM1^$-#Wa^VAP~fYI}YAano7Y{FEd~@gxG3bNS=WjNtV>OJSzU&x=%oI+q|T8J5xE zwB7)`KZoKUL;Fgijj}|G+5P03>N;Q9@!6YM`~`0hNB)ro@`ij}C+7(c9FK8j91^_U zBWvk_pf8a1miC36B-evNjsb6elcZPv4YD7FQhh22t^Q-K{`Ud_DQ!gX!RuUDV2wq| zf>CYI3ybw1EwX{GRDn3tf<~<-asCw%dHmzblMXhB99+-esA+Ea9PVlHZ=S!A1%6Z5 z;HmCqTSH)yc_C$UyFH?&utb#b zI$u}3XYI0!w@w^{%V@VJ(E5GbJ!#Ktcr4pSdng_h9$kz(cMmSZGaXowbQ&T*0k7-W z%QRoTO15iptqxGe-ggx>5kNIYZMInoIG#&KHs$ph-Cjv~DFc!#qG69Ok#niC1!3J? z4wRdc&Kj31zy6yoSAK*(jZ84amS(H4bM~s9pJk@w(TUO0vhsrvA)yQJsLjn$Iv%2 z_&Ed7t&$blR8j*dgrnYt#!TbB{J`A_P2QVPx8h(VzQ*kL=K z%Sgtx29wC&+LkFPFSL*a2hICG2q-CIhhJDaPgOsKsE(JFoL&ofFiL|4`iS@cw1#Zx z>vJO1Cwv07=AIV>{qcR($-?+}u0~9Kp?-RxGGLLtTri8e?5M{Z(y*tqK@GgRx(Qk& z*aziW41ZlF3=AmynZepMoG+MC^%;1j=`l(#lnJr6r&OdqlP1TwyfPM4G_fCEBO9$Q zT|yIxg4)~)vCRT;NL;bE!RaJ3Ef|Qs4H|{yr_x)WEqWPxd2G7|t^c?agEGp=JBco2 z2?*-#q6F3O&Q}@T8Lnra6XZgKH6FisUS3<-ph2%ivFmeZ{x+RDD_WR6GI@%C10K1l znk(-VmD&3gu`y*~4zVb^FF^UwEp}%lZmU?4cLs}HG@lsl_ zh(tB~fG@p=E50Vs_+5&9OWAu9ziE=Kfj09RnW=9s$;RxNva`tFvPJHPZV@o{$5EPC zUM;3`PH$&}?dbd-;$1MgDl)VAdaX6sycEx{apBx|+Q03IAl=s!)y1XEv0Nm6CPEyJG*+T!ZGW*Q3hBOuJ73u8SYZa-#?oo4$=}tVbp)}R=*kt5b@>kti>6E$a*4ENg zHvk8_M#_gqFSxCt#;j z`m#a$!s-9xceIfB7*xca^@&I#j1*N*%&kH54H5QD!;n z-?~R#trg&yye=p_G1uGhYY^t<_?A7!rvC3NKz0;oDnGhb@`4A@82j{0Xq++)1H2@4 zk28;%p%OaY95ru~s8rSd)ChHnZ7}v-_I6ze2LW7{g%GUp*98$6gMjm9lq4f2t(&~a zq_LFZ+LJBI_<9YxAx6}7^y39xBu7cLs(9i&bOq4=S`9zcIxqHJ6Z)A(nSZvKXY328ZJDUU|_DWG> z@~UVla6CTiv(JL8dbO*pdJ81)OV6MB=>>}EU!eO?S&b_08-KJR;(3YTnUrifuK}V$ z6TT7X^$})&SJaPfyM;*?zL)HPWh1}t!BGVqA|r`VzrRTSXOiI_>jK68>6b!w{utZo z_lklQ#B;}Lw<(?sSryhUXAsp=B~l{S#ZC zgo^FAbS|Aer(-JM;O4NhovmhU$lacHIOluoOs+E}v)|OdKGNdTDsR)GpN4gCi|}{6 z7$x?S{)7J)d8wU@0rEN%wwg7PthJ014hkxlZ1aVL%px;kKXP9MS#rMixrJPbO>Wea z9|ozI>Pp-)^x7jXk{d;Tz|j2$l$&Nrx`!bwa@uj(4r*^tB>=vFb==tTsU147b4E;P z8kr`2r4>3M-ju;PXZ81pO|GiHq*(qF2MPR)fFoA(!#qVs)S43wz*a-Ef&elZ;eVx9 zXnH4>D|4=%>rw^kL8`y#@-ljh4c3rW*jZ82Pys<2J!rBCo2zqqHf_ry_vA?K+dr}P z=TSDTQN_a7Y7Mmxjuc`}Q;!HZY{cJmap-;HD7HGpO;>!MusVrPuJp~GAF~rNto(g)zd#+RKNF4ZcAja>#XPyUI>I$B zbHC4@=SD$sCk#C7xCrji`>6ecfhrF#PI3z0=Fb_ZT$j7Pi?mh0SbuUxu59r@?F{l#Ns zh&^ialSy$9SXiyt2|fskAptMC@_hmgJh{Ly3&fI{yYZO~ay2Nq?uHRe{GWZi9dPcn zV|WSAmCI6Z9#7Fk+i(gD{7>1y`M`yL|N2?oEwP%gJo|9O%Uf$~ZZxU(yg7Gi-nRaA zx_4*Xk~^uspQ>_vKL0d!D#LT3M@ysa;RZT`QF{^`_j~-%>X>-UE8}wn^>- zdwne9yPy;skic<7a@%HIz3Fx*tqcy#ev*3ftz+?*d_AidlUK);{MmDD`}dm4SasB6 z9~d~F%ylqRF1zR6_kQN8kkI%du%xzS7Yt9eXic2xiK3>T+jGYg(e6jEb%+m!R z#mmeF+by2YXU(hFkTUnkNxw<$yLWcqeUWAq^}F3<=PTEpX|Keup56Lxz4mpxD`*K% zp>fYU)uOlTwr}>m;nO*Gpf6ux`o^M*Hz(zP{?8b9lj*%z8J`LR5O})!xvX` +{%- if sig -%} +\ ( +{%- for arg in obj.obj.args -%} +{%- if arg[0] %}{{ arg[0]|replace('*', '\*') }}{% endif -%}{{ arg[1] -}} +{%- if not loop.last %}, {% endif -%} +{%- endfor -%} +){%- endif -%} +{%- endmacro %} + +{% macro _item(obj, sig=False, label='') %} + * - {{ _render_item_name(obj, sig) }} + - {% if label %}:summarylabel:`{{ label }}` {% endif %}{% if obj.summary %}{{ obj.summary }}{% else %}\-{% endif +%} + +{% endmacro %} + +{% macro auto_summary(objs, title='') -%} +.. list-table:: {{ title }} + + :header-rows: 0 + :widths: auto + :class: summarytable + + {% for obj in objs -%} + {%- set sig = (obj.type in ['method', 'function'] and not 'property' in obj.properties) -%} + + {%- if 'property' in obj.properties -%} + {%- set label = 'prop' -%} + {%- elif 'classmethod' in obj.properties -%} + {%- set label = 'class' -%} + {%- elif 'abstractmethod' in obj.properties -%} + {%- set label = 'abc' -%} + {%- elif 'staticmethod' in obj.properties -%} + {%- set label = 'static' -%} + {%- else -%} + {%- set label = '' -%} + {%- endif -%} + + {{- _item(obj, sig=sig, label=label) -}} + {%- endfor -%} + +{% endmacro %} diff --git a/docs/_templates/autoapi/module.rst b/docs/_templates/autoapi/module.rst new file mode 100644 index 00000000..802a648b --- /dev/null +++ b/docs/_templates/autoapi/module.rst @@ -0,0 +1,19 @@ +{% if "show-module-summary" in autoapi_options and (visible_classes or visible_functions) %} +{% block classes scoped %} +{% if visible_classes %} +{{ macros.auto_summary(visible_classes, title="Classes") }} +{% endif %} +{% endblock %} + +{% block functions scoped %} +{% if visible_functions %} +{{ macros.auto_summary(visible_functions, title="Functions") }} +{% endif %} +{% endblock %} + +{% block attributes scoped %} +{% if visible_attributes %} +{{ macros.auto_summary(visible_attributes, title="Attributes") }} +{% endif %} +{% endblock %} +{% endif %} diff --git a/docs/advanced-configuration.rst b/docs/advanced-configuration.rst new file mode 100644 index 00000000..1da12e86 --- /dev/null +++ b/docs/advanced-configuration.rst @@ -0,0 +1,291 @@ +Advanced Configuration +====================== + +This guide covers advanced configuration options for ChipFlow projects, including customizing clock domains, debugging features, and platform-specific settings. + +Advanced TOML Configuration +---------------------------- + +The ``chipflow.toml`` file supports many advanced configuration options beyond the basics covered in the getting started guide. + +Clock Domains +~~~~~~~~~~~~~~~~~~~~~~~~~~~~ + +ChipFlow supports multiple clock domains in your design: + +.. code-block:: toml + + [chipflow.clocks] + # Default clock for the design + default = "sys_clk" + + # Additional clock domains + pll = "pll_clk" + fast = "fast_clk" + +Each named clock must have a corresponding pad defined in the pads section: + +.. code-block:: toml + + [chipflow.silicon.pads] + sys_clk = { type = "clock", loc = "N1" } + pll_clk = { type = "clock", loc = "N2" } + fast_clk = { type = "clock", loc = "N3" } + +Debugging Features +~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ + +ChipFlow provides debugging options for silicon designs: + +.. code-block:: toml + + [chipflow.silicon.debug] + # Heartbeat LED to verify clock/reset functionality + heartbeat = true + + # Internal logic analyzer + logic_analyzer = true + logic_analyzer_depth = 1024 + + # JTAG debug access + jtag = true + +Pin Locking +~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ + +To prevent pin assignments from changing accidentally, ChipFlow supports a pin locking mechanism: + +.. code-block:: toml + + [chipflow.pin_lock] + # Enable pin locking + enabled = true + + # Lock file path (relative to project root) + file = "pins.lock" + +Once locked, pin assignments can only be changed by explicitly updating the lock file. + +Resource Constraints +~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ + +For silicon designs, you can specify resource constraints: + +.. code-block:: toml + + [chipflow.silicon.constraints] + # Maximum die area in mm² + max_area = 1.0 + + # Maximum power budget in mW + max_power = 100 + + # Target clock frequency in MHz + target_frequency = 100 + +Custom Top-Level Components +--------------------------- + +You can specify custom top-level components for your design: + +.. code-block:: toml + + [chipflow.top] + # Main SoC component + soc = "my_design.components:MySoC" + + # Additional top-level components + uart = "my_design.peripherals:UART" + spi = "my_design.peripherals:SPI" + +Each component should be a fully qualified Python path to a class that implements the Amaranth Component interface. + +Platform-Specific Configuration +------------------------------- + +Different target platforms may require specific configuration options: + +FPGA Board Configuration +~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ + +.. code-block:: toml + + [chipflow.board] + # Target FPGA board + target = "ulx3s" + + # Board-specific options + [chipflow.board.options] + size = "85k" # FPGA size + spi_flash = true + sdram = true + +Silicon Process Configuration +~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ + +.. code-block:: toml + + [chipflow.silicon] + # Target manufacturing process + process = "gf130bcd" + + # Process-specific options + [chipflow.silicon.options] + metal_stack = "6LM" + io_voltage = 3.3 + core_voltage = 1.2 + +External Dependencies +--------------------- + +ChipFlow can integrate with external dependencies: + +.. code-block:: toml + + [chipflow.deps] + # External IP cores + cores = [ + "github.com/chipflow/uart-core@v1.0.0", + "github.com/chipflow/spi-core@v2.1.0" + ] + + # External library paths + [chipflow.deps.libs] + amaranth_cores = "amaranth_cores" + chisel_cores = "chisel_cores" + +Testing Configuration +--------------------- + +For more complex testing setups: + +.. code-block:: toml + + [chipflow.sim] + # Testbench implementation + testbench = "my_design.tb:TestBench" + + # Custom simulation flags + [chipflow.sim.options] + trace_all = true + cycles = 10000 + seed = 12345 + + # Test vectors + [chipflow.sim.test_vectors] + path = "test_vectors.json" + format = "json" + +Documentation Configuration +--------------------------- + +To generate custom documentation for your design: + +.. code-block:: toml + + [chipflow.docs] + # Documentation output directory + output = "docs/build" + + # Block diagram generation + block_diagram = true + + # Custom templates + template_dir = "docs/templates" + + # Additional documentation files + extra_files = [ + "docs/architecture.md", + "docs/api.md" + ] + +Environment Variables +--------------------- + +Several environment variables can be used to customize ChipFlow's behavior: + +- ``CHIPFLOW_ROOT``: Root directory of your project +- ``CHIPFLOW_API_KEY_ID``: API key ID for ChipFlow services +- ``CHIPFLOW_API_KEY_SECRET``: API key secret for ChipFlow services +- ``CHIPFLOW_API_ENDPOINT``: Custom API endpoint (defaults to production) +- ``CHIPFLOW_DEBUG``: Enable debug logging (set to "1") +- ``CHIPFLOW_CONFIG``: Custom path to chipflow.toml file + +Using Custom Steps +------------------ + +To implement a custom step implementation: + +1. Create a new class that inherits from the base step: + + .. code-block:: python + + from chipflow_lib.steps.silicon import SiliconStep + + class CustomSiliconStep(SiliconStep): + def prepare(self): + # Custom preparation logic + result = super().prepare() + # Additional processing + return result + + def submit(self, rtlil_path, *, dry_run=False): + # Custom submission logic + if dry_run: + # Custom dry run behavior + return + + # Custom submission implementation + # ... + +2. Reference your custom step in chipflow.toml: + + .. code-block:: toml + + [chipflow.steps] + silicon = "my_design.custom_steps:CustomSiliconStep" + +3. Your custom step will be used when invoking the corresponding command. + +Advanced Pin Configurations +--------------------------- + +For complex pin requirements: + +.. code-block:: toml + + [chipflow.silicon.pads] + # Differential pair + lvds_in_p = { type = "i", loc = "N4", diff_pair = "positive" } + lvds_in_n = { type = "i", loc = "N5", diff_pair = "negative" } + + # Multiple bits of a bus + data[0] = { type = "io", loc = "S1" } + data[1] = { type = "io", loc = "S2" } + data[2] = { type = "io", loc = "S3" } + data[3] = { type = "io", loc = "S4" } + + # Special I/O modes + spi_clk = { type = "o", loc = "E1", drive = "8mA", slew = "fast" } + i2c_sda = { type = "io", loc = "W1", pull = "up", schmitt = true } + +Integration with Version Control +-------------------------------- + +ChipFlow integrates with Git for version tracking: + +1. Design submissions include Git commit hash for tracking +2. ChipFlow warns if submitting from a dirty Git tree +3. Version information is embedded in the manufacturing metadata + +For CI/CD integration, set the following environment variables: + +.. code-block:: bash + + # CI/CD environment variables + export CHIPFLOW_CI=1 + export CHIPFLOW_NONINTERACTIVE=1 + + # Authentication + export CHIPFLOW_API_KEY_ID=your_ci_key_id + export CHIPFLOW_API_KEY_SECRET=your_ci_key_secret \ No newline at end of file diff --git a/docs/chipflow-commands.rst b/docs/chipflow-commands.rst index c502d5a1..d5760491 100644 --- a/docs/chipflow-commands.rst +++ b/docs/chipflow-commands.rst @@ -41,4 +41,3 @@ A default simulation driver (the C++ code that runs the simulation) is included --------------------- If the design contains a CPU, the ``chipflow software build`` command is used to build test firmware for the target CPU. Which C source files to include, and any build options (like the target architecture or enabled RISC-V extensions) can be customised in the ``software/doit_build.py`` doit build script inside the user project. - diff --git a/docs/chipflow-toml-guide.rst b/docs/chipflow-toml-guide.rst index c46c3793..2e71e9ec 100644 --- a/docs/chipflow-toml-guide.rst +++ b/docs/chipflow-toml-guide.rst @@ -11,7 +11,7 @@ Let's start with a typical example: .. testcode:: :hide: - # Assert that example-chipflow.toml matches the current config schema. If + # Assert that example-chipflow.toml matches the current config schema. If # this test fails, then its likely that the content in this file will need # to be updated. from chipflow_lib import _parse_config_file @@ -178,5 +178,3 @@ Note that in this context, the :term:type parameter can only be ``ground`` or `` This is a work in progress, and currently you can use the defaults provided by customer support. .. _Caravel Harness: https://caravel-harness.readthedocs.io/en/latest/ - - diff --git a/docs/conf.py b/docs/conf.py index b819d8c2..52a0dc7a 100644 --- a/docs/conf.py +++ b/docs/conf.py @@ -37,8 +37,9 @@ ] html_theme = 'furo' -html_logo = '_static/chipflow-logo.svg' +html_logo = '_assets/chipflow-logo.svg' html_title = "ChipFlow Platform Documentation" +html_static_path = ['_assets'] autodoc_typehints = 'description' @@ -57,8 +58,11 @@ 'imported-members', ] -# Exclude autoapi templates -exclude_patterns = [autoapi_template_dir] +# Exclude autoapi templates and in-progress stuff +exclude_patterns = [ + autoapi_template_dir, + "unfinished", +] intersphinx_mapping = { 'py': ('https://docs.python.org/3/', None), diff --git a/docs/getting-started.rst b/docs/getting-started.rst new file mode 100644 index 00000000..331c0be0 --- /dev/null +++ b/docs/getting-started.rst @@ -0,0 +1,183 @@ +Getting Started with ChipFlow +============================= + +Welcome to ChipFlow! This guide will help you get started with the ChipFlow IC design platform, which simplifies the process of designing, testing, and manufacturing custom silicon. + +What is ChipFlow? +----------------- + +ChipFlow is an integrated circuit design platform that combines modern hardware design tools with cloud-based manufacturing services. It enables you to: + +- Design custom silicon using `Python `__ and `Amaranth HDL `__ +- Simulate and verify your designs +- Prepare and submit your designs for manufacturing +- Manage the entire IC design workflow from a single platform + + +Prerequisites +------------- + +Before you begin: + +- Install the latest version of `Python `__. +- Ensure you have `Git command line tools `__ installed +- We recommend `Visual Studio Code `__ as a development environment +- `GitHub Desktop `__ is a great tool for workingh with Git repos + +Clone chipflow-examples +----------------------- + +.. note:: + If you’re familiar with the `Git `__ command line + then go clone [ChipFlow/chipflow-examples](https://github.com/ChipFlow/chipflow-examples) + and jump ahead to `Install the Dependencies`_ + + +If the git world is new to you, start up `GitHub +Desktop `__. The first time you launch +it you will be asked to sign in or create an account - we recommend you +take this moment to create a GitHub account if you don’t already have +one! + +Navigate to `the chipflow-examples repository `__ +and click the green ‘Code’ button at the top. Select ‘Open with GitHub Desktop’ and +then follow the prompts (N.B. your web browser may have a pop-up to +authorise opening an external app) + +|Image showing the link to click| + + +Once GitHub Desktop has cloned your repo you can click the button to +open it in VS Code: + +|Image showing where to click in GitHub Desktop to +open in VSCode| + + +Install the dependencies +------------------------ + +.. note:: + In VS Code, open up a terminal by pressing :kbd:`Command-p`: (Mac) or :kbd:`C-p` (Windows/Linux). + +We use `PDM `__ to manage our dependencies, so +this will need to be installed. Follow the `PDM install +instructions `__ for your OS. + +Once PDM is installed, make sure you are in the ``chipflow-examples`` +directory and then run: + +:: + + pdm lock -d + pdm install + +Set up the environment +---------------------- + +Generate your API key by going to https://build.chipflow.org/ and logging in with your GitHub account. + +Click on the 'User' menu, then on ‘Create/Refresh API Key’ Your new API key will appear at the +top. + +.. figure:: _assets/api-key.png + :alt: Image showing a newly generated API Key + + Image showing a newly generated API Key + +.. warning: + Copy it now, as you will not see it again! + +Next, create a file called ``.env`` at the top level in the +``chipflow-examples`` directory, containing the line below, substituting +your key from the page above: + +:: + + CHIPFLOW_API_KEY= + +Running a chip build +-------------------- + +First choose a design to test. Here we will use the ``minimal`` design. + +Change into the ``minimal`` directory in ``chipflow-examples`` to use +this design. Now we need to ‘lock’ our pins - the ChipFlow tooling will +then automatically allocate inputs and outputs from your design to pins +on the chip. + +:: + + pdm run chipflow pin lock + +We can now simulate and test the design by running: + +:: + + make sim-check + +You should see the simulation model being built and run - and a small +test firmware running on the simulated System-on-a-Chip (aided by our +local friendly cat!) + +:: + + pdm run chipflow sim + -- build_sim_cxxrtl + -- build_sim + pdm run chipflow software + -- gather_depencencies + -- build_software_elf + -- build_software + cd build/sim && ./sim_soc + 🐱: nyaa~! + SoC type: CA7F100F + Flash ID: CA7CA7FF + Quad mode + pdm run json-compare design/tests/events_reference.json build/sim/events.json + Event logs are identical + +Now you are ready to try building this design into a chip! To submit +your design to ChipFlow Platform where it will be built into GDS, run: + +:: + + pdm run chipflow silicon submit + +This should return something like: + +:: + + INFO:chipflow_lib.steps.silicon:Submitting c23dab6-dirty for project chipflow-examples-minimal + INFO:chipflow_lib.steps.silicon:Submitted design: {'build_id': '3f51a69c-b3e3-4fd3-88fd-52826ac5e5dd'} + Design submitted successfully! Build URL: https://build-staging.chipflow.org//build/3f51a69c-b3e3-4fd3-88fd-52826ac5e5dd + +Your design will now start building: pictures and logs of the build are +available at build URL that is returned, once it is complete. + +If you would like to get the build logs streamed to your command-line, +you can instead call: + +:: + + pdm run chipflow silicon submit --wait + +.. |Image showing the link to click| image:: _assets/open-github-desktop.png +.. |Image showing where to click in GitHub Desktop to open in VSCode| image:: _assets/github-desktop-open.png + + +Installation +------------ + +1. Clone the ChipFlow repository: + + .. code-block:: bash + + git clone https://github.com/ChipFlow/chipflow-lib.git + cd chipflow-lib + +2. Install dependencies using PDM: + + .. code-block:: bash + + pdm install diff --git a/docs/index.rst b/docs/index.rst index c82e0635..a33aaca5 100644 --- a/docs/index.rst +++ b/docs/index.rst @@ -1,19 +1,24 @@ ChipFlow Library Documentation ------------------------------- +============================== -.. image: _static/chipflow-logo.svg +.. image:: _assets/chipflow-logo.svg :width: 200px :class: sd-m-auto :name: landing-page-logo -.. rubric: ChipFlow IC Design Platform +ChipFlow IC Design Platform +--------------------------- +ChipFlow is an open-source platform for designing, testing, and manufacturing custom silicon. +It provides a streamlined workflow from design to fabrication using Python and the Amaranth HDL. .. toctree:: :maxdepth: 2 - :caption: Contents: + :caption: User Guide + getting-started chipflow-toml-guide chipflow-commands - autoapi/index + advanced-configuration + API Reference diff --git a/docs/unfinished/create-project.rst b/docs/unfinished/create-project.rst new file mode 100644 index 00000000..b6af6329 --- /dev/null +++ b/docs/unfinished/create-project.rst @@ -0,0 +1,122 @@ +Creating Your First Project +--------------------------- + +1. Create a new directory for your project: + + .. code-block:: bash + + mkdir my-chipflow-project + cd my-chipflow-project + +2. Initialize your project: + + .. code-block:: bash + + pdm init + pdm add chipflow-lib + +3. Create a basic `chipflow.toml` configuration file: + + .. code-block:: toml + + [chipflow] + project_name = "my-first-chip" + + [chipflow.clocks] + default = "sys_clk" + + [chipflow.resets] + default = "sys_rst_n" + + [chipflow.silicon] + process = "gf130bcd" + package = "pga144" + + [chipflow.silicon.debug] + heartbeat = true + + [chipflow.silicon.pads] + sys_clk = { type = "clock", loc = "N1" } + sys_rst_n = { type = "reset", loc = "N2" } + +4. Create a simple design: + + Create a file called `design.py` with your hardware design. Here's a simple example: + + .. code-block:: python + + from amaranth import * + from amaranth.lib.wiring import Component, In, Out + + class Blinky(Component): + """A simple LED blinker""" + + def __init__(self): + super().__init__() + self.led = Out(1) + + def elaborate(self, platform): + m = Module() + + # 24-bit counter (approx 1Hz with 16MHz clock) + counter = Signal(24) + m.d.sync += counter.eq(counter + 1) + + # Connect the counter's most significant bit to the LED + m.d.comb += self.led.eq(counter[-1]) + + return m + + class MyTop(Component): + """Top-level design""" + + def __init__(self): + super().__init__() + self.blinky = Blinky() + + def elaborate(self, platform): + m = Module() + + m.submodules.blinky = self.blinky + + # Wire up the blinky LED to an output pin + led_out = platform.request("led") + m.d.comb += led_out.eq(self.blinky.led) + + return m + +Workflow Steps +-------------- + +ChipFlow organizes the design process into distinct steps: + +1. **Simulation**: Test your design in a virtual environment +2. **Board**: Prepare your design for FPGA prototyping +3. **Silicon**: Prepare and submit your design for manufacturing + +Each step is configured and executed through the ChipFlow CLI: + +.. code-block:: bash + + # Simulate your design + pdm chipflow sim prepare + + # Build for FPGA + pdm chipflow board prepare + + # Prepare for silicon manufacturing + pdm chipflow silicon prepare + + # Submit for manufacturing + pdm chipflow silicon submit + +Next Steps +---------- + +Now that you've created your first ChipFlow project, you can: + +- Read the :doc:`workflows` guide to understand the detailed workflow +- Learn about the :doc:`chipflow-toml-guide` for configuring your project +- Explore :doc:`advanced-configuration` options + +For more examples and detailed documentation, visit the `ChipFlow GitHub repository `_. diff --git a/docs/workflows.rst b/docs/workflows.rst new file mode 100644 index 00000000..6871d805 --- /dev/null +++ b/docs/workflows.rst @@ -0,0 +1,221 @@ +ChipFlow Workflows +================== + +This guide details the different workflows available in the ChipFlow platform, from simulation to silicon manufacturing. + +Overview +-------- + +ChipFlow organizes the IC design process into several logical steps, each addressing a different phase of development: + +1. **Simulation**: Virtual testing of your design +2. **Board**: FPGA prototyping +3. **Silicon**: Manufacturing preparation and submission + +Each workflow is implemented as a "step" in the ChipFlow library and can be accessed through the CLI tool. + +Simulation Workflow +-------------------- + +The simulation workflow allows you to test your design in a virtual environment before committing to hardware. + +**Commands:** + +.. code-block:: bash + + # Prepare the simulation environment + python -m chipflow_lib.cli sim prepare + + # Run the simulation tests + python -m chipflow_lib.cli sim run + +**Key Configuration:** + +In your chipflow.toml file, you can specify simulation-specific settings: + +.. code-block:: toml + + [chipflow.sim] + # Test-bench top module + testbench = "my_design.tb:TestBench" + + # Simulation duration in clock cycles + cycles = 10000 + + # Optional VCD waveform dump file + vcd = "sim.vcd" + +**Building a Test Bench:** + +Create a test bench file (e.g., `tb.py`) with a class that implements the simulation logic: + +.. code-block:: python + + from amaranth import * + from amaranth.sim import Simulator + from my_design import MyDesign + + class TestBench: + def __init__(self): + self.dut = MyDesign() + + def elaborate(self, platform): + m = Module() + m.submodules.dut = self.dut + + # Add stimulus logic here + + return m + + def sim_traces(self): + # Return signals to trace in simulation + return [self.dut.clk, self.dut.reset, self.dut.output] + + def sim_test(self, sim): + # Stimulus generation + def process(): + # Reset the design + yield self.dut.reset.eq(1) + yield Tick() + yield self.dut.reset.eq(0) + + # Run test vectors + for i in range(100): + yield self.dut.input.eq(i) + yield Tick() + output = yield self.dut.output + print(f"Input: {i}, Output: {output}") + + sim.add_process(process) + +Board Workflow +---------------- + +The board workflow prepares your design for FPGA deployment, which is useful for prototyping before committing to silicon. + +**Commands:** + +.. code-block:: bash + + # Prepare the design for FPGA deployment + python -m chipflow_lib.cli board prepare + + # Deploy to FPGA + python -m chipflow_lib.cli board deploy + +**Key Configuration:** + +.. code-block:: toml + + [chipflow.board] + # Target FPGA board + target = "tangnano9k" # or "icebreaker", "ulx3s", etc. + + # Pin mappings for your design + [chipflow.board.pins] + clk = "CLK" + reset = "BTN1" + leds[0] = "LED1" + leds[1] = "LED2" + +Silicon Workflow +----------------- + +The silicon workflow is the path to producing actual ASICs through ChipFlow's manufacturing services. + +**Commands:** + +.. code-block:: bash + + # Prepare design for manufacturing + python -m chipflow_lib.cli silicon prepare + + # Validate the design against manufacturing rules + python -m chipflow_lib.cli silicon validate + + # Submit the design for manufacturing + python -m chipflow_lib.cli silicon submit + + # Check the status of a submitted design + python -m chipflow_lib.cli silicon status + +**Key Configuration:** + +The silicon workflow requires detailed configuration in your chipflow.toml file: + +.. code-block:: toml + + [chipflow.silicon] + # Target manufacturing process + process = "gf130bcd" + + # Physical package for the chip + package = "cf20" + + # Optional debugging features + [chipflow.silicon.debug] + heartbeat = true + + # Pin assignments + [chipflow.silicon.pads] + sys_clk = { type = "clock", loc = "N1" } + sys_rst_n = { type = "reset", loc = "N2" } + led = { type = "o", loc = "N3" } + + # Power connections + [chipflow.silicon.power] + vdd = { type = "power", loc = "E1" } + vss = { type = "ground", loc = "E2" } + +**Submission Process:** + +When submitting a design for manufacturing: + +1. ChipFlow validates your design against process design rules +2. The design is converted to the necessary formats for manufacturing +3. You receive a quote and timeline for production +4. Once approved, the design enters the manufacturing queue +5. You receive updates on the progress of your chip + +Authentication for Submission +^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ + +To submit a design, you'll need to set up authentication: + +1. Create a `.env` file in your project directory with your API keys: + + .. code-block:: bash + + CHIPFLOW_API_KEY_ID=your_key_id + CHIPFLOW_API_KEY_SECRET=your_key_secret + +2. Alternatively, set these as environment variables before submission: + + .. code-block:: bash + + export CHIPFLOW_API_KEY_ID=your_key_id + export CHIPFLOW_API_KEY_SECRET=your_key_secret + python -m chipflow_lib.cli silicon submit + +Customizing Workflows +--------------------- + +You can customize any workflow by creating your own implementation of the standard steps: + +.. code-block:: toml + + [chipflow.steps] + # Custom implementation of the silicon step + silicon = "my_design.steps.silicon:MySiliconStep" + + # Custom implementation of the simulation step + sim = "my_design.steps.sim:MySimStep" + +Your custom step class should inherit from the corresponding base class in `chipflow_lib.steps` and override the necessary methods. + +Next Steps +---------- + +- Learn about :doc:`advanced-configuration` options +- Explore the :doc:`chipflow-toml-guide` for detailed configuration options +- See API documentation for :doc:`autoapi/steps/index` to create custom workflow steps From 7580e9208e848993a60e3559561c140e26246fd7 Mon Sep 17 00:00:00 2001 From: Rob Taylor Date: Wed, 7 May 2025 13:48:45 +0100 Subject: [PATCH 2/6] Tweak note font size --- docs/conf.py | 9 +++++++++ 1 file changed, 9 insertions(+) diff --git a/docs/conf.py b/docs/conf.py index 52a0dc7a..5ae5aa3c 100644 --- a/docs/conf.py +++ b/docs/conf.py @@ -41,6 +41,15 @@ html_title = "ChipFlow Platform Documentation" html_static_path = ['_assets'] +html_theme_options = { + "dark_css_variables": { + "admonition-font-size": "0.9 rem", + }, + "light_css_variables": { + "admonition-font-size": "0.9 rem", + }, +} + autodoc_typehints = 'description' autoapi_dirs = [ From e757d52aecc8530d1b8de5ad833eaed678ffb995 Mon Sep 17 00:00:00 2001 From: Rob Taylor Date: Wed, 7 May 2025 14:33:44 +0100 Subject: [PATCH 3/6] Clean up a bit - move unfinished docs away for now --- docs/index.rst | 1 - .../advanced-configuration.rst | 60 ++++++++----------- docs/unfinished/create-project.rst | 2 +- docs/{ => unfinished}/workflows.rst | 35 ++++++----- 4 files changed, 42 insertions(+), 56 deletions(-) rename docs/{ => unfinished}/advanced-configuration.rst (90%) rename docs/{ => unfinished}/workflows.rst (90%) diff --git a/docs/index.rst b/docs/index.rst index a33aaca5..e24a57df 100644 --- a/docs/index.rst +++ b/docs/index.rst @@ -20,5 +20,4 @@ It provides a streamlined workflow from design to fabrication using Python and t getting-started chipflow-toml-guide chipflow-commands - advanced-configuration API Reference diff --git a/docs/advanced-configuration.rst b/docs/unfinished/advanced-configuration.rst similarity index 90% rename from docs/advanced-configuration.rst rename to docs/unfinished/advanced-configuration.rst index 1da12e86..08548c08 100644 --- a/docs/advanced-configuration.rst +++ b/docs/unfinished/advanced-configuration.rst @@ -18,7 +18,7 @@ ChipFlow supports multiple clock domains in your design: [chipflow.clocks] # Default clock for the design default = "sys_clk" - + # Additional clock domains pll = "pll_clk" fast = "fast_clk" @@ -42,11 +42,11 @@ ChipFlow provides debugging options for silicon designs: [chipflow.silicon.debug] # Heartbeat LED to verify clock/reset functionality heartbeat = true - + # Internal logic analyzer logic_analyzer = true logic_analyzer_depth = 1024 - + # JTAG debug access jtag = true @@ -60,7 +60,7 @@ To prevent pin assignments from changing accidentally, ChipFlow supports a pin l [chipflow.pin_lock] # Enable pin locking enabled = true - + # Lock file path (relative to project root) file = "pins.lock" @@ -76,10 +76,10 @@ For silicon designs, you can specify resource constraints: [chipflow.silicon.constraints] # Maximum die area in mm² max_area = 1.0 - + # Maximum power budget in mW max_power = 100 - + # Target clock frequency in MHz target_frequency = 100 @@ -93,7 +93,7 @@ You can specify custom top-level components for your design: [chipflow.top] # Main SoC component soc = "my_design.components:MySoC" - + # Additional top-level components uart = "my_design.peripherals:UART" spi = "my_design.peripherals:SPI" @@ -113,7 +113,7 @@ FPGA Board Configuration [chipflow.board] # Target FPGA board target = "ulx3s" - + # Board-specific options [chipflow.board.options] size = "85k" # FPGA size @@ -128,7 +128,7 @@ Silicon Process Configuration [chipflow.silicon] # Target manufacturing process process = "gf130bcd" - + # Process-specific options [chipflow.silicon.options] metal_stack = "6LM" @@ -148,7 +148,7 @@ ChipFlow can integrate with external dependencies: "github.com/chipflow/uart-core@v1.0.0", "github.com/chipflow/spi-core@v2.1.0" ] - + # External library paths [chipflow.deps.libs] amaranth_cores = "amaranth_cores" @@ -164,13 +164,13 @@ For more complex testing setups: [chipflow.sim] # Testbench implementation testbench = "my_design.tb:TestBench" - + # Custom simulation flags [chipflow.sim.options] trace_all = true cycles = 10000 seed = 12345 - + # Test vectors [chipflow.sim.test_vectors] path = "test_vectors.json" @@ -186,13 +186,13 @@ To generate custom documentation for your design: [chipflow.docs] # Documentation output directory output = "docs/build" - + # Block diagram generation block_diagram = true - + # Custom templates template_dir = "docs/templates" - + # Additional documentation files extra_files = [ "docs/architecture.md", @@ -204,12 +204,10 @@ Environment Variables Several environment variables can be used to customize ChipFlow's behavior: -- ``CHIPFLOW_ROOT``: Root directory of your project -- ``CHIPFLOW_API_KEY_ID``: API key ID for ChipFlow services -- ``CHIPFLOW_API_KEY_SECRET``: API key secret for ChipFlow services -- ``CHIPFLOW_API_ENDPOINT``: Custom API endpoint (defaults to production) +- ``CHIPFLOW_ROOT``: Root directory of your project, which must contain `chipflow.toml` +- ``CHIPFLOW_API_KEY``: API key secret for ChipFlow services +- ``CHIPFLOW_API_ENDPOINT``: Custom API endpoint (defaults to production - https://build.chipflow.org) - ``CHIPFLOW_DEBUG``: Enable debug logging (set to "1") -- ``CHIPFLOW_CONFIG``: Custom path to chipflow.toml file Using Custom Steps ------------------ @@ -221,20 +219,20 @@ To implement a custom step implementation: .. code-block:: python from chipflow_lib.steps.silicon import SiliconStep - + class CustomSiliconStep(SiliconStep): def prepare(self): # Custom preparation logic result = super().prepare() # Additional processing return result - + def submit(self, rtlil_path, *, dry_run=False): # Custom submission logic if dry_run: # Custom dry run behavior return - + # Custom submission implementation # ... @@ -258,13 +256,13 @@ For complex pin requirements: # Differential pair lvds_in_p = { type = "i", loc = "N4", diff_pair = "positive" } lvds_in_n = { type = "i", loc = "N5", diff_pair = "negative" } - + # Multiple bits of a bus data[0] = { type = "io", loc = "S1" } data[1] = { type = "io", loc = "S2" } data[2] = { type = "io", loc = "S3" } data[3] = { type = "io", loc = "S4" } - + # Special I/O modes spi_clk = { type = "o", loc = "E1", drive = "8mA", slew = "fast" } i2c_sda = { type = "io", loc = "W1", pull = "up", schmitt = true } @@ -278,14 +276,4 @@ ChipFlow integrates with Git for version tracking: 2. ChipFlow warns if submitting from a dirty Git tree 3. Version information is embedded in the manufacturing metadata -For CI/CD integration, set the following environment variables: - -.. code-block:: bash - - # CI/CD environment variables - export CHIPFLOW_CI=1 - export CHIPFLOW_NONINTERACTIVE=1 - - # Authentication - export CHIPFLOW_API_KEY_ID=your_ci_key_id - export CHIPFLOW_API_KEY_SECRET=your_ci_key_secret \ No newline at end of file +For CI/CD integration, call the `chipflow` command as usual, and make sure to set your `CHIPFLOW_API_KEY` using your CI providers' secret handling. diff --git a/docs/unfinished/create-project.rst b/docs/unfinished/create-project.rst index b6af6329..534df6f7 100644 --- a/docs/unfinished/create-project.rst +++ b/docs/unfinished/create-project.rst @@ -82,7 +82,7 @@ Creating Your First Project # Wire up the blinky LED to an output pin led_out = platform.request("led") m.d.comb += led_out.eq(self.blinky.led) - + return m Workflow Steps diff --git a/docs/workflows.rst b/docs/unfinished/workflows.rst similarity index 90% rename from docs/workflows.rst rename to docs/unfinished/workflows.rst index 6871d805..1d75a569 100644 --- a/docs/workflows.rst +++ b/docs/unfinished/workflows.rst @@ -24,10 +24,10 @@ The simulation workflow allows you to test your design in a virtual environment .. code-block:: bash # Prepare the simulation environment - python -m chipflow_lib.cli sim prepare + pdm chipflow sim prepare # Run the simulation tests - python -m chipflow_lib.cli sim run + pdm chipflow sim run **Key Configuration:** @@ -58,19 +58,19 @@ Create a test bench file (e.g., `tb.py`) with a class that implements the simula class TestBench: def __init__(self): self.dut = MyDesign() - + def elaborate(self, platform): m = Module() m.submodules.dut = self.dut - + # Add stimulus logic here - + return m - + def sim_traces(self): # Return signals to trace in simulation return [self.dut.clk, self.dut.reset, self.dut.output] - + def sim_test(self, sim): # Stimulus generation def process(): @@ -78,14 +78,14 @@ Create a test bench file (e.g., `tb.py`) with a class that implements the simula yield self.dut.reset.eq(1) yield Tick() yield self.dut.reset.eq(0) - + # Run test vectors for i in range(100): yield self.dut.input.eq(i) yield Tick() output = yield self.dut.output print(f"Input: {i}, Output: {output}") - + sim.add_process(process) Board Workflow @@ -98,10 +98,10 @@ The board workflow prepares your design for FPGA deployment, which is useful for .. code-block:: bash # Prepare the design for FPGA deployment - python -m chipflow_lib.cli board prepare + pdm chipflow board prepare # Deploy to FPGA - python -m chipflow_lib.cli board deploy + pdm chipflow board deploy **Key Configuration:** @@ -128,16 +128,16 @@ The silicon workflow is the path to producing actual ASICs through ChipFlow's ma .. code-block:: bash # Prepare design for manufacturing - python -m chipflow_lib.cli silicon prepare + pdm chipflow silicon prepare # Validate the design against manufacturing rules - python -m chipflow_lib.cli silicon validate + pdm chipflow silicon validate # Submit the design for manufacturing - python -m chipflow_lib.cli silicon submit + pdm chipflow silicon submit # Check the status of a submitted design - python -m chipflow_lib.cli silicon status + pdm chipflow silicon status **Key Configuration:** @@ -186,8 +186,7 @@ To submit a design, you'll need to set up authentication: .. code-block:: bash - CHIPFLOW_API_KEY_ID=your_key_id - CHIPFLOW_API_KEY_SECRET=your_key_secret + CHIPFLOW_API_KEY=your_key_secret 2. Alternatively, set these as environment variables before submission: @@ -195,7 +194,7 @@ To submit a design, you'll need to set up authentication: export CHIPFLOW_API_KEY_ID=your_key_id export CHIPFLOW_API_KEY_SECRET=your_key_secret - python -m chipflow_lib.cli silicon submit + pdm chipflow silicon submit Customizing Workflows --------------------- From dc37a6de821467880d65867ae0d4378de2620cd4 Mon Sep 17 00:00:00 2001 From: Rob Taylor Date: Wed, 7 May 2025 14:34:24 +0100 Subject: [PATCH 4/6] Start adding some documentation for steps. Don't expose for now as interfaces need fixing for boards, sim etc --- chipflow_lib/steps/__init__.py | 17 +++++++++++++++++ chipflow_lib/steps/board.py | 4 +++- chipflow_lib/steps/silicon.py | 5 +++-- chipflow_lib/steps/sim.py | 3 ++- chipflow_lib/steps/software.py | 7 +++++-- 5 files changed, 30 insertions(+), 6 deletions(-) diff --git a/chipflow_lib/steps/__init__.py b/chipflow_lib/steps/__init__.py index e69de29b..82d44f47 100644 --- a/chipflow_lib/steps/__init__.py +++ b/chipflow_lib/steps/__init__.py @@ -0,0 +1,17 @@ +""" +Steps provide an extensible way to modify the `chipflow` command behavior for a given design +""" + +from abc import ABC + +class StepBase(ABC): + def __init__(self, config={}): + ... + + def build_cli_parser(self, parser): + "Build the cli parser for this step" + ... + + def run_cli(self, args): + "Called when this step's is used from `chipflow` command" + self.build() diff --git a/chipflow_lib/steps/board.py b/chipflow_lib/steps/board.py index adb59900..439b5800 100644 --- a/chipflow_lib/steps/board.py +++ b/chipflow_lib/steps/board.py @@ -1,6 +1,7 @@ # SPDX-License-Identifier: BSD-2-Clause +from . import StepBase -class BoardStep: +class BoardStep(StepBase): """Build the design for a board.""" def __init__(self, config, platform): @@ -13,4 +14,5 @@ def run_cli(self, args): self.build() def build(self): + "Build for the given platform" self.platform.build() diff --git a/chipflow_lib/steps/silicon.py b/chipflow_lib/steps/silicon.py index beb81f53..e89306bb 100644 --- a/chipflow_lib/steps/silicon.py +++ b/chipflow_lib/steps/silicon.py @@ -13,6 +13,7 @@ import dotenv from amaranth import * +from . import StepBase from .. import ChipFlowError from ..platforms import SiliconPlatform, top_interfaces, load_pinlock @@ -20,7 +21,7 @@ logger = logging.getLogger(__name__) -class SiliconTop(Elaboratable): +class SiliconTop(StepBase, Elaboratable): def __init__(self, config={}): self._config = config @@ -53,7 +54,7 @@ def elaborate(self, platform: SiliconPlatform): class SiliconStep: - """Prepare and submit the design for an ASIC.""" + """Step to Prepare and submit the design for an ASIC.""" def __init__(self, config): self.config = config diff --git a/chipflow_lib/steps/sim.py b/chipflow_lib/steps/sim.py index fbb16cb7..fdb6d875 100644 --- a/chipflow_lib/steps/sim.py +++ b/chipflow_lib/steps/sim.py @@ -3,8 +3,9 @@ from doit.cmd_base import ModuleTaskLoader from doit.doit_cmd import DoitMain +from . import StepBase -class SimStep: +class SimStep(StepBase): """Simulate the design.""" doit_build_module = None diff --git a/chipflow_lib/steps/software.py b/chipflow_lib/steps/software.py index b8bc45cb..957d2d82 100644 --- a/chipflow_lib/steps/software.py +++ b/chipflow_lib/steps/software.py @@ -3,9 +3,10 @@ from doit.cmd_base import ModuleTaskLoader from doit.doit_cmd import DoitMain +from . import StepBase -class SoftwareStep: - """Build the software.""" +class SoftwareStep(StepBase): + """Base step to build the software.""" doit_build_module = None @@ -19,7 +20,9 @@ def run_cli(self, args): self.build() def doit_build(self): + "Run the overridden doit_build_module" DoitMain(ModuleTaskLoader(self.doit_build_module)).run(["build_software"]) def build(self): + "Build the software for your design" self.doit_build() From 403abeaa9dd56f03c9c384e08bc5d45f58aa5e2a Mon Sep 17 00:00:00 2001 From: Rob Taylor Date: Wed, 7 May 2025 16:49:44 +0100 Subject: [PATCH 5/6] Add missing chipflow pdm script --- pyproject.toml | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/pyproject.toml b/pyproject.toml index b7c4a4e8..233b1f39 100644 --- a/pyproject.toml +++ b/pyproject.toml @@ -72,7 +72,7 @@ test-docs.cmd = "sphinx-build -b doctest docs/ docs/_build" lint.cmd = "ruff check" docs.cmd = "sphinx-build docs/ docs/_build/ -W --keep-going" test-silicon.cmd = "pytest tests/test_silicon_platform.py tests/test_silicon_platform_additional.py tests/test_silicon_platform_amaranth.py tests/test_silicon_platform_build.py tests/test_silicon_platform_port.py --cov=chipflow_lib.platforms.silicon --cov-report=term" - +chipflow.cmd = "chipflow" [dependency-groups] lint = [ From a5c932c1b62f96788ee2806247def4c2b04cb2a0 Mon Sep 17 00:00:00 2001 From: Rob Taylor Date: Wed, 7 May 2025 17:45:28 +0100 Subject: [PATCH 6/6] Cheeky whitespace cleanup --- .gitattributes | 1 - .gitignore | 1 - chipflow_lib/software/drivers/spi.c | 6 +++--- chipflow_lib/software/drivers/spiflash.S | 4 ++-- chipflow_lib/software/drivers/spiflash.c | 2 +- tests/test_utils.py | 4 ++-- 6 files changed, 8 insertions(+), 10 deletions(-) diff --git a/.gitattributes b/.gitattributes index 2994eba3..38934302 100644 --- a/.gitattributes +++ b/.gitattributes @@ -16,4 +16,3 @@ # Jupyter notebook *.ipynb text eol=lf - diff --git a/.gitignore b/.gitignore index 91d627a1..2376557b 100644 --- a/.gitignore +++ b/.gitignore @@ -19,4 +19,3 @@ __pycache__/ docs/_build .cache - diff --git a/chipflow_lib/software/drivers/spi.c b/chipflow_lib/software/drivers/spi.c index 32667b2e..951bcc0f 100644 --- a/chipflow_lib/software/drivers/spi.c +++ b/chipflow_lib/software/drivers/spi.c @@ -2,7 +2,7 @@ #include "spi.h" void spi_init(volatile spi_regs_t *spi, uint32_t divider) { - spi->divider = divider; + spi->divider = divider; spi->config = 0x02; // CS=0, SCK_EDGE=1, SCK_IDLE=0 } @@ -11,8 +11,8 @@ uint32_t spi_xfer(volatile spi_regs_t *spi, uint32_t data, uint32_t width, bool spi->send_data = data << (32U - width); while (!(spi->status & 0x1)) // wait for rx full ; - if (deselect) { - spi->config = ((width - 1) << 3) | 0x02; // CS=0, SCK_EDGE=1, SCK_IDLE=0 + if (deselect) { + spi->config = ((width - 1) << 3) | 0x02; // CS=0, SCK_EDGE=1, SCK_IDLE=0 } return spi->receive_data; } diff --git a/chipflow_lib/software/drivers/spiflash.S b/chipflow_lib/software/drivers/spiflash.S index 9e007531..4026be67 100644 --- a/chipflow_lib/software/drivers/spiflash.S +++ b/chipflow_lib/software/drivers/spiflash.S @@ -14,7 +14,7 @@ mv t3, ra # address of SPI ctrl reg li a0, 0xb0000000 # enter bypass mode -lbu t1, 0(a0) +lbu t1, 0(a0) ori t1, t1, 0x1 sb t1, 0(a0) call flashio_wait_bypass_ready @@ -40,7 +40,7 @@ j flashio_xfer flashio_done: # exit bypass mode -lbu t1, 0(a0) +lbu t1, 0(a0) andi t1, t1, 0xFE sb t1, 0(a0) diff --git a/chipflow_lib/software/drivers/spiflash.c b/chipflow_lib/software/drivers/spiflash.c index 42d20376..fbf7b101 100644 --- a/chipflow_lib/software/drivers/spiflash.c +++ b/chipflow_lib/software/drivers/spiflash.c @@ -39,7 +39,7 @@ bool spiflash_is_winbond(volatile spiflash_regs_t *flash) { if ((id & 0x00ff0000) == WINBOND_ID<<16) return true; else return false; } - + void spiflash_set_qspi_flag(volatile spiflash_regs_t *flash) { uint8_t buffer[8]; diff --git a/tests/test_utils.py b/tests/test_utils.py index e0f77280..2aab4578 100644 --- a/tests/test_utils.py +++ b/tests/test_utils.py @@ -1,7 +1,7 @@ # SPDX-License-Identifier: BSD-2-Clause import itertools import logging -import pytest #noqa +import pytest #noqa from pprint import pformat @@ -102,4 +102,4 @@ def test_pin_annotation_as_json(): print(f"json_output: {json_output}") # Debug print using print() assert isinstance(json_output, dict) assert json_output["direction"] == "io" - assert json_output["width"] == 8 \ No newline at end of file + assert json_output["width"] == 8