///////////////////////////////////////////////////////////// // Created by: Synopsys DC Ultra(TM) in wire load mode // Version : R-2020.09-SP3a // Date : Wed Feb 17 17:18:53 2021 ///////////////////////////////////////////////////////////// module el2_swerv ( clk, rst_l, dbg_rst_l, rst_vec, nmi_int, nmi_vec, core_rst_l, trace_rv_i_insn_ip, trace_rv_i_address_ip, trace_rv_i_valid_ip, trace_rv_i_exception_ip, trace_rv_i_ecause_ip, trace_rv_i_interrupt_ip, trace_rv_i_tval_ip, dccm_clk_override, icm_clk_override, dec_tlu_core_ecc_disable, i_cpu_halt_req, i_cpu_run_req, o_cpu_halt_ack, o_cpu_halt_status, o_cpu_run_ack, o_debug_mode_status, core_id, mpc_debug_halt_req, mpc_debug_run_req, mpc_reset_run_req, mpc_debug_halt_ack, mpc_debug_run_ack, debug_brkpt_status, dec_tlu_perfcnt0, dec_tlu_perfcnt1, dec_tlu_perfcnt2, dec_tlu_perfcnt3, dccm_wren, dccm_rden, dccm_wr_addr_lo, dccm_wr_addr_hi, dccm_rd_addr_lo, dccm_rd_addr_hi, dccm_wr_data_lo, dccm_wr_data_hi, dccm_rd_data_lo, dccm_rd_data_hi, iccm_rw_addr, iccm_wren, iccm_rden, iccm_wr_size, iccm_wr_data, iccm_buf_correct_ecc, iccm_correction_state, iccm_rd_data, iccm_rd_data_ecc, ic_rw_addr, ic_tag_valid, ic_wr_en, ic_rd_en, .ic_wr_data({\ic_wr_data[1][70] , \ic_wr_data[1][69] , \ic_wr_data[1][68] , \ic_wr_data[1][67] , \ic_wr_data[1][66] , \ic_wr_data[1][65] , \ic_wr_data[1][64] , \ic_wr_data[1][63] , \ic_wr_data[1][62] , \ic_wr_data[1][61] , \ic_wr_data[1][60] , \ic_wr_data[1][59] , \ic_wr_data[1][58] , \ic_wr_data[1][57] , \ic_wr_data[1][56] , \ic_wr_data[1][55] , \ic_wr_data[1][54] , \ic_wr_data[1][53] , \ic_wr_data[1][52] , \ic_wr_data[1][51] , \ic_wr_data[1][50] , \ic_wr_data[1][49] , \ic_wr_data[1][48] , \ic_wr_data[1][47] , \ic_wr_data[1][46] , \ic_wr_data[1][45] , \ic_wr_data[1][44] , \ic_wr_data[1][43] , \ic_wr_data[1][42] , \ic_wr_data[1][41] , \ic_wr_data[1][40] , \ic_wr_data[1][39] , \ic_wr_data[1][38] , \ic_wr_data[1][37] , \ic_wr_data[1][36] , \ic_wr_data[1][35] , \ic_wr_data[1][34] , \ic_wr_data[1][33] , \ic_wr_data[1][32] , \ic_wr_data[1][31] , \ic_wr_data[1][30] , \ic_wr_data[1][29] , \ic_wr_data[1][28] , \ic_wr_data[1][27] , \ic_wr_data[1][26] , \ic_wr_data[1][25] , \ic_wr_data[1][24] , \ic_wr_data[1][23] , \ic_wr_data[1][22] , \ic_wr_data[1][21] , \ic_wr_data[1][20] , \ic_wr_data[1][19] , \ic_wr_data[1][18] , \ic_wr_data[1][17] , \ic_wr_data[1][16] , \ic_wr_data[1][15] , \ic_wr_data[1][14] , \ic_wr_data[1][13] , \ic_wr_data[1][12] , \ic_wr_data[1][11] , \ic_wr_data[1][10] , \ic_wr_data[1][9] , \ic_wr_data[1][8] , \ic_wr_data[1][7] , \ic_wr_data[1][6] , \ic_wr_data[1][5] , \ic_wr_data[1][4] , \ic_wr_data[1][3] , \ic_wr_data[1][2] , \ic_wr_data[1][1] , \ic_wr_data[1][0] , \ic_wr_data[0][70] , \ic_wr_data[0][69] , \ic_wr_data[0][68] , \ic_wr_data[0][67] , \ic_wr_data[0][66] , \ic_wr_data[0][65] , \ic_wr_data[0][64] , \ic_wr_data[0][63] , \ic_wr_data[0][62] , \ic_wr_data[0][61] , \ic_wr_data[0][60] , \ic_wr_data[0][59] , \ic_wr_data[0][58] , \ic_wr_data[0][57] , \ic_wr_data[0][56] , \ic_wr_data[0][55] , \ic_wr_data[0][54] , \ic_wr_data[0][53] , \ic_wr_data[0][52] , \ic_wr_data[0][51] , \ic_wr_data[0][50] , \ic_wr_data[0][49] , \ic_wr_data[0][48] , \ic_wr_data[0][47] , \ic_wr_data[0][46] , \ic_wr_data[0][45] , \ic_wr_data[0][44] , \ic_wr_data[0][43] , \ic_wr_data[0][42] , \ic_wr_data[0][41] , \ic_wr_data[0][40] , \ic_wr_data[0][39] , \ic_wr_data[0][38] , \ic_wr_data[0][37] , \ic_wr_data[0][36] , \ic_wr_data[0][35] , \ic_wr_data[0][34] , \ic_wr_data[0][33] , \ic_wr_data[0][32] , \ic_wr_data[0][31] , \ic_wr_data[0][30] , \ic_wr_data[0][29] , \ic_wr_data[0][28] , \ic_wr_data[0][27] , \ic_wr_data[0][26] , \ic_wr_data[0][25] , \ic_wr_data[0][24] , \ic_wr_data[0][23] , \ic_wr_data[0][22] , \ic_wr_data[0][21] , \ic_wr_data[0][20] , \ic_wr_data[0][19] , \ic_wr_data[0][18] , \ic_wr_data[0][17] , \ic_wr_data[0][16] , \ic_wr_data[0][15] , \ic_wr_data[0][14] , \ic_wr_data[0][13] , \ic_wr_data[0][12] , \ic_wr_data[0][11] , \ic_wr_data[0][10] , \ic_wr_data[0][9] , \ic_wr_data[0][8] , \ic_wr_data[0][7] , \ic_wr_data[0][6] , \ic_wr_data[0][5] , \ic_wr_data[0][4] , \ic_wr_data[0][3] , \ic_wr_data[0][2] , \ic_wr_data[0][1] , \ic_wr_data[0][0] }), ic_rd_data, ic_debug_rd_data, ictag_debug_rd_data, ic_debug_wr_data, ic_eccerr, ic_parerr, ic_premux_data, ic_sel_premux_data, ic_debug_addr, ic_debug_rd_en, ic_debug_wr_en, ic_debug_tag_array, ic_debug_way, ic_rd_hit, ic_tag_perr, lsu_axi_awvalid, lsu_axi_awready, lsu_axi_awid, lsu_axi_awaddr, lsu_axi_awregion, lsu_axi_awlen, lsu_axi_awsize, lsu_axi_awburst, lsu_axi_awlock, lsu_axi_awcache, lsu_axi_awprot, lsu_axi_awqos, lsu_axi_wvalid, lsu_axi_wready, lsu_axi_wdata, lsu_axi_wstrb, lsu_axi_wlast, lsu_axi_bvalid, lsu_axi_bready, lsu_axi_bresp, lsu_axi_bid, lsu_axi_arvalid, lsu_axi_arready, lsu_axi_arid, lsu_axi_araddr, lsu_axi_arregion, lsu_axi_arlen, lsu_axi_arsize, lsu_axi_arburst, lsu_axi_arlock, lsu_axi_arcache, lsu_axi_arprot, lsu_axi_arqos, lsu_axi_rvalid, lsu_axi_rready, lsu_axi_rid, lsu_axi_rdata, lsu_axi_rresp, lsu_axi_rlast, ifu_axi_awvalid, ifu_axi_awready, ifu_axi_awid, ifu_axi_awaddr, ifu_axi_awregion, ifu_axi_awlen, ifu_axi_awsize, ifu_axi_awburst, ifu_axi_awlock, ifu_axi_awcache, ifu_axi_awprot, ifu_axi_awqos, ifu_axi_wvalid, ifu_axi_wready, ifu_axi_wdata, ifu_axi_wstrb, ifu_axi_wlast, ifu_axi_bvalid, ifu_axi_bready, ifu_axi_bresp, ifu_axi_bid, ifu_axi_arvalid, ifu_axi_arready, ifu_axi_arid, ifu_axi_araddr, ifu_axi_arregion, ifu_axi_arlen, ifu_axi_arsize, ifu_axi_arburst, ifu_axi_arlock, ifu_axi_arcache, ifu_axi_arprot, ifu_axi_arqos, ifu_axi_rvalid, ifu_axi_rready, ifu_axi_rid, ifu_axi_rdata, ifu_axi_rresp, ifu_axi_rlast, sb_axi_awvalid, sb_axi_awready, sb_axi_awid, sb_axi_awaddr, sb_axi_awregion, sb_axi_awlen, sb_axi_awsize, sb_axi_awburst, sb_axi_awlock, sb_axi_awcache, sb_axi_awprot, sb_axi_awqos, sb_axi_wvalid, sb_axi_wready, sb_axi_wdata, sb_axi_wstrb, sb_axi_wlast, sb_axi_bvalid, sb_axi_bready, sb_axi_bresp, sb_axi_bid, sb_axi_arvalid, sb_axi_arready, sb_axi_arid, sb_axi_araddr, sb_axi_arregion, sb_axi_arlen, sb_axi_arsize, sb_axi_arburst, sb_axi_arlock, sb_axi_arcache, sb_axi_arprot, sb_axi_arqos, sb_axi_rvalid, sb_axi_rready, sb_axi_rid, sb_axi_rdata, sb_axi_rresp, sb_axi_rlast, dma_axi_awvalid, dma_axi_awready, dma_axi_awid, dma_axi_awaddr, dma_axi_awsize, dma_axi_awprot, dma_axi_awlen, dma_axi_awburst, dma_axi_wvalid, dma_axi_wready, dma_axi_wdata, dma_axi_wstrb, dma_axi_wlast, dma_axi_bvalid, dma_axi_bready, dma_axi_bresp, dma_axi_bid, dma_axi_arvalid, dma_axi_arready, dma_axi_arid, dma_axi_araddr, dma_axi_arsize, dma_axi_arprot, dma_axi_arlen, dma_axi_arburst, dma_axi_rvalid, dma_axi_rready, dma_axi_rid, dma_axi_rdata, dma_axi_rresp, dma_axi_rlast, haddr, hburst, hmastlock, hprot, hsize, htrans, hwrite, hrdata, hready, hresp, lsu_haddr, lsu_hburst, lsu_hmastlock, lsu_hprot, lsu_hsize, lsu_htrans, lsu_hwrite, lsu_hwdata, lsu_hrdata, lsu_hready, lsu_hresp, sb_haddr, sb_hburst, sb_hmastlock, sb_hprot, sb_hsize, sb_htrans, sb_hwrite, sb_hwdata, sb_hrdata, sb_hready, sb_hresp, dma_hsel, dma_haddr, dma_hburst, dma_hmastlock, dma_hprot, dma_hsize, dma_htrans, dma_hwrite, dma_hwdata, dma_hreadyin, dma_hrdata, dma_hreadyout, dma_hresp, lsu_bus_clk_en, ifu_bus_clk_en, dbg_bus_clk_en, dma_bus_clk_en, dmi_reg_en, dmi_reg_addr, dmi_reg_wr_en, dmi_reg_wdata, dmi_reg_rdata, dmi_hard_reset, extintsrc_req, timer_int, soft_int, scan_mode ); input [31:1] rst_vec; input [31:1] nmi_vec; output [31:0] trace_rv_i_insn_ip; output [31:0] trace_rv_i_address_ip; output [1:0] trace_rv_i_valid_ip; output [1:0] trace_rv_i_exception_ip; output [4:0] trace_rv_i_ecause_ip; output [1:0] trace_rv_i_interrupt_ip; output [31:0] trace_rv_i_tval_ip; input [31:4] core_id; output [15:0] dccm_wr_addr_lo; output [15:0] dccm_wr_addr_hi; output [15:0] dccm_rd_addr_lo; output [15:0] dccm_rd_addr_hi; output [38:0] dccm_wr_data_lo; output [38:0] dccm_wr_data_hi; input [38:0] dccm_rd_data_lo; input [38:0] dccm_rd_data_hi; output [15:1] iccm_rw_addr; output [2:0] iccm_wr_size; output [77:0] iccm_wr_data; input [63:0] iccm_rd_data; input [77:0] iccm_rd_data_ecc; output [31:1] ic_rw_addr; output [1:0] ic_tag_valid; output [1:0] ic_wr_en; input [63:0] ic_rd_data; input [70:0] ic_debug_rd_data; input [25:0] ictag_debug_rd_data; output [70:0] ic_debug_wr_data; input [1:0] ic_eccerr; input [1:0] ic_parerr; output [63:0] ic_premux_data; output [12:3] ic_debug_addr; output [1:0] ic_debug_way; input [1:0] ic_rd_hit; output [2:0] lsu_axi_awid; output [31:0] lsu_axi_awaddr; output [3:0] lsu_axi_awregion; output [7:0] lsu_axi_awlen; output [2:0] lsu_axi_awsize; output [1:0] lsu_axi_awburst; output [3:0] lsu_axi_awcache; output [2:0] lsu_axi_awprot; output [3:0] lsu_axi_awqos; output [63:0] lsu_axi_wdata; output [7:0] lsu_axi_wstrb; input [1:0] lsu_axi_bresp; input [2:0] lsu_axi_bid; output [2:0] lsu_axi_arid; output [31:0] lsu_axi_araddr; output [3:0] lsu_axi_arregion; output [7:0] lsu_axi_arlen; output [2:0] lsu_axi_arsize; output [1:0] lsu_axi_arburst; output [3:0] lsu_axi_arcache; output [2:0] lsu_axi_arprot; output [3:0] lsu_axi_arqos; input [2:0] lsu_axi_rid; input [63:0] lsu_axi_rdata; input [1:0] lsu_axi_rresp; output [2:0] ifu_axi_awid; output [31:0] ifu_axi_awaddr; output [3:0] ifu_axi_awregion; output [7:0] ifu_axi_awlen; output [2:0] ifu_axi_awsize; output [1:0] ifu_axi_awburst; output [3:0] ifu_axi_awcache; output [2:0] ifu_axi_awprot; output [3:0] ifu_axi_awqos; output [63:0] ifu_axi_wdata; output [7:0] ifu_axi_wstrb; input [1:0] ifu_axi_bresp; input [2:0] ifu_axi_bid; output [2:0] ifu_axi_arid; output [31:0] ifu_axi_araddr; output [3:0] ifu_axi_arregion; output [7:0] ifu_axi_arlen; output [2:0] ifu_axi_arsize; output [1:0] ifu_axi_arburst; output [3:0] ifu_axi_arcache; output [2:0] ifu_axi_arprot; output [3:0] ifu_axi_arqos; input [2:0] ifu_axi_rid; input [63:0] ifu_axi_rdata; input [1:0] ifu_axi_rresp; output [0:0] sb_axi_awid; output [31:0] sb_axi_awaddr; output [3:0] sb_axi_awregion; output [7:0] sb_axi_awlen; output [2:0] sb_axi_awsize; output [1:0] sb_axi_awburst; output [3:0] sb_axi_awcache; output [2:0] sb_axi_awprot; output [3:0] sb_axi_awqos; output [63:0] sb_axi_wdata; output [7:0] sb_axi_wstrb; input [1:0] sb_axi_bresp; input [0:0] sb_axi_bid; output [0:0] sb_axi_arid; output [31:0] sb_axi_araddr; output [3:0] sb_axi_arregion; output [7:0] sb_axi_arlen; output [2:0] sb_axi_arsize; output [1:0] sb_axi_arburst; output [3:0] sb_axi_arcache; output [2:0] sb_axi_arprot; output [3:0] sb_axi_arqos; input [0:0] sb_axi_rid; input [63:0] sb_axi_rdata; input [1:0] sb_axi_rresp; input [0:0] dma_axi_awid; input [31:0] dma_axi_awaddr; input [2:0] dma_axi_awsize; input [2:0] dma_axi_awprot; input [7:0] dma_axi_awlen; input [1:0] dma_axi_awburst; input [63:0] dma_axi_wdata; input [7:0] dma_axi_wstrb; output [1:0] dma_axi_bresp; output [0:0] dma_axi_bid; input [0:0] dma_axi_arid; input [31:0] dma_axi_araddr; input [2:0] dma_axi_arsize; input [2:0] dma_axi_arprot; input [7:0] dma_axi_arlen; input [1:0] dma_axi_arburst; output [0:0] dma_axi_rid; output [63:0] dma_axi_rdata; output [1:0] dma_axi_rresp; output [31:0] haddr; output [2:0] hburst; output [3:0] hprot; output [2:0] hsize; output [1:0] htrans; input [63:0] hrdata; output [31:0] lsu_haddr; output [2:0] lsu_hburst; output [3:0] lsu_hprot; output [2:0] lsu_hsize; output [1:0] lsu_htrans; output [63:0] lsu_hwdata; input [63:0] lsu_hrdata; output [31:0] sb_haddr; output [2:0] sb_hburst; output [3:0] sb_hprot; output [2:0] sb_hsize; output [1:0] sb_htrans; output [63:0] sb_hwdata; input [63:0] sb_hrdata; input [31:0] dma_haddr; input [2:0] dma_hburst; input [3:0] dma_hprot; input [2:0] dma_hsize; input [1:0] dma_htrans; input [63:0] dma_hwdata; output [63:0] dma_hrdata; input [6:0] dmi_reg_addr; input [31:0] dmi_reg_wdata; output [31:0] dmi_reg_rdata; input [31:1] extintsrc_req; input clk, rst_l, dbg_rst_l, nmi_int, i_cpu_halt_req, i_cpu_run_req, mpc_debug_halt_req, mpc_debug_run_req, mpc_reset_run_req, ic_tag_perr, lsu_axi_awready, lsu_axi_wready, lsu_axi_bvalid, lsu_axi_arready, lsu_axi_rvalid, lsu_axi_rlast, ifu_axi_awready, ifu_axi_wready, ifu_axi_bvalid, ifu_axi_arready, ifu_axi_rvalid, ifu_axi_rlast, sb_axi_awready, sb_axi_wready, sb_axi_bvalid, sb_axi_arready, sb_axi_rvalid, sb_axi_rlast, dma_axi_awvalid, dma_axi_wvalid, dma_axi_wlast, dma_axi_bready, dma_axi_arvalid, dma_axi_rready, hready, hresp, lsu_hready, lsu_hresp, sb_hready, sb_hresp, dma_hsel, dma_hmastlock, dma_hwrite, dma_hreadyin, lsu_bus_clk_en, ifu_bus_clk_en, dbg_bus_clk_en, dma_bus_clk_en, dmi_reg_en, dmi_reg_wr_en, dmi_hard_reset, timer_int, soft_int, scan_mode; output core_rst_l, dccm_clk_override, icm_clk_override, dec_tlu_core_ecc_disable, o_cpu_halt_ack, o_cpu_halt_status, o_cpu_run_ack, o_debug_mode_status, mpc_debug_halt_ack, mpc_debug_run_ack, debug_brkpt_status, dec_tlu_perfcnt0, dec_tlu_perfcnt1, dec_tlu_perfcnt2, dec_tlu_perfcnt3, dccm_wren, dccm_rden, iccm_wren, iccm_rden, iccm_buf_correct_ecc, iccm_correction_state, ic_rd_en, \ic_wr_data[1][70] , \ic_wr_data[1][69] , \ic_wr_data[1][68] , \ic_wr_data[1][67] , \ic_wr_data[1][66] , \ic_wr_data[1][65] , \ic_wr_data[1][64] , \ic_wr_data[1][63] , \ic_wr_data[1][62] , \ic_wr_data[1][61] , \ic_wr_data[1][60] , \ic_wr_data[1][59] , \ic_wr_data[1][58] , \ic_wr_data[1][57] , \ic_wr_data[1][56] , \ic_wr_data[1][55] , \ic_wr_data[1][54] , \ic_wr_data[1][53] , \ic_wr_data[1][52] , \ic_wr_data[1][51] , \ic_wr_data[1][50] , \ic_wr_data[1][49] , \ic_wr_data[1][48] , \ic_wr_data[1][47] , \ic_wr_data[1][46] , \ic_wr_data[1][45] , \ic_wr_data[1][44] , \ic_wr_data[1][43] , \ic_wr_data[1][42] , \ic_wr_data[1][41] , \ic_wr_data[1][40] , \ic_wr_data[1][39] , \ic_wr_data[1][38] , \ic_wr_data[1][37] , \ic_wr_data[1][36] , \ic_wr_data[1][35] , \ic_wr_data[1][34] , \ic_wr_data[1][33] , \ic_wr_data[1][32] , \ic_wr_data[1][31] , \ic_wr_data[1][30] , \ic_wr_data[1][29] , \ic_wr_data[1][28] , \ic_wr_data[1][27] , \ic_wr_data[1][26] , \ic_wr_data[1][25] , \ic_wr_data[1][24] , \ic_wr_data[1][23] , \ic_wr_data[1][22] , \ic_wr_data[1][21] , \ic_wr_data[1][20] , \ic_wr_data[1][19] , \ic_wr_data[1][18] , \ic_wr_data[1][17] , \ic_wr_data[1][16] , \ic_wr_data[1][15] , \ic_wr_data[1][14] , \ic_wr_data[1][13] , \ic_wr_data[1][12] , \ic_wr_data[1][11] , \ic_wr_data[1][10] , \ic_wr_data[1][9] , \ic_wr_data[1][8] , \ic_wr_data[1][7] , \ic_wr_data[1][6] , \ic_wr_data[1][5] , \ic_wr_data[1][4] , \ic_wr_data[1][3] , \ic_wr_data[1][2] , \ic_wr_data[1][1] , \ic_wr_data[1][0] , \ic_wr_data[0][70] , \ic_wr_data[0][69] , \ic_wr_data[0][68] , \ic_wr_data[0][67] , \ic_wr_data[0][66] , \ic_wr_data[0][65] , \ic_wr_data[0][64] , \ic_wr_data[0][63] , \ic_wr_data[0][62] , \ic_wr_data[0][61] , \ic_wr_data[0][60] , \ic_wr_data[0][59] , \ic_wr_data[0][58] , \ic_wr_data[0][57] , \ic_wr_data[0][56] , \ic_wr_data[0][55] , \ic_wr_data[0][54] , \ic_wr_data[0][53] , \ic_wr_data[0][52] , \ic_wr_data[0][51] , \ic_wr_data[0][50] , \ic_wr_data[0][49] , \ic_wr_data[0][48] , \ic_wr_data[0][47] , \ic_wr_data[0][46] , \ic_wr_data[0][45] , \ic_wr_data[0][44] , \ic_wr_data[0][43] , \ic_wr_data[0][42] , \ic_wr_data[0][41] , \ic_wr_data[0][40] , \ic_wr_data[0][39] , \ic_wr_data[0][38] , \ic_wr_data[0][37] , \ic_wr_data[0][36] , \ic_wr_data[0][35] , \ic_wr_data[0][34] , \ic_wr_data[0][33] , \ic_wr_data[0][32] , \ic_wr_data[0][31] , \ic_wr_data[0][30] , \ic_wr_data[0][29] , \ic_wr_data[0][28] , \ic_wr_data[0][27] , \ic_wr_data[0][26] , \ic_wr_data[0][25] , \ic_wr_data[0][24] , \ic_wr_data[0][23] , \ic_wr_data[0][22] , \ic_wr_data[0][21] , \ic_wr_data[0][20] , \ic_wr_data[0][19] , \ic_wr_data[0][18] , \ic_wr_data[0][17] , \ic_wr_data[0][16] , \ic_wr_data[0][15] , \ic_wr_data[0][14] , \ic_wr_data[0][13] , \ic_wr_data[0][12] , \ic_wr_data[0][11] , \ic_wr_data[0][10] , \ic_wr_data[0][9] , \ic_wr_data[0][8] , \ic_wr_data[0][7] , \ic_wr_data[0][6] , \ic_wr_data[0][5] , \ic_wr_data[0][4] , \ic_wr_data[0][3] , \ic_wr_data[0][2] , \ic_wr_data[0][1] , \ic_wr_data[0][0] , ic_sel_premux_data, ic_debug_rd_en, ic_debug_wr_en, ic_debug_tag_array, lsu_axi_awvalid, lsu_axi_awlock, lsu_axi_wvalid, lsu_axi_wlast, lsu_axi_bready, lsu_axi_arvalid, lsu_axi_arlock, lsu_axi_rready, ifu_axi_awvalid, ifu_axi_awlock, ifu_axi_wvalid, ifu_axi_wlast, ifu_axi_bready, ifu_axi_arvalid, ifu_axi_arlock, ifu_axi_rready, sb_axi_awvalid, sb_axi_awlock, sb_axi_wvalid, sb_axi_wlast, sb_axi_bready, sb_axi_arvalid, sb_axi_arlock, sb_axi_rready, dma_axi_awready, dma_axi_wready, dma_axi_bvalid, dma_axi_arready, dma_axi_rvalid, dma_axi_rlast, hmastlock, hwrite, lsu_hmastlock, lsu_hwrite, sb_hmastlock, sb_hwrite, dma_hreadyout, dma_hresp; wire dec_tlu_flush_lower_r, dec_tlu_misc_clk_override, active_clk, dma_dbg_cmd_done, dec_dbg_cmd_done, dma_dbg_cmd_fail, dec_dbg_cmd_fail, dec_tlu_resume_ack, dec_tlu_mpc_halted_only, dec_tlu_dbg_halted, dec_tlu_debug_mode, dbg_resume_req, dbg_halt_req, dma_dbg_ready, dbg_dma_bubble, dbg_cmd_write, dbg_cmd_valid, dec_tlu_flush_err_r, dec_tlu_flush_noredir_r, dec_tlu_fence_i_r, dec_tlu_flush_leak_one_r, ifu_ic_debug_rd_data_valid, \dec_tlu_ic_diag_pkt[icache_wrdata][70] , \dec_tlu_ic_diag_pkt[icache_wrdata][69] , \dec_tlu_ic_diag_pkt[icache_wrdata][68] , \dec_tlu_ic_diag_pkt[icache_wrdata][67] , \dec_tlu_ic_diag_pkt[icache_wrdata][66] , \dec_tlu_ic_diag_pkt[icache_wrdata][65] , \dec_tlu_ic_diag_pkt[icache_wrdata][64] , \dec_tlu_ic_diag_pkt[icache_wrdata][63] , \dec_tlu_ic_diag_pkt[icache_wrdata][62] , \dec_tlu_ic_diag_pkt[icache_wrdata][61] , \dec_tlu_ic_diag_pkt[icache_wrdata][60] , \dec_tlu_ic_diag_pkt[icache_wrdata][59] , \dec_tlu_ic_diag_pkt[icache_wrdata][58] , \dec_tlu_ic_diag_pkt[icache_wrdata][57] , \dec_tlu_ic_diag_pkt[icache_wrdata][56] , \dec_tlu_ic_diag_pkt[icache_wrdata][55] , \dec_tlu_ic_diag_pkt[icache_wrdata][54] , \dec_tlu_ic_diag_pkt[icache_wrdata][53] , \dec_tlu_ic_diag_pkt[icache_wrdata][52] , \dec_tlu_ic_diag_pkt[icache_wrdata][51] , \dec_tlu_ic_diag_pkt[icache_wrdata][50] , \dec_tlu_ic_diag_pkt[icache_wrdata][49] , \dec_tlu_ic_diag_pkt[icache_wrdata][48] , \dec_tlu_ic_diag_pkt[icache_wrdata][47] , \dec_tlu_ic_diag_pkt[icache_wrdata][46] , \dec_tlu_ic_diag_pkt[icache_wrdata][45] , \dec_tlu_ic_diag_pkt[icache_wrdata][44] , \dec_tlu_ic_diag_pkt[icache_wrdata][43] , \dec_tlu_ic_diag_pkt[icache_wrdata][42] , \dec_tlu_ic_diag_pkt[icache_wrdata][41] , \dec_tlu_ic_diag_pkt[icache_wrdata][40] , \dec_tlu_ic_diag_pkt[icache_wrdata][39] , \dec_tlu_ic_diag_pkt[icache_wrdata][38] , \dec_tlu_ic_diag_pkt[icache_wrdata][37] , \dec_tlu_ic_diag_pkt[icache_wrdata][36] , \dec_tlu_ic_diag_pkt[icache_wrdata][35] , \dec_tlu_ic_diag_pkt[icache_wrdata][34] , \dec_tlu_ic_diag_pkt[icache_wrdata][33] , \dec_tlu_ic_diag_pkt[icache_wrdata][32] , \dec_tlu_ic_diag_pkt[icache_wrdata][31] , \dec_tlu_ic_diag_pkt[icache_wrdata][30] , \dec_tlu_ic_diag_pkt[icache_wrdata][29] , \dec_tlu_ic_diag_pkt[icache_wrdata][28] , \dec_tlu_ic_diag_pkt[icache_wrdata][27] , \dec_tlu_ic_diag_pkt[icache_wrdata][26] , \dec_tlu_ic_diag_pkt[icache_wrdata][25] , \dec_tlu_ic_diag_pkt[icache_wrdata][24] , \dec_tlu_ic_diag_pkt[icache_wrdata][23] , \dec_tlu_ic_diag_pkt[icache_wrdata][22] , \dec_tlu_ic_diag_pkt[icache_wrdata][21] , \dec_tlu_ic_diag_pkt[icache_wrdata][20] , \dec_tlu_ic_diag_pkt[icache_wrdata][19] , \dec_tlu_ic_diag_pkt[icache_wrdata][18] , \dec_tlu_ic_diag_pkt[icache_wrdata][17] , \dec_tlu_ic_diag_pkt[icache_wrdata][16] , \dec_tlu_ic_diag_pkt[icache_wrdata][15] , \dec_tlu_ic_diag_pkt[icache_wrdata][14] , \dec_tlu_ic_diag_pkt[icache_wrdata][13] , \dec_tlu_ic_diag_pkt[icache_wrdata][12] , \dec_tlu_ic_diag_pkt[icache_wrdata][11] , \dec_tlu_ic_diag_pkt[icache_wrdata][10] , \dec_tlu_ic_diag_pkt[icache_wrdata][9] , \dec_tlu_ic_diag_pkt[icache_wrdata][8] , \dec_tlu_ic_diag_pkt[icache_wrdata][7] , \dec_tlu_ic_diag_pkt[icache_wrdata][6] , \dec_tlu_ic_diag_pkt[icache_wrdata][5] , \dec_tlu_ic_diag_pkt[icache_wrdata][4] , \dec_tlu_ic_diag_pkt[icache_wrdata][3] , \dec_tlu_ic_diag_pkt[icache_wrdata][2] , \dec_tlu_ic_diag_pkt[icache_wrdata][1] , \dec_tlu_ic_diag_pkt[icache_wrdata][0] , \dec_tlu_ic_diag_pkt[icache_dicawics][16] , \dec_tlu_ic_diag_pkt[icache_dicawics][15] , \dec_tlu_ic_diag_pkt[icache_dicawics][14] , \dec_tlu_ic_diag_pkt[icache_dicawics][9] , \dec_tlu_ic_diag_pkt[icache_dicawics][8] , \dec_tlu_ic_diag_pkt[icache_dicawics][7] , \dec_tlu_ic_diag_pkt[icache_dicawics][6] , \dec_tlu_ic_diag_pkt[icache_dicawics][5] , \dec_tlu_ic_diag_pkt[icache_dicawics][4] , \dec_tlu_ic_diag_pkt[icache_dicawics][3] , \dec_tlu_ic_diag_pkt[icache_dicawics][2] , \dec_tlu_ic_diag_pkt[icache_dicawics][1] , \dec_tlu_ic_diag_pkt[icache_dicawics][0] , \dec_tlu_ic_diag_pkt[icache_rd_valid] , \dec_tlu_ic_diag_pkt[icache_wr_valid] , \dec_tlu_br0_r_pkt[valid] , \dec_tlu_br0_r_pkt[hist][1] , \dec_tlu_br0_r_pkt[hist][0] , \dec_tlu_br0_r_pkt[br_error] , \dec_tlu_br0_r_pkt[br_start_error] , \dec_tlu_br0_r_pkt[way] , \dec_tlu_br0_r_pkt[middle] , \exu_mp_pkt[misp] , \exu_mp_pkt[ataken] , \exu_mp_pkt[boffset] , \exu_mp_pkt[pc4] , \exu_mp_pkt[hist][1] , \exu_mp_pkt[hist][0] , \exu_mp_pkt[toffset][11] , \exu_mp_pkt[toffset][10] , \exu_mp_pkt[toffset][9] , \exu_mp_pkt[toffset][8] , \exu_mp_pkt[toffset][7] , \exu_mp_pkt[toffset][6] , \exu_mp_pkt[toffset][5] , \exu_mp_pkt[toffset][4] , \exu_mp_pkt[toffset][3] , \exu_mp_pkt[toffset][2] , \exu_mp_pkt[toffset][1] , \exu_mp_pkt[toffset][0] , \exu_mp_pkt[pcall] , \exu_mp_pkt[pret] , \exu_mp_pkt[pja] , \exu_mp_pkt[way] , ifu_miss_state_idle, iccm_dma_sb_error, ifu_pmu_bus_trxn, ifu_pmu_bus_busy, ifu_pmu_bus_error, ifu_pmu_ic_hit, ifu_pmu_ic_miss, ifu_iccm_rd_ecc_single_err, ifu_ic_error_start, ifu_pmu_fetch_stall, ifu_pmu_instr_aligned, iccm_ready, iccm_dma_rvalid, iccm_dma_ecc_error, dma_iccm_stall_any, dma_mem_write, dma_iccm_req, dec_tlu_force_halt, dec_tlu_bpred_disable, dec_tlu_i0_commit_cmt, exu_flush_final, dec_i0_decode_d, dec_tlu_pic_clk_override, dec_tlu_lsu_clk_override, dec_tlu_wb_coalescing_disable, dec_tlu_sideeffect_posted_disable, dec_tlu_external_ldfwd_disable, dec_tlu_i0_kill_writeb_r, \mul_p[valid] , \mul_p[low] , \i0_ap[csr_imm] , exu_i0_br_way_r, exu_i0_br_middle_r, exu_i0_br_mp_r, exu_i0_br_valid_r, exu_i0_br_start_error_r, exu_i0_br_error_r, \trigger_pkt_any[3][select] , \trigger_pkt_any[3][match] , \trigger_pkt_any[3][store] , \trigger_pkt_any[3][load] , \trigger_pkt_any[3][tdata2][31] , \trigger_pkt_any[3][tdata2][30] , \trigger_pkt_any[3][tdata2][29] , \trigger_pkt_any[3][tdata2][28] , \trigger_pkt_any[3][tdata2][27] , \trigger_pkt_any[3][tdata2][26] , \trigger_pkt_any[3][tdata2][25] , \trigger_pkt_any[3][tdata2][24] , \trigger_pkt_any[3][tdata2][23] , \trigger_pkt_any[3][tdata2][22] , \trigger_pkt_any[3][tdata2][21] , \trigger_pkt_any[3][tdata2][20] , \trigger_pkt_any[3][tdata2][19] , \trigger_pkt_any[3][tdata2][18] , \trigger_pkt_any[3][tdata2][17] , \trigger_pkt_any[3][tdata2][16] , \trigger_pkt_any[3][tdata2][15] , \trigger_pkt_any[3][tdata2][14] , \trigger_pkt_any[3][tdata2][13] , \trigger_pkt_any[3][tdata2][12] , \trigger_pkt_any[3][tdata2][11] , \trigger_pkt_any[3][tdata2][10] , \trigger_pkt_any[3][tdata2][9] , \trigger_pkt_any[3][tdata2][8] , \trigger_pkt_any[3][tdata2][7] , \trigger_pkt_any[3][tdata2][6] , \trigger_pkt_any[3][tdata2][5] , \trigger_pkt_any[3][tdata2][4] , \trigger_pkt_any[3][tdata2][3] , \trigger_pkt_any[3][tdata2][2] , \trigger_pkt_any[3][tdata2][1] , \trigger_pkt_any[3][tdata2][0] , \trigger_pkt_any[2][select] , \trigger_pkt_any[2][match] , \trigger_pkt_any[2][store] , \trigger_pkt_any[2][load] , \trigger_pkt_any[2][tdata2][31] , \trigger_pkt_any[2][tdata2][30] , \trigger_pkt_any[2][tdata2][29] , \trigger_pkt_any[2][tdata2][28] , \trigger_pkt_any[2][tdata2][27] , \trigger_pkt_any[2][tdata2][26] , \trigger_pkt_any[2][tdata2][25] , \trigger_pkt_any[2][tdata2][24] , \trigger_pkt_any[2][tdata2][23] , \trigger_pkt_any[2][tdata2][22] , \trigger_pkt_any[2][tdata2][21] , \trigger_pkt_any[2][tdata2][20] , \trigger_pkt_any[2][tdata2][19] , \trigger_pkt_any[2][tdata2][18] , \trigger_pkt_any[2][tdata2][17] , \trigger_pkt_any[2][tdata2][16] , \trigger_pkt_any[2][tdata2][15] , \trigger_pkt_any[2][tdata2][14] , \trigger_pkt_any[2][tdata2][13] , \trigger_pkt_any[2][tdata2][12] , \trigger_pkt_any[2][tdata2][11] , \trigger_pkt_any[2][tdata2][10] , \trigger_pkt_any[2][tdata2][9] , \trigger_pkt_any[2][tdata2][8] , \trigger_pkt_any[2][tdata2][7] , \trigger_pkt_any[2][tdata2][6] , \trigger_pkt_any[2][tdata2][5] , \trigger_pkt_any[2][tdata2][4] , \trigger_pkt_any[2][tdata2][3] , \trigger_pkt_any[2][tdata2][2] , \trigger_pkt_any[2][tdata2][1] , \trigger_pkt_any[2][tdata2][0] , \trigger_pkt_any[1][select] , \trigger_pkt_any[1][match] , \trigger_pkt_any[1][store] , \trigger_pkt_any[1][load] , \trigger_pkt_any[1][tdata2][31] , \trigger_pkt_any[1][tdata2][30] , \trigger_pkt_any[1][tdata2][29] , \trigger_pkt_any[1][tdata2][28] , \trigger_pkt_any[1][tdata2][27] , \trigger_pkt_any[1][tdata2][26] , \trigger_pkt_any[1][tdata2][25] , \trigger_pkt_any[1][tdata2][24] , \trigger_pkt_any[1][tdata2][23] , \trigger_pkt_any[1][tdata2][22] , \trigger_pkt_any[1][tdata2][21] , \trigger_pkt_any[1][tdata2][20] , \trigger_pkt_any[1][tdata2][19] , \trigger_pkt_any[1][tdata2][18] , \trigger_pkt_any[1][tdata2][17] , \trigger_pkt_any[1][tdata2][16] , \trigger_pkt_any[1][tdata2][15] , \trigger_pkt_any[1][tdata2][14] , \trigger_pkt_any[1][tdata2][13] , \trigger_pkt_any[1][tdata2][12] , \trigger_pkt_any[1][tdata2][11] , \trigger_pkt_any[1][tdata2][10] , \trigger_pkt_any[1][tdata2][9] , \trigger_pkt_any[1][tdata2][8] , \trigger_pkt_any[1][tdata2][7] , \trigger_pkt_any[1][tdata2][6] , \trigger_pkt_any[1][tdata2][5] , \trigger_pkt_any[1][tdata2][4] , \trigger_pkt_any[1][tdata2][3] , \trigger_pkt_any[1][tdata2][2] , \trigger_pkt_any[1][tdata2][1] , \trigger_pkt_any[1][tdata2][0] , \trigger_pkt_any[0][select] , \trigger_pkt_any[0][match] , \trigger_pkt_any[0][store] , \trigger_pkt_any[0][load] , \trigger_pkt_any[0][tdata2][31] , \trigger_pkt_any[0][tdata2][30] , \trigger_pkt_any[0][tdata2][29] , \trigger_pkt_any[0][tdata2][28] , \trigger_pkt_any[0][tdata2][27] , \trigger_pkt_any[0][tdata2][26] , \trigger_pkt_any[0][tdata2][25] , \trigger_pkt_any[0][tdata2][24] , \trigger_pkt_any[0][tdata2][23] , \trigger_pkt_any[0][tdata2][22] , \trigger_pkt_any[0][tdata2][21] , \trigger_pkt_any[0][tdata2][20] , \trigger_pkt_any[0][tdata2][19] , \trigger_pkt_any[0][tdata2][18] , \trigger_pkt_any[0][tdata2][17] , \trigger_pkt_any[0][tdata2][16] , \trigger_pkt_any[0][tdata2][15] , \trigger_pkt_any[0][tdata2][14] , \trigger_pkt_any[0][tdata2][13] , \trigger_pkt_any[0][tdata2][12] , \trigger_pkt_any[0][tdata2][11] , \trigger_pkt_any[0][tdata2][10] , \trigger_pkt_any[0][tdata2][9] , \trigger_pkt_any[0][tdata2][8] , \trigger_pkt_any[0][tdata2][7] , \trigger_pkt_any[0][tdata2][6] , \trigger_pkt_any[0][tdata2][5] , \trigger_pkt_any[0][tdata2][4] , \trigger_pkt_any[0][tdata2][3] , \trigger_pkt_any[0][tdata2][2] , \trigger_pkt_any[0][tdata2][1] , \trigger_pkt_any[0][tdata2][0] , mhwakeup, mexintpend, dma_dccm_stall_any, lsu_store_stall_any, exu_div_wren, lsu_imprecise_error_store_any, lsu_imprecise_error_load_any, lsu_single_ecc_error_incr, \lsu_error_pkt_r[exc_valid] , \lsu_error_pkt_r[single_ecc_error] , \lsu_error_pkt_r[inst_type] , \lsu_error_pkt_r[exc_type] , \lsu_error_pkt_r[mscause][2] , \lsu_error_pkt_r[mscause][1] , \lsu_error_pkt_r[mscause][0] , \lsu_error_pkt_r[addr][31] , \lsu_error_pkt_r[addr][30] , \lsu_error_pkt_r[addr][29] , \lsu_error_pkt_r[addr][28] , \lsu_error_pkt_r[addr][27] , \lsu_error_pkt_r[addr][26] , \lsu_error_pkt_r[addr][25] , \lsu_error_pkt_r[addr][24] , \lsu_error_pkt_r[addr][23] , \lsu_error_pkt_r[addr][22] , \lsu_error_pkt_r[addr][21] , \lsu_error_pkt_r[addr][20] , \lsu_error_pkt_r[addr][19] , \lsu_error_pkt_r[addr][18] , \lsu_error_pkt_r[addr][17] , \lsu_error_pkt_r[addr][16] , \lsu_error_pkt_r[addr][15] , \lsu_error_pkt_r[addr][14] , \lsu_error_pkt_r[addr][13] , \lsu_error_pkt_r[addr][12] , \lsu_error_pkt_r[addr][11] , \lsu_error_pkt_r[addr][10] , \lsu_error_pkt_r[addr][9] , \lsu_error_pkt_r[addr][8] , \lsu_error_pkt_r[addr][7] , \lsu_error_pkt_r[addr][6] , \lsu_error_pkt_r[addr][5] , \lsu_error_pkt_r[addr][4] , \lsu_error_pkt_r[addr][3] , \lsu_error_pkt_r[addr][2] , \lsu_error_pkt_r[addr][1] , \lsu_error_pkt_r[addr][0] , dma_pmu_any_write, dma_pmu_any_read, dma_pmu_dccm_write, dma_pmu_dccm_read, lsu_pmu_store_external_m, lsu_pmu_load_external_m, lsu_pmu_misaligned_m, lsu_pmu_bus_busy, lsu_pmu_bus_error, lsu_pmu_bus_misaligned, lsu_pmu_bus_trxn, lsu_nonblock_load_data_error, lsu_nonblock_load_data_valid, lsu_nonblock_load_inv_r, lsu_nonblock_load_valid_m, exu_pmu_i0_pc4, exu_pmu_i0_br_ataken, dec_extint_stall, lsu_fastint_stall_any, dccm_dma_ecc_error, dccm_dma_rvalid, dma_dccm_req, picm_mken, picm_rden, picm_wren, \dbg/N205 , \dbg/command_reg_22 , \dbg/command_reg_24 , \dbg/command_reg_25 , \dbg/command_reg_26 , \dbg/command_reg_27 , \dbg/command_reg_28 , \dbg/command_reg_29 , \dbg/command_reg_30 , \dbg/command_reg_31 , \dbg/abstractcs_reg_12 , \dbg/_2_net_ , \dbg/dmstatus_reg_9 , \dbg/dmstatus_reg_17 , \dbg/dmstatus_reg[19] , \dbg/dmcontrol_wren_Q , \dbg/dmcontrol_wren , \dbg/sbcs_reg_20 , \dbg/sbcs_reg_21 , \dbg/sbcs_reg_22 , \dbg/dmcontrol_reg_0 , \dbg/dmcontrol_reg_1 , \dbg/dmcontrol_reg_28 , \dbg/sb_free_clk , \dbg/dbg_free_clk , \ifu/ifu_async_error_start , \ifu/iccm_rd_ecc_double_err , \ifu/ic_access_fault_f , \ifu/ifu_bp_inst_mask_f , \ifu/ic_hit_f , \ifu/ifu_ic_mb_empty , \ifu/ifu_bp_hit_taken_f , \ifu/ic_dma_active , \ifu/ic_write_stall , \ifu/ifc_fetch_req_f , \ifu/ifc_fetch_req_bf , \ifu/ifc_region_acc_fault_bf , \ifu/ifc_dma_access_ok , \dec/dec_tlu_i0_valid_wb1 , \dec/dec_tlu_flush_extint , \dec/dec_tlu_wr_pause_r , \dec/dec_tlu_pipelining_disable , \dec/dec_tlu_debug_stall , \dec/dec_tlu_i0_kill_writeb_wb , \dec/dec_tlu_flush_lower_wb , \dec/dec_tlu_flush_pause_r , \dec/dec_tlu_presync_d , \dec/dec_tlu_postsync_d , \dec/dec_csr_legal_d , \dec/dec_i0_wen_r , \dec/dec_csr_any_unq_d , \dec/dec_csr_rdaddr_d[11] , \dec/dec_csr_wen_r , \dec/dec_csr_stall_int_ff , \dec/dec_tlu_i0_valid_r , \dec/dec_tlu_packet_r[legal] , \dec/dec_tlu_packet_r[icaf] , \dec/dec_tlu_packet_r[icaf_f1] , \dec/dec_tlu_packet_r[icaf_type][1] , \dec/dec_tlu_packet_r[icaf_type][0] , \dec/dec_tlu_packet_r[fence_i] , \dec/dec_tlu_packet_r[i0trigger][3] , \dec/dec_tlu_packet_r[i0trigger][2] , \dec/dec_tlu_packet_r[i0trigger][1] , \dec/dec_tlu_packet_r[i0trigger][0] , \dec/dec_tlu_packet_r[pmu_i0_itype][3] , \dec/dec_tlu_packet_r[pmu_i0_itype][2] , \dec/dec_tlu_packet_r[pmu_i0_itype][1] , \dec/dec_tlu_packet_r[pmu_i0_itype][0] , \dec/dec_tlu_packet_r[pmu_i0_br_unpred] , \dec/dec_tlu_packet_r[pmu_divide] , \dec/dec_tlu_packet_r[pmu_lsu_misaligned] , \dec/dec_pmu_decode_stall , \dec/dec_pmu_presync_stall , \dec/dec_pmu_postsync_stall , \dec/dec_nonblock_load_wen , \dec/dec_pause_state , \dec/dec_div_active , \dec/clk_override , \dec/n69 , \dec/n68 , \dec/n67 , \dec/n66 , \dec/n65 , \dec/n64 , \dec/n63 , \dec/n62 , \exu/flush_lower_ff , \exu/mul_valid_x , \exu/i0_pred_correct_upper_r , \exu/i0_pp_r[boffset] , \exu/i0_pred_correct_upper_d , \exu/i0_taken_d , \exu/i0_pred_correct_upper_x , \exu/i0_flush_upper_x , \exu/i0_taken_x , \exu/i0_valid_x , \exu/i0_predict_p_x[misp] , \exu/i0_predict_p_x[ataken] , \exu/i0_predict_p_x[boffset] , \exu/i0_predict_p_x[pc4] , \exu/i0_predict_p_x[hist][1] , \exu/i0_predict_p_x[hist][0] , \exu/i0_predict_p_x[toffset][11] , \exu/i0_predict_p_x[toffset][10] , \exu/i0_predict_p_x[toffset][9] , \exu/i0_predict_p_x[toffset][8] , \exu/i0_predict_p_x[toffset][7] , \exu/i0_predict_p_x[toffset][6] , \exu/i0_predict_p_x[toffset][5] , \exu/i0_predict_p_x[toffset][4] , \exu/i0_predict_p_x[toffset][3] , \exu/i0_predict_p_x[toffset][2] , \exu/i0_predict_p_x[toffset][1] , \exu/i0_predict_p_x[toffset][0] , \exu/i0_predict_p_x[valid] , \exu/i0_predict_p_x[br_error] , \exu/i0_predict_p_x[br_start_error] , \exu/i0_predict_p_x[pcall] , \exu/i0_predict_p_x[pret] , \exu/i0_predict_p_x[pja] , \exu/i0_predict_p_x[way] , \exu/i0_predict_p_d[misp] , \exu/i0_predict_p_d[ataken] , \exu/i0_predict_p_d[boffset] , \exu/i0_predict_p_d[pc4] , \exu/i0_predict_p_d[hist][1] , \exu/i0_predict_p_d[hist][0] , \exu/i0_predict_p_d[toffset][10] , \exu/i0_predict_p_d[toffset][9] , \exu/i0_predict_p_d[toffset][8] , \exu/i0_predict_p_d[toffset][7] , \exu/i0_predict_p_d[toffset][6] , \exu/i0_predict_p_d[toffset][5] , \exu/i0_predict_p_d[toffset][4] , \exu/i0_predict_p_d[toffset][3] , \exu/i0_predict_p_d[toffset][2] , \exu/i0_predict_p_d[toffset][1] , \exu/i0_predict_p_d[toffset][0] , \exu/i0_predict_p_d[valid] , \exu/i0_predict_p_d[br_error] , \exu/i0_predict_p_d[br_start_error] , \exu/i0_predict_p_d[pcall] , \exu/i0_predict_p_d[pret] , \exu/i0_predict_p_d[pja] , \exu/i0_predict_p_d[way] , \lsu/N19 , \lsu/lsu_busreq_r , \lsu/lsu_bus_buffer_pend_any , \lsu/lsu_bus_obuf_c1_clk , \lsu/lsu_bus_ibuf_c1_clk , \lsu/lsu_bus_buf_c1_clk , \lsu/lsu_busm_clk , \lsu/lsu_stbuf_c1_clk , \lsu/lsu_store_c1_r_clk , \lsu/lsu_free_c2_clk , \lsu/lsu_raw_fwd_lo_r , \lsu/lsu_raw_fwd_hi_r , \lsu/single_ecc_error_hi_r , \lsu/single_ecc_error_lo_r , \lsu/lsu_dccm_rden_m , \lsu/lsu_busreq_m , \lsu/lsu_bus_buffer_empty_any , \lsu/lsu_bus_buffer_full_any , \lsu/lsu_c1_m_clk , \lsu/lsu_c1_r_clk , \lsu/lsu_c2_m_clk , \lsu/lsu_c2_r_clk , \lsu/lsu_store_c1_m_clk , \lsu/lsu_ld_data_corr_r[0] , \lsu/lsu_single_ecc_error_r , \lsu/lsu_double_ecc_error_r , \lsu/lsu_single_ecc_error_m , \lsu/is_sideeffects_m , \lsu/lsu_commit_r , \lsu/addr_in_dccm_d , \lsu/addr_in_dccm_m , \lsu/addr_in_dccm_r , \lsu/addr_in_pic_d , \lsu/addr_in_pic_m , \lsu/addr_in_pic_r , \lsu/addr_external_m , \lsu/lsu_pkt_d[word] , \lsu/lsu_pkt_d[dword] , \lsu/lsu_pkt_d[load] , \lsu/lsu_pkt_d[store] , \lsu/lsu_pkt_d[dma] , \lsu/lsu_pkt_m[fast_int] , \lsu/lsu_pkt_m[by] , \lsu/lsu_pkt_m[half] , \lsu/lsu_pkt_m[word] , \lsu/lsu_pkt_m[load] , \lsu/lsu_pkt_m[store] , \lsu/lsu_pkt_m[dma] , \lsu/lsu_pkt_m[valid] , \lsu/lsu_pkt_r[by] , \lsu/lsu_pkt_r[half] , \lsu/lsu_pkt_r[word] , \lsu/lsu_pkt_r[load] , \lsu/lsu_pkt_r[store] , \lsu/lsu_pkt_r[unsign] , \lsu/lsu_pkt_r[dma] , \lsu/lsu_pkt_r[valid] , \lsu/lsu_raw_fwd_hi_m , \lsu/lsu_raw_fwd_lo_m , \pic_ctrl_inst/mhwakeup_in , \pic_ctrl_inst/mexintpend_in , \pic_ctrl_inst/config_reg , \pic_ctrl_inst/intpriority_reg[1][0] , \pic_ctrl_inst/intpriority_reg[1][1] , \pic_ctrl_inst/intpriority_reg[1][2] , \pic_ctrl_inst/intpriority_reg[1][3] , \pic_ctrl_inst/intpriority_reg[2][0] , \pic_ctrl_inst/intpriority_reg[2][1] , \pic_ctrl_inst/intpriority_reg[2][2] , \pic_ctrl_inst/intpriority_reg[2][3] , \pic_ctrl_inst/intpriority_reg[3][0] , \pic_ctrl_inst/intpriority_reg[3][1] , \pic_ctrl_inst/intpriority_reg[3][2] , \pic_ctrl_inst/intpriority_reg[3][3] , \pic_ctrl_inst/intpriority_reg[4][0] , \pic_ctrl_inst/intpriority_reg[4][1] , \pic_ctrl_inst/intpriority_reg[4][2] , \pic_ctrl_inst/intpriority_reg[4][3] , \pic_ctrl_inst/intpriority_reg[5][0] , \pic_ctrl_inst/intpriority_reg[5][1] , \pic_ctrl_inst/intpriority_reg[5][2] , \pic_ctrl_inst/intpriority_reg[5][3] , \pic_ctrl_inst/intpriority_reg[6][0] , \pic_ctrl_inst/intpriority_reg[6][1] , \pic_ctrl_inst/intpriority_reg[6][2] , \pic_ctrl_inst/intpriority_reg[6][3] , \pic_ctrl_inst/intpriority_reg[7][0] , \pic_ctrl_inst/intpriority_reg[7][1] , \pic_ctrl_inst/intpriority_reg[7][2] , \pic_ctrl_inst/intpriority_reg[7][3] , \pic_ctrl_inst/intpriority_reg[8][0] , \pic_ctrl_inst/intpriority_reg[8][1] , \pic_ctrl_inst/intpriority_reg[8][2] , \pic_ctrl_inst/intpriority_reg[8][3] , \pic_ctrl_inst/intpriority_reg[9][0] , \pic_ctrl_inst/intpriority_reg[9][1] , \pic_ctrl_inst/intpriority_reg[9][2] , \pic_ctrl_inst/intpriority_reg[9][3] , \pic_ctrl_inst/intpriority_reg[10][0] , \pic_ctrl_inst/intpriority_reg[10][1] , \pic_ctrl_inst/intpriority_reg[10][2] , \pic_ctrl_inst/intpriority_reg[10][3] , \pic_ctrl_inst/intpriority_reg[11][0] , \pic_ctrl_inst/intpriority_reg[11][1] , \pic_ctrl_inst/intpriority_reg[11][2] , \pic_ctrl_inst/intpriority_reg[11][3] , \pic_ctrl_inst/intpriority_reg[12][0] , \pic_ctrl_inst/intpriority_reg[12][1] , \pic_ctrl_inst/intpriority_reg[12][2] , \pic_ctrl_inst/intpriority_reg[12][3] , \pic_ctrl_inst/intpriority_reg[13][0] , \pic_ctrl_inst/intpriority_reg[13][1] , \pic_ctrl_inst/intpriority_reg[13][2] , \pic_ctrl_inst/intpriority_reg[13][3] , \pic_ctrl_inst/intpriority_reg[14][0] , \pic_ctrl_inst/intpriority_reg[14][1] , \pic_ctrl_inst/intpriority_reg[14][2] , \pic_ctrl_inst/intpriority_reg[14][3] , \pic_ctrl_inst/intpriority_reg[15][0] , \pic_ctrl_inst/intpriority_reg[15][1] , \pic_ctrl_inst/intpriority_reg[15][2] , \pic_ctrl_inst/intpriority_reg[15][3] , \pic_ctrl_inst/intpriority_reg[16][0] , \pic_ctrl_inst/intpriority_reg[16][1] , \pic_ctrl_inst/intpriority_reg[16][2] , \pic_ctrl_inst/intpriority_reg[16][3] , \pic_ctrl_inst/intpriority_reg[17][0] , \pic_ctrl_inst/intpriority_reg[17][1] , \pic_ctrl_inst/intpriority_reg[17][2] , \pic_ctrl_inst/intpriority_reg[17][3] , \pic_ctrl_inst/intpriority_reg[18][0] , \pic_ctrl_inst/intpriority_reg[18][1] , \pic_ctrl_inst/intpriority_reg[18][2] , \pic_ctrl_inst/intpriority_reg[18][3] , \pic_ctrl_inst/intpriority_reg[19][0] , \pic_ctrl_inst/intpriority_reg[19][1] , \pic_ctrl_inst/intpriority_reg[19][2] , \pic_ctrl_inst/intpriority_reg[19][3] , \pic_ctrl_inst/intpriority_reg[20][0] , \pic_ctrl_inst/intpriority_reg[20][1] , \pic_ctrl_inst/intpriority_reg[20][2] , \pic_ctrl_inst/intpriority_reg[20][3] , \pic_ctrl_inst/intpriority_reg[21][0] , \pic_ctrl_inst/intpriority_reg[21][1] , \pic_ctrl_inst/intpriority_reg[21][2] , \pic_ctrl_inst/intpriority_reg[21][3] , \pic_ctrl_inst/intpriority_reg[22][0] , \pic_ctrl_inst/intpriority_reg[22][1] , \pic_ctrl_inst/intpriority_reg[22][2] , \pic_ctrl_inst/intpriority_reg[22][3] , \pic_ctrl_inst/intpriority_reg[23][0] , \pic_ctrl_inst/intpriority_reg[23][1] , \pic_ctrl_inst/intpriority_reg[23][2] , \pic_ctrl_inst/intpriority_reg[23][3] , \pic_ctrl_inst/intpriority_reg[24][0] , \pic_ctrl_inst/intpriority_reg[24][1] , \pic_ctrl_inst/intpriority_reg[24][2] , \pic_ctrl_inst/intpriority_reg[24][3] , \pic_ctrl_inst/intpriority_reg[25][0] , \pic_ctrl_inst/intpriority_reg[25][1] , \pic_ctrl_inst/intpriority_reg[25][2] , \pic_ctrl_inst/intpriority_reg[25][3] , \pic_ctrl_inst/intpriority_reg[26][0] , \pic_ctrl_inst/intpriority_reg[26][1] , \pic_ctrl_inst/intpriority_reg[26][2] , \pic_ctrl_inst/intpriority_reg[26][3] , \pic_ctrl_inst/intpriority_reg[27][0] , \pic_ctrl_inst/intpriority_reg[27][1] , \pic_ctrl_inst/intpriority_reg[27][2] , \pic_ctrl_inst/intpriority_reg[27][3] , \pic_ctrl_inst/intpriority_reg[28][0] , \pic_ctrl_inst/intpriority_reg[28][1] , \pic_ctrl_inst/intpriority_reg[28][2] , \pic_ctrl_inst/intpriority_reg[28][3] , \pic_ctrl_inst/intpriority_reg[29][0] , \pic_ctrl_inst/intpriority_reg[29][1] , \pic_ctrl_inst/intpriority_reg[29][2] , \pic_ctrl_inst/intpriority_reg[29][3] , \pic_ctrl_inst/intpriority_reg[30][0] , \pic_ctrl_inst/intpriority_reg[30][1] , \pic_ctrl_inst/intpriority_reg[30][2] , \pic_ctrl_inst/intpriority_reg[30][3] , \pic_ctrl_inst/intpriority_reg[31][0] , \pic_ctrl_inst/intpriority_reg[31][1] , \pic_ctrl_inst/intpriority_reg[31][2] , \pic_ctrl_inst/intpriority_reg[31][3] , \pic_ctrl_inst/gw_config_reg[1][0] , \pic_ctrl_inst/gw_config_reg[1][1] , \pic_ctrl_inst/gw_config_reg[2][0] , \pic_ctrl_inst/gw_config_reg[2][1] , \pic_ctrl_inst/gw_config_reg[3][0] , \pic_ctrl_inst/gw_config_reg[3][1] , \pic_ctrl_inst/gw_config_reg[4][0] , \pic_ctrl_inst/gw_config_reg[4][1] , \pic_ctrl_inst/gw_config_reg[5][0] , \pic_ctrl_inst/gw_config_reg[5][1] , \pic_ctrl_inst/gw_config_reg[6][0] , \pic_ctrl_inst/gw_config_reg[6][1] , \pic_ctrl_inst/gw_config_reg[7][0] , \pic_ctrl_inst/gw_config_reg[7][1] , \pic_ctrl_inst/gw_config_reg[8][0] , \pic_ctrl_inst/gw_config_reg[8][1] , \pic_ctrl_inst/gw_config_reg[9][0] , \pic_ctrl_inst/gw_config_reg[9][1] , \pic_ctrl_inst/gw_config_reg[10][0] , \pic_ctrl_inst/gw_config_reg[10][1] , \pic_ctrl_inst/gw_config_reg[11][0] , \pic_ctrl_inst/gw_config_reg[11][1] , \pic_ctrl_inst/gw_config_reg[12][0] , \pic_ctrl_inst/gw_config_reg[12][1] , \pic_ctrl_inst/gw_config_reg[13][0] , \pic_ctrl_inst/gw_config_reg[13][1] , \pic_ctrl_inst/gw_config_reg[14][0] , \pic_ctrl_inst/gw_config_reg[14][1] , \pic_ctrl_inst/gw_config_reg[15][0] , \pic_ctrl_inst/gw_config_reg[15][1] , \pic_ctrl_inst/gw_config_reg[16][0] , \pic_ctrl_inst/gw_config_reg[16][1] , \pic_ctrl_inst/gw_config_reg[17][0] , \pic_ctrl_inst/gw_config_reg[17][1] , \pic_ctrl_inst/gw_config_reg[18][0] , \pic_ctrl_inst/gw_config_reg[18][1] , \pic_ctrl_inst/gw_config_reg[19][0] , \pic_ctrl_inst/gw_config_reg[19][1] , \pic_ctrl_inst/gw_config_reg[20][0] , \pic_ctrl_inst/gw_config_reg[20][1] , \pic_ctrl_inst/gw_config_reg[21][0] , \pic_ctrl_inst/gw_config_reg[21][1] , \pic_ctrl_inst/gw_config_reg[22][0] , \pic_ctrl_inst/gw_config_reg[22][1] , \pic_ctrl_inst/gw_config_reg[23][0] , \pic_ctrl_inst/gw_config_reg[23][1] , \pic_ctrl_inst/gw_config_reg[24][0] , \pic_ctrl_inst/gw_config_reg[24][1] , \pic_ctrl_inst/gw_config_reg[25][0] , \pic_ctrl_inst/gw_config_reg[25][1] , \pic_ctrl_inst/gw_config_reg[26][0] , \pic_ctrl_inst/gw_config_reg[26][1] , \pic_ctrl_inst/gw_config_reg[27][0] , \pic_ctrl_inst/gw_config_reg[27][1] , \pic_ctrl_inst/gw_config_reg[28][0] , \pic_ctrl_inst/gw_config_reg[28][1] , \pic_ctrl_inst/gw_config_reg[29][0] , \pic_ctrl_inst/gw_config_reg[29][1] , \pic_ctrl_inst/gw_config_reg[30][0] , \pic_ctrl_inst/gw_config_reg[30][1] , \pic_ctrl_inst/gw_config_reg[31][0] , \pic_ctrl_inst/gw_config_reg[31][1] , \pic_ctrl_inst/picm_mken_ff , \pic_ctrl_inst/gw_config_c1_clk , \pic_ctrl_inst/pic_int_c1_clk , \pic_ctrl_inst/pic_pri_c1_clk , \pic_ctrl_inst/pic_data_c1_clk , \pic_ctrl_inst/pic_raddr_c1_clk , \pic_ctrl_inst/picm_rden_ff , \pic_ctrl_inst/picm_wren_ff , \dbg/sbcs_sbbusyerror_reg/_0_net_[0] , \dbg/sbcs_misc_reg/_0_net_[0] , \dbg/sbcs_misc_reg/_0_net_[1] , \dbg/sbcs_misc_reg/_0_net_[2] , \dbg/sbcs_misc_reg/_0_net_[3] , \dbg/sbcs_misc_reg/_0_net_[4] , \dbg/sbcs_error_reg/_0_net_[0] , \dbg/sbcs_error_reg/_0_net_[1] , \dbg/sbcs_error_reg/_0_net_[2] , \dbg/dbg_sbdata0_reg/l1clk , \dbg/dmcontrolff/_0_net_[0] , \dbg/dmcontrolff/_0_net_[1] , \dbg/dmcontrolff/_0_net_[2] , \dbg/dmcontrolff/_0_net_[3] , \dbg/dmstatus_havereset_reg/din_new[0] , \dbg/dmi_rddata_reg/_0_net_[0] , \dbg/dmi_rddata_reg/_0_net_[1] , \dbg/dmi_rddata_reg/_0_net_[2] , \dbg/dmi_rddata_reg/_0_net_[3] , \dbg/dmi_rddata_reg/_0_net_[4] , \dbg/dmi_rddata_reg/_0_net_[5] , \dbg/dmi_rddata_reg/_0_net_[6] , \dbg/dmi_rddata_reg/_0_net_[7] , \dbg/dmi_rddata_reg/_0_net_[8] , \dbg/dmi_rddata_reg/_0_net_[9] , \dbg/dmi_rddata_reg/_0_net_[10] , \dbg/dmi_rddata_reg/_0_net_[11] , \dbg/dmi_rddata_reg/_0_net_[12] , \dbg/dmi_rddata_reg/_0_net_[13] , \dbg/dmi_rddata_reg/_0_net_[14] , \dbg/dmi_rddata_reg/_0_net_[15] , \dbg/dmi_rddata_reg/_0_net_[16] , \dbg/dmi_rddata_reg/_0_net_[17] , \dbg/dmi_rddata_reg/_0_net_[18] , \dbg/dmi_rddata_reg/_0_net_[19] , \dbg/dmi_rddata_reg/_0_net_[20] , \dbg/dmi_rddata_reg/_0_net_[21] , \dbg/dmi_rddata_reg/_0_net_[22] , \dbg/dmi_rddata_reg/_0_net_[23] , \dbg/dmi_rddata_reg/_0_net_[24] , \dbg/dmi_rddata_reg/_0_net_[25] , \dbg/dmi_rddata_reg/_0_net_[26] , \dbg/dmi_rddata_reg/_0_net_[27] , \dbg/dmi_rddata_reg/_0_net_[28] , \dbg/dmi_rddata_reg/_0_net_[29] , \dbg/dmi_rddata_reg/_0_net_[30] , \dbg/dmi_rddata_reg/_0_net_[31] , \ifu/ifc/N10 , \ifu/ifc/fb_full_f , \ifu/ifc/miss_f , \ifu/ifc/miss_a , \ifu/ifc/dma_iccm_stall_any_f , \ifu/aln/q0off , \ifu/aln/q0off_in , \ifu/aln/q1off , \ifu/aln/q1off_in , \ifu/aln/q2off , \ifu/aln/q2off_in , \ifu/aln/error_stall_in , \ifu/aln/error_stall , \dec/decode/div_active_in , \dec/decode/x_d_in[i0valid] , \dec/decode/x_d_in[i0v] , \dec/decode/d_d[csrwonly] , \dec/decode/d_d[csrwen] , \dec/decode/d_d[i0v] , \dec/decode/d_d[i0store] , \dec/decode/d_d[i0load] , \dec/decode/lsu_pmu_misaligned_r , \dec/decode/r_t[legal] , \dec/decode/r_t[icaf] , \dec/decode/r_t[icaf_f1] , \dec/decode/r_t[icaf_type][1] , \dec/decode/r_t[icaf_type][0] , \dec/decode/r_t[fence_i] , \dec/decode/r_t[i0trigger][3] , \dec/decode/r_t[i0trigger][2] , \dec/decode/r_t[i0trigger][1] , \dec/decode/r_t[i0trigger][0] , \dec/decode/r_t[pmu_i0_itype][3] , \dec/decode/r_t[pmu_i0_itype][2] , \dec/decode/r_t[pmu_i0_itype][1] , \dec/decode/r_t[pmu_i0_itype][0] , \dec/decode/r_t[pmu_i0_br_unpred] , \dec/decode/x_t_in[i0trigger][0] , \dec/decode/x_t_in[i0trigger][1] , \dec/decode/x_t_in[i0trigger][2] , \dec/decode/x_t_in[i0trigger][3] , \dec/decode/x_t[legal] , \dec/decode/x_t[icaf] , \dec/decode/x_t[icaf_f1] , \dec/decode/x_t[icaf_type][1] , \dec/decode/x_t[icaf_type][0] , \dec/decode/x_t[fence_i] , \dec/decode/x_t[i0trigger][3] , \dec/decode/x_t[i0trigger][2] , \dec/decode/x_t[i0trigger][1] , \dec/decode/x_t[i0trigger][0] , \dec/decode/x_t[pmu_i0_itype][3] , \dec/decode/x_t[pmu_i0_itype][2] , \dec/decode/x_t[pmu_i0_itype][1] , \dec/decode/x_t[pmu_i0_itype][0] , \dec/decode/x_t[pmu_i0_br_unpred] , \dec/decode/d_t[pmu_i0_br_unpred] , \dec/decode/d_t[i0trigger][0] , \dec/decode/d_t[i0trigger][1] , \dec/decode/d_t[i0trigger][2] , \dec/decode/d_t[i0trigger][3] , \dec/decode/d_t[fence_i] , \dec/decode/d_t[icaf_f1] , \dec/decode/d_t[icaf] , \dec/decode/d_t[legal] , \dec/decode/i0_r_c[mul] , \dec/decode/i0_r_c[load] , \dec/decode/i0_r_c[alu] , \dec/decode/i0_x_c[mul] , \dec/decode/i0_x_c[load] , \dec/decode/i0_x_c[alu] , \dec/decode/wbd[csrwonly] , \dec/decode/csr_imm_x , \dec/decode/csr_write_x , \dec/decode/csr_set_x , \dec/decode/csr_clr_x , \dec/decode/csr_read_x , \dec/decode/csr_write_d , \dec/decode/csr_set_d , \dec/decode/csr_clr_d , \dec/decode/lsu_idle , \dec/decode/csr_read , \dec/decode/r_d[csrwonly] , \dec/decode/r_d[csrwen] , \dec/decode/r_d[i0valid] , \dec/decode/r_d[i0v] , \dec/decode/r_d[i0div] , \dec/decode/r_d[i0store] , \dec/decode/r_d[i0load] , \dec/decode/nonblock_load_valid_m_delay , \dec/decode/cam_in[3][valid] , \dec/decode/cam_in[3][wb] , \dec/decode/cam_in[3][tag][1] , \dec/decode/cam_in[3][tag][0] , \dec/decode/cam_in[3][rd][4] , \dec/decode/cam_in[3][rd][3] , \dec/decode/cam_in[3][rd][2] , \dec/decode/cam_in[3][rd][1] , \dec/decode/cam_in[3][rd][0] , \dec/decode/cam_in[2][valid] , \dec/decode/cam_in[2][wb] , \dec/decode/cam_in[2][tag][1] , \dec/decode/cam_in[2][tag][0] , \dec/decode/cam_in[2][rd][4] , \dec/decode/cam_in[2][rd][3] , \dec/decode/cam_in[2][rd][2] , \dec/decode/cam_in[2][rd][1] , \dec/decode/cam_in[2][rd][0] , \dec/decode/cam_in[1][valid] , \dec/decode/cam_in[1][wb] , \dec/decode/cam_in[1][tag][1] , \dec/decode/cam_in[1][tag][0] , \dec/decode/cam_in[1][rd][4] , \dec/decode/cam_in[1][rd][3] , \dec/decode/cam_in[1][rd][2] , \dec/decode/cam_in[1][rd][1] , \dec/decode/cam_in[1][rd][0] , \dec/decode/cam_in[0][valid] , \dec/decode/cam_in[0][wb] , \dec/decode/cam_in[0][tag][1] , \dec/decode/cam_in[0][tag][0] , \dec/decode/cam_in[0][rd][4] , \dec/decode/cam_in[0][rd][3] , \dec/decode/cam_in[0][rd][2] , \dec/decode/cam_in[0][rd][1] , \dec/decode/cam_in[0][rd][0] , \dec/decode/cam_raw[0][valid] , \dec/decode/cam_raw[1][valid] , \dec/decode/cam_raw[2][valid] , \dec/decode/cam_raw[3][valid] , \dec/decode/x_d[i0rd][4] , \dec/decode/x_d[i0rd][3] , \dec/decode/x_d[i0rd][2] , \dec/decode/x_d[i0rd][1] , \dec/decode/x_d[i0rd][0] , \dec/decode/x_d[i0load] , \dec/decode/x_d[i0store] , \dec/decode/x_d[i0div] , \dec/decode/x_d[i0v] , \dec/decode/x_d[i0valid] , \dec/decode/x_d[csrwen] , \dec/decode/x_d[csrwonly] , \dec/decode/x_d[csrwaddr][11] , \dec/decode/x_d[csrwaddr][10] , \dec/decode/x_d[csrwaddr][9] , \dec/decode/x_d[csrwaddr][8] , \dec/decode/x_d[csrwaddr][7] , \dec/decode/x_d[csrwaddr][6] , \dec/decode/x_d[csrwaddr][5] , \dec/decode/x_d[csrwaddr][4] , \dec/decode/x_d[csrwaddr][3] , \dec/decode/x_d[csrwaddr][2] , \dec/decode/x_d[csrwaddr][1] , \dec/decode/x_d[csrwaddr][0] , \dec/decode/cam[3][wb] , \dec/decode/cam[3][tag][1] , \dec/decode/cam[3][tag][0] , \dec/decode/cam[3][rd][4] , \dec/decode/cam[3][rd][3] , \dec/decode/cam[3][rd][2] , \dec/decode/cam[3][rd][1] , \dec/decode/cam[3][rd][0] , \dec/decode/cam[2][wb] , \dec/decode/cam[2][tag][1] , \dec/decode/cam[2][tag][0] , \dec/decode/cam[2][rd][4] , \dec/decode/cam[2][rd][3] , \dec/decode/cam[2][rd][2] , \dec/decode/cam[2][rd][1] , \dec/decode/cam[2][rd][0] , \dec/decode/cam[1][wb] , \dec/decode/cam[1][tag][1] , \dec/decode/cam[1][tag][0] , \dec/decode/cam[1][rd][4] , \dec/decode/cam[1][rd][3] , \dec/decode/cam[1][rd][2] , \dec/decode/cam[1][rd][1] , \dec/decode/cam[1][rd][0] , \dec/decode/cam[0][wb] , \dec/decode/cam[0][tag][1] , \dec/decode/cam[0][tag][0] , \dec/decode/cam[0][rd][4] , \dec/decode/cam[0][rd][3] , \dec/decode/cam[0][rd][2] , \dec/decode/cam[0][rd][1] , \dec/decode/cam[0][rd][0] , \dec/decode/data_gate_clk , \dec/decode/illegal_lockout , \dec/decode/illegal_lockout_in , \dec/decode/flush_final_r , \dec/decode/ps_stall_in , \dec/decode/pause_state_in , \dec/decode/leak1_i0_stall , \dec/decode/leak1_i0_stall_in , \dec/decode/leak1_i1_stall , \dec/decode/leak1_i1_stall_in , \dec/decode/tlu_wr_pause_r2 , \dec/decode/tlu_wr_pause_r1 , \exu/i_flush_path_x_ff/l1clk , \exu/i_predictpacket_x_ff/l1clk , \exu/i_predpipe_x_ff/l1clk , \exu/i_x_ff/l1clk , \exu/i_r_ff0/l1clk , \exu/genblk1.i_data_gate_ff/l1clk , \exu/i_div/shortq_shift_ff[2] , \exu/i_div/shortq_enable_ff , \exu/i_div/shortq_enable , \exu/i_div/a_ff_comp[0] , \exu/i_div/q_ff_comp[0] , \exu/i_div/_8_net_[32] , \exu/i_div/smallnum_case , \exu/i_div/smallnum_case_ff , \exu/i_div/rem_ff , \exu/i_div/sign_ff , \exu/i_div/divisor_neg_ff , \exu/i_div/dividend_neg_ff , \exu/i_div/run_state , \exu/i_div/run_in , \exu/i_div/finish_ff , \exu/i_div/_1_net_ , \exu/i_div/valid_ff_x , \exu/i_div/_0_net_ , \exu/i_div/exu_div_clk , \lsu/lsu_lsc_ctl/addr_external_r , \lsu/lsu_lsc_ctl/lsu_pkt_r_in[valid] , \lsu/lsu_lsc_ctl/lsu_pkt_m_in[valid] , \lsu/lsu_lsc_ctl/fir_dccm_access_error_m , \lsu/lsu_lsc_ctl/fir_nondccm_access_error_m , \lsu/lsu_lsc_ctl/lsu_error_pkt_m[mscause][0] , \lsu/lsu_lsc_ctl/lsu_error_pkt_m[mscause][1] , \lsu/lsu_lsc_ctl/lsu_error_pkt_m[mscause][2] , \lsu/lsu_lsc_ctl/lsu_error_pkt_m[single_ecc_error] , \lsu/lsu_lsc_ctl/lsu_error_pkt_m[exc_valid] , \lsu/lsu_lsc_ctl/misaligned_fault_m , \lsu/lsu_lsc_ctl/access_fault_m , \lsu/lsu_lsc_ctl/addr_external_d , \lsu/lsu_lsc_ctl/access_fault_d , \lsu/lsu_lsc_ctl/misaligned_fault_d , \lsu/lsu_lsc_ctl/fir_dccm_access_error_d , \lsu/lsu_lsc_ctl/fir_nondccm_access_error_d , \lsu/lsu_lsc_ctl/n118 , \lsu/lsu_lsc_ctl/n117 , \lsu/lsu_lsc_ctl/n113 , \lsu/lsu_lsc_ctl/n112 , \lsu/lsu_lsc_ctl/n111 , \lsu/lsu_lsc_ctl/n109 , \lsu/lsu_lsc_ctl/n108 , \lsu/lsu_lsc_ctl/n107 , \lsu/lsu_lsc_ctl/n106 , \lsu/lsu_lsc_ctl/n105 , \lsu/lsu_lsc_ctl/n104 , \lsu/lsu_lsc_ctl/n103 , \lsu/lsu_lsc_ctl/n102 , \lsu/lsu_lsc_ctl/n101 , \lsu/lsu_lsc_ctl/n100 , \lsu/lsu_lsc_ctl/n99 , \lsu/lsu_lsc_ctl/n98 , \lsu/lsu_lsc_ctl/n97 , \lsu/lsu_lsc_ctl/n96 , \lsu/lsu_lsc_ctl/n95 , \lsu/lsu_lsc_ctl/n94 , \lsu/lsu_lsc_ctl/n93 , \lsu/lsu_lsc_ctl/n92 , \lsu/lsu_lsc_ctl/n91 , \lsu/lsu_lsc_ctl/n90 , \lsu/lsu_lsc_ctl/n89 , \lsu/lsu_lsc_ctl/n88 , \lsu/lsu_lsc_ctl/n87 , \lsu/lsu_lsc_ctl/n86 , \lsu/lsu_lsc_ctl/n85 , \lsu/lsu_lsc_ctl/n84 , \lsu/lsu_lsc_ctl/n83 , \lsu/lsu_lsc_ctl/n82 , \lsu/lsu_lsc_ctl/n81 , \lsu/lsu_lsc_ctl/n80 , \lsu/lsu_lsc_ctl/n79 , \lsu/lsu_lsc_ctl/n78 , \lsu/lsu_lsc_ctl/n77 , \lsu/lsu_lsc_ctl/n76 , \lsu/dccm_ctl/lsu_dccm_rden_d , \lsu/dccm_ctl/lsu_double_ecc_error_r_ff , \lsu/dccm_ctl/ld_single_ecc_error_hi_r_ff , \lsu/dccm_ctl/ld_single_ecc_error_lo_r_ff , \lsu/dccm_ctl/ld_single_ecc_error_hi_r_ns , \lsu/dccm_ctl/ld_single_ecc_error_lo_r_ns , \lsu/stbuf/ldst_dual_m , \lsu/stbuf/stbuf_datain[3][31] , \lsu/stbuf/stbuf_datain[3][30] , \lsu/stbuf/stbuf_datain[3][29] , \lsu/stbuf/stbuf_datain[3][28] , \lsu/stbuf/stbuf_datain[3][27] , \lsu/stbuf/stbuf_datain[3][26] , \lsu/stbuf/stbuf_datain[3][25] , \lsu/stbuf/stbuf_datain[3][24] , \lsu/stbuf/stbuf_datain[3][23] , \lsu/stbuf/stbuf_datain[3][22] , \lsu/stbuf/stbuf_datain[3][21] , \lsu/stbuf/stbuf_datain[3][20] , \lsu/stbuf/stbuf_datain[3][19] , \lsu/stbuf/stbuf_datain[3][18] , \lsu/stbuf/stbuf_datain[3][17] , \lsu/stbuf/stbuf_datain[3][16] , \lsu/stbuf/stbuf_datain[3][15] , \lsu/stbuf/stbuf_datain[3][14] , \lsu/stbuf/stbuf_datain[3][13] , \lsu/stbuf/stbuf_datain[3][12] , \lsu/stbuf/stbuf_datain[3][11] , \lsu/stbuf/stbuf_datain[3][10] , \lsu/stbuf/stbuf_datain[3][9] , \lsu/stbuf/stbuf_datain[3][8] , \lsu/stbuf/stbuf_datain[3][7] , \lsu/stbuf/stbuf_datain[3][6] , \lsu/stbuf/stbuf_datain[3][5] , \lsu/stbuf/stbuf_datain[3][4] , \lsu/stbuf/stbuf_datain[3][3] , \lsu/stbuf/stbuf_datain[3][2] , \lsu/stbuf/stbuf_datain[3][1] , \lsu/stbuf/stbuf_datain[3][0] , \lsu/stbuf/stbuf_datain[2][31] , \lsu/stbuf/stbuf_datain[2][30] , \lsu/stbuf/stbuf_datain[2][29] , \lsu/stbuf/stbuf_datain[2][28] , \lsu/stbuf/stbuf_datain[2][27] , \lsu/stbuf/stbuf_datain[2][26] , \lsu/stbuf/stbuf_datain[2][25] , \lsu/stbuf/stbuf_datain[2][24] , \lsu/stbuf/stbuf_datain[2][23] , \lsu/stbuf/stbuf_datain[2][22] , \lsu/stbuf/stbuf_datain[2][21] , \lsu/stbuf/stbuf_datain[2][20] , \lsu/stbuf/stbuf_datain[2][19] , \lsu/stbuf/stbuf_datain[2][18] , \lsu/stbuf/stbuf_datain[2][17] , \lsu/stbuf/stbuf_datain[2][16] , \lsu/stbuf/stbuf_datain[2][15] , \lsu/stbuf/stbuf_datain[2][14] , \lsu/stbuf/stbuf_datain[2][13] , \lsu/stbuf/stbuf_datain[2][12] , \lsu/stbuf/stbuf_datain[2][11] , \lsu/stbuf/stbuf_datain[2][10] , \lsu/stbuf/stbuf_datain[2][9] , \lsu/stbuf/stbuf_datain[2][8] , \lsu/stbuf/stbuf_datain[2][7] , \lsu/stbuf/stbuf_datain[2][6] , \lsu/stbuf/stbuf_datain[2][5] , \lsu/stbuf/stbuf_datain[2][4] , \lsu/stbuf/stbuf_datain[2][3] , \lsu/stbuf/stbuf_datain[2][2] , \lsu/stbuf/stbuf_datain[2][1] , \lsu/stbuf/stbuf_datain[2][0] , \lsu/stbuf/stbuf_datain[1][31] , \lsu/stbuf/stbuf_datain[1][30] , \lsu/stbuf/stbuf_datain[1][29] , \lsu/stbuf/stbuf_datain[1][28] , \lsu/stbuf/stbuf_datain[1][27] , \lsu/stbuf/stbuf_datain[1][26] , \lsu/stbuf/stbuf_datain[1][25] , \lsu/stbuf/stbuf_datain[1][24] , \lsu/stbuf/stbuf_datain[1][23] , \lsu/stbuf/stbuf_datain[1][22] , \lsu/stbuf/stbuf_datain[1][21] , \lsu/stbuf/stbuf_datain[1][20] , \lsu/stbuf/stbuf_datain[1][19] , \lsu/stbuf/stbuf_datain[1][18] , \lsu/stbuf/stbuf_datain[1][17] , \lsu/stbuf/stbuf_datain[1][16] , \lsu/stbuf/stbuf_datain[1][15] , \lsu/stbuf/stbuf_datain[1][14] , \lsu/stbuf/stbuf_datain[1][13] , \lsu/stbuf/stbuf_datain[1][12] , \lsu/stbuf/stbuf_datain[1][11] , \lsu/stbuf/stbuf_datain[1][10] , \lsu/stbuf/stbuf_datain[1][9] , \lsu/stbuf/stbuf_datain[1][8] , \lsu/stbuf/stbuf_datain[1][7] , \lsu/stbuf/stbuf_datain[1][6] , \lsu/stbuf/stbuf_datain[1][5] , \lsu/stbuf/stbuf_datain[1][4] , \lsu/stbuf/stbuf_datain[1][3] , \lsu/stbuf/stbuf_datain[1][2] , \lsu/stbuf/stbuf_datain[1][1] , \lsu/stbuf/stbuf_datain[1][0] , \lsu/stbuf/stbuf_datain[0][31] , \lsu/stbuf/stbuf_datain[0][30] , \lsu/stbuf/stbuf_datain[0][29] , \lsu/stbuf/stbuf_datain[0][28] , \lsu/stbuf/stbuf_datain[0][27] , \lsu/stbuf/stbuf_datain[0][26] , \lsu/stbuf/stbuf_datain[0][25] , \lsu/stbuf/stbuf_datain[0][24] , \lsu/stbuf/stbuf_datain[0][23] , \lsu/stbuf/stbuf_datain[0][22] , \lsu/stbuf/stbuf_datain[0][21] , \lsu/stbuf/stbuf_datain[0][20] , \lsu/stbuf/stbuf_datain[0][19] , \lsu/stbuf/stbuf_datain[0][18] , \lsu/stbuf/stbuf_datain[0][17] , \lsu/stbuf/stbuf_datain[0][16] , \lsu/stbuf/stbuf_datain[0][15] , \lsu/stbuf/stbuf_datain[0][14] , \lsu/stbuf/stbuf_datain[0][13] , \lsu/stbuf/stbuf_datain[0][12] , \lsu/stbuf/stbuf_datain[0][11] , \lsu/stbuf/stbuf_datain[0][10] , \lsu/stbuf/stbuf_datain[0][9] , \lsu/stbuf/stbuf_datain[0][8] , \lsu/stbuf/stbuf_datain[0][7] , \lsu/stbuf/stbuf_datain[0][6] , \lsu/stbuf/stbuf_datain[0][5] , \lsu/stbuf/stbuf_datain[0][4] , \lsu/stbuf/stbuf_datain[0][3] , \lsu/stbuf/stbuf_datain[0][2] , \lsu/stbuf/stbuf_datain[0][1] , \lsu/stbuf/stbuf_datain[0][0] , \lsu/stbuf/stbuf_data[3][31] , \lsu/stbuf/stbuf_data[3][30] , \lsu/stbuf/stbuf_data[3][29] , \lsu/stbuf/stbuf_data[3][28] , \lsu/stbuf/stbuf_data[3][27] , \lsu/stbuf/stbuf_data[3][26] , \lsu/stbuf/stbuf_data[3][25] , \lsu/stbuf/stbuf_data[3][24] , \lsu/stbuf/stbuf_data[3][23] , \lsu/stbuf/stbuf_data[3][22] , \lsu/stbuf/stbuf_data[3][21] , \lsu/stbuf/stbuf_data[3][20] , \lsu/stbuf/stbuf_data[3][19] , \lsu/stbuf/stbuf_data[3][18] , \lsu/stbuf/stbuf_data[3][17] , \lsu/stbuf/stbuf_data[3][16] , \lsu/stbuf/stbuf_data[3][15] , \lsu/stbuf/stbuf_data[3][14] , \lsu/stbuf/stbuf_data[3][13] , \lsu/stbuf/stbuf_data[3][12] , \lsu/stbuf/stbuf_data[3][11] , \lsu/stbuf/stbuf_data[3][10] , \lsu/stbuf/stbuf_data[3][9] , \lsu/stbuf/stbuf_data[3][8] , \lsu/stbuf/stbuf_data[3][7] , \lsu/stbuf/stbuf_data[3][6] , \lsu/stbuf/stbuf_data[3][5] , \lsu/stbuf/stbuf_data[3][4] , \lsu/stbuf/stbuf_data[3][3] , \lsu/stbuf/stbuf_data[3][2] , \lsu/stbuf/stbuf_data[3][1] , \lsu/stbuf/stbuf_data[3][0] , \lsu/stbuf/stbuf_data[2][31] , \lsu/stbuf/stbuf_data[2][30] , \lsu/stbuf/stbuf_data[2][29] , \lsu/stbuf/stbuf_data[2][28] , \lsu/stbuf/stbuf_data[2][27] , \lsu/stbuf/stbuf_data[2][26] , \lsu/stbuf/stbuf_data[2][25] , \lsu/stbuf/stbuf_data[2][24] , \lsu/stbuf/stbuf_data[2][23] , \lsu/stbuf/stbuf_data[2][22] , \lsu/stbuf/stbuf_data[2][21] , \lsu/stbuf/stbuf_data[2][20] , \lsu/stbuf/stbuf_data[2][19] , \lsu/stbuf/stbuf_data[2][18] , \lsu/stbuf/stbuf_data[2][17] , \lsu/stbuf/stbuf_data[2][16] , \lsu/stbuf/stbuf_data[2][15] , \lsu/stbuf/stbuf_data[2][14] , \lsu/stbuf/stbuf_data[2][13] , \lsu/stbuf/stbuf_data[2][12] , \lsu/stbuf/stbuf_data[2][11] , \lsu/stbuf/stbuf_data[2][10] , \lsu/stbuf/stbuf_data[2][9] , \lsu/stbuf/stbuf_data[2][8] , \lsu/stbuf/stbuf_data[2][7] , \lsu/stbuf/stbuf_data[2][6] , \lsu/stbuf/stbuf_data[2][5] , \lsu/stbuf/stbuf_data[2][4] , \lsu/stbuf/stbuf_data[2][3] , \lsu/stbuf/stbuf_data[2][2] , \lsu/stbuf/stbuf_data[2][1] , \lsu/stbuf/stbuf_data[2][0] , \lsu/stbuf/stbuf_data[1][31] , \lsu/stbuf/stbuf_data[1][30] , \lsu/stbuf/stbuf_data[1][29] , \lsu/stbuf/stbuf_data[1][28] , \lsu/stbuf/stbuf_data[1][27] , \lsu/stbuf/stbuf_data[1][26] , \lsu/stbuf/stbuf_data[1][25] , \lsu/stbuf/stbuf_data[1][24] , \lsu/stbuf/stbuf_data[1][23] , \lsu/stbuf/stbuf_data[1][22] , \lsu/stbuf/stbuf_data[1][21] , \lsu/stbuf/stbuf_data[1][20] , \lsu/stbuf/stbuf_data[1][19] , \lsu/stbuf/stbuf_data[1][18] , \lsu/stbuf/stbuf_data[1][17] , \lsu/stbuf/stbuf_data[1][16] , \lsu/stbuf/stbuf_data[1][15] , \lsu/stbuf/stbuf_data[1][14] , \lsu/stbuf/stbuf_data[1][13] , \lsu/stbuf/stbuf_data[1][12] , \lsu/stbuf/stbuf_data[1][11] , \lsu/stbuf/stbuf_data[1][10] , \lsu/stbuf/stbuf_data[1][9] , \lsu/stbuf/stbuf_data[1][8] , \lsu/stbuf/stbuf_data[1][7] , \lsu/stbuf/stbuf_data[1][6] , \lsu/stbuf/stbuf_data[1][5] , \lsu/stbuf/stbuf_data[1][4] , \lsu/stbuf/stbuf_data[1][3] , \lsu/stbuf/stbuf_data[1][2] , \lsu/stbuf/stbuf_data[1][1] , \lsu/stbuf/stbuf_data[1][0] , \lsu/stbuf/stbuf_data[0][31] , \lsu/stbuf/stbuf_data[0][30] , \lsu/stbuf/stbuf_data[0][29] , \lsu/stbuf/stbuf_data[0][28] , \lsu/stbuf/stbuf_data[0][27] , \lsu/stbuf/stbuf_data[0][26] , \lsu/stbuf/stbuf_data[0][25] , \lsu/stbuf/stbuf_data[0][24] , \lsu/stbuf/stbuf_data[0][23] , \lsu/stbuf/stbuf_data[0][22] , \lsu/stbuf/stbuf_data[0][21] , \lsu/stbuf/stbuf_data[0][20] , \lsu/stbuf/stbuf_data[0][19] , \lsu/stbuf/stbuf_data[0][18] , \lsu/stbuf/stbuf_data[0][17] , \lsu/stbuf/stbuf_data[0][16] , \lsu/stbuf/stbuf_data[0][15] , \lsu/stbuf/stbuf_data[0][14] , \lsu/stbuf/stbuf_data[0][13] , \lsu/stbuf/stbuf_data[0][12] , \lsu/stbuf/stbuf_data[0][11] , \lsu/stbuf/stbuf_data[0][10] , \lsu/stbuf/stbuf_data[0][9] , \lsu/stbuf/stbuf_data[0][8] , \lsu/stbuf/stbuf_data[0][7] , \lsu/stbuf/stbuf_data[0][6] , \lsu/stbuf/stbuf_data[0][5] , \lsu/stbuf/stbuf_data[0][4] , \lsu/stbuf/stbuf_data[0][3] , \lsu/stbuf/stbuf_data[0][2] , \lsu/stbuf/stbuf_data[0][1] , \lsu/stbuf/stbuf_data[0][0] , \lsu/stbuf/stbuf_byteen[3][3] , \lsu/stbuf/stbuf_byteen[3][2] , \lsu/stbuf/stbuf_byteen[3][1] , \lsu/stbuf/stbuf_byteen[3][0] , \lsu/stbuf/stbuf_byteen[2][3] , \lsu/stbuf/stbuf_byteen[2][2] , \lsu/stbuf/stbuf_byteen[2][1] , \lsu/stbuf/stbuf_byteen[2][0] , \lsu/stbuf/stbuf_byteen[1][3] , \lsu/stbuf/stbuf_byteen[1][2] , \lsu/stbuf/stbuf_byteen[1][1] , \lsu/stbuf/stbuf_byteen[1][0] , \lsu/stbuf/stbuf_byteen[0][3] , \lsu/stbuf/stbuf_byteen[0][2] , \lsu/stbuf/stbuf_byteen[0][1] , \lsu/stbuf/stbuf_byteen[0][0] , \lsu/stbuf/stbuf_addrin[3][15] , \lsu/stbuf/stbuf_addrin[3][14] , \lsu/stbuf/stbuf_addrin[3][13] , \lsu/stbuf/stbuf_addrin[3][12] , \lsu/stbuf/stbuf_addrin[3][11] , \lsu/stbuf/stbuf_addrin[3][10] , \lsu/stbuf/stbuf_addrin[3][9] , \lsu/stbuf/stbuf_addrin[3][8] , \lsu/stbuf/stbuf_addrin[3][7] , \lsu/stbuf/stbuf_addrin[3][6] , \lsu/stbuf/stbuf_addrin[3][5] , \lsu/stbuf/stbuf_addrin[3][4] , \lsu/stbuf/stbuf_addrin[3][3] , \lsu/stbuf/stbuf_addrin[3][2] , \lsu/stbuf/stbuf_addrin[3][1] , \lsu/stbuf/stbuf_addrin[3][0] , \lsu/stbuf/stbuf_addrin[2][15] , \lsu/stbuf/stbuf_addrin[2][14] , \lsu/stbuf/stbuf_addrin[2][13] , \lsu/stbuf/stbuf_addrin[2][12] , \lsu/stbuf/stbuf_addrin[2][11] , \lsu/stbuf/stbuf_addrin[2][10] , \lsu/stbuf/stbuf_addrin[2][9] , \lsu/stbuf/stbuf_addrin[2][8] , \lsu/stbuf/stbuf_addrin[2][7] , \lsu/stbuf/stbuf_addrin[2][6] , \lsu/stbuf/stbuf_addrin[2][5] , \lsu/stbuf/stbuf_addrin[2][4] , \lsu/stbuf/stbuf_addrin[2][3] , \lsu/stbuf/stbuf_addrin[2][2] , \lsu/stbuf/stbuf_addrin[2][1] , \lsu/stbuf/stbuf_addrin[2][0] , \lsu/stbuf/stbuf_addrin[1][15] , \lsu/stbuf/stbuf_addrin[1][14] , \lsu/stbuf/stbuf_addrin[1][13] , \lsu/stbuf/stbuf_addrin[1][12] , \lsu/stbuf/stbuf_addrin[1][11] , \lsu/stbuf/stbuf_addrin[1][10] , \lsu/stbuf/stbuf_addrin[1][9] , \lsu/stbuf/stbuf_addrin[1][8] , \lsu/stbuf/stbuf_addrin[1][7] , \lsu/stbuf/stbuf_addrin[1][6] , \lsu/stbuf/stbuf_addrin[1][5] , \lsu/stbuf/stbuf_addrin[1][4] , \lsu/stbuf/stbuf_addrin[1][3] , \lsu/stbuf/stbuf_addrin[1][2] , \lsu/stbuf/stbuf_addrin[1][1] , \lsu/stbuf/stbuf_addrin[1][0] , \lsu/stbuf/stbuf_addrin[0][15] , \lsu/stbuf/stbuf_addrin[0][14] , \lsu/stbuf/stbuf_addrin[0][13] , \lsu/stbuf/stbuf_addrin[0][12] , \lsu/stbuf/stbuf_addrin[0][11] , \lsu/stbuf/stbuf_addrin[0][10] , \lsu/stbuf/stbuf_addrin[0][9] , \lsu/stbuf/stbuf_addrin[0][8] , \lsu/stbuf/stbuf_addrin[0][7] , \lsu/stbuf/stbuf_addrin[0][6] , \lsu/stbuf/stbuf_addrin[0][5] , \lsu/stbuf/stbuf_addrin[0][4] , \lsu/stbuf/stbuf_addrin[0][3] , \lsu/stbuf/stbuf_addrin[0][2] , \lsu/stbuf/stbuf_addrin[0][1] , \lsu/stbuf/stbuf_addrin[0][0] , \lsu/stbuf/stbuf_addr[3][15] , \lsu/stbuf/stbuf_addr[3][14] , \lsu/stbuf/stbuf_addr[3][13] , \lsu/stbuf/stbuf_addr[3][12] , \lsu/stbuf/stbuf_addr[3][11] , \lsu/stbuf/stbuf_addr[3][10] , \lsu/stbuf/stbuf_addr[3][9] , \lsu/stbuf/stbuf_addr[3][8] , \lsu/stbuf/stbuf_addr[3][7] , \lsu/stbuf/stbuf_addr[3][6] , \lsu/stbuf/stbuf_addr[3][5] , \lsu/stbuf/stbuf_addr[3][4] , \lsu/stbuf/stbuf_addr[3][3] , \lsu/stbuf/stbuf_addr[3][2] , \lsu/stbuf/stbuf_addr[3][1] , \lsu/stbuf/stbuf_addr[3][0] , \lsu/stbuf/stbuf_addr[2][15] , \lsu/stbuf/stbuf_addr[2][14] , \lsu/stbuf/stbuf_addr[2][13] , \lsu/stbuf/stbuf_addr[2][12] , \lsu/stbuf/stbuf_addr[2][11] , \lsu/stbuf/stbuf_addr[2][10] , \lsu/stbuf/stbuf_addr[2][9] , \lsu/stbuf/stbuf_addr[2][8] , \lsu/stbuf/stbuf_addr[2][7] , \lsu/stbuf/stbuf_addr[2][6] , \lsu/stbuf/stbuf_addr[2][5] , \lsu/stbuf/stbuf_addr[2][4] , \lsu/stbuf/stbuf_addr[2][3] , \lsu/stbuf/stbuf_addr[2][2] , \lsu/stbuf/stbuf_addr[2][1] , \lsu/stbuf/stbuf_addr[2][0] , \lsu/stbuf/stbuf_addr[1][15] , \lsu/stbuf/stbuf_addr[1][14] , \lsu/stbuf/stbuf_addr[1][13] , \lsu/stbuf/stbuf_addr[1][12] , \lsu/stbuf/stbuf_addr[1][11] , \lsu/stbuf/stbuf_addr[1][10] , \lsu/stbuf/stbuf_addr[1][9] , \lsu/stbuf/stbuf_addr[1][8] , \lsu/stbuf/stbuf_addr[1][7] , \lsu/stbuf/stbuf_addr[1][6] , \lsu/stbuf/stbuf_addr[1][5] , \lsu/stbuf/stbuf_addr[1][4] , \lsu/stbuf/stbuf_addr[1][3] , \lsu/stbuf/stbuf_addr[1][2] , \lsu/stbuf/stbuf_addr[1][1] , \lsu/stbuf/stbuf_addr[1][0] , \lsu/stbuf/stbuf_addr[0][15] , \lsu/stbuf/stbuf_addr[0][14] , \lsu/stbuf/stbuf_addr[0][13] , \lsu/stbuf/stbuf_addr[0][12] , \lsu/stbuf/stbuf_addr[0][11] , \lsu/stbuf/stbuf_addr[0][10] , \lsu/stbuf/stbuf_addr[0][9] , \lsu/stbuf/stbuf_addr[0][8] , \lsu/stbuf/stbuf_addr[0][7] , \lsu/stbuf/stbuf_addr[0][6] , \lsu/stbuf/stbuf_addr[0][5] , \lsu/stbuf/stbuf_addr[0][4] , \lsu/stbuf/stbuf_addr[0][3] , \lsu/stbuf/stbuf_addr[0][2] , \lsu/stbuf/stbuf_addr[0][1] , \lsu/stbuf/stbuf_addr[0][0] , \lsu/stbuf/ldst_dual_r , \lsu/stbuf/store_byteen_ext_r[7] , \lsu/ecc/single_ecc_error_lo_any , \lsu/ecc/single_ecc_error_hi_any , \lsu/ecc/n33 , \lsu/ecc/n34 , \lsu/ecc/n35 , \lsu/ecc/n36 , \lsu/ecc/n37 , \lsu/ecc/n38 , \lsu/ecc/n39 , \lsu/ecc/n40 , \lsu/ecc/n41 , \lsu/ecc/n42 , \lsu/ecc/n43 , \lsu/ecc/n44 , \lsu/ecc/n45 , \lsu/ecc/n46 , \lsu/ecc/n47 , \lsu/ecc/n48 , \lsu/ecc/n49 , \lsu/ecc/n50 , \lsu/ecc/n51 , \lsu/ecc/n52 , \lsu/ecc/n53 , \lsu/ecc/n54 , \lsu/ecc/n55 , \lsu/ecc/n56 , \lsu/ecc/n57 , \lsu/ecc/n58 , \lsu/ecc/n59 , \lsu/ecc/n60 , \lsu/ecc/n61 , \lsu/ecc/n62 , \lsu/ecc/n63 , \lsu/ecc/n64 , \lsu/ecc/n1 , \lsu/ecc/n2 , \lsu/ecc/n3 , \lsu/ecc/n4 , \lsu/ecc/n5 , \lsu/ecc/n6 , \lsu/ecc/n7 , \lsu/ecc/n8 , \lsu/ecc/n9 , \lsu/ecc/n10 , \lsu/ecc/n11 , \lsu/ecc/n12 , \lsu/ecc/n13 , \lsu/ecc/n14 , \lsu/ecc/n15 , \lsu/ecc/n16 , \lsu/ecc/n17 , \lsu/ecc/n18 , \lsu/ecc/n19 , \lsu/ecc/n20 , \lsu/ecc/n21 , \lsu/ecc/n22 , \lsu/ecc/n23 , \lsu/ecc/n24 , \lsu/ecc/n25 , \lsu/ecc/n26 , \lsu/ecc/n27 , \lsu/ecc/n28 , \lsu/ecc/n29 , \lsu/ecc/n30 , \lsu/ecc/n31 , \lsu/ecc/n32 , \lsu/clkdomain/lsu_free_c1_clken_q , \lsu/clkdomain/lsu_free_c1_clken , \lsu/clkdomain/lsu_c1_r_clken_q , \lsu/clkdomain/lsu_c1_r_clken , \lsu/clkdomain/lsu_c1_m_clken_q , \lsu/clkdomain/lsu_c1_m_clken , \lsu/clkdomain/lsu_c1_d_clken_q , \lsu/clkdomain/lsu_c1_d_clken , \lsu/bus_intf/no_word_merge_r , \lsu/bus_intf/no_dword_merge_r , \lsu/bus_intf/ld_full_hit_m , \lsu/bus_intf/is_sideeffects_r , \lsu/bus_intf/ldst_dual_m , \lsu/bus_intf/ldst_dual_r , \lsu/bus_intf/lsu_bus_clk_en_q , \lsu/bus_intf/ldst_dual_d , \pic_ctrl_inst/SETREG[1].NON_ZERO_INT.gw_config_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[1].NON_ZERO_INT.gw_config_ff/_0_net_[1] , \pic_ctrl_inst/SETREG[1].NON_ZERO_INT.config_gw_inst/gw_int_pending_in , \pic_ctrl_inst/SETREG[1].NON_ZERO_INT.config_gw_inst/gw_int_pending , \active_cg/clkhdr/en_ff , \active_cg/clkhdr/enable , \pic_ctrl_inst/config_reg_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[31].NON_ZERO_INT.intenable_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[30].NON_ZERO_INT.intenable_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[29].NON_ZERO_INT.intenable_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[28].NON_ZERO_INT.intenable_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[27].NON_ZERO_INT.intenable_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[26].NON_ZERO_INT.intenable_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[25].NON_ZERO_INT.intenable_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[24].NON_ZERO_INT.intenable_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[23].NON_ZERO_INT.intenable_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[22].NON_ZERO_INT.intenable_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[21].NON_ZERO_INT.intenable_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[20].NON_ZERO_INT.intenable_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[19].NON_ZERO_INT.intenable_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[18].NON_ZERO_INT.intenable_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[17].NON_ZERO_INT.intenable_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[16].NON_ZERO_INT.intenable_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[15].NON_ZERO_INT.intenable_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[14].NON_ZERO_INT.intenable_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[13].NON_ZERO_INT.intenable_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[12].NON_ZERO_INT.intenable_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[11].NON_ZERO_INT.intenable_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[10].NON_ZERO_INT.intenable_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[9].NON_ZERO_INT.intenable_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[8].NON_ZERO_INT.intenable_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[7].NON_ZERO_INT.intenable_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[6].NON_ZERO_INT.intenable_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[5].NON_ZERO_INT.intenable_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[4].NON_ZERO_INT.intenable_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[3].NON_ZERO_INT.intenable_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[2].NON_ZERO_INT.intenable_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[1].NON_ZERO_INT.intenable_ff/_0_net_[0] , \dbg/dmabstractcs_busy_reg/_0_net_[0] , \dbg/dmstatus_resumeack_reg/_0_net_[0] , \dbg/dmcontrol_dmactive_ff/_0_net_[0] , \dbg/sbcs_sbreadonaddr_reg/_0_net_[0] , \dbg/sbcs_sbbusy_reg/_0_net_[0] , \dbg/dbg_state_reg/_0_net_[0] , \dbg/dbg_state_reg/_0_net_[1] , \dbg/dbg_state_reg/_0_net_[2] , \exu/i_csr_rs1_x_ff/l1clk , \dbg/dbg_data1_reg/l1clk , \dbg/dbg_data0_reg/l1clk , \dbg/dmcommand_reg/l1clk , \dbg/dbg_sbaddress0_reg/l1clk , \dbg/dbg_sbdata1_reg/l1clk , \pic_ctrl_inst/SETREG[31].NON_ZERO_INT.intpriority_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[31].NON_ZERO_INT.intpriority_ff/_0_net_[1] , \pic_ctrl_inst/SETREG[31].NON_ZERO_INT.intpriority_ff/_0_net_[2] , \pic_ctrl_inst/SETREG[31].NON_ZERO_INT.intpriority_ff/_0_net_[3] , \pic_ctrl_inst/SETREG[30].NON_ZERO_INT.intpriority_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[30].NON_ZERO_INT.intpriority_ff/_0_net_[1] , \pic_ctrl_inst/SETREG[30].NON_ZERO_INT.intpriority_ff/_0_net_[2] , \pic_ctrl_inst/SETREG[30].NON_ZERO_INT.intpriority_ff/_0_net_[3] , \pic_ctrl_inst/SETREG[29].NON_ZERO_INT.intpriority_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[29].NON_ZERO_INT.intpriority_ff/_0_net_[1] , \pic_ctrl_inst/SETREG[29].NON_ZERO_INT.intpriority_ff/_0_net_[2] , \pic_ctrl_inst/SETREG[29].NON_ZERO_INT.intpriority_ff/_0_net_[3] , \pic_ctrl_inst/SETREG[28].NON_ZERO_INT.intpriority_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[28].NON_ZERO_INT.intpriority_ff/_0_net_[1] , \pic_ctrl_inst/SETREG[28].NON_ZERO_INT.intpriority_ff/_0_net_[2] , \pic_ctrl_inst/SETREG[28].NON_ZERO_INT.intpriority_ff/_0_net_[3] , \pic_ctrl_inst/SETREG[27].NON_ZERO_INT.intpriority_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[27].NON_ZERO_INT.intpriority_ff/_0_net_[1] , \pic_ctrl_inst/SETREG[27].NON_ZERO_INT.intpriority_ff/_0_net_[2] , \pic_ctrl_inst/SETREG[27].NON_ZERO_INT.intpriority_ff/_0_net_[3] , \pic_ctrl_inst/SETREG[26].NON_ZERO_INT.intpriority_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[26].NON_ZERO_INT.intpriority_ff/_0_net_[1] , \pic_ctrl_inst/SETREG[26].NON_ZERO_INT.intpriority_ff/_0_net_[2] , \pic_ctrl_inst/SETREG[26].NON_ZERO_INT.intpriority_ff/_0_net_[3] , \pic_ctrl_inst/SETREG[25].NON_ZERO_INT.intpriority_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[25].NON_ZERO_INT.intpriority_ff/_0_net_[1] , \pic_ctrl_inst/SETREG[25].NON_ZERO_INT.intpriority_ff/_0_net_[2] , \pic_ctrl_inst/SETREG[25].NON_ZERO_INT.intpriority_ff/_0_net_[3] , \pic_ctrl_inst/SETREG[24].NON_ZERO_INT.intpriority_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[24].NON_ZERO_INT.intpriority_ff/_0_net_[1] , \pic_ctrl_inst/SETREG[24].NON_ZERO_INT.intpriority_ff/_0_net_[2] , \pic_ctrl_inst/SETREG[24].NON_ZERO_INT.intpriority_ff/_0_net_[3] , \pic_ctrl_inst/SETREG[23].NON_ZERO_INT.intpriority_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[23].NON_ZERO_INT.intpriority_ff/_0_net_[1] , \pic_ctrl_inst/SETREG[23].NON_ZERO_INT.intpriority_ff/_0_net_[2] , \pic_ctrl_inst/SETREG[23].NON_ZERO_INT.intpriority_ff/_0_net_[3] , \pic_ctrl_inst/SETREG[22].NON_ZERO_INT.intpriority_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[22].NON_ZERO_INT.intpriority_ff/_0_net_[1] , \pic_ctrl_inst/SETREG[22].NON_ZERO_INT.intpriority_ff/_0_net_[2] , \pic_ctrl_inst/SETREG[22].NON_ZERO_INT.intpriority_ff/_0_net_[3] , \pic_ctrl_inst/SETREG[21].NON_ZERO_INT.intpriority_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[21].NON_ZERO_INT.intpriority_ff/_0_net_[1] , \pic_ctrl_inst/SETREG[21].NON_ZERO_INT.intpriority_ff/_0_net_[2] , \pic_ctrl_inst/SETREG[21].NON_ZERO_INT.intpriority_ff/_0_net_[3] , \pic_ctrl_inst/SETREG[20].NON_ZERO_INT.intpriority_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[20].NON_ZERO_INT.intpriority_ff/_0_net_[1] , \pic_ctrl_inst/SETREG[20].NON_ZERO_INT.intpriority_ff/_0_net_[2] , \pic_ctrl_inst/SETREG[20].NON_ZERO_INT.intpriority_ff/_0_net_[3] , \pic_ctrl_inst/SETREG[19].NON_ZERO_INT.intpriority_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[19].NON_ZERO_INT.intpriority_ff/_0_net_[1] , \pic_ctrl_inst/SETREG[19].NON_ZERO_INT.intpriority_ff/_0_net_[2] , \pic_ctrl_inst/SETREG[19].NON_ZERO_INT.intpriority_ff/_0_net_[3] , \pic_ctrl_inst/SETREG[18].NON_ZERO_INT.intpriority_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[18].NON_ZERO_INT.intpriority_ff/_0_net_[1] , \pic_ctrl_inst/SETREG[18].NON_ZERO_INT.intpriority_ff/_0_net_[2] , \pic_ctrl_inst/SETREG[18].NON_ZERO_INT.intpriority_ff/_0_net_[3] , \pic_ctrl_inst/SETREG[17].NON_ZERO_INT.intpriority_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[17].NON_ZERO_INT.intpriority_ff/_0_net_[1] , \pic_ctrl_inst/SETREG[17].NON_ZERO_INT.intpriority_ff/_0_net_[2] , \pic_ctrl_inst/SETREG[17].NON_ZERO_INT.intpriority_ff/_0_net_[3] , \pic_ctrl_inst/SETREG[16].NON_ZERO_INT.intpriority_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[16].NON_ZERO_INT.intpriority_ff/_0_net_[1] , \pic_ctrl_inst/SETREG[16].NON_ZERO_INT.intpriority_ff/_0_net_[2] , \pic_ctrl_inst/SETREG[16].NON_ZERO_INT.intpriority_ff/_0_net_[3] , \pic_ctrl_inst/SETREG[15].NON_ZERO_INT.intpriority_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[15].NON_ZERO_INT.intpriority_ff/_0_net_[1] , \pic_ctrl_inst/SETREG[15].NON_ZERO_INT.intpriority_ff/_0_net_[2] , \pic_ctrl_inst/SETREG[15].NON_ZERO_INT.intpriority_ff/_0_net_[3] , \pic_ctrl_inst/SETREG[14].NON_ZERO_INT.intpriority_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[14].NON_ZERO_INT.intpriority_ff/_0_net_[1] , \pic_ctrl_inst/SETREG[14].NON_ZERO_INT.intpriority_ff/_0_net_[2] , \pic_ctrl_inst/SETREG[14].NON_ZERO_INT.intpriority_ff/_0_net_[3] , \pic_ctrl_inst/SETREG[13].NON_ZERO_INT.intpriority_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[13].NON_ZERO_INT.intpriority_ff/_0_net_[1] , \pic_ctrl_inst/SETREG[13].NON_ZERO_INT.intpriority_ff/_0_net_[2] , \pic_ctrl_inst/SETREG[13].NON_ZERO_INT.intpriority_ff/_0_net_[3] , \pic_ctrl_inst/SETREG[12].NON_ZERO_INT.intpriority_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[12].NON_ZERO_INT.intpriority_ff/_0_net_[1] , \pic_ctrl_inst/SETREG[12].NON_ZERO_INT.intpriority_ff/_0_net_[2] , \pic_ctrl_inst/SETREG[12].NON_ZERO_INT.intpriority_ff/_0_net_[3] , \pic_ctrl_inst/SETREG[11].NON_ZERO_INT.intpriority_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[11].NON_ZERO_INT.intpriority_ff/_0_net_[1] , \pic_ctrl_inst/SETREG[11].NON_ZERO_INT.intpriority_ff/_0_net_[2] , \pic_ctrl_inst/SETREG[11].NON_ZERO_INT.intpriority_ff/_0_net_[3] , \pic_ctrl_inst/SETREG[10].NON_ZERO_INT.intpriority_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[10].NON_ZERO_INT.intpriority_ff/_0_net_[1] , \pic_ctrl_inst/SETREG[10].NON_ZERO_INT.intpriority_ff/_0_net_[2] , \pic_ctrl_inst/SETREG[10].NON_ZERO_INT.intpriority_ff/_0_net_[3] , \pic_ctrl_inst/SETREG[9].NON_ZERO_INT.intpriority_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[9].NON_ZERO_INT.intpriority_ff/_0_net_[1] , \pic_ctrl_inst/SETREG[9].NON_ZERO_INT.intpriority_ff/_0_net_[2] , \pic_ctrl_inst/SETREG[9].NON_ZERO_INT.intpriority_ff/_0_net_[3] , \pic_ctrl_inst/SETREG[8].NON_ZERO_INT.intpriority_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[8].NON_ZERO_INT.intpriority_ff/_0_net_[1] , \pic_ctrl_inst/SETREG[8].NON_ZERO_INT.intpriority_ff/_0_net_[2] , \pic_ctrl_inst/SETREG[8].NON_ZERO_INT.intpriority_ff/_0_net_[3] , \pic_ctrl_inst/SETREG[7].NON_ZERO_INT.intpriority_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[7].NON_ZERO_INT.intpriority_ff/_0_net_[1] , \pic_ctrl_inst/SETREG[7].NON_ZERO_INT.intpriority_ff/_0_net_[2] , \pic_ctrl_inst/SETREG[7].NON_ZERO_INT.intpriority_ff/_0_net_[3] , \pic_ctrl_inst/SETREG[6].NON_ZERO_INT.intpriority_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[6].NON_ZERO_INT.intpriority_ff/_0_net_[1] , \pic_ctrl_inst/SETREG[6].NON_ZERO_INT.intpriority_ff/_0_net_[2] , \pic_ctrl_inst/SETREG[6].NON_ZERO_INT.intpriority_ff/_0_net_[3] , \pic_ctrl_inst/SETREG[5].NON_ZERO_INT.intpriority_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[5].NON_ZERO_INT.intpriority_ff/_0_net_[1] , \pic_ctrl_inst/SETREG[5].NON_ZERO_INT.intpriority_ff/_0_net_[2] , \pic_ctrl_inst/SETREG[5].NON_ZERO_INT.intpriority_ff/_0_net_[3] , \pic_ctrl_inst/SETREG[4].NON_ZERO_INT.intpriority_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[4].NON_ZERO_INT.intpriority_ff/_0_net_[1] , \pic_ctrl_inst/SETREG[4].NON_ZERO_INT.intpriority_ff/_0_net_[2] , \pic_ctrl_inst/SETREG[4].NON_ZERO_INT.intpriority_ff/_0_net_[3] , \pic_ctrl_inst/SETREG[3].NON_ZERO_INT.intpriority_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[3].NON_ZERO_INT.intpriority_ff/_0_net_[1] , \pic_ctrl_inst/SETREG[3].NON_ZERO_INT.intpriority_ff/_0_net_[2] , \pic_ctrl_inst/SETREG[3].NON_ZERO_INT.intpriority_ff/_0_net_[3] , \pic_ctrl_inst/SETREG[2].NON_ZERO_INT.intpriority_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[2].NON_ZERO_INT.intpriority_ff/_0_net_[1] , \pic_ctrl_inst/SETREG[2].NON_ZERO_INT.intpriority_ff/_0_net_[2] , \pic_ctrl_inst/SETREG[2].NON_ZERO_INT.intpriority_ff/_0_net_[3] , \pic_ctrl_inst/SETREG[1].NON_ZERO_INT.intpriority_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[1].NON_ZERO_INT.intpriority_ff/_0_net_[1] , \pic_ctrl_inst/SETREG[1].NON_ZERO_INT.intpriority_ff/_0_net_[2] , \pic_ctrl_inst/SETREG[1].NON_ZERO_INT.intpriority_ff/_0_net_[3] , \dbg/sb_state_reg/_0_net_[0] , \dbg/sb_state_reg/_0_net_[1] , \dbg/sb_state_reg/_0_net_[2] , \dbg/sb_state_reg/_0_net_[3] , \exu/i_r_ff1/l1clk , \pic_ctrl_inst/SETREG[31].NON_ZERO_INT.gw_config_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[31].NON_ZERO_INT.gw_config_ff/_0_net_[1] , \pic_ctrl_inst/SETREG[30].NON_ZERO_INT.gw_config_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[30].NON_ZERO_INT.gw_config_ff/_0_net_[1] , \pic_ctrl_inst/SETREG[29].NON_ZERO_INT.gw_config_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[29].NON_ZERO_INT.gw_config_ff/_0_net_[1] , \pic_ctrl_inst/SETREG[28].NON_ZERO_INT.gw_config_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[28].NON_ZERO_INT.gw_config_ff/_0_net_[1] , \pic_ctrl_inst/SETREG[27].NON_ZERO_INT.gw_config_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[27].NON_ZERO_INT.gw_config_ff/_0_net_[1] , \pic_ctrl_inst/SETREG[26].NON_ZERO_INT.gw_config_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[26].NON_ZERO_INT.gw_config_ff/_0_net_[1] , \pic_ctrl_inst/SETREG[25].NON_ZERO_INT.gw_config_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[25].NON_ZERO_INT.gw_config_ff/_0_net_[1] , \pic_ctrl_inst/SETREG[24].NON_ZERO_INT.gw_config_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[24].NON_ZERO_INT.gw_config_ff/_0_net_[1] , \pic_ctrl_inst/SETREG[23].NON_ZERO_INT.gw_config_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[23].NON_ZERO_INT.gw_config_ff/_0_net_[1] , \pic_ctrl_inst/SETREG[22].NON_ZERO_INT.gw_config_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[22].NON_ZERO_INT.gw_config_ff/_0_net_[1] , \pic_ctrl_inst/SETREG[21].NON_ZERO_INT.gw_config_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[21].NON_ZERO_INT.gw_config_ff/_0_net_[1] , \pic_ctrl_inst/SETREG[20].NON_ZERO_INT.gw_config_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[20].NON_ZERO_INT.gw_config_ff/_0_net_[1] , \pic_ctrl_inst/SETREG[19].NON_ZERO_INT.gw_config_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[19].NON_ZERO_INT.gw_config_ff/_0_net_[1] , \pic_ctrl_inst/SETREG[18].NON_ZERO_INT.gw_config_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[18].NON_ZERO_INT.gw_config_ff/_0_net_[1] , \pic_ctrl_inst/SETREG[17].NON_ZERO_INT.gw_config_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[17].NON_ZERO_INT.gw_config_ff/_0_net_[1] , \pic_ctrl_inst/SETREG[16].NON_ZERO_INT.gw_config_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[16].NON_ZERO_INT.gw_config_ff/_0_net_[1] , \pic_ctrl_inst/SETREG[15].NON_ZERO_INT.gw_config_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[15].NON_ZERO_INT.gw_config_ff/_0_net_[1] , \pic_ctrl_inst/SETREG[14].NON_ZERO_INT.gw_config_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[14].NON_ZERO_INT.gw_config_ff/_0_net_[1] , \pic_ctrl_inst/SETREG[13].NON_ZERO_INT.gw_config_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[13].NON_ZERO_INT.gw_config_ff/_0_net_[1] , \pic_ctrl_inst/SETREG[12].NON_ZERO_INT.gw_config_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[12].NON_ZERO_INT.gw_config_ff/_0_net_[1] , \pic_ctrl_inst/SETREG[11].NON_ZERO_INT.gw_config_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[11].NON_ZERO_INT.gw_config_ff/_0_net_[1] , \pic_ctrl_inst/SETREG[10].NON_ZERO_INT.gw_config_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[10].NON_ZERO_INT.gw_config_ff/_0_net_[1] , \pic_ctrl_inst/SETREG[9].NON_ZERO_INT.gw_config_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[9].NON_ZERO_INT.gw_config_ff/_0_net_[1] , \pic_ctrl_inst/SETREG[8].NON_ZERO_INT.gw_config_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[8].NON_ZERO_INT.gw_config_ff/_0_net_[1] , \pic_ctrl_inst/SETREG[7].NON_ZERO_INT.gw_config_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[7].NON_ZERO_INT.gw_config_ff/_0_net_[1] , \pic_ctrl_inst/SETREG[6].NON_ZERO_INT.gw_config_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[6].NON_ZERO_INT.gw_config_ff/_0_net_[1] , \pic_ctrl_inst/SETREG[5].NON_ZERO_INT.gw_config_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[5].NON_ZERO_INT.gw_config_ff/_0_net_[1] , \pic_ctrl_inst/SETREG[4].NON_ZERO_INT.gw_config_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[4].NON_ZERO_INT.gw_config_ff/_0_net_[1] , \pic_ctrl_inst/SETREG[3].NON_ZERO_INT.gw_config_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[3].NON_ZERO_INT.gw_config_ff/_0_net_[1] , \pic_ctrl_inst/SETREG[2].NON_ZERO_INT.gw_config_ff/_0_net_[0] , \pic_ctrl_inst/SETREG[2].NON_ZERO_INT.gw_config_ff/_0_net_[1] , \pic_ctrl_inst/SETREG[31].NON_ZERO_INT.config_gw_inst/gw_int_pending_in , \pic_ctrl_inst/SETREG[31].NON_ZERO_INT.config_gw_inst/gw_int_pending , \pic_ctrl_inst/SETREG[30].NON_ZERO_INT.config_gw_inst/gw_int_pending_in , \pic_ctrl_inst/SETREG[30].NON_ZERO_INT.config_gw_inst/gw_int_pending , \pic_ctrl_inst/SETREG[29].NON_ZERO_INT.config_gw_inst/gw_int_pending_in , \pic_ctrl_inst/SETREG[29].NON_ZERO_INT.config_gw_inst/gw_int_pending , \pic_ctrl_inst/SETREG[28].NON_ZERO_INT.config_gw_inst/gw_int_pending_in , \pic_ctrl_inst/SETREG[28].NON_ZERO_INT.config_gw_inst/gw_int_pending , \pic_ctrl_inst/SETREG[27].NON_ZERO_INT.config_gw_inst/gw_int_pending_in , \pic_ctrl_inst/SETREG[27].NON_ZERO_INT.config_gw_inst/gw_int_pending , \pic_ctrl_inst/SETREG[26].NON_ZERO_INT.config_gw_inst/gw_int_pending_in , \pic_ctrl_inst/SETREG[26].NON_ZERO_INT.config_gw_inst/gw_int_pending , \pic_ctrl_inst/SETREG[25].NON_ZERO_INT.config_gw_inst/gw_int_pending_in , \pic_ctrl_inst/SETREG[25].NON_ZERO_INT.config_gw_inst/gw_int_pending , \pic_ctrl_inst/SETREG[24].NON_ZERO_INT.config_gw_inst/gw_int_pending_in , \pic_ctrl_inst/SETREG[24].NON_ZERO_INT.config_gw_inst/gw_int_pending , \pic_ctrl_inst/SETREG[23].NON_ZERO_INT.config_gw_inst/gw_int_pending_in , \pic_ctrl_inst/SETREG[23].NON_ZERO_INT.config_gw_inst/gw_int_pending , \pic_ctrl_inst/SETREG[22].NON_ZERO_INT.config_gw_inst/gw_int_pending_in , \pic_ctrl_inst/SETREG[22].NON_ZERO_INT.config_gw_inst/gw_int_pending , \pic_ctrl_inst/SETREG[21].NON_ZERO_INT.config_gw_inst/gw_int_pending_in , \pic_ctrl_inst/SETREG[21].NON_ZERO_INT.config_gw_inst/gw_int_pending , \pic_ctrl_inst/SETREG[20].NON_ZERO_INT.config_gw_inst/gw_int_pending_in , \pic_ctrl_inst/SETREG[20].NON_ZERO_INT.config_gw_inst/gw_int_pending , \pic_ctrl_inst/SETREG[19].NON_ZERO_INT.config_gw_inst/gw_int_pending_in , \pic_ctrl_inst/SETREG[19].NON_ZERO_INT.config_gw_inst/gw_int_pending , \pic_ctrl_inst/SETREG[18].NON_ZERO_INT.config_gw_inst/gw_int_pending_in , \pic_ctrl_inst/SETREG[18].NON_ZERO_INT.config_gw_inst/gw_int_pending , \pic_ctrl_inst/SETREG[17].NON_ZERO_INT.config_gw_inst/gw_int_pending_in , \pic_ctrl_inst/SETREG[17].NON_ZERO_INT.config_gw_inst/gw_int_pending , \pic_ctrl_inst/SETREG[16].NON_ZERO_INT.config_gw_inst/gw_int_pending_in , \pic_ctrl_inst/SETREG[16].NON_ZERO_INT.config_gw_inst/gw_int_pending , \pic_ctrl_inst/SETREG[15].NON_ZERO_INT.config_gw_inst/gw_int_pending_in , \pic_ctrl_inst/SETREG[15].NON_ZERO_INT.config_gw_inst/gw_int_pending , \pic_ctrl_inst/SETREG[14].NON_ZERO_INT.config_gw_inst/gw_int_pending_in , \pic_ctrl_inst/SETREG[14].NON_ZERO_INT.config_gw_inst/gw_int_pending , \pic_ctrl_inst/SETREG[13].NON_ZERO_INT.config_gw_inst/gw_int_pending_in , \pic_ctrl_inst/SETREG[13].NON_ZERO_INT.config_gw_inst/gw_int_pending , \pic_ctrl_inst/SETREG[12].NON_ZERO_INT.config_gw_inst/gw_int_pending_in , \pic_ctrl_inst/SETREG[12].NON_ZERO_INT.config_gw_inst/gw_int_pending , \pic_ctrl_inst/SETREG[11].NON_ZERO_INT.config_gw_inst/gw_int_pending_in , \pic_ctrl_inst/SETREG[11].NON_ZERO_INT.config_gw_inst/gw_int_pending , \pic_ctrl_inst/SETREG[10].NON_ZERO_INT.config_gw_inst/gw_int_pending_in , \pic_ctrl_inst/SETREG[10].NON_ZERO_INT.config_gw_inst/gw_int_pending , \pic_ctrl_inst/SETREG[9].NON_ZERO_INT.config_gw_inst/gw_int_pending_in , \pic_ctrl_inst/SETREG[9].NON_ZERO_INT.config_gw_inst/gw_int_pending , \pic_ctrl_inst/SETREG[8].NON_ZERO_INT.config_gw_inst/gw_int_pending_in , \pic_ctrl_inst/SETREG[8].NON_ZERO_INT.config_gw_inst/gw_int_pending , \pic_ctrl_inst/SETREG[7].NON_ZERO_INT.config_gw_inst/gw_int_pending_in , \pic_ctrl_inst/SETREG[7].NON_ZERO_INT.config_gw_inst/gw_int_pending , \pic_ctrl_inst/SETREG[6].NON_ZERO_INT.config_gw_inst/gw_int_pending_in , \pic_ctrl_inst/SETREG[6].NON_ZERO_INT.config_gw_inst/gw_int_pending , \pic_ctrl_inst/SETREG[5].NON_ZERO_INT.config_gw_inst/gw_int_pending_in , \pic_ctrl_inst/SETREG[5].NON_ZERO_INT.config_gw_inst/gw_int_pending , \pic_ctrl_inst/SETREG[4].NON_ZERO_INT.config_gw_inst/gw_int_pending_in , \pic_ctrl_inst/SETREG[4].NON_ZERO_INT.config_gw_inst/gw_int_pending , \pic_ctrl_inst/SETREG[3].NON_ZERO_INT.config_gw_inst/gw_int_pending_in , \pic_ctrl_inst/SETREG[3].NON_ZERO_INT.config_gw_inst/gw_int_pending , \pic_ctrl_inst/SETREG[2].NON_ZERO_INT.config_gw_inst/gw_int_pending_in , \pic_ctrl_inst/SETREG[2].NON_ZERO_INT.config_gw_inst/gw_int_pending , \dec/decode/csr_rddata_x_ff/l1clk , \dec/decode/trap_xff/l1clk , \dec/decode/e1ff/l1clk , \lsu/lsu_lsc_ctl/addrcheck/is_sideeffects_d , \lsu/stbuf/GenStBuf[0].stbuf_byteenff/din_new[3] , \lsu/stbuf/GenStBuf[0].stbuf_byteenff/din_new[2] , \lsu/stbuf/GenStBuf[0].stbuf_byteenff/din_new[1] , \lsu/stbuf/GenStBuf[0].stbuf_byteenff/din_new[0] , \lsu/stbuf/GenStBuf[0].stbuf_dataff/l1clk , \pic_ctrl_inst/gw_config_c1_cgc/clkhdr/en_ff , \pic_ctrl_inst/gw_config_c1_cgc/clkhdr/enable , \pic_ctrl_inst/pic_int_c1_cgc/clkhdr/en_ff , \pic_ctrl_inst/pic_int_c1_cgc/clkhdr/enable , \pic_ctrl_inst/pic_pri_c1_cgc/clkhdr/en_ff , \pic_ctrl_inst/pic_pri_c1_cgc/clkhdr/enable , \pic_ctrl_inst/pic_data_c1_cgc/clkhdr/en_ff , \pic_ctrl_inst/pic_data_c1_cgc/clkhdr/enable , \pic_ctrl_inst/pic_addr_c1_cgc/clkhdr/en_ff , \pic_ctrl_inst/pic_addr_c1_cgc/clkhdr/enable , \dbg/sb_free_cgc/clkhdr/en_ff , \dbg/sb_free_cgc/clkhdr/enable , \dbg/dbg_free_cgc/clkhdr/en_ff , \dbg/dbg_free_cgc/clkhdr/enable , \dec/decode/wbnbloaddelayff/_0_net_[0] , \dec/decode/divff/_0_net_[0] , \dec/decode/divff/_0_net_[1] , \dec/decode/divff/_0_net_[2] , \dec/decode/divff/_0_net_[3] , \dec/decode/divff/_0_net_[4] , \dec/decode/i0_r_c_ff/_0_net_[0] , \dec/decode/i0_r_c_ff/_0_net_[1] , \dec/decode/i0_r_c_ff/_0_net_[2] , \dec/decode/i0_x_c_ff/_0_net_[0] , \dec/decode/i0_x_c_ff/_0_net_[1] , \dec/decode/i0_x_c_ff/_0_net_[2] , \exu/i_alu/i_result_ff/l1clk , \dec/decode/i0xinstff/l1clk , \dec/decode/illegal_any_ff/l1clk , \dec/decode/write_csr_ff/l1clk , \ifu/aln/q0ff/l1clk , \ifu/aln/q1ff/l1clk , \ifu/aln/q2ff/l1clk , \exu/i_div/miscf/_0_net_[0] , \exu/i_div/miscf/_0_net_[1] , \exu/i_div/miscf/_0_net_[2] , \exu/i_div/miscf/_0_net_[3] , \lsu/stbuf/GenStBuf[3].stbuf_killff/din_new[0] , \lsu/stbuf/GenStBuf[3].stbuf_vldff/din_new[0] , \lsu/stbuf/GenStBuf[2].stbuf_killff/din_new[0] , \lsu/stbuf/GenStBuf[2].stbuf_vldff/din_new[0] , \lsu/stbuf/GenStBuf[1].stbuf_killff/din_new[0] , \lsu/stbuf/GenStBuf[1].stbuf_vldff/din_new[0] , \lsu/stbuf/GenStBuf[0].stbuf_killff/din_new[0] , \lsu/stbuf/GenStBuf[0].stbuf_vldff/din_new[0] , \exu/i_alu/i_pc_ff/l1clk , \dec/decode/i0wb1pcff/l1clk , \dec/decode/i0wbpcff/l1clk , \ifu/aln/f0pcff/l1clk , \ifu/aln/f1pcff/l1clk , \ifu/aln/f2pcff/l1clk , \ifu/ifc/faddrf1_ff/l1clk , \dec/decode/e1brpcff/l1clk , \lsu/stbuf/RdPtrff/_0_net_[0] , \lsu/stbuf/RdPtrff/_0_net_[1] , \lsu/stbuf/WrPtrff/_0_net_[0] , \lsu/stbuf/WrPtrff/_0_net_[1] , \dec/decode/trap_r_ff/l1clk , \dec/decode/wbff/l1clk , \exu/i_div/aff/l1clk , \exu/i_div/qff/l1clk , \exu/i_div/mff/l1clk , \lsu/stbuf/GenStBuf[3].stbuf_addrff/l1clk , \lsu/stbuf/GenStBuf[2].stbuf_addrff/l1clk , \lsu/stbuf/GenStBuf[1].stbuf_addrff/l1clk , \lsu/stbuf/GenStBuf[0].stbuf_addrff/l1clk , \lsu/stbuf/GenStBuf[3].stbuf_byteenff/din_new[3] , \lsu/stbuf/GenStBuf[3].stbuf_byteenff/din_new[2] , \lsu/stbuf/GenStBuf[3].stbuf_byteenff/din_new[1] , \lsu/stbuf/GenStBuf[3].stbuf_byteenff/din_new[0] , \lsu/stbuf/GenStBuf[2].stbuf_byteenff/din_new[3] , \lsu/stbuf/GenStBuf[2].stbuf_byteenff/din_new[2] , \lsu/stbuf/GenStBuf[2].stbuf_byteenff/din_new[1] , \lsu/stbuf/GenStBuf[2].stbuf_byteenff/din_new[0] , \lsu/stbuf/GenStBuf[1].stbuf_byteenff/din_new[3] , \lsu/stbuf/GenStBuf[1].stbuf_byteenff/din_new[2] , \lsu/stbuf/GenStBuf[1].stbuf_byteenff/din_new[1] , \lsu/stbuf/GenStBuf[1].stbuf_byteenff/din_new[0] , \lsu/ecc/sec_data_lo_rplus1ff/l1clk , \lsu/stbuf/GenStBuf[3].stbuf_dataff/l1clk , \lsu/stbuf/GenStBuf[2].stbuf_dataff/l1clk , \lsu/stbuf/GenStBuf[1].stbuf_dataff/l1clk , \lsu/clkdomain/lsu_free_cgc/clkhdr/en_ff , \lsu/clkdomain/lsu_free_cgc/clkhdr/enable , \lsu/clkdomain/lsu_busm_cgc/clkhdr/en_ff , \lsu/clkdomain/lsu_busm_cgc/clkhdr/enable , \lsu/clkdomain/lsu_bus_buf_c1_cgc/clkhdr/en_ff , \lsu/clkdomain/lsu_bus_buf_c1_cgc/clkhdr/enable , \lsu/clkdomain/lsu_bus_obuf_c1_cgc/clkhdr/en_ff , \lsu/clkdomain/lsu_bus_obuf_c1_cgc/clkhdr/enable , \lsu/clkdomain/lsu_bus_ibuf_c1_cgc/clkhdr/en_ff , \lsu/clkdomain/lsu_bus_ibuf_c1_cgc/clkhdr/enable , \lsu/clkdomain/lsu_stbuf_c1_cgc/clkhdr/en_ff , \lsu/clkdomain/lsu_stbuf_c1_cgc/clkhdr/enable , \lsu/clkdomain/lsu_store_c1r_cgc/clkhdr/en_ff , \lsu/clkdomain/lsu_store_c1r_cgc/clkhdr/enable , \lsu/clkdomain/lsu_store_c1m_cgc/clkhdr/en_ff , \lsu/clkdomain/lsu_store_c1m_cgc/clkhdr/enable , \lsu/clkdomain/lsu_c2r_cgc/clkhdr/en_ff , \lsu/clkdomain/lsu_c2r_cgc/clkhdr/enable , \lsu/clkdomain/lsu_c2m_cgc/clkhdr/en_ff , \lsu/clkdomain/lsu_c2m_cgc/clkhdr/enable , \lsu/clkdomain/lsu_c1r_cgc/clkhdr/en_ff , \lsu/clkdomain/lsu_c1r_cgc/clkhdr/enable , \lsu/clkdomain/lsu_c1m_cgc/clkhdr/en_ff , \lsu/clkdomain/lsu_c1m_cgc/clkhdr/enable , \exu/i_div/exu_div_cgc/clkhdr/en_ff , \exu/i_div/exu_div_cgc/clkhdr/enable , \exu/genblk1.i_data_gate_ff/genblock.genblock.clkhdr/clkhdr/en_ff , \exu/genblk1.i_data_gate_ff/genblock.genblock.clkhdr/clkhdr/enable , \exu/i_r_ff1/genblock.genblock.clkhdr/clkhdr/en_ff , \exu/i_r_ff0/genblock.genblock.clkhdr/clkhdr/en_ff , \exu/i_x_ff/genblock.genblock.clkhdr/clkhdr/en_ff , \exu/i_predpipe_x_ff/genblock.genblock.clkhdr/clkhdr/en_ff , \exu/i_predictpacket_x_ff/genblock.genblock.clkhdr/clkhdr/en_ff , \exu/i_csr_rs1_x_ff/genblock.genblock.clkhdr/clkhdr/en_ff , \exu/i_flush_path_x_ff/genblock.genblock.clkhdr/clkhdr/en_ff , \dec/decode/data_gated_cgc/clkhdr/en_ff , \dec/decode/data_gated_cgc/clkhdr/enable , \dbg/dbg_data1_reg/genblock.genblock.clkhdr/clkhdr/en_ff , \dbg/dbg_data1_reg/genblock.genblock.clkhdr/clkhdr/enable , \dbg/dbg_data0_reg/genblock.genblock.clkhdr/clkhdr/en_ff , \dbg/dbg_data0_reg/genblock.genblock.clkhdr/clkhdr/enable , \dbg/dmcommand_reg/genblock.genblock.clkhdr/clkhdr/en_ff , \dbg/dmcommand_reg/genblock.genblock.clkhdr/clkhdr/enable , \dbg/dbg_sbaddress0_reg/genblock.genblock.clkhdr/clkhdr/en_ff , \dbg/dbg_sbaddress0_reg/genblock.genblock.clkhdr/clkhdr/enable , \dbg/dbg_sbdata1_reg/genblock.genblock.clkhdr/clkhdr/en_ff , \dbg/dbg_sbdata1_reg/genblock.genblock.clkhdr/clkhdr/enable , \dbg/dbg_sbdata0_reg/genblock.genblock.clkhdr/clkhdr/en_ff , \dbg/dbg_sbdata0_reg/genblock.genblock.clkhdr/clkhdr/enable , \lsu/ecc/sec_data_lo_rplus1ff/genblock.genblock.clkhdr/clkhdr/en_ff , \lsu/stbuf/GenStBuf[3].stbuf_dataff/genblock.genblock.clkhdr/clkhdr/en_ff , \lsu/stbuf/GenStBuf[3].stbuf_addrff/genblock.genblock.clkhdr/clkhdr/en_ff , \lsu/stbuf/GenStBuf[3].stbuf_addrff/genblock.genblock.clkhdr/clkhdr/enable , \lsu/stbuf/GenStBuf[2].stbuf_dataff/genblock.genblock.clkhdr/clkhdr/en_ff , \lsu/stbuf/GenStBuf[2].stbuf_addrff/genblock.genblock.clkhdr/clkhdr/en_ff , \lsu/stbuf/GenStBuf[2].stbuf_addrff/genblock.genblock.clkhdr/clkhdr/enable , \lsu/stbuf/GenStBuf[1].stbuf_dataff/genblock.genblock.clkhdr/clkhdr/en_ff , \lsu/stbuf/GenStBuf[1].stbuf_addrff/genblock.genblock.clkhdr/clkhdr/en_ff , \lsu/stbuf/GenStBuf[1].stbuf_addrff/genblock.genblock.clkhdr/clkhdr/enable , \lsu/stbuf/GenStBuf[0].stbuf_dataff/genblock.genblock.clkhdr/clkhdr/en_ff , \lsu/stbuf/GenStBuf[0].stbuf_addrff/genblock.genblock.clkhdr/clkhdr/en_ff , \lsu/stbuf/GenStBuf[0].stbuf_addrff/genblock.genblock.clkhdr/clkhdr/enable , \lsu/dccm_ctl/ld_sec_addr_hi_rff/genblock.genblock.clkhdr/clkhdr/enable , \exu/i_div/aff/genblock.genblock.clkhdr/clkhdr/en_ff , \exu/i_div/aff/genblock.genblock.clkhdr/clkhdr/enable , \exu/i_div/qff/genblock.genblock.clkhdr/clkhdr/en_ff , \exu/i_div/qff/genblock.genblock.clkhdr/clkhdr/enable , \exu/i_div/mff/genblock.genblock.clkhdr/clkhdr/en_ff , \exu/i_div/mff/genblock.genblock.clkhdr/clkhdr/enable , \exu/i_alu/i_result_ff/genblock.genblock.clkhdr/clkhdr/en_ff , \exu/i_alu/i_pc_ff/genblock.genblock.clkhdr/clkhdr/en_ff , \dec/decode/i0wb1pcff/genblock.genblock.clkhdr/clkhdr/en_ff , \dec/decode/i0wbpcff/genblock.genblock.clkhdr/clkhdr/en_ff , \dec/decode/i0wb1instff/genblock.genblock.clkhdr/clkhdr/enable , \dec/decode/i0wbinstff/genblock.genblock.clkhdr/clkhdr/enable , \dec/decode/i0xinstff/genblock.genblock.clkhdr/clkhdr/en_ff , \dec/decode/e1brpcff/genblock.genblock.clkhdr/clkhdr/en_ff , \dec/decode/i0_result_r_ff/genblock.genblock.clkhdr/clkhdr/enable , \dec/decode/wbff/genblock.genblock.clkhdr/clkhdr/en_ff , \dec/decode/wbff/genblock.genblock.clkhdr/clkhdr/enable , \dec/decode/r_d_ff/genblock.genblock.clkhdr/clkhdr/enable , \dec/decode/e1ff/genblock.genblock.clkhdr/clkhdr/en_ff , \dec/decode/trap_r_ff/genblock.genblock.clkhdr/clkhdr/en_ff , \dec/decode/trap_xff/genblock.genblock.clkhdr/clkhdr/en_ff , \dec/decode/trap_xff/genblock.genblock.clkhdr/clkhdr/enable , \dec/decode/illegal_any_ff/genblock.genblock.clkhdr/clkhdr/en_ff , \dec/decode/illegal_any_ff/genblock.genblock.clkhdr/clkhdr/enable , \dec/decode/write_csr_ff/genblock.genblock.clkhdr/clkhdr/en_ff , \dec/decode/write_csr_ff/genblock.genblock.clkhdr/clkhdr/enable , \dec/decode/csr_rddata_x_ff/genblock.genblock.clkhdr/clkhdr/en_ff , \dec/decode/csr_rddata_x_ff/genblock.genblock.clkhdr/clkhdr/enable , \ifu/aln/q0ff/genblock.genblock.clkhdr/clkhdr/en_ff , \ifu/aln/q1ff/genblock.genblock.clkhdr/clkhdr/en_ff , \ifu/aln/q2ff/genblock.genblock.clkhdr/clkhdr/en_ff , \ifu/aln/brdata0ff/genblock.genblock.clkhdr/clkhdr/enable , \ifu/aln/brdata1ff/genblock.genblock.clkhdr/clkhdr/enable , \ifu/aln/brdata2ff/genblock.genblock.clkhdr/clkhdr/enable , \ifu/aln/f0pcff/genblock.genblock.clkhdr/clkhdr/en_ff , \ifu/aln/f0pcff/genblock.genblock.clkhdr/clkhdr/enable , \ifu/aln/f1pcff/genblock.genblock.clkhdr/clkhdr/en_ff , \ifu/aln/f1pcff/genblock.genblock.clkhdr/clkhdr/enable , \ifu/aln/f2pcff/genblock.genblock.clkhdr/clkhdr/en_ff , \ifu/aln/f2pcff/genblock.genblock.clkhdr/clkhdr/enable , \ifu/ifc/faddrf1_ff/genblock.genblock.clkhdr/clkhdr/en_ff , \ifu/ifc/faddrf1_ff/genblock.genblock.clkhdr/clkhdr/enable , \ashr_306/A[55] , \ashr_306/A[54] , \ashr_306/A[53] , \ashr_306/A[52] , \ashr_306/A[51] , \ashr_306/A[50] , \ashr_306/A[49] , \ashr_306/A[48] , \ashr_306/A[47] , \ashr_306/A[46] , \ashr_306/A[45] , \ashr_306/A[44] , \ashr_306/A[43] , \ashr_306/A[42] , \ashr_306/A[41] , \ashr_306/A[40] , \ashr_306/A[39] , \ashr_306/A[38] , \ashr_306/A[37] , \ashr_306/A[36] , \ashr_306/A[35] , \ashr_306/A[34] , \ashr_306/A[33] , \ashr_306/A[32] , \ashr_306/A[31] , \ashr_306/A[30] , \ashr_306/A[29] , \ashr_306/A[28] , \ashr_306/A[27] , \ashr_306/A[26] , \ashr_306/A[25] , \ashr_306/A[24] , \ashr_306/A[23] , \ashr_306/A[22] , \ashr_306/A[21] , \ashr_306/A[20] , \ashr_306/A[19] , \ashr_306/A[18] , \ashr_306/A[17] , \ashr_306/A[16] , \ashr_306/A[15] , \ashr_306/A[14] , \ashr_306/A[13] , \ashr_306/A[12] , \ashr_306/A[11] , \ashr_306/A[10] , \ashr_306/A[9] , \ashr_306/A[8] , \ashr_306/A[7] , \ashr_306/A[6] , \ashr_306/A[5] , \ashr_306/A[4] , \ashr_306/A[3] , \ashr_306/A[2] , \ashr_306/A[1] , \ashr_306/A[0] , n4498, n4633, \lsu_axi_awburst[0] , n10811, n10812, n10813, n10814, n10815, n10816, n10817, n10818, n10819, n10820, n10821, n10822, n10823, n10824, n10825, n10826, n10827, n10828, n10829, n10830, n10831, n10832, n10833, n10834, n10835, n10836, n10837, n10838, n10839, n10840, n10841, n10842, n10843, n10844, n10845, n10846, n10847, n10848, n10849, n10850, n10851, n10852, n10853, n10854, n10855, n10860, \exu/i_mul/i_a_x_ff/genblock.genblock.clkhdr/clkhdr/enable , \exu/i_mul/i_a_x_ff/genblock.genblock.clkhdr/clkhdr/en_ff , \exu/i_mul/i_b_x_ff/l1clk , \exu/i_mul/low_x , \exu/i_mul/rs2_ext_in[32] , \exu/i_mul/rs1_ext_in[32] , \intadd_0/A[16] , \intadd_0/A[15] , \intadd_0/A[14] , \intadd_0/A[13] , \intadd_0/A[12] , \intadd_0/A[11] , \intadd_0/A[10] , \intadd_0/A[9] , \intadd_0/A[8] , \intadd_0/A[7] , \intadd_0/A[6] , \intadd_0/A[5] , \intadd_0/A[4] , \intadd_0/A[3] , \intadd_0/A[2] , \intadd_0/A[1] , \intadd_0/A[0] , \intadd_0/B[16] , \intadd_0/B[15] , \intadd_0/B[14] , \intadd_0/B[13] , \intadd_0/B[12] , \intadd_0/B[11] , \intadd_0/B[10] , \intadd_0/B[9] , \intadd_0/B[8] , \intadd_0/B[7] , \intadd_0/B[6] , \intadd_0/B[5] , \intadd_0/B[4] , \intadd_0/B[3] , \intadd_0/B[2] , \intadd_0/B[1] , \intadd_0/B[0] , \intadd_0/CI , \intadd_0/SUM[16] , \intadd_0/SUM[15] , \intadd_0/SUM[14] , \intadd_0/SUM[13] , \intadd_0/SUM[12] , \intadd_0/SUM[11] , \intadd_0/SUM[10] , \intadd_0/SUM[9] , \intadd_0/SUM[8] , \intadd_0/SUM[7] , \intadd_0/SUM[6] , \intadd_0/SUM[5] , \intadd_0/SUM[4] , \intadd_0/SUM[3] , \intadd_0/SUM[2] , \intadd_0/SUM[1] , \intadd_0/SUM[0] , \intadd_0/n17 , \intadd_0/n16 , \intadd_0/n15 , \intadd_0/n14 , \intadd_0/n13 , \intadd_0/n12 , \intadd_0/n11 , \intadd_0/n10 , \intadd_0/n9 , \intadd_0/n8 , \intadd_0/n7 , \intadd_0/n6 , \intadd_0/n5 , \intadd_0/n4 , \intadd_0/n3 , \intadd_0/n2 , \intadd_0/n1 , \intadd_1/A[11] , \intadd_1/A[10] , \intadd_1/A[9] , \intadd_1/A[8] , \intadd_1/A[7] , \intadd_1/A[6] , \intadd_1/A[5] , \intadd_1/A[4] , \intadd_1/A[3] , \intadd_1/A[2] , \intadd_1/A[1] , \intadd_1/A[0] , \intadd_1/B[11] , \intadd_1/B[10] , \intadd_1/B[9] , \intadd_1/B[8] , \intadd_1/B[7] , \intadd_1/B[6] , \intadd_1/B[5] , \intadd_1/B[4] , \intadd_1/B[3] , \intadd_1/B[2] , \intadd_1/B[1] , \intadd_1/B[0] , \intadd_1/CI , \intadd_1/SUM[11] , \intadd_1/SUM[10] , \intadd_1/SUM[9] , \intadd_1/SUM[8] , \intadd_1/SUM[7] , \intadd_1/SUM[6] , \intadd_1/SUM[5] , \intadd_1/SUM[4] , \intadd_1/SUM[3] , \intadd_1/SUM[2] , \intadd_1/SUM[1] , \intadd_1/SUM[0] , \intadd_1/n12 , \intadd_1/n11 , \intadd_1/n10 , \intadd_1/n9 , \intadd_1/n8 , \intadd_1/n7 , \intadd_1/n6 , \intadd_1/n5 , \intadd_1/n4 , \intadd_1/n3 , \intadd_1/n2 , \intadd_1/n1 , \intadd_2/B[10] , \intadd_2/B[9] , \intadd_2/B[8] , \intadd_2/B[7] , \intadd_2/B[6] , \intadd_2/B[5] , \intadd_2/B[4] , \intadd_2/B[3] , \intadd_2/B[2] , \intadd_2/B[1] , \intadd_2/B[0] , \intadd_2/CI , \intadd_2/SUM[10] , \intadd_2/SUM[9] , \intadd_2/SUM[8] , \intadd_2/SUM[7] , \intadd_2/SUM[6] , \intadd_2/SUM[5] , \intadd_2/SUM[4] , \intadd_2/SUM[3] , \intadd_2/SUM[2] , \intadd_2/SUM[1] , \intadd_2/SUM[0] , \intadd_2/n11 , \intadd_2/n10 , \intadd_2/n9 , \intadd_2/n8 , \intadd_2/n7 , \intadd_2/n6 , \intadd_2/n5 , \intadd_2/n4 , \intadd_2/n3 , \intadd_2/n2 , \intadd_2/n1 , \intadd_3/CI , \intadd_3/n11 , \intadd_3/n10 , \intadd_3/n9 , \intadd_3/n8 , \intadd_3/n7 , \intadd_3/n6 , \intadd_3/n5 , \intadd_3/n4 , \intadd_3/n3 , \intadd_3/n2 , \intadd_3/n1 , \intadd_4/n1 , n10866, n10867, n10868, n10869, n10870, n10871, n10873, n10874, n10876, n10878, n10879, n10880, n10881, n10882, n10883, n10884, n10885, n10886, n10887, n10888, n10889, n10890, n10891, n10892, n10893, n10894, n10895, n10896, n10897, n10898, n10899, n10900, n10901, n10902, n10903, n10904, n10905, n10906, n10907, n10908, n10909, n10910, n10911, n10912, n10913, n10914, n10915, n10916, n10917, n10918, n10919, n10920, n10921, n10922, n10923, n10924, n10925, n10926, n10927, n10928, n10929, n10930, n10931, n10932, n10933, n10934, n10935, n10936, n10937, n10938, n10939, n10940, n10941, n10942, n10943, n10944, n10945, n10946, n10947, n10948, n10949, n10950, n10951, n10952, n10953, n10954, n10955, n10956, n10957, n10958, n10959, n10960, n10961, n10962, n10963, n10964, n10965, n10966, n10967, n10968, n10969, n10970, n10971, n10972, n10973, n10974, n10975, n10976, n10977, n10978, n10979, n10980, n10981, n10982, n10983, n10984, n10985, n10986, n10987, n10988, n10989, n10990, n10991, n10992, n10993, n10994, n10995, n10996, n10997, n10998, n10999, n11000, n11001, n11002, n11003, n11004, n11005, n11006, n11007, n11008, n11009, n11010, n11011, n11012, n11013, n11014, n11015, n11016, n11017, n11018, n11019, n11020, n11021, n11022, n11023, n11024, n11025, n11026, n11027, n11028, n11029, n11030, n11031, n11032, n11033, n11034, n11035, n11036, n11037, n11038, n11039, n11040, n11041, n11042, n11043, n11044, n11045, n11046, n11047, n11048, n11049, n11050, n11051, n11052, n11053, n11054, n11055, n11056, n11057, n11058, n11059, n11060, n11061, n11062, n11063, n11064, n11065, n11066, n11067, n11068, n11069, n11070, n11071, n11072, n11073, n11074, n11075, n11076, n11077, n11078, n11079, n11080, n11081, n11082, n11083, n11084, n11085, n11086, n11087, n11088, n11089, n11090, n11091, n11092, n11093, n11094, n11095, n11096, n11097, n11098, n11099, n11100, n11101, n11102, n11103, n11104, n11105, n11106, n11107, n11108, n11109, n11110, n11111, n11112, n11113, n11114, n11115, n11116, n11117, n11118, n11119, n11120, n11121, n11122, n11123, n11124, n11125, n11126, n11127, n11128, n11129, n11130, n11131, n11132, n11133, n11134, n11135, n11136, n11137, n11138, n11139, n11140, n11141, n11142, n11143, n11144, n11145, n11146, n11147, n11148, n11149, n11150, n11151, n11152, n11153, n11154, n11155, n11156, n11157, n11158, n11159, n11160, n11161, n11162, n11163, n11164, n11165, n11166, n11167, n11168, n11169, n11170, n11171, n11172, n11173, n11174, n11175, n11176, n11177, n11178, n11179, n11180, n11181, n11182, n11183, n11184, n11185, n11186, n11187, n11188, n11189, n11190, n11191, n11192, n11193, n11194, n11195, n11196, n11197, n11198, n11199, n11200, n11201, n11202, n11203, n11204, n11205, n11206, n11207, n11208, n11209, n11210, n11211, n11212, n11213, n11214, n11215, n11216, n11217, n11218, n11219, n11220, n11221, n11222, n11223, n11224, n11225, n11226, n11227, n11228, n11229, n11230, n11231, n11232, n11233, n11234, n11235, n11236, n11237, n11238, n11239, n11240, n11241, n11242, n11243, n11244, n11245, n11246, n11247, n11248, n11249, n11250, n11251, n11252, n11253, n11254, n11255, n11256, n11257, n11258, n11259, n11260, n11261, n11262, n11263, n11264, n11265, n11266, n11267, n11268, n11269, n11270, n11271, n11272, n11273, n11274, n11275, n11276, n11277, n11278, n11279, n11280, n11281, n11282, n11283, n11284, n11285, n11286, n11287, n11288, n11289, n11290, n11291, n11292, n11293, n11294, n11295, n11296, n11297, n11298, n11299, n11300, n11301, n11302, n11303, n11304, n11305, n11306, n11307, n11308, n11309, n11310, n11311, n11312, n11313, n11314, n11315, n11316, n11317, n11318, n11319, n11320, n11321, n11322, n11323, n11324, n11325, n11326, n11327, n11328, n11329, n11330, n11331, n11332, n11333, n11334, n11335, n11336, n11337, n11338, n11339, n11340, n11341, n11342, n11343, n11344, n11345, n11346, n11347, n11348, n11349, n11350, n11351, n11352, n11353, n11354, n11355, n11356, n11357, n11358, n11359, n11360, n11361, n11362, n11363, n11364, n11365, n11366, n11367, n11368, n11369, n11370, n11371, n11372, n11373, n11374, n11375, n11376, n11377, n11378, n11379, n11380, n11381, n11382, n11383, n11384, n11385, n11386, n11387, n11388, n11389, n11390, n11391, n11392, n11393, n11394, n11395, n11396, n11397, n11398, n11399, n11400, n11401, n11402, n11403, n11404, n11405, n11406, n11407, n11408, n11409, n11410, n11411, n11412, n11413, n11414, n11415, n11416, n11417, n11418, n11419, n11420, n11421, n11422, n11423, n11424, n11425, n11426, n11427, n11428, n11429, n11430, n11431, n11432, n11433, n11434, n11435, n11436, n11437, n11438, n11439, n11440, n11441, n11442, n11443, n11444, n11445, n11446, n11447, n11448, n11449, n11450, n11451, n11452, n11453, n11454, n11455, n11456, n11457, n11458, n11459, n11460, n11461, n11462, n11463, n11464, n11465, n11466, n11467, n11468, n11469, n11470, n11471, n11472, n11473, n11474, n11475, n11476, n11477, n11478, n11479, n11480, n11481, n11482, n11483, n11484, n11485, n11486, n11487, n11488, n11489, n11490, n11491, n11492, n11493, n11494, n11495, n11496, n11497, n11498, n11499, n11500, n11501, n11502, n11503, n11504, n11505, n11506, n11507, n11508, n11509, n11510, n11511, n11512, n11513, n11514, n11515, n11516, n11517, n11518, n11519, n11520, n11521, n11522, n11523, n11524, n11525, n11526, n11527, n11528, n11529, n11530, n11531, n11532, n11533, n11534, n11535, n11536, n11537, n11538, n11539, n11540, n11541, n11542, n11543, n11544, n11545, n11546, n11547, n11548, n11549, n11550, n11551, n11552, n11553, n11554, n11555, n11556, n11557, n11558, n11559, n11560, n11561, n11562, n11563, n11564, n11565, n11566, n11567, n11568, n11569, n11570, n11571, n11572, n11573, n11574, n11575, n11576, n11577, n11578, n11579, n11580, n11581, n11582, n11583, n11584, n11585, n11586, n11587, n11588, n11589, n11590, n11591, n11592, n11593, n11594, n11595, n11596, n11597, n11598, n11599, n11600, n11601, n11602, n11603, n11604, n11605, n11606, n11607, n11608, n11609, n11610, n11611, n11612, n11613, n11614, n11615, n11616, n11617, n11618, n11619, n11620, n11621, n11622, n11623, n11624, n11625, n11626, n11627, n11628, n11629, n11630, n11631, n11632, n11633, n11634, n11635, n11636, n11637, n11638, n11639, n11640, n11641, n11642, n11643, n11644, n11645, n11646, n11647, n11648, n11649, n11650, n11651, n11652, n11653, n11654, n11655, n11656, n11657, n11658, n11659, n11660, n11661, n11662, n11663, n11664, n11665, n11666, n11667, n11668, n11669, n11670, n11671, n11672, n11673, n11674, n11675, n11676, n11677, n11678, n11679, n11680, n11681, n11682, n11683, n11684, n11685, n11686, n11687, n11688, n11689, n11690, n11691, n11692, n11693, n11694, n11695, n11696, n11697, n11698, n11699, n11700, n11701, n11702, n11703, n11704, n11705, n11706, n11707, n11708, n11709, n11710, n11711, n11712, n11713, n11714, n11715, n11716, n11717, n11718, n11719, n11720, n11721, n11722, n11723, n11724, n11725, n11726, n11727, n11728, n11729, n11730, n11731, n11732, n11733, n11734, n11735, n11736, n11737, n11738, n11739, n11740, n11741, n11742, n11743, n11744, n11745, n11746, n11747, n11748, n11749, n11750, n11751, n11752, n11753, n11754, n11755, n11756, n11757, n11758, n11759, n11760, n11761, n11762, n11763, n11764, n11765, n11766, n11767, n11768, n11769, n11770, n11771, n11772, n11773, n11774, n11775, n11776, n11777, n11778, n11779, n11780, n11781, n11782, n11783, n11784, n11785, n11786, n11787, n11788, n11789, n11790, n11791, n11792, n11793, n11794, n11795, n11796, n11797, n11798, n11799, n11800, n11801, n11802, n11803, n11804, n11805, n11806, n11807, n11808, n11809, n11810, n11811, n11812, n11813, n11814, n11815, n11816, n11817, n11818, n11819, n11820, n11821, n11822, n11823, n11824, n11825, n11826, n11827, n11828, n11829, n11830, n11831, n11832, n11833, n11834, n11835, n11836, n11837, n11838, n11839, n11840, n11841, n11842, n11843, n11844, n11845, n11846, n11847, n11848, n11849, n11850, n11851, n11852, n11853, n11854, n11855, n11856, n11857, n11858, n11859, n11860, n11861, n11862, n11863, n11864, n11865, n11866, n11867, n11868, n11869, n11870, n11871, n11872, n11873, n11874, n11875, n11876, n11877, n11878, n11879, n11880, n11881, n11882, n11883, n11884, n11885, n11886, n11887, n11888, n11889, n11890, n11891, n11892, n11893, n11894, n11895, n11896, n11897, n11898, n11899, n11900, n11901, n11902, n11903, n11904, n11905, n11906, n11907, n11908, n11909, n11910, n11911, n11912, n11913, n11914, n11915, n11916, n11917, n11918, n11919, n11920, n11921, n11922, n11923, n11924, n11925, n11926, n11927, n11928, n11929, n11930, n11931, n11932, n11933, n11934, n11935, n11936, n11937, n11938, n11939, n11940, n11941, n11942, n11943, n11944, n11945, n11946, n11947, n11948, n11949, n11950, n11951, n11952, n11953, n11954, n11955, n11956, n11957, n11958, n11959, n11960, n11961, n11962, n11963, n11964, n11965, n11966, n11967, n11968, n11969, n11970, n11971, n11972, n11973, n11974, n11975, n11976, n11977, n11978, n11979, n11980, n11981, n11982, n11983, n11984, n11985, n11986, n11987, n11988, n11989, n11990, n11991, n11992, n11993, n11994, n11995, n11996, n11997, n11998, n11999, n12000, n12001, n12002, n12003, n12004, n12005, n12006, n12007, n12008, n12009, n12010, n12011, n12012, n12013, n12014, n12015, n12016, n12017, n12018, n12019, n12020, n12021, n12022, n12023, n12024, n12025, n12026, n12027, n12028, n12029, n12030, n12031, n12032, n12033, n12034, n12035, n12036, n12037, n12038, n12039, n12040, n12041, n12042, n12043, n12044, n12045, n12046, n12047, n12048, n12049, n12050, n12051, n12052, n12053, n12054, n12055, n12056, n12057, n12058, n12059, n12060, n12061, n12062, n12063, n12064, n12065, n12066, n12067, n12068, n12069, n12070, n12071, n12072, n12073, n12074, n12075, n12076, n12077, n12078, n12079, n12080, n12081, n12082, n12083, n12084, n12085, n12086, n12087, n12088, n12089, n12090, n12091, n12092, n12093, n12094, n12095, n12096, n12097, n12098, n12099, n12100, n12101, n12102, n12103, n12104, n12105, n12106, n12107, n12108, n12109, n12110, n12111, n12112, n12113, n12114, n12115, n12116, n12117, n12118, n12119, n12120, n12121, n12122, n12123, n12124, n12125, n12126, n12127, n12128, n12129, n12130, n12131, n12132, n12133, n12134, n12135, n12136, n12137, n12138, n12139, n12140, n12141, n12142, n12143, n12144, n12145, n12146, n12147, n12148, n12149, n12150, n12151, n12152, n12153, n12154, n12155, n12156, n12157, n12158, n12159, n12160, n12161, n12162, n12163, n12164, n12165, n12166, n12167, n12168, n12169, n12170, n12171, n12172, n12173, n12174, n12175, n12176, n12177, n12178, n12179, n12180, n12181, n12182, n12183, n12184, n12185, n12186, n12187, n12188, n12189, n12190, n12191, n12192, n12193, n12194, n12195, n12196, n12197, n12198, n12199, n12200, n12201, n12202, n12203, n12204, n12205, n12206, n12207, n12208, n12209, n12210, n12211, n12212, n12213, n12214, n12215, n12216, n12217, n12218, n12219, n12220, n12221, n12222, n12223, n12224, n12225, n12226, n12227, n12228, n12229, n12230, n12231, n12232, n12233, n12234, n12235, n12236, n12237, n12238, n12239, n12240, n12241, n12242, n12243, n12244, n12245, n12246, n12247, n12248, n12249, n12250, n12251, n12252, n12253, n12254, n12255, n12256, n12257, n12258, n12259, n12260, n12261, n12262, n12263, n12264, n12265, n12266, n12267, n12268, n12269, n12270, n12271, n12272, n12273, n12274, n12275, n12276, n12277, n12278, n12279, n12280, n12281, n12282, n12283, n12284, n12285, n12286, n12287, n12288, n12289, n12290, n12291, n12292, n12293, n12294, n12295, n12296, n12297, n12298, n12299, n12300, n12301, n12302, n12303, n12304, n12305, n12306, n12307, n12308, n12309, n12310, n12311, n12312, n12313, n12314, n12315, n12316, n12317, n12318, n12319, n12320, n12321, n12322, n12323, n12324, n12325, n12326, n12327, n12328, n12329, n12330, n12331, n12332, n12333, n12334, n12335, n12336, n12337, n12338, n12339, n12340, n12341, n12342, n12343, n12344, n12345, n12346, n12347, n12348, n12349, n12350, n12351, n12352, n12353, n12354, n12355, n12356, n12357, n12358, n12359, n12360, n12361, n12362, n12363, n12364, n12365, n12366, n12367, n12368, n12369, n12370, n12371, n12372, n12373, n12374, n12375, n12376, n12377, n12378, n12379, n12380, n12381, n12382, n12383, n12384, n12385, n12386, n12387, n12388, n12389, n12390, n12391, n12392, n12393, n12394, n12395, n12396, n12397, n12398, n12399, n12400, n12401, n12402, n12403, n12404, n12405, n12406, n12407, n12408, n12409, n12410, n12411, n12412, n12413, n12414, n12415, n12416, n12417, n12418, n12419, n12420, n12421, n12422, n12423, n12424, n12425, n12426, n12427, n12428, n12429, n12430, n12431, n12432, n12433, n12434, n12435, n12436, n12437, n12438, n12439, n12440, n12441, n12442, n12443, n12444, n12445, n12446, n12447, n12448, n12449, n12450, n12451, n12452, n12453, n12454, n12455, n12456, n12457, n12458, n12459, n12460, n12461, n12462, n12463, n12464, n12465, n12466, n12467, n12468, n12469, n12470, n12471, n12472, n12473, n12474, n12475, n12476, n12477, n12478, n12479, n12480, n12481, n12482, n12483, n12484, n12485, n12486, n12487, n12488, n12489, n12490, n12491, n12492, n12493, n12494, n12495, n12496, n12497, n12498, n12499, n12500, n12501, n12502, n12503, n12504, n12505, n12506, n12507, n12508, n12509, n12510, n12511, n12512, n12513, n12514, n12515, n12516, n12517, n12518, n12519, n12520, n12521, n12522, n12523, n12524, n12525, n12526, n12527, n12528, n12529, n12530, n12531, n12532, n12533, n12534, n12535, n12536, n12537, n12538, n12539, n12540, n12541, n12542, n12543, n12544, n12545, n12546, n12547, n12548, n12549, n12550, n12551, n12552, n12553, n12554, n12555, n12556, n12557, n12558, n12559, n12560, n12561, n12562, n12563, n12564, n12565, n12566, n12567, n12568, n12569, n12570, n12571, n12572, n12573, n12574, n12575, n12576, n12577, n12578, n12579, n12580, n12581, n12582, n12583, n12584, n12585, n12586, n12587, n12588, n12589, n12590, n12591, n12592, n12593, n12594, n12595, n12596, n12597, n12598, n12599, n12600, n12601, n12602, n12603, n12604, n12605, n12606, n12607, n12608, n12609, n12610, n12611, n12612, n12613, n12614, n12615, n12616, n12617, n12618, n12619, n12620, n12621, n12622, n12623, n12624, n12625, n12626, n12627, n12628, n12629, n12630, n12631, n12632, n12633, n12634, n12635, n12636, n12637, n12638, n12639, n12640, n12641, n12642, n12643, n12644, n12645, n12646, n12647, n12648, n12649, n12650, n12651, n12652, n12653, n12654, n12655, n12656, n12657, n12658, n12659, n12660, n12661, n12662, n12663, n12664, n12665, n12666, n12667, n12668, n12669, n12670, n12671, n12672, n12673, n12674, n12675, n12676, n12677, n12678, n12679, n12680, n12681, n12682, n12683, n12684, n12685, n12686, n12687, n12688, n12689, n12690, n12691, n12692, n12693, n12694, n12695, n12696, n12697, n12698, n12699, n12700, n12701, n12702, n12703, n12704, n12705, n12706, n12707, n12708, n12709, n12710, n12711, n12712, n12713, n12714, n12715, n12716, n12717, n12718, n12719, n12720, n12721, n12722, n12723, n12724, n12725, n12726, n12727, n12728, n12729, n12730, n12731, n12732, n12733, n12734, n12735, n12736, n12737, n12738, n12739, n12740, n12741, n12742, n12743, n12744, n12745, n12746, n12747, n12748, n12749, n12750, n12751, n12752, n12753, n12754, n12755, n12756, n12757, n12758, n12759, n12760, n12761, n12762, n12763, n12764, n12765, n12766, n12767, n12768, n12769, n12770, n12771, n12772, n12773, n12774, n12775, n12776, n12777, n12778, n12779, n12780, n12781, n12782, n12783, n12784, n12785, n12786, n12787, n12788, n12789, n12790, n12791, n12792, n12793, n12794, n12795, n12796, n12797, n12798, n12799, n12800, n12801, n12802, n12803, n12804, n12805, n12806, n12807, n12808, n12809, n12810, n12811, n12812, n12813, n12814, n12815, n12816, n12817, n12818, n12819, n12820, n12821, n12822, n12823, n12824, n12825, n12826, n12827, n12828, n12829, n12830, n12831, n12832, n12833, n12834, n12835, n12836, n12837, n12838, n12839, n12840, n12841, n12842, n12843, n12844, n12845, n12846, n12847, n12848, n12849, n12850, n12851, n12852, n12853, n12854, n12855, n12856, n12857, n12858, n12859, n12860, n12861, n12862, n12863, n12864, n12865, n12866, n12867, n12868, n12869, n12870, n12871, n12872, n12873, n12874, n12875, n12876, n12877, n12878, n12879, n12880, n12881, n12882, n12883, n12884, n12885, n12886, n12887, n12888, n12889, n12890, n12891, n12892, n12893, n12894, n12895, n12896, n12897, n12898, n12899, n12900, n12901, n12902, n12903, n12904, n12905, n12906, n12907, n12908, n12909, n12910, n12911, n12912, n12913, n12914, n12915, n12916, n12917, n12918, n12919, n12920, n12921, n12922, n12923, n12924, n12925, n12926, n12927, n12928, n12929, n12930, n12931, n12932, n12933, n12934, n12935, n12936, n12937, n12938, n12939, n12940, n12941, n12942, n12943, n12944, n12945, n12946, n12947, n12948, n12949, n12950, n12951, n12952, n12953, n12954, n12955, n12956, n12957, n12958, n12959, n12960, n12961, n12962, n12963, n12964, n12965, n12966, n12967, n12968, n12969, n12970, n12971, n12972, n12973, n12974, n12975, n12976, n12977, n12978, n12979, n12980, n12981, n12982, n12983, n12984, n12985, n12986, n12987, n12988, n12989, n12990, n12991, n12992, n12993, n12994, n12995, n12996, n12997, n12998, n12999, n13000, n13001, n13002, n13003, n13004, n13005, n13006, n13007, n13008, n13009, n13010, n13011, n13012, n13013, n13014, n13015, n13016, n13017, n13018, n13019, n13020, n13021, n13022, n13023, n13024, n13025, n13026, n13027, n13028, n13029, n13030, n13031, n13032, n13033, n13034, n13035, n13036, n13037, n13038, n13039, n13040, n13041, n13042, n13043, n13044, n13045, n13046, n13047, n13048, n13049, n13050, n13051, n13052, n13053, n13054, n13055, n13056, n13057, n13058, n13059, n13060, n13061, n13062, n13063, n13064, n13065, n13066, n13067, n13068, n13069, n13070, n13071, n13072, n13073, n13074, n13075, n13076, n13077, n13078, n13079, n13080, n13081, n13082, n13083, n13084, n13085, n13086, n13087, n13088, n13089, n13090, n13091, n13092, n13093, n13094, n13095, n13096, n13097, n13098, n13099, n13100, n13101, n13102, n13103, n13104, n13105, n13106, n13107, n13108, n13109, n13110, n13111, n13112, n13113, n13114, n13115, n13116, n13117, n13118, n13119, n13120, n13121, n13122, n13123, n13124, n13125, n13126, n13127, n13128, n13129, n13130, n13131, n13132, n13133, n13134, n13135, n13136, n13137, n13138, n13139, n13140, n13141, n13142, n13143, n13144, n13145, n13146, n13147, n13148, n13149, n13150, n13151, n13152, n13153, n13154, n13155, n13156, n13157, n13158, n13159, n13160, n13161, n13162, n13163, n13164, n13165, n13166, n13167, n13168, n13169, n13170, n13171, n13172, n13173, n13174, n13175, n13176, n13177, n13178, n13179, n13180, n13181, n13182, n13183, n13184, n13185, n13186, n13187, n13188, n13189, n13190, n13191, n13192, n13193, n13194, n13195, n13196, n13197, n13198, n13199, n13200, n13201, n13202, n13203, n13204, n13205, n13206, n13207, n13208, n13209, n13210, n13211, n13212, n13213, n13214, n13215, n13216, n13217, n13218, n13219, n13220, n13221, n13222, n13223, n13224, n13225, n13226, n13227, n13228, n13229, n13230, n13231, n13232, n13233, n13234, n13235, n13236, n13237, n13238, n13239, n13240, n13241, n13242, n13243, n13244, n13245, n13246, n13247, n13248, n13249, n13250, n13251, n13252, n13253, n13254, n13255, n13256, n13257, n13258, n13259, n13260, n13261, n13262, n13263, n13264, n13265, n13266, n13267, n13268, n13269, n13270, n13271, n13272, n13273, n13274, n13275, n13276, n13277, n13278, n13279, n13280, n13281, n13282, n13283, n13284, n13285, n13286, n13287, n13288, n13289, n13290, n13291, n13292, n13293, n13294, n13295, n13296, n13297, n13298, n13299, n13300, n13301, n13302, n13303, n13304, n13305, n13306, n13307, n13308, n13309, n13310, n13311, n13312, n13313, n13314, n13315, n13316, n13317, n13318, n13319, n13320, n13321, n13322, n13323, n13324, n13325, n13326, n13327, n13328, n13329, n13330, n13331, n13332, n13333, n13334, n13335, n13336, n13337, n13338, n13339, n13340, n13341, n13342, n13343, n13344, n13345, n13346, n13347, n13348, n13349, n13350, n13351, n13352, n13353, n13354, n13355, n13356, n13357, n13358, n13359, n13360, n13361, n13362, n13363, n13364, n13365, n13366, n13367, n13368, n13369, n13370, n13371, n13372, n13373, n13374, n13375, n13376, n13377, n13378, n13379, n13380, n13381, n13382, n13383, n13384, n13385, n13386, n13387, n13388, n13389, n13390, n13391, n13392, n13393, n13394, n13395, n13396, n13397, n13398, n13399, n13400, n13401, n13402, n13403, n13404, n13405, n13406, n13407, n13408, n13409, n13410, n13411, n13412, n13413, n13414, n13415, n13416, n13417, n13418, n13419, n13420, n13421, n13422, n13423, n13424, n13425, n13426, n13427, n13428, n13429, n13430, n13431, n13432, n13433, n13434, n13435, n13436, n13437, n13438, n13439, n13440, n13441, n13442, n13443, n13444, n13445, n13446, n13447, n13448, n13449, n13450, n13451, n13452, n13453, n13454, n13455, n13456, n13457, n13458, n13459, n13460, n13461, n13462, n13463, n13464, n13465, n13466, n13467, n13468, n13469, n13470, n13471, n13472, n13473, n13474, n13475, n13476, n13477, n13478, n13479, n13480, n13481, n13482, n13483, n13484, n13485, n13486, n13487, n13488, n13489, n13490, n13491, n13492, n13493, n13494, n13495, n13496, n13497, n13498, n13499, n13500, n13501, n13502, n13503, n13504, n13505, n13506, n13507, n13508, n13509, n13510, n13511, n13512, n13513, n13514, n13515, n13516, n13517, n13518, n13519, n13520, n13521, n13522, n13523, n13524, n13525, n13526, n13527, n13528, n13529, n13530, n13531, n13532, n13533, n13534, n13535, n13536, n13537, n13538, n13539, n13540, n13541, n13542, n13543, n13544, n13545, n13546, n13547, n13548, n13549, n13550, n13551, n13552, n13553, n13554, n13555, n13556, n13557, n13558, n13559, n13560, n13561, n13562, n13563, n13564, n13565, n13566, n13567, n13568, n13569, n13570, n13571, n13572, n13573, n13574, n13575, n13576, n13577, n13578, n13579, n13580, n13581, n13582, n13583, n13584, n13585, n13586, n13587, n13588, n13589, n13590, n13591, n13592, n13593, n13594, n13595, n13596, n13597, n13598, n13599, n13600, n13601, n13602, n13603, n13604, n13605, n13606, n13607, n13608, n13609, n13610, n13611, n13612, n13613, n13614, n13615, n13616, n13617, n13618, n13619, n13620, n13621, n13622, n13623, n13624, n13625, n13626, n13627, n13628, n13629, n13630, n13631, n13632, n13633, n13634, n13635, n13636, n13637, n13638, n13639, n13640, n13641, n13642, n13643, n13644, n13645, n13646, n13647, n13648, n13649, n13650, n13651, n13652, n13653, n13654, n13655, n13656, n13657, n13658, n13659, n13660, n13661, n13662, n13663, n13664, n13665, n13666, n13667, n13668, n13669, n13670, n13671, n13672, n13673, n13674, n13675, n13676, n13677, n13678, n13679, n13680, n13681, n13682, n13683, n13684, n13685, n13686, n13687, n13688, n13689, n13690, n13691, n13692, n13693, n13694, n13695, n13696, n13697, n13698, n13699, n13700, n13701, n13702, n13703, n13704, n13705, n13706, n13707, n13708, n13709, n13710, n13711, n13712, n13713, n13714, n13715, n13716, n13717, n13718, n13719, n13720, n13721, n13722, n13723, n13724, n13725, n13726, n13727, n13728, n13729, n13730, n13731, n13732, n13733, n13734, n13735, n13736, n13737, n13738, n13739, n13740, n13741, n13742, n13743, n13744, n13745, n13746, n13747, n13748, n13749, n13750, n13751, n13752, n13753, n13754, n13755, n13756, n13757, n13758, n13759, n13760, n13761, n13762, n13763, n13764, n13765, n13766, n13767, n13768, n13769, n13770, n13771, n13772, n13773, n13774, n13775, n13776, n13777, n13778, n13779, n13780, n13781, n13782, n13783, n13784, n13785, n13786, n13787, n13788, n13789, n13790, n13791, n13792, n13793, n13794, n13795, n13796, n13797, n13798, n13799, n13800, n13801, n13802, n13803, n13804, n13805, n13806, n13807, n13808, n13809, n13810, n13811, n13812, n13813, n13814, n13815, n13816, n13817, n13818, n13819, n13820, n13821, n13822, n13823, n13824, n13825, n13826, n13827, n13828, n13829, n13830, n13831, n13832, n13833, n13834, n13835, n13836, n13837, n13838, n13839, n13840, n13841, n13842, n13843, n13844, n13845, n13846, n13847, n13848, n13849, n13850, n13851, n13852, n13853, n13854, n13855, n13856, n13857, n13858, n13859, n13860, n13861, n13862, n13863, n13864, n13865, n13866, n13867, n13868, n13869, n13870, n13871, n13872, n13873, n13874, n13875, n13876, n13877, n13878, n13879, n13880, n13881, n13882, n13883, n13884, n13885, n13886, n13887, n13888, n13889, n13890, n13891, n13892, n13893, n13894, n13895, n13896, n13897, n13898, n13899, n13900, n13901, n13902, n13903, n13904, n13905, n13906, n13907, n13908, n13909, n13910, n13911, n13912, n13913, n13914, n13915, n13916, n13917, n13918, n13919, n13920, n13921, n13922, n13923, n13924, n13925, n13926, n13927, n13928, n13929, n13930, n13931, n13932, n13933, n13934, n13935, n13936, n13937, n13938, n13939, n13940, n13941, n13942, n13943, n13944, n13945, n13946, n13947, n13948, n13949, n13950, n13951, n13952, n13953, n13954, n13955, n13956, n13957, n13958, n13959, n13960, n13961, n13962, n13963, n13964, n13965, n13966, n13967, n13968, n13969, n13970, n13971, n13972, n13973, n13974, n13975, n13976, n13977, n13978, n13979, n13980, n13981, n13982, n13983, n13984, n13985, n13986, n13987, n13988, n13989, n13990, n13991, n13992, n13993, n13994, n13995, n13996, n13997, n13998, n13999, n14000, n14001, n14002, n14003, n14004, n14005, n14006, n14007, n14008, n14009, n14010, n14011, n14012, n14013, n14014, n14015, n14016, n14017, n14018, n14019, n14020, n14021, n14022, n14023, n14024, n14025, n14026, n14027, n14028, n14029, n14030, n14031, n14032, n14033, n14034, n14035, n14036, n14037, n14038, n14039, n14040, n14041, n14042, n14043, n14044, n14045, n14046, n14047, n14048, n14049, n14050, n14051, n14052, n14053, n14054, n14055, n14056, n14057, n14058, n14059, n14060, n14061, n14062, n14063, n14064, n14065, n14066, n14067, n14068, n14069, n14070, n14071, n14072, n14073, n14074, n14075, n14076, n14077, n14078, n14079, n14080, n14081, n14082, n14083, n14084, n14085, n14086, n14087, n14088, n14089, n14090, n14091, n14092, n14093, n14094, n14095, n14096, n14097, n14098, n14099, n14100, n14101, n14102, n14103, n14104, n14105, n14106, n14107, n14108, n14109, n14110, n14111, n14112, n14113, n14114, n14115, n14116, n14117, n14118, n14119, n14120, n14121, n14122, n14123, n14124, n14125, n14126, n14127, n14128, n14129, n14130, n14131, n14132, n14133, n14134, n14135, n14136, n14137, n14138, n14139, n14140, n14141, n14142, n14143, n14144, n14145, n14146, n14147, n14148, n14149, n14150, n14151, n14152, n14153, n14154, n14155, n14156, n14157, n14158, n14159, n14160, n14161, n14162, n14163, n14164, n14165, n14166, n14167, n14168, n14169, n14170, n14171, n14172, n14173, n14174, n14175, n14176, n14177, n14178, n14179, n14180, n14181, n14182, n14183, n14184, n14185, n14186, n14187, n14188, n14189, n14190, n14191, n14192, n14193, n14194, n14195, n14196, n14197, n14198, n14199, n14200, n14201, n14202, n14203, n14204, n14205, n14206, n14207, n14208, n14209, n14210, n14211, n14212, n14213, n14214, n14215, n14216, n14217, n14218, n14219, n14220, n14221, n14222, n14223, n14224, n14225, n14226, n14227, n14228, n14229, n14230, n14231, n14232, n14233, n14234, n14235, n14236, n14237, n14238, n14239, n14240, n14241, n14242, n14243, n14244, n14245, n14246, n14247, n14248, n14249, n14250, n14251, n14252, n14253, n14254, n14255, n14256, n14257, n14258, n14259, n14260, n14261, n14262, n14263, n14264, n14265, n14266, n14267, n14268, n14269, n14270, n14271, n14272, n14273, n14274, n14275, n14276, n14277, n14278, n14279, n14280, n14281, n14282, n14283, n14284, n14285, n14286, n14287, n14288, n14289, n14290, n14291, n14292, n14293, n14294, n14295, n14296, n14297, n14298, n14299, n14300, n14301, n14302, n14303, n14304, n14305, n14306, n14307, n14308, n14309, n14310, n14311, n14312, n14313, n14314, n14315, n14316, n14317, n14318, n14319, n14320, n14321, n14322, n14323, n14324, n14325, n14326, n14327, n14328, n14329, n14330, n14331, n14332, n14333, n14334, n14335, n14336, n14337, n14338, n14339, n14340, n14341, n14342, n14343, n14344, n14345, n14346, n14347, n14348, n14349, n14350, n14351, n14352, n14353, n14354, n14355, n14356, n14357, n14358, n14359, n14360, n14361, n14362, n14363, n14364, n14365, n14366, n14367, n14368, n14369, n14370, n14371, n14372, n14373, n14374, n14375, n14376, n14377, n14378, n14379, n14380, n14381, n14382, n14383, n14384, n14385, n14386, n14387, n14388, n14389, n14390, n14391, n14392, n14393, n14394, n14395, n14396, n14397, n14398, n14399, n14400, n14401, n14402, n14403, n14404, n14405, n14406, n14407, n14408, n14409, n14410, n14411, n14412, n14413, n14414, n14415, n14416, n14417, n14418, n14419, n14420, n14421, n14422, n14423, n14424, n14425, n14426, n14427, n14428, n14429, n14430, n14431, n14432, n14433, n14434, n14435, n14436, n14437, n14438, n14439, n14440, n14441, n14442, n14443, n14444, n14445, n14446, n14447, n14448, n14449, n14450, n14451, n14452, n14453, n14454, n14455, n14456, n14457, n14458, n14459, n14460, n14461, n14462, n14463, n14464, n14465, n14466, n14467, n14468, n14469, n14470, n14471, n14472, n14473, n14474, n14475, n14476, n14477, n14478, n14479, n14480, n14481, n14482, n14483, n14484, n14485, n14486, n14487, n14488, n14489, n14490, n14491, n14492, n14493, n14494, n14495, n14496, n14497, n14498, n14499, n14500, n14501, n14502, n14503, n14504, n14505, n14506, n14507, n14508, n14509, n14510, n14511, n14512, n14513, n14514, n14515, n14516, n14517, n14518, n14519, n14520, n14521, n14522, n14523, n14524, n14525, n14526, n14527, n14528, n14529, n14530, n14531, n14532, n14533, n14534, n14535, n14536, n14537, n14538, n14539, n14540, n14541, n14542, n14543, n14544, n14545, n14546, n14547, n14548, n14549, n14550, n14551, n14552, n14553, n14554, n14555, n14556, n14557, n14558, n14559, n14560, n14561, n14562, n14563, n14564, n14565, n14566, n14567, n14568, n14569, n14570, n14571, n14572, n14573, n14574, n14575, n14576, n14577, n14578, n14579, n14580, n14581, n14582, n14583, n14584, n14585, n14586, n14587, n14588, n14589, n14590, n14591, n14592, n14593, n14594, n14595, n14596, n14597, n14598, n14599, n14600, n14601, n14602, n14603, n14604, n14605, n14606, n14607, n14608, n14609, n14610, n14611, n14612, n14613, n14614, n14615, n14616, n14617, n14618, n14619, n14620, n14621, n14622, n14623, n14624, n14625, n14626, n14627, n14628, n14629, n14630, n14631, n14632, n14633, n14634, n14635, n14636, n14637, n14638, n14639, n14640, n14641, n14642, n14643, n14644, n14645, n14646, n14647, n14648, n14649, n14650, n14651, n14652, n14653, n14654, n14655, n14656, n14657, n14658, n14659, n14660, n14661, n14662, n14663, n14664, n14665, n14666, n14667, n14668, n14669, n14670, n14671, n14672, n14673, n14674, n14675, n14676, n14677, n14678, n14679, n14680, n14681, n14682, n14683, n14684, n14685, n14686, n14687, n14688, n14689, n14690, n14691, n14692, n14693, n14694, n14695, n14696, n14697, n14698, n14699, n14700, n14701, n14702, n14703, n14704, n14705, n14706, n14707, n14708, n14709, n14710, n14711, n14712, n14713, n14714, n14715, n14716, n14717, n14718, n14719, n14720, n14721, n14722, n14723, n14724, n14725, n14726, n14727, n14728, n14729, n14730, n14731, n14732, n14733, n14734, n14735, n14736, n14737, n14738, n14739, n14740, n14741, n14742, n14743, n14744, n14745, n14746, n14747, n14748, n14749, n14750, n14751, n14752, n14753, n14754, n14755, n14756, n14757, n14758, n14759, n14760, n14761, n14762, n14763, n14764, n14765, n14766, n14767, n14768, n14769, n14770, n14771, n14772, n14773, n14774, n14775, n14776, n14777, n14778, n14779, n14780, n14781, n14782, n14783, n14784, n14785, n14786, n14787, n14788, n14789, n14790, n14791, n14792, n14793, n14794, n14795, n14796, n14797, n14798, n14799, n14800, n14801, n14802, n14803, n14804, n14805, n14806, n14807, n14808, n14809, n14810, n14811, n14812, n14813, n14814, n14815, n14816, n14817, n14818, n14819, n14820, n14821, n14822, n14823, n14824, n14825, n14826, n14827, n14828, n14829, n14830, n14831, n14832, n14833, n14834, n14835, n14836, n14837, n14838, n14839, n14840, n14841, n14842, n14843, n14844, n14845, n14846, n14847, n14848, n14849, n14850, n14851, n14852, n14853, n14854, n14855, n14856, n14857, n14858, n14859, n14860, n14861, n14862, n14863, n14864, n14865, n14866, n14867, n14868, n14869, n14870, n14871, n14872, n14873, n14874, n14875, n14876, n14877, n14878, n14879, n14880, n14881, n14882, n14883, n14884, n14885, n14886, n14887, n14888, n14889, n14890, n14891, n14892, n14893, n14894, n14895, n14896, n14897, n14898, n14899, n14900, n14901, n14902, n14903, n14904, n14905, n14906, n14907, n14908, n14909, n14910, n14911, n14912, n14913, n14914, n14915, n14916, n14917, n14918, n14919, n14920, n14921, n14922, n14923, n14924, n14925, n14926, n14927, n14928, n14929, n14930, n14931, n14932, n14933, n14934, n14935, n14936, n14937, n14938, n14939, n14940, n14941, n14942, n14943, n14944, n14945, n14946, n14947, n14948, n14949, n14950, n14951, n14952, n14953, n14954, n14955, n14956, n14957, n14958, n14959, n14960, n14961, n14962, n14963, n14964, n14965, n14966, n14967, n14968, n14969, n14970, n14971, n14972, n14973, n14974, n14975, n14976, n14977, n14978, n14979, n14980, n14981, n14982, n14983, n14984, n14985, n14986, n14987, n14988, n14989, n14990, n14991, n14992, n14993, n14994, n14995, n14996, n14997, n14998, n14999, n15000, n15001, n15002, n15003, n15004, n15005, n15006, n15007, n15008, n15009, n15010, n15011, n15012, n15013, n15014, n15015, n15016, n15017, n15018, n15019, n15020, n15021, n15022, n15023, n15024, n15025, n15026, n15027, n15028, n15029, n15030, n15031, n15032, n15033, n15034, n15035, n15036, n15037, n15038, n15039, n15040, n15041, n15042, n15043, n15044, n15045, n15046, n15047, n15048, n15049, n15050, n15051, n15052, n15053, n15054, n15055, n15056, n15057, n15058, n15059, n15060, n15061, n15062, n15063, n15064, n15065, n15066, n15067, n15068, n15069, n15070, n15071, n15072, n15073, n15074, n15075, n15076, n15077, n15078, n15079, n15080, n15081, n15082, n15083, n15084, n15085, n15086, n15087, n15088, n15089, n15090, n15091, n15092, n15093, n15094, n15095, n15096, n15097, n15098, n15099, n15100, n15101, n15102, n15103, n15104, n15105, n15106, n15107, n15108, n15109, n15110, n15111, n15112, n15113, n15114, n15115, n15116, n15117, n15118, n15119, n15120, n15121, n15122, n15123, n15124, n15125, n15126, n15127, n15128, n15129, n15130, n15131, n15132, n15133, n15134, n15135, n15136, n15137, n15138, n15139, n15140, n15141, n15142, n15143, n15144, n15145, n15146, n15147, n15148, n15149, n15150, n15151, n15152, n15153, n15154, n15155, n15156, n15157, n15158, n15159, n15160, n15161, n15162, n15163, n15164, n15165, n15166, n15167, n15168, n15169, n15170, n15171, n15172, n15173, n15174, n15175, n15176, n15177, n15178, n15179, n15180, n15181, n15182, n15183, n15184, n15185, n15186, n15187, n15188, n15189, n15190, n15191, n15192, n15193, n15194, n15195, n15196, n15197, n15198, n15199, n15200, n15201, n15202, n15203, n15204, n15205, n15206, n15207, n15208, n15209, n15210, n15211, n15212, n15213, n15214, n15215, n15216, n15217, n15218, n15219, n15220, n15221, n15222, n15223, n15224, n15225, n15226, n15227, n15228, n15229, n15230, n15231, n15232, n15233, n15234, n15235, n15236, n15237, n15238, n15239, n15240, n15241, n15242, n15243, n15244, n15245, n15246, n15247, n15248, n15249, n15250, n15251, n15252, n15253, n15254, n15255, n15256, n15257, n15258, n15259, n15260, n15261, n15262, n15263, n15264, n15265, n15266, n15267, n15268, n15269, n15270, n15271, n15272, n15273, n15274, n15275, n15276, n15277, n15278, n15279, n15280, n15281, n15282, n15283, n15284, n15285, n15286, n15287, n15288, n15289, n15290, n15291, n15292, n15293, n15294, n15295, n15296, n15297, n15298, n15299, n15300, n15301, n15302, n15303, n15304, n15305, n15306, n15307, n15308, n15309, n15310, n15311, n15312, n15313, n15314, n15315, n15316, n15317, n15318, n15319, n15320, n15321, n15322, n15323, n15324, n15325, n15326, n15327, n15328, n15329, n15330, n15331, n15332, n15333, n15334, n15335, n15336, n15337, n15338, n15339, n15340, n15341, n15342, n15343, n15344, n15345, n15346, n15347, n15348, n15349, n15350, n15351, n15352, n15353, n15354, n15355, n15356, n15357, n15358, n15359, n15360, n15361, n15362, n15363, n15364, n15365, n15366, n15367, n15368, n15369, n15370, n15371, n15372, n15373, n15374, n15375, n15376, n15377, n15378, n15379, n15380, n15381, n15382, n15383, n15384, n15385, n15386, n15387, n15388, n15389, n15390, n15391, n15392, n15393, n15394, n15395, n15396, n15397, n15398, n15399, n15400, n15401, n15402, n15403, n15404, n15405, n15406, n15407, n15408, n15409, n15410, n15411, n15412, n15413, n15414, n15415, n15416, n15417, n15418, n15419, n15420, n15421, n15422, n15423, n15424, n15425, n15426, n15427, n15428, n15429, n15430, n15431, n15432, n15433, n15434, n15435, n15436, n15437, n15438, n15439, n15440, n15441, n15442, n15443, n15444, n15445, n15446, n15447, n15448, n15449, n15450, n15451, n15452, n15453, n15454, n15455, n15456, n15457, n15458, n15459, n15460, n15461, n15462, n15463, n15464, n15465, n15466, n15467, n15468, n15469, n15470, n15471, n15472, n15473, n15474, n15475, n15476, n15477, n15478, n15479, n15480, n15481, n15482, n15483, n15484, n15485, n15486, n15487, n15488, n15489, n15490, n15491, n15492, n15493, n15494, n15495, n15496, n15497, n15498, n15499, n15500, n15501, n15502, n15503, n15504, n15505, n15506, n15507, n15508, n15509, n15510, n15511, n15512, n15513, n15514, n15515, n15516, n15517, n15518, n15519, n15520, n15521, n15522, n15523, n15524, n15525, n15526, n15527, n15528, n15529, n15530, n15531, n15532, n15533, n15534, n15535, n15536, n15537, n15538, n15539, n15540, n15541, n15542, n15543, n15544, n15545, n15546, n15547, n15548, n15549, n15550, n15551, n15552, n15553, n15554, n15555, n15556, n15557, n15558, n15559, n15560, n15561, n15562, n15563, n15564, n15565, n15566, n15567, n15568, n15569, n15570, n15571, n15572, n15573, n15574, n15575, n15576, n15577, n15578, n15579, n15580, n15581, n15582, n15583, n15584, n15585, n15586, n15587, n15588, n15589, n15590, n15591, n15592, n15593, n15594, n15595, n15596, n15597, n15598, n15599, n15600, n15601, n15602, n15603, n15604, n15605, n15606, n15607, n15608, n15609, n15610, n15611, n15612, n15613, n15614, n15615, n15616, n15617, n15618, n15619, n15620, n15621, n15622, n15623, n15624, n15625, n15626, n15627, n15628, n15629, n15630, n15631, n15632, n15633, n15634, n15635, n15636, n15637, n15638, n15639, n15640, n15641, n15642, n15643, n15644, n15645, n15646, n15647, n15648, n15649, n15650, n15651, n15652, n15653, n15654, n15655, n15656, n15657, n15658, n15659, n15660, n15661, n15662, n15663, n15664, n15665, n15666, n15667, n15668, n15669, n15670, n15671, n15672, n15673, n15674, n15675, n15676, n15677, n15678, n15679, n15680, n15681, n15682, n15683, n15684, n15685, n15686, n15687, n15688, n15689, n15690, n15691, n15692, n15693, n15694, n15695, n15696, n15697, n15698, n15699, n15700, n15701, n15702, n15703, n15704, n15705, n15706, n15707, n15708, n15709, n15710, n15711, n15712, n15713, n15714, n15715, n15716, n15717, n15718, n15719, n15720, n15721, n15722, n15723, n15724, n15725, n15726, n15727, n15728, n15729, n15730, n15731, n15732, n15733, n15734, n15735, n15736, n15737, n15738, n15739, n15740, n15741, n15742, n15743, n15744, n15745, n15746, n15747, n15748, n15749, n15750, n15751, n15752, n15753, n15754, n15755, n15756, n15757, n15758, n15759, n15760, n15761, n15762, n15763, n15764, n15765, n15766, n15767, n15768, n15769, n15770, n15771, n15772, n15773, n15774, n15775, n15776, n15777, n15778, n15779, n15780, n15781, n15782, n15783, n15784, n15785, n15786, n15787, n15788, n15789, n15790, n15791, n15792, n15793, n15794, n15795, n15796, n15797, n15798, n15799, n15800, n15801, n15802, n15803, n15804, n15805, n15806, n15807, n15808, n15809, n15810, n15811, n15812, n15813, n15814, n15815, n15816, n15817, n15818, n15819, n15820, n15821, n15822, n15823, n15824, n15825, n15826, n15827, n15828, n15829, n15830, n15831, n15832, n15833, n15834, n15835, n15836, n15837, n15838, n15839, n15840, n15841, n15842, n15843, n15844, n15845, n15846, n15847, n15848, n15849, n15850, n15851, n15852, n15853, n15854, n15855, n15856, n15857, n15858, n15859, n15860, n15861, n15862, n15863, n15864, n15865, n15866, n15867, n15868, n15869, n15870, n15871, n15872, n15873, n15874, n15875, n15876, n15877, n15878, n15879, n15880, n15881, n15882, n15883, n15884, n15885, n15886, n15887, n15888, n15889, n15890, n15891, n15892, n15893, n15894, n15895, n15896, n15897, n15898, n15899, n15900, n15901, n15902, n15903, n15904, n15905, n15906, n15907, n15908, n15909, n15910, n15911, n15912, n15913, n15914, n15915, n15916, n15917, n15918, n15919, n15920, n15921, n15922, n15923, n15924, n15925, n15926, n15927, n15928, n15929, n15930, n15931, n15932, n15933, n15934, n15935, n15936, n15937, n15938, n15939, n15940, n15941, n15942, n15943, n15944, n15945, n15946, n15947, n15948, n15949, n15950, n15951, n15952, n15953, n15954, n15955, n15956, n15957, n15958, n15959, n15960, n15961, n15962, n15963, n15964, n15965, n15966, n15967, n15968, n15969, n15970, n15971, n15972, n15973, n15974, n15975, n15976, n15977, n15978, n15979, n15980, n15981, n15982, n15983, n15984, n15985, n15986, n15987, n15988, n15989, n15990, n15991, n15992, n15993, n15994, n15995, n15996, n15997, n15998, n15999, n16000, n16001, n16002, n16003, n16004, n16005, n16006, n16007, n16008, n16009, n16010, n16011, n16012, n16013, n16014, n16015, n16016, n16017, n16018, n16019, n16020, n16021, n16022, n16023, n16024, n16025, n16026, n16027, n16028, n16029, n16030, n16031, n16032, n16033, n16034, n16035, n16036, n16037, n16038, n16039, n16040, n16041, n16042, n16043, n16044, n16045, n16046, n16047, n16048, n16049, n16050, n16051, n16052, n16053, n16054, n16055, n16056, n16057, n16058, n16059, n16060, n16061, n16062, n16063, n16064, n16065, n16066, n16067, n16068, n16069, n16070, n16071, n16072, n16073, n16074, n16075, n16076, n16077, n16078, n16079, n16080, n16081, n16082, n16083, n16084, n16085, n16086, n16087, n16088, n16089, n16090, n16091, n16092, n16093, n16094, n16095, n16096, n16097, n16098, n16099, n16100, n16101, n16102, n16103, n16104, n16105, n16106, n16107, n16108, n16109, n16110, n16111, n16112, n16113, n16114, n16115, n16116, n16117, n16118, n16119, n16120, n16121, n16122, n16123, n16124, n16125, n16126, n16127, n16128, n16129, n16130, n16131, n16132, n16133, n16134, n16135, n16136, n16137, n16138, n16139, n16140, n16141, n16142, n16143, n16144, n16145, n16146, n16147, n16148, n16149, n16150, n16151, n16152, n16153, n16154, n16155, n16156, n16157, n16158, n16159, n16160, n16161, n16162, n16163, n16164, n16165, n16166, n16167, n16168, n16169, n16170, n16171, n16172, n16173, n16174, n16175, n16176, n16177, n16178, n16179, n16180, n16181, n16182, n16183, n16184, n16185, n16186, n16187, n16188, n16189, n16190, n16191, n16192, n16193, n16194, n16195, n16196, n16197, n16198, n16199, n16200, n16201, n16202, n16203, n16204, n16205, n16206, n16207, n16208, n16209, n16210, n16211, n16212, n16213, n16214, n16215, n16216, n16217, n16218, n16219, n16220, n16221, n16222, n16223, n16224, n16225, n16226, n16227, n16228, n16229, n16230, n16231, n16232, n16233, n16234, n16235, n16236, n16237, n16238, n16239, n16240, n16241, n16242, n16243, n16244, n16245, n16246, n16247, n16248, n16249, n16250, n16251, n16252, n16253, n16254, n16255, n16256, n16257, n16258, n16259, n16260, n16261, n16262, n16263, n16264, n16265, n16266, n16267, n16268, n16269, n16270, n16271, n16272, n16273, n16274, n16275, n16276, n16277, n16278, n16279, n16280, n16281, n16282, n16283, n16284, n16285, n16286, n16287, n16288, n16289, n16290, n16291, n16292, n16293, n16294, n16295, n16296, n16297, n16298, n16299, n16300, n16301, n16302, n16303, n16304, n16305, n16306, n16307, n16308, n16309, n16310, n16311, n16312, n16313, n16314, n16315, n16316, n16317, n16318, n16319, n16320, n16321, n16322, n16323, n16324, n16325, n16326, n16327, n16328, n16329, n16330, n16331, n16332, n16333, n16334, n16335, n16336, n16337, n16338, n16339, n16340, n16341, n16342, n16343, n16344, n16345, n16346, n16347, n16348, n16349, n16350, n16351, n16352, n16353, n16354, n16355, n16356, n16357, n16358, n16359, n16360, n16361, n16362, n16363, n16364, n16365, n16366, n16367, n16368, n16369, n16370, n16371, n16372, n16373, n16374, n16375, n16376, n16377, n16378, n16379, n16380, n16381, n16382, n16383, n16384, n16385, n16386, n16387, n16388, n16389, n16390, n16391, n16392, n16393, n16394, n16395, n16396, n16397, n16398, n16399, n16400, n16401, n16402, n16403, n16404, n16405, n16406, n16407, n16408, n16409, n16410, n16411, n16412, n16413, n16414, n16415, n16416, n16417, n16418, n16419, n16420, n16421, n16422, n16423, n16424, n16425, n16426, n16427, n16428, n16429, n16430, n16431, n16432, n16433, n16434, n16435, n16436, n16437, n16438, n16439, n16440, n16441, n16442, n16443, n16444, n16445, n16446, n16447, n16448, n16449, n16450, n16451, n16452, n16453, n16454, n16455, n16456, n16457, n16458, n16459, n16460, n16461, n16462, n16463, n16464, n16465, n16466, n16467, n16468, n16469, n16470, n16471, n16472, n16473, n16474, n16475, n16476, n16477, n16478, n16479, n16480, n16481, n16482, n16483, n16484, n16485, n16486, n16487, n16488, n16489, n16490, n16491, n16492, n16493, n16494, n16495, n16496, n16497, n16498, n16499, n16500, n16501, n16502, n16503, n16504, n16505, n16506, n16507, n16508, n16509, n16510, n16511, n16512, n16513, n16514, n16515, n16516, n16517, n16518, n16519, n16520, n16521, n16522, n16523, n16524, n16525, n16526, n16527, n16528, n16529, n16530, n16531, n16532, n16533, n16534, n16535, n16536, n16537, n16538, n16539, n16540, n16541, n16542, n16543, n16544, n16545, n16546, n16547, n16548, n16549, n16550, n16551, n16552, n16553, n16554, n16555, n16556, n16557, n16558, n16559, n16560, n16561, n16562, n16563, n16564, n16565, n16566, n16567, n16568, n16569, n16570, n16571, n16572, n16573, n16574, n16575, n16576, n16577, n16578, n16579, n16580, n16581, n16582, n16583, n16584, n16585, n16586, n16587, n16588, n16589, n16590, n16591, n16592, n16593, n16594, n16595, n16596, n16597, n16598, n16599, n16600, n16601, n16602, n16603, n16604, n16605, n16606, n16607, n16608, n16609, n16610, n16611, n16612, n16613, n16614, n16615, n16616, n16617, n16618, n16619, n16620, n16621, n16622, n16623, n16624, n16625, n16626, n16627, n16628, n16629, n16630, n16631, n16632, n16633, n16634, n16635, n16636, n16637, n16638, n16639, n16640, n16641, n16642, n16643, n16644, n16645, n16646, n16647, n16648, n16649, n16650, n16651, n16652, n16653, n16654, n16655, n16656, n16657, n16658, n16659, n16660, n16661, n16662, n16663, n16664, n16665, n16666, n16667, n16668, n16669, n16670, n16671, n16672, n16673, n16674, n16675, n16676, n16677, n16678, n16679, n16680, n16681, n16682, n16683, n16684, n16685, n16686, n16687, n16688, n16689, n16690, n16691, n16692, n16693, n16694, n16695, n16696, n16697, n16698, n16699, n16700, n16701, n16702, n16703, n16704, n16705, n16706, n16707, n16708, n16709, n16710, n16711, n16712, n16713, n16714, n16715, n16716, n16717, n16718, n16719, n16720, n16721, n16722, n16723, n16724, n16725, n16726, n16727, n16728, n16729, n16730, n16731, n16732, n16733, n16734, n16735, n16736, n16737, n16738, n16739, n16740, n16741, n16742, n16743, n16744, n16745, n16746, n16747, n16748, n16749, n16750, n16751, n16752, n16753, n16754, n16755, n16756, n16757, n16758, n16759, n16760, n16761, n16762, n16763, n16764, n16765, n16766, n16767, n16768, n16769, n16770, n16771, n16772, n16773, n16774, n16775, n16776, n16777, n16778, n16779, n16780, n16781, n16782, n16783, n16784, n16785, n16786, n16787, n16788, n16789, n16790, n16791, n16792, n16793, n16794, n16795, n16796, n16797, n16798, n16799, n16800, n16801, n16802, n16803, n16804, n16805, n16806, n16807, n16808, n16809, n16810, n16811, n16812, n16813, n16814, n16815, n16816, n16817, n16818, n16819, n16820, n16821, n16822, n16823, n16824, n16825, n16826, n16827, n16828, n16829, n16830, n16831, n16832, n16833, n16834, n16835, n16836, n16837, n16838, n16839, n16840, n16841, n16842, n16843, n16844, n16845, n16846, n16847, n16848, n16849, n16850, n16851, n16852, n16853, n16854, n16855, n16856, n16857, n16858, n16859, n16860, n16861, n16862, n16863, n16864, n16865, n16866, n16867, n16868, n16869, n16870, n16871, n16872, n16873, n16874, n16875, n16876, n16877, n16878, n16879, n16880, n16881, n16882, n16883, n16884, n16885, n16886, n16887, n16888, n16889, n16890, n16891, n16892, n16893, n16894, n16895, n16896, n16897, n16898, n16899, n16900, n16901, n16902, n16903, n16904, n16905, n16906, n16907, n16908, n16909, n16910, n16911, n16912, n16913, n16914, n16915, n16916, n16917, n16918, n16919, n16920, n16921, n16922, n16923, n16924, n16925, n16926, n16927, n16928, n16929, n16930, n16931, n16932, n16933, n16934, n16935, n16936, n16937, n16938, n16939, n16940, n16941, n16942, n16943, n16944, n16945, n16946, n16947, n16948, n16949, n16950, n16951, n16952, n16953, n16954, n16955, n16956, n16957, n16958, n16959, n16960, n16961, n16962, n16963, n16964, n16965, n16966, n16967, n16968, n16969, n16970, n16971, n16972, n16973, n16974, n16975, n16976, n16977, n16978, n16979, n16980, n16981, n16982, n16983, n16984, n16985, n16986, n16987, n16988, n16989, n16990, n16991, n16992, n16993, n16994, n16995, n16996, n16997, n16998, n16999, n17000, n17001, n17002, n17003, n17004, n17005, n17006, n17007, n17008, n17009, n17010, n17011, n17012, n17013, n17014, n17015, n17016, n17017, n17018, n17019, n17020, n17021, n17022, n17023, n17024, n17025, n17026, n17027, n17028, n17029, n17030, n17031, n17032, n17033, n17034, n17035, n17036, n17037, n17038, n17039, n17040, n17041, n17042, n17043, n17044, n17045, n17046, n17047, n17048, n17049, n17050, n17051, n17052, n17053, n17054, n17055, n17056, n17057, n17058, n17059, n17060, n17061, n17062, n17063, n17064, n17065, n17066, n17067, n17068, n17069, n17070, n17071, n17072, n17073, n17074, n17075, n17076, n17077, n17078, n17079, n17080, n17081, n17082, n17083, n17084, n17085, n17086, n17087, n17088, n17089, n17090, n17091, n17092, n17093, n17094, n17095, n17096, n17097, n17098, n17099, n17100, n17101, n17102, n17103, n17104, n17105, n17106, n17107, n17108, n17109, n17110, n17111, n17112, n17113, n17114, n17115, n17116, n17117, n17118, n17119, n17120, n17121, n17122, n17123, n17124, n17125, n17126, n17127, n17128, n17129, n17130, n17131, n17132, n17133, n17134, n17135, n17136, n17137, n17138, n17139, n17140, n17141, n17142, n17143, n17144, n17145, n17146, n17147, n17148, n17149, n17150, n17151, n17152, n17153, n17154, n17155, n17156, n17157, n17158, n17159, n17160, n17161, n17162, n17163, n17164, n17165, n17166, n17167, n17168, n17169, n17170, n17171, n17172, n17173, n17174, n17175, n17176, n17177, n17178, n17179, n17180, n17181, n17182, n17183, n17184, n17185, n17186, n17187, n17188, n17189, n17190, n17191, n17192, n17193, n17194, n17195, n17196, n17197, n17198, n17199, n17200, n17201, n17202, n17203, n17204, n17205, n17206, n17207, n17208, n17209, n17210, n17211, n17212, n17213, n17214, n17215, n17216, n17217, n17218, n17219, n17220, n17221, n17222, n17223, n17224, n17225, n17226, n17227, n17228, n17229, n17230, n17231, n17232, n17233, n17234, n17235, n17236, n17237, n17238, n17239, n17240, n17241, n17242, n17243, n17244, n17245, n17246, n17247, n17248, n17249, n17250, n17251, n17252, n17253, n17254, n17255, n17256, n17257, n17258, n17259, n17260, n17261, n17262, n17263, n17264, n17265, n17266, n17267, n17268, n17269, n17270, n17271, n17272, n17273, n17274, n17275, n17276, n17277, n17278, n17279, n17280, n17281, n17282, n17283, n17284, n17285, n17286, n17287, n17288, n17289, n17290, n17291, n17292, n17293, n17294, n17295, n17296, n17297, n17298, n17299, n17300, n17301, n17302, n17303, n17304, n17305, n17306, n17307, n17308, n17309, n17310, n17311, n17312, n17313, n17314, n17315, n17316, n17317, n17318, n17319, n17320, n17321, n17322, n17323, n17324, n17325, n17326, n17327, n17328, n17329, n17330, n17331, n17332, n17333, n17334, n17335, n17336, n17337, n17338, n17339, n17340, n17341, n17342, n17343, n17344, n17345, n17346, n17347, n17348, n17349, n17350, n17351, n17352, n17353, n17354, n17355, n17356, n17357, n17358, n17359, n17360, n17361, n17362, n17363, n17364, n17365, n17366, n17367, n17368, n17369, n17370, n17371, n17372, n17373, n17374, n17375, n17376, n17377, n17378, n17379, n17380, n17381, n17382, n17383, n17384, n17385, n17386, n17387, n17388, n17389, n17390, n17391, n17392, n17393, n17394, n17395, n17396, n17397, n17398, n17399, n17400, n17401, n17402, n17403, n17404, n17405, n17406, n17407, n17408, n17409, n17410, n17411, n17412, n17413, n17414, n17415, n17416, n17417, n17418, n17419, n17420, n17421, n17422, n17423, n17424, n17425, n17426, n17427, n17428, n17429, n17430, n17431, n17432, n17433, n17434, n17435, n17436, n17437, n17438, n17439, n17440, n17441, n17442, n17443, n17444, n17445, n17446, n17447, n17448, n17449, n17450, n17451, n17452, n17453, n17454, n17455, n17456, n17457, n17458, n17459, n17460, n17461, n17462, n17463, n17464, n17465, n17466, n17467, n17468, n17469, n17470, n17471, n17472, n17473, n17474, n17475, n17476, n17477, n17478, n17479, n17480, n17481, n17482, n17483, n17484, n17485, n17486, n17487, n17488, n17489, n17490, n17491, n17492, n17493, n17494, n17495, n17496, n17497, n17498, n17499, n17500, n17501, n17502, n17503, n17504, n17505, n17506, n17507, n17508, n17509, n17510, n17511, n17512, n17513, n17514, n17515, n17516, n17517, n17518, n17519, n17520, n17521, n17522, n17523, n17524, n17525, n17526, n17527, n17528, n17529, n17530, n17531, n17532, n17533, n17534, n17535, n17536, n17537, n17538, n17539, n17540, n17541, n17542, n17543, n17544, n17545, n17546, n17547, n17548, n17549, n17550, n17551, n17552, n17553, n17554, n17555, n17556, n17557, n17558, n17559, n17560, n17561, n17562, n17563, n17564, n17565, n17566, n17567, n17568, n17569, n17570, n17571, n17572, n17573, n17574, n17575, n17576, n17577, n17578, n17579, n17580, n17581, n17582, n17583, n17584, n17585, n17586, n17587, n17588, n17589, n17590, n17591, n17592, n17593, n17594, n17595, n17596, n17597, n17598, n17599, n17600, n17601, n17602, n17603, n17604, n17605, n17606, n17607, n17608, n17609, n17610, n17611, n17612, n17613, n17614, n17615, n17616, n17617, n17618, n17619, n17620, n17621, n17622, n17623, n17624, n17625, n17626, n17627, n17628, n17629, n17630, n17631, n17632, n17633, n17634, n17635, n17636, n17637, n17638, n17639, n17640, n17641, n17642, n17643, n17644, n17645, n17646, n17647, n17648, n17649, n17650, n17651, n17652, n17653, n17654, n17655, n17656, n17657, n17658, n17659, n17660, n17661, n17662, n17663, n17664, n17665, n17666, n17667, n17668, n17669, n17670, n17671, n17672, n17673, n17674, n17675, n17676, n17677, n17678, n17679, n17680, n17681, n17682, n17683, n17684, n17685, n17686, n17687, n17688, n17689, n17690, n17691, n17692, n17693, n17694, n17695, n17696, n17697, n17698, n17699, n17700, n17701, n17702, n17703, n17704, n17705, n17706, n17707, n17708, n17709, n17710, n17711, n17712, n17713, n17714, n17715, n17716, n17717, n17718, n17719, n17720, n17721, n17722, n17723, n17724, n17725, n17726, n17727, n17728, n17729, n17730, n17731, n17732, n17733, n17734, n17735, n17736, n17737, n17738, n17739, n17740, n17741, n17742, n17743, n17744, n17745, n17746, n17747, n17748, n17749, n17750, n17751, n17752, n17753, n17754, n17755, n17756, n17757, n17758, n17759, n17760, n17761, n17762, n17763, n17764, n17765, n17766, n17767, n17768, n17769, n17770, n17771, n17772, n17773, n17774, n17775, n17776, n17777, n17778, n17779, n17780, n17781, n17782, n17783, n17784, n17785, n17786, n17787, n17788, n17789, n17790, n17791, n17792, n17793, n17794, n17795, n17796, n17797, n17798, n17799, n17800, n17801, n17802, n17803, n17804, n17805, n17806, n17807, n17808, n17809, n17810, n17811, n17812, n17813, n17814, n17815, n17816, n17817, n17818, n17819, n17820, n17821, n17822, n17823, n17824, n17825, n17826, n17827, n17828, n17829, n17830, n17831, n17832, n17833, n17834, n17835, n17836, n17837, n17838, n17839, n17840, n17841, n17842, n17843, n17844, n17845, n17846, n17847, n17848, n17849, n17850, n17851, n17852, n17853, n17854, n17855, n17856, n17857, n17858, n17859, n17860, n17861, n17862, n17863, n17864, n17865, n17866, n17867, n17868, n17869, n17870, n17871, n17872, n17873, n17874, n17875, n17876, n17877, n17878, n17879, n17880, n17881, n17882, n17883, n17884, n17885, n17886, n17887, n17888, n17889, n17890, n17891, n17892, n17893, n17894, n17895, n17896, n17897, n17898, n17899, n17900, n17901, n17902, n17903, n17904, n17905, n17906, n17907, n17908, n17909, n17910, n17911, n17912, n17913, n17914, n17915, n17916, n17917, n17918, n17919, n17920, n17921, n17922, n17923, n17924, n17925, n17926, n17927, n17928, n17929, n17930, n17931, n17932, n17933, n17934, n17935, n17936, n17937, n17938, n17939, n17940, n17941, n17942, n17943, n17944, n17945, n17946, n17947, n17948, n17949, n17950, n17951, n17952, n17953, n17954, n17955, n17956, n17957, n17958, n17959, n17960, n17961, n17962, n17963, n17964, n17965, n17966, n17967, n17968, n17969, n17970, n17971, n17972, n17973, n17974, n17975, n17976, n17977, n17978, n17979, n17980, n17981, n17982, n17983, n17984, n17985, n17986, n17987, n17988, n17989, n17990, n17991, n17992, n17993, n17994, n17995, n17996, n17997, n17998, n17999, n18000, n18001, n18002, n18003, n18004, n18005, n18006, n18007, n18008, n18009, n18010, n18011, n18012, n18013, n18014, n18015, n18016, n18017, n18018, n18019, n18020, n18021, n18022, n18023, n18024, n18025, n18026, n18027, n18028, n18029, n18030, n18031, n18032, n18033, n18034, n18035, n18036, n18037, n18038, n18039, n18040, n18041, n18042, n18043, n18044, n18045, n18046, n18047, n18048, n18049, n18050, n18051, n18052, n18053, n18054, n18055, n18056, n18057, n18058, n18059, n18060, n18061, n18062, n18063, n18064, n18065, n18066, n18067, n18068, n18069, n18070, n18071, n18072, n18073, n18074, n18075, n18076, n18077, n18078, n18079, n18080, n18081, n18082, n18083, n18084, n18085, n18086, n18087, n18088, n18089, n18090, n18091, n18092, n18093, n18094, n18095, n18096, n18097, n18098, n18099, n18100, n18101, n18102, n18103, n18104, n18105, n18106, n18107, n18108, n18109, n18110, n18111, n18112, n18113, n18114, n18115, n18116, n18117, n18118, n18119, n18120, n18121, n18122, n18123, n18124, n18125, n18126, n18127, n18128, n18129, n18130, n18131, n18132, n18133, n18134, n18135, n18136, n18137, n18138, n18139, n18140, n18141, n18142, n18143, n18144, n18145, n18146, n18147, n18148, n18149, n18150, n18151, n18152, n18153, n18154, n18155, n18156, n18157, n18158, n18159, n18160, n18161, n18162, n18163, n18164, n18165, n18166, n18167, n18168, n18169, n18170, n18171, n18172, n18173, n18174, n18175, n18176, n18177, n18178, n18179, n18180, n18181, n18182, n18183, n18184, n18185, n18186, n18187, n18188, n18189, n18190, n18191, n18192, n18193, n18194, n18195, n18196, n18197, n18198, n18199, n18200, n18201, n18202, n18203, n18204, n18205, n18206, n18207, n18208, n18209, n18210, n18211, n18212, n18213, n18214, n18215, n18216, n18217, n18218, n18219, n18220, n18221, n18222, n18223, n18224, n18225, n18226, n18227, n18228, n18229, n18230, n18231, n18232, n18233, n18234, n18235, n18236, n18237, n18238, n18239, n18240, n18241, n18242, n18243, n18244, n18245, n18246, n18247, n18248, n18249, n18250, n18251, n18252, n18253, n18254, n18255, n18256, n18257, n18258, n18259, n18260, n18261, n18262, n18263, n18264, n18265, n18266, n18267, n18268, n18269, n18270, n18271, n18272, n18273, n18274, n18275, n18276, n18277, n18278, n18279, n18280, n18281, n18282, n18283, n18284, n18285, n18286, n18287, n18288, n18289, n18290, n18291, n18292, n18293, n18294, n18295, n18296, n18297, n18298, n18299, n18300, n18301, n18302, n18303, n18304, n18305, n18306, n18307, n18308, n18309, n18310, n18311, n18312, n18313, n18314, n18315, n18316, n18317, n18318, n18319, n18320, n18321, n18322, n18323, n18324, n18325, n18326, n18327, n18328, n18329, n18330, n18331, n18332, n18333, n18334, n18335, n18336, n18337, n18338, n18339, n18340, n18341, n18342, n18343, n18344, n18345, n18346, n18347, n18348, n18349, n18350, n18351, n18352, n18353, n18354, n18355, n18356, n18357, n18358, n18359, n18360, n18361, n18362, n18363, n18364, n18365, n18366, n18367, n18368, n18369, n18370, n18371, n18372, n18373, n18374, n18375, n18376, n18377, n18378, n18379, n18380, n18381, n18382, n18383, n18384, n18385, n18386, n18387, n18388, n18389, n18390, n18391, n18392, n18393, n18394, n18395, n18396, n18397, n18398, n18399, n18400, n18401, n18402, n18403, n18404, n18405, n18406, n18407, n18408, n18409, n18410, n18411, n18412, n18413, n18414, n18415, n18416, n18417, n18418, n18419, n18420, n18421, n18422, n18423, n18424, n18425, n18426, n18427, n18428, n18429, n18430, n18431, n18432, n18433, n18434, n18435, n18436, n18437, n18438, n18439, n18440, n18441, n18442, n18443, n18444, n18445, n18446, n18447, n18448, n18449, n18450, n18451, n18452, n18453, n18454, n18455, n18456, n18457, n18458, n18459, n18460, n18461, n18462, n18463, n18464, n18465, n18466, n18467, n18468, n18469, n18470, n18471, n18472, n18473, n18474, n18475, n18476, n18477, n18478, n18479, n18480, n18481, n18482, n18483, n18484, n18485, n18486, n18487, n18488, n18489, n18490, n18491, n18492, n18493, n18494, n18495, n18496, n18497, n18498, n18499, n18500, n18501, n18502, n18503, n18504, n18505, n18506, n18507, n18508, n18509, n18510, n18511, n18512, n18513, n18514, n18515, n18516, n18517, n18518, n18519, n18520, n18521, n18522, n18523, n18524, n18525, n18526, n18527, n18528, n18529, n18530, n18531, n18532, n18533, n18534, n18535, n18536, n18537, n18538, n18539, n18540, n18541, n18542, n18543, n18544, n18545, n18546, n18547, n18548, n18549, n18550, n18551, n18552, n18553, n18554, n18555, n18556, n18557, n18558, n18559, n18560, n18561, n18562, n18563, n18564, n18565, n18566, n18567, n18568, n18569, n18570, n18571, n18572, n18573, n18574, n18575, n18576, n18577, n18578, n18579, n18580, n18581, n18582, n18583, n18584, n18585, n18586, n18587, n18588, n18589, n18590, n18591, n18592, n18593, n18594, n18595, n18596, n18597, n18598, n18599, n18600, n18601, n18602, n18603, n18604, n18605, n18606, n18607, n18608, n18609, n18610, n18611, n18612, n18613, n18614, n18615, n18616, n18617, n18618, n18619, n18620, n18621, n18622, n18623, n18624, n18625, n18626, n18627, n18628, n18629, n18630, n18631, n18632, n18633, n18634, n18635, n18636, n18637, n18638, n18639, n18640, n18641, n18642, n18643, n18644, n18645, n18646, n18647, n18648, n18649, n18650, n18651, n18652, n18653, n18654, n18655, n18656, n18657, n18658, n18659, n18660, n18661, n18662, n18663, n18664, n18665, n18666, n18667, n18668, n18669, n18670, n18671, n18672, n18673, n18674, n18675, n18676, n18677, n18678, n18679, n18680, n18681, n18682, n18683, n18684, n18685, n18686, n18687, n18688, n18689, n18690, n18691, n18692, n18693, n18694, n18695, n18696, n18697, n18698, n18699, n18700, n18701, n18702, n18703, n18704, n18705, n18706, n18707, n18708, n18709, n18710, n18711, n18712, n18713, n18714, n18715, n18716, n18717, n18718, n18719, n18720, n18721, n18722, n18723, n18724, n18725, n18726, n18727, n18728, n18729, n18730, n18731, n18732, n18733, n18734, n18735, n18736, n18737, n18738, n18739, n18740, n18741, n18742, n18743, n18744, n18745, n18746, n18747, n18748, n18749, n18750, n18751, n18752, n18753, n18754, n18755, n18756, n18757, n18758, n18759, n18760, n18761, n18762, n18763, n18764, n18765, n18766, n18767, n18768, n18769, n18770, n18771, n18772, n18773, n18774, n18775, n18776, n18777, n18778, n18779, n18780, n18781, n18782, n18783, n18784, n18785, n18786, n18787, n18788, n18789, n18790, n18791, n18792, n18793, n18794, n18795, n18796, n18797, n18798, n18799, n18800, n18801, n18802, n18803, n18804, n18805, n18806, n18807, n18808, n18809, n18810, n18811, n18812, n18813, n18814, n18815, n18816, n18817, n18818, n18819, n18820, n18821, n18822, n18823, n18824, n18825, n18826, n18827, n18828, n18829, n18830, n18831, n18832, n18833, n18834, n18835, n18836, n18837, n18838, n18839, n18840, n18841, n18842, n18843, n18844, n18845, n18846, n18847, n18848, n18849, n18850, n18851, n18852, n18853, n18854, n18855, n18856, n18857, n18858, n18859, n18860, n18861, n18862, n18863, n18864, n18865, n18866, n18867, n18868, n18869, n18870, n18871, n18872, n18873, n18874, n18875, n18876, n18877, n18878, n18879, n18880, n18881, n18882, n18883, n18884, n18885, n18886, n18887, n18888, n18889, n18890, n18891, n18892, n18893, n18894, n18895, n18896, n18897, n18898, n18899, n18900, n18901, n18902, n18903, n18904, n18905, n18906, n18907, n18908, n18909, n18910, n18911, n18912, n18913, n18914, n18915, n18916, n18917, n18918, n18919, n18920, n18921, n18922, n18923, n18924, n18925, n18926, n18927, n18928, n18929, n18930, n18931, n18932, n18933, n18934, n18935, n18936, n18937, n18938, n18939, n18940, n18941, n18942, n18943, n18944, n18945, n18946, n18947, n18948, n18949, n18950, n18951, n18952, n18953, n18954, n18955, n18956, n18957, n18958, n18959, n18960, n18961, n18962, n18963, n18964, n18965, n18966, n18967, n18968, n18969, n18970, n18971, n18972, n18973, n18974, n18975, n18976, n18977, n18978, n18979, n18980, n18981, n18982, n18983, n18984, n18985, n18986, n18987, n18988, n18989, n18990, n18991, n18992, n18993, n18994, n18995, n18996, n18997, n18998, n18999, n19000, n19001, n19002, n19003, n19004, n19005, n19006, n19007, n19008, n19009, n19010, n19011, n19012, n19013, n19014, n19015, n19016, n19017, n19018, n19019, n19020, n19021, n19022, n19023, n19024, n19025, n19026, n19027, n19028, n19029, n19030, n19031, n19032, n19033, n19034, n19035, n19036, n19037, n19038, n19039, n19040, n19041, n19042, n19043, n19044, n19045, n19046, n19047, n19048, n19049, n19050, n19051, n19052, n19053, n19054, n19055, n19056, n19057, n19058, n19059, n19060, n19061, n19062, n19063, n19064, n19065, n19066, n19067, n19068, n19069, n19070, n19071, n19072, n19073, n19074, n19075, n19076, n19077, n19078, n19079, n19080, n19081, n19082, n19083, n19084, n19085, n19086, n19087, n19088, n19089, n19090, n19091, n19092, n19093, n19094, n19095, n19096, n19097, n19098, n19099, n19100, n19101, n19102, n19103, n19104, n19105, n19106, n19107, n19108, n19109, n19110, n19111, n19112, n19113, n19114, n19115, n19116, n19117, n19118, n19119, n19120, n19121, n19122, n19123, n19124, n19125, n19126, n19127, n19128, n19129, n19130, n19131, n19132, n19133, n19134, n19135, n19136, n19137, n19138, n19139, n19140, n19141, n19142, n19143, n19144, n19145, n19146, n19147, n19148, n19149, n19150, n19151, n19152, n19153, n19154, n19155, n19156, n19157, n19158, n19159, n19160, n19161, n19162, n19163, n19164, n19165, n19166, n19167, n19168, n19169, n19170, n19171, n19172, n19173, n19174, n19175, n19176, n19177, n19178, n19179, n19180, n19181, n19182, n19183, n19184, n19185, n19186, n19187, n19188, n19189, n19190, n19191, n19192, n19193, n19194, n19195, n19196, n19197, n19198, n19199, n19200, n19201, n19202, n19203, n19204, n19205, n19206, n19207, n19208, n19209, n19210, n19211, n19212, n19213, n19214, n19215, n19216, n19217, n19218, n19219, n19220, n19221, n19222, n19223, n19224, n19225, n19226, n19227, n19228, n19229, n19230, n19231, n19232, n19233, n19234, n19235, n19236, n19237, n19238, n19239, n19240, n19241, n19242, n19243, n19244, n19245, n19246, n19247, n19248, n19249, n19250, n19251, n19252, n19253, n19254, n19255, n19256, n19257, n19258, n19259, n19260, n19261, n19262, n19263, n19264, n19265, n19266, n19267, n19268, n19269, n19270, n19271, n19272, n19273, n19274, n19275, n19276, n19277, n19278, n19279, n19280, n19281, n19282, n19283, n19284, n19285, n19286, n19287, n19288, n19289, n19290, n19291, n19292, n19293, n19294, n19295, n19296, n19297, n19298, n19299, n19300, n19301, n19302, n19303, n19304, n19305, n19306, n19307, n19308, n19309, n19310, n19311, n19312, n19313, n19314, n19315, n19316, n19317, n19318, n19319, n19320, n19321, n19322, n19323, n19324, n19325, n19326, n19327, n19328, n19329, n19330, n19331, n19332, n19333, n19334, n19335, n19336, n19337, n19338, n19339, n19340, n19341, n19342, n19343, n19344, n19345, n19346, n19347, n19348, n19349, n19350, n19351, n19352, n19353, n19354, n19355, n19356, n19357, n19358, n19359, n19360, n19361, n19362, n19363, n19364, n19365, n19366, n19367, n19368, n19369, n19370, n19371, n19372, n19373, n19374, n19375, n19376, n19377, n19378, n19379, n19380, n19381, n19382, n19383, n19384, n19385, n19386, n19387, n19388, n19389, n19390, n19391, n19392, n19393, n19394, n19395, n19396, n19397, n19398, n19399, n19400, n19401, n19402, n19403, n19404, n19405, n19406, n19407, n19408, n19409, n19410, n19411, n19412, n19413, n19414, n19415, n19416, n19417, n19418, n19419, n19420, n19421, n19422, n19423, n19424, n19425, n19426, n19427, n19428, n19429, n19430, n19431, n19432, n19433, n19434, n19435, n19436, n19437, n19438, n19439, n19440, n19441, n19442, n19443, n19444, n19445, n19446, n19447, n19448, n19449, n19450, n19451, n19452, n19453, n19454, n19455, n19456, n19457, n19458, n19459, n19460, n19461, n19462, n19463, n19464, n19465, n19466, n19467, n19468, n19469, n19470, n19471, n19472, n19473, n19474, n19475, n19476, n19477, n19478, n19479, n19480, n19481, n19482, n19483, n19484, n19485, n19486, n19487, n19488, n19489, n19490, n19491, n19492, n19493, n19494, n19495, n19496, n19497, n19498, n19499, n19500, n19501, n19502, n19503, n19504, n19505, n19506, n19507, n19508, n19509, n19510, n19511, n19512, n19513, n19514, n19515, n19516, n19517, n19518, n19519, n19520, n19521, n19522, n19523, n19524, n19525, n19526, n19527, n19528, n19529, n19530, n19531, n19532, n19533, n19534, n19535, n19536, n19537, n19538, n19539, n19540, n19541, n19542, n19543, n19544, n19545, n19546, n19547, n19548, n19549, n19550, n19551, n19552, n19553, n19554, n19555, n19556, n19557, n19558, n19559, n19560, n19561, n19562, n19563, n19564, n19565, n19566, n19567, n19568, n19569, n19570, n19571, n19572, n19573, n19574, n19575, n19576, n19577, n19578, n19579, n19580, n19581, n19582, n19583, n19584, n19585, n19586, n19587, n19588, n19589, n19590, n19591, n19592, n19593, n19594, n19595, n19596, n19597, n19598, n19599, n19600, n19601, n19602, n19603, n19604, n19605, n19606, n19607, n19608, n19609, n19610, n19611, n19612, n19613, n19614, n19615, n19616, n19617, n19618, n19619, n19620, n19621, n19622, n19623, n19624, n19625, n19626, n19627, n19628, n19629, n19630, n19631, n19632, n19633, n19634, n19635, n19636, n19637, n19638, n19639, n19640, n19641, n19642, n19643, n19644, n19645, n19646, n19647, n19648, n19649, n19650, n19651, n19652, n19653, n19654, n19655, n19656, n19657, n19658, n19659, n19660, n19661, n19662, n19663, n19664, n19665, n19666, n19667, n19668, n19669, n19670, n19671, n19672, n19673, n19674, n19675, n19676, n19677, n19678, n19679, n19680, n19681, n19682, n19683, n19684, n19685, n19686, n19687, n19688, n19689, n19690, n19691, n19692, n19693, n19694, n19695, n19696, n19697, n19698, n19699, n19700, n19701, n19702, n19703, n19704, n19705, n19706, n19707, n19708, n19709, n19710, n19711, n19712, n19713, n19714, n19715, n19716, n19717, n19718, n19719, n19720, n19721, n19722, n19723, n19724, n19725, n19726, n19727, n19728, n19729, n19730, n19731, n19732, n19733, n19734, n19735, n19736, n19737, n19738, n19739, n19740, n19741, n19742, n19743, n19744, n19745, n19746, n19747, n19748, n19749, n19750, n19751, n19752, n19753, n19754, n19755, n19756, n19757, n19758, n19759, n19760, n19761, n19762, n19763, n19764, n19765, n19766, n19767, n19768, n19769, n19770, n19771, n19772, n19773, n19774, n19775, n19776, n19777, n19778, n19779, n19780, n19781, n19782, n19783, n19784, n19785, n19786, n19787, n19788, n19789, n19790, n19791, n19792, n19793, n19794, n19795, n19796, n19797, n19798, n19799, n19800, n19801, n19802, n19803, n19804, n19805, n19806, n19807, n19808, n19809, n19810, n19811, n19812, n19813, n19814, n19815, n19816, n19817, n19818, n19819, n19820, n19821, n19822, n19823, n19824, n19825, n19826, n19827, n19828, n19829, n19830, n19831, n19832, n19833, n19834, n19835, n19836, n19837, n19838, n19839, n19840, n19841, n19842, n19843, n19844, n19845, n19846, n19847, n19848, n19849, n19850, n19851, n19852, n19853, n19854, n19855, n19856, n19857, n19858, n19859, n19860, n19861, n19862, n19863, n19864, n19865, n19866, n19867, n19868, n19869, n19870, n19871, n19872, n19873, n19874, n19875, n19876, n19877, n19878, n19879, n19880, n19881, n19882, n19883, n19884, n19885, n19886, n19887, n19888, n19889, n19890, n19891, n19892, n19893, n19894, n19895, n19896, n19897, n19898, n19899, n19900, n19901, n19902, n19903, n19904, n19905, n19906, n19907, n19908, n19909, n19910, n19911, n19912, n19913, n19914, n19915, n19916, n19917, n19918, n19919, n19920, n19921, n19922, n19923, n19924, n19925, n19926, n19927, n19928, n19929, n19930, n19931, n19932, n19933, n19934, n19935, n19936, n19937, n19938, n19939, n19940, n19941, n19942, n19943, n19944, n19945, n19946, n19947, n19948, n19949, n19950, n19951, n19952, n19953, n19954, n19955, n19956, n19957, n19958, n19959, n19960, n19961, n19962, n19963, n19964, n19965, n19966, n19967, n19968, n19969, n19970, n19971, n19972, n19973, n19974, n19975, n19976, n19977, n19978, n19979, n19980, n19981, n19982, n19983, n19984, n19985, n19986, n19987, n19988, n19989, n19990, n19991, n19992, n19993, n19994, n19995, n19996, n19997, n19998, n19999, n20000, n20001, n20002, n20003, n20004, n20005, n20006, n20007, n20008, n20009, n20010, n20011, n20012, n20013, n20014, n20015, n20016, n20017, n20018, n20019, n20020, n20021, n20022, n20023, n20024, n20025, n20026, n20027, n20028, n20029, n20030, n20031, n20032, n20033, n20034, n20035, n20036, n20037, n20038, n20039, n20040, n20041, n20042, n20043, n20044, n20045, n20046, n20047, n20048, n20049, n20050, n20051, n20052, n20053, n20054, n20055, n20056, n20057, n20058, n20059, n20060, n20061, n20062, n20063, n20064, n20065, n20066, n20067, n20068, n20069, n20070, n20071, n20072, n20073, n20074, n20075, n20076, n20077, n20078, n20079, n20080, n20081, n20082, n20083, n20084, n20085, n20086, n20087, n20088, n20089, n20090, n20091, n20092, n20093, n20094, n20095, n20096, n20097, n20098, n20099, n20100, n20101, n20102, n20103, n20104, n20105, n20106, n20107, n20108, n20109, n20110, n20111, n20112, n20113, n20114, n20115, n20116, n20117, n20118, n20119, n20120, n20121, n20122, n20123, n20124, n20125, n20126, n20127, n20128, n20129, n20130, n20131, n20132, n20133, n20134, n20135, n20136, n20137, n20138, n20139, n20140, n20141, n20142, n20143, n20144, n20145, n20146, n20147, n20148, n20149, n20150, n20151, n20152, n20153, n20154, n20155, n20156, n20157, n20158, n20159, n20160, n20161, n20162, n20163, n20164, n20165, n20166, n20167, n20168, n20169, n20170, n20171, n20172, n20173, n20174, n20175, n20176, n20177, n20178, n20179, n20180, n20181, n20182, n20183, n20184, n20185, n20186, n20187, n20188, n20189, n20190, n20191, n20192, n20193, n20194, n20195, n20196, n20197, n20198, n20199, n20200, n20201, n20202, n20203, n20204, n20205, n20206, n20207, n20208, n20209, n20210, n20211, n20212, n20213, n20214, n20215, n20216, n20217, n20218, n20219, n20220, n20221, n20222, n20223, n20224, n20225, n20226, n20227, n20228, n20229, n20230, n20231, n20232, n20233, n20234, n20235, n20236, n20237, n20238, n20239, n20240, n20241, n20242, n20243, n20244, n20245, n20246, n20247, n20248, n20249, n20250, n20251, n20252, n20253, n20254, n20255, n20256, n20257, n20258, n20259, n20260, n20261, n20262, n20263, n20264, n20265, n20266, n20267, n20268, n20269, n20270, n20271, n20272, n20273, n20274, n20275, n20276, n20277, n20278, n20279, n20280, n20281, n20282, n20283, n20284, n20285, n20286, n20287, n20288, n20289, n20290, n20291, n20292, n20293, n20294, n20295, n20296, n20297, n20298, n20299, n20300, n20301, n20302, n20303, n20304, n20305, n20306, n20307, n20308, n20309, n20310, n20311, n20312, n20313, n20314, n20315, n20316, n20317, n20318, n20319, n20320, n20321, n20322, n20323, n20324, n20325, n20326, n20327, n20328, n20329, n20330, n20331, n20332, n20333, n20334, n20335, n20336, n20337, n20338, n20339, n20340, n20341, n20342, n20343, n20344, n20345, n20346, n20347, n20348, n20349, n20350, n20351, n20352, n20353, n20354, n20355, n20356, n20357, n20358, n20359, n20360, n20361, n20362, n20363, n20364, n20365, n20366, n20367, n20368, n20369, n20370, n20371, n20372, n20373, n20374, n20375, n20376, n20377, n20378, n20379, n20380, n20381, n20382, n20383, n20384, n20385, n20386, n20387, n20388, n20389, n20390, n20391, n20392, n20393, n20394, n20395, n20396, n20397, n20398, n20399, n20400, n20401, n20402, n20403, n20404, n20405, n20406, n20407, n20408, n20409, n20410, n20411, n20412, n20413, n20414, n20415, n20416, n20417, n20418, n20419, n20420, n20421, n20422, n20423, n20424, n20425, n20426, n20427, n20428, n20429, n20430, n20431, n20432, n20433, n20434, n20435, n20436, n20437, n20438, n20439, n20440, n20441, n20442, n20443, n20444, n20445, n20446, n20447, n20448, n20449, n20450, n20451, n20452, n20453, n20454, n20455, n20456, n20457, n20458, n20459, n20460, n20461, n20462, n20463, n20464, n20465, n20466, n20467, n20468, n20469, n20470, n20471, n20472, n20473, n20474, n20475, n20476, n20477, n20478, n20479, n20480, n20481, n20482, n20483, n20484, n20485, n20486, n20487, n20488, n20489, n20490, n20491, n20492, n20493, n20494, n20495, n20496, n20497, n20498, n20499, n20500, n20501, n20502, n20503, n20504, n20505, n20506, n20507, n20508, n20509, n20510, n20511, n20512, n20513, n20514, n20515, n20516, n20517, n20518, n20519, n20520, n20521, n20522, n20523, n20524, n20525, n20526, n20527, n20528, n20529, n20530, n20531, n20532, n20533, n20534, n20535, n20536, n20537, n20538, n20539, n20540, n20541, n20542, n20543, n20544, n20545, n20546, n20547, n20548, n20549, n20550, n20551, n20552, n20553, n20554, n20555, n20556, n20557, n20558, n20559, n20560, n20561, n20562, n20563, n20564, n20565, n20566, n20567, n20568, n20569, n20570, n20571, n20572, n20573, n20574, n20575, n20576, n20577, n20578, n20579, n20580, n20581, n20582, n20583, n20584, n20585, n20586, n20587, n20588, n20589, n20590, n20591, n20592, n20593, n20594, n20595, n20596, n20597, n20598, n20599, n20600, n20601, n20602, n20603, n20604, n20605, n20606, n20607, n20608, n20609, n20610, n20611, n20612, n20613, n20614, n20615, n20616, n20617, n20618, n20619, n20620, n20621, n20622, n20623, n20624, n20625, n20626, n20627, n20628, n20629, n20630, n20631, n20632, n20633, n20634, n20635, n20636, n20637, n20638, n20639, n20640, n20641, n20642, n20643, n20644, n20645, n20646, n20647, n20648, n20649, n20650, n20651, n20652, n20653, n20654, n20655, n20656, n20657, n20658, n20659, n20660, n20661, n20662, n20663, n20664, n20665, n20666, n20667, n20668, n20669, n20670, n20671, n20672, n20673, n20674, n20675, n20676, n20677, n20678, n20679, n20680, n20681, n20682, n20683, n20684, n20685, n20686, n20687, n20688, n20689, n20690, n20691, n20692, n20693, n20694, n20695, n20696, n20697, n20698, n20699, n20700, n20701, n20702, n20703, n20704, n20705, n20706, n20707, n20708, n20709, n20710, n20711, n20712, n20713, n20714, n20715, n20716, n20717, n20718, n20719, n20720, n20721, n20722, n20723, n20724, n20725, n20726, n20727, n20728, n20729, n20730, n20731, n20732, n20733, n20734, n20735, n20736, n20737, n20738, n20739, n20740, n20741, n20742, n20743, n20744, n20745, n20746, n20747, n20748, n20749, n20750, n20751, n20752, n20753, n20754, n20755, n20756, n20757, n20758, n20759, n20760, n20761, n20762, n20763, n20764, n20765, n20766, n20767, n20768, n20769, n20770, n20771, n20772, n20773, n20774, n20775, n20776, n20777, n20778, n20779, n20780, n20781, n20782, n20783, n20784, n20785, n20786, n20787, n20788, n20789, n20790, n20791, n20792, n20793, n20794, n20795, n20796, n20797, n20798, n20799, n20800, n20801, n20802, n20803, n20804, n20805, n20806, n20807, n20808, n20809, n20810, n20811, n20812, n20813, n20814, n20815, n20816, n20817, n20818, n20819, n20820, n20821, n20822, n20823, n20824, n20825, n20826, n20827, n20828, n20829, n20830, n20831, n20832, n20833, n20834, n20835, n20836, n20837, n20838, n20839, n20840, n20841, n20842, n20843, n20844, n20845, n20846, n20847, n20848, n20849, n20850, n20851, n20852, n20853, n20854, n20855, n20856, n20857, n20858, n20859, n20860, n20861, n20862, n20863, n20864, n20865, n20866, n20867, n20868, n20869, n20870, n20871, n20872, n20873, n20874, n20875, n20876, n20877, n20878, n20879, n20880, n20881, n20882, n20883, n20884, n20885, n20886, n20887, n20888, n20889, n20890, n20891, n20892, n20893, n20894, n20895, n20896, n20897, n20898, n20899, n20900, n20901, n20902, n20903, n20904, n20905, n20906, n20907, n20908, n20909, n20910, n20911, n20912, n20913, n20914, n20915, n20916, n20917, n20918, n20919, n20920, n20921, n20922, n20923, n20924, n20925, n20926, n20927, n20928, n20929, n20930, n20931, n20932, n20933, n20934, n20935, n20936, n20937, n20938, n20939, n20940, n20941, n20942, n20943, n20944, n20945, n20946, n20947, n20948, n20949, n20950, n20951, n20952, n20953, n20954, n20955, n20956, n20957, n20958, n20959, n20960, n20961, n20962, n20963, n20964, n20965, n20966, n20967, n20968, n20969, n20970, n20971, n20972, n20973, n20974, n20975, n20976, n20977, n20978, n20979, n20980, n20981, n20982, n20983, n20984, n20985, n20986, n20987, n20988, n20989, n20990, n20991, n20992, n20993, n20994, n20995, n20996, n20997, n20998, n20999, n21000, n21001, n21002, n21003, n21004, n21005, n21006, n21007, n21008, n21009, n21010, n21011, n21012, n21013, n21014, n21015, n21016, n21017, n21018, n21019, n21020, n21021, n21022, n21023, n21024, n21025, n21026, n21027, n21028, n21029, n21030, n21031, n21032, n21033, n21034, n21035, n21036, n21037, n21038, n21039, n21040, n21041, n21042, n21043, n21044, n21045, n21046, n21047, n21048, n21049, n21050, n21051, n21052, n21053, n21054, n21055, n21056, n21057, n21058, n21059, n21060, n21061, n21062, n21063, n21064, n21065, n21066, n21067, n21068, n21069, n21070, n21071, n21072, n21073, n21074, n21075, n21076, n21077, n21078, n21079, n21080, n21081, n21082, n21083, n21084, n21085, n21086, n21087, n21088, n21089, n21090, n21091, n21092, n21093, n21094, n21095, n21096, n21097, n21098, n21099, n21100, n21101, n21102, n21103, n21104, n21105, n21106, n21107, n21108, n21109, n21110, n21111, n21112, n21113, n21114, n21115, n21116, n21117, n21118, n21119, n21120, n21121, n21122, n21123, n21124, n21125, n21126, n21127, n21128, n21129, n21130, n21131, n21132, n21133, n21134, n21135, n21136, n21137, n21138, n21139, n21140, n21141, n21142, n21143, n21144, n21145, n21146, n21147, n21148, n21149, n21150, n21151, n21152, n21153, n21154, n21155, n21156, n21157, n21158, n21159, n21160, n21161, n21162, n21163, n21164, n21165, n21166, n21167, n21168, n21169, n21170, n21171, n21172, n21173, n21174, n21175, n21176, n21177, n21178, n21179, n21180, n21181, n21182, n21183, n21184, n21185, n21186, n21187, n21188, n21189, n21190, n21191, n21192, n21193, n21194, n21195, n21196, n21197, n21198, n21199, n21200, n21201, n21202, n21203, n21204, n21205, n21206, n21207, n21208, n21209, n21210, n21211, n21212, n21213, n21214, n21215, n21216, n21217, n21218, n21219, n21220, n21221, n21222, n21223, n21224, n21225, n21226, n21227, n21228, n21229, n21230, n21231, n21232, n21233, n21234, n21235, n21236, n21237, n21238, n21239, n21240, n21241, n21242, n21243, n21244, n21245, n21246, n21247, n21248, n21249, n21250, n21251, n21252, n21253, n21254, n21255, n21256, n21257, n21258, n21259, n21260, n21261, n21262, n21263, n21264, n21265, n21266, n21267, n21268, n21269, n21270, n21271, n21272, n21273, n21274, n21275, n21276, n21277, n21278, n21279, n21280, n21281, n21282, n21283, n21284, n21285, n21286, n21287, n21288, n21289, n21290, n21291, n21292, n21293, n21294, n21295, n21296, n21297, n21298, n21299, n21300, n21301, n21302, n21303, n21304, n21305, n21306, n21307, n21308, n21309, n21310, n21311, n21312, n21313, n21314, n21315, n21316, n21317, n21318, n21319, n21320, n21321, n21322, n21323, n21324, n21325, n21326, n21327, n21328, n21329, n21330, n21331, n21332, n21333, n21334, n21335, n21336, n21337, n21338, n21339, n21340, n21341, n21342, n21343, n21344, n21345, n21346, n21347, n21348, n21349, n21350, n21351, n21352, n21353, n21354, n21355, n21356, n21357, n21358, n21359, n21360, n21361, n21362, n21363, n21364, n21365, n21366, n21367, n21368, n21369, n21370, n21371, n21372, n21373, n21374, n21375, n21376, n21377, n21378, n21379, n21380, n21381, n21382, n21383, n21384, n21385, n21386, n21387, n21388, n21389, n21390, n21391, n21392, n21393, n21394, n21395, n21396, n21397, n21398, n21399, n21400, n21401, n21402, n21403, n21404, n21405, n21406, n21407, n21408, n21409, n21410, n21411, n21412, n21413, n21414, n21415, n21416, n21417, n21418, n21419, n21420, n21421, n21422, n21423, n21424, n21425, n21426, n21427, n21428, n21429, n21430, n21431, n21432, n21433, n21434, n21435, n21436, n21437, n21438, n21439, n21440, n21441, n21442, n21443, n21444, n21445, n21446, n21447, n21448, n21449, n21450, n21451, n21452, n21453, n21454, n21455, n21456, n21457, n21458, n21459, n21460, n21461, n21462, n21463, n21464, n21465, n21466, n21467, n21468, n21469, n21470, n21471, n21472, n21473, n21474, n21475, n21476, n21477, n21478, n21479, n21480, n21481, n21482, n21483, n21484, n21485, n21486, n21487, n21488, n21489, n21490, n21491, n21492, n21493, n21494, n21495, n21496, n21497, n21498, n21499, n21500, n21501, n21502, n21503, n21504, n21505, n21506, n21507, n21508, n21509, n21510, n21511, n21512, n21513, n21514, n21515, n21516, n21517, n21518, n21519, n21520, n21521, n21522, n21523, n21524, n21525, n21526, n21527, n21528, n21529, n21530, n21531, n21532, n21533, n21534, n21535, n21536, n21537, n21538, n21539, n21540, n21541, n21542, n21543, n21544, n21545, n21546, n21547, n21548, n21549, n21550, n21551, n21552, n21553, n21554, n21555, n21556, n21557, n21558, n21559, n21560, n21561, n21562, n21563, n21564, n21565, n21566, n21567, n21568, n21569, n21570, n21571, n21572, n21573, n21574, n21575, n21576, n21577, n21578, n21579, n21580, n21581, n21582, n21583, n21584, n21585, n21586, n21587, n21588, n21589, n21590, n21591, n21592, n21593, n21594, n21595, n21596, n21597, n21598, n21599, n21600, n21601, n21602, n21603, n21604, n21605, n21606, n21607, n21608, n21609, n21610, n21611, n21612, n21613, n21614, n21615, n21616, n21617, n21618, n21619, n21620, n21621, n21622, n21623, n21624, n21625, n21626, n21627, n21628, n21629, n21630, n21631, n21632, n21633, n21634, n21635, n21636, n21637, n21638, n21639, n21640, n21641, n21642, n21643, n21644, n21645, n21646, n21647, n21648, n21649, n21650, n21651, n21652, n21653, n21654, n21655, n21656, n21657, n21658, n21659, n21660, n21661, n21662, n21663, n21664, n21665, n21666, n21667, n21668, n21669, n21670, n21671, n21672, n21673, n21674, n21675, n21676, n21677, n21678, n21679, n21680, n21681, n21682, n21683, n21684, n21685, n21686, n21687, n21688, n21689, n21690, n21691, n21692, n21693, n21694, n21695, n21696, n21697, n21698, n21699, n21700, n21701, n21702, n21703, n21704, n21705, n21706, n21707, n21708, n21709, n21710, n21711, n21712, n21713, n21714, n21715, n21716, n21717, n21718, n21719, n21720, n21721, n21722, n21723, n21724, n21725, n21726, n21727, n21728, n21729, n21730, n21731, n21732, n21733, n21734, n21735, n21736, n21737, n21738, n21739, n21740, n21741, n21742, n21743, n21744, n21745, n21746, n21747, n21748, n21749, n21750, n21751, n21752, n21753, n21754, n21755, n21756, n21757, n21758, n21759, n21760, n21761, n21762, n21763, n21764, n21765, n21766, n21767, n21768, n21769, n21770, n21771, n21772, n21773, n21774, n21775, n21776, n21777, n21778, n21779, n21780, n21781, n21782, n21783, n21784, n21785, n21786, n21787, n21788, n21789, n21790, n21791, n21792, n21793, n21794, n21795, n21796, n21797, n21798, n21799, n21800, n21801, n21802, n21803, n21804, n21805, n21806, n21807, n21808, n21809, n21810, n21811, n21812, n21813, n21814, n21815, n21816, n21817, n21818, n21819, n21820, n21821, n21822, n21823, n21824, n21825, n21826, n21827, n21828, n21829, n21830, n21831, n21832, n21833, n21834, n21835, n21836, n21837, n21838, n21839, n21840, n21841, n21842, n21843, n21844, n21845, n21846, n21847, n21848, n21849, n21850, n21851, n21852, n21853, n21854, n21855, n21856, n21857, n21858, n21859, n21860, n21861, n21862, n21863, n21864, n21865, n21866, n21867, n21868, n21869, n21870, n21871, n21872, n21873, n21874, n21875, n21876, n21877, n21878, n21879, n21880, n21881, n21882, n21883, n21884, n21885, n21886, n21887, n21888, n21889, n21890, n21891, n21892, n21893, n21894, n21895, n21896, n21897, n21898, n21899, n21900, n21901, n21902, n21903, n21904, n21905, n21906, n21907, n21908, n21909, n21910, n21911, n21912, n21913, n21914, n21915, n21916, n21917, n21918, n21919, n21920, n21921, n21922, n21923, n21924, n21925, n21926, n21927, n21928, n21929, n21930, n21931, n21932, n21933, n21934, n21935, n21936, n21937, n21938, n21939, n21940, n21941, n21942, n21943, n21944, n21945, n21946, n21947, n21948, n21949, n21950, n21951, n21952, n21953, n21954, n21955, n21956, n21957, n21958, n21959, n21960, n21961, n21962, n21963, n21964, n21965, n21966, n21967, n21968, n21969, n21970, n21971, n21972, n21973, n21974, n21975, n21976, n21977, n21978, n21979, n21980, n21981, n21982, n21983, n21984, n21985, n21986, n21987, n21988, n21989, n21990, n21991, n21992, n21993, n21994, n21995, n21996, n21997, n21998, n21999, n22000, n22001, n22002, n22003, n22004, n22005, n22006, n22007, n22008, n22009, n22010, n22011, n22012, n22013, n22014, n22015, n22016, n22017, n22018, n22019, n22020, n22021, n22022, n22023, n22024, n22025, n22026, n22027, n22028, n22029, n22030, n22031, n22032, n22033, n22034, n22035, n22036, n22037, n22038, n22039, n22040, n22041, n22042, n22043, n22044, n22045, n22046, n22047, n22048, n22049, n22050, n22051, n22052, n22053, n22054, n22055, n22056, n22057, n22058, n22059, n22060, n22061, n22062, n22063, n22064, n22065, n22066, n22067, n22068, n22069, n22070, n22071, n22072, n22073, n22074, n22075, n22076, n22077, n22078, n22079, n22080, n22081, n22082, n22083, n22084, n22085, n22086, n22087, n22088, n22089, n22090, n22091, n22092, n22093, n22094, n22095, n22096, n22097, n22098, n22099, n22100, n22101, n22102, n22103, n22104, n22105, n22106, n22107, n22108, n22109, n22110, n22111, n22112, n22113, n22114, n22115, n22116, n22117, n22118, n22119, n22120, n22121, n22122, n22123, n22124, n22125, n22126, n22127, n22128, n22129, n22130, n22131, n22132, n22133, n22134, n22135, n22136, n22137, n22138, n22139, n22140, n22141, n22142, n22143, n22144, n22145, n22146, n22147, n22148, n22149, n22150, n22151, n22152, n22153, n22154, n22155, n22156, n22157, n22158, n22159, n22160, n22161, n22162, n22163, n22164, n22165, n22166, n22167, n22168, n22169, n22170, n22171, n22172, n22173, n22174, n22175, n22176, n22177, n22178, n22179, n22180, n22181, n22182, n22183, n22184, n22185, n22186, n22187, n22188, n22189, n22190, n22191, n22192, n22193, n22194, n22195, n22196, n22197, n22198, n22199, n22200, n22201, n22202, n22203, n22204, n22205, n22206, n22207, n22208, n22209, n22210, n22211, n22212, n22213, n22214, n22215, n22216, n22217, n22218, n22219, n22220, n22221, n22222, n22223, n22224, n22225, n22226, n22227, n22228, n22229, n22230, n22231, n22232, n22233, n22234, n22235, n22236, n22237, n22238, n22239, n22240, n22241, n22242, n22243, n22244, n22245, n22246, n22247, n22248, n22249, n22250, n22251, n22252, n22253, n22254, n22255, n22256, n22257, n22258, n22259, n22260, n22261, n22262, n22263, n22264, n22265, n22266, n22267, n22268, n22269, n22270, n22271, n22272, n22273, n22274, n22275, n22276, n22277, n22278, n22279, n22280, n22281, n22282, n22283, n22284, n22285, n22286, n22287, n22288, n22289, n22290, n22291, n22292, n22293, n22294, n22295, n22296, n22297, n22298, n22299, n22300, n22301, n22302, n22303, n22304, n22305, n22306, n22307, n22308, n22309, n22310, n22311, n22312, n22313, n22314, n22315, n22316, n22317, n22318, n22319, n22320, n22321, n22322, n22323, n22324, n22325, n22326, n22327, n22328, n22329, n22330, n22331, n22332, n22333, n22334, n22335, n22336, n22337, n22338, n22339, n22340, n22341, n22342, n22343, n22344, n22345, n22346, n22347, n22348, n22349, n22350, n22351, n22352, n22353, n22354, n22355, n22356, n22357, n22358, n22359, n22360, n22361, n22362, n22363, n22364, n22365, n22366, n22367, n22368, n22369, n22370, n22371, n22372, n22373, n22374, n22375, n22376, n22377, n22378, n22379, n22380, n22381, n22382, n22383, n22384, n22385, n22386, n22387, n22388, n22389, n22390, n22391, n22392, n22393, n22394, n22395, n22396, n22397, n22398, n22399, n22400, n22401, n22402, n22403, n22404, n22405, n22406, n22407, n22408, n22409, n22410, n22411, n22412, n22413, n22414, n22415, n22416, n22417, n22418, n22419, n22420, n22421, n22422, n22423, n22424, n22425, n22426, n22427, n22428, n22429, n22430, n22431, n22432, n22433, n22434, n22435, n22436, n22437, n22438, n22439, n22440, n22441, n22442, n22443, n22444, n22445, n22446, n22447, n22448, n22449, n22450, n22451, n22452, n22453, n22454, n22455, n22456, n22457, n22458, n22459, n22460, n22461, n22462, n22463, n22464, n22465, n22466, n22467, n22468, n22469, n22470, n22471, n22472, n22473, n22474, n22475, n22476, n22477, n22478, n22479, n22480, n22481, n22482, n22483, n22484, n22485, n22486, n22487, n22488, n22489, n22490, n22491, n22492, n22493, n22494, n22495, n22496, n22497, n22498, n22499, n22500, n22501, n22502, n22503, n22504, n22505, n22506, n22507, n22508, n22509, n22510, n22511, n22512, n22513, n22514, n22515, n22516, n22517, n22518, n22519, n22520, n22521, n22522, n22523, n22524, n22525, n22526, n22527, n22528, n22529, n22530, n22531, n22532, n22533, n22534, n22535, n22536, n22537, n22538, n22539, n22540, n22541, n22542, n22543, n22544, n22545, n22546, n22547, n22548, n22549, n22550, n22551, n22552, n22553, n22554, n22555, n22556, n22557, n22558, n22559, n22560, n22561, n22562, n22563, n22564, n22565, n22566, n22567, n22568, n22569, n22570, n22571, n22572, n22573, n22574, n22575, n22576, n22577, n22578, n22579, n22580, n22581, n22582, n22583, n22584, n22585, n22586, n22587, n22588, n22589, n22590, n22591, n22592, n22593, n22594, n22595, n22596, n22597, n22598, n22599, n22600, n22601, n22602, n22603, n22604, n22605, n22606, n22607, n22608, n22609, n22610, n22611, n22612, n22613, n22614, n22615, n22616, n22617, n22618, n22619, n22620, n22621, n22622, n22623, n22624, n22625, n22626, n22627, n22628, n22629, n22630, n22631, n22632, n22633, n22634, n22635, n22636, n22637, n22638, n22639, n22640, n22641, n22642, n22643, n22644, n22645, n22646, n22647, n22648, n22649, n22650, n22651, n22652, n22653, n22654, n22655, n22656, n22657, n22658, n22659, n22660, n22661, n22662, n22663, n22664, n22665, n22666, n22667, n22668, n22669, n22670, n22671, n22672, n22673, n22674, n22675, n22676, n22677, n22678, n22679, n22680, n22681, n22682, n22683, n22684, n22685, n22686, n22687, n22688, n22689, n22690, n22691, n22692, n22693, n22694, n22695, n22696, n22697, n22698, n22699, n22700, n22701, n22702, n22703, n22704, n22705, n22706, n22707, n22708, n22709, n22710, n22711, n22712, n22713, n22714, n22715, n22716, n22717, n22718, n22719, n22720, n22721, n22722, n22723, n22724, n22725, n22726, n22727, n22728, n22729, n22730, n22731, n22732, n22733, n22734, n22735, n22736, n22737, n22738, n22739, n22740, n22741, n22742, n22743, n22744, n22745, n22746, n22747, n22748, n22749, n22750, n22751, n22752, n22753, n22754, n22755, n22756, n22757, n22758, n22759, n22760, n22761, n22762, n22763, n22764, n22765, n22766, n22767, n22768, n22769, n22770, n22771, n22772, n22773, n22774, n22775, n22776, n22777, n22778, n22779, n22780, n22781, n22782, n22783, n22784, n22785, n22786, n22787, n22788, n22789, n22790, n22791, n22792, n22793, n22794, n22795, n22796, n22797, n22798, n22799, n22800, n22801, n22802, n22803, n22804, n22805, n22806, n22807, n22808, n22809, n22810, n22811, n22812, n22813, n22814, n22815, n22816, n22817, n22818, n22819, n22820, n22821, n22822, n22823, n22824, n22825, n22826, n22827, n22828, n22829, n22830, n22831, n22832, n22833, n22834, n22835, n22836, n22837, n22838, n22839, n22840, n22841, n22842, n22843, n22844, n22845, n22846, n22847, n22848, n22849, n22850, n22851, n22852, n22853, n22854, n22855, n22856, n22857, n22858, n22859, n22860, n22861, n22862, n22863, n22864, n22865, n22866, n22867, n22868, n22869, n22870, n22871, n22872, n22873, n22874, n22875, n22876, n22877, n22878, n22879, n22880, n22881, n22882, n22883, n22884, n22885, n22886, n22887, n22888, n22889, n22890, n22891, n22892, n22893, n22894, n22895, n22896, n22897, n22898, n22899, n22900, n22901, n22902, n22903, n22904, n22905, n22906, n22907, n22908, n22909, n22910, n22911, n22912, n22913, n22914, n22915, n22916, n22917, n22918, n22919, n22920, n22921, n22922, n22923, n22924, n22925, n22926, n22927, n22928, n22929, n22930, n22931, n22932, n22933, n22934, n22935, n22936, n22937, n22938, n22939, n22940, n22941, n22942, n22943, n22944, n22945, n22946, n22947, n22948, n22949, n22950, n22951, n22952, n22953, n22954, n22955, n22956, n22957, n22958, n22959, n22960, n22961, n22962, n22963, n22964, n22965, n22966, n22967, n22968, n22969, n22970, n22971, n22972, n22973, n22974, n22975, n22976, n22977, n22978, n22979, n22980, n22981, n22982, n22983, n22984, n22985, n22986, n22987, n22988, n22989, n22990, n22991, n22992, n22993, n22994, n22995, n22996, n22997, n22998, n22999, n23000, n23001, n23002, n23003, n23004, n23005, n23006, n23007, n23008, n23009, n23010, n23011, n23012, n23013, n23014, n23015, n23016, n23017, n23018, n23019, n23020, n23021, n23022, n23023, n23024, n23025, n23026, n23027, n23028, n23029, n23030, n23031, n23032, n23033, n23034, n23035, n23036, n23037, n23038, n23039, n23040, n23041, n23042, n23043, n23044, n23045, n23046, n23047, n23048, n23049, n23050, n23051, n23052, n23053, n23054, n23055, n23056, n23057, n23058, n23059, n23060, n23061, n23062, n23063, n23064, n23065, n23066, n23067, n23068, n23069, n23070, n23071, n23072, n23073, n23074, n23075, n23076, n23077, n23078, n23079, n23080, n23081, n23082, n23083, n23084, n23085, n23086, n23087, n23088, n23089, n23090, n23091, n23092, n23093, n23094, n23095, n23096, n23097, n23098, n23099, n23100, n23101, n23102, n23103, n23104, n23105, n23106, n23107, n23108, n23109, n23110, n23111, n23112, n23113, n23114, n23115, n23116, n23117, n23118, n23119, n23120, n23121, n23122, n23123, n23124, n23125, n23126, n23127, n23128, n23129, n23130, n23131, n23132, n23133, n23134, n23135, n23136, n23137, n23138, n23139, n23140, n23141, n23142, n23143, n23144, n23145, n23146, n23147, n23148, n23149, n23150, n23151, n23152, n23153, n23154, n23155, n23156, n23157, n23158, n23159, n23160, n23161, n23162, n23163, n23164, n23165, n23166, n23167, n23168, n23169, n23170, n23171, n23172, n23173, n23174, n23175, n23176, n23177, n23178, n23179, n23180, n23181, n23182, n23183, n23184, n23185, n23186, n23187, n23188, n23189, n23190, n23191, n23192, n23193, n23194, n23195, n23196, n23197, n23198, n23199, n23200, n23201, n23202, n23203, n23204, n23205, n23206, n23207, n23208, n23209, n23210, n23211, n23212, n23213, n23214, n23215, n23216, n23217, n23218, n23219, n23220, n23221, n23222, n23223, n23224, n23225, n23226, n23227, n23228, n23229, n23230, n23231, n23232, n23233, n23234, n23235, n23236, n23237, n23238, n23239, n23240, n23241, n23242, n23243, n23244, n23245, n23246, n23247, n23248, n23249, n23250, n23251, n23252, n23253, n23254, n23255, n23256, n23257, n23258, n23259, n23260, n23261, n23262, n23263, n23264, n23265, n23266, n23267, n23268, n23269, n23270, n23271, n23272, n23273, n23274, n23275, n23276, n23277, n23278, n23279, n23280, n23281, n23282, n23283, n23284, n23285, n23286, n23287, n23288, n23289, n23290, n23291, n23292, n23293, n23294, n23295, n23296, n23297, n23298, n23299, n23300, n23301, n23302, n23303, n23304, n23305, n23306, n23307, n23308, n23309, n23310, n23311, n23312, n23313, n23314, n23315, n23316, n23317, n23318, n23319, n23320, n23321, n23322, n23323, n23324, n23325, n23326, n23327, n23328, n23329, n23330, n23331, n23332, n23333, n23334, n23335, n23336, n23337, n23338, n23339, n23340, n23341, n23342, n23343, n23344, n23345, n23346, n23347, n23348, n23349, n23350, n23351, n23352, n23353, n23354, n23355, n23356, n23357, n23358, n23359, n23360, n23361, n23362, n23363, n23364, n23365, n23366, n23367, n23368, n23369, n23370, n23371, n23372, n23373, n23374, n23375, n23376, n23377, n23378, n23379, n23380, n23381, n23382, n23383, n23384, n23385, n23386, n23387, n23388, n23389, n23390, n23391, n23392, n23393, n23394, n23395, n23396, n23397, n23398, n23399, n23400, n23401, n23402, n23403, n23404, n23405, n23406, n23407, n23408, n23409, n23410, n23411, n23412, n23413, n23414, n23415, n23416, n23417, n23418, n23419, n23420, n23421, n23422, n23423, n23424, n23425, n23426, n23427, n23428, n23429, n23430, n23431, n23432, n23433, n23434, n23435, n23436, n23437, n23438, n23439, n23440, n23441, n23442, n23443, n23444, n23445, n23446, n23447, n23448, n23449, n23450, n23451, n23452, n23453, n23454, n23455, n23456, n23457, n23458, n23459, n23460, n23461, n23462, n23463, n23464, n23465, n23466, n23467, n23468, n23469, n23470, n23471, n23472, n23473, n23474, n23475, n23476, n23477, n23478, n23479, n23480, n23481, n23482, n23483, n23484, n23485, n23486, n23487, n23488, n23489, n23490, n23491, n23492, n23493, n23494, n23495, n23496, n23497, n23498, n23499, n23500, n23501, n23502, n23503, n23504, n23505, n23506, n23507, n23508, n23509, n23510, n23511, n23512, n23513, n23514, n23515, n23516, n23517, n23518, n23519, n23520, n23521, n23522, n23523, n23524, n23525, n23526, n23527, n23528, n23529, n23530, n23531, n23532, n23533, n23534, n23535, n23536, n23537, n23538, n23539, n23540, n23541, n23542, n23543, n23544, n23545, n23546, n23547, n23548, n23549, n23550, n23551, n23552, n23553, n23554, n23555, n23556, n23557, n23558, n23559, n23560, n23561, n23562, n23563, n23564, n23565, n23566, n23567, n23568, n23569, n23570, n23571, n23572, n23573, n23574, n23575, n23576, n23577, n23578, n23579, n23580, n23581, n23582, n23583, n23584, n23585, n23586, n23587, n23588, n23589, n23590, n23591, n23592, n23593, n23594, n23595, n23596, n23597, n23598, n23599, n23600, n23601, n23602, n23603, n23604, n23605, n23606, n23607, n23608, n23609, n23610, n23611, n23612, n23613, n23614, n23615, n23616, n23617, n23618, n23619, n23620, n23621, n23622, n23623, n23624, n23625, n23626, n23627, n23628, n23629, n23630, n23631, n23632, n23633, n23634, n23635, n23636, n23637, n23638, n23639, n23640, n23641, n23642, n23643, n23644, n23645, n23646, n23647, n23648, n23649, n23650, n23651, n23652, n23653, n23654, n23655, n23656, n23657, n23658, n23659, n23660, n23661, n23662, n23663, n23664, n23665, n23666, n23667, n23668, n23669, n23670, n23671, n23672, n23673, n23674, n23675, n23676, n23677, n23678, n23679, n23680, n23681, n23682, n23683, n23684, n23685, n23686, n23687, n23688, n23689, n23690, n23691, n23692, n23693, n23694, n23695, n23696, n23697, n23698, n23699, n23700, n23701, n23702, n23703, n23704, n23705, n23706, n23707, n23708, n23709, n23710, n23711, n23712, n23713, n23714, n23715, n23716, n23717, n23718, n23719, n23720, n23721, n23722, n23723, n23724, n23725, n23726, n23727, n23728, n23729, n23730, n23731, n23732, n23733, n23734, n23735, n23736, n23737, n23738, n23739, n23740, n23741, n23742, n23743, n23744, n23745, n23746, n23747, n23748, n23749, n23750, n23751, n23752, n23753, n23754, n23755, n23756, n23757, n23758, n23759, n23760, n23761, n23762, n23763, n23764, n23765, n23766, n23767, n23768, n23769, n23770, n23771, n23772, n23773, n23774, n23775, n23776, n23777, n23778, n23779, n23780, n23781, n23782, n23783, n23784, n23785, n23786, n23787, n23788, n23789, n23790, n23791, n23792, n23793, n23794, n23795, n23796, n23797, n23798, n23799, n23800, n23801, n23802, n23803, n23804, n23805, n23806, n23807, n23808, n23809, n23810, n23811, n23812, n23813, n23814, n23815, n23816, n23817, n23818, n23819, n23820, n23821, n23822, n23823, n23824, n23825, n23826, n23827, n23828, n23829, n23830, n23831, n23832, n23833, n23834, n23835, n23836, n23837, n23838, n23839, n23840, n23841, n23842, n23843, n23844, n23845, n23846, n23847, n23848, n23849, n23850, n23851, n23852, n23853, n23854, n23855, n23856, n23857, n23858, n23859, n23860, n23861, n23862, n23863, n23864, n23865, n23866, n23867, n23868, n23869, n23870, n23871, n23872, n23873, n23874, n23875, n23876, n23877, n23878, n23879, n23880, n23881, n23882, n23883, n23884, n23885, n23886, n23887, n23888, n23889, n23890, n23891, n23892, n23893, n23894, n23895, n23896, n23897, n23898, n23899, n23900, n23901, n23902, n23903, n23904, n23905, n23906, n23907, n23908, n23909, n23910, n23911, n23912, n23913, n23914, n23915, n23916, n23917, n23918, n23919, n23920, n23921, n23922, n23923, n23924, n23925, n23926, n23927, n23928, n23929, n23930, n23931, n23932, n23933, n23934, n23935, n23936, n23937, n23938, n23939, n23940, n23941, n23942, n23943, n23944, n23945, n23946, n23947, n23948, n23949, n23950, n23951, n23952, n23953, n23954, n23955, n23956, n23957, n23958, n23959, n23960, n23961, n23962, n23963, n23964, n23965, n23966, n23967, n23968, n23969, n23970, n23971, n23972, n23973, n23974, n23975, n23976, n23977, n23978, n23979, n23980, n23981, n23982, n23983, n23984, n23985, n23986, n23987, n23988, n23989, n23990, n23991, n23992, n23993, n23994, n23995, n23996, n23997, n23998, n23999, n24000, n24001, n24002, n24003, n24004, n24005, n24006, n24007, n24008, n24009, n24010, n24011, n24012, n24013, n24014, n24015, n24016, n24017, n24018, n24019, n24020, n24021, n24022, n24023, n24024, n24025, n24026, n24027, n24028, n24029, n24030, n24031, n24032, n24033, n24034, n24035, n24036, n24037, n24038, n24039, n24040, n24041, n24042, n24043, n24044, n24045, n24046, n24047, n24048, n24049, n24050, n24051, n24052, n24053, n24054, n24055, n24056, n24057, n24058, n24059, n24060, n24061, n24062, n24063, n24064, n24065, n24066, n24067, n24068, n24069, n24070, n24071, n24072, n24073, n24074, n24075, n24076, n24077, n24078, n24079, n24080, n24081, n24082, n24083, n24084, n24085, n24086, n24087, n24088, n24089, n24090, n24091, n24092, n24093, n24094, n24095, n24096, n24097, n24098, n24099, n24100, n24101, n24102, n24103, n24104, n24105, n24106, n24107, n24108, n24109, n24110, n24111, n24112, n24113, n24114, n24115, n24116, n24117, n24118, n24119, n24120, n24121, n24122, n24123, n24124, n24125, n24126, n24127, n24128, n24129, n24130, n24131, n24132, n24133, n24134, n24135, n24136, n24137, n24138, n24139, n24140, n24141, n24142, n24143, n24144, n24145, n24146, n24147, n24148, n24149, n24150, n24151, n24152, n24153, n24154, n24155, n24156, n24157, n24158, n24159, n24160, n24161, n24162, n24163, n24164, n24165, n24166, n24167, n24168, n24169, n24170, n24171, n24172, n24173, n24174, n24175, n24176, n24177, n24178, n24179, n24180, n24181, n24182, n24183, n24184, n24185, n24186, n24187, n24188, n24189, n24190, n24191, n24192, n24193, n24194, n24195, n24196, n24197, n24198, n24199, n24200, n24201, n24202, n24203, n24204, n24205, n24206, n24207, n24208, n24209, n24210, n24211, n24212, n24213, n24214, n24215, n24216, n24217, n24218, n24219, n24220, n24221, n24222, n24223, n24224, n24225, n24226, n24227, n24228, n24229, n24230, n24231, n24232, n24233, n24234, n24235, n24236, n24237, n24238, n24239, n24240, n24241, n24242, n24243, n24244, n24245, n24246, n24247, n24248, n24249, n24250, n24251, n24252, n24253, n24254, n24255, n24256, n24257, n24258, n24259, n24260, n24261, n24262, n24263, n24264, n24265, n24266, n24267, n24268, n24269, n24270, n24271, n24272, n24273, n24274, n24275, n24276, n24277, n24278, n24279, n24280, n24281, n24282, n24283, n24284, n24285, n24286, n24287, n24288, n24289, n24290, n24291, n24292, n24293, n24294, n24295, n24296, n24297, n24298, n24299, n24300, n24301, n24302, n24303, n24304, n24305, n24306, n24307, n24308, n24309, n24310, n24311, n24312, n24313, n24314, n24315, n24316, n24317, n24318, n24319, n24320, n24321, n24322, n24323, n24324, n24325, n24326, n24327, n24328, n24329, n24330, n24331, n24332, n24333, n24334, n24335, n24336, n24337, n24338, n24339, n24340, n24341, n24342, n24343, n24344, n24345, n24346, n24347, n24348, n24349, n24350, n24351, n24352, n24353, n24354, n24355, n24356, n24357, n24358, n24359, n24360, n24361, n24362, n24363, n24364, n24365, n24366, n24367, n24368, n24369, n24370, n24371, n24372, n24373, n24374, n24375, n24376, n24377, n24378, n24379, n24380, n24381, n24382, n24383, n24384, n24385, n24386, n24387, n24388, n24389, n24390, n24391, n24392, n24393, n24394, n24395, n24396, n24397, n24398, n24399, n24400, n24401, n24402, n24403, n24404, n24405, n24406, n24407, n24408, n24409, n24410, n24411, n24412, n24413, n24414, n24415, n24416, n24417, n24418, n24419, n24420, n24421, n24422, n24423, n24424, n24425, n24426, n24427, n24428, n24429, n24430, n24431, n24432, n24433, n24434, n24435, n24436, n24437, n24438, n24439, n24440, n24441, n24442, n24443, n24444, n24445, n24446, n24447, n24448, n24449, n24450, n24451, n24452, n24453, n24454, n24455, n24456, n24457, n24458, n24459, n24460, n24461, n24462, n24463, n24464, n24465, n24466, n24467, n24468, n24469, n24470, n24471, n24472, n24473, n24474, n24475, n24476, n24477, n24478, n24479, n24480, n24481, n24482, n24483, n24484, n24485, n24486, n24487, n24488, n24489, n24490, n24491, n24492, n24493, n24494, n24495, n24496, n24497, n24498, n24499, n24500, n24501, n24502, n24503, n24504, n24505, n24506, n24507, n24508, n24509, n24510, n24511, n24512, n24513, n24514, n24515, n24516, n24517, n24518, n24519, n24520, n24521, n24522, n24523, n24524, n24525, n24526, n24527, n24528, n24529, n24530, n24531, n24532, n24533, n24534, n24535, n24536, n24537, n24538, n24539, n24540, n24541, n24542, n24543, n24544, n24545, n24546, n24547, n24548, n24549, n24550, n24551, n24552, n24553, n24554, n24555, n24556, n24557, n24558, n24559, n24560, n24561, n24562, n24563, n24564, n24565, n24566, n24567, n24568, n24569, n24570, n24571, n24572, n24573, n24574, n24575, n24576, n24577, n24578, n24579, n24580, n24581, n24582, n24583, n24584, n24585, n24586, n24587, n24588, n24589, n24590, n24591, n24592, n24593, n24594, n24595, n24596, n24597, n24598, n24599, n24600, n24601, n24602, n24603, n24604, n24605, n24606, n24607, n24608, n24609, n24610, n24611, n24612, n24613, n24614, n24615, n24616, n24617, n24618, n24619, n24620, n24621, n24622, n24623, n24624, n24625, n24626, n24627, n24628, n24629, n24630, n24631, n24632, n24633, n24634, n24635, n24636, n24637, n24638, n24639, n24640, n24641, n24642, n24643, n24644, n24645, n24646, n24647, n24648, n24649, n24650, n24651, n24652, n24653, n24654, n24655, n24656, n24657, n24658, n24659, n24660, n24661, n24662, n24663, n24664, n24665, n24666, n24667, n24668, n24669, n24670, n24671, n24672, n24673, n24674, n24675, n24676, n24677, n24678, n24679, n24680, n24681, n24682, n24683, n24684, n24685, n24686, n24687, n24688, n24689, n24690, n24691, n24692, n24693, n24694, n24695, n24696, n24697, n24698, n24699, n24700, n24701, n24702, n24703, n24704, n24705, n24706, n24707, n24708, n24709, n24710, n24711, n24712, n24713, n24714, n24715, n24716, n24717, n24718, n24719, n24720, n24721, n24722, n24723, n24724, n24725, n24726, n24727, n24728, n24729, n24730, n24731, n24732, n24733, n24734, n24735, n24736, n24737, n24738, n24739, n24740, n24741, n24742, n24743, n24744, n24745, n24746, n24747, n24748, n24749, n24750, n24751, n24752, n24753, n24754, n24755, n24756, n24757, n24758, n24759, n24760, n24761, n24762, n24763, n24764, n24765, n24766, n24767, n24768, n24769, n24770, n24771, n24772, n24773, n24774, n24775, n24776, n24777, n24778, n24779, n24780, n24781, n24782, n24783, n24784, n24785, n24786, n24787, n24788, n24789, n24790, n24791, n24792, n24793, n24794, n24795, n24796, n24797, n24798, n24799, n24800, n24801, n24802, n24803, n24804, n24805, n24806, n24807, n24808, n24809, n24810, n24811, n24812, n24813, n24814, n24815, n24816, n24817, n24818, n24819, n24820, n24821, n24822, n24823, n24824, n24825, n24826, n24827, n24828, n24829, n24830, n24831, n24832, n24833, n24834, n24835, n24836, n24837, n24838, n24839, n24840, n24841, n24842, n24843, n24844, n24845, n24846, n24847, n24848, n24849, n24850, n24851, n24852, n24853, n24854, n24855, n24856, n24857, n24858, n24859, n24860, n24861, n24862, n24863, n24864, n24865, n24866, n24867, n24868, n24869, n24870, n24871, n24872, n24873, n24874, n24875, n24876, n24877, n24878, n24879, n24880, n24881, n24882, n24883, n24884, n24885, n24886, n24887, n24888, n24889, n24890, n24891, n24892, n24893, n24894, n24895, n24896, n24897, n24898, n24899, n24900, n24901, n24902, n24903, n24904, n24905, n24906, n24907, n24908, n24909, n24910, n24911, n24912, n24913, n24914, n24915, n24916, n24917, n24918, n24919, n24920, n24921, n24922, n24923, n24924, n24925, n24926, n24927, n24928, n24929, n24930, n24931, n24932, n24933, n24934, n24935, n24936, n24937, n24938, n24939, n24940, n24941, n24942, n24943, n24944, n24945, n24946, n24947, n24948, n24949, n24950, n24951, n24952, n24953, n24954, n24955, n24956, n24957, n24958, n24959, n24960, n24961, n24962, n24963, n24964, n24965, n24966, n24967, n24968, n24969, n24970, n24971, n24972, n24973, n24974, n24975, n24976, n24977, n24978, n24979, n24980, n24981, n24982, n24983, n24984, n24985, n24986, n24987, n24988, n24989, n24990, n24991, n24992, n24993, n24994, n24995, n24996, n24997, n24998, n24999, n25000, n25001, n25002, n25003, n25004, n25005, n25006, n25007, n25008, n25009, n25010, n25011, n25012, n25013, n25014, n25015, n25016, n25017, n25018, n25019, n25020, n25021, n25022, n25023, n25024, n25025, n25026, n25027, n25028, n25029, n25030, n25031, n25032, n25033, n25034, n25035, n25036, n25037, n25038, n25039, n25040, n25041, n25042, n25043, n25044, n25045, n25046, n25047, n25048, n25049, n25050, n25051, n25052, n25053, n25054, n25055, n25056, n25057, n25058, n25059, n25060, n25061, n25062, n25063, n25064, n25065, n25066, n25067, n25068, n25070, n25071, n25072, n25073, n25074, n25075, n25076, n25077, n25078, n25079, n25080, n25081, n25082, n25083, n25084, n25085, n25086, n25087, n25088, n25089, n25090, n25091, n25092, n25093, n25094, n25095, n25096, n25097, n25098, n25099, n25100, n25101, n25102, n25103, n25104, n25105, n25106, n25107, n25108, n25109, n25110, n25111, n25112, n25113, n25114, n25115, n25116, n25117, n25118, n25119, \lsu_axi_awid[2] ; wire [31:0] dma_dbg_rddata; wire [31:0] dec_dbg_rddata; wire [1:0] dbg_cmd_size; wire [31:0] dbg_cmd_wrdata; wire [31:0] dbg_cmd_addr; wire [9:2] exu_i0_br_index_r; wire [7:0] exu_i0_br_fghr_r; wire [4:0] exu_mp_btag; wire [9:2] exu_mp_index; wire [7:0] exu_mp_fghr; wire [7:0] exu_mp_eghr; wire [70:0] ifu_ic_debug_rd_data; wire [2:0] iccm_dma_rtag; wire [63:0] iccm_dma_rdata; wire [2:0] dma_mem_tag; wire [63:0] dma_mem_wdata; wire [2:0] dma_mem_sz; wire [31:0] dma_mem_addr; wire [31:0] dec_tlu_mrac_ff; wire [2:0] dec_tlu_dma_qos_prty; wire [4:0] i0_predict_btag_d; wire [9:2] i0_predict_index_d; wire [7:0] i0_predict_fghr_d; wire [31:1] pred_correct_npc_x; wire [31:1] dec_tlu_flush_path_r; wire [31:1] dec_i0_pc_d; wire [31:0] gpr_i0_rs2_d; wire [31:0] gpr_i0_rs1_d; wire [1:0] exu_i0_br_hist_r; wire [31:2] dec_tlu_meihap; wire [3:0] dec_tlu_meipt; wire [3:0] dec_tlu_meicurpl; wire [3:0] pic_pl; wire [7:0] pic_claimid; wire [31:1] exu_i0_pc_x; wire [31:1] exu_npc_r; wire [31:0] exu_csr_rs1_x; wire [31:0] exu_div_result; wire [31:0] lsu_imprecise_error_addr_any; wire [3:0] lsu_trigger_match_m; wire [1:0] lsu_fir_error; wire [31:1] lsu_fir_addr; wire [31:0] lsu_nonblock_load_data; wire [1:0] lsu_nonblock_load_data_tag; wire [1:0] lsu_nonblock_load_inv_tag_r; wire [1:0] lsu_nonblock_load_tag_m; wire [2:0] dccm_dma_rtag; wire [31:0] picm_wr_data; wire [31:0] picm_wraddr; wire [31:0] \dbg/data1_din ; wire [31:0] \dbg/data0_din ; wire [15:0] \dbg/command_reg ; wire [2:0] \dbg/abstractcs_error_din ; wire [31:0] \dbg/data1_reg ; wire [10:8] \dbg/abstractcs_reg ; wire [31:0] \dbg/sbaddress0_reg_din ; wire [31:0] \dbg/sbdata1_reg ; wire [31:0] \dbg/sbdata0_reg ; wire [31:0] \dbg/sbdata1_din ; wire [31:0] \dbg/sbdata0_din ; wire [16:12] \dbg/sbcs_reg ; wire [31:30] \dbg/dmcontrol_reg ; wire [3:0] \dbg/sb_state ; wire [2:0] \dbg/dbg_state ; wire [1:0] \ifu/ic_access_fault_type_f ; wire [1:0] \ifu/ifu_fetch_val ; wire [31:0] \ifu/ifu_fetch_data_f ; wire [7:0] \ifu/ifu_bp_fghr_f ; wire [1:0] \ifu/ifu_bp_way_f ; wire [1:0] \ifu/ifu_bp_ret_f ; wire [1:0] \ifu/ifu_bp_hist1_f ; wire [1:0] \ifu/ifu_bp_hist0_f ; wire [1:0] \ifu/ifu_bp_pc4_f ; wire [1:0] \ifu/ifu_bp_valid_f ; wire [11:0] \ifu/ifu_bp_poffset_f ; wire [31:1] \ifu/ifu_bp_btb_target_f ; wire [31:1] \ifu/ifc_fetch_addr_f ; wire [31:1] \ifu/ifc_fetch_addr_bf ; wire [31:0] \dec/dec_csr_rddata_d ; wire [4:0] \dec/dec_i0_rs1_d ; wire [4:0] \dec/dec_i0_rs2_d ; wire [4:0] \dec/dec_i0_waddr_r ; wire [4:0] \dec/div_waddr_wb ; wire [11:0] \dec/dec_csr_wraddr_r ; wire [31:0] \dec/dec_csr_wrdata_r ; wire [31:1] \dec/dec_tlu_i0_pc_r ; wire [31:0] \dec/dec_illegal_inst ; wire [4:0] \dec/dec_nonblock_load_waddr ; wire [31:0] \dec/dec_i0_instr_d ; wire [1:0] \dec/dec_i0_icaf_type_d ; wire [31:0] \exu/alu_result_x ; wire [31:0] \exu/muldiv_rs2_d ; wire [31:0] \exu/muldiv_rs1_d ; wire [31:0] \exu/i0_rs2_d ; wire [7:0] \exu/ghr_d_ns ; wire [7:0] \exu/ghr_d ; wire [31:1] \exu/i0_flush_path_upper_r ; wire [31:1] \exu/pred_correct_npc_r ; wire [7:0] \exu/ghr_x_ns ; wire [7:0] \exu/ghr_x ; wire [20:0] \exu/predpipe_x ; wire [31:0] \exu/csr_rs1_in_d ; wire [31:1] \exu/i0_flush_path_x ; wire [31:1] \exu/i0_flush_path_d ; wire [31:0] \lsu/sec_data_hi_r_ff ; wire [31:0] \lsu/sec_data_lo_r_ff ; wire [31:0] \lsu/dccm_rdata_hi_m ; wire [31:0] \lsu/dccm_rdata_lo_m ; wire [6:0] \lsu/dccm_data_ecc_hi_m ; wire [6:0] \lsu/dccm_data_ecc_lo_m ; wire [31:0] \lsu/sec_data_hi_m ; wire [31:0] \lsu/sec_data_lo_m ; wire [31:0] \lsu/store_data_hi_r ; wire [31:0] \lsu/store_data_lo_r ; wire [31:0] \lsu/store_data_r ; wire [31:0] \lsu/bus_read_data_m ; wire [31:0] \lsu/lsu_addr_m ; wire [31:0] \lsu/lsu_addr_r ; wire [31:0] \lsu/end_addr_m ; wire [31:0] \lsu/end_addr_r ; wire [3:0] \pic_ctrl_inst/pl_in_q ; wire [7:0] \pic_ctrl_inst/claimid_in ; wire [31:1] \pic_ctrl_inst/intenable_reg ; wire [31:1] \pic_ctrl_inst/extintsrc_req_sync ; wire [31:0] \pic_ctrl_inst/picm_wr_data_ff ; wire [31:0] \pic_ctrl_inst/picm_waddr_ff ; wire [31:0] \pic_ctrl_inst/picm_raddr_ff ; wire [3:0] \ifu/ifc/fb_write_ns ; wire [3:0] \ifu/ifc/fb_write_f ; wire [1:0] \ifu/ifc/next_state ; wire [1:0] \ifu/ifc/state ; wire [31:0] \ifu/aln/q0 ; wire [31:0] \ifu/aln/q1 ; wire [31:0] \ifu/aln/q2 ; wire [54:0] \ifu/aln/misc0 ; wire [54:0] \ifu/aln/misc1 ; wire [54:0] \ifu/aln/misc2 ; wire [11:0] \ifu/aln/brdata0 ; wire [11:0] \ifu/aln/brdata1 ; wire [11:0] \ifu/aln/brdata2 ; wire [31:1] \ifu/aln/f0pc_in ; wire [31:1] \ifu/aln/f1pc ; wire [31:1] \ifu/aln/f1pc_in ; wire [31:1] \ifu/aln/f2pc ; wire [1:0] \ifu/aln/f0val ; wire [1:0] \ifu/aln/f0val_in ; wire [1:0] \ifu/aln/f1val ; wire [1:0] \ifu/aln/f1val_in ; wire [1:0] \ifu/aln/f2val ; wire [1:0] \ifu/aln/f2val_in ; wire [1:0] \ifu/aln/rdptr ; wire [1:0] \ifu/aln/rdptr_in ; wire [1:0] \ifu/aln/wrptr ; wire [1:0] \ifu/aln/wrptr_in ; wire [31:1] \dec/decode/i0_pc_wb ; wire [31:0] \dec/decode/i0_inst_wb ; wire [31:0] \dec/decode/i0_inst_x ; wire [31:0] \dec/decode/i0_inst_wb_in ; wire [12:1] \dec/decode/last_br_immed_x ; wire [31:0] \dec/decode/i0_result_r ; wire [31:0] \dec/decode/i0_result_x ; wire [2:0] \dec/decode/i0_pipe_en ; wire [3:0] \dec/decode/lsu_trigger_match_r ; wire [31:0] \dec/decode/i0_inst_d ; wire [12:1] \dec/decode/last_br_immed_d ; wire [31:0] \dec/decode/write_csr_data ; wire [31:0] \dec/decode/csr_rddata_x ; wire [4:0] \dec/decode/csrimm_x ; wire [3:0] \dec/decode/i0_itype ; wire [31:0] \exu/i_alu/result ; wire [2:0] \exu/i_div/shortq_shift_xx ; wire [3:0] \exu/i_div/shortq_shift ; wire [32:0] \exu/i_div/a_ff ; wire [32:0] \exu/i_div/a_in ; wire [32:0] \exu/i_div/q_ff ; wire [32:0] \exu/i_div/q_in ; wire [32:0] \exu/i_div/m_ff ; wire [3:0] \exu/i_div/smallnum ; wire [3:0] \exu/i_div/smallnum_ff ; wire [5:0] \exu/i_div/count ; wire [5:0] \exu/i_div/count_in ; wire [31:0] \lsu/lsu_lsc_ctl/store_data_pre_m ; wire [31:0] \lsu/lsu_lsc_ctl/store_data_m_in ; wire [31:0] \lsu/lsu_lsc_ctl/bus_read_data_r ; wire [1:0] \lsu/lsu_lsc_ctl/L2U_Plus1_0.lsu_fir_error_m ; wire [3:0] \lsu/lsu_lsc_ctl/exc_mscause_m ; wire [3:0] \lsu/lsu_lsc_ctl/exc_mscause_d ; wire [31:0] \lsu/dccm_ctl/store_data_lo_r_in ; wire [31:0] \lsu/dccm_ctl/store_data_hi_r_in ; wire [15:0] \lsu/dccm_ctl/ld_sec_addr_hi_r_ff ; wire [15:0] \lsu/dccm_ctl/ld_sec_addr_lo_r_ff ; wire [31:0] \lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_m ; wire [3:0] \lsu/stbuf/stbuf_dma_kill ; wire [3:0] \lsu/stbuf/stbuf_vld ; wire [1:0] \lsu/stbuf/WrPtr ; wire [1:0] \lsu/stbuf/RdPtr ; wire [3:0] \lsu/bus_intf/ldst_byteen_r ; wire [6:0] \lsu/bus_intf/ldst_byteen_ext_m ; wire [3:0] \lsu/bus_intf/ld_byte_hit_buf_lo ; wire [3:0] \lsu/bus_intf/ld_byte_hit_buf_hi ; wire [31:0] \lsu/bus_intf/ld_fwddata_buf_lo ; wire [31:0] \lsu/bus_intf/ld_fwddata_buf_hi ; wire [1:0] \lsu/bus_intf/ldst_byteen_m ; wire [30:0] \pic_ctrl_inst/sync_inst/din_ff1 ; wire [32:0] \exu/i_mul/rs2_x ; wire [32:0] \exu/i_mul/rs1_x ; wire SYNOPSYS_UNCONNECTED__0, SYNOPSYS_UNCONNECTED__1, SYNOPSYS_UNCONNECTED__2, SYNOPSYS_UNCONNECTED__3, SYNOPSYS_UNCONNECTED__4, SYNOPSYS_UNCONNECTED__5, SYNOPSYS_UNCONNECTED__6, SYNOPSYS_UNCONNECTED__7, SYNOPSYS_UNCONNECTED__8, SYNOPSYS_UNCONNECTED__9, SYNOPSYS_UNCONNECTED__10, SYNOPSYS_UNCONNECTED__11, SYNOPSYS_UNCONNECTED__12, SYNOPSYS_UNCONNECTED__13, SYNOPSYS_UNCONNECTED__14, SYNOPSYS_UNCONNECTED__15, SYNOPSYS_UNCONNECTED__16, SYNOPSYS_UNCONNECTED__17, SYNOPSYS_UNCONNECTED__18, SYNOPSYS_UNCONNECTED__19, SYNOPSYS_UNCONNECTED__20, SYNOPSYS_UNCONNECTED__21, SYNOPSYS_UNCONNECTED__22, SYNOPSYS_UNCONNECTED__23, SYNOPSYS_UNCONNECTED__24; assign trace_rv_i_interrupt_ip[1] = trace_rv_i_valid_ip[1]; assign trace_rv_i_exception_ip[1] = trace_rv_i_valid_ip[1]; assign sb_axi_arregion[3] = sb_axi_awaddr[31]; assign sb_axi_araddr[31] = sb_axi_awaddr[31]; assign sb_axi_awregion[3] = sb_axi_awaddr[31]; assign sb_axi_arregion[2] = sb_axi_awaddr[30]; assign sb_axi_araddr[30] = sb_axi_awaddr[30]; assign sb_axi_awregion[2] = sb_axi_awaddr[30]; assign sb_axi_arregion[1] = sb_axi_awaddr[29]; assign sb_axi_araddr[29] = sb_axi_awaddr[29]; assign sb_axi_awregion[1] = sb_axi_awaddr[29]; assign sb_axi_arregion[0] = sb_axi_awaddr[28]; assign sb_axi_araddr[28] = sb_axi_awaddr[28]; assign sb_axi_awregion[0] = sb_axi_awaddr[28]; assign sb_axi_araddr[27] = sb_axi_awaddr[27]; assign sb_axi_araddr[26] = sb_axi_awaddr[26]; assign sb_axi_araddr[25] = sb_axi_awaddr[25]; assign sb_axi_araddr[24] = sb_axi_awaddr[24]; assign sb_axi_araddr[23] = sb_axi_awaddr[23]; assign sb_axi_araddr[22] = sb_axi_awaddr[22]; assign sb_axi_araddr[21] = sb_axi_awaddr[21]; assign sb_axi_araddr[20] = sb_axi_awaddr[20]; assign sb_axi_araddr[19] = sb_axi_awaddr[19]; assign sb_axi_araddr[18] = sb_axi_awaddr[18]; assign sb_axi_araddr[17] = sb_axi_awaddr[17]; assign sb_axi_araddr[16] = sb_axi_awaddr[16]; assign sb_axi_araddr[15] = sb_axi_awaddr[15]; assign sb_axi_araddr[14] = sb_axi_awaddr[14]; assign sb_axi_araddr[13] = sb_axi_awaddr[13]; assign sb_axi_araddr[12] = sb_axi_awaddr[12]; assign sb_axi_araddr[11] = sb_axi_awaddr[11]; assign sb_axi_araddr[10] = sb_axi_awaddr[10]; assign sb_axi_araddr[9] = sb_axi_awaddr[9]; assign sb_axi_araddr[8] = sb_axi_awaddr[8]; assign sb_axi_araddr[7] = sb_axi_awaddr[7]; assign sb_axi_araddr[6] = sb_axi_awaddr[6]; assign sb_axi_araddr[5] = sb_axi_awaddr[5]; assign sb_axi_araddr[4] = sb_axi_awaddr[4]; assign sb_axi_araddr[3] = sb_axi_awaddr[3]; assign sb_axi_araddr[1] = sb_axi_awaddr[1]; assign sb_axi_araddr[0] = sb_axi_awaddr[0]; assign sb_axi_arsize[2] = sb_axi_awsize[2]; assign sb_axi_arsize[1] = sb_axi_awsize[1]; assign sb_axi_arsize[0] = sb_axi_awsize[0]; assign sb_axi_araddr[2] = \dbg/N205 ; assign sb_axi_awaddr[2] = \dbg/N205 ; assign \lsu/dccm_rdata_hi_m [31] = dccm_rd_data_hi[31]; assign \lsu/dccm_rdata_hi_m [30] = dccm_rd_data_hi[30]; assign \lsu/dccm_rdata_hi_m [29] = dccm_rd_data_hi[29]; assign \lsu/dccm_rdata_hi_m [28] = dccm_rd_data_hi[28]; assign \lsu/dccm_rdata_hi_m [27] = dccm_rd_data_hi[27]; assign \lsu/dccm_rdata_hi_m [26] = dccm_rd_data_hi[26]; assign \lsu/dccm_rdata_hi_m [25] = dccm_rd_data_hi[25]; assign \lsu/dccm_rdata_hi_m [24] = dccm_rd_data_hi[24]; assign \lsu/dccm_rdata_hi_m [23] = dccm_rd_data_hi[23]; assign \lsu/dccm_rdata_hi_m [22] = dccm_rd_data_hi[22]; assign \lsu/dccm_rdata_hi_m [21] = dccm_rd_data_hi[21]; assign \lsu/dccm_rdata_hi_m [20] = dccm_rd_data_hi[20]; assign \lsu/dccm_rdata_hi_m [19] = dccm_rd_data_hi[19]; assign \lsu/dccm_rdata_hi_m [18] = dccm_rd_data_hi[18]; assign \lsu/dccm_rdata_hi_m [17] = dccm_rd_data_hi[17]; assign \lsu/dccm_rdata_hi_m [16] = dccm_rd_data_hi[16]; assign \lsu/dccm_rdata_hi_m [15] = dccm_rd_data_hi[15]; assign \lsu/dccm_rdata_hi_m [14] = dccm_rd_data_hi[14]; assign \lsu/dccm_rdata_hi_m [13] = dccm_rd_data_hi[13]; assign \lsu/dccm_rdata_hi_m [12] = dccm_rd_data_hi[12]; assign \lsu/dccm_rdata_hi_m [11] = dccm_rd_data_hi[11]; assign \lsu/dccm_rdata_hi_m [10] = dccm_rd_data_hi[10]; assign \lsu/dccm_rdata_hi_m [9] = dccm_rd_data_hi[9]; assign \lsu/dccm_rdata_hi_m [8] = dccm_rd_data_hi[8]; assign \lsu/dccm_rdata_hi_m [7] = dccm_rd_data_hi[7]; assign \lsu/dccm_rdata_hi_m [6] = dccm_rd_data_hi[6]; assign \lsu/dccm_rdata_hi_m [5] = dccm_rd_data_hi[5]; assign \lsu/dccm_rdata_hi_m [4] = dccm_rd_data_hi[4]; assign \lsu/dccm_rdata_hi_m [3] = dccm_rd_data_hi[3]; assign \lsu/dccm_rdata_hi_m [2] = dccm_rd_data_hi[2]; assign \lsu/dccm_rdata_hi_m [1] = dccm_rd_data_hi[1]; assign \lsu/dccm_rdata_hi_m [0] = dccm_rd_data_hi[0]; assign \lsu/dccm_rdata_lo_m [31] = dccm_rd_data_lo[31]; assign \lsu/dccm_rdata_lo_m [30] = dccm_rd_data_lo[30]; assign \lsu/dccm_rdata_lo_m [29] = dccm_rd_data_lo[29]; assign \lsu/dccm_rdata_lo_m [28] = dccm_rd_data_lo[28]; assign \lsu/dccm_rdata_lo_m [27] = dccm_rd_data_lo[27]; assign \lsu/dccm_rdata_lo_m [26] = dccm_rd_data_lo[26]; assign \lsu/dccm_rdata_lo_m [25] = dccm_rd_data_lo[25]; assign \lsu/dccm_rdata_lo_m [24] = dccm_rd_data_lo[24]; assign \lsu/dccm_rdata_lo_m [23] = dccm_rd_data_lo[23]; assign \lsu/dccm_rdata_lo_m [22] = dccm_rd_data_lo[22]; assign \lsu/dccm_rdata_lo_m [21] = dccm_rd_data_lo[21]; assign \lsu/dccm_rdata_lo_m [20] = dccm_rd_data_lo[20]; assign \lsu/dccm_rdata_lo_m [19] = dccm_rd_data_lo[19]; assign \lsu/dccm_rdata_lo_m [18] = dccm_rd_data_lo[18]; assign \lsu/dccm_rdata_lo_m [17] = dccm_rd_data_lo[17]; assign \lsu/dccm_rdata_lo_m [16] = dccm_rd_data_lo[16]; assign \lsu/dccm_rdata_lo_m [15] = dccm_rd_data_lo[15]; assign \lsu/dccm_rdata_lo_m [14] = dccm_rd_data_lo[14]; assign \lsu/dccm_rdata_lo_m [13] = dccm_rd_data_lo[13]; assign \lsu/dccm_rdata_lo_m [12] = dccm_rd_data_lo[12]; assign \lsu/dccm_rdata_lo_m [11] = dccm_rd_data_lo[11]; assign \lsu/dccm_rdata_lo_m [10] = dccm_rd_data_lo[10]; assign \lsu/dccm_rdata_lo_m [9] = dccm_rd_data_lo[9]; assign \lsu/dccm_rdata_lo_m [8] = dccm_rd_data_lo[8]; assign \lsu/dccm_rdata_lo_m [7] = dccm_rd_data_lo[7]; assign \lsu/dccm_rdata_lo_m [6] = dccm_rd_data_lo[6]; assign \lsu/dccm_rdata_lo_m [5] = dccm_rd_data_lo[5]; assign \lsu/dccm_rdata_lo_m [4] = dccm_rd_data_lo[4]; assign \lsu/dccm_rdata_lo_m [3] = dccm_rd_data_lo[3]; assign \lsu/dccm_rdata_lo_m [2] = dccm_rd_data_lo[2]; assign \lsu/dccm_rdata_lo_m [1] = dccm_rd_data_lo[1]; assign \lsu/dccm_rdata_lo_m [0] = dccm_rd_data_lo[0]; assign \lsu/dccm_data_ecc_hi_m [6] = dccm_rd_data_hi[38]; assign \lsu/dccm_data_ecc_hi_m [5] = dccm_rd_data_hi[37]; assign \lsu/dccm_data_ecc_hi_m [4] = dccm_rd_data_hi[36]; assign \lsu/dccm_data_ecc_hi_m [3] = dccm_rd_data_hi[35]; assign \lsu/dccm_data_ecc_hi_m [2] = dccm_rd_data_hi[34]; assign \lsu/dccm_data_ecc_hi_m [1] = dccm_rd_data_hi[33]; assign \lsu/dccm_data_ecc_hi_m [0] = dccm_rd_data_hi[32]; assign \lsu/dccm_data_ecc_lo_m [6] = dccm_rd_data_lo[38]; assign \lsu/dccm_data_ecc_lo_m [5] = dccm_rd_data_lo[37]; assign \lsu/dccm_data_ecc_lo_m [4] = dccm_rd_data_lo[36]; assign \lsu/dccm_data_ecc_lo_m [3] = dccm_rd_data_lo[35]; assign \lsu/dccm_data_ecc_lo_m [2] = dccm_rd_data_lo[34]; assign \lsu/dccm_data_ecc_lo_m [1] = dccm_rd_data_lo[33]; assign \lsu/dccm_data_ecc_lo_m [0] = dccm_rd_data_lo[32]; assign dccm_rden = \lsu/dccm_ctl/lsu_dccm_rden_d ; assign dma_axi_rlast = \lsu_axi_awburst[0] ; assign sb_axi_rready = \lsu_axi_awburst[0] ; assign sb_axi_arburst[0] = \lsu_axi_awburst[0] ; assign sb_axi_bready = \lsu_axi_awburst[0] ; assign sb_axi_wlast = \lsu_axi_awburst[0] ; assign sb_axi_awcache[0] = \lsu_axi_awburst[0] ; assign sb_axi_awcache[1] = \lsu_axi_awburst[0] ; assign sb_axi_awcache[2] = \lsu_axi_awburst[0] ; assign sb_axi_awcache[3] = \lsu_axi_awburst[0] ; assign sb_axi_awburst[0] = \lsu_axi_awburst[0] ; assign ifu_axi_rready = \lsu_axi_awburst[0] ; assign ifu_axi_arcache[0] = \lsu_axi_awburst[0] ; assign ifu_axi_arcache[1] = \lsu_axi_awburst[0] ; assign ifu_axi_arcache[2] = \lsu_axi_awburst[0] ; assign ifu_axi_arcache[3] = \lsu_axi_awburst[0] ; assign ifu_axi_arburst[0] = \lsu_axi_awburst[0] ; assign ifu_axi_arsize[0] = \lsu_axi_awburst[0] ; assign ifu_axi_arsize[1] = \lsu_axi_awburst[0] ; assign lsu_axi_rready = \lsu_axi_awburst[0] ; assign lsu_axi_arburst[0] = \lsu_axi_awburst[0] ; assign lsu_axi_bready = \lsu_axi_awburst[0] ; assign lsu_axi_wlast = \lsu_axi_awburst[0] ; assign lsu_axi_awburst[0] = \lsu_axi_awburst[0] ; assign trace_rv_i_address_ip[0] = \lsu_axi_awid[2] ; assign trace_rv_i_interrupt_ip[0] = \lsu_axi_awid[2] ; assign lsu_axi_awlen[7] = \lsu_axi_awid[2] ; assign lsu_axi_awlen[6] = \lsu_axi_awid[2] ; assign lsu_axi_awlen[5] = \lsu_axi_awid[2] ; assign lsu_axi_awlen[4] = \lsu_axi_awid[2] ; assign lsu_axi_awlen[3] = \lsu_axi_awid[2] ; assign lsu_axi_awlen[2] = \lsu_axi_awid[2] ; assign lsu_axi_awlen[1] = \lsu_axi_awid[2] ; assign lsu_axi_awlen[0] = \lsu_axi_awid[2] ; assign lsu_axi_awsize[2] = \lsu_axi_awid[2] ; assign lsu_axi_awburst[1] = \lsu_axi_awid[2] ; assign lsu_axi_awlock = \lsu_axi_awid[2] ; assign lsu_axi_awprot[2] = \lsu_axi_awid[2] ; assign lsu_axi_awprot[1] = \lsu_axi_awid[2] ; assign lsu_axi_awprot[0] = \lsu_axi_awid[2] ; assign lsu_axi_awqos[3] = \lsu_axi_awid[2] ; assign lsu_axi_awqos[2] = \lsu_axi_awid[2] ; assign lsu_axi_awqos[1] = \lsu_axi_awid[2] ; assign lsu_axi_awqos[0] = \lsu_axi_awid[2] ; assign lsu_axi_arlen[7] = \lsu_axi_awid[2] ; assign lsu_axi_arlen[6] = \lsu_axi_awid[2] ; assign lsu_axi_arlen[5] = \lsu_axi_awid[2] ; assign lsu_axi_arlen[4] = \lsu_axi_awid[2] ; assign lsu_axi_arlen[3] = \lsu_axi_awid[2] ; assign lsu_axi_arlen[2] = \lsu_axi_awid[2] ; assign lsu_axi_arlen[1] = \lsu_axi_awid[2] ; assign lsu_axi_arlen[0] = \lsu_axi_awid[2] ; assign lsu_axi_arsize[2] = \lsu_axi_awid[2] ; assign lsu_axi_arburst[1] = \lsu_axi_awid[2] ; assign lsu_axi_arlock = \lsu_axi_awid[2] ; assign lsu_axi_arprot[2] = \lsu_axi_awid[2] ; assign lsu_axi_arprot[1] = \lsu_axi_awid[2] ; assign lsu_axi_arprot[0] = \lsu_axi_awid[2] ; assign lsu_axi_arqos[3] = \lsu_axi_awid[2] ; assign lsu_axi_arqos[2] = \lsu_axi_awid[2] ; assign lsu_axi_arqos[1] = \lsu_axi_awid[2] ; assign lsu_axi_arqos[0] = \lsu_axi_awid[2] ; assign ifu_axi_awvalid = \lsu_axi_awid[2] ; assign ifu_axi_awid[2] = \lsu_axi_awid[2] ; assign ifu_axi_awid[1] = \lsu_axi_awid[2] ; assign ifu_axi_awid[0] = \lsu_axi_awid[2] ; assign ifu_axi_awaddr[31] = \lsu_axi_awid[2] ; assign ifu_axi_awaddr[30] = \lsu_axi_awid[2] ; assign ifu_axi_awaddr[29] = \lsu_axi_awid[2] ; assign ifu_axi_awaddr[28] = \lsu_axi_awid[2] ; assign ifu_axi_awaddr[27] = \lsu_axi_awid[2] ; assign ifu_axi_awaddr[26] = \lsu_axi_awid[2] ; assign ifu_axi_awaddr[25] = \lsu_axi_awid[2] ; assign ifu_axi_awaddr[24] = \lsu_axi_awid[2] ; assign ifu_axi_awaddr[23] = \lsu_axi_awid[2] ; assign ifu_axi_awaddr[22] = \lsu_axi_awid[2] ; assign ifu_axi_awaddr[21] = \lsu_axi_awid[2] ; assign ifu_axi_awaddr[20] = \lsu_axi_awid[2] ; assign ifu_axi_awaddr[19] = \lsu_axi_awid[2] ; assign ifu_axi_awaddr[18] = \lsu_axi_awid[2] ; assign ifu_axi_awaddr[17] = \lsu_axi_awid[2] ; assign ifu_axi_awaddr[16] = \lsu_axi_awid[2] ; assign ifu_axi_awaddr[15] = \lsu_axi_awid[2] ; assign ifu_axi_awaddr[14] = \lsu_axi_awid[2] ; assign ifu_axi_awaddr[13] = \lsu_axi_awid[2] ; assign ifu_axi_awaddr[12] = \lsu_axi_awid[2] ; assign ifu_axi_awaddr[11] = \lsu_axi_awid[2] ; assign ifu_axi_awaddr[10] = \lsu_axi_awid[2] ; assign ifu_axi_awaddr[9] = \lsu_axi_awid[2] ; assign ifu_axi_awaddr[8] = \lsu_axi_awid[2] ; assign ifu_axi_awaddr[7] = \lsu_axi_awid[2] ; assign ifu_axi_awaddr[6] = \lsu_axi_awid[2] ; assign ifu_axi_awaddr[5] = \lsu_axi_awid[2] ; assign ifu_axi_awaddr[4] = \lsu_axi_awid[2] ; assign ifu_axi_awaddr[3] = \lsu_axi_awid[2] ; assign ifu_axi_awaddr[2] = \lsu_axi_awid[2] ; assign ifu_axi_awaddr[1] = \lsu_axi_awid[2] ; assign ifu_axi_awaddr[0] = \lsu_axi_awid[2] ; assign ifu_axi_awregion[3] = \lsu_axi_awid[2] ; assign ifu_axi_awregion[2] = \lsu_axi_awid[2] ; assign ifu_axi_awregion[1] = \lsu_axi_awid[2] ; assign ifu_axi_awregion[0] = \lsu_axi_awid[2] ; assign ifu_axi_awlen[7] = \lsu_axi_awid[2] ; assign ifu_axi_awlen[6] = \lsu_axi_awid[2] ; assign ifu_axi_awlen[5] = \lsu_axi_awid[2] ; assign ifu_axi_awlen[4] = \lsu_axi_awid[2] ; assign ifu_axi_awlen[3] = \lsu_axi_awid[2] ; assign ifu_axi_awlen[2] = \lsu_axi_awid[2] ; assign ifu_axi_awlen[1] = \lsu_axi_awid[2] ; assign ifu_axi_awlen[0] = \lsu_axi_awid[2] ; assign ifu_axi_awsize[2] = \lsu_axi_awid[2] ; assign ifu_axi_awsize[1] = \lsu_axi_awid[2] ; assign ifu_axi_awsize[0] = \lsu_axi_awid[2] ; assign ifu_axi_awburst[1] = \lsu_axi_awid[2] ; assign ifu_axi_awburst[0] = \lsu_axi_awid[2] ; assign ifu_axi_awlock = \lsu_axi_awid[2] ; assign ifu_axi_awcache[3] = \lsu_axi_awid[2] ; assign ifu_axi_awcache[2] = \lsu_axi_awid[2] ; assign ifu_axi_awcache[1] = \lsu_axi_awid[2] ; assign ifu_axi_awcache[0] = \lsu_axi_awid[2] ; assign ifu_axi_awprot[2] = \lsu_axi_awid[2] ; assign ifu_axi_awprot[1] = \lsu_axi_awid[2] ; assign ifu_axi_awprot[0] = \lsu_axi_awid[2] ; assign ifu_axi_awqos[3] = \lsu_axi_awid[2] ; assign ifu_axi_awqos[2] = \lsu_axi_awid[2] ; assign ifu_axi_awqos[1] = \lsu_axi_awid[2] ; assign ifu_axi_awqos[0] = \lsu_axi_awid[2] ; assign ifu_axi_wvalid = \lsu_axi_awid[2] ; assign ifu_axi_wdata[63] = \lsu_axi_awid[2] ; assign ifu_axi_wdata[62] = \lsu_axi_awid[2] ; assign ifu_axi_wdata[61] = \lsu_axi_awid[2] ; assign ifu_axi_wdata[60] = \lsu_axi_awid[2] ; assign ifu_axi_wdata[59] = \lsu_axi_awid[2] ; assign ifu_axi_wdata[58] = \lsu_axi_awid[2] ; assign ifu_axi_wdata[57] = \lsu_axi_awid[2] ; assign ifu_axi_wdata[56] = \lsu_axi_awid[2] ; assign ifu_axi_wdata[55] = \lsu_axi_awid[2] ; assign ifu_axi_wdata[54] = \lsu_axi_awid[2] ; assign ifu_axi_wdata[53] = \lsu_axi_awid[2] ; assign ifu_axi_wdata[52] = \lsu_axi_awid[2] ; assign ifu_axi_wdata[51] = \lsu_axi_awid[2] ; assign ifu_axi_wdata[50] = \lsu_axi_awid[2] ; assign ifu_axi_wdata[49] = \lsu_axi_awid[2] ; assign ifu_axi_wdata[48] = \lsu_axi_awid[2] ; assign ifu_axi_wdata[47] = \lsu_axi_awid[2] ; assign ifu_axi_wdata[46] = \lsu_axi_awid[2] ; assign ifu_axi_wdata[45] = \lsu_axi_awid[2] ; assign ifu_axi_wdata[44] = \lsu_axi_awid[2] ; assign ifu_axi_wdata[43] = \lsu_axi_awid[2] ; assign ifu_axi_wdata[42] = \lsu_axi_awid[2] ; assign ifu_axi_wdata[41] = \lsu_axi_awid[2] ; assign ifu_axi_wdata[40] = \lsu_axi_awid[2] ; assign ifu_axi_wdata[39] = \lsu_axi_awid[2] ; assign ifu_axi_wdata[38] = \lsu_axi_awid[2] ; assign ifu_axi_wdata[37] = \lsu_axi_awid[2] ; assign ifu_axi_wdata[36] = \lsu_axi_awid[2] ; assign ifu_axi_wdata[35] = \lsu_axi_awid[2] ; assign ifu_axi_wdata[34] = \lsu_axi_awid[2] ; assign ifu_axi_wdata[33] = \lsu_axi_awid[2] ; assign ifu_axi_wdata[32] = \lsu_axi_awid[2] ; assign ifu_axi_wdata[31] = \lsu_axi_awid[2] ; assign ifu_axi_wdata[30] = \lsu_axi_awid[2] ; assign ifu_axi_wdata[29] = \lsu_axi_awid[2] ; assign ifu_axi_wdata[28] = \lsu_axi_awid[2] ; assign ifu_axi_wdata[27] = \lsu_axi_awid[2] ; assign ifu_axi_wdata[26] = \lsu_axi_awid[2] ; assign ifu_axi_wdata[25] = \lsu_axi_awid[2] ; assign ifu_axi_wdata[24] = \lsu_axi_awid[2] ; assign ifu_axi_wdata[23] = \lsu_axi_awid[2] ; assign ifu_axi_wdata[22] = \lsu_axi_awid[2] ; assign ifu_axi_wdata[21] = \lsu_axi_awid[2] ; assign ifu_axi_wdata[20] = \lsu_axi_awid[2] ; assign ifu_axi_wdata[19] = \lsu_axi_awid[2] ; assign ifu_axi_wdata[18] = \lsu_axi_awid[2] ; assign ifu_axi_wdata[17] = \lsu_axi_awid[2] ; assign ifu_axi_wdata[16] = \lsu_axi_awid[2] ; assign ifu_axi_wdata[15] = \lsu_axi_awid[2] ; assign ifu_axi_wdata[14] = \lsu_axi_awid[2] ; assign ifu_axi_wdata[13] = \lsu_axi_awid[2] ; assign ifu_axi_wdata[12] = \lsu_axi_awid[2] ; assign ifu_axi_wdata[11] = \lsu_axi_awid[2] ; assign ifu_axi_wdata[10] = \lsu_axi_awid[2] ; assign ifu_axi_wdata[9] = \lsu_axi_awid[2] ; assign ifu_axi_wdata[8] = \lsu_axi_awid[2] ; assign ifu_axi_wdata[7] = \lsu_axi_awid[2] ; assign ifu_axi_wdata[6] = \lsu_axi_awid[2] ; assign ifu_axi_wdata[5] = \lsu_axi_awid[2] ; assign ifu_axi_wdata[4] = \lsu_axi_awid[2] ; assign ifu_axi_wdata[3] = \lsu_axi_awid[2] ; assign ifu_axi_wdata[2] = \lsu_axi_awid[2] ; assign ifu_axi_wdata[1] = \lsu_axi_awid[2] ; assign ifu_axi_wdata[0] = \lsu_axi_awid[2] ; assign ifu_axi_wstrb[7] = \lsu_axi_awid[2] ; assign ifu_axi_wstrb[6] = \lsu_axi_awid[2] ; assign ifu_axi_wstrb[5] = \lsu_axi_awid[2] ; assign ifu_axi_wstrb[4] = \lsu_axi_awid[2] ; assign ifu_axi_wstrb[3] = \lsu_axi_awid[2] ; assign ifu_axi_wstrb[2] = \lsu_axi_awid[2] ; assign ifu_axi_wstrb[1] = \lsu_axi_awid[2] ; assign ifu_axi_wstrb[0] = \lsu_axi_awid[2] ; assign ifu_axi_wlast = \lsu_axi_awid[2] ; assign ifu_axi_bready = \lsu_axi_awid[2] ; assign ifu_axi_araddr[2] = \lsu_axi_awid[2] ; assign ifu_axi_araddr[1] = \lsu_axi_awid[2] ; assign ifu_axi_araddr[0] = \lsu_axi_awid[2] ; assign ifu_axi_arlen[7] = \lsu_axi_awid[2] ; assign ifu_axi_arlen[6] = \lsu_axi_awid[2] ; assign ifu_axi_arlen[5] = \lsu_axi_awid[2] ; assign ifu_axi_arlen[4] = \lsu_axi_awid[2] ; assign ifu_axi_arlen[3] = \lsu_axi_awid[2] ; assign ifu_axi_arlen[2] = \lsu_axi_awid[2] ; assign ifu_axi_arlen[1] = \lsu_axi_awid[2] ; assign ifu_axi_arlen[0] = \lsu_axi_awid[2] ; assign ifu_axi_arsize[2] = \lsu_axi_awid[2] ; assign ifu_axi_arburst[1] = \lsu_axi_awid[2] ; assign ifu_axi_arlock = \lsu_axi_awid[2] ; assign ifu_axi_arprot[2] = \lsu_axi_awid[2] ; assign ifu_axi_arprot[1] = \lsu_axi_awid[2] ; assign ifu_axi_arprot[0] = \lsu_axi_awid[2] ; assign ifu_axi_arqos[3] = \lsu_axi_awid[2] ; assign ifu_axi_arqos[2] = \lsu_axi_awid[2] ; assign ifu_axi_arqos[1] = \lsu_axi_awid[2] ; assign ifu_axi_arqos[0] = \lsu_axi_awid[2] ; assign sb_axi_awid[0] = \lsu_axi_awid[2] ; assign sb_axi_awlen[7] = \lsu_axi_awid[2] ; assign sb_axi_awlen[6] = \lsu_axi_awid[2] ; assign sb_axi_awlen[5] = \lsu_axi_awid[2] ; assign sb_axi_awlen[4] = \lsu_axi_awid[2] ; assign sb_axi_awlen[3] = \lsu_axi_awid[2] ; assign sb_axi_awlen[2] = \lsu_axi_awid[2] ; assign sb_axi_awlen[1] = \lsu_axi_awid[2] ; assign sb_axi_awlen[0] = \lsu_axi_awid[2] ; assign sb_axi_awburst[1] = \lsu_axi_awid[2] ; assign sb_axi_awlock = \lsu_axi_awid[2] ; assign sb_axi_awprot[2] = \lsu_axi_awid[2] ; assign sb_axi_awprot[1] = \lsu_axi_awid[2] ; assign sb_axi_awprot[0] = \lsu_axi_awid[2] ; assign sb_axi_awqos[3] = \lsu_axi_awid[2] ; assign sb_axi_awqos[2] = \lsu_axi_awid[2] ; assign sb_axi_awqos[1] = \lsu_axi_awid[2] ; assign sb_axi_awqos[0] = \lsu_axi_awid[2] ; assign sb_axi_arid[0] = \lsu_axi_awid[2] ; assign sb_axi_arlen[7] = \lsu_axi_awid[2] ; assign sb_axi_arlen[6] = \lsu_axi_awid[2] ; assign sb_axi_arlen[5] = \lsu_axi_awid[2] ; assign sb_axi_arlen[4] = \lsu_axi_awid[2] ; assign sb_axi_arlen[3] = \lsu_axi_awid[2] ; assign sb_axi_arlen[2] = \lsu_axi_awid[2] ; assign sb_axi_arlen[1] = \lsu_axi_awid[2] ; assign sb_axi_arlen[0] = \lsu_axi_awid[2] ; assign sb_axi_arburst[1] = \lsu_axi_awid[2] ; assign sb_axi_arlock = \lsu_axi_awid[2] ; assign sb_axi_arcache[3] = \lsu_axi_awid[2] ; assign sb_axi_arcache[2] = \lsu_axi_awid[2] ; assign sb_axi_arcache[1] = \lsu_axi_awid[2] ; assign sb_axi_arcache[0] = \lsu_axi_awid[2] ; assign sb_axi_arprot[2] = \lsu_axi_awid[2] ; assign sb_axi_arprot[1] = \lsu_axi_awid[2] ; assign sb_axi_arprot[0] = \lsu_axi_awid[2] ; assign sb_axi_arqos[3] = \lsu_axi_awid[2] ; assign sb_axi_arqos[2] = \lsu_axi_awid[2] ; assign sb_axi_arqos[1] = \lsu_axi_awid[2] ; assign sb_axi_arqos[0] = \lsu_axi_awid[2] ; assign lsu_axi_arid[2] = \lsu_axi_awid[2] ; assign lsu_axi_awid[2] = \lsu_axi_awid[2] ; el2_dma_ctrl dma_ctrl ( .clk(clk), .free_clk(clk), .rst_l(n10880), .dma_bus_clk_en(dma_bus_clk_en), .clk_override( dec_tlu_misc_clk_override), .scan_mode(scan_mode), .dbg_cmd_addr( dbg_cmd_addr), .dbg_cmd_wrdata(dbg_cmd_wrdata), .dbg_cmd_valid( dbg_cmd_valid), .dbg_cmd_write(dbg_cmd_write), .dbg_cmd_type({n25110, \lsu_axi_awid[2] }), .dbg_cmd_size(dbg_cmd_size), .dbg_dma_bubble( dbg_dma_bubble), .dma_dbg_ready(dma_dbg_ready), .dma_dbg_cmd_done( dma_dbg_cmd_done), .dma_dbg_cmd_fail(dma_dbg_cmd_fail), .dma_dbg_rddata(dma_dbg_rddata), .dma_dccm_req(dma_dccm_req), .dma_iccm_req(dma_iccm_req), .dma_mem_tag(dma_mem_tag), .dma_mem_addr( dma_mem_addr), .dma_mem_sz(dma_mem_sz), .dma_mem_wdata(dma_mem_wdata), .dccm_dma_rvalid(dccm_dma_rvalid), .dccm_dma_rtag(dccm_dma_rtag), .dccm_dma_rdata({n10816, n10817, n10818, n10819, n10820, n10821, n10822, n10823, \ashr_306/A[55] , \ashr_306/A[54] , \ashr_306/A[53] , \ashr_306/A[52] , \ashr_306/A[51] , \ashr_306/A[50] , \ashr_306/A[49] , \ashr_306/A[48] , \ashr_306/A[47] , \ashr_306/A[46] , \ashr_306/A[45] , \ashr_306/A[44] , \ashr_306/A[43] , \ashr_306/A[42] , \ashr_306/A[41] , \ashr_306/A[40] , \ashr_306/A[39] , \ashr_306/A[38] , \ashr_306/A[37] , \ashr_306/A[36] , \ashr_306/A[35] , \ashr_306/A[34] , \ashr_306/A[33] , \ashr_306/A[32] , \ashr_306/A[31] , \ashr_306/A[30] , \ashr_306/A[29] , \ashr_306/A[28] , \ashr_306/A[27] , \ashr_306/A[26] , \ashr_306/A[25] , \ashr_306/A[24] , \ashr_306/A[23] , \ashr_306/A[22] , \ashr_306/A[21] , \ashr_306/A[20] , \ashr_306/A[19] , \ashr_306/A[18] , \ashr_306/A[17] , \ashr_306/A[16] , \ashr_306/A[15] , \ashr_306/A[14] , \ashr_306/A[13] , \ashr_306/A[12] , \ashr_306/A[11] , \ashr_306/A[10] , \ashr_306/A[9] , \ashr_306/A[8] , \ashr_306/A[7] , \ashr_306/A[6] , \ashr_306/A[5] , \ashr_306/A[4] , \ashr_306/A[3] , \ashr_306/A[2] , \ashr_306/A[1] , \ashr_306/A[0] }), .iccm_dma_rvalid(iccm_dma_rvalid), .iccm_dma_ecc_error(iccm_dma_ecc_error), .iccm_dma_rtag(iccm_dma_rtag), .iccm_dma_rdata(iccm_dma_rdata), .dma_dccm_stall_any( dma_dccm_stall_any), .dma_iccm_stall_any(dma_iccm_stall_any), .dccm_ready(n10813), .iccm_ready(iccm_ready), .dec_tlu_dma_qos_prty( dec_tlu_dma_qos_prty), .dma_pmu_dccm_read(dma_pmu_dccm_read), .dma_pmu_dccm_write(dma_pmu_dccm_write), .dma_pmu_any_read( dma_pmu_any_read), .dma_pmu_any_write(dma_pmu_any_write), .dma_axi_awvalid(dma_axi_awvalid), .dma_axi_awready(dma_axi_awready), .dma_axi_awid(dma_axi_awid[0]), .dma_axi_awaddr(dma_axi_awaddr), .dma_axi_awsize(dma_axi_awsize), .dma_axi_wvalid(dma_axi_wvalid), .dma_axi_wready(dma_axi_wready), .dma_axi_wdata(dma_axi_wdata), .dma_axi_wstrb(dma_axi_wstrb), .dma_axi_bvalid(dma_axi_bvalid), .dma_axi_bready(dma_axi_bready), .dma_axi_bresp(dma_axi_bresp), .dma_axi_bid(dma_axi_bid[0]), .dma_axi_arvalid(dma_axi_arvalid), .dma_axi_arready(dma_axi_arready), .dma_axi_arid(dma_axi_arid[0]), .dma_axi_araddr(dma_axi_araddr), .dma_axi_arsize(dma_axi_arsize), .dma_axi_rvalid(dma_axi_rvalid), .dma_axi_rready(dma_axi_rready), .dma_axi_rid(dma_axi_rid[0]), .dma_axi_rdata(dma_axi_rdata), .dma_axi_rresp(dma_axi_rresp), .dma_mem_write_BAR(dma_mem_write), .dccm_dma_ecc_error(dccm_dma_ecc_error) ); el2_ifu_mem_ctl \ifu/mem_ctl ( .clk(clk), .free_clk(clk), .active_clk( active_clk), .rst_l(n10880), .dec_tlu_flush_lower_wb(n25080), .dec_tlu_flush_err_wb(dec_tlu_flush_err_r), .dec_tlu_i0_commit_cmt( dec_tlu_i0_commit_cmt), .dec_tlu_force_halt(dec_tlu_force_halt), .ifc_fetch_addr_bf(\ifu/ifc_fetch_addr_bf ), .ifc_fetch_uncacheable_bf(n10815), .ifc_fetch_req_bf( \ifu/ifc_fetch_req_bf ), .ifc_fetch_req_bf_raw(\ifu/ifc/N10 ), .ifc_iccm_access_bf(n10814), .ifc_region_acc_fault_bf( \ifu/ifc_region_acc_fault_bf ), .ifc_dma_access_ok( \ifu/ifc_dma_access_ok ), .dec_tlu_fence_i_wb(dec_tlu_fence_i_r), .ifu_bp_hit_taken_f(\ifu/ifu_bp_hit_taken_f ), .ifu_bp_inst_mask_f( \ifu/ifu_bp_inst_mask_f ), .ifu_miss_state_idle(ifu_miss_state_idle), .ifu_ic_mb_empty(\ifu/ifu_ic_mb_empty ), .ic_dma_active( \ifu/ic_dma_active ), .ic_write_stall(\ifu/ic_write_stall ), .ifu_pmu_ic_miss(ifu_pmu_ic_miss), .ifu_pmu_ic_hit(ifu_pmu_ic_hit), .ifu_pmu_bus_error(ifu_pmu_bus_error), .ifu_pmu_bus_busy( ifu_pmu_bus_busy), .ifu_pmu_bus_trxn(ifu_pmu_bus_trxn), .ifu_axi_arvalid(ifu_axi_arvalid), .ifu_axi_arready(ifu_axi_arready), .ifu_axi_arid(ifu_axi_arid), .ifu_axi_araddr({ifu_axi_araddr[31:3], SYNOPSYS_UNCONNECTED__0, SYNOPSYS_UNCONNECTED__1, SYNOPSYS_UNCONNECTED__2}), .ifu_axi_arregion(ifu_axi_arregion), .ifu_axi_rvalid(ifu_axi_rvalid), .ifu_axi_rid(ifu_axi_rid), .ifu_axi_rdata(ifu_axi_rdata), .ifu_axi_rresp(ifu_axi_rresp), .ifu_bus_clk_en(ifu_bus_clk_en), .dma_iccm_req(dma_iccm_req), .dma_mem_addr(dma_mem_addr), .dma_mem_sz(dma_mem_sz), .dma_mem_wdata( dma_mem_wdata), .dma_mem_tag(dma_mem_tag), .iccm_dma_ecc_error( iccm_dma_ecc_error), .iccm_dma_rvalid(iccm_dma_rvalid), .iccm_dma_rdata(iccm_dma_rdata), .iccm_dma_rtag(iccm_dma_rtag), .iccm_ready(iccm_ready), .ic_rw_addr(ic_rw_addr), .ic_wr_en(ic_wr_en), .ic_rd_en(ic_rd_en), .ic_wr_data({\ic_wr_data[1][70] , \ic_wr_data[1][69] , \ic_wr_data[1][68] , \ic_wr_data[1][67] , \ic_wr_data[1][66] , \ic_wr_data[1][65] , \ic_wr_data[1][64] , \ic_wr_data[1][63] , \ic_wr_data[1][62] , \ic_wr_data[1][61] , \ic_wr_data[1][60] , \ic_wr_data[1][59] , \ic_wr_data[1][58] , \ic_wr_data[1][57] , \ic_wr_data[1][56] , \ic_wr_data[1][55] , \ic_wr_data[1][54] , \ic_wr_data[1][53] , \ic_wr_data[1][52] , \ic_wr_data[1][51] , \ic_wr_data[1][50] , \ic_wr_data[1][49] , \ic_wr_data[1][48] , \ic_wr_data[1][47] , \ic_wr_data[1][46] , \ic_wr_data[1][45] , \ic_wr_data[1][44] , \ic_wr_data[1][43] , \ic_wr_data[1][42] , \ic_wr_data[1][41] , \ic_wr_data[1][40] , \ic_wr_data[1][39] , \ic_wr_data[1][38] , \ic_wr_data[1][37] , \ic_wr_data[1][36] , \ic_wr_data[1][35] , \ic_wr_data[1][34] , \ic_wr_data[1][33] , \ic_wr_data[1][32] , \ic_wr_data[1][31] , \ic_wr_data[1][30] , \ic_wr_data[1][29] , \ic_wr_data[1][28] , \ic_wr_data[1][27] , \ic_wr_data[1][26] , \ic_wr_data[1][25] , \ic_wr_data[1][24] , \ic_wr_data[1][23] , \ic_wr_data[1][22] , \ic_wr_data[1][21] , \ic_wr_data[1][20] , \ic_wr_data[1][19] , \ic_wr_data[1][18] , \ic_wr_data[1][17] , \ic_wr_data[1][16] , \ic_wr_data[1][15] , \ic_wr_data[1][14] , \ic_wr_data[1][13] , \ic_wr_data[1][12] , \ic_wr_data[1][11] , \ic_wr_data[1][10] , \ic_wr_data[1][9] , \ic_wr_data[1][8] , \ic_wr_data[1][7] , \ic_wr_data[1][6] , \ic_wr_data[1][5] , \ic_wr_data[1][4] , \ic_wr_data[1][3] , \ic_wr_data[1][2] , \ic_wr_data[1][1] , \ic_wr_data[1][0] , \ic_wr_data[0][70] , \ic_wr_data[0][69] , \ic_wr_data[0][68] , \ic_wr_data[0][67] , \ic_wr_data[0][66] , \ic_wr_data[0][65] , \ic_wr_data[0][64] , \ic_wr_data[0][63] , \ic_wr_data[0][62] , \ic_wr_data[0][61] , \ic_wr_data[0][60] , \ic_wr_data[0][59] , \ic_wr_data[0][58] , \ic_wr_data[0][57] , \ic_wr_data[0][56] , \ic_wr_data[0][55] , \ic_wr_data[0][54] , \ic_wr_data[0][53] , \ic_wr_data[0][52] , \ic_wr_data[0][51] , \ic_wr_data[0][50] , \ic_wr_data[0][49] , \ic_wr_data[0][48] , \ic_wr_data[0][47] , \ic_wr_data[0][46] , \ic_wr_data[0][45] , \ic_wr_data[0][44] , \ic_wr_data[0][43] , \ic_wr_data[0][42] , \ic_wr_data[0][41] , \ic_wr_data[0][40] , \ic_wr_data[0][39] , \ic_wr_data[0][38] , \ic_wr_data[0][37] , \ic_wr_data[0][36] , \ic_wr_data[0][35] , \ic_wr_data[0][34] , \ic_wr_data[0][33] , \ic_wr_data[0][32] , \ic_wr_data[0][31] , \ic_wr_data[0][30] , \ic_wr_data[0][29] , \ic_wr_data[0][28] , \ic_wr_data[0][27] , \ic_wr_data[0][26] , \ic_wr_data[0][25] , \ic_wr_data[0][24] , \ic_wr_data[0][23] , \ic_wr_data[0][22] , \ic_wr_data[0][21] , \ic_wr_data[0][20] , \ic_wr_data[0][19] , \ic_wr_data[0][18] , \ic_wr_data[0][17] , \ic_wr_data[0][16] , \ic_wr_data[0][15] , \ic_wr_data[0][14] , \ic_wr_data[0][13] , \ic_wr_data[0][12] , \ic_wr_data[0][11] , \ic_wr_data[0][10] , \ic_wr_data[0][9] , \ic_wr_data[0][8] , \ic_wr_data[0][7] , \ic_wr_data[0][6] , \ic_wr_data[0][5] , \ic_wr_data[0][4] , \ic_wr_data[0][3] , \ic_wr_data[0][2] , \ic_wr_data[0][1] , \ic_wr_data[0][0] }), .ic_rd_data({\lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , ic_rd_data[31:0]}), .ic_debug_rd_data(ic_debug_rd_data), .ictag_debug_rd_data( ictag_debug_rd_data), .ic_debug_wr_data(ic_debug_wr_data), .ifu_ic_debug_rd_data(ifu_ic_debug_rd_data), .ic_eccerr(ic_eccerr), .ic_parerr({\lsu_axi_awid[2] , \lsu_axi_awid[2] }), .ic_debug_addr( ic_debug_addr), .ic_debug_rd_en(ic_debug_rd_en), .ic_debug_wr_en( ic_debug_wr_en), .ic_debug_tag_array(ic_debug_tag_array), .ic_debug_way(ic_debug_way), .ic_tag_valid(ic_tag_valid), .ic_rd_hit( ic_rd_hit), .ic_tag_perr(ic_tag_perr), .iccm_rw_addr(iccm_rw_addr), .iccm_wren(iccm_wren), .iccm_rden(iccm_rden), .iccm_wr_data( iccm_wr_data), .iccm_wr_size(iccm_wr_size), .iccm_rd_data(iccm_rd_data), .iccm_rd_data_ecc(iccm_rd_data_ecc), .ifu_fetch_val({\lsu_axi_awid[2] , \lsu_axi_awid[2] }), .ic_access_fault_f(\ifu/ic_access_fault_f ), .ic_access_fault_type_f(\ifu/ic_access_fault_type_f ), .iccm_rd_ecc_single_err(ifu_iccm_rd_ecc_single_err), .iccm_rd_ecc_double_err(\ifu/iccm_rd_ecc_double_err ), .ic_error_start(ifu_ic_error_start), .ifu_async_error_start( \ifu/ifu_async_error_start ), .iccm_dma_sb_error(iccm_dma_sb_error), .ic_data_f(\ifu/ifu_fetch_data_f ), .ic_premux_data(ic_premux_data), .ic_sel_premux_data(ic_sel_premux_data), .dec_tlu_ic_diag_pkt({ \dec_tlu_ic_diag_pkt[icache_wrdata][70] , \dec_tlu_ic_diag_pkt[icache_wrdata][69] , \dec_tlu_ic_diag_pkt[icache_wrdata][68] , \dec_tlu_ic_diag_pkt[icache_wrdata][67] , \dec_tlu_ic_diag_pkt[icache_wrdata][66] , \dec_tlu_ic_diag_pkt[icache_wrdata][65] , \dec_tlu_ic_diag_pkt[icache_wrdata][64] , \dec_tlu_ic_diag_pkt[icache_wrdata][63] , \dec_tlu_ic_diag_pkt[icache_wrdata][62] , \dec_tlu_ic_diag_pkt[icache_wrdata][61] , \dec_tlu_ic_diag_pkt[icache_wrdata][60] , \dec_tlu_ic_diag_pkt[icache_wrdata][59] , \dec_tlu_ic_diag_pkt[icache_wrdata][58] , \dec_tlu_ic_diag_pkt[icache_wrdata][57] , \dec_tlu_ic_diag_pkt[icache_wrdata][56] , \dec_tlu_ic_diag_pkt[icache_wrdata][55] , \dec_tlu_ic_diag_pkt[icache_wrdata][54] , \dec_tlu_ic_diag_pkt[icache_wrdata][53] , \dec_tlu_ic_diag_pkt[icache_wrdata][52] , \dec_tlu_ic_diag_pkt[icache_wrdata][51] , \dec_tlu_ic_diag_pkt[icache_wrdata][50] , \dec_tlu_ic_diag_pkt[icache_wrdata][49] , \dec_tlu_ic_diag_pkt[icache_wrdata][48] , \dec_tlu_ic_diag_pkt[icache_wrdata][47] , \dec_tlu_ic_diag_pkt[icache_wrdata][46] , \dec_tlu_ic_diag_pkt[icache_wrdata][45] , \dec_tlu_ic_diag_pkt[icache_wrdata][44] , \dec_tlu_ic_diag_pkt[icache_wrdata][43] , \dec_tlu_ic_diag_pkt[icache_wrdata][42] , \dec_tlu_ic_diag_pkt[icache_wrdata][41] , \dec_tlu_ic_diag_pkt[icache_wrdata][40] , \dec_tlu_ic_diag_pkt[icache_wrdata][39] , \dec_tlu_ic_diag_pkt[icache_wrdata][38] , \dec_tlu_ic_diag_pkt[icache_wrdata][37] , \dec_tlu_ic_diag_pkt[icache_wrdata][36] , \dec_tlu_ic_diag_pkt[icache_wrdata][35] , \dec_tlu_ic_diag_pkt[icache_wrdata][34] , \dec_tlu_ic_diag_pkt[icache_wrdata][33] , \dec_tlu_ic_diag_pkt[icache_wrdata][32] , \dec_tlu_ic_diag_pkt[icache_wrdata][31] , \dec_tlu_ic_diag_pkt[icache_wrdata][30] , \dec_tlu_ic_diag_pkt[icache_wrdata][29] , \dec_tlu_ic_diag_pkt[icache_wrdata][28] , \dec_tlu_ic_diag_pkt[icache_wrdata][27] , \dec_tlu_ic_diag_pkt[icache_wrdata][26] , \dec_tlu_ic_diag_pkt[icache_wrdata][25] , \dec_tlu_ic_diag_pkt[icache_wrdata][24] , \dec_tlu_ic_diag_pkt[icache_wrdata][23] , \dec_tlu_ic_diag_pkt[icache_wrdata][22] , \dec_tlu_ic_diag_pkt[icache_wrdata][21] , \dec_tlu_ic_diag_pkt[icache_wrdata][20] , \dec_tlu_ic_diag_pkt[icache_wrdata][19] , \dec_tlu_ic_diag_pkt[icache_wrdata][18] , \dec_tlu_ic_diag_pkt[icache_wrdata][17] , \dec_tlu_ic_diag_pkt[icache_wrdata][16] , \dec_tlu_ic_diag_pkt[icache_wrdata][15] , \dec_tlu_ic_diag_pkt[icache_wrdata][14] , \dec_tlu_ic_diag_pkt[icache_wrdata][13] , \dec_tlu_ic_diag_pkt[icache_wrdata][12] , \dec_tlu_ic_diag_pkt[icache_wrdata][11] , \dec_tlu_ic_diag_pkt[icache_wrdata][10] , \dec_tlu_ic_diag_pkt[icache_wrdata][9] , \dec_tlu_ic_diag_pkt[icache_wrdata][8] , \dec_tlu_ic_diag_pkt[icache_wrdata][7] , \dec_tlu_ic_diag_pkt[icache_wrdata][6] , \dec_tlu_ic_diag_pkt[icache_wrdata][5] , \dec_tlu_ic_diag_pkt[icache_wrdata][4] , \dec_tlu_ic_diag_pkt[icache_wrdata][3] , \dec_tlu_ic_diag_pkt[icache_wrdata][2] , \dec_tlu_ic_diag_pkt[icache_wrdata][1] , \dec_tlu_ic_diag_pkt[icache_wrdata][0] , \dec_tlu_ic_diag_pkt[icache_dicawics][16] , \dec_tlu_ic_diag_pkt[icache_dicawics][15] , \dec_tlu_ic_diag_pkt[icache_dicawics][14] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \dec_tlu_ic_diag_pkt[icache_dicawics][9] , \dec_tlu_ic_diag_pkt[icache_dicawics][8] , \dec_tlu_ic_diag_pkt[icache_dicawics][7] , \dec_tlu_ic_diag_pkt[icache_dicawics][6] , \dec_tlu_ic_diag_pkt[icache_dicawics][5] , \dec_tlu_ic_diag_pkt[icache_dicawics][4] , \dec_tlu_ic_diag_pkt[icache_dicawics][3] , \dec_tlu_ic_diag_pkt[icache_dicawics][2] , \dec_tlu_ic_diag_pkt[icache_dicawics][1] , \dec_tlu_ic_diag_pkt[icache_dicawics][0] , \dec_tlu_ic_diag_pkt[icache_rd_valid] , \dec_tlu_ic_diag_pkt[icache_wr_valid] }), .dec_tlu_core_ecc_disable( dec_tlu_core_ecc_disable), .ifu_ic_debug_rd_data_valid( ifu_ic_debug_rd_data_valid), .iccm_buf_correct_ecc( iccm_buf_correct_ecc), .iccm_correction_state(iccm_correction_state), .scan_mode(scan_mode), .dma_mem_write_BAR(dma_mem_write), .exu_flush_final_BAR(n21805), .\ic_fetch_val_f[1] ( \ifu/ifu_fetch_val [1]), .\ic_fetch_val_f[0]_BAR ( \ifu/ifu_fetch_val [0]), .ic_hit_f_BAR(\ifu/ic_hit_f ) ); el2_ifu_bp_ctl \ifu/bp ( .clk(clk), .active_clk(active_clk), .rst_l( core_rst_l), .ifc_fetch_addr_f(\ifu/ifc_fetch_addr_f ), .ifc_fetch_req_f(\ifu/ifc_fetch_req_f ), .dec_tlu_br0_r_pkt({ \dec_tlu_br0_r_pkt[valid] , \dec_tlu_br0_r_pkt[hist][1] , \dec_tlu_br0_r_pkt[hist][0] , \dec_tlu_br0_r_pkt[br_error] , \dec_tlu_br0_r_pkt[br_start_error] , \dec_tlu_br0_r_pkt[way] , \dec_tlu_br0_r_pkt[middle] }), .exu_i0_br_fghr_r(exu_i0_br_fghr_r), .exu_i0_br_index_r(exu_i0_br_index_r), .dec_tlu_flush_lower_wb(n25080), .dec_tlu_flush_leak_one_wb(dec_tlu_flush_leak_one_r), .dec_tlu_bpred_disable(dec_tlu_bpred_disable), .exu_mp_pkt({ \exu_mp_pkt[misp] , \exu_mp_pkt[ataken] , \exu_mp_pkt[boffset] , \exu_mp_pkt[pc4] , \exu_mp_pkt[hist][1] , \exu_mp_pkt[hist][0] , \exu_mp_pkt[toffset][11] , \exu_mp_pkt[toffset][10] , \exu_mp_pkt[toffset][9] , \exu_mp_pkt[toffset][8] , \exu_mp_pkt[toffset][7] , \exu_mp_pkt[toffset][6] , \exu_mp_pkt[toffset][5] , \exu_mp_pkt[toffset][4] , \exu_mp_pkt[toffset][3] , \exu_mp_pkt[toffset][2] , \exu_mp_pkt[toffset][1] , \exu_mp_pkt[toffset][0] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \exu_mp_pkt[pcall] , \exu_mp_pkt[pret] , \exu_mp_pkt[pja] , \exu_mp_pkt[way] }), .exu_mp_eghr(exu_mp_eghr), .exu_mp_fghr( exu_mp_fghr), .exu_mp_index(exu_mp_index), .exu_mp_btag(exu_mp_btag), .ifu_bp_hit_taken_f(\ifu/ifu_bp_hit_taken_f ), .ifu_bp_btb_target_f( \ifu/ifu_bp_btb_target_f ), .ifu_bp_inst_mask_f( \ifu/ifu_bp_inst_mask_f ), .ifu_bp_fghr_f(\ifu/ifu_bp_fghr_f ), .ifu_bp_way_f(\ifu/ifu_bp_way_f ), .ifu_bp_ret_f(\ifu/ifu_bp_ret_f ), .ifu_bp_hist1_f(\ifu/ifu_bp_hist1_f ), .ifu_bp_hist0_f( \ifu/ifu_bp_hist0_f ), .ifu_bp_pc4_f(\ifu/ifu_bp_pc4_f ), .ifu_bp_valid_f(\ifu/ifu_bp_valid_f ), .ifu_bp_poffset_f( \ifu/ifu_bp_poffset_f ), .scan_mode(scan_mode), .exu_flush_final_BAR( n21805), .ic_hit_f_BAR(\ifu/ic_hit_f ) ); el2_dec_gpr_ctl \dec/arf ( .raddr0(\dec/dec_i0_rs1_d ), .raddr1({n11121, \dec/dec_i0_rs2_d [3], n11289, \dec/dec_i0_rs2_d [1], n25081}), .wen0( \dec/dec_i0_wen_r ), .waddr0(\dec/dec_i0_waddr_r ), .wd0({ dec_dbg_rddata[31:29], n25070, dec_dbg_rddata[27], n25071, dec_dbg_rddata[25:23], n25075, n25072, n25076, n25073, n25074, dec_dbg_rddata[17:8], n17075, n25077, n10901, n17219, n17236, n17217, n25078, n17078}), .wen1(\dec/dec_nonblock_load_wen ), .waddr1( \dec/dec_nonblock_load_waddr ), .wd1(lsu_nonblock_load_data), .wen2( exu_div_wren), .waddr2(\dec/div_waddr_wb ), .wd2(exu_div_result), .clk(clk), .rst_l(n25108), .rd0(gpr_i0_rs1_d), .rd1(gpr_i0_rs2_d), .scan_mode(scan_mode) ); el2_dec_tlu_ctl \dec/tlu ( .clk(clk), .active_clk(active_clk), .free_clk( clk), .rst_l(n25108), .scan_mode(scan_mode), .rst_vec(rst_vec), .nmi_int(nmi_int), .nmi_vec(nmi_vec), .i_cpu_halt_req(i_cpu_halt_req), .i_cpu_run_req(i_cpu_run_req), .lsu_fastint_stall_any( lsu_fastint_stall_any), .ifu_pmu_instr_aligned(ifu_pmu_instr_aligned), .ifu_pmu_fetch_stall(ifu_pmu_fetch_stall), .ifu_pmu_ic_miss( ifu_pmu_ic_miss), .ifu_pmu_ic_hit(ifu_pmu_ic_hit), .ifu_pmu_bus_error( ifu_pmu_bus_error), .ifu_pmu_bus_busy(ifu_pmu_bus_busy), .ifu_pmu_bus_trxn(ifu_pmu_bus_trxn), .dec_pmu_instr_decoded( \lsu_axi_awid[2] ), .dec_pmu_decode_stall(\dec/dec_pmu_decode_stall ), .dec_pmu_presync_stall(\dec/dec_pmu_presync_stall ), .lsu_store_stall_any(lsu_store_stall_any), .dma_dccm_stall_any( dma_dccm_stall_any), .dma_iccm_stall_any(dma_iccm_stall_any), .exu_pmu_i0_br_misp(\lsu_axi_awid[2] ), .exu_pmu_i0_br_ataken( exu_pmu_i0_br_ataken), .exu_pmu_i0_pc4(exu_pmu_i0_pc4), .lsu_pmu_bus_trxn(lsu_pmu_bus_trxn), .lsu_pmu_bus_misaligned( lsu_pmu_bus_misaligned), .lsu_pmu_bus_error(lsu_pmu_bus_error), .lsu_pmu_bus_busy(lsu_pmu_bus_busy), .lsu_pmu_load_external_m( lsu_pmu_load_external_m), .lsu_pmu_store_external_m( lsu_pmu_store_external_m), .dma_pmu_dccm_read(dma_pmu_dccm_read), .dma_pmu_dccm_write(dma_pmu_dccm_write), .dma_pmu_any_read( dma_pmu_any_read), .dma_pmu_any_write(dma_pmu_any_write), .lsu_fir_addr(lsu_fir_addr), .lsu_fir_error(lsu_fir_error), .iccm_dma_sb_error(iccm_dma_sb_error), .lsu_error_pkt_r({ \lsu_error_pkt_r[exc_valid] , \lsu_error_pkt_r[single_ecc_error] , \lsu_error_pkt_r[inst_type] , \lsu_error_pkt_r[exc_type] , \lsu_axi_awid[2] , \lsu_error_pkt_r[mscause][2] , \lsu_error_pkt_r[mscause][1] , \lsu_error_pkt_r[mscause][0] , \lsu_error_pkt_r[addr][31] , \lsu_error_pkt_r[addr][30] , \lsu_error_pkt_r[addr][29] , \lsu_error_pkt_r[addr][28] , \lsu_error_pkt_r[addr][27] , \lsu_error_pkt_r[addr][26] , \lsu_error_pkt_r[addr][25] , \lsu_error_pkt_r[addr][24] , \lsu_error_pkt_r[addr][23] , \lsu_error_pkt_r[addr][22] , \lsu_error_pkt_r[addr][21] , \lsu_error_pkt_r[addr][20] , \lsu_error_pkt_r[addr][19] , \lsu_error_pkt_r[addr][18] , \lsu_error_pkt_r[addr][17] , \lsu_error_pkt_r[addr][16] , \lsu_error_pkt_r[addr][15] , \lsu_error_pkt_r[addr][14] , \lsu_error_pkt_r[addr][13] , \lsu_error_pkt_r[addr][12] , \lsu_error_pkt_r[addr][11] , \lsu_error_pkt_r[addr][10] , \lsu_error_pkt_r[addr][9] , \lsu_error_pkt_r[addr][8] , \lsu_error_pkt_r[addr][7] , \lsu_error_pkt_r[addr][6] , \lsu_error_pkt_r[addr][5] , \lsu_error_pkt_r[addr][4] , \lsu_error_pkt_r[addr][3] , \lsu_error_pkt_r[addr][2] , \lsu_error_pkt_r[addr][1] , \lsu_error_pkt_r[addr][0] }), .lsu_single_ecc_error_incr(lsu_single_ecc_error_incr), .dec_pause_state(\dec/dec_pause_state ), .lsu_imprecise_error_store_any(lsu_imprecise_error_store_any), .lsu_imprecise_error_load_any(lsu_imprecise_error_load_any), .lsu_imprecise_error_addr_any(lsu_imprecise_error_addr_any), .dec_csr_any_unq_d(\dec/dec_csr_any_unq_d ), .dec_csr_rdaddr_d({ \dec/dec_csr_rdaddr_d[11] , \exu/i0_predict_p_d[toffset][9] , \exu/i0_predict_p_d[toffset][8] , \exu/i0_predict_p_d[toffset][7] , \exu/i0_predict_p_d[toffset][6] , \exu/i0_predict_p_d[toffset][5] , \exu/i0_predict_p_d[toffset][4] , n11121, \dec/dec_i0_rs2_d [3], n11289, \dec/dec_i0_rs2_d [1], n25081}), .dec_csr_wen_r( \dec/dec_csr_wen_r ), .dec_csr_wraddr_r(\dec/dec_csr_wraddr_r ), .dec_csr_wrdata_r(\dec/dec_csr_wrdata_r ), .dec_csr_stall_int_ff( \dec/dec_csr_stall_int_ff ), .dec_tlu_i0_valid_r( \dec/dec_tlu_i0_valid_r ), .exu_npc_r(exu_npc_r), .dec_tlu_i0_pc_r( \dec/dec_tlu_i0_pc_r ), .dec_tlu_packet_r({ \dec/dec_tlu_packet_r[legal] , \dec/dec_tlu_packet_r[icaf] , \dec/dec_tlu_packet_r[icaf_f1] , \dec/dec_tlu_packet_r[icaf_type][1] , \dec/dec_tlu_packet_r[icaf_type][0] , \dec/dec_tlu_packet_r[fence_i] , \dec/dec_tlu_packet_r[i0trigger][3] , \dec/dec_tlu_packet_r[i0trigger][2] , \dec/dec_tlu_packet_r[i0trigger][1] , \dec/dec_tlu_packet_r[i0trigger][0] , \dec/dec_tlu_packet_r[pmu_i0_itype][3] , \dec/dec_tlu_packet_r[pmu_i0_itype][2] , \dec/dec_tlu_packet_r[pmu_i0_itype][1] , \dec/dec_tlu_packet_r[pmu_i0_itype][0] , \dec/dec_tlu_packet_r[pmu_i0_br_unpred] , \dec/dec_tlu_packet_r[pmu_divide] , \dec/dec_tlu_packet_r[pmu_lsu_misaligned] }), .dec_illegal_inst( \dec/dec_illegal_inst ), .exu_i0_br_hist_r(exu_i0_br_hist_r), .exu_i0_br_error_r(exu_i0_br_error_r), .exu_i0_br_start_error_r( exu_i0_br_start_error_r), .exu_i0_br_valid_r(exu_i0_br_valid_r), .exu_i0_br_mp_r(exu_i0_br_mp_r), .exu_i0_br_middle_r( exu_i0_br_middle_r), .exu_i0_br_way_r(exu_i0_br_way_r), .dec_dbg_cmd_done(dec_dbg_cmd_done), .dec_dbg_cmd_fail( dec_dbg_cmd_fail), .dec_tlu_debug_mode(dec_tlu_debug_mode), .dec_tlu_resume_ack(dec_tlu_resume_ack), .dec_tlu_debug_stall( \dec/dec_tlu_debug_stall ), .dec_tlu_flush_noredir_r( dec_tlu_flush_noredir_r), .dec_tlu_mpc_halted_only( dec_tlu_mpc_halted_only), .dec_tlu_flush_leak_one_r( dec_tlu_flush_leak_one_r), .dec_tlu_flush_err_r(dec_tlu_flush_err_r), .dec_tlu_flush_extint(\dec/dec_tlu_flush_extint ), .dec_tlu_meihap({ dec_tlu_meihap[31:10], SYNOPSYS_UNCONNECTED__3, SYNOPSYS_UNCONNECTED__4, SYNOPSYS_UNCONNECTED__5, dec_tlu_meihap[6:2]}), .dbg_halt_req(dbg_halt_req), .dbg_resume_req(dbg_resume_req), .ifu_miss_state_idle(ifu_miss_state_idle), .lsu_idle_any(\lsu/N19 ), .dec_div_active(\dec/dec_div_active ), .trigger_pkt_any({ \trigger_pkt_any[3][select] , \trigger_pkt_any[3][match] , \trigger_pkt_any[3][store] , \trigger_pkt_any[3][load] , \dec/n62 , \dec/n63 , \trigger_pkt_any[3][tdata2][31] , \trigger_pkt_any[3][tdata2][30] , \trigger_pkt_any[3][tdata2][29] , \trigger_pkt_any[3][tdata2][28] , \trigger_pkt_any[3][tdata2][27] , \trigger_pkt_any[3][tdata2][26] , \trigger_pkt_any[3][tdata2][25] , \trigger_pkt_any[3][tdata2][24] , \trigger_pkt_any[3][tdata2][23] , \trigger_pkt_any[3][tdata2][22] , \trigger_pkt_any[3][tdata2][21] , \trigger_pkt_any[3][tdata2][20] , \trigger_pkt_any[3][tdata2][19] , \trigger_pkt_any[3][tdata2][18] , \trigger_pkt_any[3][tdata2][17] , \trigger_pkt_any[3][tdata2][16] , \trigger_pkt_any[3][tdata2][15] , \trigger_pkt_any[3][tdata2][14] , \trigger_pkt_any[3][tdata2][13] , \trigger_pkt_any[3][tdata2][12] , \trigger_pkt_any[3][tdata2][11] , \trigger_pkt_any[3][tdata2][10] , \trigger_pkt_any[3][tdata2][9] , \trigger_pkt_any[3][tdata2][8] , \trigger_pkt_any[3][tdata2][7] , \trigger_pkt_any[3][tdata2][6] , \trigger_pkt_any[3][tdata2][5] , \trigger_pkt_any[3][tdata2][4] , \trigger_pkt_any[3][tdata2][3] , \trigger_pkt_any[3][tdata2][2] , \trigger_pkt_any[3][tdata2][1] , \trigger_pkt_any[3][tdata2][0] , \trigger_pkt_any[2][select] , \trigger_pkt_any[2][match] , \trigger_pkt_any[2][store] , \trigger_pkt_any[2][load] , \dec/n64 , \dec/n65 , \trigger_pkt_any[2][tdata2][31] , \trigger_pkt_any[2][tdata2][30] , \trigger_pkt_any[2][tdata2][29] , \trigger_pkt_any[2][tdata2][28] , \trigger_pkt_any[2][tdata2][27] , \trigger_pkt_any[2][tdata2][26] , \trigger_pkt_any[2][tdata2][25] , \trigger_pkt_any[2][tdata2][24] , \trigger_pkt_any[2][tdata2][23] , \trigger_pkt_any[2][tdata2][22] , \trigger_pkt_any[2][tdata2][21] , \trigger_pkt_any[2][tdata2][20] , \trigger_pkt_any[2][tdata2][19] , \trigger_pkt_any[2][tdata2][18] , \trigger_pkt_any[2][tdata2][17] , \trigger_pkt_any[2][tdata2][16] , \trigger_pkt_any[2][tdata2][15] , \trigger_pkt_any[2][tdata2][14] , \trigger_pkt_any[2][tdata2][13] , \trigger_pkt_any[2][tdata2][12] , \trigger_pkt_any[2][tdata2][11] , \trigger_pkt_any[2][tdata2][10] , \trigger_pkt_any[2][tdata2][9] , \trigger_pkt_any[2][tdata2][8] , \trigger_pkt_any[2][tdata2][7] , \trigger_pkt_any[2][tdata2][6] , \trigger_pkt_any[2][tdata2][5] , \trigger_pkt_any[2][tdata2][4] , \trigger_pkt_any[2][tdata2][3] , \trigger_pkt_any[2][tdata2][2] , \trigger_pkt_any[2][tdata2][1] , \trigger_pkt_any[2][tdata2][0] , \trigger_pkt_any[1][select] , \trigger_pkt_any[1][match] , \trigger_pkt_any[1][store] , \trigger_pkt_any[1][load] , \dec/n66 , \dec/n67 , \trigger_pkt_any[1][tdata2][31] , \trigger_pkt_any[1][tdata2][30] , \trigger_pkt_any[1][tdata2][29] , \trigger_pkt_any[1][tdata2][28] , \trigger_pkt_any[1][tdata2][27] , \trigger_pkt_any[1][tdata2][26] , \trigger_pkt_any[1][tdata2][25] , \trigger_pkt_any[1][tdata2][24] , \trigger_pkt_any[1][tdata2][23] , \trigger_pkt_any[1][tdata2][22] , \trigger_pkt_any[1][tdata2][21] , \trigger_pkt_any[1][tdata2][20] , \trigger_pkt_any[1][tdata2][19] , \trigger_pkt_any[1][tdata2][18] , \trigger_pkt_any[1][tdata2][17] , \trigger_pkt_any[1][tdata2][16] , \trigger_pkt_any[1][tdata2][15] , \trigger_pkt_any[1][tdata2][14] , \trigger_pkt_any[1][tdata2][13] , \trigger_pkt_any[1][tdata2][12] , \trigger_pkt_any[1][tdata2][11] , \trigger_pkt_any[1][tdata2][10] , \trigger_pkt_any[1][tdata2][9] , \trigger_pkt_any[1][tdata2][8] , \trigger_pkt_any[1][tdata2][7] , \trigger_pkt_any[1][tdata2][6] , \trigger_pkt_any[1][tdata2][5] , \trigger_pkt_any[1][tdata2][4] , \trigger_pkt_any[1][tdata2][3] , \trigger_pkt_any[1][tdata2][2] , \trigger_pkt_any[1][tdata2][1] , \trigger_pkt_any[1][tdata2][0] , \trigger_pkt_any[0][select] , \trigger_pkt_any[0][match] , \trigger_pkt_any[0][store] , \trigger_pkt_any[0][load] , \dec/n68 , \dec/n69 , \trigger_pkt_any[0][tdata2][31] , \trigger_pkt_any[0][tdata2][30] , \trigger_pkt_any[0][tdata2][29] , \trigger_pkt_any[0][tdata2][28] , \trigger_pkt_any[0][tdata2][27] , \trigger_pkt_any[0][tdata2][26] , \trigger_pkt_any[0][tdata2][25] , \trigger_pkt_any[0][tdata2][24] , \trigger_pkt_any[0][tdata2][23] , \trigger_pkt_any[0][tdata2][22] , \trigger_pkt_any[0][tdata2][21] , \trigger_pkt_any[0][tdata2][20] , \trigger_pkt_any[0][tdata2][19] , \trigger_pkt_any[0][tdata2][18] , \trigger_pkt_any[0][tdata2][17] , \trigger_pkt_any[0][tdata2][16] , \trigger_pkt_any[0][tdata2][15] , \trigger_pkt_any[0][tdata2][14] , \trigger_pkt_any[0][tdata2][13] , \trigger_pkt_any[0][tdata2][12] , \trigger_pkt_any[0][tdata2][11] , \trigger_pkt_any[0][tdata2][10] , \trigger_pkt_any[0][tdata2][9] , \trigger_pkt_any[0][tdata2][8] , \trigger_pkt_any[0][tdata2][7] , \trigger_pkt_any[0][tdata2][6] , \trigger_pkt_any[0][tdata2][5] , \trigger_pkt_any[0][tdata2][4] , \trigger_pkt_any[0][tdata2][3] , \trigger_pkt_any[0][tdata2][2] , \trigger_pkt_any[0][tdata2][1] , \trigger_pkt_any[0][tdata2][0] }), .ifu_ic_error_start(ifu_ic_error_start), .ifu_iccm_rd_ecc_single_err( ifu_iccm_rd_ecc_single_err), .ifu_ic_debug_rd_data( ifu_ic_debug_rd_data), .ifu_ic_debug_rd_data_valid( ifu_ic_debug_rd_data_valid), .dec_tlu_ic_diag_pkt({ \dec_tlu_ic_diag_pkt[icache_wrdata][70] , \dec_tlu_ic_diag_pkt[icache_wrdata][69] , \dec_tlu_ic_diag_pkt[icache_wrdata][68] , \dec_tlu_ic_diag_pkt[icache_wrdata][67] , \dec_tlu_ic_diag_pkt[icache_wrdata][66] , \dec_tlu_ic_diag_pkt[icache_wrdata][65] , \dec_tlu_ic_diag_pkt[icache_wrdata][64] , \dec_tlu_ic_diag_pkt[icache_wrdata][63] , \dec_tlu_ic_diag_pkt[icache_wrdata][62] , \dec_tlu_ic_diag_pkt[icache_wrdata][61] , \dec_tlu_ic_diag_pkt[icache_wrdata][60] , \dec_tlu_ic_diag_pkt[icache_wrdata][59] , \dec_tlu_ic_diag_pkt[icache_wrdata][58] , \dec_tlu_ic_diag_pkt[icache_wrdata][57] , \dec_tlu_ic_diag_pkt[icache_wrdata][56] , \dec_tlu_ic_diag_pkt[icache_wrdata][55] , \dec_tlu_ic_diag_pkt[icache_wrdata][54] , \dec_tlu_ic_diag_pkt[icache_wrdata][53] , \dec_tlu_ic_diag_pkt[icache_wrdata][52] , \dec_tlu_ic_diag_pkt[icache_wrdata][51] , \dec_tlu_ic_diag_pkt[icache_wrdata][50] , \dec_tlu_ic_diag_pkt[icache_wrdata][49] , \dec_tlu_ic_diag_pkt[icache_wrdata][48] , \dec_tlu_ic_diag_pkt[icache_wrdata][47] , \dec_tlu_ic_diag_pkt[icache_wrdata][46] , \dec_tlu_ic_diag_pkt[icache_wrdata][45] , \dec_tlu_ic_diag_pkt[icache_wrdata][44] , \dec_tlu_ic_diag_pkt[icache_wrdata][43] , \dec_tlu_ic_diag_pkt[icache_wrdata][42] , \dec_tlu_ic_diag_pkt[icache_wrdata][41] , \dec_tlu_ic_diag_pkt[icache_wrdata][40] , \dec_tlu_ic_diag_pkt[icache_wrdata][39] , \dec_tlu_ic_diag_pkt[icache_wrdata][38] , \dec_tlu_ic_diag_pkt[icache_wrdata][37] , \dec_tlu_ic_diag_pkt[icache_wrdata][36] , \dec_tlu_ic_diag_pkt[icache_wrdata][35] , \dec_tlu_ic_diag_pkt[icache_wrdata][34] , \dec_tlu_ic_diag_pkt[icache_wrdata][33] , \dec_tlu_ic_diag_pkt[icache_wrdata][32] , \dec_tlu_ic_diag_pkt[icache_wrdata][31] , \dec_tlu_ic_diag_pkt[icache_wrdata][30] , \dec_tlu_ic_diag_pkt[icache_wrdata][29] , \dec_tlu_ic_diag_pkt[icache_wrdata][28] , \dec_tlu_ic_diag_pkt[icache_wrdata][27] , \dec_tlu_ic_diag_pkt[icache_wrdata][26] , \dec_tlu_ic_diag_pkt[icache_wrdata][25] , \dec_tlu_ic_diag_pkt[icache_wrdata][24] , \dec_tlu_ic_diag_pkt[icache_wrdata][23] , \dec_tlu_ic_diag_pkt[icache_wrdata][22] , \dec_tlu_ic_diag_pkt[icache_wrdata][21] , \dec_tlu_ic_diag_pkt[icache_wrdata][20] , \dec_tlu_ic_diag_pkt[icache_wrdata][19] , \dec_tlu_ic_diag_pkt[icache_wrdata][18] , \dec_tlu_ic_diag_pkt[icache_wrdata][17] , \dec_tlu_ic_diag_pkt[icache_wrdata][16] , \dec_tlu_ic_diag_pkt[icache_wrdata][15] , \dec_tlu_ic_diag_pkt[icache_wrdata][14] , \dec_tlu_ic_diag_pkt[icache_wrdata][13] , \dec_tlu_ic_diag_pkt[icache_wrdata][12] , \dec_tlu_ic_diag_pkt[icache_wrdata][11] , \dec_tlu_ic_diag_pkt[icache_wrdata][10] , \dec_tlu_ic_diag_pkt[icache_wrdata][9] , \dec_tlu_ic_diag_pkt[icache_wrdata][8] , \dec_tlu_ic_diag_pkt[icache_wrdata][7] , \dec_tlu_ic_diag_pkt[icache_wrdata][6] , \dec_tlu_ic_diag_pkt[icache_wrdata][5] , \dec_tlu_ic_diag_pkt[icache_wrdata][4] , \dec_tlu_ic_diag_pkt[icache_wrdata][3] , \dec_tlu_ic_diag_pkt[icache_wrdata][2] , \dec_tlu_ic_diag_pkt[icache_wrdata][1] , \dec_tlu_ic_diag_pkt[icache_wrdata][0] , \dec_tlu_ic_diag_pkt[icache_dicawics][16] , \dec_tlu_ic_diag_pkt[icache_dicawics][15] , \dec_tlu_ic_diag_pkt[icache_dicawics][14] , SYNOPSYS_UNCONNECTED__6, SYNOPSYS_UNCONNECTED__7, SYNOPSYS_UNCONNECTED__8, SYNOPSYS_UNCONNECTED__9, \dec_tlu_ic_diag_pkt[icache_dicawics][9] , \dec_tlu_ic_diag_pkt[icache_dicawics][8] , \dec_tlu_ic_diag_pkt[icache_dicawics][7] , \dec_tlu_ic_diag_pkt[icache_dicawics][6] , \dec_tlu_ic_diag_pkt[icache_dicawics][5] , \dec_tlu_ic_diag_pkt[icache_dicawics][4] , \dec_tlu_ic_diag_pkt[icache_dicawics][3] , \dec_tlu_ic_diag_pkt[icache_dicawics][2] , \dec_tlu_ic_diag_pkt[icache_dicawics][1] , \dec_tlu_ic_diag_pkt[icache_dicawics][0] , \dec_tlu_ic_diag_pkt[icache_rd_valid] , \dec_tlu_ic_diag_pkt[icache_wr_valid] }), .pic_claimid({ \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , pic_claimid[4:0]}), .pic_pl(pic_pl), .mhwakeup(mhwakeup), .mexintpend( mexintpend), .timer_int(timer_int), .soft_int(soft_int), .o_cpu_halt_status(o_cpu_halt_status), .o_cpu_halt_ack(o_cpu_halt_ack), .o_cpu_run_ack(o_cpu_run_ack), .o_debug_mode_status( o_debug_mode_status), .core_id(core_id), .mpc_debug_halt_req( mpc_debug_halt_req), .mpc_debug_run_req(mpc_debug_run_req), .mpc_reset_run_req(mpc_reset_run_req), .mpc_debug_halt_ack( mpc_debug_halt_ack), .mpc_debug_run_ack(mpc_debug_run_ack), .debug_brkpt_status(debug_brkpt_status), .dec_tlu_meicurpl( dec_tlu_meicurpl), .dec_tlu_meipt(dec_tlu_meipt), .dec_csr_rddata_d( \dec/dec_csr_rddata_d ), .dec_csr_legal_d(\dec/dec_csr_legal_d ), .dec_tlu_br0_r_pkt({\dec_tlu_br0_r_pkt[valid] , \dec_tlu_br0_r_pkt[hist][1] , \dec_tlu_br0_r_pkt[hist][0] , \dec_tlu_br0_r_pkt[br_error] , \dec_tlu_br0_r_pkt[br_start_error] , \dec_tlu_br0_r_pkt[way] , \dec_tlu_br0_r_pkt[middle] }), .dec_tlu_i0_kill_writeb_wb(\dec/dec_tlu_i0_kill_writeb_wb ), .dec_tlu_flush_lower_wb(\dec/dec_tlu_flush_lower_wb ), .dec_tlu_i0_commit_cmt(dec_tlu_i0_commit_cmt), .dec_tlu_i0_kill_writeb_r(dec_tlu_i0_kill_writeb_r), .dec_tlu_flush_lower_r(dec_tlu_flush_lower_r), .dec_tlu_flush_path_r( dec_tlu_flush_path_r), .dec_tlu_fence_i_r(dec_tlu_fence_i_r), .dec_tlu_wr_pause_r(\dec/dec_tlu_wr_pause_r ), .dec_tlu_flush_pause_r( \dec/dec_tlu_flush_pause_r ), .dec_tlu_presync_d( \dec/dec_tlu_presync_d ), .dec_tlu_postsync_d(\dec/dec_tlu_postsync_d ), .dec_tlu_mrac_ff({SYNOPSYS_UNCONNECTED__10, dec_tlu_mrac_ff[30], SYNOPSYS_UNCONNECTED__11, dec_tlu_mrac_ff[28:0]}), .dec_tlu_force_halt(dec_tlu_force_halt), .dec_tlu_perfcnt0( dec_tlu_perfcnt0), .dec_tlu_perfcnt1(dec_tlu_perfcnt1), .dec_tlu_perfcnt2(dec_tlu_perfcnt2), .dec_tlu_perfcnt3( dec_tlu_perfcnt3), .dec_tlu_i0_exc_valid_wb1( trace_rv_i_exception_ip[0]), .dec_tlu_i0_valid_wb1( \dec/dec_tlu_i0_valid_wb1 ), .dec_tlu_int_valid_wb1( trace_rv_i_valid_ip[1]), .dec_tlu_exc_cause_wb1(trace_rv_i_ecause_ip), .dec_tlu_mtval_wb1(trace_rv_i_tval_ip), .dec_tlu_external_ldfwd_disable(dec_tlu_external_ldfwd_disable), .dec_tlu_sideeffect_posted_disable(dec_tlu_sideeffect_posted_disable), .dec_tlu_core_ecc_disable(dec_tlu_core_ecc_disable), .dec_tlu_bpred_disable(dec_tlu_bpred_disable), .dec_tlu_wb_coalescing_disable(dec_tlu_wb_coalescing_disable), .dec_tlu_pipelining_disable(\dec/dec_tlu_pipelining_disable ), .dec_tlu_dma_qos_prty(dec_tlu_dma_qos_prty), .dec_tlu_misc_clk_override(dec_tlu_misc_clk_override), .dec_tlu_dec_clk_override(\dec/clk_override ), .dec_tlu_lsu_clk_override(dec_tlu_lsu_clk_override), .dec_tlu_pic_clk_override(dec_tlu_pic_clk_override), .dec_tlu_dccm_clk_override(dccm_clk_override), .dec_tlu_icm_clk_override(icm_clk_override), .dec_csr_wen_unq_d_BAR( n4498), .dec_tlu_dbg_halted_BAR(dec_tlu_dbg_halted), .dec_i0_decode_d_BAR(n21795), .dec_pmu_postsync_stall_BAR(n21769) ); el2_lsu_bus_buffer \lsu/bus_intf/bus_buffer ( .clk(clk), .rst_l(core_rst_l), .scan_mode(scan_mode), .dec_tlu_external_ldfwd_disable( dec_tlu_external_ldfwd_disable), .dec_tlu_wb_coalescing_disable( dec_tlu_wb_coalescing_disable), .dec_tlu_sideeffect_posted_disable( dec_tlu_sideeffect_posted_disable), .dec_tlu_force_halt( dec_tlu_force_halt), .lsu_c2_r_clk(\lsu/lsu_c2_r_clk ), .lsu_bus_ibuf_c1_clk(\lsu/lsu_bus_ibuf_c1_clk ), .lsu_bus_obuf_c1_clk( \lsu/lsu_bus_obuf_c1_clk ), .lsu_bus_buf_c1_clk( \lsu/lsu_bus_buf_c1_clk ), .lsu_free_c2_clk(\lsu/lsu_free_c2_clk ), .lsu_busm_clk(\lsu/lsu_busm_clk ), .lsu_pkt_m({\lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu/lsu_pkt_m[load] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu/lsu_pkt_m[valid] }), .lsu_pkt_r({\lsu_axi_awid[2] , \lsu/lsu_pkt_r[by] , \lsu/lsu_pkt_r[half] , \lsu/lsu_pkt_r[word] , \lsu_axi_awid[2] , \lsu/lsu_pkt_r[load] , \lsu/lsu_pkt_r[store] , \lsu/lsu_pkt_r[unsign] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] , \lsu_axi_awid[2] }), .lsu_addr_m({ \lsu/lsu_addr_m [31:2], \lsu_axi_awid[2] , \lsu_axi_awid[2] }), .end_addr_m({\lsu/end_addr_m [31:2], \lsu_axi_awid[2] , \lsu_axi_awid[2] }), .lsu_addr_r({\lsu/lsu_addr_r [31:1], n25079}), .end_addr_r(\lsu/end_addr_r ), .store_data_r(\lsu/store_data_r ), .no_word_merge_r(\lsu/bus_intf/no_word_merge_r ), .no_dword_merge_r( \lsu/bus_intf/no_dword_merge_r ), .lsu_busreq_m(\lsu/lsu_busreq_m ), .lsu_busreq_r(\lsu/lsu_busreq_r ), .ld_full_hit_m( \lsu/bus_intf/ld_full_hit_m ), .flush_m_up(n25080), .flush_r( dec_tlu_i0_kill_writeb_r), .lsu_commit_r(\lsu/lsu_commit_r ), .is_sideeffects_r(\lsu/bus_intf/is_sideeffects_r ), .ldst_dual_d( \lsu/bus_intf/ldst_dual_d ), .ldst_dual_m(\lsu/bus_intf/ldst_dual_m ), .ldst_dual_r(\lsu/bus_intf/ldst_dual_r ), .ldst_byteen_ext_m({ \lsu_axi_awid[2] , \lsu/bus_intf/ldst_byteen_ext_m }), .lsu_bus_buffer_pend_any(\lsu/lsu_bus_buffer_pend_any ), .lsu_bus_buffer_full_any(\lsu/lsu_bus_buffer_full_any ), .lsu_bus_buffer_empty_any(\lsu/lsu_bus_buffer_empty_any ), .ld_byte_hit_buf_lo(\lsu/bus_intf/ld_byte_hit_buf_lo ), .ld_byte_hit_buf_hi({SYNOPSYS_UNCONNECTED__12, \lsu/bus_intf/ld_byte_hit_buf_hi [2:0]}), .ld_fwddata_buf_lo( \lsu/bus_intf/ld_fwddata_buf_lo ), .ld_fwddata_buf_hi({ SYNOPSYS_UNCONNECTED__13, SYNOPSYS_UNCONNECTED__14, SYNOPSYS_UNCONNECTED__15, SYNOPSYS_UNCONNECTED__16, SYNOPSYS_UNCONNECTED__17, SYNOPSYS_UNCONNECTED__18, SYNOPSYS_UNCONNECTED__19, SYNOPSYS_UNCONNECTED__20, \lsu/bus_intf/ld_fwddata_buf_hi [23:0]}), .lsu_imprecise_error_load_any(lsu_imprecise_error_load_any), .lsu_imprecise_error_store_any(lsu_imprecise_error_store_any), .lsu_imprecise_error_addr_any(lsu_imprecise_error_addr_any), .lsu_nonblock_load_valid_m(lsu_nonblock_load_valid_m), .lsu_nonblock_load_tag_m(lsu_nonblock_load_tag_m), .lsu_nonblock_load_inv_r(lsu_nonblock_load_inv_r), .lsu_nonblock_load_inv_tag_r(lsu_nonblock_load_inv_tag_r), .lsu_nonblock_load_data_valid(lsu_nonblock_load_data_valid), .lsu_nonblock_load_data_error(lsu_nonblock_load_data_error), .lsu_nonblock_load_data(lsu_nonblock_load_data), .lsu_pmu_bus_trxn( lsu_pmu_bus_trxn), .lsu_pmu_bus_misaligned(lsu_pmu_bus_misaligned), .lsu_pmu_bus_error(lsu_pmu_bus_error), .lsu_pmu_bus_busy( lsu_pmu_bus_busy), .lsu_axi_awvalid(lsu_axi_awvalid), .lsu_axi_awready(lsu_axi_awready), .lsu_axi_awid({ SYNOPSYS_UNCONNECTED__21, lsu_axi_awid[1:0]}), .lsu_axi_awaddr( lsu_axi_awaddr), .lsu_axi_awregion(lsu_axi_awregion), .lsu_axi_awsize( {SYNOPSYS_UNCONNECTED__22, lsu_axi_awsize[1:0]}), .lsu_axi_awcache( lsu_axi_awcache), .lsu_axi_wvalid(lsu_axi_wvalid), .lsu_axi_wready( lsu_axi_wready), .lsu_axi_wdata(lsu_axi_wdata), .lsu_axi_wstrb( lsu_axi_wstrb), .lsu_axi_bvalid(lsu_axi_bvalid), .lsu_axi_bresp( lsu_axi_bresp), .lsu_axi_bid(lsu_axi_bid), .lsu_axi_arvalid( lsu_axi_arvalid), .lsu_axi_arready(lsu_axi_arready), .lsu_axi_arid({ SYNOPSYS_UNCONNECTED__23, lsu_axi_arid[1:0]}), .lsu_axi_araddr( lsu_axi_araddr), .lsu_axi_arregion(lsu_axi_arregion), .lsu_axi_arsize( {SYNOPSYS_UNCONNECTED__24, lsu_axi_arsize[1:0]}), .lsu_axi_arcache( lsu_axi_arcache), .lsu_axi_rvalid(lsu_axi_rvalid), .lsu_axi_rid( lsu_axi_rid), .lsu_axi_rdata(lsu_axi_rdata), .lsu_axi_rresp( lsu_axi_rresp), .lsu_bus_clk_en(lsu_bus_clk_en), .lsu_bus_clk_en_q( \lsu/bus_intf/lsu_bus_clk_en_q ), .dec_lsu_valid_raw_d_BAR( \lsu/lsu_pkt_d[dma] ), .\lsu_nonblock_load_data_tag[0] ( lsu_nonblock_load_data_tag[0]), .\lsu_nonblock_load_data_tag[1] ( lsu_nonblock_load_data_tag[1]) ); sky130_fd_sc_hd__dlxtn_1 \lsu/clkdomain/lsu_busm_cgc/clkhdr/en_ff_reg ( .D( \lsu/clkdomain/lsu_busm_cgc/clkhdr/enable ), .GATE_N(clk), .Q( \lsu/clkdomain/lsu_busm_cgc/clkhdr/en_ff ) ); sky130_fd_sc_hd__dlxtn_1 \ifu/ifc/faddrf1_ff/genblock.genblock.clkhdr/clkhdr/en_ff_reg ( .D(\ifu/ifc/faddrf1_ff/genblock.genblock.clkhdr/clkhdr/enable ), .GATE_N(clk), .Q( \ifu/ifc/faddrf1_ff/genblock.genblock.clkhdr/clkhdr/en_ff ) ); sky130_fd_sc_hd__dlxtn_1 \dbg/dbg_data1_reg/genblock.genblock.clkhdr/clkhdr/en_ff_reg ( .D(\dbg/dbg_data1_reg/genblock.genblock.clkhdr/clkhdr/enable ), .GATE_N(clk), .Q( \dbg/dbg_data1_reg/genblock.genblock.clkhdr/clkhdr/en_ff ) ); sky130_fd_sc_hd__dlxtn_1 \dbg/dmcommand_reg/genblock.genblock.clkhdr/clkhdr/en_ff_reg ( .D(\dbg/dmcommand_reg/genblock.genblock.clkhdr/clkhdr/enable ), .GATE_N(clk), .Q( \dbg/dmcommand_reg/genblock.genblock.clkhdr/clkhdr/en_ff ) ); sky130_fd_sc_hd__dlxtn_1 \dbg/dbg_free_cgc/clkhdr/en_ff_reg ( .D( \dbg/dbg_free_cgc/clkhdr/enable ), .GATE_N(clk), .Q( \dbg/dbg_free_cgc/clkhdr/en_ff ) ); sky130_fd_sc_hd__dlxtn_1 \dbg/dbg_data0_reg/genblock.genblock.clkhdr/clkhdr/en_ff_reg ( .D(\dbg/dbg_data0_reg/genblock.genblock.clkhdr/clkhdr/enable ), .GATE_N(clk), .Q( \dbg/dbg_data0_reg/genblock.genblock.clkhdr/clkhdr/en_ff ) ); sky130_fd_sc_hd__dlxtn_1 \dbg/sb_free_cgc/clkhdr/en_ff_reg ( .D( \dbg/sb_free_cgc/clkhdr/enable ), .GATE_N(clk), .Q( \dbg/sb_free_cgc/clkhdr/en_ff ) ); sky130_fd_sc_hd__dlxtn_1 \dbg/dbg_sbaddress0_reg/genblock.genblock.clkhdr/clkhdr/en_ff_reg ( .D(\dbg/dbg_sbaddress0_reg/genblock.genblock.clkhdr/clkhdr/enable ), .GATE_N(clk), .Q( \dbg/dbg_sbaddress0_reg/genblock.genblock.clkhdr/clkhdr/en_ff ) ); sky130_fd_sc_hd__dlxtn_1 \dbg/dbg_sbdata1_reg/genblock.genblock.clkhdr/clkhdr/en_ff_reg ( .D(\dbg/dbg_sbdata1_reg/genblock.genblock.clkhdr/clkhdr/enable ), .GATE_N(clk), .Q( \dbg/dbg_sbdata1_reg/genblock.genblock.clkhdr/clkhdr/en_ff ) ); sky130_fd_sc_hd__dlxtn_1 \dbg/dbg_sbdata0_reg/genblock.genblock.clkhdr/clkhdr/en_ff_reg ( .D(\dbg/dbg_sbdata0_reg/genblock.genblock.clkhdr/clkhdr/enable ), .GATE_N(clk), .Q( \dbg/dbg_sbdata0_reg/genblock.genblock.clkhdr/clkhdr/en_ff ) ); sky130_fd_sc_hd__dlxtn_1 \dec/decode/data_gated_cgc/clkhdr/en_ff_reg ( .D( \dec/decode/data_gated_cgc/clkhdr/enable ), .GATE_N(clk), .Q( \dec/decode/data_gated_cgc/clkhdr/en_ff ) ); sky130_fd_sc_hd__dlxtn_1 \active_cg/clkhdr/en_ff_reg ( .D( \active_cg/clkhdr/enable ), .GATE_N(clk), .Q(\active_cg/clkhdr/en_ff ) ); sky130_fd_sc_hd__dlxtn_1 \ifu/aln/q0ff/genblock.genblock.clkhdr/clkhdr/en_ff_reg ( .D(\ifu/aln/brdata0ff/genblock.genblock.clkhdr/clkhdr/enable ), .GATE_N(clk), .Q(\ifu/aln/q0ff/genblock.genblock.clkhdr/clkhdr/en_ff ) ); sky130_fd_sc_hd__dlxtn_1 \ifu/aln/q1ff/genblock.genblock.clkhdr/clkhdr/en_ff_reg ( .D(\ifu/aln/brdata1ff/genblock.genblock.clkhdr/clkhdr/enable ), .GATE_N(clk), .Q(\ifu/aln/q1ff/genblock.genblock.clkhdr/clkhdr/en_ff ) ); sky130_fd_sc_hd__dlxtn_1 \ifu/aln/q2ff/genblock.genblock.clkhdr/clkhdr/en_ff_reg ( .D(\ifu/aln/brdata2ff/genblock.genblock.clkhdr/clkhdr/enable ), .GATE_N(clk), .Q(\ifu/aln/q2ff/genblock.genblock.clkhdr/clkhdr/en_ff ) ); sky130_fd_sc_hd__dlxtn_1 \exu/i_div/exu_div_cgc/clkhdr/en_ff_reg ( .D( \exu/i_div/exu_div_cgc/clkhdr/enable ), .GATE_N(clk), .Q( \exu/i_div/exu_div_cgc/clkhdr/en_ff ) ); sky130_fd_sc_hd__dlxtn_1 \dec/decode/csr_rddata_x_ff/genblock.genblock.clkhdr/clkhdr/en_ff_reg ( .D(\dec/decode/csr_rddata_x_ff/genblock.genblock.clkhdr/clkhdr/enable ), .GATE_N(clk), .Q( \dec/decode/csr_rddata_x_ff/genblock.genblock.clkhdr/clkhdr/en_ff ) ); sky130_fd_sc_hd__dlxtn_1 \dec/decode/e1brpcff/genblock.genblock.clkhdr/clkhdr/en_ff_reg ( .D(\dec/decode/csr_rddata_x_ff/genblock.genblock.clkhdr/clkhdr/enable ), .GATE_N(clk), .Q(\dec/decode/e1brpcff/genblock.genblock.clkhdr/clkhdr/en_ff ) ); sky130_fd_sc_hd__dlxtn_1 \dec/decode/i0xinstff/genblock.genblock.clkhdr/clkhdr/en_ff_reg ( .D(\dec/decode/csr_rddata_x_ff/genblock.genblock.clkhdr/clkhdr/enable ), .GATE_N(clk), .Q( \dec/decode/i0xinstff/genblock.genblock.clkhdr/clkhdr/en_ff ) ); sky130_fd_sc_hd__dlxtn_1 \exu/i_flush_path_x_ff/genblock.genblock.clkhdr/clkhdr/en_ff_reg ( .D(\dec/decode/csr_rddata_x_ff/genblock.genblock.clkhdr/clkhdr/enable ), .GATE_N(clk), .Q( \exu/i_flush_path_x_ff/genblock.genblock.clkhdr/clkhdr/en_ff ) ); sky130_fd_sc_hd__dlxtn_1 \exu/i_csr_rs1_x_ff/genblock.genblock.clkhdr/clkhdr/en_ff_reg ( .D(\dec/decode/csr_rddata_x_ff/genblock.genblock.clkhdr/clkhdr/enable ), .GATE_N(clk), .Q(\exu/i_csr_rs1_x_ff/genblock.genblock.clkhdr/clkhdr/en_ff ) ); sky130_fd_sc_hd__dlxtn_1 \exu/i_predictpacket_x_ff/genblock.genblock.clkhdr/clkhdr/en_ff_reg ( .D(\dec/decode/csr_rddata_x_ff/genblock.genblock.clkhdr/clkhdr/enable ), .GATE_N(clk), .Q( \exu/i_predictpacket_x_ff/genblock.genblock.clkhdr/clkhdr/en_ff ) ); sky130_fd_sc_hd__dlxtn_1 \exu/i_predpipe_x_ff/genblock.genblock.clkhdr/clkhdr/en_ff_reg ( .D(\dec/decode/csr_rddata_x_ff/genblock.genblock.clkhdr/clkhdr/enable ), .GATE_N(clk), .Q(\exu/i_predpipe_x_ff/genblock.genblock.clkhdr/clkhdr/en_ff ) ); sky130_fd_sc_hd__dlxtn_1 \dec/decode/i0wb1pcff/genblock.genblock.clkhdr/clkhdr/en_ff_reg ( .D(\dec/decode/i0wb1instff/genblock.genblock.clkhdr/clkhdr/enable ), .GATE_N(clk), .Q( \dec/decode/i0wb1pcff/genblock.genblock.clkhdr/clkhdr/en_ff ) ); sky130_fd_sc_hd__dlxtn_1 \dec/decode/i0wbpcff/genblock.genblock.clkhdr/clkhdr/en_ff_reg ( .D(\dec/decode/i0wbinstff/genblock.genblock.clkhdr/clkhdr/enable ), .GATE_N(clk), .Q( \dec/decode/i0wbpcff/genblock.genblock.clkhdr/clkhdr/en_ff ) ); sky130_fd_sc_hd__dlxtn_1 \dec/decode/wbff/genblock.genblock.clkhdr/clkhdr/en_ff_reg ( .D(\dec/decode/wbff/genblock.genblock.clkhdr/clkhdr/enable ), .GATE_N( clk), .Q(\dec/decode/wbff/genblock.genblock.clkhdr/clkhdr/en_ff ) ); sky130_fd_sc_hd__dlxtn_1 \exu/i_r_ff1/genblock.genblock.clkhdr/clkhdr/en_ff_reg ( .D(\dec/decode/i0_result_r_ff/genblock.genblock.clkhdr/clkhdr/enable ), .GATE_N(clk), .Q(\exu/i_r_ff1/genblock.genblock.clkhdr/clkhdr/en_ff ) ); sky130_fd_sc_hd__dlxtn_1 \dec/decode/trap_xff/genblock.genblock.clkhdr/clkhdr/en_ff_reg ( .D(\dec/decode/trap_xff/genblock.genblock.clkhdr/clkhdr/enable ), .GATE_N(clk), .Q( \dec/decode/trap_xff/genblock.genblock.clkhdr/clkhdr/en_ff ) ); sky130_fd_sc_hd__dlxtn_1 \dec/decode/trap_r_ff/genblock.genblock.clkhdr/clkhdr/en_ff_reg ( .D(\dec/decode/trap_xff/genblock.genblock.clkhdr/clkhdr/enable ), .GATE_N(clk), .Q( \dec/decode/trap_r_ff/genblock.genblock.clkhdr/clkhdr/en_ff ) ); sky130_fd_sc_hd__dlxtn_1 \dec/decode/e1ff/genblock.genblock.clkhdr/clkhdr/en_ff_reg ( .D(\dec/decode/trap_xff/genblock.genblock.clkhdr/clkhdr/enable ), .GATE_N(clk), .Q( \dec/decode/e1ff/genblock.genblock.clkhdr/clkhdr/en_ff ) ); sky130_fd_sc_hd__dlxtn_1 \exu/i_alu/i_pc_ff/genblock.genblock.clkhdr/clkhdr/en_ff_reg ( .D(\dec/decode/trap_xff/genblock.genblock.clkhdr/clkhdr/enable ), .GATE_N(clk), .Q( \exu/i_alu/i_pc_ff/genblock.genblock.clkhdr/clkhdr/en_ff ) ); sky130_fd_sc_hd__dlxtn_1 \exu/i_alu/i_result_ff/genblock.genblock.clkhdr/clkhdr/en_ff_reg ( .D(\dec/decode/trap_xff/genblock.genblock.clkhdr/clkhdr/enable ), .GATE_N(clk), .Q( \exu/i_alu/i_result_ff/genblock.genblock.clkhdr/clkhdr/en_ff ) ); sky130_fd_sc_hd__dlxtn_1 \exu/i_x_ff/genblock.genblock.clkhdr/clkhdr/en_ff_reg ( .D(\dec/decode/trap_xff/genblock.genblock.clkhdr/clkhdr/enable ), .GATE_N(clk), .Q(\exu/i_x_ff/genblock.genblock.clkhdr/clkhdr/en_ff ) ); sky130_fd_sc_hd__dlxtn_1 \exu/i_r_ff0/genblock.genblock.clkhdr/clkhdr/en_ff_reg ( .D(\dec/decode/r_d_ff/genblock.genblock.clkhdr/clkhdr/enable ), .GATE_N(clk), .Q(\exu/i_r_ff0/genblock.genblock.clkhdr/clkhdr/en_ff ) ); sky130_fd_sc_hd__dlxtn_1 \ifu/aln/f0pcff/genblock.genblock.clkhdr/clkhdr/en_ff_reg ( .D(\ifu/aln/f0pcff/genblock.genblock.clkhdr/clkhdr/enable ), .GATE_N( clk), .Q(\ifu/aln/f0pcff/genblock.genblock.clkhdr/clkhdr/en_ff ) ); sky130_fd_sc_hd__dlxtn_1 \dec/decode/illegal_any_ff/genblock.genblock.clkhdr/clkhdr/en_ff_reg ( .D(\dec/decode/illegal_any_ff/genblock.genblock.clkhdr/clkhdr/enable ), .GATE_N(clk), .Q( \dec/decode/illegal_any_ff/genblock.genblock.clkhdr/clkhdr/en_ff ) ); sky130_fd_sc_hd__dlxtn_1 \exu/i_div/mff/genblock.genblock.clkhdr/clkhdr/en_ff_reg ( .D(\exu/i_div/mff/genblock.genblock.clkhdr/clkhdr/enable ), .GATE_N( clk), .Q(\exu/i_div/mff/genblock.genblock.clkhdr/clkhdr/en_ff ) ); sky130_fd_sc_hd__dlxtn_1 \ifu/aln/f1pcff/genblock.genblock.clkhdr/clkhdr/en_ff_reg ( .D(\ifu/aln/f1pcff/genblock.genblock.clkhdr/clkhdr/enable ), .GATE_N( clk), .Q(\ifu/aln/f1pcff/genblock.genblock.clkhdr/clkhdr/en_ff ) ); sky130_fd_sc_hd__dlxtn_1 \ifu/aln/f2pcff/genblock.genblock.clkhdr/clkhdr/en_ff_reg ( .D(\ifu/aln/f2pcff/genblock.genblock.clkhdr/clkhdr/enable ), .GATE_N( clk), .Q(\ifu/aln/f2pcff/genblock.genblock.clkhdr/clkhdr/en_ff ) ); sky130_fd_sc_hd__dlxtn_1 \exu/i_div/qff/genblock.genblock.clkhdr/clkhdr/en_ff_reg ( .D(\exu/i_div/qff/genblock.genblock.clkhdr/clkhdr/enable ), .GATE_N( clk), .Q(\exu/i_div/qff/genblock.genblock.clkhdr/clkhdr/en_ff ) ); sky130_fd_sc_hd__dlxtn_1 \exu/i_div/aff/genblock.genblock.clkhdr/clkhdr/en_ff_reg ( .D(\exu/i_div/aff/genblock.genblock.clkhdr/clkhdr/enable ), .GATE_N( clk), .Q(\exu/i_div/aff/genblock.genblock.clkhdr/clkhdr/en_ff ) ); sky130_fd_sc_hd__dlxtn_1 \lsu/clkdomain/lsu_store_c1m_cgc/clkhdr/en_ff_reg ( .D(\lsu/clkdomain/lsu_store_c1m_cgc/clkhdr/enable ), .GATE_N(clk), .Q( \lsu/clkdomain/lsu_store_c1m_cgc/clkhdr/en_ff ) ); sky130_fd_sc_hd__dlxtn_1 \lsu/clkdomain/lsu_c1m_cgc/clkhdr/en_ff_reg ( .D( \lsu/clkdomain/lsu_c1m_cgc/clkhdr/enable ), .GATE_N(clk), .Q( \lsu/clkdomain/lsu_c1m_cgc/clkhdr/en_ff ) ); sky130_fd_sc_hd__dlxtn_1 \lsu/clkdomain/lsu_c2m_cgc/clkhdr/en_ff_reg ( .D( \lsu/clkdomain/lsu_c2m_cgc/clkhdr/enable ), .GATE_N(clk), .Q( \lsu/clkdomain/lsu_c2m_cgc/clkhdr/en_ff ) ); sky130_fd_sc_hd__dlxtn_1 \lsu/clkdomain/lsu_store_c1r_cgc/clkhdr/en_ff_reg ( .D(\lsu/clkdomain/lsu_store_c1r_cgc/clkhdr/enable ), .GATE_N(clk), .Q( \lsu/clkdomain/lsu_store_c1r_cgc/clkhdr/en_ff ) ); sky130_fd_sc_hd__dlxtn_1 \lsu/clkdomain/lsu_c1r_cgc/clkhdr/en_ff_reg ( .D( \lsu/clkdomain/lsu_c1r_cgc/clkhdr/enable ), .GATE_N(clk), .Q( \lsu/clkdomain/lsu_c1r_cgc/clkhdr/en_ff ) ); sky130_fd_sc_hd__dlxtn_1 \lsu/clkdomain/lsu_c2r_cgc/clkhdr/en_ff_reg ( .D( \lsu/clkdomain/lsu_c2r_cgc/clkhdr/enable ), .GATE_N(clk), .Q( \lsu/clkdomain/lsu_c2r_cgc/clkhdr/en_ff ) ); sky130_fd_sc_hd__dlxtn_1 \lsu/clkdomain/lsu_free_cgc/clkhdr/en_ff_reg ( .D( \lsu/clkdomain/lsu_free_cgc/clkhdr/enable ), .GATE_N(clk), .Q( \lsu/clkdomain/lsu_free_cgc/clkhdr/en_ff ) ); sky130_fd_sc_hd__dlxtn_1 \dec/decode/write_csr_ff/genblock.genblock.clkhdr/clkhdr/en_ff_reg ( .D(\dec/decode/write_csr_ff/genblock.genblock.clkhdr/clkhdr/enable ), .GATE_N(clk), .Q( \dec/decode/write_csr_ff/genblock.genblock.clkhdr/clkhdr/en_ff ) ); sky130_fd_sc_hd__dlxtn_1 \exu/genblk1.i_data_gate_ff/genblock.genblock.clkhdr/clkhdr/en_ff_reg ( .D(\exu/genblk1.i_data_gate_ff/genblock.genblock.clkhdr/clkhdr/enable ), .GATE_N(clk), .Q( \exu/genblk1.i_data_gate_ff/genblock.genblock.clkhdr/clkhdr/en_ff ) ); sky130_fd_sc_hd__dlxtn_1 \lsu/clkdomain/lsu_stbuf_c1_cgc/clkhdr/en_ff_reg ( .D(\lsu/clkdomain/lsu_stbuf_c1_cgc/clkhdr/enable ), .GATE_N(clk), .Q( \lsu/clkdomain/lsu_stbuf_c1_cgc/clkhdr/en_ff ) ); sky130_fd_sc_hd__dlxtn_1 \lsu/stbuf/GenStBuf[1].stbuf_addrff/genblock.genblock.clkhdr/clkhdr/en_ff_reg ( .D( \lsu/stbuf/GenStBuf[1].stbuf_addrff/genblock.genblock.clkhdr/clkhdr/enable ), .GATE_N(clk), .Q( \lsu/stbuf/GenStBuf[1].stbuf_addrff/genblock.genblock.clkhdr/clkhdr/en_ff ) ); sky130_fd_sc_hd__dlxtn_1 \lsu/stbuf/GenStBuf[0].stbuf_addrff/genblock.genblock.clkhdr/clkhdr/en_ff_reg ( .D( \lsu/stbuf/GenStBuf[0].stbuf_addrff/genblock.genblock.clkhdr/clkhdr/enable ), .GATE_N(clk), .Q( \lsu/stbuf/GenStBuf[0].stbuf_addrff/genblock.genblock.clkhdr/clkhdr/en_ff ) ); sky130_fd_sc_hd__dlxtn_1 \lsu/stbuf/GenStBuf[0].stbuf_dataff/genblock.genblock.clkhdr/clkhdr/en_ff_reg ( .D( \lsu/stbuf/GenStBuf[0].stbuf_addrff/genblock.genblock.clkhdr/clkhdr/enable ), .GATE_N(clk), .Q( \lsu/stbuf/GenStBuf[0].stbuf_dataff/genblock.genblock.clkhdr/clkhdr/en_ff ) ); sky130_fd_sc_hd__dlxtn_1 \lsu/stbuf/GenStBuf[3].stbuf_addrff/genblock.genblock.clkhdr/clkhdr/en_ff_reg ( .D( \lsu/stbuf/GenStBuf[3].stbuf_addrff/genblock.genblock.clkhdr/clkhdr/enable ), .GATE_N(clk), .Q( \lsu/stbuf/GenStBuf[3].stbuf_addrff/genblock.genblock.clkhdr/clkhdr/en_ff ) ); sky130_fd_sc_hd__dlxtn_1 \lsu/stbuf/GenStBuf[3].stbuf_dataff/genblock.genblock.clkhdr/clkhdr/en_ff_reg ( .D( \lsu/stbuf/GenStBuf[3].stbuf_addrff/genblock.genblock.clkhdr/clkhdr/enable ), .GATE_N(clk), .Q( \lsu/stbuf/GenStBuf[3].stbuf_dataff/genblock.genblock.clkhdr/clkhdr/en_ff ) ); sky130_fd_sc_hd__dlxtn_1 \lsu/stbuf/GenStBuf[2].stbuf_addrff/genblock.genblock.clkhdr/clkhdr/en_ff_reg ( .D( \lsu/stbuf/GenStBuf[2].stbuf_addrff/genblock.genblock.clkhdr/clkhdr/enable ), .GATE_N(clk), .Q( \lsu/stbuf/GenStBuf[2].stbuf_addrff/genblock.genblock.clkhdr/clkhdr/en_ff ) ); sky130_fd_sc_hd__dlxtn_1 \lsu/stbuf/GenStBuf[2].stbuf_dataff/genblock.genblock.clkhdr/clkhdr/en_ff_reg ( .D( \lsu/stbuf/GenStBuf[2].stbuf_addrff/genblock.genblock.clkhdr/clkhdr/enable ), .GATE_N(clk), .Q( \lsu/stbuf/GenStBuf[2].stbuf_dataff/genblock.genblock.clkhdr/clkhdr/en_ff ) ); sky130_fd_sc_hd__dlxtn_1 \lsu/stbuf/GenStBuf[1].stbuf_dataff/genblock.genblock.clkhdr/clkhdr/en_ff_reg ( .D( \lsu/stbuf/GenStBuf[1].stbuf_addrff/genblock.genblock.clkhdr/clkhdr/enable ), .GATE_N(clk), .Q( \lsu/stbuf/GenStBuf[1].stbuf_dataff/genblock.genblock.clkhdr/clkhdr/en_ff ) ); sky130_fd_sc_hd__dlxtn_1 \pic_ctrl_inst/pic_addr_c1_cgc/clkhdr/en_ff_reg ( .D(\pic_ctrl_inst/pic_addr_c1_cgc/clkhdr/enable ), .GATE_N(clk), .Q( \pic_ctrl_inst/pic_addr_c1_cgc/clkhdr/en_ff ) ); sky130_fd_sc_hd__dlxtn_1 \pic_ctrl_inst/pic_data_c1_cgc/clkhdr/en_ff_reg ( .D(\pic_ctrl_inst/pic_data_c1_cgc/clkhdr/enable ), .GATE_N(clk), .Q( \pic_ctrl_inst/pic_data_c1_cgc/clkhdr/en_ff ) ); sky130_fd_sc_hd__dlxtn_1 \pic_ctrl_inst/gw_config_c1_cgc/clkhdr/en_ff_reg ( .D(\pic_ctrl_inst/gw_config_c1_cgc/clkhdr/enable ), .GATE_N(clk), .Q( \pic_ctrl_inst/gw_config_c1_cgc/clkhdr/en_ff ) ); sky130_fd_sc_hd__dlxtn_1 \pic_ctrl_inst/pic_pri_c1_cgc/clkhdr/en_ff_reg ( .D(\pic_ctrl_inst/pic_pri_c1_cgc/clkhdr/enable ), .GATE_N(clk), .Q( \pic_ctrl_inst/pic_pri_c1_cgc/clkhdr/en_ff ) ); sky130_fd_sc_hd__dlxtn_1 \pic_ctrl_inst/pic_int_c1_cgc/clkhdr/en_ff_reg ( .D(\pic_ctrl_inst/pic_int_c1_cgc/clkhdr/enable ), .GATE_N(clk), .Q( \pic_ctrl_inst/pic_int_c1_cgc/clkhdr/en_ff ) ); sky130_fd_sc_hd__dlxtn_1 \lsu/ecc/sec_data_lo_rplus1ff/genblock.genblock.clkhdr/clkhdr/en_ff_reg ( .D( \lsu/dccm_ctl/ld_sec_addr_hi_rff/genblock.genblock.clkhdr/clkhdr/enable ), .GATE_N(clk), .Q( \lsu/ecc/sec_data_lo_rplus1ff/genblock.genblock.clkhdr/clkhdr/en_ff ) ); sky130_fd_sc_hd__dlxtn_1 \lsu/clkdomain/lsu_bus_buf_c1_cgc/clkhdr/en_ff_reg ( .D(\lsu/clkdomain/lsu_bus_buf_c1_cgc/clkhdr/enable ), .GATE_N(clk), .Q(\lsu/clkdomain/lsu_bus_buf_c1_cgc/clkhdr/en_ff ) ); sky130_fd_sc_hd__dlxtn_1 \lsu/clkdomain/lsu_bus_obuf_c1_cgc/clkhdr/en_ff_reg ( .D(\lsu/clkdomain/lsu_bus_obuf_c1_cgc/clkhdr/enable ), .GATE_N(clk), .Q(\lsu/clkdomain/lsu_bus_obuf_c1_cgc/clkhdr/en_ff ) ); sky130_fd_sc_hd__dlxtn_1 \lsu/clkdomain/lsu_bus_ibuf_c1_cgc/clkhdr/en_ff_reg ( .D(\lsu/clkdomain/lsu_bus_ibuf_c1_cgc/clkhdr/enable ), .GATE_N(clk), .Q(\lsu/clkdomain/lsu_bus_ibuf_c1_cgc/clkhdr/en_ff ) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_state_reg/genblock.dffs/dout_reg[2] ( .D( \dbg/dbg_state_reg/_0_net_[2] ), .CLK(\dbg/dbg_free_clk ), .RESET_B( n10812), .Q(\dbg/dbg_state [2]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dmabstractcs_error_reg/dout_reg[2] ( .D( \dbg/abstractcs_error_din [2]), .CLK(\dbg/dbg_free_clk ), .RESET_B( n10811), .Q(\dbg/abstractcs_reg [10]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_state_reg/genblock.dffs/dout_reg[0] ( .D( \dbg/dbg_state_reg/_0_net_[0] ), .CLK(\dbg/dbg_free_clk ), .RESET_B( n10812), .Q(\dbg/dbg_state [0]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_state_reg/genblock.dffs/dout_reg[1] ( .D( \dbg/dbg_state_reg/_0_net_[1] ), .CLK(\dbg/dbg_free_clk ), .RESET_B( n10812), .Q(\dbg/dbg_state [1]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dmcontrol_dmactive_ff/genblock.dffs/dout_reg[0] ( .D(\dbg/dmcontrol_dmactive_ff/_0_net_[0] ), .CLK(\dbg/dbg_free_clk ), .RESET_B(dbg_rst_l), .Q(\dbg/dmcontrol_reg_0 ) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dmcommand_reg/genblock.genblock.dff/dout_reg[0] ( .D(dmi_reg_wdata[0]), .CLK(\dbg/dmcommand_reg/l1clk ), .RESET_B(n10811), .Q(\dbg/command_reg [0]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dmcommand_reg/genblock.genblock.dff/dout_reg[1] ( .D(dmi_reg_wdata[1]), .CLK(\dbg/dmcommand_reg/l1clk ), .RESET_B(n25117), .Q(\dbg/command_reg [1]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dmcommand_reg/genblock.genblock.dff/dout_reg[2] ( .D(dmi_reg_wdata[2]), .CLK(\dbg/dmcommand_reg/l1clk ), .RESET_B(n25118), .Q(\dbg/command_reg [2]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dmcommand_reg/genblock.genblock.dff/dout_reg[3] ( .D(dmi_reg_wdata[3]), .CLK(\dbg/dmcommand_reg/l1clk ), .RESET_B(n10811), .Q(\dbg/command_reg [3]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dmcommand_reg/genblock.genblock.dff/dout_reg[4] ( .D(dmi_reg_wdata[4]), .CLK(\dbg/dmcommand_reg/l1clk ), .RESET_B(n25117), .Q(\dbg/command_reg [4]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dmcommand_reg/genblock.genblock.dff/dout_reg[5] ( .D(dmi_reg_wdata[5]), .CLK(\dbg/dmcommand_reg/l1clk ), .RESET_B(n25119), .Q(\dbg/command_reg [5]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dmcommand_reg/genblock.genblock.dff/dout_reg[6] ( .D(dmi_reg_wdata[6]), .CLK(\dbg/dmcommand_reg/l1clk ), .RESET_B(n25117), .Q(\dbg/command_reg [6]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dmcommand_reg/genblock.genblock.dff/dout_reg[7] ( .D(dmi_reg_wdata[7]), .CLK(\dbg/dmcommand_reg/l1clk ), .RESET_B(n25118), .Q(\dbg/command_reg [7]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dmcommand_reg/genblock.genblock.dff/dout_reg[8] ( .D(dmi_reg_wdata[8]), .CLK(\dbg/dmcommand_reg/l1clk ), .RESET_B(n25119), .Q(\dbg/command_reg [8]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dmcommand_reg/genblock.genblock.dff/dout_reg[9] ( .D(dmi_reg_wdata[9]), .CLK(\dbg/dmcommand_reg/l1clk ), .RESET_B(n10811), .Q(\dbg/command_reg [9]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dmcommand_reg/genblock.genblock.dff/dout_reg[10] ( .D(dmi_reg_wdata[10]), .CLK(\dbg/dmcommand_reg/l1clk ), .RESET_B( n25117), .Q(\dbg/command_reg [10]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dmcommand_reg/genblock.genblock.dff/dout_reg[11] ( .D(dmi_reg_wdata[11]), .CLK(\dbg/dmcommand_reg/l1clk ), .RESET_B( n25119), .Q(\dbg/command_reg [11]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dmcommand_reg/genblock.genblock.dff/dout_reg[12] ( .D(dmi_reg_wdata[12]), .CLK(\dbg/dmcommand_reg/l1clk ), .RESET_B( n25118), .Q(\dbg/command_reg [12]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dmcommand_reg/genblock.genblock.dff/dout_reg[13] ( .D(dmi_reg_wdata[13]), .CLK(\dbg/dmcommand_reg/l1clk ), .RESET_B( n10811), .Q(\dbg/command_reg [13]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dmcommand_reg/genblock.genblock.dff/dout_reg[15] ( .D(dmi_reg_wdata[15]), .CLK(\dbg/dmcommand_reg/l1clk ), .RESET_B( n25117), .Q(\dbg/command_reg [15]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dmcommand_reg/genblock.genblock.dff/dout_reg[16] ( .D(dmi_reg_wdata[16]), .CLK(\dbg/dmcommand_reg/l1clk ), .RESET_B( n10811), .Q(dbg_cmd_write) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dmcommand_reg/genblock.genblock.dff/dout_reg[20] ( .D(dmi_reg_wdata[20]), .CLK(\dbg/dmcommand_reg/l1clk ), .RESET_B( n10811), .Q(dbg_cmd_size[0]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dmcommand_reg/genblock.genblock.dff/dout_reg[21] ( .D(dmi_reg_wdata[21]), .CLK(\dbg/dmcommand_reg/l1clk ), .RESET_B( n25119), .Q(dbg_cmd_size[1]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dmcommand_reg/genblock.genblock.dff/dout_reg[22] ( .D(dmi_reg_wdata[22]), .CLK(\dbg/dmcommand_reg/l1clk ), .RESET_B( n25118), .Q(\dbg/command_reg_22 ) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dmcommand_reg/genblock.genblock.dff/dout_reg[24] ( .D(dmi_reg_wdata[24]), .CLK(\dbg/dmcommand_reg/l1clk ), .RESET_B( n10811), .Q(\dbg/command_reg_24 ) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dmcommand_reg/genblock.genblock.dff/dout_reg[25] ( .D(dmi_reg_wdata[25]), .CLK(\dbg/dmcommand_reg/l1clk ), .RESET_B( n25117), .Q(\dbg/command_reg_25 ) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dmcommand_reg/genblock.genblock.dff/dout_reg[26] ( .D(dmi_reg_wdata[26]), .CLK(\dbg/dmcommand_reg/l1clk ), .RESET_B( n25118), .Q(\dbg/command_reg_26 ) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dmcommand_reg/genblock.genblock.dff/dout_reg[27] ( .D(dmi_reg_wdata[27]), .CLK(\dbg/dmcommand_reg/l1clk ), .RESET_B( n10811), .Q(\dbg/command_reg_27 ) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dmcommand_reg/genblock.genblock.dff/dout_reg[28] ( .D(dmi_reg_wdata[28]), .CLK(\dbg/dmcommand_reg/l1clk ), .RESET_B( n25119), .Q(\dbg/command_reg_28 ) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dmcommand_reg/genblock.genblock.dff/dout_reg[29] ( .D(dmi_reg_wdata[29]), .CLK(\dbg/dmcommand_reg/l1clk ), .RESET_B( n10811), .Q(\dbg/command_reg_29 ) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dmcommand_reg/genblock.genblock.dff/dout_reg[30] ( .D(dmi_reg_wdata[30]), .CLK(\dbg/dmcommand_reg/l1clk ), .RESET_B( n10811), .Q(\dbg/command_reg_30 ) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_data1_reg/genblock.genblock.dff/dout_reg[31] ( .D(\dbg/data1_din [31]), .CLK(\dbg/dbg_data1_reg/l1clk ), .RESET_B( n25117), .Q(\dbg/data1_reg [31]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_data1_reg/genblock.genblock.dff/dout_reg[0] ( .D(\dbg/data1_din [0]), .CLK(\dbg/dbg_data1_reg/l1clk ), .RESET_B( n25118), .Q(\dbg/data1_reg [0]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_data1_reg/genblock.genblock.dff/dout_reg[2] ( .D(\dbg/data1_din [2]), .CLK(\dbg/dbg_data1_reg/l1clk ), .RESET_B( n10811), .Q(\dbg/data1_reg [2]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_data1_reg/genblock.genblock.dff/dout_reg[3] ( .D(\dbg/data1_din [3]), .CLK(\dbg/dbg_data1_reg/l1clk ), .RESET_B( n25119), .Q(\dbg/data1_reg [3]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_data1_reg/genblock.genblock.dff/dout_reg[4] ( .D(\dbg/data1_din [4]), .CLK(\dbg/dbg_data1_reg/l1clk ), .RESET_B( n10811), .Q(\dbg/data1_reg [4]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_data1_reg/genblock.genblock.dff/dout_reg[5] ( .D(\dbg/data1_din [5]), .CLK(\dbg/dbg_data1_reg/l1clk ), .RESET_B( n10811), .Q(\dbg/data1_reg [5]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_data1_reg/genblock.genblock.dff/dout_reg[6] ( .D(\dbg/data1_din [6]), .CLK(\dbg/dbg_data1_reg/l1clk ), .RESET_B( n25117), .Q(\dbg/data1_reg [6]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_data1_reg/genblock.genblock.dff/dout_reg[7] ( .D(\dbg/data1_din [7]), .CLK(\dbg/dbg_data1_reg/l1clk ), .RESET_B( n25117), .Q(\dbg/data1_reg [7]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_data1_reg/genblock.genblock.dff/dout_reg[8] ( .D(\dbg/data1_din [8]), .CLK(\dbg/dbg_data1_reg/l1clk ), .RESET_B( n25117), .Q(\dbg/data1_reg [8]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_data1_reg/genblock.genblock.dff/dout_reg[9] ( .D(\dbg/data1_din [9]), .CLK(\dbg/dbg_data1_reg/l1clk ), .RESET_B( n25117), .Q(\dbg/data1_reg [9]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_data1_reg/genblock.genblock.dff/dout_reg[10] ( .D(\dbg/data1_din [10]), .CLK(\dbg/dbg_data1_reg/l1clk ), .RESET_B( n25117), .Q(\dbg/data1_reg [10]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_data1_reg/genblock.genblock.dff/dout_reg[11] ( .D(\dbg/data1_din [11]), .CLK(\dbg/dbg_data1_reg/l1clk ), .RESET_B( n25117), .Q(\dbg/data1_reg [11]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_data1_reg/genblock.genblock.dff/dout_reg[12] ( .D(\dbg/data1_din [12]), .CLK(\dbg/dbg_data1_reg/l1clk ), .RESET_B( n25117), .Q(\dbg/data1_reg [12]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_data1_reg/genblock.genblock.dff/dout_reg[13] ( .D(\dbg/data1_din [13]), .CLK(\dbg/dbg_data1_reg/l1clk ), .RESET_B( n25117), .Q(\dbg/data1_reg [13]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_data1_reg/genblock.genblock.dff/dout_reg[14] ( .D(\dbg/data1_din [14]), .CLK(\dbg/dbg_data1_reg/l1clk ), .RESET_B( n25117), .Q(\dbg/data1_reg [14]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_data1_reg/genblock.genblock.dff/dout_reg[15] ( .D(\dbg/data1_din [15]), .CLK(\dbg/dbg_data1_reg/l1clk ), .RESET_B( n25117), .Q(\dbg/data1_reg [15]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_data1_reg/genblock.genblock.dff/dout_reg[17] ( .D(\dbg/data1_din [17]), .CLK(\dbg/dbg_data1_reg/l1clk ), .RESET_B( n25117), .Q(\dbg/data1_reg [17]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_data1_reg/genblock.genblock.dff/dout_reg[18] ( .D(\dbg/data1_din [18]), .CLK(\dbg/dbg_data1_reg/l1clk ), .RESET_B( n10811), .Q(\dbg/data1_reg [18]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_data1_reg/genblock.genblock.dff/dout_reg[19] ( .D(\dbg/data1_din [19]), .CLK(\dbg/dbg_data1_reg/l1clk ), .RESET_B( n10811), .Q(\dbg/data1_reg [19]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_data1_reg/genblock.genblock.dff/dout_reg[20] ( .D(\dbg/data1_din [20]), .CLK(\dbg/dbg_data1_reg/l1clk ), .RESET_B( n10811), .Q(\dbg/data1_reg [20]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_data1_reg/genblock.genblock.dff/dout_reg[21] ( .D(\dbg/data1_din [21]), .CLK(\dbg/dbg_data1_reg/l1clk ), .RESET_B( n10811), .Q(\dbg/data1_reg [21]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_data1_reg/genblock.genblock.dff/dout_reg[22] ( .D(\dbg/data1_din [22]), .CLK(\dbg/dbg_data1_reg/l1clk ), .RESET_B( n10811), .Q(\dbg/data1_reg [22]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_data1_reg/genblock.genblock.dff/dout_reg[23] ( .D(\dbg/data1_din [23]), .CLK(\dbg/dbg_data1_reg/l1clk ), .RESET_B( n10811), .Q(\dbg/data1_reg [23]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_data1_reg/genblock.genblock.dff/dout_reg[24] ( .D(\dbg/data1_din [24]), .CLK(\dbg/dbg_data1_reg/l1clk ), .RESET_B( n10811), .Q(\dbg/data1_reg [24]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_data1_reg/genblock.genblock.dff/dout_reg[25] ( .D(\dbg/data1_din [25]), .CLK(\dbg/dbg_data1_reg/l1clk ), .RESET_B( n10811), .Q(\dbg/data1_reg [25]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_data1_reg/genblock.genblock.dff/dout_reg[26] ( .D(\dbg/data1_din [26]), .CLK(\dbg/dbg_data1_reg/l1clk ), .RESET_B( n10811), .Q(\dbg/data1_reg [26]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_data1_reg/genblock.genblock.dff/dout_reg[27] ( .D(\dbg/data1_din [27]), .CLK(\dbg/dbg_data1_reg/l1clk ), .RESET_B( n10811), .Q(\dbg/data1_reg [27]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_data1_reg/genblock.genblock.dff/dout_reg[28] ( .D(\dbg/data1_din [28]), .CLK(\dbg/dbg_data1_reg/l1clk ), .RESET_B( n10811), .Q(\dbg/data1_reg [28]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_data1_reg/genblock.genblock.dff/dout_reg[29] ( .D(\dbg/data1_din [29]), .CLK(\dbg/dbg_data1_reg/l1clk ), .RESET_B( n10811), .Q(\dbg/data1_reg [29]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_data1_reg/genblock.genblock.dff/dout_reg[30] ( .D(\dbg/data1_din [30]), .CLK(\dbg/dbg_data1_reg/l1clk ), .RESET_B( n10811), .Q(\dbg/data1_reg [30]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/sb_state_reg/genblock.dffs/dout_reg[3] ( .D( \dbg/sb_state_reg/_0_net_[3] ), .CLK(\dbg/sb_free_clk ), .RESET_B( n10811), .Q(\dbg/sb_state [3]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/sb_state_reg/genblock.dffs/dout_reg[2] ( .D( \dbg/sb_state_reg/_0_net_[2] ), .CLK(\dbg/sb_free_clk ), .RESET_B( n10811), .Q(\dbg/sb_state [2]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/sb_state_reg/genblock.dffs/dout_reg[1] ( .D( \dbg/sb_state_reg/_0_net_[1] ), .CLK(\dbg/sb_free_clk ), .RESET_B( n10811), .Q(\dbg/sb_state [1]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/sb_state_reg/genblock.dffs/dout_reg[0] ( .D( \dbg/sb_state_reg/_0_net_[0] ), .CLK(\dbg/sb_free_clk ), .RESET_B( n10811), .Q(\dbg/sb_state [0]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/sbcs_sbreadonaddr_reg/genblock.dffs/dout_reg[0] ( .D(\dbg/sbcs_sbreadonaddr_reg/_0_net_[0] ), .CLK(\dbg/sb_free_clk ), .RESET_B(n10811), .Q(\dbg/sbcs_reg_20 ) ); sky130_fd_sc_hd__dfrtp_1 \dbg/sbcs_misc_reg/genblock.dffs/dout_reg[0] ( .D( \dbg/sbcs_misc_reg/_0_net_[0] ), .CLK(\dbg/sb_free_clk ), .RESET_B( n10811), .Q(\dbg/sbcs_reg [15]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/sbcs_sbbusy_reg/genblock.dffs/dout_reg[0] ( .D(\dbg/sbcs_sbbusy_reg/_0_net_[0] ), .CLK(\dbg/sb_free_clk ), .RESET_B(n10811), .Q(\dbg/sbcs_reg_21 ) ); sky130_fd_sc_hd__dfrtp_1 \dbg/sbcs_misc_reg/genblock.dffs/dout_reg[1] ( .D( \dbg/sbcs_misc_reg/_0_net_[1] ), .CLK(\dbg/sb_free_clk ), .RESET_B( n10811), .Q(\dbg/sbcs_reg [16]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbaddress0_reg/genblock.genblock.dff/dout_reg[9] ( .D(\dbg/sbaddress0_reg_din [9]), .CLK(\dbg/dbg_sbaddress0_reg/l1clk ), .RESET_B(n10811), .Q(sb_axi_awaddr[9]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbaddress0_reg/genblock.genblock.dff/dout_reg[13] ( .D(\dbg/sbaddress0_reg_din [13]), .CLK(\dbg/dbg_sbaddress0_reg/l1clk ), .RESET_B(n10811), .Q(sb_axi_awaddr[13]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/sbcs_misc_reg/genblock.dffs/dout_reg[2] ( .D( \dbg/sbcs_misc_reg/_0_net_[2] ), .CLK(\dbg/sb_free_clk ), .RESET_B( n10811), .Q(sb_axi_awsize[0]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/sbcs_sbbusyerror_reg/genblock.dffs/dout_reg[0] ( .D(\dbg/sbcs_sbbusyerror_reg/_0_net_[0] ), .CLK(\dbg/sb_free_clk ), .RESET_B(n10811), .Q(\dbg/sbcs_reg_22 ) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbdata1_reg/genblock.genblock.dff/dout_reg[0] ( .D(\dbg/sbdata1_din [0]), .CLK(\dbg/dbg_sbdata1_reg/l1clk ), .RESET_B( n10811), .Q(\dbg/sbdata1_reg [0]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbdata1_reg/genblock.genblock.dff/dout_reg[1] ( .D(\dbg/sbdata1_din [1]), .CLK(\dbg/dbg_sbdata1_reg/l1clk ), .RESET_B( n10811), .Q(\dbg/sbdata1_reg [1]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbdata1_reg/genblock.genblock.dff/dout_reg[3] ( .D(\dbg/sbdata1_din [3]), .CLK(\dbg/dbg_sbdata1_reg/l1clk ), .RESET_B( n25118), .Q(\dbg/sbdata1_reg [3]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbdata1_reg/genblock.genblock.dff/dout_reg[4] ( .D(\dbg/sbdata1_din [4]), .CLK(\dbg/dbg_sbdata1_reg/l1clk ), .RESET_B( n25118), .Q(\dbg/sbdata1_reg [4]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbdata1_reg/genblock.genblock.dff/dout_reg[5] ( .D(\dbg/sbdata1_din [5]), .CLK(\dbg/dbg_sbdata1_reg/l1clk ), .RESET_B( n25118), .Q(\dbg/sbdata1_reg [5]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbdata1_reg/genblock.genblock.dff/dout_reg[6] ( .D(\dbg/sbdata1_din [6]), .CLK(\dbg/dbg_sbdata1_reg/l1clk ), .RESET_B( n25118), .Q(\dbg/sbdata1_reg [6]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbdata1_reg/genblock.genblock.dff/dout_reg[7] ( .D(\dbg/sbdata1_din [7]), .CLK(\dbg/dbg_sbdata1_reg/l1clk ), .RESET_B( n25118), .Q(\dbg/sbdata1_reg [7]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbdata1_reg/genblock.genblock.dff/dout_reg[8] ( .D(\dbg/sbdata1_din [8]), .CLK(\dbg/dbg_sbdata1_reg/l1clk ), .RESET_B( n25118), .Q(\dbg/sbdata1_reg [8]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbdata1_reg/genblock.genblock.dff/dout_reg[9] ( .D(\dbg/sbdata1_din [9]), .CLK(\dbg/dbg_sbdata1_reg/l1clk ), .RESET_B( n25118), .Q(\dbg/sbdata1_reg [9]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbdata1_reg/genblock.genblock.dff/dout_reg[10] ( .D(\dbg/sbdata1_din [10]), .CLK(\dbg/dbg_sbdata1_reg/l1clk ), .RESET_B(n25118), .Q(\dbg/sbdata1_reg [10]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbdata1_reg/genblock.genblock.dff/dout_reg[11] ( .D(\dbg/sbdata1_din [11]), .CLK(\dbg/dbg_sbdata1_reg/l1clk ), .RESET_B(n25118), .Q(\dbg/sbdata1_reg [11]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbdata1_reg/genblock.genblock.dff/dout_reg[12] ( .D(\dbg/sbdata1_din [12]), .CLK(\dbg/dbg_sbdata1_reg/l1clk ), .RESET_B(n25118), .Q(\dbg/sbdata1_reg [12]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbdata1_reg/genblock.genblock.dff/dout_reg[13] ( .D(\dbg/sbdata1_din [13]), .CLK(\dbg/dbg_sbdata1_reg/l1clk ), .RESET_B(n25118), .Q(\dbg/sbdata1_reg [13]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbdata1_reg/genblock.genblock.dff/dout_reg[14] ( .D(\dbg/sbdata1_din [14]), .CLK(\dbg/dbg_sbdata1_reg/l1clk ), .RESET_B(n25119), .Q(\dbg/sbdata1_reg [14]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbdata1_reg/genblock.genblock.dff/dout_reg[15] ( .D(\dbg/sbdata1_din [15]), .CLK(\dbg/dbg_sbdata1_reg/l1clk ), .RESET_B(n25119), .Q(\dbg/sbdata1_reg [15]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbdata1_reg/genblock.genblock.dff/dout_reg[16] ( .D(\dbg/sbdata1_din [16]), .CLK(\dbg/dbg_sbdata1_reg/l1clk ), .RESET_B(n25119), .Q(\dbg/sbdata1_reg [16]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbdata1_reg/genblock.genblock.dff/dout_reg[18] ( .D(\dbg/sbdata1_din [18]), .CLK(\dbg/dbg_sbdata1_reg/l1clk ), .RESET_B(n25119), .Q(\dbg/sbdata1_reg [18]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbdata1_reg/genblock.genblock.dff/dout_reg[19] ( .D(\dbg/sbdata1_din [19]), .CLK(\dbg/dbg_sbdata1_reg/l1clk ), .RESET_B(n25119), .Q(\dbg/sbdata1_reg [19]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbdata1_reg/genblock.genblock.dff/dout_reg[20] ( .D(\dbg/sbdata1_din [20]), .CLK(\dbg/dbg_sbdata1_reg/l1clk ), .RESET_B(n25119), .Q(\dbg/sbdata1_reg [20]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbdata1_reg/genblock.genblock.dff/dout_reg[21] ( .D(\dbg/sbdata1_din [21]), .CLK(\dbg/dbg_sbdata1_reg/l1clk ), .RESET_B(n25119), .Q(\dbg/sbdata1_reg [21]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbdata1_reg/genblock.genblock.dff/dout_reg[22] ( .D(\dbg/sbdata1_din [22]), .CLK(\dbg/dbg_sbdata1_reg/l1clk ), .RESET_B(n25119), .Q(\dbg/sbdata1_reg [22]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbdata1_reg/genblock.genblock.dff/dout_reg[23] ( .D(\dbg/sbdata1_din [23]), .CLK(\dbg/dbg_sbdata1_reg/l1clk ), .RESET_B(n25119), .Q(\dbg/sbdata1_reg [23]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbdata1_reg/genblock.genblock.dff/dout_reg[24] ( .D(\dbg/sbdata1_din [24]), .CLK(\dbg/dbg_sbdata1_reg/l1clk ), .RESET_B(n25119), .Q(\dbg/sbdata1_reg [24]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbdata1_reg/genblock.genblock.dff/dout_reg[25] ( .D(\dbg/sbdata1_din [25]), .CLK(\dbg/dbg_sbdata1_reg/l1clk ), .RESET_B(n25119), .Q(\dbg/sbdata1_reg [25]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbdata1_reg/genblock.genblock.dff/dout_reg[26] ( .D(\dbg/sbdata1_din [26]), .CLK(\dbg/dbg_sbdata1_reg/l1clk ), .RESET_B(n25117), .Q(\dbg/sbdata1_reg [26]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbdata1_reg/genblock.genblock.dff/dout_reg[27] ( .D(\dbg/sbdata1_din [27]), .CLK(\dbg/dbg_sbdata1_reg/l1clk ), .RESET_B(n25117), .Q(\dbg/sbdata1_reg [27]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbdata1_reg/genblock.genblock.dff/dout_reg[28] ( .D(\dbg/sbdata1_din [28]), .CLK(\dbg/dbg_sbdata1_reg/l1clk ), .RESET_B(n10811), .Q(\dbg/sbdata1_reg [28]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbdata1_reg/genblock.genblock.dff/dout_reg[29] ( .D(\dbg/sbdata1_din [29]), .CLK(\dbg/dbg_sbdata1_reg/l1clk ), .RESET_B(n25118), .Q(\dbg/sbdata1_reg [29]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbdata1_reg/genblock.genblock.dff/dout_reg[30] ( .D(\dbg/sbdata1_din [30]), .CLK(\dbg/dbg_sbdata1_reg/l1clk ), .RESET_B(n10811), .Q(\dbg/sbdata1_reg [30]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbdata1_reg/genblock.genblock.dff/dout_reg[31] ( .D(\dbg/sbdata1_din [31]), .CLK(\dbg/dbg_sbdata1_reg/l1clk ), .RESET_B(n25119), .Q(\dbg/sbdata1_reg [31]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbdata0_reg/genblock.genblock.dff/dout_reg[17] ( .D(\dbg/sbdata0_din [17]), .CLK(\dbg/dbg_sbdata0_reg/l1clk ), .RESET_B(n25118), .Q(\dbg/sbdata0_reg [17]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbdata0_reg/genblock.genblock.dff/dout_reg[18] ( .D(\dbg/sbdata0_din [18]), .CLK(\dbg/dbg_sbdata0_reg/l1clk ), .RESET_B(n10811), .Q(\dbg/sbdata0_reg [18]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbdata0_reg/genblock.genblock.dff/dout_reg[19] ( .D(\dbg/sbdata0_din [19]), .CLK(\dbg/dbg_sbdata0_reg/l1clk ), .RESET_B(n10811), .Q(\dbg/sbdata0_reg [19]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbdata0_reg/genblock.genblock.dff/dout_reg[20] ( .D(\dbg/sbdata0_din [20]), .CLK(\dbg/dbg_sbdata0_reg/l1clk ), .RESET_B(n10811), .Q(\dbg/sbdata0_reg [20]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbdata0_reg/genblock.genblock.dff/dout_reg[21] ( .D(\dbg/sbdata0_din [21]), .CLK(\dbg/dbg_sbdata0_reg/l1clk ), .RESET_B(n10811), .Q(\dbg/sbdata0_reg [21]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbdata0_reg/genblock.genblock.dff/dout_reg[22] ( .D(\dbg/sbdata0_din [22]), .CLK(\dbg/dbg_sbdata0_reg/l1clk ), .RESET_B(n10811), .Q(\dbg/sbdata0_reg [22]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbdata0_reg/genblock.genblock.dff/dout_reg[23] ( .D(\dbg/sbdata0_din [23]), .CLK(\dbg/dbg_sbdata0_reg/l1clk ), .RESET_B(n25119), .Q(\dbg/sbdata0_reg [23]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbdata0_reg/genblock.genblock.dff/dout_reg[24] ( .D(\dbg/sbdata0_din [24]), .CLK(\dbg/dbg_sbdata0_reg/l1clk ), .RESET_B(n25118), .Q(\dbg/sbdata0_reg [24]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbdata0_reg/genblock.genblock.dff/dout_reg[25] ( .D(\dbg/sbdata0_din [25]), .CLK(\dbg/dbg_sbdata0_reg/l1clk ), .RESET_B(n10811), .Q(\dbg/sbdata0_reg [25]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbdata0_reg/genblock.genblock.dff/dout_reg[26] ( .D(\dbg/sbdata0_din [26]), .CLK(\dbg/dbg_sbdata0_reg/l1clk ), .RESET_B(n10811), .Q(\dbg/sbdata0_reg [26]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbdata0_reg/genblock.genblock.dff/dout_reg[27] ( .D(\dbg/sbdata0_din [27]), .CLK(\dbg/dbg_sbdata0_reg/l1clk ), .RESET_B(n25117), .Q(\dbg/sbdata0_reg [27]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbdata0_reg/genblock.genblock.dff/dout_reg[28] ( .D(\dbg/sbdata0_din [28]), .CLK(\dbg/dbg_sbdata0_reg/l1clk ), .RESET_B(n10811), .Q(\dbg/sbdata0_reg [28]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbdata0_reg/genblock.genblock.dff/dout_reg[29] ( .D(\dbg/sbdata0_din [29]), .CLK(\dbg/dbg_sbdata0_reg/l1clk ), .RESET_B(n10811), .Q(\dbg/sbdata0_reg [29]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbdata0_reg/genblock.genblock.dff/dout_reg[30] ( .D(\dbg/sbdata0_din [30]), .CLK(\dbg/dbg_sbdata0_reg/l1clk ), .RESET_B(n25117), .Q(\dbg/sbdata0_reg [30]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/sbcs_error_reg/genblock.dffs/dout_reg[0] ( .D(\dbg/sbcs_error_reg/_0_net_[0] ), .CLK(\dbg/sb_free_clk ), .RESET_B(n25119), .Q(\dbg/sbcs_reg [12]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/sbcs_error_reg/genblock.dffs/dout_reg[1] ( .D(\dbg/sbcs_error_reg/_0_net_[1] ), .CLK(\dbg/sb_free_clk ), .RESET_B(n25118), .Q(\dbg/sbcs_reg [13]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/sbcs_error_reg/genblock.dffs/dout_reg[2] ( .D(\dbg/sbcs_error_reg/_0_net_[2] ), .CLK(\dbg/sb_free_clk ), .RESET_B(n25119), .Q(\dbg/sbcs_reg [14]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbdata0_reg/genblock.genblock.dff/dout_reg[0] ( .D(\dbg/sbdata0_din [0]), .CLK(\dbg/dbg_sbdata0_reg/l1clk ), .RESET_B( n25117), .Q(\dbg/sbdata0_reg [0]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbdata0_reg/genblock.genblock.dff/dout_reg[1] ( .D(\dbg/sbdata0_din [1]), .CLK(\dbg/dbg_sbdata0_reg/l1clk ), .RESET_B( n25118), .Q(\dbg/sbdata0_reg [1]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbdata0_reg/genblock.genblock.dff/dout_reg[2] ( .D(\dbg/sbdata0_din [2]), .CLK(\dbg/dbg_sbdata0_reg/l1clk ), .RESET_B( n10811), .Q(\dbg/sbdata0_reg [2]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbdata0_reg/genblock.genblock.dff/dout_reg[3] ( .D(\dbg/sbdata0_din [3]), .CLK(\dbg/dbg_sbdata0_reg/l1clk ), .RESET_B( n10811), .Q(\dbg/sbdata0_reg [3]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbdata0_reg/genblock.genblock.dff/dout_reg[4] ( .D(\dbg/sbdata0_din [4]), .CLK(\dbg/dbg_sbdata0_reg/l1clk ), .RESET_B( n10811), .Q(\dbg/sbdata0_reg [4]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbdata0_reg/genblock.genblock.dff/dout_reg[5] ( .D(\dbg/sbdata0_din [5]), .CLK(\dbg/dbg_sbdata0_reg/l1clk ), .RESET_B( n10811), .Q(\dbg/sbdata0_reg [5]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbdata0_reg/genblock.genblock.dff/dout_reg[6] ( .D(\dbg/sbdata0_din [6]), .CLK(\dbg/dbg_sbdata0_reg/l1clk ), .RESET_B( n25119), .Q(\dbg/sbdata0_reg [6]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbdata0_reg/genblock.genblock.dff/dout_reg[7] ( .D(\dbg/sbdata0_din [7]), .CLK(\dbg/dbg_sbdata0_reg/l1clk ), .RESET_B( n25118), .Q(\dbg/sbdata0_reg [7]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbdata0_reg/genblock.genblock.dff/dout_reg[8] ( .D(\dbg/sbdata0_din [8]), .CLK(\dbg/dbg_sbdata0_reg/l1clk ), .RESET_B( n10811), .Q(\dbg/sbdata0_reg [8]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbdata0_reg/genblock.genblock.dff/dout_reg[9] ( .D(\dbg/sbdata0_din [9]), .CLK(\dbg/dbg_sbdata0_reg/l1clk ), .RESET_B( n10811), .Q(\dbg/sbdata0_reg [9]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbdata0_reg/genblock.genblock.dff/dout_reg[10] ( .D(\dbg/sbdata0_din [10]), .CLK(\dbg/dbg_sbdata0_reg/l1clk ), .RESET_B(n10811), .Q(\dbg/sbdata0_reg [10]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbdata0_reg/genblock.genblock.dff/dout_reg[12] ( .D(\dbg/sbdata0_din [12]), .CLK(\dbg/dbg_sbdata0_reg/l1clk ), .RESET_B(n25119), .Q(\dbg/sbdata0_reg [12]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbdata0_reg/genblock.genblock.dff/dout_reg[13] ( .D(\dbg/sbdata0_din [13]), .CLK(\dbg/dbg_sbdata0_reg/l1clk ), .RESET_B(n10811), .Q(\dbg/sbdata0_reg [13]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbdata0_reg/genblock.genblock.dff/dout_reg[14] ( .D(\dbg/sbdata0_din [14]), .CLK(\dbg/dbg_sbdata0_reg/l1clk ), .RESET_B(n25118), .Q(\dbg/sbdata0_reg [14]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbdata0_reg/genblock.genblock.dff/dout_reg[15] ( .D(\dbg/sbdata0_din [15]), .CLK(\dbg/dbg_sbdata0_reg/l1clk ), .RESET_B(n25119), .Q(\dbg/sbdata0_reg [15]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dmstatus_halted_reg/dout_reg[0] ( .D( \dbg/_2_net_ ), .CLK(\dbg/dbg_free_clk ), .RESET_B(n25118), .Q( \dbg/dmstatus_reg_9 ) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dmabstractcs_error_reg/dout_reg[1] ( .D( \dbg/abstractcs_error_din [1]), .CLK(\dbg/dbg_free_clk ), .RESET_B( n10811), .Q(\dbg/abstractcs_reg [9]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dmcontrol_wrenff/dout_reg[0] ( .D( \dbg/dmcontrol_wren ), .CLK(\dbg/dbg_free_clk ), .RESET_B(n10811), .Q( \dbg/dmcontrol_wren_Q ) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dmcontrolff/genblock.dffs/dout_reg[0] ( .D( \dbg/dmcontrolff/_0_net_[0] ), .CLK(\dbg/dbg_free_clk ), .RESET_B( n10811), .Q(\dbg/dmcontrol_reg_1 ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/sync_inst/sync_ff1/dout_reg[30] ( .D(extintsrc_req[31]), .CLK(clk), .RESET_B(n25107), .Q( \pic_ctrl_inst/sync_inst/din_ff1 [30]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/sync_inst/sync_ff1/dout_reg[0] ( .D(extintsrc_req[1]), .CLK(clk), .RESET_B(n10881), .Q( \pic_ctrl_inst/sync_inst/din_ff1 [0]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/sync_inst/sync_ff1/dout_reg[1] ( .D(extintsrc_req[2]), .CLK(clk), .RESET_B(n25102), .Q( \pic_ctrl_inst/sync_inst/din_ff1 [1]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/sync_inst/sync_ff1/dout_reg[2] ( .D(extintsrc_req[3]), .CLK(clk), .RESET_B(n25104), .Q( \pic_ctrl_inst/sync_inst/din_ff1 [2]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/sync_inst/sync_ff1/dout_reg[3] ( .D(extintsrc_req[4]), .CLK(clk), .RESET_B(n25102), .Q( \pic_ctrl_inst/sync_inst/din_ff1 [3]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/sync_inst/sync_ff1/dout_reg[4] ( .D(extintsrc_req[5]), .CLK(clk), .RESET_B(n25107), .Q( \pic_ctrl_inst/sync_inst/din_ff1 [4]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/sync_inst/sync_ff1/dout_reg[5] ( .D(extintsrc_req[6]), .CLK(clk), .RESET_B(n25107), .Q( \pic_ctrl_inst/sync_inst/din_ff1 [5]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/sync_inst/sync_ff1/dout_reg[6] ( .D(extintsrc_req[7]), .CLK(clk), .RESET_B(n25107), .Q( \pic_ctrl_inst/sync_inst/din_ff1 [6]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/sync_inst/sync_ff1/dout_reg[7] ( .D(extintsrc_req[8]), .CLK(clk), .RESET_B(n25107), .Q( \pic_ctrl_inst/sync_inst/din_ff1 [7]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/sync_inst/sync_ff1/dout_reg[8] ( .D(extintsrc_req[9]), .CLK(clk), .RESET_B(n25105), .Q( \pic_ctrl_inst/sync_inst/din_ff1 [8]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/sync_inst/sync_ff1/dout_reg[9] ( .D(extintsrc_req[10]), .CLK(clk), .RESET_B(n25103), .Q( \pic_ctrl_inst/sync_inst/din_ff1 [9]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/sync_inst/sync_ff1/dout_reg[10] ( .D(extintsrc_req[11]), .CLK(clk), .RESET_B(n10881), .Q( \pic_ctrl_inst/sync_inst/din_ff1 [10]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/sync_inst/sync_ff1/dout_reg[11] ( .D(extintsrc_req[12]), .CLK(clk), .RESET_B(n25107), .Q( \pic_ctrl_inst/sync_inst/din_ff1 [11]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/sync_inst/sync_ff1/dout_reg[12] ( .D(extintsrc_req[13]), .CLK(clk), .RESET_B(n25106), .Q( \pic_ctrl_inst/sync_inst/din_ff1 [12]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/sync_inst/sync_ff1/dout_reg[13] ( .D(extintsrc_req[14]), .CLK(clk), .RESET_B(n25082), .Q( \pic_ctrl_inst/sync_inst/din_ff1 [13]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/sync_inst/sync_ff1/dout_reg[14] ( .D(extintsrc_req[15]), .CLK(clk), .RESET_B(n25105), .Q( \pic_ctrl_inst/sync_inst/din_ff1 [14]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/sync_inst/sync_ff1/dout_reg[15] ( .D(extintsrc_req[16]), .CLK(clk), .RESET_B(n10876), .Q( \pic_ctrl_inst/sync_inst/din_ff1 [15]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/sync_inst/sync_ff1/dout_reg[16] ( .D(extintsrc_req[17]), .CLK(clk), .RESET_B(n10876), .Q( \pic_ctrl_inst/sync_inst/din_ff1 [16]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/sync_inst/sync_ff1/dout_reg[17] ( .D(extintsrc_req[18]), .CLK(clk), .RESET_B(n25106), .Q( \pic_ctrl_inst/sync_inst/din_ff1 [17]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/sync_inst/sync_ff1/dout_reg[18] ( .D(extintsrc_req[19]), .CLK(clk), .RESET_B(n25107), .Q( \pic_ctrl_inst/sync_inst/din_ff1 [18]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/sync_inst/sync_ff1/dout_reg[19] ( .D(extintsrc_req[20]), .CLK(clk), .RESET_B(n10876), .Q( \pic_ctrl_inst/sync_inst/din_ff1 [19]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/sync_inst/sync_ff1/dout_reg[21] ( .D(extintsrc_req[22]), .CLK(clk), .RESET_B(n25100), .Q( \pic_ctrl_inst/sync_inst/din_ff1 [21]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/sync_inst/sync_ff1/dout_reg[22] ( .D(extintsrc_req[23]), .CLK(clk), .RESET_B(n25112), .Q( \pic_ctrl_inst/sync_inst/din_ff1 [22]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/sync_inst/sync_ff1/dout_reg[23] ( .D(extintsrc_req[24]), .CLK(clk), .RESET_B(n25106), .Q( \pic_ctrl_inst/sync_inst/din_ff1 [23]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/sync_inst/sync_ff1/dout_reg[24] ( .D(extintsrc_req[25]), .CLK(clk), .RESET_B(n25107), .Q( \pic_ctrl_inst/sync_inst/din_ff1 [24]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/sync_inst/sync_ff1/dout_reg[25] ( .D(extintsrc_req[26]), .CLK(clk), .RESET_B(n25102), .Q( \pic_ctrl_inst/sync_inst/din_ff1 [25]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/sync_inst/sync_ff1/dout_reg[26] ( .D(extintsrc_req[27]), .CLK(clk), .RESET_B(n25101), .Q( \pic_ctrl_inst/sync_inst/din_ff1 [26]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/sync_inst/sync_ff1/dout_reg[27] ( .D(extintsrc_req[28]), .CLK(clk), .RESET_B(n25104), .Q( \pic_ctrl_inst/sync_inst/din_ff1 [27]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/sync_inst/sync_ff1/dout_reg[28] ( .D(extintsrc_req[29]), .CLK(clk), .RESET_B(n10881), .Q( \pic_ctrl_inst/sync_inst/din_ff1 [28]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/sync_inst/sync_ff1/dout_reg[29] ( .D(extintsrc_req[30]), .CLK(clk), .RESET_B(n25116), .Q( \pic_ctrl_inst/sync_inst/din_ff1 [29]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/sync_inst/sync_ff2/dout_reg[30] ( .D(\pic_ctrl_inst/sync_inst/din_ff1 [30]), .CLK(clk), .RESET_B(n25107), .Q(\pic_ctrl_inst/extintsrc_req_sync [31]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/sync_inst/sync_ff2/dout_reg[0] ( .D(\pic_ctrl_inst/sync_inst/din_ff1 [0]), .CLK(clk), .RESET_B(n25103), .Q(\pic_ctrl_inst/extintsrc_req_sync [1]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/sync_inst/sync_ff2/dout_reg[1] ( .D(\pic_ctrl_inst/sync_inst/din_ff1 [1]), .CLK(clk), .RESET_B(n25105), .Q(\pic_ctrl_inst/extintsrc_req_sync [2]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/sync_inst/sync_ff2/dout_reg[2] ( .D(\pic_ctrl_inst/sync_inst/din_ff1 [2]), .CLK(clk), .RESET_B(n25106), .Q(\pic_ctrl_inst/extintsrc_req_sync [3]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/sync_inst/sync_ff2/dout_reg[3] ( .D(\pic_ctrl_inst/sync_inst/din_ff1 [3]), .CLK(clk), .RESET_B(n10881), .Q(\pic_ctrl_inst/extintsrc_req_sync [4]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/sync_inst/sync_ff2/dout_reg[5] ( .D(\pic_ctrl_inst/sync_inst/din_ff1 [5]), .CLK(clk), .RESET_B(n25100), .Q(\pic_ctrl_inst/extintsrc_req_sync [6]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/sync_inst/sync_ff2/dout_reg[6] ( .D(\pic_ctrl_inst/sync_inst/din_ff1 [6]), .CLK(clk), .RESET_B(n10876), .Q(\pic_ctrl_inst/extintsrc_req_sync [7]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/sync_inst/sync_ff2/dout_reg[7] ( .D(\pic_ctrl_inst/sync_inst/din_ff1 [7]), .CLK(clk), .RESET_B(n25082), .Q(\pic_ctrl_inst/extintsrc_req_sync [8]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/sync_inst/sync_ff2/dout_reg[8] ( .D(\pic_ctrl_inst/sync_inst/din_ff1 [8]), .CLK(clk), .RESET_B(n10876), .Q(\pic_ctrl_inst/extintsrc_req_sync [9]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/sync_inst/sync_ff2/dout_reg[9] ( .D(\pic_ctrl_inst/sync_inst/din_ff1 [9]), .CLK(clk), .RESET_B(n25101), .Q(\pic_ctrl_inst/extintsrc_req_sync [10]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/sync_inst/sync_ff2/dout_reg[10] ( .D(\pic_ctrl_inst/sync_inst/din_ff1 [10]), .CLK(clk), .RESET_B(n25107), .Q(\pic_ctrl_inst/extintsrc_req_sync [11]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/sync_inst/sync_ff2/dout_reg[11] ( .D(\pic_ctrl_inst/sync_inst/din_ff1 [11]), .CLK(clk), .RESET_B(n25104), .Q(\pic_ctrl_inst/extintsrc_req_sync [12]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/sync_inst/sync_ff2/dout_reg[12] ( .D(\pic_ctrl_inst/sync_inst/din_ff1 [12]), .CLK(clk), .RESET_B(n25112), .Q(\pic_ctrl_inst/extintsrc_req_sync [13]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/sync_inst/sync_ff2/dout_reg[13] ( .D(\pic_ctrl_inst/sync_inst/din_ff1 [13]), .CLK(clk), .RESET_B(n25107), .Q(\pic_ctrl_inst/extintsrc_req_sync [14]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/sync_inst/sync_ff2/dout_reg[14] ( .D(\pic_ctrl_inst/sync_inst/din_ff1 [14]), .CLK(clk), .RESET_B(n25106), .Q(\pic_ctrl_inst/extintsrc_req_sync [15]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/sync_inst/sync_ff2/dout_reg[15] ( .D(\pic_ctrl_inst/sync_inst/din_ff1 [15]), .CLK(clk), .RESET_B(n25116), .Q(\pic_ctrl_inst/extintsrc_req_sync [16]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/sync_inst/sync_ff2/dout_reg[16] ( .D(\pic_ctrl_inst/sync_inst/din_ff1 [16]), .CLK(clk), .RESET_B(n25107), .Q(\pic_ctrl_inst/extintsrc_req_sync [17]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/sync_inst/sync_ff2/dout_reg[17] ( .D(\pic_ctrl_inst/sync_inst/din_ff1 [17]), .CLK(clk), .RESET_B(n25103), .Q(\pic_ctrl_inst/extintsrc_req_sync [18]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/sync_inst/sync_ff2/dout_reg[18] ( .D(\pic_ctrl_inst/sync_inst/din_ff1 [18]), .CLK(clk), .RESET_B(n25107), .Q(\pic_ctrl_inst/extintsrc_req_sync [19]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/sync_inst/sync_ff2/dout_reg[20] ( .D(\pic_ctrl_inst/sync_inst/din_ff1 [20]), .CLK(clk), .RESET_B(n10881), .Q(\pic_ctrl_inst/extintsrc_req_sync [21]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/sync_inst/sync_ff2/dout_reg[21] ( .D(\pic_ctrl_inst/sync_inst/din_ff1 [21]), .CLK(clk), .RESET_B(n25100), .Q(\pic_ctrl_inst/extintsrc_req_sync [22]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/sync_inst/sync_ff2/dout_reg[22] ( .D(\pic_ctrl_inst/sync_inst/din_ff1 [22]), .CLK(clk), .RESET_B(n10881), .Q(\pic_ctrl_inst/extintsrc_req_sync [23]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/sync_inst/sync_ff2/dout_reg[23] ( .D(\pic_ctrl_inst/sync_inst/din_ff1 [23]), .CLK(clk), .RESET_B(n25107), .Q(\pic_ctrl_inst/extintsrc_req_sync [24]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/sync_inst/sync_ff2/dout_reg[24] ( .D(\pic_ctrl_inst/sync_inst/din_ff1 [24]), .CLK(clk), .RESET_B(n25082), .Q(\pic_ctrl_inst/extintsrc_req_sync [25]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/sync_inst/sync_ff2/dout_reg[25] ( .D(\pic_ctrl_inst/sync_inst/din_ff1 [25]), .CLK(clk), .RESET_B(n25107), .Q(\pic_ctrl_inst/extintsrc_req_sync [26]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/sync_inst/sync_ff2/dout_reg[26] ( .D(\pic_ctrl_inst/sync_inst/din_ff1 [26]), .CLK(clk), .RESET_B(n25106), .Q(\pic_ctrl_inst/extintsrc_req_sync [27]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/sync_inst/sync_ff2/dout_reg[27] ( .D(\pic_ctrl_inst/sync_inst/din_ff1 [27]), .CLK(clk), .RESET_B(n25101), .Q(\pic_ctrl_inst/extintsrc_req_sync [28]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/sync_inst/sync_ff2/dout_reg[28] ( .D(\pic_ctrl_inst/sync_inst/din_ff1 [28]), .CLK(clk), .RESET_B(n10876), .Q(\pic_ctrl_inst/extintsrc_req_sync [29]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/sync_inst/sync_ff2/dout_reg[29] ( .D(\pic_ctrl_inst/sync_inst/din_ff1 [29]), .CLK(clk), .RESET_B(n25105), .Q(\pic_ctrl_inst/extintsrc_req_sync [30]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/ifc/ran_ff/dout_reg[1] ( .D( dma_iccm_stall_any), .CLK(clk), .RESET_B(n25112), .Q( \ifu/ifc/dma_iccm_stall_any_f ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/bus_intf/clken_ff/dout_reg[0] ( .D( lsu_bus_clk_en), .CLK(clk), .RESET_B(n25107), .Q( \lsu/bus_intf/lsu_bus_clk_en_q ) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dmcontrolff/genblock.dffs/dout_reg[1] ( .D( \dbg/dmcontrolff/_0_net_[1] ), .CLK(\dbg/dbg_free_clk ), .RESET_B( n10811), .Q(\dbg/dmcontrol_reg_28 ) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dmcontrolff/genblock.dffs/dout_reg[2] ( .D( \dbg/dmcontrolff/_0_net_[2] ), .CLK(\dbg/dbg_free_clk ), .RESET_B( n10811), .Q(\dbg/dmcontrol_reg [30]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dmcontrolff/genblock.dffs/dout_reg[3] ( .D( \dbg/dmcontrolff/_0_net_[3] ), .CLK(\dbg/dbg_free_clk ), .RESET_B( n25118), .Q(\dbg/dmcontrol_reg [31]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_data0_reg/genblock.genblock.dff/dout_reg[31] ( .D(\dbg/data0_din [31]), .CLK(\dbg/dbg_data0_reg/l1clk ), .RESET_B( n10811), .Q(dbg_cmd_wrdata[31]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dmi_rddata_reg/genblock.dffs/dout_reg[31] ( .D(\dbg/dmi_rddata_reg/_0_net_[31] ), .CLK(\dbg/dbg_free_clk ), .RESET_B(n10811), .Q(dmi_reg_rdata[31]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_alu/i_result_ff/genblock.genblock.dff/dout_reg[0] ( .D(\exu/i_alu/result [0]), .CLK(\exu/i_alu/i_result_ff/l1clk ), .RESET_B(n25107), .Q(\exu/alu_result_x [0]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/ifc/faddrf1_ff/genblock.genblock.dff/dout_reg[18] ( .D(\ifu/ifc_fetch_addr_bf [19]), .CLK(\ifu/ifc/faddrf1_ff/l1clk ), .RESET_B(n10876), .Q(\ifu/ifc_fetch_addr_f [19]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f1pcff/genblock.genblock.dff/dout_reg[18] ( .D(\ifu/aln/f1pc_in [19]), .CLK(\ifu/aln/f1pcff/l1clk ), .RESET_B( n25104), .Q(\ifu/aln/f1pc [19]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_predpipe_x_ff/genblock.genblock.dff/dout_reg[6] ( .D(i0_predict_index_d[3]), .CLK(\exu/i_predpipe_x_ff/l1clk ), .RESET_B(n25103), .Q(\exu/predpipe_x [6]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/ifc/faddrf1_ff/genblock.genblock.dff/dout_reg[17] ( .D(\ifu/ifc_fetch_addr_bf [18]), .CLK(\ifu/ifc/faddrf1_ff/l1clk ), .RESET_B(n25107), .Q(\ifu/ifc_fetch_addr_f [18]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f1pcff/genblock.genblock.dff/dout_reg[17] ( .D(\ifu/aln/f1pc_in [18]), .CLK(\ifu/aln/f1pcff/l1clk ), .RESET_B( n25106), .Q(\ifu/aln/f1pc [18]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f0pcff/genblock.genblock.dff/dout_reg[17] ( .D(\ifu/aln/f0pc_in [18]), .CLK(\ifu/aln/f0pcff/l1clk ), .RESET_B( n25116), .Q(dec_i0_pc_d[18]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_alu/i_result_ff/genblock.genblock.dff/dout_reg[8] ( .D(\exu/i_alu/result [8]), .CLK(\exu/i_alu/i_result_ff/l1clk ), .RESET_B(n25107), .Q(\exu/alu_result_x [8]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_alu/i_result_ff/genblock.genblock.dff/dout_reg[4] ( .D(\exu/i_alu/result [4]), .CLK(\exu/i_alu/i_result_ff/l1clk ), .RESET_B(n25100), .Q(\exu/alu_result_x [4]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_alu/i_result_ff/genblock.genblock.dff/dout_reg[2] ( .D(\exu/i_alu/result [2]), .CLK(\exu/i_alu/i_result_ff/l1clk ), .RESET_B(n25106), .Q(\exu/alu_result_x [2]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_alu/i_result_ff/genblock.genblock.dff/dout_reg[1] ( .D(\exu/i_alu/result [1]), .CLK(\exu/i_alu/i_result_ff/l1clk ), .RESET_B(n10881), .Q(\exu/alu_result_x [1]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_csr_rs1_x_ff/genblock.genblock.dff/dout_reg[1] ( .D(\exu/csr_rs1_in_d [1]), .CLK(\exu/i_csr_rs1_x_ff/l1clk ), .RESET_B( n25107), .Q(exu_csr_rs1_x[1]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/write_csr_ff/genblock.genblock.dff/dout_reg[1] ( .D(n10825), .CLK(\dec/decode/write_csr_ff/l1clk ), .RESET_B(n10881), .Q(\dec/decode/write_csr_data [1]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/flushff/dout_reg[0] ( .D( exu_flush_final), .CLK(\dec/decode/data_gate_clk ), .RESET_B(n25102), .Q(\dec/decode/flush_final_r ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/pause_state_f/dout_reg[0] ( .D( \dec/decode/pause_state_in ), .CLK(\dec/decode/data_gate_clk ), .RESET_B(n10876), .Q(\dec/dec_pause_state ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/extint_stall_ff/dout_reg[0] ( .D( \dec/dec_tlu_flush_extint ), .CLK(\dec/decode/data_gate_clk ), .RESET_B(n25107), .Q(dec_extint_stall) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/leak1_i1_stall_ff/dout_reg[0] ( .D( \dec/decode/leak1_i1_stall_in ), .CLK(\dec/decode/data_gate_clk ), .RESET_B(n25105), .Q(\dec/decode/leak1_i1_stall ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/pause_state_r_ff/dout_reg[1] ( .D( \dec/dec_tlu_wr_pause_r ), .CLK(\dec/decode/data_gate_clk ), .RESET_B( n25082), .Q(\dec/decode/tlu_wr_pause_r1 ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/pause_state_r_ff/dout_reg[0] ( .D( \dec/decode/tlu_wr_pause_r1 ), .CLK(\dec/decode/data_gate_clk ), .RESET_B(n25112), .Q(\dec/decode/tlu_wr_pause_r2 ) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/error_stallff/dout_reg[0] ( .D( \ifu/aln/error_stall_in ), .CLK(active_clk), .RESET_B(n10876), .Q( \ifu/aln/error_stall ) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/wrpff/dout_reg[1] ( .D( \ifu/aln/wrptr_in [1]), .CLK(active_clk), .RESET_B(n25104), .Q( \ifu/aln/wrptr [1]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/wrpff/dout_reg[0] ( .D( \ifu/aln/wrptr_in [0]), .CLK(active_clk), .RESET_B(n25102), .Q( \ifu/aln/wrptr [0]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/brdata0ff/genblock.genblock.dff/dout_reg[0] ( .D(\ifu/ifu_bp_ret_f [0]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B(n25107), .Q(\ifu/aln/brdata0 [0]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/brdata0ff/genblock.genblock.dff/dout_reg[1] ( .D(\ifu/ifu_bp_valid_f [0]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B( n25103), .Q(\ifu/aln/brdata0 [1]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/brdata0ff/genblock.genblock.dff/dout_reg[2] ( .D(\ifu/ifu_bp_way_f [0]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B(n25107), .Q(\ifu/aln/brdata0 [2]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/brdata0ff/genblock.genblock.dff/dout_reg[3] ( .D(\ifu/ifu_bp_pc4_f [0]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B(n25116), .Q(\ifu/aln/brdata0 [3]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/brdata0ff/genblock.genblock.dff/dout_reg[4] ( .D(\ifu/ifu_bp_hist0_f [0]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B( n25106), .Q(\ifu/aln/brdata0 [4]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/brdata0ff/genblock.genblock.dff/dout_reg[5] ( .D(\ifu/ifu_bp_hist1_f [0]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B( n25100), .Q(\ifu/aln/brdata0 [5]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/brdata0ff/genblock.genblock.dff/dout_reg[6] ( .D(\ifu/ifu_bp_ret_f [1]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B(n10881), .Q(\ifu/aln/brdata0 [6]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/brdata0ff/genblock.genblock.dff/dout_reg[7] ( .D(\ifu/ifu_bp_valid_f [1]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B( n25107), .Q(\ifu/aln/brdata0 [7]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/brdata0ff/genblock.genblock.dff/dout_reg[8] ( .D(\ifu/ifu_bp_way_f [1]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B(n25085), .Q(\ifu/aln/brdata0 [8]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/brdata0ff/genblock.genblock.dff/dout_reg[9] ( .D(\ifu/ifu_bp_pc4_f [1]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B(n25104), .Q(\ifu/aln/brdata0 [9]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/brdata0ff/genblock.genblock.dff/dout_reg[10] ( .D(\ifu/ifu_bp_hist0_f [1]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B( n25116), .Q(\ifu/aln/brdata0 [10]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/brdata0ff/genblock.genblock.dff/dout_reg[11] ( .D(\ifu/ifu_bp_hist1_f [1]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B( n25106), .Q(\ifu/aln/brdata0 [11]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc0ff/genblock.genblock.dff/dout_reg[0] ( .D(\ifu/ifu_bp_fghr_f [0]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B( n25106), .Q(\ifu/aln/misc0 [0]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc0ff/genblock.genblock.dff/dout_reg[1] ( .D(\ifu/ifu_bp_fghr_f [1]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B( n25106), .Q(\ifu/aln/misc0 [1]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc0ff/genblock.genblock.dff/dout_reg[2] ( .D(\ifu/ifu_bp_fghr_f [2]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B( n25106), .Q(\ifu/aln/misc0 [2]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc0ff/genblock.genblock.dff/dout_reg[3] ( .D(\ifu/ifu_bp_fghr_f [3]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B( n25106), .Q(\ifu/aln/misc0 [3]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc0ff/genblock.genblock.dff/dout_reg[4] ( .D(\ifu/ifu_bp_fghr_f [4]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B( n25084), .Q(\ifu/aln/misc0 [4]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc0ff/genblock.genblock.dff/dout_reg[5] ( .D(\ifu/ifu_bp_fghr_f [5]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B( n25085), .Q(\ifu/aln/misc0 [5]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc0ff/genblock.genblock.dff/dout_reg[6] ( .D(\ifu/ifu_bp_fghr_f [6]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B( n25106), .Q(\ifu/aln/misc0 [6]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc0ff/genblock.genblock.dff/dout_reg[7] ( .D(\ifu/ifu_bp_fghr_f [7]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B( n25106), .Q(\ifu/aln/misc0 [7]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc0ff/genblock.genblock.dff/dout_reg[8] ( .D(\ifu/ifu_bp_poffset_f [0]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B( n25106), .Q(\ifu/aln/misc0 [8]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc0ff/genblock.genblock.dff/dout_reg[9] ( .D(\ifu/ifu_bp_poffset_f [1]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B( n25106), .Q(\ifu/aln/misc0 [9]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc0ff/genblock.genblock.dff/dout_reg[10] ( .D(\ifu/ifu_bp_poffset_f [2]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B( n25106), .Q(\ifu/aln/misc0 [10]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc0ff/genblock.genblock.dff/dout_reg[11] ( .D(\ifu/ifu_bp_poffset_f [3]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B( n25106), .Q(\ifu/aln/misc0 [11]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc0ff/genblock.genblock.dff/dout_reg[12] ( .D(\ifu/ifu_bp_poffset_f [4]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B( n25106), .Q(\ifu/aln/misc0 [12]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc0ff/genblock.genblock.dff/dout_reg[13] ( .D(\ifu/ifu_bp_poffset_f [5]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B( n25084), .Q(\ifu/aln/misc0 [13]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc0ff/genblock.genblock.dff/dout_reg[14] ( .D(\ifu/ifu_bp_poffset_f [6]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B( n25085), .Q(\ifu/aln/misc0 [14]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc0ff/genblock.genblock.dff/dout_reg[15] ( .D(\ifu/ifu_bp_poffset_f [7]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B( n25106), .Q(\ifu/aln/misc0 [15]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc0ff/genblock.genblock.dff/dout_reg[16] ( .D(\ifu/ifu_bp_poffset_f [8]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B( n25106), .Q(\ifu/aln/misc0 [16]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc0ff/genblock.genblock.dff/dout_reg[17] ( .D(\ifu/ifu_bp_poffset_f [9]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B( n25106), .Q(\ifu/aln/misc0 [17]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc0ff/genblock.genblock.dff/dout_reg[18] ( .D(\ifu/ifu_bp_poffset_f [10]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B( n25106), .Q(\ifu/aln/misc0 [18]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc0ff/genblock.genblock.dff/dout_reg[19] ( .D(\ifu/ifu_bp_poffset_f [11]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B( n25106), .Q(\ifu/aln/misc0 [19]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc0ff/genblock.genblock.dff/dout_reg[20] ( .D(\ifu/ifu_bp_btb_target_f [1]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B(n25106), .Q(\ifu/aln/misc0 [20]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc0ff/genblock.genblock.dff/dout_reg[21] ( .D(\ifu/ifu_bp_btb_target_f [2]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B(n25106), .Q(\ifu/aln/misc0 [21]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc0ff/genblock.genblock.dff/dout_reg[22] ( .D(\ifu/ifu_bp_btb_target_f [3]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B(n25106), .Q(\ifu/aln/misc0 [22]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc0ff/genblock.genblock.dff/dout_reg[23] ( .D(\ifu/ifu_bp_btb_target_f [4]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B(n25084), .Q(\ifu/aln/misc0 [23]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc0ff/genblock.genblock.dff/dout_reg[24] ( .D(\ifu/ifu_bp_btb_target_f [5]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B(n25085), .Q(\ifu/aln/misc0 [24]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc0ff/genblock.genblock.dff/dout_reg[25] ( .D(\ifu/ifu_bp_btb_target_f [6]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B(n25106), .Q(\ifu/aln/misc0 [25]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc0ff/genblock.genblock.dff/dout_reg[26] ( .D(\ifu/ifu_bp_btb_target_f [7]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B(n25084), .Q(\ifu/aln/misc0 [26]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc0ff/genblock.genblock.dff/dout_reg[27] ( .D(\ifu/ifu_bp_btb_target_f [8]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B(n25085), .Q(\ifu/aln/misc0 [27]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc0ff/genblock.genblock.dff/dout_reg[28] ( .D(\ifu/ifu_bp_btb_target_f [9]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B(n25106), .Q(\ifu/aln/misc0 [28]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc0ff/genblock.genblock.dff/dout_reg[29] ( .D(\ifu/ifu_bp_btb_target_f [10]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B(n25106), .Q(\ifu/aln/misc0 [29]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc0ff/genblock.genblock.dff/dout_reg[30] ( .D(\ifu/ifu_bp_btb_target_f [11]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B(n25085), .Q(\ifu/aln/misc0 [30]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc0ff/genblock.genblock.dff/dout_reg[31] ( .D(\ifu/ifu_bp_btb_target_f [12]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B(n25106), .Q(\ifu/aln/misc0 [31]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc0ff/genblock.genblock.dff/dout_reg[32] ( .D(\ifu/ifu_bp_btb_target_f [13]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B(n25084), .Q(\ifu/aln/misc0 [32]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc0ff/genblock.genblock.dff/dout_reg[33] ( .D(\ifu/ifu_bp_btb_target_f [14]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B(n25085), .Q(\ifu/aln/misc0 [33]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc0ff/genblock.genblock.dff/dout_reg[34] ( .D(\ifu/ifu_bp_btb_target_f [15]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B(n25106), .Q(\ifu/aln/misc0 [34]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc0ff/genblock.genblock.dff/dout_reg[35] ( .D(\ifu/ifu_bp_btb_target_f [16]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B(n25106), .Q(\ifu/aln/misc0 [35]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc0ff/genblock.genblock.dff/dout_reg[36] ( .D(\ifu/ifu_bp_btb_target_f [17]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B(n25084), .Q(\ifu/aln/misc0 [36]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc0ff/genblock.genblock.dff/dout_reg[37] ( .D(\ifu/ifu_bp_btb_target_f [18]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B(n25085), .Q(\ifu/aln/misc0 [37]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc0ff/genblock.genblock.dff/dout_reg[38] ( .D(\ifu/ifu_bp_btb_target_f [19]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B(n25106), .Q(\ifu/aln/misc0 [38]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc0ff/genblock.genblock.dff/dout_reg[39] ( .D(\ifu/ifu_bp_btb_target_f [20]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B(n25106), .Q(\ifu/aln/misc0 [39]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc0ff/genblock.genblock.dff/dout_reg[40] ( .D(\ifu/ifu_bp_btb_target_f [21]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B(n25106), .Q(\ifu/aln/misc0 [40]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc0ff/genblock.genblock.dff/dout_reg[41] ( .D(\ifu/ifu_bp_btb_target_f [22]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B(n25085), .Q(\ifu/aln/misc0 [41]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc0ff/genblock.genblock.dff/dout_reg[42] ( .D(\ifu/ifu_bp_btb_target_f [23]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B(n25106), .Q(\ifu/aln/misc0 [42]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc0ff/genblock.genblock.dff/dout_reg[43] ( .D(\ifu/ifu_bp_btb_target_f [24]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B(n25084), .Q(\ifu/aln/misc0 [43]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc0ff/genblock.genblock.dff/dout_reg[44] ( .D(\ifu/ifu_bp_btb_target_f [25]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B(n25085), .Q(\ifu/aln/misc0 [44]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc0ff/genblock.genblock.dff/dout_reg[45] ( .D(\ifu/ifu_bp_btb_target_f [26]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B(n25106), .Q(\ifu/aln/misc0 [45]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc0ff/genblock.genblock.dff/dout_reg[46] ( .D(\ifu/ifu_bp_btb_target_f [27]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B(n25106), .Q(\ifu/aln/misc0 [46]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc0ff/genblock.genblock.dff/dout_reg[47] ( .D(\ifu/ifu_bp_btb_target_f [28]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B(n25106), .Q(\ifu/aln/misc0 [47]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc0ff/genblock.genblock.dff/dout_reg[48] ( .D(\ifu/ifu_bp_btb_target_f [29]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B(n25106), .Q(\ifu/aln/misc0 [48]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc0ff/genblock.genblock.dff/dout_reg[49] ( .D(\ifu/ifu_bp_btb_target_f [30]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B(n25106), .Q(\ifu/aln/misc0 [49]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc0ff/genblock.genblock.dff/dout_reg[50] ( .D(\ifu/ifu_bp_btb_target_f [31]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B(n25084), .Q(\ifu/aln/misc0 [50]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc0ff/genblock.genblock.dff/dout_reg[51] ( .D(\ifu/ic_access_fault_type_f [0]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B(n25085), .Q(\ifu/aln/misc0 [51]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc0ff/genblock.genblock.dff/dout_reg[52] ( .D(\ifu/ic_access_fault_type_f [1]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B(n25106), .Q(\ifu/aln/misc0 [52]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc0ff/genblock.genblock.dff/dout_reg[53] ( .D(\ifu/ic_access_fault_f ), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B( n25084), .Q(\ifu/aln/misc0 [53]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc0ff/genblock.genblock.dff/dout_reg[54] ( .D(\ifu/iccm_rd_ecc_double_err ), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B(n25084), .Q(\ifu/aln/misc0 [54]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q0ff/genblock.genblock.dff/dout_reg[0] ( .D(\ifu/ifu_fetch_data_f [0]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B( n25085), .Q(\ifu/aln/q0 [0]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q0ff/genblock.genblock.dff/dout_reg[1] ( .D(\ifu/ifu_fetch_data_f [1]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B( n25106), .Q(\ifu/aln/q0 [1]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q0ff/genblock.genblock.dff/dout_reg[2] ( .D(\ifu/ifu_fetch_data_f [2]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B( n25084), .Q(\ifu/aln/q0 [2]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q0ff/genblock.genblock.dff/dout_reg[3] ( .D(\ifu/ifu_fetch_data_f [3]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B( n25106), .Q(\ifu/aln/q0 [3]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q0ff/genblock.genblock.dff/dout_reg[4] ( .D(\ifu/ifu_fetch_data_f [4]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B( n25106), .Q(\ifu/aln/q0 [4]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q0ff/genblock.genblock.dff/dout_reg[5] ( .D(\ifu/ifu_fetch_data_f [5]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B( n25106), .Q(\ifu/aln/q0 [5]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q0ff/genblock.genblock.dff/dout_reg[6] ( .D(\ifu/ifu_fetch_data_f [6]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B( n25085), .Q(\ifu/aln/q0 [6]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q0ff/genblock.genblock.dff/dout_reg[7] ( .D(\ifu/ifu_fetch_data_f [7]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B( n25106), .Q(\ifu/aln/q0 [7]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q0ff/genblock.genblock.dff/dout_reg[8] ( .D(\ifu/ifu_fetch_data_f [8]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B( n25106), .Q(\ifu/aln/q0 [8]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q0ff/genblock.genblock.dff/dout_reg[9] ( .D(\ifu/ifu_fetch_data_f [9]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B( n25106), .Q(\ifu/aln/q0 [9]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q0ff/genblock.genblock.dff/dout_reg[10] ( .D(\ifu/ifu_fetch_data_f [10]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B( n25106), .Q(\ifu/aln/q0 [10]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q0ff/genblock.genblock.dff/dout_reg[11] ( .D(\ifu/ifu_fetch_data_f [11]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B( n25106), .Q(\ifu/aln/q0 [11]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q0ff/genblock.genblock.dff/dout_reg[12] ( .D(\ifu/ifu_fetch_data_f [12]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B( n25084), .Q(\ifu/aln/q0 [12]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q0ff/genblock.genblock.dff/dout_reg[13] ( .D(\ifu/ifu_fetch_data_f [13]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B( n25085), .Q(\ifu/aln/q0 [13]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q0ff/genblock.genblock.dff/dout_reg[14] ( .D(\ifu/ifu_fetch_data_f [14]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B( n25106), .Q(\ifu/aln/q0 [14]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q0ff/genblock.genblock.dff/dout_reg[15] ( .D(\ifu/ifu_fetch_data_f [15]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B( n25106), .Q(\ifu/aln/q0 [15]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q0ff/genblock.genblock.dff/dout_reg[16] ( .D(\ifu/ifu_fetch_data_f [16]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B( n25084), .Q(\ifu/aln/q0 [16]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q0ff/genblock.genblock.dff/dout_reg[17] ( .D(\ifu/ifu_fetch_data_f [17]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B( n25106), .Q(\ifu/aln/q0 [17]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q0ff/genblock.genblock.dff/dout_reg[18] ( .D(\ifu/ifu_fetch_data_f [18]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B( n25106), .Q(\ifu/aln/q0 [18]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q0ff/genblock.genblock.dff/dout_reg[19] ( .D(\ifu/ifu_fetch_data_f [19]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B( n25106), .Q(\ifu/aln/q0 [19]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q0ff/genblock.genblock.dff/dout_reg[20] ( .D(\ifu/ifu_fetch_data_f [20]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B( n25084), .Q(\ifu/aln/q0 [20]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q0ff/genblock.genblock.dff/dout_reg[21] ( .D(\ifu/ifu_fetch_data_f [21]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B( n25106), .Q(\ifu/aln/q0 [21]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q0ff/genblock.genblock.dff/dout_reg[22] ( .D(\ifu/ifu_fetch_data_f [22]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B( n25106), .Q(\ifu/aln/q0 [22]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q0ff/genblock.genblock.dff/dout_reg[23] ( .D(\ifu/ifu_fetch_data_f [23]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B( n25085), .Q(\ifu/aln/q0 [23]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q0ff/genblock.genblock.dff/dout_reg[24] ( .D(\ifu/ifu_fetch_data_f [24]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B( n25106), .Q(\ifu/aln/q0 [24]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q0ff/genblock.genblock.dff/dout_reg[25] ( .D(\ifu/ifu_fetch_data_f [25]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B( n25106), .Q(\ifu/aln/q0 [25]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q0ff/genblock.genblock.dff/dout_reg[26] ( .D(\ifu/ifu_fetch_data_f [26]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B( n25084), .Q(\ifu/aln/q0 [26]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q0ff/genblock.genblock.dff/dout_reg[27] ( .D(\ifu/ifu_fetch_data_f [27]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B( n25106), .Q(\ifu/aln/q0 [27]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q0ff/genblock.genblock.dff/dout_reg[28] ( .D(\ifu/ifu_fetch_data_f [28]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B( n25084), .Q(\ifu/aln/q0 [28]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q0ff/genblock.genblock.dff/dout_reg[29] ( .D(\ifu/ifu_fetch_data_f [29]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B( n25085), .Q(\ifu/aln/q0 [29]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q0ff/genblock.genblock.dff/dout_reg[30] ( .D(\ifu/ifu_fetch_data_f [30]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B( n25106), .Q(\ifu/aln/q0 [30]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q0ff/genblock.genblock.dff/dout_reg[31] ( .D(\ifu/ifu_fetch_data_f [31]), .CLK(\ifu/aln/q0ff/l1clk ), .RESET_B( n25106), .Q(\ifu/aln/q0 [31]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/brdata1ff/genblock.genblock.dff/dout_reg[0] ( .D(\ifu/ifu_bp_ret_f [0]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B(n25084), .Q(\ifu/aln/brdata1 [0]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/brdata1ff/genblock.genblock.dff/dout_reg[1] ( .D(\ifu/ifu_bp_valid_f [0]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B( n25085), .Q(\ifu/aln/brdata1 [1]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/brdata1ff/genblock.genblock.dff/dout_reg[2] ( .D(\ifu/ifu_bp_way_f [0]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B(n25106), .Q(\ifu/aln/brdata1 [2]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/brdata1ff/genblock.genblock.dff/dout_reg[3] ( .D(\ifu/ifu_bp_pc4_f [0]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B(n25106), .Q(\ifu/aln/brdata1 [3]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/brdata1ff/genblock.genblock.dff/dout_reg[4] ( .D(\ifu/ifu_bp_hist0_f [0]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B( n25106), .Q(\ifu/aln/brdata1 [4]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/brdata1ff/genblock.genblock.dff/dout_reg[5] ( .D(\ifu/ifu_bp_hist1_f [0]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B( n25106), .Q(\ifu/aln/brdata1 [5]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/brdata1ff/genblock.genblock.dff/dout_reg[6] ( .D(\ifu/ifu_bp_ret_f [1]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B(n25106), .Q(\ifu/aln/brdata1 [6]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/brdata1ff/genblock.genblock.dff/dout_reg[7] ( .D(\ifu/ifu_bp_valid_f [1]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B( n25106), .Q(\ifu/aln/brdata1 [7]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/brdata1ff/genblock.genblock.dff/dout_reg[8] ( .D(\ifu/ifu_bp_way_f [1]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B(n25084), .Q(\ifu/aln/brdata1 [8]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/brdata1ff/genblock.genblock.dff/dout_reg[9] ( .D(\ifu/ifu_bp_pc4_f [1]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B(n25085), .Q(\ifu/aln/brdata1 [9]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/brdata1ff/genblock.genblock.dff/dout_reg[10] ( .D(\ifu/ifu_bp_hist0_f [1]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B( n25106), .Q(\ifu/aln/brdata1 [10]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/brdata1ff/genblock.genblock.dff/dout_reg[11] ( .D(\ifu/ifu_bp_hist1_f [1]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B( n25085), .Q(\ifu/aln/brdata1 [11]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc1ff/genblock.genblock.dff/dout_reg[0] ( .D(\ifu/ifu_bp_fghr_f [0]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B( n25106), .Q(\ifu/aln/misc1 [0]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc1ff/genblock.genblock.dff/dout_reg[1] ( .D(\ifu/ifu_bp_fghr_f [1]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B( n25106), .Q(\ifu/aln/misc1 [1]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc1ff/genblock.genblock.dff/dout_reg[2] ( .D(\ifu/ifu_bp_fghr_f [2]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B( n25106), .Q(\ifu/aln/misc1 [2]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc1ff/genblock.genblock.dff/dout_reg[3] ( .D(\ifu/ifu_bp_fghr_f [3]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B( n25106), .Q(\ifu/aln/misc1 [3]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc1ff/genblock.genblock.dff/dout_reg[4] ( .D(\ifu/ifu_bp_fghr_f [4]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B( n25084), .Q(\ifu/aln/misc1 [4]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc1ff/genblock.genblock.dff/dout_reg[5] ( .D(\ifu/ifu_bp_fghr_f [5]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B( n25085), .Q(\ifu/aln/misc1 [5]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc1ff/genblock.genblock.dff/dout_reg[6] ( .D(\ifu/ifu_bp_fghr_f [6]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B( n25106), .Q(\ifu/aln/misc1 [6]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc1ff/genblock.genblock.dff/dout_reg[7] ( .D(\ifu/ifu_bp_fghr_f [7]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B( n25084), .Q(\ifu/aln/misc1 [7]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc1ff/genblock.genblock.dff/dout_reg[8] ( .D(\ifu/ifu_bp_poffset_f [0]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B( n25106), .Q(\ifu/aln/misc1 [8]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc1ff/genblock.genblock.dff/dout_reg[9] ( .D(\ifu/ifu_bp_poffset_f [1]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B( n25106), .Q(\ifu/aln/misc1 [9]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc1ff/genblock.genblock.dff/dout_reg[10] ( .D(\ifu/ifu_bp_poffset_f [2]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B( n25106), .Q(\ifu/aln/misc1 [10]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc1ff/genblock.genblock.dff/dout_reg[11] ( .D(\ifu/ifu_bp_poffset_f [3]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B( n25084), .Q(\ifu/aln/misc1 [11]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc1ff/genblock.genblock.dff/dout_reg[12] ( .D(\ifu/ifu_bp_poffset_f [4]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B( n25085), .Q(\ifu/aln/misc1 [12]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc1ff/genblock.genblock.dff/dout_reg[13] ( .D(\ifu/ifu_bp_poffset_f [5]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B( n25106), .Q(\ifu/aln/misc1 [13]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc1ff/genblock.genblock.dff/dout_reg[14] ( .D(\ifu/ifu_bp_poffset_f [6]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B( n25085), .Q(\ifu/aln/misc1 [14]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc1ff/genblock.genblock.dff/dout_reg[15] ( .D(\ifu/ifu_bp_poffset_f [7]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B( n25106), .Q(\ifu/aln/misc1 [15]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc1ff/genblock.genblock.dff/dout_reg[16] ( .D(\ifu/ifu_bp_poffset_f [8]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B( n25106), .Q(\ifu/aln/misc1 [16]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc1ff/genblock.genblock.dff/dout_reg[17] ( .D(\ifu/ifu_bp_poffset_f [9]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B( n25106), .Q(\ifu/aln/misc1 [17]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc1ff/genblock.genblock.dff/dout_reg[18] ( .D(\ifu/ifu_bp_poffset_f [10]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B( n25084), .Q(\ifu/aln/misc1 [18]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc1ff/genblock.genblock.dff/dout_reg[19] ( .D(\ifu/ifu_bp_poffset_f [11]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B( n25084), .Q(\ifu/aln/misc1 [19]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc1ff/genblock.genblock.dff/dout_reg[20] ( .D(\ifu/ifu_bp_btb_target_f [1]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B(n25085), .Q(\ifu/aln/misc1 [20]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc1ff/genblock.genblock.dff/dout_reg[21] ( .D(\ifu/ifu_bp_btb_target_f [2]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B(n25106), .Q(\ifu/aln/misc1 [21]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc1ff/genblock.genblock.dff/dout_reg[22] ( .D(\ifu/ifu_bp_btb_target_f [3]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B(n25106), .Q(\ifu/aln/misc1 [22]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc1ff/genblock.genblock.dff/dout_reg[23] ( .D(\ifu/ifu_bp_btb_target_f [4]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B(n25106), .Q(\ifu/aln/misc1 [23]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc1ff/genblock.genblock.dff/dout_reg[24] ( .D(\ifu/ifu_bp_btb_target_f [5]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B(n25106), .Q(\ifu/aln/misc1 [24]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc1ff/genblock.genblock.dff/dout_reg[25] ( .D(\ifu/ifu_bp_btb_target_f [6]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B(n25084), .Q(\ifu/aln/misc1 [25]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc1ff/genblock.genblock.dff/dout_reg[26] ( .D(\ifu/ifu_bp_btb_target_f [7]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B(n25085), .Q(\ifu/aln/misc1 [26]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc1ff/genblock.genblock.dff/dout_reg[27] ( .D(\ifu/ifu_bp_btb_target_f [8]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B(n25106), .Q(\ifu/aln/misc1 [27]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc1ff/genblock.genblock.dff/dout_reg[28] ( .D(\ifu/ifu_bp_btb_target_f [9]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B(n25106), .Q(\ifu/aln/misc1 [28]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc1ff/genblock.genblock.dff/dout_reg[29] ( .D(\ifu/ifu_bp_btb_target_f [10]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B(n25106), .Q(\ifu/aln/misc1 [29]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc1ff/genblock.genblock.dff/dout_reg[30] ( .D(\ifu/ifu_bp_btb_target_f [11]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B(n25106), .Q(\ifu/aln/misc1 [30]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc1ff/genblock.genblock.dff/dout_reg[31] ( .D(\ifu/ifu_bp_btb_target_f [12]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B(n25106), .Q(\ifu/aln/misc1 [31]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc1ff/genblock.genblock.dff/dout_reg[32] ( .D(\ifu/ifu_bp_btb_target_f [13]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B(n25106), .Q(\ifu/aln/misc1 [32]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc1ff/genblock.genblock.dff/dout_reg[33] ( .D(\ifu/ifu_bp_btb_target_f [14]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B(n25106), .Q(\ifu/aln/misc1 [33]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc1ff/genblock.genblock.dff/dout_reg[34] ( .D(\ifu/ifu_bp_btb_target_f [15]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B(n25106), .Q(\ifu/aln/misc1 [34]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc1ff/genblock.genblock.dff/dout_reg[35] ( .D(\ifu/ifu_bp_btb_target_f [16]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B(n25106), .Q(\ifu/aln/misc1 [35]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc1ff/genblock.genblock.dff/dout_reg[36] ( .D(\ifu/ifu_bp_btb_target_f [17]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B(n25106), .Q(\ifu/aln/misc1 [36]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc1ff/genblock.genblock.dff/dout_reg[37] ( .D(\ifu/ifu_bp_btb_target_f [18]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B(n25106), .Q(\ifu/aln/misc1 [37]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc1ff/genblock.genblock.dff/dout_reg[38] ( .D(\ifu/ifu_bp_btb_target_f [19]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B(n25106), .Q(\ifu/aln/misc1 [38]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc1ff/genblock.genblock.dff/dout_reg[39] ( .D(\ifu/ifu_bp_btb_target_f [20]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B(n25106), .Q(\ifu/aln/misc1 [39]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc1ff/genblock.genblock.dff/dout_reg[40] ( .D(\ifu/ifu_bp_btb_target_f [21]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B(n25106), .Q(\ifu/aln/misc1 [40]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc1ff/genblock.genblock.dff/dout_reg[41] ( .D(\ifu/ifu_bp_btb_target_f [22]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B(n25106), .Q(\ifu/aln/misc1 [41]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc1ff/genblock.genblock.dff/dout_reg[42] ( .D(\ifu/ifu_bp_btb_target_f [23]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B(n25106), .Q(\ifu/aln/misc1 [42]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc1ff/genblock.genblock.dff/dout_reg[43] ( .D(\ifu/ifu_bp_btb_target_f [24]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B(n25106), .Q(\ifu/aln/misc1 [43]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc1ff/genblock.genblock.dff/dout_reg[44] ( .D(\ifu/ifu_bp_btb_target_f [25]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B(n25106), .Q(\ifu/aln/misc1 [44]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc1ff/genblock.genblock.dff/dout_reg[45] ( .D(\ifu/ifu_bp_btb_target_f [26]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B(n25106), .Q(\ifu/aln/misc1 [45]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc1ff/genblock.genblock.dff/dout_reg[46] ( .D(\ifu/ifu_bp_btb_target_f [27]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B(n25106), .Q(\ifu/aln/misc1 [46]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc1ff/genblock.genblock.dff/dout_reg[47] ( .D(\ifu/ifu_bp_btb_target_f [28]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B(n25106), .Q(\ifu/aln/misc1 [47]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc1ff/genblock.genblock.dff/dout_reg[48] ( .D(\ifu/ifu_bp_btb_target_f [29]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B(n25106), .Q(\ifu/aln/misc1 [48]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc1ff/genblock.genblock.dff/dout_reg[49] ( .D(\ifu/ifu_bp_btb_target_f [30]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B(n25106), .Q(\ifu/aln/misc1 [49]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc1ff/genblock.genblock.dff/dout_reg[50] ( .D(\ifu/ifu_bp_btb_target_f [31]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B(n25106), .Q(\ifu/aln/misc1 [50]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc1ff/genblock.genblock.dff/dout_reg[51] ( .D(\ifu/ic_access_fault_type_f [0]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B(n25106), .Q(\ifu/aln/misc1 [51]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc1ff/genblock.genblock.dff/dout_reg[52] ( .D(\ifu/ic_access_fault_type_f [1]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B(n25106), .Q(\ifu/aln/misc1 [52]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc1ff/genblock.genblock.dff/dout_reg[53] ( .D(\ifu/ic_access_fault_f ), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B( n25106), .Q(\ifu/aln/misc1 [53]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc1ff/genblock.genblock.dff/dout_reg[54] ( .D(\ifu/iccm_rd_ecc_double_err ), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B(n25106), .Q(\ifu/aln/misc1 [54]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q1ff/genblock.genblock.dff/dout_reg[0] ( .D(\ifu/ifu_fetch_data_f [0]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B( n25106), .Q(\ifu/aln/q1 [0]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q1ff/genblock.genblock.dff/dout_reg[1] ( .D(\ifu/ifu_fetch_data_f [1]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B( n25106), .Q(\ifu/aln/q1 [1]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q1ff/genblock.genblock.dff/dout_reg[2] ( .D(\ifu/ifu_fetch_data_f [2]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B( n25106), .Q(\ifu/aln/q1 [2]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q1ff/genblock.genblock.dff/dout_reg[3] ( .D(\ifu/ifu_fetch_data_f [3]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B( n10873), .Q(\ifu/aln/q1 [3]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q1ff/genblock.genblock.dff/dout_reg[4] ( .D(\ifu/ifu_fetch_data_f [4]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B( n10873), .Q(\ifu/aln/q1 [4]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q1ff/genblock.genblock.dff/dout_reg[5] ( .D(\ifu/ifu_fetch_data_f [5]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B( n25086), .Q(\ifu/aln/q1 [5]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q1ff/genblock.genblock.dff/dout_reg[6] ( .D(\ifu/ifu_fetch_data_f [6]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B( n25093), .Q(\ifu/aln/q1 [6]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q1ff/genblock.genblock.dff/dout_reg[7] ( .D(\ifu/ifu_fetch_data_f [7]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B( n10880), .Q(\ifu/aln/q1 [7]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q1ff/genblock.genblock.dff/dout_reg[8] ( .D(\ifu/ifu_fetch_data_f [8]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B( n25093), .Q(\ifu/aln/q1 [8]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q1ff/genblock.genblock.dff/dout_reg[9] ( .D(\ifu/ifu_fetch_data_f [9]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B( n10873), .Q(\ifu/aln/q1 [9]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q1ff/genblock.genblock.dff/dout_reg[10] ( .D(\ifu/ifu_fetch_data_f [10]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B( n10880), .Q(\ifu/aln/q1 [10]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q1ff/genblock.genblock.dff/dout_reg[11] ( .D(\ifu/ifu_fetch_data_f [11]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B( n10873), .Q(\ifu/aln/q1 [11]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q1ff/genblock.genblock.dff/dout_reg[12] ( .D(\ifu/ifu_fetch_data_f [12]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B( n10873), .Q(\ifu/aln/q1 [12]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q1ff/genblock.genblock.dff/dout_reg[13] ( .D(\ifu/ifu_fetch_data_f [13]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B( n25086), .Q(\ifu/aln/q1 [13]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q1ff/genblock.genblock.dff/dout_reg[14] ( .D(\ifu/ifu_fetch_data_f [14]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B( n25093), .Q(\ifu/aln/q1 [14]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q1ff/genblock.genblock.dff/dout_reg[15] ( .D(\ifu/ifu_fetch_data_f [15]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B( n25086), .Q(\ifu/aln/q1 [15]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q1ff/genblock.genblock.dff/dout_reg[16] ( .D(\ifu/ifu_fetch_data_f [16]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B( n25093), .Q(\ifu/aln/q1 [16]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q1ff/genblock.genblock.dff/dout_reg[17] ( .D(\ifu/ifu_fetch_data_f [17]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B( n10873), .Q(\ifu/aln/q1 [17]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q1ff/genblock.genblock.dff/dout_reg[18] ( .D(\ifu/ifu_fetch_data_f [18]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B( n10880), .Q(\ifu/aln/q1 [18]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q1ff/genblock.genblock.dff/dout_reg[19] ( .D(\ifu/ifu_fetch_data_f [19]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B( n10873), .Q(\ifu/aln/q1 [19]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q1ff/genblock.genblock.dff/dout_reg[20] ( .D(\ifu/ifu_fetch_data_f [20]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B( n10873), .Q(\ifu/aln/q1 [20]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q1ff/genblock.genblock.dff/dout_reg[21] ( .D(\ifu/ifu_fetch_data_f [21]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B( n25086), .Q(\ifu/aln/q1 [21]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q1ff/genblock.genblock.dff/dout_reg[22] ( .D(\ifu/ifu_fetch_data_f [22]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B( n25093), .Q(\ifu/aln/q1 [22]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q1ff/genblock.genblock.dff/dout_reg[23] ( .D(\ifu/ifu_fetch_data_f [23]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B( n10873), .Q(\ifu/aln/q1 [23]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q1ff/genblock.genblock.dff/dout_reg[24] ( .D(\ifu/ifu_fetch_data_f [24]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B( n10880), .Q(\ifu/aln/q1 [24]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q1ff/genblock.genblock.dff/dout_reg[25] ( .D(\ifu/ifu_fetch_data_f [25]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B( n10880), .Q(\ifu/aln/q1 [25]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q1ff/genblock.genblock.dff/dout_reg[26] ( .D(\ifu/ifu_fetch_data_f [26]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B( n10873), .Q(\ifu/aln/q1 [26]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q1ff/genblock.genblock.dff/dout_reg[27] ( .D(\ifu/ifu_fetch_data_f [27]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B( n10873), .Q(\ifu/aln/q1 [27]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q1ff/genblock.genblock.dff/dout_reg[28] ( .D(\ifu/ifu_fetch_data_f [28]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B( n25086), .Q(\ifu/aln/q1 [28]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q1ff/genblock.genblock.dff/dout_reg[29] ( .D(\ifu/ifu_fetch_data_f [29]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B( n25093), .Q(\ifu/aln/q1 [29]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q1ff/genblock.genblock.dff/dout_reg[30] ( .D(\ifu/ifu_fetch_data_f [30]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B( n25086), .Q(\ifu/aln/q1 [30]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q1ff/genblock.genblock.dff/dout_reg[31] ( .D(\ifu/ifu_fetch_data_f [31]), .CLK(\ifu/aln/q1ff/l1clk ), .RESET_B( n10880), .Q(\ifu/aln/q1 [31]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/brdata2ff/genblock.genblock.dff/dout_reg[0] ( .D(\ifu/ifu_bp_ret_f [0]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B(n10880), .Q(\ifu/aln/brdata2 [0]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/brdata2ff/genblock.genblock.dff/dout_reg[1] ( .D(\ifu/ifu_bp_valid_f [0]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B( n10873), .Q(\ifu/aln/brdata2 [1]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/brdata2ff/genblock.genblock.dff/dout_reg[2] ( .D(\ifu/ifu_bp_way_f [0]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B(n10873), .Q(\ifu/aln/brdata2 [2]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/brdata2ff/genblock.genblock.dff/dout_reg[3] ( .D(\ifu/ifu_bp_pc4_f [0]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B(n10873), .Q(\ifu/aln/brdata2 [3]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/brdata2ff/genblock.genblock.dff/dout_reg[4] ( .D(\ifu/ifu_bp_hist0_f [0]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B( n25086), .Q(\ifu/aln/brdata2 [4]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/brdata2ff/genblock.genblock.dff/dout_reg[5] ( .D(\ifu/ifu_bp_hist1_f [0]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B( n25093), .Q(\ifu/aln/brdata2 [5]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/brdata2ff/genblock.genblock.dff/dout_reg[6] ( .D(\ifu/ifu_bp_ret_f [1]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B(n10880), .Q(\ifu/aln/brdata2 [6]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/brdata2ff/genblock.genblock.dff/dout_reg[7] ( .D(\ifu/ifu_bp_valid_f [1]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B( n10880), .Q(\ifu/aln/brdata2 [7]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/brdata2ff/genblock.genblock.dff/dout_reg[8] ( .D(\ifu/ifu_bp_way_f [1]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B(n10873), .Q(\ifu/aln/brdata2 [8]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/brdata2ff/genblock.genblock.dff/dout_reg[9] ( .D(\ifu/ifu_bp_pc4_f [1]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B(n10873), .Q(\ifu/aln/brdata2 [9]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/brdata2ff/genblock.genblock.dff/dout_reg[10] ( .D(\ifu/ifu_bp_hist0_f [1]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B( n25086), .Q(\ifu/aln/brdata2 [10]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/brdata2ff/genblock.genblock.dff/dout_reg[11] ( .D(\ifu/ifu_bp_hist1_f [1]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B( n25093), .Q(\ifu/aln/brdata2 [11]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc2ff/genblock.genblock.dff/dout_reg[0] ( .D(\ifu/ifu_bp_fghr_f [0]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B( n10880), .Q(\ifu/aln/misc2 [0]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc2ff/genblock.genblock.dff/dout_reg[1] ( .D(\ifu/ifu_bp_fghr_f [1]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B( n10880), .Q(\ifu/aln/misc2 [1]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc2ff/genblock.genblock.dff/dout_reg[2] ( .D(\ifu/ifu_bp_fghr_f [2]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B( n10873), .Q(\ifu/aln/misc2 [2]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc2ff/genblock.genblock.dff/dout_reg[3] ( .D(\ifu/ifu_bp_fghr_f [3]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B( n10880), .Q(\ifu/aln/misc2 [3]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc2ff/genblock.genblock.dff/dout_reg[4] ( .D(\ifu/ifu_bp_fghr_f [4]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B( n10880), .Q(\ifu/aln/misc2 [4]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc2ff/genblock.genblock.dff/dout_reg[5] ( .D(\ifu/ifu_bp_fghr_f [5]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B( core_rst_l), .Q(\ifu/aln/misc2 [5]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc2ff/genblock.genblock.dff/dout_reg[6] ( .D(\ifu/ifu_bp_fghr_f [6]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B( n10880), .Q(\ifu/aln/misc2 [6]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc2ff/genblock.genblock.dff/dout_reg[7] ( .D(\ifu/ifu_bp_fghr_f [7]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B( n10880), .Q(\ifu/aln/misc2 [7]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc2ff/genblock.genblock.dff/dout_reg[8] ( .D(\ifu/ifu_bp_poffset_f [0]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B( n10880), .Q(\ifu/aln/misc2 [8]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc2ff/genblock.genblock.dff/dout_reg[9] ( .D(\ifu/ifu_bp_poffset_f [1]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B( n10880), .Q(\ifu/aln/misc2 [9]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc2ff/genblock.genblock.dff/dout_reg[10] ( .D(\ifu/ifu_bp_poffset_f [2]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B( n10880), .Q(\ifu/aln/misc2 [10]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc2ff/genblock.genblock.dff/dout_reg[11] ( .D(\ifu/ifu_bp_poffset_f [3]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B( n10880), .Q(\ifu/aln/misc2 [11]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc2ff/genblock.genblock.dff/dout_reg[12] ( .D(\ifu/ifu_bp_poffset_f [4]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B( n10880), .Q(\ifu/aln/misc2 [12]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc2ff/genblock.genblock.dff/dout_reg[13] ( .D(\ifu/ifu_bp_poffset_f [5]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B( n10880), .Q(\ifu/aln/misc2 [13]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc2ff/genblock.genblock.dff/dout_reg[14] ( .D(\ifu/ifu_bp_poffset_f [6]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B( n10880), .Q(\ifu/aln/misc2 [14]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc2ff/genblock.genblock.dff/dout_reg[15] ( .D(\ifu/ifu_bp_poffset_f [7]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B( n10880), .Q(\ifu/aln/misc2 [15]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc2ff/genblock.genblock.dff/dout_reg[16] ( .D(\ifu/ifu_bp_poffset_f [8]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B( n10880), .Q(\ifu/aln/misc2 [16]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc2ff/genblock.genblock.dff/dout_reg[17] ( .D(\ifu/ifu_bp_poffset_f [9]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B( n10880), .Q(\ifu/aln/misc2 [17]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc2ff/genblock.genblock.dff/dout_reg[18] ( .D(\ifu/ifu_bp_poffset_f [10]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B( n10880), .Q(\ifu/aln/misc2 [18]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc2ff/genblock.genblock.dff/dout_reg[19] ( .D(\ifu/ifu_bp_poffset_f [11]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B( n10880), .Q(\ifu/aln/misc2 [19]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc2ff/genblock.genblock.dff/dout_reg[20] ( .D(\ifu/ifu_bp_btb_target_f [1]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B(n10880), .Q(\ifu/aln/misc2 [20]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc2ff/genblock.genblock.dff/dout_reg[21] ( .D(\ifu/ifu_bp_btb_target_f [2]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B(n10880), .Q(\ifu/aln/misc2 [21]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc2ff/genblock.genblock.dff/dout_reg[22] ( .D(\ifu/ifu_bp_btb_target_f [3]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B(n10880), .Q(\ifu/aln/misc2 [22]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc2ff/genblock.genblock.dff/dout_reg[23] ( .D(\ifu/ifu_bp_btb_target_f [4]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B(n10880), .Q(\ifu/aln/misc2 [23]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc2ff/genblock.genblock.dff/dout_reg[24] ( .D(\ifu/ifu_bp_btb_target_f [5]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B(n10880), .Q(\ifu/aln/misc2 [24]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc2ff/genblock.genblock.dff/dout_reg[25] ( .D(\ifu/ifu_bp_btb_target_f [6]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B(n10880), .Q(\ifu/aln/misc2 [25]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc2ff/genblock.genblock.dff/dout_reg[26] ( .D(\ifu/ifu_bp_btb_target_f [7]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B(n10880), .Q(\ifu/aln/misc2 [26]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc2ff/genblock.genblock.dff/dout_reg[27] ( .D(\ifu/ifu_bp_btb_target_f [8]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B(n10873), .Q(\ifu/aln/misc2 [27]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc2ff/genblock.genblock.dff/dout_reg[28] ( .D(\ifu/ifu_bp_btb_target_f [9]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B(n10873), .Q(\ifu/aln/misc2 [28]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc2ff/genblock.genblock.dff/dout_reg[29] ( .D(\ifu/ifu_bp_btb_target_f [10]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B(n10873), .Q(\ifu/aln/misc2 [29]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc2ff/genblock.genblock.dff/dout_reg[30] ( .D(\ifu/ifu_bp_btb_target_f [11]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B(n10873), .Q(\ifu/aln/misc2 [30]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc2ff/genblock.genblock.dff/dout_reg[31] ( .D(\ifu/ifu_bp_btb_target_f [12]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B(n10873), .Q(\ifu/aln/misc2 [31]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc2ff/genblock.genblock.dff/dout_reg[32] ( .D(\ifu/ifu_bp_btb_target_f [13]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B(n10873), .Q(\ifu/aln/misc2 [32]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc2ff/genblock.genblock.dff/dout_reg[33] ( .D(\ifu/ifu_bp_btb_target_f [14]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B(n10873), .Q(\ifu/aln/misc2 [33]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc2ff/genblock.genblock.dff/dout_reg[34] ( .D(\ifu/ifu_bp_btb_target_f [15]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B(n10873), .Q(\ifu/aln/misc2 [34]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc2ff/genblock.genblock.dff/dout_reg[35] ( .D(\ifu/ifu_bp_btb_target_f [16]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B(n10873), .Q(\ifu/aln/misc2 [35]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc2ff/genblock.genblock.dff/dout_reg[36] ( .D(\ifu/ifu_bp_btb_target_f [17]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B(n10873), .Q(\ifu/aln/misc2 [36]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc2ff/genblock.genblock.dff/dout_reg[37] ( .D(\ifu/ifu_bp_btb_target_f [18]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B(n10873), .Q(\ifu/aln/misc2 [37]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc2ff/genblock.genblock.dff/dout_reg[38] ( .D(\ifu/ifu_bp_btb_target_f [19]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B(n10873), .Q(\ifu/aln/misc2 [38]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc2ff/genblock.genblock.dff/dout_reg[39] ( .D(\ifu/ifu_bp_btb_target_f [20]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B(n10873), .Q(\ifu/aln/misc2 [39]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc2ff/genblock.genblock.dff/dout_reg[40] ( .D(\ifu/ifu_bp_btb_target_f [21]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B(n10873), .Q(\ifu/aln/misc2 [40]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc2ff/genblock.genblock.dff/dout_reg[41] ( .D(\ifu/ifu_bp_btb_target_f [22]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B(n10873), .Q(\ifu/aln/misc2 [41]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc2ff/genblock.genblock.dff/dout_reg[42] ( .D(\ifu/ifu_bp_btb_target_f [23]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B(n10873), .Q(\ifu/aln/misc2 [42]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc2ff/genblock.genblock.dff/dout_reg[43] ( .D(\ifu/ifu_bp_btb_target_f [24]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B(n10873), .Q(\ifu/aln/misc2 [43]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc2ff/genblock.genblock.dff/dout_reg[44] ( .D(\ifu/ifu_bp_btb_target_f [25]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B(n10873), .Q(\ifu/aln/misc2 [44]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc2ff/genblock.genblock.dff/dout_reg[45] ( .D(\ifu/ifu_bp_btb_target_f [26]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B(n10873), .Q(\ifu/aln/misc2 [45]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc2ff/genblock.genblock.dff/dout_reg[46] ( .D(\ifu/ifu_bp_btb_target_f [27]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B(n10873), .Q(\ifu/aln/misc2 [46]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc2ff/genblock.genblock.dff/dout_reg[47] ( .D(\ifu/ifu_bp_btb_target_f [28]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B(n10873), .Q(\ifu/aln/misc2 [47]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc2ff/genblock.genblock.dff/dout_reg[48] ( .D(\ifu/ifu_bp_btb_target_f [29]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B(n10873), .Q(\ifu/aln/misc2 [48]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc2ff/genblock.genblock.dff/dout_reg[49] ( .D(\ifu/ifu_bp_btb_target_f [30]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B(n10873), .Q(\ifu/aln/misc2 [49]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc2ff/genblock.genblock.dff/dout_reg[50] ( .D(\ifu/ifu_bp_btb_target_f [31]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B(n25086), .Q(\ifu/aln/misc2 [50]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc2ff/genblock.genblock.dff/dout_reg[51] ( .D(\ifu/ic_access_fault_type_f [0]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B(n25086), .Q(\ifu/aln/misc2 [51]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc2ff/genblock.genblock.dff/dout_reg[52] ( .D(\ifu/ic_access_fault_type_f [1]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B(n25086), .Q(\ifu/aln/misc2 [52]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc2ff/genblock.genblock.dff/dout_reg[53] ( .D(\ifu/ic_access_fault_f ), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B( n25086), .Q(\ifu/aln/misc2 [53]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/misc2ff/genblock.genblock.dff/dout_reg[54] ( .D(\ifu/iccm_rd_ecc_double_err ), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B(n25086), .Q(\ifu/aln/misc2 [54]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q2ff/genblock.genblock.dff/dout_reg[0] ( .D(\ifu/ifu_fetch_data_f [0]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B( n25086), .Q(\ifu/aln/q2 [0]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q2ff/genblock.genblock.dff/dout_reg[1] ( .D(\ifu/ifu_fetch_data_f [1]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B( n25086), .Q(\ifu/aln/q2 [1]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q2ff/genblock.genblock.dff/dout_reg[2] ( .D(\ifu/ifu_fetch_data_f [2]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B( n25086), .Q(\ifu/aln/q2 [2]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q2ff/genblock.genblock.dff/dout_reg[3] ( .D(\ifu/ifu_fetch_data_f [3]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B( n25086), .Q(\ifu/aln/q2 [3]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q2ff/genblock.genblock.dff/dout_reg[4] ( .D(\ifu/ifu_fetch_data_f [4]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B( n25086), .Q(\ifu/aln/q2 [4]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q2ff/genblock.genblock.dff/dout_reg[5] ( .D(\ifu/ifu_fetch_data_f [5]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B( n25086), .Q(\ifu/aln/q2 [5]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q2ff/genblock.genblock.dff/dout_reg[6] ( .D(\ifu/ifu_fetch_data_f [6]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B( n25086), .Q(\ifu/aln/q2 [6]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q2ff/genblock.genblock.dff/dout_reg[7] ( .D(\ifu/ifu_fetch_data_f [7]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B( n10880), .Q(\ifu/aln/q2 [7]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q2ff/genblock.genblock.dff/dout_reg[8] ( .D(\ifu/ifu_fetch_data_f [8]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B( n10880), .Q(\ifu/aln/q2 [8]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q2ff/genblock.genblock.dff/dout_reg[9] ( .D(\ifu/ifu_fetch_data_f [9]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B( n10880), .Q(\ifu/aln/q2 [9]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q2ff/genblock.genblock.dff/dout_reg[10] ( .D(\ifu/ifu_fetch_data_f [10]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B( n10880), .Q(\ifu/aln/q2 [10]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q2ff/genblock.genblock.dff/dout_reg[11] ( .D(\ifu/ifu_fetch_data_f [11]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B( n10880), .Q(\ifu/aln/q2 [11]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q2ff/genblock.genblock.dff/dout_reg[12] ( .D(\ifu/ifu_fetch_data_f [12]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B( n25082), .Q(\ifu/aln/q2 [12]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q2ff/genblock.genblock.dff/dout_reg[13] ( .D(\ifu/ifu_fetch_data_f [13]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B( n25082), .Q(\ifu/aln/q2 [13]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q2ff/genblock.genblock.dff/dout_reg[14] ( .D(\ifu/ifu_fetch_data_f [14]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B( n25082), .Q(\ifu/aln/q2 [14]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q2ff/genblock.genblock.dff/dout_reg[15] ( .D(\ifu/ifu_fetch_data_f [15]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B( n25082), .Q(\ifu/aln/q2 [15]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q2ff/genblock.genblock.dff/dout_reg[16] ( .D(\ifu/ifu_fetch_data_f [16]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B( n25082), .Q(\ifu/aln/q2 [16]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q2ff/genblock.genblock.dff/dout_reg[17] ( .D(\ifu/ifu_fetch_data_f [17]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B( n25087), .Q(\ifu/aln/q2 [17]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q2ff/genblock.genblock.dff/dout_reg[18] ( .D(\ifu/ifu_fetch_data_f [18]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B( n25088), .Q(\ifu/aln/q2 [18]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q2ff/genblock.genblock.dff/dout_reg[19] ( .D(\ifu/ifu_fetch_data_f [19]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B( n25082), .Q(\ifu/aln/q2 [19]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q2ff/genblock.genblock.dff/dout_reg[20] ( .D(\ifu/ifu_fetch_data_f [20]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B( n25082), .Q(\ifu/aln/q2 [20]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q2ff/genblock.genblock.dff/dout_reg[21] ( .D(\ifu/ifu_fetch_data_f [21]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B( n25082), .Q(\ifu/aln/q2 [21]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q2ff/genblock.genblock.dff/dout_reg[22] ( .D(\ifu/ifu_fetch_data_f [22]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B( n25082), .Q(\ifu/aln/q2 [22]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q2ff/genblock.genblock.dff/dout_reg[23] ( .D(\ifu/ifu_fetch_data_f [23]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B( n25082), .Q(\ifu/aln/q2 [23]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q2ff/genblock.genblock.dff/dout_reg[24] ( .D(\ifu/ifu_fetch_data_f [24]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B( n25082), .Q(\ifu/aln/q2 [24]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q2ff/genblock.genblock.dff/dout_reg[25] ( .D(\ifu/ifu_fetch_data_f [25]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B( n25082), .Q(\ifu/aln/q2 [25]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q2ff/genblock.genblock.dff/dout_reg[26] ( .D(\ifu/ifu_fetch_data_f [26]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B( n25087), .Q(\ifu/aln/q2 [26]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q2ff/genblock.genblock.dff/dout_reg[27] ( .D(\ifu/ifu_fetch_data_f [27]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B( n25088), .Q(\ifu/aln/q2 [27]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q2ff/genblock.genblock.dff/dout_reg[28] ( .D(\ifu/ifu_fetch_data_f [28]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B( n25082), .Q(\ifu/aln/q2 [28]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q2ff/genblock.genblock.dff/dout_reg[29] ( .D(\ifu/ifu_fetch_data_f [29]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B( n25082), .Q(\ifu/aln/q2 [29]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q2ff/genblock.genblock.dff/dout_reg[30] ( .D(\ifu/ifu_fetch_data_f [30]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B( n25082), .Q(\ifu/aln/q2 [30]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q2ff/genblock.genblock.dff/dout_reg[31] ( .D(\ifu/ifu_fetch_data_f [31]), .CLK(\ifu/aln/q2ff/l1clk ), .RESET_B( n25082), .Q(\ifu/aln/q2 [31]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0_x_c_ff/genblock.dffs/dout_reg[2] ( .D(\dec/decode/i0_x_c_ff/_0_net_[2] ), .CLK(active_clk), .RESET_B( n25082), .Q(\dec/decode/i0_x_c[mul] ) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_alu/i_result_ff/genblock.genblock.dff/dout_reg[6] ( .D(\exu/i_alu/result [6]), .CLK(\exu/i_alu/i_result_ff/l1clk ), .RESET_B(n25082), .Q(\exu/alu_result_x [6]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_alu/i_result_ff/genblock.genblock.dff/dout_reg[5] ( .D(\exu/i_alu/result [5]), .CLK(\exu/i_alu/i_result_ff/l1clk ), .RESET_B(n25082), .Q(\exu/alu_result_x [5]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_alu/i_result_ff/genblock.genblock.dff/dout_reg[10] ( .D(\exu/i_alu/result [10]), .CLK(\exu/i_alu/i_result_ff/l1clk ), .RESET_B(n25082), .Q(\exu/alu_result_x [10]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_alu/i_result_ff/genblock.genblock.dff/dout_reg[9] ( .D(\exu/i_alu/result [9]), .CLK(\exu/i_alu/i_result_ff/l1clk ), .RESET_B(n25087), .Q(\exu/alu_result_x [9]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_alu/i_result_ff/genblock.genblock.dff/dout_reg[14] ( .D(\exu/i_alu/result [14]), .CLK(\exu/i_alu/i_result_ff/l1clk ), .RESET_B(n25088), .Q(\exu/alu_result_x [14]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_alu/i_result_ff/genblock.genblock.dff/dout_reg[12] ( .D(\exu/i_alu/result [12]), .CLK(\exu/i_alu/i_result_ff/l1clk ), .RESET_B(n25082), .Q(\exu/alu_result_x [12]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_alu/i_result_ff/genblock.genblock.dff/dout_reg[28] ( .D(\exu/i_alu/result [28]), .CLK(\exu/i_alu/i_result_ff/l1clk ), .RESET_B(n25088), .Q(\exu/alu_result_x [28]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_alu/i_result_ff/genblock.genblock.dff/dout_reg[18] ( .D(\exu/i_alu/result [18]), .CLK(\exu/i_alu/i_result_ff/l1clk ), .RESET_B(n25082), .Q(\exu/alu_result_x [18]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U1_Plus1_0.store_data_hi_rff/dout_reg[7] ( .D(\lsu/dccm_ctl/store_data_hi_r_in [7]), .CLK( \lsu/lsu_store_c1_r_clk ), .RESET_B(n25082), .Q( \lsu/store_data_hi_r [7]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_alu/i_result_ff/genblock.genblock.dff/dout_reg[20] ( .D(\exu/i_alu/result [20]), .CLK(\exu/i_alu/i_result_ff/l1clk ), .RESET_B(n25088), .Q(\exu/alu_result_x [20]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/miscf/genblock.dffs/dout_reg[1] ( .D( \exu/i_div/miscf/_0_net_[1] ), .CLK(\exu/i_div/exu_div_clk ), .RESET_B(n25082), .Q(\exu/i_div/sign_ff ) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/i_shortq_ff/dout_reg[3] ( .D( \exu/i_div/shortq_shift [3]), .CLK(\exu/i_div/exu_div_clk ), .RESET_B( n25087), .Q(\exu/i_div/shortq_shift_ff[2] ) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/qff/genblock.genblock.dff/dout_reg[0] ( .D(\exu/i_div/q_in [0]), .CLK(\exu/i_div/qff/l1clk ), .RESET_B(n25088), .Q(\exu/i_div/q_ff_comp[0] ) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/qff/genblock.genblock.dff/dout_reg[16] ( .D(\exu/i_div/q_in [16]), .CLK(\exu/i_div/qff/l1clk ), .RESET_B(n25082), .Q(\exu/i_div/q_ff [16]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/i_shortq_ff/dout_reg[1] ( .D( \exu/i_div/shortq_shift [1]), .CLK(\exu/i_div/exu_div_clk ), .RESET_B( n25082), .Q(\exu/i_div/shortq_shift_xx [1]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/qff/genblock.genblock.dff/dout_reg[10] ( .D(\exu/i_div/q_in [10]), .CLK(\exu/i_div/qff/l1clk ), .RESET_B(n25087), .Q(\exu/i_div/q_ff [10]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/i_shortq_ff/dout_reg[2] ( .D( \exu/i_div/shortq_shift [2]), .CLK(\exu/i_div/exu_div_clk ), .RESET_B( n25088), .Q(\exu/i_div/shortq_shift_xx [2]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/i_shortq_ff/dout_reg[4] ( .D( \exu/i_div/shortq_enable ), .CLK(\exu/i_div/exu_div_clk ), .RESET_B( n25082), .Q(\exu/i_div/shortq_enable_ff ) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/smallnumff/dout_reg[4] ( .D( \exu/i_div/smallnum_case ), .CLK(\exu/i_div/exu_div_clk ), .RESET_B( n25082), .Q(\exu/i_div/smallnum_case_ff ) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/miscf/genblock.dffs/dout_reg[3] ( .D( \exu/i_div/miscf/_0_net_[3] ), .CLK(\exu/i_div/exu_div_clk ), .RESET_B(n25082), .Q(\exu/i_div/dividend_neg_ff ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/access_fault_mff/dout_reg[0] ( .D(\lsu/lsu_lsc_ctl/access_fault_d ), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n25082), .Q(\lsu/lsu_lsc_ctl/access_fault_m ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/sdmff/dout_reg[31] ( .D( \lsu/lsu_lsc_ctl/store_data_m_in [31]), .CLK(\lsu/lsu_store_c1_m_clk ), .RESET_B(n25087), .Q(\lsu/lsu_lsc_ctl/store_data_pre_m [31]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U1_Plus1_0.store_data_lo_rff/dout_reg[31] ( .D(\lsu/dccm_ctl/store_data_lo_r_in [31]), .CLK( \lsu/lsu_store_c1_r_clk ), .RESET_B(n25088), .Q( \lsu/store_data_lo_r [31]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_alu/i_result_ff/genblock.genblock.dff/dout_reg[22] ( .D(\exu/i_alu/result [22]), .CLK(\exu/i_alu/i_result_ff/l1clk ), .RESET_B(n25082), .Q(\exu/alu_result_x [22]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_alu/i_result_ff/genblock.genblock.dff/dout_reg[19] ( .D(\exu/i_alu/result [19]), .CLK(\exu/i_alu/i_result_ff/l1clk ), .RESET_B(n25082), .Q(\exu/alu_result_x [19]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_alu/i_result_ff/genblock.genblock.dff/dout_reg[13] ( .D(\exu/i_alu/result [13]), .CLK(\exu/i_alu/i_result_ff/l1clk ), .RESET_B(n25082), .Q(\exu/alu_result_x [13]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_alu/i_result_ff/genblock.genblock.dff/dout_reg[26] ( .D(\exu/i_alu/result [26]), .CLK(\exu/i_alu/i_result_ff/l1clk ), .RESET_B(n25082), .Q(\exu/alu_result_x [26]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_alu/i_result_ff/genblock.genblock.dff/dout_reg[15] ( .D(\exu/i_alu/result [15]), .CLK(\exu/i_alu/i_result_ff/l1clk ), .RESET_B(n25082), .Q(\exu/alu_result_x [15]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_alu/i_result_ff/genblock.genblock.dff/dout_reg[7] ( .D(\exu/i_alu/result [7]), .CLK(\exu/i_alu/i_result_ff/l1clk ), .RESET_B(n25087), .Q(\exu/alu_result_x [7]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/sdmff/dout_reg[7] ( .D( \lsu/lsu_lsc_ctl/store_data_m_in [7]), .CLK(\lsu/lsu_store_c1_m_clk ), .RESET_B(n25088), .Q(\lsu/lsu_lsc_ctl/store_data_pre_m [7]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U1_Plus1_0.store_data_lo_rff/dout_reg[15] ( .D(\lsu/dccm_ctl/store_data_lo_r_in [15]), .CLK( \lsu/lsu_store_c1_r_clk ), .RESET_B(n25093), .Q( \lsu/store_data_lo_r [15]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/csr_rddata_x_ff/genblock.genblock.dff/dout_reg[0] ( .D(\dec/dec_csr_rddata_d [0]), .CLK(\dec/decode/csr_rddata_x_ff/l1clk ), .RESET_B(n25107), .Q(\dec/decode/csr_rddata_x [0]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/csr_rddata_x_ff/genblock.genblock.dff/dout_reg[1] ( .D(\dec/dec_csr_rddata_d [1]), .CLK(\dec/decode/csr_rddata_x_ff/l1clk ), .RESET_B(n25106), .Q(\dec/decode/csr_rddata_x [1]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/csr_rddata_x_ff/genblock.genblock.dff/dout_reg[2] ( .D(\dec/dec_csr_rddata_d [2]), .CLK(\dec/decode/csr_rddata_x_ff/l1clk ), .RESET_B(n25101), .Q(\dec/decode/csr_rddata_x [2]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/csr_rddata_x_ff/genblock.genblock.dff/dout_reg[4] ( .D(\dec/dec_csr_rddata_d [4]), .CLK(\dec/decode/csr_rddata_x_ff/l1clk ), .RESET_B(n10881), .Q(\dec/decode/csr_rddata_x [4]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/csr_rddata_x_ff/genblock.genblock.dff/dout_reg[5] ( .D(\dec/dec_csr_rddata_d [5]), .CLK(\dec/decode/csr_rddata_x_ff/l1clk ), .RESET_B(n25107), .Q(\dec/decode/csr_rddata_x [5]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/csr_rddata_x_ff/genblock.genblock.dff/dout_reg[6] ( .D(\dec/dec_csr_rddata_d [6]), .CLK(\dec/decode/csr_rddata_x_ff/l1clk ), .RESET_B(n10876), .Q(\dec/decode/csr_rddata_x [6]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/csr_rddata_x_ff/genblock.genblock.dff/dout_reg[7] ( .D(\dec/dec_csr_rddata_d [7]), .CLK(\dec/decode/csr_rddata_x_ff/l1clk ), .RESET_B(n25102), .Q(\dec/decode/csr_rddata_x [7]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/csr_rddata_x_ff/genblock.genblock.dff/dout_reg[8] ( .D(\dec/dec_csr_rddata_d [8]), .CLK(\dec/decode/csr_rddata_x_ff/l1clk ), .RESET_B(n10881), .Q(\dec/decode/csr_rddata_x [8]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/csr_rddata_x_ff/genblock.genblock.dff/dout_reg[9] ( .D(\dec/dec_csr_rddata_d [9]), .CLK(\dec/decode/csr_rddata_x_ff/l1clk ), .RESET_B(n25105), .Q(\dec/decode/csr_rddata_x [9]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/csr_rddata_x_ff/genblock.genblock.dff/dout_reg[10] ( .D(\dec/dec_csr_rddata_d [10]), .CLK( \dec/decode/csr_rddata_x_ff/l1clk ), .RESET_B(n10876), .Q( \dec/decode/csr_rddata_x [10]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/csr_rddata_x_ff/genblock.genblock.dff/dout_reg[11] ( .D(\dec/dec_csr_rddata_d [11]), .CLK( \dec/decode/csr_rddata_x_ff/l1clk ), .RESET_B(n25106), .Q( \dec/decode/csr_rddata_x [11]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/csr_rddata_x_ff/genblock.genblock.dff/dout_reg[12] ( .D(\dec/dec_csr_rddata_d [12]), .CLK( \dec/decode/csr_rddata_x_ff/l1clk ), .RESET_B(n25100), .Q( \dec/decode/csr_rddata_x [12]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/csr_rddata_x_ff/genblock.genblock.dff/dout_reg[13] ( .D(\dec/dec_csr_rddata_d [13]), .CLK( \dec/decode/csr_rddata_x_ff/l1clk ), .RESET_B(n25101), .Q( \dec/decode/csr_rddata_x [13]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/csr_rddata_x_ff/genblock.genblock.dff/dout_reg[14] ( .D(\dec/dec_csr_rddata_d [14]), .CLK( \dec/decode/csr_rddata_x_ff/l1clk ), .RESET_B(n25104), .Q( \dec/decode/csr_rddata_x [14]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/csr_rddata_x_ff/genblock.genblock.dff/dout_reg[15] ( .D(\dec/dec_csr_rddata_d [15]), .CLK( \dec/decode/csr_rddata_x_ff/l1clk ), .RESET_B(n25103), .Q( \dec/decode/csr_rddata_x [15]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/csr_rddata_x_ff/genblock.genblock.dff/dout_reg[16] ( .D(\dec/dec_csr_rddata_d [16]), .CLK( \dec/decode/csr_rddata_x_ff/l1clk ), .RESET_B(n10881), .Q( \dec/decode/csr_rddata_x [16]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/csr_rddata_x_ff/genblock.genblock.dff/dout_reg[17] ( .D(\dec/dec_csr_rddata_d [17]), .CLK( \dec/decode/csr_rddata_x_ff/l1clk ), .RESET_B(n25082), .Q( \dec/decode/csr_rddata_x [17]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/csr_rddata_x_ff/genblock.genblock.dff/dout_reg[18] ( .D(\dec/dec_csr_rddata_d [18]), .CLK( \dec/decode/csr_rddata_x_ff/l1clk ), .RESET_B(n25106), .Q( \dec/decode/csr_rddata_x [18]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/csr_rddata_x_ff/genblock.genblock.dff/dout_reg[19] ( .D(\dec/dec_csr_rddata_d [19]), .CLK( \dec/decode/csr_rddata_x_ff/l1clk ), .RESET_B(n25112), .Q( \dec/decode/csr_rddata_x [19]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/csr_rddata_x_ff/genblock.genblock.dff/dout_reg[20] ( .D(\dec/dec_csr_rddata_d [20]), .CLK( \dec/decode/csr_rddata_x_ff/l1clk ), .RESET_B(n25104), .Q( \dec/decode/csr_rddata_x [20]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/csr_rddata_x_ff/genblock.genblock.dff/dout_reg[21] ( .D(\dec/dec_csr_rddata_d [21]), .CLK( \dec/decode/csr_rddata_x_ff/l1clk ), .RESET_B(n25101), .Q( \dec/decode/csr_rddata_x [21]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/csr_rddata_x_ff/genblock.genblock.dff/dout_reg[22] ( .D(\dec/dec_csr_rddata_d [22]), .CLK( \dec/decode/csr_rddata_x_ff/l1clk ), .RESET_B(n25100), .Q( \dec/decode/csr_rddata_x [22]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/csr_rddata_x_ff/genblock.genblock.dff/dout_reg[23] ( .D(\dec/dec_csr_rddata_d [23]), .CLK( \dec/decode/csr_rddata_x_ff/l1clk ), .RESET_B(n25106), .Q( \dec/decode/csr_rddata_x [23]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/csr_rddata_x_ff/genblock.genblock.dff/dout_reg[24] ( .D(\dec/dec_csr_rddata_d [24]), .CLK( \dec/decode/csr_rddata_x_ff/l1clk ), .RESET_B(n10876), .Q( \dec/decode/csr_rddata_x [24]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/csr_rddata_x_ff/genblock.genblock.dff/dout_reg[25] ( .D(\dec/dec_csr_rddata_d [25]), .CLK( \dec/decode/csr_rddata_x_ff/l1clk ), .RESET_B(n25105), .Q( \dec/decode/csr_rddata_x [25]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/csr_rddata_x_ff/genblock.genblock.dff/dout_reg[26] ( .D(\dec/dec_csr_rddata_d [26]), .CLK( \dec/decode/csr_rddata_x_ff/l1clk ), .RESET_B(n10881), .Q( \dec/decode/csr_rddata_x [26]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/csr_rddata_x_ff/genblock.genblock.dff/dout_reg[27] ( .D(\dec/dec_csr_rddata_d [27]), .CLK( \dec/decode/csr_rddata_x_ff/l1clk ), .RESET_B(n25102), .Q( \dec/decode/csr_rddata_x [27]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/csr_rddata_x_ff/genblock.genblock.dff/dout_reg[28] ( .D(\dec/dec_csr_rddata_d [28]), .CLK( \dec/decode/csr_rddata_x_ff/l1clk ), .RESET_B(n10876), .Q( \dec/decode/csr_rddata_x [28]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/csr_rddata_x_ff/genblock.genblock.dff/dout_reg[29] ( .D(\dec/dec_csr_rddata_d [29]), .CLK( \dec/decode/csr_rddata_x_ff/l1clk ), .RESET_B(n25106), .Q( \dec/decode/csr_rddata_x [29]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/csr_rddata_x_ff/genblock.genblock.dff/dout_reg[30] ( .D(\dec/dec_csr_rddata_d [30]), .CLK( \dec/decode/csr_rddata_x_ff/l1clk ), .RESET_B(n25107), .Q( \dec/decode/csr_rddata_x [30]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/csr_rddata_x_ff/genblock.genblock.dff/dout_reg[31] ( .D(\dec/dec_csr_rddata_d [31]), .CLK( \dec/decode/csr_rddata_x_ff/l1clk ), .RESET_B(n25116), .Q( \dec/decode/csr_rddata_x [31]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_predictpacket_x_ff/genblock.genblock.dff/dout_reg[54] ( .D(\exu/i0_predict_p_d[ataken] ), .CLK( \exu/i_predictpacket_x_ff/l1clk ), .RESET_B(n10876), .Q( \exu/i0_predict_p_x[ataken] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0cgff/dout_reg[2] ( .D( dec_i0_decode_d), .CLK(active_clk), .RESET_B(n25106), .Q( \dec/decode/i0_pipe_en [2]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0cgff/dout_reg[1] ( .D( \dec/decode/i0_pipe_en [2]), .CLK(active_clk), .RESET_B(n25100), .Q( \dec/decode/i0_pipe_en [1]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0cgff/dout_reg[0] ( .D( \dec/decode/i0_pipe_en [1]), .CLK(active_clk), .RESET_B(n25101), .Q( \dec/decode/i0_pipe_en [0]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_predpipe_r_ff/genblock.genblock.dff/dout_reg[6] ( .D(\exu/predpipe_x [6]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n25104), .Q(exu_i0_br_index_r[3]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff1/genblock.genblock.dff/dout_reg[0] ( .D(pred_correct_npc_x[7]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n25103), .Q(\exu/pred_correct_npc_r [7]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff1/genblock.genblock.dff/dout_reg[1] ( .D(pred_correct_npc_x[8]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n10881), .Q(\exu/pred_correct_npc_r [8]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff1/genblock.genblock.dff/dout_reg[2] ( .D(pred_correct_npc_x[9]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n25082), .Q(\exu/pred_correct_npc_r [9]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff1/genblock.genblock.dff/dout_reg[3] ( .D(pred_correct_npc_x[10]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n25112), .Q(\exu/pred_correct_npc_r [10]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff1/genblock.genblock.dff/dout_reg[4] ( .D(pred_correct_npc_x[11]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n25116), .Q(\exu/pred_correct_npc_r [11]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff1/genblock.genblock.dff/dout_reg[5] ( .D(pred_correct_npc_x[12]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n25106), .Q(\exu/pred_correct_npc_r [12]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_alu/i_pc_ff/genblock.genblock.dff/dout_reg[17] ( .D(dec_i0_pc_d[18]), .CLK(\exu/i_alu/i_pc_ff/l1clk ), .RESET_B(n10876), .Q(exu_i0_pc_x[18]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0_pc_r_ff/genblock.genblock.dff/dout_reg[17] ( .D(exu_i0_pc_x[18]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n25104), .Q( \dec/dec_tlu_i0_pc_r [18]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wbpcff/genblock.genblock.dff/dout_reg[17] ( .D(\dec/dec_tlu_i0_pc_r [18]), .CLK(\dec/decode/i0wbpcff/l1clk ), .RESET_B(n25103), .Q(\dec/decode/i0_pc_wb [18]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wb1pcff/genblock.genblock.dff/dout_reg[17] ( .D(\dec/decode/i0_pc_wb [18]), .CLK(\dec/decode/i0wb1pcff/l1clk ), .RESET_B(n10881), .Q(trace_rv_i_address_ip[18]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff0/genblock.genblock.dff/dout_reg[1] ( .D(pred_correct_npc_x[1]), .CLK(\exu/i_r_ff0/l1clk ), .RESET_B(n25082), .Q(\exu/pred_correct_npc_r [1]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff0/genblock.genblock.dff/dout_reg[3] ( .D(pred_correct_npc_x[3]), .CLK(\exu/i_r_ff0/l1clk ), .RESET_B(n25112), .Q(\exu/pred_correct_npc_r [3]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff0/genblock.genblock.dff/dout_reg[4] ( .D(pred_correct_npc_x[4]), .CLK(\exu/i_r_ff0/l1clk ), .RESET_B(n25116), .Q(\exu/pred_correct_npc_r [4]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff0/genblock.genblock.dff/dout_reg[5] ( .D(pred_correct_npc_x[5]), .CLK(\exu/i_r_ff0/l1clk ), .RESET_B(n25106), .Q(\exu/pred_correct_npc_r [5]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff0/genblock.genblock.dff/dout_reg[6] ( .D(pred_correct_npc_x[6]), .CLK(\exu/i_r_ff0/l1clk ), .RESET_B(n10876), .Q(\exu/pred_correct_npc_r [6]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff0/genblock.genblock.dff/dout_reg[61] ( .D(\exu/i0_predict_p_x[ataken] ), .CLK(\exu/i_r_ff0/l1clk ), .RESET_B( n25107), .Q(exu_pmu_i0_br_ataken) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0_r_c_ff/genblock.dffs/dout_reg[2] ( .D(\dec/decode/i0_r_c_ff/_0_net_[2] ), .CLK(active_clk), .RESET_B( n10881), .Q(\dec/decode/i0_r_c[mul] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/wbnbloaddelayff/genblock.dffs/dout_reg[0] ( .D(\dec/decode/wbnbloaddelayff/_0_net_[0] ), .CLK(active_clk), .RESET_B(n25105), .Q(\dec/decode/nonblock_load_valid_m_delay ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/e1ff/genblock.genblock.dff/dout_reg[14] ( .D(dec_i0_decode_d), .CLK(\dec/decode/e1ff/l1clk ), .RESET_B(n25107), .Q(\dec/decode/x_d[i0valid] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/r_d_ff/genblock.genblock.dff/dout_reg[14] ( .D(\dec/decode/x_d_in[i0valid] ), .CLK(\exu/i_r_ff0/l1clk ), .RESET_B( n25107), .Q(\dec/decode/r_d[i0valid] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/leak1_i0_stall_ff/dout_reg[0] ( .D( \dec/decode/leak1_i0_stall_in ), .CLK(\dec/decode/data_gate_clk ), .RESET_B(n25107), .Q(\dec/decode/leak1_i0_stall ) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f2valff/dout_reg[0] ( .D( \ifu/aln/f2val_in [0]), .CLK(active_clk), .RESET_B(n25107), .Q( \ifu/aln/f2val [0]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f2valff/dout_reg[1] ( .D( \ifu/aln/f2val_in [1]), .CLK(active_clk), .RESET_B(n25107), .Q( \ifu/aln/f2val [1]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f1valff/dout_reg[1] ( .D( \ifu/aln/f1val_in [1]), .CLK(active_clk), .RESET_B(n25107), .Q( \ifu/aln/f1val [1]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f0valff/dout_reg[0] ( .D( \ifu/aln/f0val_in [0]), .CLK(active_clk), .RESET_B(n25107), .Q( \ifu/aln/f0val [0]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f1valff/dout_reg[0] ( .D( \ifu/aln/f1val_in [0]), .CLK(active_clk), .RESET_B(n25107), .Q( \ifu/aln/f1val [0]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/ifc/fbwrite_ff/dout_reg[3] ( .D( \ifu/ifc/fb_write_ns [3]), .CLK(active_clk), .RESET_B(n25107), .Q( \ifu/ifc/fb_write_f [3]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/ifc/fbwrite_ff/dout_reg[4] ( .D( \ifu/ifc/fb_write_ns [3]), .CLK(active_clk), .RESET_B(n25107), .Q( \ifu/ifc/fb_full_f ) ); sky130_fd_sc_hd__dfrtp_1 \ifu/ifc/fbwrite_ff/dout_reg[2] ( .D( \ifu/ifc/fb_write_ns [2]), .CLK(active_clk), .RESET_B(n25107), .Q( \ifu/ifc/fb_write_f [2]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/ifc/fbwrite_ff/dout_reg[1] ( .D( \ifu/ifc/fb_write_ns [1]), .CLK(active_clk), .RESET_B(n25100), .Q( \ifu/ifc/fb_write_f [1]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/ifc/fbwrite_ff/dout_reg[0] ( .D( \ifu/ifc/fb_write_ns [0]), .CLK(active_clk), .RESET_B(n25101), .Q( \ifu/ifc/fb_write_f [0]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/ifc/ran_ff/dout_reg[0] ( .D(\ifu/ifc/miss_f ), .CLK(clk), .RESET_B(n25104), .Q(\ifu/ifc/miss_a ) ); sky130_fd_sc_hd__dfrtp_1 \ifu/ifc/fsm_ff/dout_reg[1] ( .D( \ifu/ifc/next_state [1]), .CLK(active_clk), .RESET_B(n25103), .Q( \ifu/ifc/state [1]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/ifc/fsm_ff/dout_reg[0] ( .D( \ifu/ifc/next_state [0]), .CLK(active_clk), .RESET_B(n10881), .Q( \ifu/ifc/state [0]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/rdpff/dout_reg[1] ( .D( \ifu/aln/rdptr_in [1]), .CLK(active_clk), .RESET_B(n10880), .Q( \ifu/aln/rdptr [1]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/rdpff/dout_reg[0] ( .D( \ifu/aln/rdptr_in [0]), .CLK(active_clk), .RESET_B(n25093), .Q( \ifu/aln/rdptr [0]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0xinstff/genblock.genblock.dff/dout_reg[1] ( .D(\dec/decode/i0_inst_d [1]), .CLK(\dec/decode/i0xinstff/l1clk ), .RESET_B(n10873), .Q(\dec/decode/i0_inst_x [1]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0cinstff/genblock.genblock.dff/dout_reg[1] ( .D(\dec/decode/i0_inst_x [1]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25093), .Q(\dec/decode/i0_inst_wb_in [1]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wbinstff/genblock.genblock.dff/dout_reg[1] ( .D(\dec/decode/i0_inst_wb_in [1]), .CLK(\dec/decode/i0wbpcff/l1clk ), .RESET_B(n10873), .Q(\dec/decode/i0_inst_wb [1]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wb1instff/genblock.genblock.dff/dout_reg[1] ( .D(\dec/decode/i0_inst_wb [1]), .CLK(\dec/decode/i0wb1pcff/l1clk ), .RESET_B(n10873), .Q(trace_rv_i_insn_ip[1]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff0/genblock.genblock.dff/dout_reg[59] ( .D(\exu/i0_predict_p_x[pc4] ), .CLK(\exu/i_r_ff0/l1clk ), .RESET_B( n25093), .Q(exu_pmu_i0_pc4) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_predpipe_x_ff/genblock.genblock.dff/dout_reg[13] ( .D(i0_predict_fghr_d[0]), .CLK(\exu/i_predpipe_x_ff/l1clk ), .RESET_B( n25093), .Q(\exu/predpipe_x [13]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_predpipe_r_ff/genblock.genblock.dff/dout_reg[13] ( .D(\exu/predpipe_x [13]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n25093), .Q(exu_i0_br_fghr_r[0]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_predpipe_x_ff/genblock.genblock.dff/dout_reg[14] ( .D(i0_predict_fghr_d[1]), .CLK(\exu/i_predpipe_x_ff/l1clk ), .RESET_B( n25093), .Q(\exu/predpipe_x [14]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_predpipe_r_ff/genblock.genblock.dff/dout_reg[14] ( .D(\exu/predpipe_x [14]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n10880), .Q(exu_i0_br_fghr_r[1]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_predpipe_x_ff/genblock.genblock.dff/dout_reg[15] ( .D(i0_predict_fghr_d[2]), .CLK(\exu/i_predpipe_x_ff/l1clk ), .RESET_B( n10873), .Q(\exu/predpipe_x [15]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_predpipe_r_ff/genblock.genblock.dff/dout_reg[15] ( .D(\exu/predpipe_x [15]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n10873), .Q(exu_i0_br_fghr_r[2]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_predpipe_x_ff/genblock.genblock.dff/dout_reg[16] ( .D(i0_predict_fghr_d[3]), .CLK(\exu/i_predpipe_x_ff/l1clk ), .RESET_B( n25086), .Q(\exu/predpipe_x [16]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_predpipe_r_ff/genblock.genblock.dff/dout_reg[16] ( .D(\exu/predpipe_x [16]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n25093), .Q(exu_i0_br_fghr_r[3]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_predpipe_x_ff/genblock.genblock.dff/dout_reg[17] ( .D(i0_predict_fghr_d[4]), .CLK(\exu/i_predpipe_x_ff/l1clk ), .RESET_B( n25093), .Q(\exu/predpipe_x [17]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_predpipe_r_ff/genblock.genblock.dff/dout_reg[17] ( .D(\exu/predpipe_x [17]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n10873), .Q(exu_i0_br_fghr_r[4]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_predpipe_x_ff/genblock.genblock.dff/dout_reg[18] ( .D(i0_predict_fghr_d[5]), .CLK(\exu/i_predpipe_x_ff/l1clk ), .RESET_B( n25093), .Q(\exu/predpipe_x [18]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_predpipe_r_ff/genblock.genblock.dff/dout_reg[18] ( .D(\exu/predpipe_x [18]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n25093), .Q(exu_i0_br_fghr_r[5]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_predpipe_x_ff/genblock.genblock.dff/dout_reg[19] ( .D(i0_predict_fghr_d[6]), .CLK(\exu/i_predpipe_x_ff/l1clk ), .RESET_B( n25093), .Q(\exu/predpipe_x [19]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_predpipe_r_ff/genblock.genblock.dff/dout_reg[19] ( .D(\exu/predpipe_x [19]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n10880), .Q(exu_i0_br_fghr_r[6]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_predpipe_x_ff/genblock.genblock.dff/dout_reg[20] ( .D(i0_predict_fghr_d[7]), .CLK(\exu/i_predpipe_x_ff/l1clk ), .RESET_B( n25093), .Q(\exu/predpipe_x [20]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_predpipe_r_ff/genblock.genblock.dff/dout_reg[20] ( .D(\exu/predpipe_x [20]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n10873), .Q(exu_i0_br_fghr_r[7]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f0valff/dout_reg[1] ( .D( \ifu/aln/f0val_in [1]), .CLK(active_clk), .RESET_B(n25086), .Q( \ifu/aln/f0val [1]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q0offsetff/dout_reg[0] ( .D( \ifu/aln/q0off_in ), .CLK(active_clk), .RESET_B(n25093), .Q( \ifu/aln/q0off ) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q1offsetff/dout_reg[0] ( .D( \ifu/aln/q1off_in ), .CLK(active_clk), .RESET_B(n10873), .Q( \ifu/aln/q1off ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0xinstff/genblock.genblock.dff/dout_reg[0] ( .D(\dec/decode/i0_inst_d [0]), .CLK(\dec/decode/i0xinstff/l1clk ), .RESET_B(n25086), .Q(\dec/decode/i0_inst_x [0]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0cinstff/genblock.genblock.dff/dout_reg[0] ( .D(\dec/decode/i0_inst_x [0]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25093), .Q(\dec/decode/i0_inst_wb_in [0]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wbinstff/genblock.genblock.dff/dout_reg[0] ( .D(\dec/decode/i0_inst_wb_in [0]), .CLK(\dec/decode/i0wbpcff/l1clk ), .RESET_B(n25093), .Q(\dec/decode/i0_inst_wb [0]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wb1instff/genblock.genblock.dff/dout_reg[0] ( .D(\dec/decode/i0_inst_wb [0]), .CLK(\dec/decode/i0wb1pcff/l1clk ), .RESET_B(n25086), .Q(trace_rv_i_insn_ip[0]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0xinstff/genblock.genblock.dff/dout_reg[3] ( .D(\dec/decode/i0_inst_d [3]), .CLK(\dec/decode/i0xinstff/l1clk ), .RESET_B(n25093), .Q(\dec/decode/i0_inst_x [3]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0cinstff/genblock.genblock.dff/dout_reg[3] ( .D(\dec/decode/i0_inst_x [3]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n10873), .Q(\dec/decode/i0_inst_wb_in [3]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wbinstff/genblock.genblock.dff/dout_reg[3] ( .D(\dec/decode/i0_inst_wb_in [3]), .CLK(\dec/decode/i0wbpcff/l1clk ), .RESET_B(n25086), .Q(\dec/decode/i0_inst_wb [3]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wb1instff/genblock.genblock.dff/dout_reg[3] ( .D(\dec/decode/i0_inst_wb [3]), .CLK(\dec/decode/i0wb1pcff/l1clk ), .RESET_B(n25093), .Q(trace_rv_i_insn_ip[3]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/q2offsetff/dout_reg[0] ( .D( \ifu/aln/q2off_in ), .CLK(active_clk), .RESET_B(n10873), .Q( \ifu/aln/q2off ) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff0/genblock.genblock.dff/dout_reg[7] ( .D(\exu/i0_predict_p_x[way] ), .CLK(\exu/i_r_ff0/l1clk ), .RESET_B( n25086), .Q(exu_i0_br_way_r) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/trap_xff/genblock.genblock.dff/dout_reg[12] ( .D(\dec/dec_i0_icaf_type_d [0]), .CLK(\dec/decode/trap_xff/l1clk ), .RESET_B(n25093), .Q(\dec/decode/x_t[icaf_type][0] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/trap_r_ff/genblock.genblock.dff/dout_reg[12] ( .D(\dec/decode/x_t[icaf_type][0] ), .CLK(\dec/decode/trap_r_ff/l1clk ), .RESET_B(n10880), .Q(\dec/decode/r_t[icaf_type][0] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/trap_xff/genblock.genblock.dff/dout_reg[13] ( .D(\dec/dec_i0_icaf_type_d [1]), .CLK(\dec/decode/trap_xff/l1clk ), .RESET_B(n10873), .Q(\dec/decode/x_t[icaf_type][1] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/trap_r_ff/genblock.genblock.dff/dout_reg[13] ( .D(\dec/decode/x_t[icaf_type][1] ), .CLK(\dec/decode/trap_r_ff/l1clk ), .RESET_B(n25086), .Q(\dec/decode/r_t[icaf_type][1] ) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_predictpacket_x_ff/genblock.genblock.dff/dout_reg[50] ( .D(\exu/i0_predict_p_d[hist][0] ), .CLK( \exu/i_predictpacket_x_ff/l1clk ), .RESET_B(n25093), .Q( \exu/i0_predict_p_x[hist][0] ) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff0/genblock.genblock.dff/dout_reg[57] ( .D(\exu/i0_predict_p_x[hist][0] ), .CLK(\exu/i_r_ff0/l1clk ), .RESET_B(n10873), .Q(exu_i0_br_hist_r[0]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_predictpacket_x_ff/genblock.genblock.dff/dout_reg[51] ( .D(\exu/i0_predict_p_d[hist][1] ), .CLK( \exu/i_predictpacket_x_ff/l1clk ), .RESET_B(n25086), .Q( \exu/i0_predict_p_x[hist][1] ) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff0/genblock.genblock.dff/dout_reg[58] ( .D(\exu/i0_predict_p_x[hist][1] ), .CLK(\exu/i_r_ff0/l1clk ), .RESET_B(n10873), .Q(exu_i0_br_hist_r[1]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_predictpacket_x_ff/genblock.genblock.dff/dout_reg[42] ( .D(\exu/i0_predict_p_d[toffset][4] ), .CLK( \exu/i_predictpacket_x_ff/l1clk ), .RESET_B(n10880), .Q( \exu/i0_predict_p_x[toffset][4] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/e1ff/genblock.genblock.dff/dout_reg[5] ( .D(\exu/i0_predict_p_d[toffset][4] ), .CLK(\dec/decode/e1ff/l1clk ), .RESET_B(n10880), .Q(\dec/decode/x_d[csrwaddr][5] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/r_d_ff/genblock.genblock.dff/dout_reg[5] ( .D(\dec/decode/x_d[csrwaddr][5] ), .CLK(\exu/i_r_ff0/l1clk ), .RESET_B(n10873), .Q(\dec/dec_csr_wraddr_r [5]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0xinstff/genblock.genblock.dff/dout_reg[25] ( .D(\dec/decode/i0_inst_d [25]), .CLK(\dec/decode/i0xinstff/l1clk ), .RESET_B(n10873), .Q(\dec/decode/i0_inst_x [25]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0cinstff/genblock.genblock.dff/dout_reg[25] ( .D(\dec/decode/i0_inst_x [25]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n10873), .Q(\dec/decode/i0_inst_wb_in [25]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wb1instff/genblock.genblock.dff/dout_reg[25] ( .D(\dec/decode/i0_inst_wb [25]), .CLK(\dec/decode/i0wb1pcff/l1clk ), .RESET_B(n25093), .Q(trace_rv_i_insn_ip[25]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_predictpacket_x_ff/genblock.genblock.dff/dout_reg[43] ( .D(\exu/i0_predict_p_d[toffset][5] ), .CLK( \exu/i_predictpacket_x_ff/l1clk ), .RESET_B(n10873), .Q( \exu/i0_predict_p_x[toffset][5] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/e1ff/genblock.genblock.dff/dout_reg[6] ( .D(\exu/i0_predict_p_d[toffset][5] ), .CLK(\dec/decode/e1ff/l1clk ), .RESET_B(n10873), .Q(\dec/decode/x_d[csrwaddr][6] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/r_d_ff/genblock.genblock.dff/dout_reg[6] ( .D(\dec/decode/x_d[csrwaddr][6] ), .CLK(\exu/i_r_ff0/l1clk ), .RESET_B(n25086), .Q(\dec/dec_csr_wraddr_r [6]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0xinstff/genblock.genblock.dff/dout_reg[26] ( .D(\dec/decode/i0_inst_d [26]), .CLK(\dec/decode/i0xinstff/l1clk ), .RESET_B(n10880), .Q(\dec/decode/i0_inst_x [26]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0cinstff/genblock.genblock.dff/dout_reg[26] ( .D(\dec/decode/i0_inst_x [26]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n10873), .Q(\dec/decode/i0_inst_wb_in [26]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wbinstff/genblock.genblock.dff/dout_reg[26] ( .D(\dec/decode/i0_inst_wb_in [26]), .CLK(\dec/decode/i0wbpcff/l1clk ), .RESET_B(n10880), .Q(\dec/decode/i0_inst_wb [26]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wb1instff/genblock.genblock.dff/dout_reg[26] ( .D(\dec/decode/i0_inst_wb [26]), .CLK(\dec/decode/i0wb1pcff/l1clk ), .RESET_B(core_rst_l), .Q(trace_rv_i_insn_ip[26]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/e1ff/genblock.genblock.dff/dout_reg[4] ( .D(n11121), .CLK(\dec/decode/e1ff/l1clk ), .RESET_B(n10873), .Q( \dec/decode/x_d[csrwaddr][4] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/r_d_ff/genblock.genblock.dff/dout_reg[4] ( .D(\dec/decode/x_d[csrwaddr][4] ), .CLK(\exu/i_r_ff0/l1clk ), .RESET_B(n25086), .Q(\dec/dec_csr_wraddr_r [4]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0xinstff/genblock.genblock.dff/dout_reg[24] ( .D(\dec/decode/i0_inst_d [24]), .CLK(\dec/decode/i0xinstff/l1clk ), .RESET_B(n10873), .Q(\dec/decode/i0_inst_x [24]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0cinstff/genblock.genblock.dff/dout_reg[24] ( .D(\dec/decode/i0_inst_x [24]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25093), .Q(\dec/decode/i0_inst_wb_in [24]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wbinstff/genblock.genblock.dff/dout_reg[24] ( .D(\dec/decode/i0_inst_wb_in [24]), .CLK(\dec/decode/i0wbpcff/l1clk ), .RESET_B(n10880), .Q(\dec/decode/i0_inst_wb [24]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wb1instff/genblock.genblock.dff/dout_reg[24] ( .D(\dec/decode/i0_inst_wb [24]), .CLK(\dec/decode/i0wb1pcff/l1clk ), .RESET_B(n10880), .Q(trace_rv_i_insn_ip[24]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/e1ff/genblock.genblock.dff/dout_reg[7] ( .D(\exu/i0_predict_p_d[toffset][6] ), .CLK(\dec/decode/e1ff/l1clk ), .RESET_B(n10873), .Q(\dec/decode/x_d[csrwaddr][7] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/r_d_ff/genblock.genblock.dff/dout_reg[7] ( .D(\dec/decode/x_d[csrwaddr][7] ), .CLK(\exu/i_r_ff0/l1clk ), .RESET_B(n25086), .Q(\dec/dec_csr_wraddr_r [7]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0xinstff/genblock.genblock.dff/dout_reg[27] ( .D(\dec/decode/i0_inst_d [27]), .CLK(\dec/decode/i0xinstff/l1clk ), .RESET_B(n25093), .Q(\dec/decode/i0_inst_x [27]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0cinstff/genblock.genblock.dff/dout_reg[27] ( .D(\dec/decode/i0_inst_x [27]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n10873), .Q(\dec/decode/i0_inst_wb_in [27]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wbinstff/genblock.genblock.dff/dout_reg[27] ( .D(\dec/decode/i0_inst_wb_in [27]), .CLK(\dec/decode/i0wbpcff/l1clk ), .RESET_B(n10873), .Q(\dec/decode/i0_inst_wb [27]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wb1instff/genblock.genblock.dff/dout_reg[27] ( .D(\dec/decode/i0_inst_wb [27]), .CLK(\dec/decode/i0wb1pcff/l1clk ), .RESET_B(n10873), .Q(trace_rv_i_insn_ip[27]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/e1ff/genblock.genblock.dff/dout_reg[3] ( .D(\dec/dec_i0_rs2_d [3]), .CLK(\dec/decode/e1ff/l1clk ), .RESET_B( n10880), .Q(\dec/decode/x_d[csrwaddr][3] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/r_d_ff/genblock.genblock.dff/dout_reg[3] ( .D(\dec/decode/x_d[csrwaddr][3] ), .CLK(\exu/i_r_ff0/l1clk ), .RESET_B(n10873), .Q(\dec/dec_csr_wraddr_r [3]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0xinstff/genblock.genblock.dff/dout_reg[23] ( .D(\dec/decode/i0_inst_d [23]), .CLK(\dec/decode/i0xinstff/l1clk ), .RESET_B(n10873), .Q(\dec/decode/i0_inst_x [23]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0cinstff/genblock.genblock.dff/dout_reg[23] ( .D(\dec/decode/i0_inst_x [23]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25086), .Q(\dec/decode/i0_inst_wb_in [23]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wbinstff/genblock.genblock.dff/dout_reg[23] ( .D(\dec/decode/i0_inst_wb_in [23]), .CLK(\dec/decode/i0wbpcff/l1clk ), .RESET_B(n25093), .Q(\dec/decode/i0_inst_wb [23]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wb1instff/genblock.genblock.dff/dout_reg[23] ( .D(\dec/decode/i0_inst_wb [23]), .CLK(\dec/decode/i0wb1pcff/l1clk ), .RESET_B(n10880), .Q(trace_rv_i_insn_ip[23]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/e1ff/genblock.genblock.dff/dout_reg[2] ( .D(n11289), .CLK(\dec/decode/e1ff/l1clk ), .RESET_B(n25086), .Q( \dec/decode/x_d[csrwaddr][2] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/r_d_ff/genblock.genblock.dff/dout_reg[2] ( .D(\dec/decode/x_d[csrwaddr][2] ), .CLK(\exu/i_r_ff0/l1clk ), .RESET_B(n10880), .Q(\dec/dec_csr_wraddr_r [2]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0xinstff/genblock.genblock.dff/dout_reg[22] ( .D(\dec/decode/i0_inst_d [22]), .CLK(\dec/decode/i0xinstff/l1clk ), .RESET_B(n25093), .Q(\dec/decode/i0_inst_x [22]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0cinstff/genblock.genblock.dff/dout_reg[22] ( .D(\dec/decode/i0_inst_x [22]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n10873), .Q(\dec/decode/i0_inst_wb_in [22]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wbinstff/genblock.genblock.dff/dout_reg[22] ( .D(\dec/decode/i0_inst_wb_in [22]), .CLK(\dec/decode/i0wbpcff/l1clk ), .RESET_B(n25093), .Q(\dec/decode/i0_inst_wb [22]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wb1instff/genblock.genblock.dff/dout_reg[22] ( .D(\dec/decode/i0_inst_wb [22]), .CLK(\dec/decode/i0wb1pcff/l1clk ), .RESET_B(n10873), .Q(trace_rv_i_insn_ip[22]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/csr_rddata_x_ff/genblock.genblock.dff/dout_reg[35] ( .D(\dec/dec_i0_rs1_d [3]), .CLK(\dec/decode/csr_rddata_x_ff/l1clk ), .RESET_B(n25086), .Q(\dec/decode/csrimm_x [3]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0xinstff/genblock.genblock.dff/dout_reg[18] ( .D(\dec/decode/i0_inst_d [18]), .CLK(\dec/decode/i0xinstff/l1clk ), .RESET_B(n10880), .Q(\dec/decode/i0_inst_x [18]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0cinstff/genblock.genblock.dff/dout_reg[18] ( .D(\dec/decode/i0_inst_x [18]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n10873), .Q(\dec/decode/i0_inst_wb_in [18]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wbinstff/genblock.genblock.dff/dout_reg[18] ( .D(\dec/decode/i0_inst_wb_in [18]), .CLK(\dec/decode/i0wbpcff/l1clk ), .RESET_B(n25083), .Q(\dec/decode/i0_inst_wb [18]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wb1instff/genblock.genblock.dff/dout_reg[18] ( .D(\dec/decode/i0_inst_wb [18]), .CLK(\dec/decode/i0wb1pcff/l1clk ), .RESET_B(n25115), .Q(trace_rv_i_insn_ip[18]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/csr_rddata_x_ff/genblock.genblock.dff/dout_reg[34] ( .D(\dec/dec_i0_rs1_d [2]), .CLK(\dec/decode/csr_rddata_x_ff/l1clk ), .RESET_B(n25099), .Q(\dec/decode/csrimm_x [2]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0xinstff/genblock.genblock.dff/dout_reg[17] ( .D(\dec/decode/i0_inst_d [17]), .CLK(\dec/decode/i0xinstff/l1clk ), .RESET_B(n25097), .Q(\dec/decode/i0_inst_x [17]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0cinstff/genblock.genblock.dff/dout_reg[17] ( .D(\dec/decode/i0_inst_x [17]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n10876), .Q(\dec/decode/i0_inst_wb_in [17]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wbinstff/genblock.genblock.dff/dout_reg[17] ( .D(\dec/decode/i0_inst_wb_in [17]), .CLK(\dec/decode/i0wbpcff/l1clk ), .RESET_B(n25112), .Q(\dec/decode/i0_inst_wb [17]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wb1instff/genblock.genblock.dff/dout_reg[17] ( .D(\dec/decode/i0_inst_wb [17]), .CLK(\dec/decode/i0wb1pcff/l1clk ), .RESET_B(n25112), .Q(trace_rv_i_insn_ip[17]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/csr_rddata_x_ff/genblock.genblock.dff/dout_reg[36] ( .D(\dec/dec_i0_rs1_d [4]), .CLK(\dec/decode/csr_rddata_x_ff/l1clk ), .RESET_B(n25099), .Q(\dec/decode/csrimm_x [4]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0cinstff/genblock.genblock.dff/dout_reg[19] ( .D(\dec/decode/i0_inst_x [19]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25097), .Q(\dec/decode/i0_inst_wb_in [19]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wbinstff/genblock.genblock.dff/dout_reg[19] ( .D(\dec/decode/i0_inst_wb_in [19]), .CLK(\dec/decode/i0wbpcff/l1clk ), .RESET_B(n10876), .Q(\dec/decode/i0_inst_wb [19]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wb1instff/genblock.genblock.dff/dout_reg[19] ( .D(\dec/decode/i0_inst_wb [19]), .CLK(\dec/decode/i0wb1pcff/l1clk ), .RESET_B(n10876), .Q(trace_rv_i_insn_ip[19]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0xinstff/genblock.genblock.dff/dout_reg[2] ( .D(\dec/decode/i0_inst_d [2]), .CLK(\dec/decode/i0xinstff/l1clk ), .RESET_B(n25102), .Q(\dec/decode/i0_inst_x [2]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0cinstff/genblock.genblock.dff/dout_reg[2] ( .D(\dec/decode/i0_inst_x [2]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25098), .Q(\dec/decode/i0_inst_wb_in [2]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wbinstff/genblock.genblock.dff/dout_reg[2] ( .D(\dec/decode/i0_inst_wb_in [2]), .CLK(\dec/decode/i0wbpcff/l1clk ), .RESET_B(n25116), .Q(\dec/decode/i0_inst_wb [2]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wb1instff/genblock.genblock.dff/dout_reg[2] ( .D(\dec/decode/i0_inst_wb [2]), .CLK(\dec/decode/i0wb1pcff/l1clk ), .RESET_B(n25106), .Q(trace_rv_i_insn_ip[2]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0xinstff/genblock.genblock.dff/dout_reg[6] ( .D(\dec/decode/i0_inst_d [6]), .CLK(\dec/decode/i0xinstff/l1clk ), .RESET_B(n25106), .Q(\dec/decode/i0_inst_x [6]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0cinstff/genblock.genblock.dff/dout_reg[6] ( .D(\dec/decode/i0_inst_x [6]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25107), .Q(\dec/decode/i0_inst_wb_in [6]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wbinstff/genblock.genblock.dff/dout_reg[6] ( .D(\dec/decode/i0_inst_wb_in [6]), .CLK(\dec/decode/i0wbpcff/l1clk ), .RESET_B(n25082), .Q(\dec/decode/i0_inst_wb [6]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wb1instff/genblock.genblock.dff/dout_reg[6] ( .D(\dec/decode/i0_inst_wb [6]), .CLK(\dec/decode/i0wb1pcff/l1clk ), .RESET_B(n10881), .Q(trace_rv_i_insn_ip[6]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/e1ff/genblock.genblock.dff/dout_reg[19] ( .D(\dec/dec_i0_instr_d [7]), .CLK(\dec/decode/e1ff/l1clk ), .RESET_B( n25105), .Q(\dec/decode/x_d[i0rd][0] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/r_d_ff/genblock.genblock.dff/dout_reg[19] ( .D(\dec/decode/x_d[i0rd][0] ), .CLK(\exu/i_r_ff0/l1clk ), .RESET_B( n25100), .Q(\dec/dec_i0_waddr_r [0]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0xinstff/genblock.genblock.dff/dout_reg[7] ( .D(\dec/decode/i0_inst_d [7]), .CLK(\dec/decode/i0xinstff/l1clk ), .RESET_B(n25103), .Q(\dec/decode/i0_inst_x [7]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0cinstff/genblock.genblock.dff/dout_reg[7] ( .D(\dec/decode/i0_inst_x [7]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25101), .Q(\dec/decode/i0_inst_wb_in [7]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wbinstff/genblock.genblock.dff/dout_reg[7] ( .D(\dec/decode/i0_inst_wb_in [7]), .CLK(\dec/decode/i0wbpcff/l1clk ), .RESET_B(n25104), .Q(\dec/decode/i0_inst_wb [7]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wb1instff/genblock.genblock.dff/dout_reg[7] ( .D(\dec/decode/i0_inst_wb [7]), .CLK(\dec/decode/i0wb1pcff/l1clk ), .RESET_B(n10881), .Q(trace_rv_i_insn_ip[7]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0xinstff/genblock.genblock.dff/dout_reg[13] ( .D(\dec/decode/i0_inst_d [13]), .CLK(\dec/decode/i0xinstff/l1clk ), .RESET_B(n25082), .Q(\dec/decode/i0_inst_x [13]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0cinstff/genblock.genblock.dff/dout_reg[13] ( .D(\dec/decode/i0_inst_x [13]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25107), .Q(\dec/decode/i0_inst_wb_in [13]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wbinstff/genblock.genblock.dff/dout_reg[13] ( .D(\dec/decode/i0_inst_wb_in [13]), .CLK(\dec/decode/i0wbpcff/l1clk ), .RESET_B(n25106), .Q(\dec/decode/i0_inst_wb [13]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wb1instff/genblock.genblock.dff/dout_reg[13] ( .D(\dec/decode/i0_inst_wb [13]), .CLK(\dec/decode/i0wb1pcff/l1clk ), .RESET_B(n25106), .Q(trace_rv_i_insn_ip[13]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/csr_rddata_x_ff/genblock.genblock.dff/dout_reg[32] ( .D(\dec/dec_i0_rs1_d [0]), .CLK(\dec/decode/csr_rddata_x_ff/l1clk ), .RESET_B(n25116), .Q(\dec/decode/csrimm_x [0]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0xinstff/genblock.genblock.dff/dout_reg[15] ( .D(\dec/decode/i0_inst_d [15]), .CLK(\dec/decode/i0xinstff/l1clk ), .RESET_B(n25112), .Q(\dec/decode/i0_inst_x [15]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0cinstff/genblock.genblock.dff/dout_reg[15] ( .D(\dec/decode/i0_inst_x [15]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25112), .Q(\dec/decode/i0_inst_wb_in [15]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wbinstff/genblock.genblock.dff/dout_reg[15] ( .D(\dec/decode/i0_inst_wb_in [15]), .CLK(\dec/decode/i0wbpcff/l1clk ), .RESET_B(n25112), .Q(\dec/decode/i0_inst_wb [15]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wb1instff/genblock.genblock.dff/dout_reg[15] ( .D(\dec/decode/i0_inst_wb [15]), .CLK(\dec/decode/i0wb1pcff/l1clk ), .RESET_B(n25112), .Q(trace_rv_i_insn_ip[15]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0xinstff/genblock.genblock.dff/dout_reg[14] ( .D(\dec/decode/i0_inst_d [14]), .CLK(\dec/decode/i0xinstff/l1clk ), .RESET_B(n25112), .Q(\dec/decode/i0_inst_x [14]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0cinstff/genblock.genblock.dff/dout_reg[14] ( .D(\dec/decode/i0_inst_x [14]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25112), .Q(\dec/decode/i0_inst_wb_in [14]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wbinstff/genblock.genblock.dff/dout_reg[14] ( .D(\dec/decode/i0_inst_wb_in [14]), .CLK(\dec/decode/i0wbpcff/l1clk ), .RESET_B(n25112), .Q(\dec/decode/i0_inst_wb [14]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_predictpacket_x_ff/genblock.genblock.dff/dout_reg[45] ( .D(\exu/i0_predict_p_d[toffset][7] ), .CLK( \exu/i_predictpacket_x_ff/l1clk ), .RESET_B(n25112), .Q( \exu/i0_predict_p_x[toffset][7] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/e1ff/genblock.genblock.dff/dout_reg[8] ( .D(\exu/i0_predict_p_d[toffset][7] ), .CLK(\dec/decode/e1ff/l1clk ), .RESET_B(n25112), .Q(\dec/decode/x_d[csrwaddr][8] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/r_d_ff/genblock.genblock.dff/dout_reg[8] ( .D(\dec/decode/x_d[csrwaddr][8] ), .CLK(\exu/i_r_ff0/l1clk ), .RESET_B(n25112), .Q(\dec/dec_csr_wraddr_r [8]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0xinstff/genblock.genblock.dff/dout_reg[28] ( .D(\dec/decode/i0_inst_d [28]), .CLK(\dec/decode/i0xinstff/l1clk ), .RESET_B(n25112), .Q(\dec/decode/i0_inst_x [28]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0cinstff/genblock.genblock.dff/dout_reg[28] ( .D(\dec/decode/i0_inst_x [28]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25112), .Q(\dec/decode/i0_inst_wb_in [28]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wbinstff/genblock.genblock.dff/dout_reg[28] ( .D(\dec/decode/i0_inst_wb_in [28]), .CLK(\dec/decode/i0wbpcff/l1clk ), .RESET_B(n25112), .Q(\dec/decode/i0_inst_wb [28]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wb1instff/genblock.genblock.dff/dout_reg[28] ( .D(\dec/decode/i0_inst_wb [28]), .CLK(\dec/decode/i0wb1pcff/l1clk ), .RESET_B(n25112), .Q(trace_rv_i_insn_ip[28]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/e1ff/genblock.genblock.dff/dout_reg[1] ( .D(\dec/dec_i0_rs2_d [1]), .CLK(\dec/decode/e1ff/l1clk ), .RESET_B( n25112), .Q(\dec/decode/x_d[csrwaddr][1] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/r_d_ff/genblock.genblock.dff/dout_reg[1] ( .D(\dec/decode/x_d[csrwaddr][1] ), .CLK(\exu/i_r_ff0/l1clk ), .RESET_B(n25112), .Q(\dec/dec_csr_wraddr_r [1]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0xinstff/genblock.genblock.dff/dout_reg[21] ( .D(\dec/decode/i0_inst_d [21]), .CLK(\dec/decode/i0xinstff/l1clk ), .RESET_B(n25112), .Q(\dec/decode/i0_inst_x [21]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0cinstff/genblock.genblock.dff/dout_reg[21] ( .D(\dec/decode/i0_inst_x [21]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25112), .Q(\dec/decode/i0_inst_wb_in [21]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wbinstff/genblock.genblock.dff/dout_reg[21] ( .D(\dec/decode/i0_inst_wb_in [21]), .CLK(\dec/decode/i0wbpcff/l1clk ), .RESET_B(n25112), .Q(\dec/decode/i0_inst_wb [21]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wb1instff/genblock.genblock.dff/dout_reg[21] ( .D(\dec/decode/i0_inst_wb [21]), .CLK(\dec/decode/i0wb1pcff/l1clk ), .RESET_B(n25112), .Q(trace_rv_i_insn_ip[21]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/e1ff/genblock.genblock.dff/dout_reg[0] ( .D(n25081), .CLK(\dec/decode/e1ff/l1clk ), .RESET_B(n25112), .Q( \dec/decode/x_d[csrwaddr][0] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0xinstff/genblock.genblock.dff/dout_reg[20] ( .D(\dec/decode/i0_inst_d [20]), .CLK(\dec/decode/i0xinstff/l1clk ), .RESET_B(n25112), .Q(\dec/decode/i0_inst_x [20]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0cinstff/genblock.genblock.dff/dout_reg[20] ( .D(\dec/decode/i0_inst_x [20]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25112), .Q(\dec/decode/i0_inst_wb_in [20]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wbinstff/genblock.genblock.dff/dout_reg[20] ( .D(\dec/decode/i0_inst_wb_in [20]), .CLK(\dec/decode/i0wbpcff/l1clk ), .RESET_B(n25112), .Q(\dec/decode/i0_inst_wb [20]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wb1instff/genblock.genblock.dff/dout_reg[20] ( .D(\dec/decode/i0_inst_wb [20]), .CLK(\dec/decode/i0wb1pcff/l1clk ), .RESET_B(n25112), .Q(trace_rv_i_insn_ip[20]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_predictpacket_x_ff/genblock.genblock.dff/dout_reg[47] ( .D(\exu/i0_predict_p_d[toffset][9] ), .CLK( \exu/i_predictpacket_x_ff/l1clk ), .RESET_B(n25112), .Q( \exu/i0_predict_p_x[toffset][9] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/e1ff/genblock.genblock.dff/dout_reg[10] ( .D(\exu/i0_predict_p_d[toffset][9] ), .CLK(\dec/decode/e1ff/l1clk ), .RESET_B(n25112), .Q(\dec/decode/x_d[csrwaddr][10] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/r_d_ff/genblock.genblock.dff/dout_reg[10] ( .D(\dec/decode/x_d[csrwaddr][10] ), .CLK(\exu/i_r_ff0/l1clk ), .RESET_B(n25112), .Q(\dec/dec_csr_wraddr_r [10]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0xinstff/genblock.genblock.dff/dout_reg[30] ( .D(\dec/decode/i0_inst_d [30]), .CLK(\dec/decode/i0xinstff/l1clk ), .RESET_B(n25112), .Q(\dec/decode/i0_inst_x [30]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0cinstff/genblock.genblock.dff/dout_reg[30] ( .D(\dec/decode/i0_inst_x [30]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25112), .Q(\dec/decode/i0_inst_wb_in [30]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wbinstff/genblock.genblock.dff/dout_reg[30] ( .D(\dec/decode/i0_inst_wb_in [30]), .CLK(\dec/decode/i0wbpcff/l1clk ), .RESET_B(n25112), .Q(\dec/decode/i0_inst_wb [30]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wb1instff/genblock.genblock.dff/dout_reg[30] ( .D(\dec/decode/i0_inst_wb [30]), .CLK(\dec/decode/i0wb1pcff/l1clk ), .RESET_B(n25112), .Q(trace_rv_i_insn_ip[30]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_predictpacket_x_ff/genblock.genblock.dff/dout_reg[46] ( .D(\exu/i0_predict_p_d[toffset][8] ), .CLK( \exu/i_predictpacket_x_ff/l1clk ), .RESET_B(n25112), .Q( \exu/i0_predict_p_x[toffset][8] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/e1ff/genblock.genblock.dff/dout_reg[9] ( .D(\exu/i0_predict_p_d[toffset][8] ), .CLK(\dec/decode/e1ff/l1clk ), .RESET_B(n25112), .Q(\dec/decode/x_d[csrwaddr][9] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/r_d_ff/genblock.genblock.dff/dout_reg[9] ( .D(\dec/decode/x_d[csrwaddr][9] ), .CLK(\exu/i_r_ff0/l1clk ), .RESET_B(n25112), .Q(\dec/dec_csr_wraddr_r [9]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0cinstff/genblock.genblock.dff/dout_reg[29] ( .D(\dec/decode/i0_inst_x [29]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25112), .Q(\dec/decode/i0_inst_wb_in [29]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wbinstff/genblock.genblock.dff/dout_reg[29] ( .D(\dec/decode/i0_inst_wb_in [29]), .CLK(\dec/decode/i0wbpcff/l1clk ), .RESET_B(n25112), .Q(\dec/decode/i0_inst_wb [29]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wb1instff/genblock.genblock.dff/dout_reg[29] ( .D(\dec/decode/i0_inst_wb [29]), .CLK(\dec/decode/i0wb1pcff/l1clk ), .RESET_B(n25112), .Q(trace_rv_i_insn_ip[29]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/e1ff/genblock.genblock.dff/dout_reg[11] ( .D(\dec/dec_csr_rdaddr_d[11] ), .CLK(\dec/decode/e1ff/l1clk ), .RESET_B(n25112), .Q(\dec/decode/x_d[csrwaddr][11] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/r_d_ff/genblock.genblock.dff/dout_reg[11] ( .D(\dec/decode/x_d[csrwaddr][11] ), .CLK(\exu/i_r_ff0/l1clk ), .RESET_B(n25112), .Q(\dec/dec_csr_wraddr_r [11]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_predictpacket_x_ff/genblock.genblock.dff/dout_reg[49] ( .D(\dec/dec_csr_rdaddr_d[11] ), .CLK(\exu/i_predictpacket_x_ff/l1clk ), .RESET_B(n25112), .Q(\exu/i0_predict_p_x[toffset][11] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0xinstff/genblock.genblock.dff/dout_reg[31] ( .D(\dec/decode/i0_inst_d [31]), .CLK(\dec/decode/i0xinstff/l1clk ), .RESET_B(n25112), .Q(\dec/decode/i0_inst_x [31]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0cinstff/genblock.genblock.dff/dout_reg[31] ( .D(\dec/decode/i0_inst_x [31]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25112), .Q(\dec/decode/i0_inst_wb_in [31]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wbinstff/genblock.genblock.dff/dout_reg[31] ( .D(\dec/decode/i0_inst_wb_in [31]), .CLK(\dec/decode/i0wbpcff/l1clk ), .RESET_B(n25112), .Q(\dec/decode/i0_inst_wb [31]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wb1instff/genblock.genblock.dff/dout_reg[31] ( .D(\dec/decode/i0_inst_wb [31]), .CLK(\dec/decode/i0wb1pcff/l1clk ), .RESET_B(n25112), .Q(trace_rv_i_insn_ip[31]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0xinstff/genblock.genblock.dff/dout_reg[12] ( .D(\dec/decode/i0_inst_d [12]), .CLK(\dec/decode/i0xinstff/l1clk ), .RESET_B(n25112), .Q(\dec/decode/i0_inst_x [12]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0cinstff/genblock.genblock.dff/dout_reg[12] ( .D(\dec/decode/i0_inst_x [12]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25116), .Q(\dec/decode/i0_inst_wb_in [12]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wbinstff/genblock.genblock.dff/dout_reg[12] ( .D(\dec/decode/i0_inst_wb_in [12]), .CLK(\dec/decode/i0wbpcff/l1clk ), .RESET_B(n25112), .Q(\dec/decode/i0_inst_wb [12]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wb1instff/genblock.genblock.dff/dout_reg[12] ( .D(\dec/decode/i0_inst_wb [12]), .CLK(\dec/decode/i0wb1pcff/l1clk ), .RESET_B(n10880), .Q(trace_rv_i_insn_ip[12]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0cinstff/genblock.genblock.dff/dout_reg[4] ( .D(\dec/decode/i0_inst_x [4]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25112), .Q(\dec/decode/i0_inst_wb_in [4]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wbinstff/genblock.genblock.dff/dout_reg[4] ( .D(\dec/decode/i0_inst_wb_in [4]), .CLK(\dec/decode/i0wbpcff/l1clk ), .RESET_B(n25114), .Q(\dec/decode/i0_inst_wb [4]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wb1instff/genblock.genblock.dff/dout_reg[4] ( .D(\dec/decode/i0_inst_wb [4]), .CLK(\dec/decode/i0wb1pcff/l1clk ), .RESET_B(n25115), .Q(trace_rv_i_insn_ip[4]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/e1ff/genblock.genblock.dff/dout_reg[22] ( .D(\dec/dec_i0_instr_d [10]), .CLK(\dec/decode/e1ff/l1clk ), .RESET_B( n25112), .Q(\dec/decode/x_d[i0rd][3] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/r_d_ff/genblock.genblock.dff/dout_reg[22] ( .D(\dec/decode/x_d[i0rd][3] ), .CLK(\exu/i_r_ff0/l1clk ), .RESET_B( n10880), .Q(\dec/dec_i0_waddr_r [3]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0xinstff/genblock.genblock.dff/dout_reg[10] ( .D(\dec/decode/i0_inst_d [10]), .CLK(\dec/decode/i0xinstff/l1clk ), .RESET_B(n25114), .Q(\dec/decode/i0_inst_x [10]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0cinstff/genblock.genblock.dff/dout_reg[10] ( .D(\dec/decode/i0_inst_x [10]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25112), .Q(\dec/decode/i0_inst_wb_in [10]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wbinstff/genblock.genblock.dff/dout_reg[10] ( .D(\dec/decode/i0_inst_wb_in [10]), .CLK(\dec/decode/i0wbpcff/l1clk ), .RESET_B(n25114), .Q(\dec/decode/i0_inst_wb [10]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wb1instff/genblock.genblock.dff/dout_reg[10] ( .D(\dec/decode/i0_inst_wb [10]), .CLK(\dec/decode/i0wb1pcff/l1clk ), .RESET_B(n25112), .Q(trace_rv_i_insn_ip[10]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/e1ff/genblock.genblock.dff/dout_reg[23] ( .D(\dec/dec_i0_instr_d [11]), .CLK(\dec/decode/e1ff/l1clk ), .RESET_B( n25112), .Q(\dec/decode/x_d[i0rd][4] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/r_d_ff/genblock.genblock.dff/dout_reg[23] ( .D(\dec/decode/x_d[i0rd][4] ), .CLK(\exu/i_r_ff0/l1clk ), .RESET_B( n25112), .Q(\dec/dec_i0_waddr_r [4]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0xinstff/genblock.genblock.dff/dout_reg[11] ( .D(\dec/decode/i0_inst_d [11]), .CLK(\dec/decode/i0xinstff/l1clk ), .RESET_B(n25082), .Q(\dec/decode/i0_inst_x [11]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0cinstff/genblock.genblock.dff/dout_reg[11] ( .D(\dec/decode/i0_inst_x [11]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25083), .Q(\dec/decode/i0_inst_wb_in [11]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wbinstff/genblock.genblock.dff/dout_reg[11] ( .D(\dec/decode/i0_inst_wb_in [11]), .CLK(\dec/decode/i0wbpcff/l1clk ), .RESET_B(n25107), .Q(\dec/decode/i0_inst_wb [11]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/e1ff/genblock.genblock.dff/dout_reg[20] ( .D(\dec/dec_i0_instr_d [8]), .CLK(\dec/decode/e1ff/l1clk ), .RESET_B( n25116), .Q(\dec/decode/x_d[i0rd][1] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/r_d_ff/genblock.genblock.dff/dout_reg[20] ( .D(\dec/decode/x_d[i0rd][1] ), .CLK(\exu/i_r_ff0/l1clk ), .RESET_B( n25082), .Q(\dec/dec_i0_waddr_r [1]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0xinstff/genblock.genblock.dff/dout_reg[8] ( .D(\dec/decode/i0_inst_d [8]), .CLK(\dec/decode/i0xinstff/l1clk ), .RESET_B(n25083), .Q(\dec/decode/i0_inst_x [8]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0cinstff/genblock.genblock.dff/dout_reg[8] ( .D(\dec/decode/i0_inst_x [8]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25082), .Q(\dec/decode/i0_inst_wb_in [8]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wbinstff/genblock.genblock.dff/dout_reg[8] ( .D(\dec/decode/i0_inst_wb_in [8]), .CLK(\dec/decode/i0wbpcff/l1clk ), .RESET_B(n25082), .Q(\dec/decode/i0_inst_wb [8]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wb1instff/genblock.genblock.dff/dout_reg[8] ( .D(\dec/decode/i0_inst_wb [8]), .CLK(\dec/decode/i0wb1pcff/l1clk ), .RESET_B(n25083), .Q(trace_rv_i_insn_ip[8]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/e1ff/genblock.genblock.dff/dout_reg[21] ( .D(\dec/dec_i0_instr_d [9]), .CLK(\dec/decode/e1ff/l1clk ), .RESET_B( n10876), .Q(\dec/decode/x_d[i0rd][2] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/r_d_ff/genblock.genblock.dff/dout_reg[21] ( .D(\dec/decode/x_d[i0rd][2] ), .CLK(\exu/i_r_ff0/l1clk ), .RESET_B( n10881), .Q(\dec/dec_i0_waddr_r [2]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0xinstff/genblock.genblock.dff/dout_reg[9] ( .D(\dec/decode/i0_inst_d [9]), .CLK(\dec/decode/i0xinstff/l1clk ), .RESET_B(n25102), .Q(\dec/decode/i0_inst_x [9]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0cinstff/genblock.genblock.dff/dout_reg[9] ( .D(\dec/decode/i0_inst_x [9]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25082), .Q(\dec/decode/i0_inst_wb_in [9]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wbinstff/genblock.genblock.dff/dout_reg[9] ( .D(\dec/decode/i0_inst_wb_in [9]), .CLK(\dec/decode/i0wbpcff/l1clk ), .RESET_B(n25082), .Q(\dec/decode/i0_inst_wb [9]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wb1instff/genblock.genblock.dff/dout_reg[9] ( .D(\dec/decode/i0_inst_wb [9]), .CLK(\dec/decode/i0wb1pcff/l1clk ), .RESET_B(n25105), .Q(trace_rv_i_insn_ip[9]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/csr_rddata_x_ff/genblock.genblock.dff/dout_reg[33] ( .D(\dec/dec_i0_rs1_d [1]), .CLK(\dec/decode/csr_rddata_x_ff/l1clk ), .RESET_B(n25082), .Q(\dec/decode/csrimm_x [1]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0xinstff/genblock.genblock.dff/dout_reg[16] ( .D(\dec/decode/i0_inst_d [16]), .CLK(\dec/decode/i0xinstff/l1clk ), .RESET_B(n25082), .Q(\dec/decode/i0_inst_x [16]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0cinstff/genblock.genblock.dff/dout_reg[16] ( .D(\dec/decode/i0_inst_x [16]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25082), .Q(\dec/decode/i0_inst_wb_in [16]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wbinstff/genblock.genblock.dff/dout_reg[16] ( .D(\dec/decode/i0_inst_wb_in [16]), .CLK(\dec/decode/i0wbpcff/l1clk ), .RESET_B(n25083), .Q(\dec/decode/i0_inst_wb [16]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wb1instff/genblock.genblock.dff/dout_reg[16] ( .D(\dec/decode/i0_inst_wb [16]), .CLK(\dec/decode/i0wb1pcff/l1clk ), .RESET_B(n25112), .Q(trace_rv_i_insn_ip[16]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0xinstff/genblock.genblock.dff/dout_reg[5] ( .D(\dec/decode/i0_inst_d [5]), .CLK(\dec/decode/i0xinstff/l1clk ), .RESET_B(n10876), .Q(\dec/decode/i0_inst_x [5]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0cinstff/genblock.genblock.dff/dout_reg[5] ( .D(\dec/decode/i0_inst_x [5]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25082), .Q(\dec/decode/i0_inst_wb_in [5]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wbinstff/genblock.genblock.dff/dout_reg[5] ( .D(\dec/decode/i0_inst_wb_in [5]), .CLK(\dec/decode/i0wbpcff/l1clk ), .RESET_B(n25082), .Q(\dec/decode/i0_inst_wb [5]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wb1instff/genblock.genblock.dff/dout_reg[5] ( .D(\dec/decode/i0_inst_wb [5]), .CLK(\dec/decode/i0wb1pcff/l1clk ), .RESET_B(n25083), .Q(trace_rv_i_insn_ip[5]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_predictpacket_x_ff/genblock.genblock.dff/dout_reg[41] ( .D(\exu/i0_predict_p_d[toffset][3] ), .CLK( \exu/i_predictpacket_x_ff/l1clk ), .RESET_B(n25082), .Q( \exu/i0_predict_p_x[toffset][3] ) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_predictpacket_x_ff/genblock.genblock.dff/dout_reg[38] ( .D(\exu/i0_predict_p_d[toffset][0] ), .CLK( \exu/i_predictpacket_x_ff/l1clk ), .RESET_B(n25082), .Q( \exu/i0_predict_p_x[toffset][0] ) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_predictpacket_x_ff/genblock.genblock.dff/dout_reg[48] ( .D(\exu/i0_predict_p_d[toffset][10] ), .CLK( \exu/i_predictpacket_x_ff/l1clk ), .RESET_B(n25083), .Q( \exu/i0_predict_p_x[toffset][10] ) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_predictpacket_x_ff/genblock.genblock.dff/dout_reg[40] ( .D(\exu/i0_predict_p_d[toffset][2] ), .CLK( \exu/i_predictpacket_x_ff/l1clk ), .RESET_B(n25083), .Q( \exu/i0_predict_p_x[toffset][2] ) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_predictpacket_x_ff/genblock.genblock.dff/dout_reg[39] ( .D(\exu/i0_predict_p_d[toffset][1] ), .CLK( \exu/i_predictpacket_x_ff/l1clk ), .RESET_B(n25082), .Q( \exu/i0_predict_p_x[toffset][1] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/csrmiscff/dout_reg[0] ( .D( \i0_ap[csr_imm] ), .CLK(active_clk), .RESET_B(n25082), .Q( \dec/decode/csr_imm_x ) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_flush_path_x_ff/genblock.genblock.dff/dout_reg[0] ( .D(\exu/i0_flush_path_d [1]), .CLK(\exu/i_flush_path_x_ff/l1clk ), .RESET_B(n25083), .Q(\exu/i0_flush_path_x [1]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff1/genblock.genblock.dff/dout_reg[25] ( .D(\exu/i0_flush_path_x [1]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n10876), .Q(\exu/i0_flush_path_upper_r [1]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff1/genblock.genblock.dff/dout_reg[26] ( .D(\exu/i0_flush_path_x [2]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25112), .Q(\exu/i0_flush_path_upper_r [2]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_flush_path_x_ff/genblock.genblock.dff/dout_reg[2] ( .D(\exu/i0_flush_path_d [3]), .CLK(\exu/i_flush_path_x_ff/l1clk ), .RESET_B(n10876), .Q(\exu/i0_flush_path_x [3]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff1/genblock.genblock.dff/dout_reg[27] ( .D(\exu/i0_flush_path_x [3]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25106), .Q(\exu/i0_flush_path_upper_r [3]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/ifc/faddrf1_ff/genblock.genblock.dff/dout_reg[2] ( .D(\ifu/ifc_fetch_addr_bf [3]), .CLK(\ifu/ifc/faddrf1_ff/l1clk ), .RESET_B(n25082), .Q(\ifu/ifc_fetch_addr_f [3]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_flush_path_x_ff/genblock.genblock.dff/dout_reg[3] ( .D(\exu/i0_flush_path_d [4]), .CLK(\exu/i_flush_path_x_ff/l1clk ), .RESET_B(n25082), .Q(\exu/i0_flush_path_x [4]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff1/genblock.genblock.dff/dout_reg[28] ( .D(\exu/i0_flush_path_x [4]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25082), .Q(\exu/i0_flush_path_upper_r [4]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/ifc/faddrf1_ff/genblock.genblock.dff/dout_reg[3] ( .D(\ifu/ifc_fetch_addr_bf [4]), .CLK(\ifu/ifc/faddrf1_ff/l1clk ), .RESET_B(n25082), .Q(\ifu/ifc_fetch_addr_f [4]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_flush_path_x_ff/genblock.genblock.dff/dout_reg[4] ( .D(\exu/i0_flush_path_d [5]), .CLK(\exu/i_flush_path_x_ff/l1clk ), .RESET_B(n25112), .Q(\exu/i0_flush_path_x [5]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff1/genblock.genblock.dff/dout_reg[29] ( .D(\exu/i0_flush_path_x [5]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25082), .Q(\exu/i0_flush_path_upper_r [5]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/ifc/faddrf1_ff/genblock.genblock.dff/dout_reg[4] ( .D(\ifu/ifc_fetch_addr_bf [5]), .CLK(\ifu/ifc/faddrf1_ff/l1clk ), .RESET_B(n25082), .Q(\ifu/ifc_fetch_addr_f [5]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_flush_path_x_ff/genblock.genblock.dff/dout_reg[5] ( .D(\exu/i0_flush_path_d [6]), .CLK(\exu/i_flush_path_x_ff/l1clk ), .RESET_B(n25083), .Q(\exu/i0_flush_path_x [6]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff1/genblock.genblock.dff/dout_reg[30] ( .D(\exu/i0_flush_path_x [6]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n10881), .Q(\exu/i0_flush_path_upper_r [6]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/ifc/faddrf1_ff/genblock.genblock.dff/dout_reg[5] ( .D(\ifu/ifc_fetch_addr_bf [6]), .CLK(\ifu/ifc/faddrf1_ff/l1clk ), .RESET_B(n25100), .Q(\ifu/ifc_fetch_addr_f [6]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/ifc/faddrf1_ff/genblock.genblock.dff/dout_reg[0] ( .D(\ifu/ifc_fetch_addr_bf [1]), .CLK(\ifu/ifc/faddrf1_ff/l1clk ), .RESET_B(n25082), .Q(\ifu/ifc_fetch_addr_f [1]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff1/genblock.genblock.dff/dout_reg[31] ( .D(\exu/i0_flush_path_x [7]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25083), .Q(\exu/i0_flush_path_upper_r [7]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/ifc/faddrf1_ff/genblock.genblock.dff/dout_reg[6] ( .D(\ifu/ifc_fetch_addr_bf [7]), .CLK(\ifu/ifc/faddrf1_ff/l1clk ), .RESET_B(n25105), .Q(\ifu/ifc_fetch_addr_f [7]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_flush_path_x_ff/genblock.genblock.dff/dout_reg[7] ( .D(\exu/i0_flush_path_d [8]), .CLK(\exu/i_flush_path_x_ff/l1clk ), .RESET_B(n25102), .Q(\exu/i0_flush_path_x [8]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff1/genblock.genblock.dff/dout_reg[32] ( .D(\exu/i0_flush_path_x [8]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25100), .Q(\exu/i0_flush_path_upper_r [8]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/ifc/faddrf1_ff/genblock.genblock.dff/dout_reg[7] ( .D(\ifu/ifc_fetch_addr_bf [8]), .CLK(\ifu/ifc/faddrf1_ff/l1clk ), .RESET_B(n25101), .Q(\ifu/ifc_fetch_addr_f [8]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_flush_path_x_ff/genblock.genblock.dff/dout_reg[8] ( .D(\exu/i0_flush_path_d [9]), .CLK(\exu/i_flush_path_x_ff/l1clk ), .RESET_B(n25103), .Q(\exu/i0_flush_path_x [9]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff1/genblock.genblock.dff/dout_reg[33] ( .D(\exu/i0_flush_path_x [9]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25104), .Q(\exu/i0_flush_path_upper_r [9]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/ifc/faddrf1_ff/genblock.genblock.dff/dout_reg[8] ( .D(\ifu/ifc_fetch_addr_bf [9]), .CLK(\ifu/ifc/faddrf1_ff/l1clk ), .RESET_B(n25083), .Q(\ifu/ifc_fetch_addr_f [9]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_flush_path_x_ff/genblock.genblock.dff/dout_reg[9] ( .D(\exu/i0_flush_path_d [10]), .CLK(\exu/i_flush_path_x_ff/l1clk ), .RESET_B(n10881), .Q(\exu/i0_flush_path_x [10]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff1/genblock.genblock.dff/dout_reg[34] ( .D(\exu/i0_flush_path_x [10]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25082), .Q(\exu/i0_flush_path_upper_r [10]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/ifc/faddrf1_ff/genblock.genblock.dff/dout_reg[9] ( .D(\ifu/ifc_fetch_addr_bf [10]), .CLK(\ifu/ifc/faddrf1_ff/l1clk ), .RESET_B(n25103), .Q(\ifu/ifc_fetch_addr_f [10]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_flush_path_x_ff/genblock.genblock.dff/dout_reg[10] ( .D(\exu/i0_flush_path_d [11]), .CLK(\exu/i_flush_path_x_ff/l1clk ), .RESET_B(n25101), .Q(\exu/i0_flush_path_x [11]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff1/genblock.genblock.dff/dout_reg[35] ( .D(\exu/i0_flush_path_x [11]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25082), .Q(\exu/i0_flush_path_upper_r [11]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_flush_path_x_ff/genblock.genblock.dff/dout_reg[11] ( .D(\exu/i0_flush_path_d [12]), .CLK(\exu/i_flush_path_x_ff/l1clk ), .RESET_B(n10881), .Q(\exu/i0_flush_path_x [12]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff1/genblock.genblock.dff/dout_reg[36] ( .D(\exu/i0_flush_path_x [12]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25082), .Q(\exu/i0_flush_path_upper_r [12]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/ifc/faddrf1_ff/genblock.genblock.dff/dout_reg[11] ( .D(\ifu/ifc_fetch_addr_bf [12]), .CLK(\ifu/ifc/faddrf1_ff/l1clk ), .RESET_B(n25082), .Q(\ifu/ifc_fetch_addr_f [12]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_predictpacket_x_ff/genblock.genblock.dff/dout_reg[2] ( .D(\exu/i0_predict_p_d[pret] ), .CLK(\exu/i_predictpacket_x_ff/l1clk ), .RESET_B(n25112), .Q(\exu/i0_predict_p_x[pret] ) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_predictpacket_x_ff/genblock.genblock.dff/dout_reg[3] ( .D(\exu/i0_predict_p_d[pcall] ), .CLK(\exu/i_predictpacket_x_ff/l1clk ), .RESET_B(n10880), .Q(\exu/i0_predict_p_x[pcall] ) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_predictpacket_x_ff/genblock.genblock.dff/dout_reg[1] ( .D(\exu/i0_predict_p_d[pja] ), .CLK(\exu/i_predictpacket_x_ff/l1clk ), .RESET_B(core_rst_l), .Q(\exu/i0_predict_p_x[pja] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/trap_xff/genblock.genblock.dff/dout_reg[2] ( .D(\dec/decode/d_t[pmu_i0_br_unpred] ), .CLK( \dec/decode/trap_xff/l1clk ), .RESET_B(n25108), .Q( \dec/decode/x_t[pmu_i0_br_unpred] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/trap_r_ff/genblock.genblock.dff/dout_reg[2] ( .D(\dec/decode/x_t[pmu_i0_br_unpred] ), .CLK( \dec/decode/trap_r_ff/l1clk ), .RESET_B(n10880), .Q( \dec/decode/r_t[pmu_i0_br_unpred] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/e1brpcff/genblock.genblock.dff/dout_reg[0] ( .D(\dec/decode/last_br_immed_d [1]), .CLK(\dec/decode/e1brpcff/l1clk ), .RESET_B(core_rst_l), .Q(\dec/decode/last_br_immed_x [1]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/e1brpcff/genblock.genblock.dff/dout_reg[1] ( .D(\dec/decode/last_br_immed_d [2]), .CLK(\dec/decode/e1brpcff/l1clk ), .RESET_B(n25108), .Q(\dec/decode/last_br_immed_x [2]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/e1brpcff/genblock.genblock.dff/dout_reg[2] ( .D(\dec/decode/last_br_immed_d [3]), .CLK(\dec/decode/e1brpcff/l1clk ), .RESET_B(n10880), .Q(\dec/decode/last_br_immed_x [3]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/e1brpcff/genblock.genblock.dff/dout_reg[3] ( .D(\dec/decode/last_br_immed_d [4]), .CLK(\dec/decode/e1brpcff/l1clk ), .RESET_B(core_rst_l), .Q(\dec/decode/last_br_immed_x [4]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/e1brpcff/genblock.genblock.dff/dout_reg[4] ( .D(\dec/decode/last_br_immed_d [5]), .CLK(\dec/decode/e1brpcff/l1clk ), .RESET_B(n25108), .Q(\dec/decode/last_br_immed_x [5]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/e1brpcff/genblock.genblock.dff/dout_reg[5] ( .D(\dec/decode/last_br_immed_d [6]), .CLK(\dec/decode/e1brpcff/l1clk ), .RESET_B(n10880), .Q(\dec/decode/last_br_immed_x [6]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/e1brpcff/genblock.genblock.dff/dout_reg[6] ( .D(\dec/decode/last_br_immed_d [7]), .CLK(\dec/decode/e1brpcff/l1clk ), .RESET_B(core_rst_l), .Q(\dec/decode/last_br_immed_x [7]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/e1brpcff/genblock.genblock.dff/dout_reg[7] ( .D(\dec/decode/last_br_immed_d [8]), .CLK(\dec/decode/e1brpcff/l1clk ), .RESET_B(n25108), .Q(\dec/decode/last_br_immed_x [8]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/e1brpcff/genblock.genblock.dff/dout_reg[8] ( .D(\dec/decode/last_br_immed_d [9]), .CLK(\dec/decode/e1brpcff/l1clk ), .RESET_B(n10880), .Q(\dec/decode/last_br_immed_x [9]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/e1brpcff/genblock.genblock.dff/dout_reg[9] ( .D(\dec/decode/last_br_immed_d [10]), .CLK(\dec/decode/e1brpcff/l1clk ), .RESET_B(core_rst_l), .Q(\dec/decode/last_br_immed_x [10]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/e1brpcff/genblock.genblock.dff/dout_reg[10] ( .D(\dec/decode/last_br_immed_d [11]), .CLK(\dec/decode/e1brpcff/l1clk ), .RESET_B(n25108), .Q(\dec/decode/last_br_immed_x [11]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/e1brpcff/genblock.genblock.dff/dout_reg[11] ( .D(\dec/decode/last_br_immed_d [12]), .CLK(\dec/decode/e1brpcff/l1clk ), .RESET_B(n10880), .Q(\dec/decode/last_br_immed_x [12]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff1/genblock.genblock.dff/dout_reg[11] ( .D(pred_correct_npc_x[18]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( core_rst_l), .Q(\exu/pred_correct_npc_r [18]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_flush_path_x_ff/genblock.genblock.dff/dout_reg[17] ( .D(\exu/i0_flush_path_d [18]), .CLK(\exu/i_flush_path_x_ff/l1clk ), .RESET_B(n25108), .Q(\exu/i0_flush_path_x [18]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff1/genblock.genblock.dff/dout_reg[42] ( .D(\exu/i0_flush_path_x [18]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n10880), .Q(\exu/i0_flush_path_upper_r [18]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_csr_rs1_x_ff/genblock.genblock.dff/dout_reg[0] ( .D(\exu/csr_rs1_in_d [0]), .CLK(\exu/i_csr_rs1_x_ff/l1clk ), .RESET_B( core_rst_l), .Q(exu_csr_rs1_x[0]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_csr_rs1_x_ff/genblock.genblock.dff/dout_reg[2] ( .D(\exu/csr_rs1_in_d [2]), .CLK(\exu/i_csr_rs1_x_ff/l1clk ), .RESET_B( n25108), .Q(exu_csr_rs1_x[2]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_csr_rs1_x_ff/genblock.genblock.dff/dout_reg[4] ( .D(\exu/csr_rs1_in_d [4]), .CLK(\exu/i_csr_rs1_x_ff/l1clk ), .RESET_B( n10880), .Q(exu_csr_rs1_x[4]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_csr_rs1_x_ff/genblock.genblock.dff/dout_reg[6] ( .D(\exu/csr_rs1_in_d [6]), .CLK(\exu/i_csr_rs1_x_ff/l1clk ), .RESET_B( core_rst_l), .Q(exu_csr_rs1_x[6]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_csr_rs1_x_ff/genblock.genblock.dff/dout_reg[7] ( .D(\exu/csr_rs1_in_d [7]), .CLK(\exu/i_csr_rs1_x_ff/l1clk ), .RESET_B( n25108), .Q(exu_csr_rs1_x[7]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_csr_rs1_x_ff/genblock.genblock.dff/dout_reg[8] ( .D(\exu/csr_rs1_in_d [8]), .CLK(\exu/i_csr_rs1_x_ff/l1clk ), .RESET_B( n10880), .Q(exu_csr_rs1_x[8]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_csr_rs1_x_ff/genblock.genblock.dff/dout_reg[10] ( .D(\exu/csr_rs1_in_d [10]), .CLK(\exu/i_csr_rs1_x_ff/l1clk ), .RESET_B(core_rst_l), .Q(exu_csr_rs1_x[10]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_csr_rs1_x_ff/genblock.genblock.dff/dout_reg[12] ( .D(\exu/csr_rs1_in_d [12]), .CLK(\exu/i_csr_rs1_x_ff/l1clk ), .RESET_B(n25108), .Q(exu_csr_rs1_x[12]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_csr_rs1_x_ff/genblock.genblock.dff/dout_reg[14] ( .D(\exu/csr_rs1_in_d [14]), .CLK(\exu/i_csr_rs1_x_ff/l1clk ), .RESET_B(n10880), .Q(exu_csr_rs1_x[14]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_csr_rs1_x_ff/genblock.genblock.dff/dout_reg[15] ( .D(\exu/csr_rs1_in_d [15]), .CLK(\exu/i_csr_rs1_x_ff/l1clk ), .RESET_B(core_rst_l), .Q(exu_csr_rs1_x[15]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_csr_rs1_x_ff/genblock.genblock.dff/dout_reg[18] ( .D(\exu/csr_rs1_in_d [18]), .CLK(\exu/i_csr_rs1_x_ff/l1clk ), .RESET_B(n25108), .Q(exu_csr_rs1_x[18]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_csr_rs1_x_ff/genblock.genblock.dff/dout_reg[19] ( .D(\exu/csr_rs1_in_d [19]), .CLK(\exu/i_csr_rs1_x_ff/l1clk ), .RESET_B(n10880), .Q(exu_csr_rs1_x[19]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_csr_rs1_x_ff/genblock.genblock.dff/dout_reg[20] ( .D(\exu/csr_rs1_in_d [20]), .CLK(\exu/i_csr_rs1_x_ff/l1clk ), .RESET_B(core_rst_l), .Q(exu_csr_rs1_x[20]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_csr_rs1_x_ff/genblock.genblock.dff/dout_reg[22] ( .D(\exu/csr_rs1_in_d [22]), .CLK(\exu/i_csr_rs1_x_ff/l1clk ), .RESET_B(n25108), .Q(exu_csr_rs1_x[22]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_csr_rs1_x_ff/genblock.genblock.dff/dout_reg[23] ( .D(\exu/csr_rs1_in_d [23]), .CLK(\exu/i_csr_rs1_x_ff/l1clk ), .RESET_B(n10880), .Q(exu_csr_rs1_x[23]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_csr_rs1_x_ff/genblock.genblock.dff/dout_reg[26] ( .D(\exu/csr_rs1_in_d [26]), .CLK(\exu/i_csr_rs1_x_ff/l1clk ), .RESET_B(core_rst_l), .Q(exu_csr_rs1_x[26]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_csr_rs1_x_ff/genblock.genblock.dff/dout_reg[28] ( .D(\exu/csr_rs1_in_d [28]), .CLK(\exu/i_csr_rs1_x_ff/l1clk ), .RESET_B(n25108), .Q(exu_csr_rs1_x[28]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_csr_rs1_x_ff/genblock.genblock.dff/dout_reg[31] ( .D(\exu/csr_rs1_in_d [31]), .CLK(\exu/i_csr_rs1_x_ff/l1clk ), .RESET_B(n10880), .Q(exu_csr_rs1_x[31]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/illegal_lockout_any_ff/dout_reg[0] ( .D(\dec/decode/illegal_lockout_in ), .CLK(\dec/decode/data_gate_clk ), .RESET_B(core_rst_l), .Q(\dec/decode/illegal_lockout ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/illegal_any_ff/genblock.genblock.dff/dout_reg[0] ( .D(\dec/decode/i0_inst_d [0]), .CLK(\dec/decode/illegal_any_ff/l1clk ), .RESET_B(n25108), .Q(\dec/dec_illegal_inst [0]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/illegal_any_ff/genblock.genblock.dff/dout_reg[1] ( .D(\dec/decode/i0_inst_d [1]), .CLK(\dec/decode/illegal_any_ff/l1clk ), .RESET_B(n10880), .Q(\dec/dec_illegal_inst [1]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/illegal_any_ff/genblock.genblock.dff/dout_reg[2] ( .D(\dec/decode/i0_inst_d [2]), .CLK(\dec/decode/illegal_any_ff/l1clk ), .RESET_B(core_rst_l), .Q(\dec/dec_illegal_inst [2]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/illegal_any_ff/genblock.genblock.dff/dout_reg[3] ( .D(\dec/decode/i0_inst_d [3]), .CLK(\dec/decode/illegal_any_ff/l1clk ), .RESET_B(n25108), .Q(\dec/dec_illegal_inst [3]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/illegal_any_ff/genblock.genblock.dff/dout_reg[4] ( .D(\dec/decode/i0_inst_d [4]), .CLK(\dec/decode/illegal_any_ff/l1clk ), .RESET_B(n10880), .Q(\dec/dec_illegal_inst [4]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/illegal_any_ff/genblock.genblock.dff/dout_reg[5] ( .D(\dec/decode/i0_inst_d [5]), .CLK(\dec/decode/illegal_any_ff/l1clk ), .RESET_B(core_rst_l), .Q(\dec/dec_illegal_inst [5]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/illegal_any_ff/genblock.genblock.dff/dout_reg[6] ( .D(\dec/decode/i0_inst_d [6]), .CLK(\dec/decode/illegal_any_ff/l1clk ), .RESET_B(n25108), .Q(\dec/dec_illegal_inst [6]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/illegal_any_ff/genblock.genblock.dff/dout_reg[7] ( .D(\dec/decode/i0_inst_d [7]), .CLK(\dec/decode/illegal_any_ff/l1clk ), .RESET_B(n10880), .Q(\dec/dec_illegal_inst [7]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/illegal_any_ff/genblock.genblock.dff/dout_reg[8] ( .D(\dec/decode/i0_inst_d [8]), .CLK(\dec/decode/illegal_any_ff/l1clk ), .RESET_B(core_rst_l), .Q(\dec/dec_illegal_inst [8]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/illegal_any_ff/genblock.genblock.dff/dout_reg[9] ( .D(\dec/decode/i0_inst_d [9]), .CLK(\dec/decode/illegal_any_ff/l1clk ), .RESET_B(n25108), .Q(\dec/dec_illegal_inst [9]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/illegal_any_ff/genblock.genblock.dff/dout_reg[10] ( .D(\dec/decode/i0_inst_d [10]), .CLK(\dec/decode/illegal_any_ff/l1clk ), .RESET_B(n10880), .Q(\dec/dec_illegal_inst [10]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/illegal_any_ff/genblock.genblock.dff/dout_reg[11] ( .D(\dec/decode/i0_inst_d [11]), .CLK(\dec/decode/illegal_any_ff/l1clk ), .RESET_B(core_rst_l), .Q(\dec/dec_illegal_inst [11]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/illegal_any_ff/genblock.genblock.dff/dout_reg[12] ( .D(\dec/decode/i0_inst_d [12]), .CLK(\dec/decode/illegal_any_ff/l1clk ), .RESET_B(n25108), .Q(\dec/dec_illegal_inst [12]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/illegal_any_ff/genblock.genblock.dff/dout_reg[13] ( .D(\dec/decode/i0_inst_d [13]), .CLK(\dec/decode/illegal_any_ff/l1clk ), .RESET_B(n10880), .Q(\dec/dec_illegal_inst [13]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/illegal_any_ff/genblock.genblock.dff/dout_reg[14] ( .D(\dec/decode/i0_inst_d [14]), .CLK(\dec/decode/illegal_any_ff/l1clk ), .RESET_B(core_rst_l), .Q(\dec/dec_illegal_inst [14]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/illegal_any_ff/genblock.genblock.dff/dout_reg[15] ( .D(\dec/decode/i0_inst_d [15]), .CLK(\dec/decode/illegal_any_ff/l1clk ), .RESET_B(n25108), .Q(\dec/dec_illegal_inst [15]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/illegal_any_ff/genblock.genblock.dff/dout_reg[16] ( .D(\dec/decode/i0_inst_d [16]), .CLK(\dec/decode/illegal_any_ff/l1clk ), .RESET_B(n25108), .Q(\dec/dec_illegal_inst [16]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/illegal_any_ff/genblock.genblock.dff/dout_reg[17] ( .D(\dec/decode/i0_inst_d [17]), .CLK(\dec/decode/illegal_any_ff/l1clk ), .RESET_B(n25108), .Q(\dec/dec_illegal_inst [17]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/illegal_any_ff/genblock.genblock.dff/dout_reg[18] ( .D(\dec/decode/i0_inst_d [18]), .CLK(\dec/decode/illegal_any_ff/l1clk ), .RESET_B(n25108), .Q(\dec/dec_illegal_inst [18]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/illegal_any_ff/genblock.genblock.dff/dout_reg[19] ( .D(\dec/decode/i0_inst_d [19]), .CLK(\dec/decode/illegal_any_ff/l1clk ), .RESET_B(n25108), .Q(\dec/dec_illegal_inst [19]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/illegal_any_ff/genblock.genblock.dff/dout_reg[20] ( .D(\dec/decode/i0_inst_d [20]), .CLK(\dec/decode/illegal_any_ff/l1clk ), .RESET_B(n25112), .Q(\dec/dec_illegal_inst [20]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/illegal_any_ff/genblock.genblock.dff/dout_reg[21] ( .D(\dec/decode/i0_inst_d [21]), .CLK(\dec/decode/illegal_any_ff/l1clk ), .RESET_B(n25112), .Q(\dec/dec_illegal_inst [21]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/illegal_any_ff/genblock.genblock.dff/dout_reg[22] ( .D(\dec/decode/i0_inst_d [22]), .CLK(\dec/decode/illegal_any_ff/l1clk ), .RESET_B(n25112), .Q(\dec/dec_illegal_inst [22]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/illegal_any_ff/genblock.genblock.dff/dout_reg[23] ( .D(\dec/decode/i0_inst_d [23]), .CLK(\dec/decode/illegal_any_ff/l1clk ), .RESET_B(n25112), .Q(\dec/dec_illegal_inst [23]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/illegal_any_ff/genblock.genblock.dff/dout_reg[24] ( .D(\dec/decode/i0_inst_d [24]), .CLK(\dec/decode/illegal_any_ff/l1clk ), .RESET_B(n25112), .Q(\dec/dec_illegal_inst [24]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/illegal_any_ff/genblock.genblock.dff/dout_reg[25] ( .D(\dec/decode/i0_inst_d [25]), .CLK(\dec/decode/illegal_any_ff/l1clk ), .RESET_B(n25112), .Q(\dec/dec_illegal_inst [25]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/illegal_any_ff/genblock.genblock.dff/dout_reg[26] ( .D(\dec/decode/i0_inst_d [26]), .CLK(\dec/decode/illegal_any_ff/l1clk ), .RESET_B(n25112), .Q(\dec/dec_illegal_inst [26]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/illegal_any_ff/genblock.genblock.dff/dout_reg[27] ( .D(\dec/decode/i0_inst_d [27]), .CLK(\dec/decode/illegal_any_ff/l1clk ), .RESET_B(n25112), .Q(\dec/dec_illegal_inst [27]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/illegal_any_ff/genblock.genblock.dff/dout_reg[28] ( .D(\dec/decode/i0_inst_d [28]), .CLK(\dec/decode/illegal_any_ff/l1clk ), .RESET_B(n25112), .Q(\dec/dec_illegal_inst [28]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/illegal_any_ff/genblock.genblock.dff/dout_reg[29] ( .D(\dec/decode/i0_inst_d [29]), .CLK(\dec/decode/illegal_any_ff/l1clk ), .RESET_B(n25112), .Q(\dec/dec_illegal_inst [29]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/illegal_any_ff/genblock.genblock.dff/dout_reg[30] ( .D(\dec/decode/i0_inst_d [30]), .CLK(\dec/decode/illegal_any_ff/l1clk ), .RESET_B(n25112), .Q(\dec/dec_illegal_inst [30]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/illegal_any_ff/genblock.genblock.dff/dout_reg[31] ( .D(\dec/decode/i0_inst_d [31]), .CLK(\dec/decode/illegal_any_ff/l1clk ), .RESET_B(n25115), .Q(\dec/dec_illegal_inst [31]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_predictpacket_x_ff/genblock.genblock.dff/dout_reg[55] ( .D(\exu/i0_predict_p_d[misp] ), .CLK(\exu/i_predictpacket_x_ff/l1clk ), .RESET_B(n25112), .Q(\exu/i0_predict_p_x[misp] ) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_x_ff/genblock.genblock.dff/dout_reg[8] ( .D(\exu/i0_pred_correct_upper_d ), .CLK(\exu/i_x_ff/l1clk ), .RESET_B( n25093), .Q(\exu/i0_pred_correct_upper_x ) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff0/genblock.genblock.dff/dout_reg[0] ( .D(\exu/i0_pred_correct_upper_x ), .CLK(\exu/i_r_ff0/l1clk ), .RESET_B(n25112), .Q(\exu/i0_pred_correct_upper_r ) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_x_ff/genblock.genblock.dff/dout_reg[10] ( .D(\exu/i0_taken_d ), .CLK(\exu/i_x_ff/l1clk ), .RESET_B(n25112), .Q( \exu/i0_taken_x ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/e1ff/genblock.genblock.dff/dout_reg[12] ( .D(\dec/decode/d_d[csrwonly] ), .CLK(\dec/decode/e1ff/l1clk ), .RESET_B(n25112), .Q(\dec/decode/x_d[csrwonly] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/r_d_ff/genblock.genblock.dff/dout_reg[12] ( .D(\dec/decode/x_d[csrwonly] ), .CLK(\exu/i_r_ff0/l1clk ), .RESET_B( n25114), .Q(\dec/decode/r_d[csrwonly] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/wbff/genblock.genblock.dff/dout_reg[12] ( .D(\dec/decode/r_d[csrwonly] ), .CLK(\dec/decode/wbff/l1clk ), .RESET_B(n25115), .Q(\dec/decode/wbd[csrwonly] ) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/e1val_ff/dout_reg[0] ( .D( \exu/i_div/_0_net_ ), .CLK(\exu/i_div/exu_div_clk ), .RESET_B(n25093), .Q(\exu/i_div/valid_ff_x ) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/mff/genblock.genblock.dff/dout_reg[20] ( .D(\exu/muldiv_rs2_d [20]), .CLK(\exu/i_div/mff/l1clk ), .RESET_B( n25112), .Q(\exu/i_div/m_ff [20]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/miscf/genblock.dffs/dout_reg[0] ( .D( \exu/i_div/miscf/_0_net_[0] ), .CLK(\exu/i_div/exu_div_clk ), .RESET_B(n25112), .Q(\exu/i_div/rem_ff ) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f2pcff/genblock.genblock.dff/dout_reg[0] ( .D(\ifu/ifc_fetch_addr_f [1]), .CLK(\ifu/aln/f2pcff/l1clk ), .RESET_B( n25112), .Q(\ifu/aln/f2pc [1]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f1pcff/genblock.genblock.dff/dout_reg[0] ( .D(\ifu/aln/f1pc_in [1]), .CLK(\ifu/aln/f1pcff/l1clk ), .RESET_B( n25112), .Q(\ifu/aln/f1pc [1]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f0pcff/genblock.genblock.dff/dout_reg[0] ( .D(\ifu/aln/f0pc_in [1]), .CLK(\ifu/aln/f0pcff/l1clk ), .RESET_B( n25114), .Q(\exu/i0_predict_p_d[boffset] ) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_predictpacket_x_ff/genblock.genblock.dff/dout_reg[53] ( .D(\exu/i0_predict_p_d[boffset] ), .CLK( \exu/i_predictpacket_x_ff/l1clk ), .RESET_B(n25112), .Q( \exu/i0_predict_p_x[boffset] ) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff0/genblock.genblock.dff/dout_reg[60] ( .D(\exu/i0_predict_p_x[boffset] ), .CLK(\exu/i_r_ff0/l1clk ), .RESET_B(n25115), .Q(\exu/i0_pp_r[boffset] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0_pc_r_ff/genblock.genblock.dff/dout_reg[0] ( .D(exu_i0_pc_x[1]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n25112), .Q( \dec/dec_tlu_i0_pc_r [1]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wbpcff/genblock.genblock.dff/dout_reg[0] ( .D(\dec/dec_tlu_i0_pc_r [1]), .CLK(\dec/decode/i0wbpcff/l1clk ), .RESET_B(n25112), .Q(\dec/decode/i0_pc_wb [1]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wb1pcff/genblock.genblock.dff/dout_reg[0] ( .D(\dec/decode/i0_pc_wb [1]), .CLK(\dec/decode/i0wb1pcff/l1clk ), .RESET_B(n25112), .Q(trace_rv_i_address_ip[1]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f2pcff/genblock.genblock.dff/dout_reg[1] ( .D(\ifu/ifc_fetch_addr_f [2]), .CLK(\ifu/aln/f2pcff/l1clk ), .RESET_B( n25112), .Q(\ifu/aln/f2pc [2]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f1pcff/genblock.genblock.dff/dout_reg[1] ( .D(\ifu/aln/f1pc_in [2]), .CLK(\ifu/aln/f1pcff/l1clk ), .RESET_B( n25093), .Q(\ifu/aln/f1pc [2]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f0pcff/genblock.genblock.dff/dout_reg[1] ( .D(\ifu/aln/f0pc_in [2]), .CLK(\ifu/aln/f0pcff/l1clk ), .RESET_B( n25114), .Q(dec_i0_pc_d[2]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_alu/i_pc_ff/genblock.genblock.dff/dout_reg[1] ( .D(dec_i0_pc_d[2]), .CLK(\exu/i_alu/i_pc_ff/l1clk ), .RESET_B(n25112), .Q(exu_i0_pc_x[2]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0_pc_r_ff/genblock.genblock.dff/dout_reg[1] ( .D(exu_i0_pc_x[2]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n25112), .Q( \dec/dec_tlu_i0_pc_r [2]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wbpcff/genblock.genblock.dff/dout_reg[1] ( .D(\dec/dec_tlu_i0_pc_r [2]), .CLK(\dec/decode/i0wbpcff/l1clk ), .RESET_B(n25115), .Q(\dec/decode/i0_pc_wb [2]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wb1pcff/genblock.genblock.dff/dout_reg[1] ( .D(\dec/decode/i0_pc_wb [2]), .CLK(\dec/decode/i0wb1pcff/l1clk ), .RESET_B(n25112), .Q(trace_rv_i_address_ip[2]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f2pcff/genblock.genblock.dff/dout_reg[2] ( .D(\ifu/ifc_fetch_addr_f [3]), .CLK(\ifu/aln/f2pcff/l1clk ), .RESET_B( n25112), .Q(\ifu/aln/f2pc [3]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f1pcff/genblock.genblock.dff/dout_reg[2] ( .D(\ifu/aln/f1pc_in [3]), .CLK(\ifu/aln/f1pcff/l1clk ), .RESET_B( n25112), .Q(\ifu/aln/f1pc [3]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f0pcff/genblock.genblock.dff/dout_reg[2] ( .D(\ifu/aln/f0pc_in [3]), .CLK(\ifu/aln/f0pcff/l1clk ), .RESET_B( n25112), .Q(dec_i0_pc_d[3]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_alu/i_pc_ff/genblock.genblock.dff/dout_reg[2] ( .D(dec_i0_pc_d[3]), .CLK(\exu/i_alu/i_pc_ff/l1clk ), .RESET_B(n25112), .Q(exu_i0_pc_x[3]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0_pc_r_ff/genblock.genblock.dff/dout_reg[2] ( .D(exu_i0_pc_x[3]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n25114), .Q( \dec/dec_tlu_i0_pc_r [3]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wbpcff/genblock.genblock.dff/dout_reg[2] ( .D(\dec/dec_tlu_i0_pc_r [3]), .CLK(\dec/decode/i0wbpcff/l1clk ), .RESET_B(n25115), .Q(\dec/decode/i0_pc_wb [3]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wb1pcff/genblock.genblock.dff/dout_reg[2] ( .D(\dec/decode/i0_pc_wb [3]), .CLK(\dec/decode/i0wb1pcff/l1clk ), .RESET_B(n25112), .Q(trace_rv_i_address_ip[3]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f2pcff/genblock.genblock.dff/dout_reg[3] ( .D(\ifu/ifc_fetch_addr_f [4]), .CLK(\ifu/aln/f2pcff/l1clk ), .RESET_B( n25112), .Q(\ifu/aln/f2pc [4]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f1pcff/genblock.genblock.dff/dout_reg[3] ( .D(\ifu/aln/f1pc_in [4]), .CLK(\ifu/aln/f1pcff/l1clk ), .RESET_B( n25112), .Q(\ifu/aln/f1pc [4]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f0pcff/genblock.genblock.dff/dout_reg[3] ( .D(\ifu/aln/f0pc_in [4]), .CLK(\ifu/aln/f0pcff/l1clk ), .RESET_B( n25112), .Q(dec_i0_pc_d[4]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_alu/i_pc_ff/genblock.genblock.dff/dout_reg[3] ( .D(dec_i0_pc_d[4]), .CLK(\exu/i_alu/i_pc_ff/l1clk ), .RESET_B(n25112), .Q(exu_i0_pc_x[4]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0_pc_r_ff/genblock.genblock.dff/dout_reg[3] ( .D(exu_i0_pc_x[4]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n25114), .Q( \dec/dec_tlu_i0_pc_r [4]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wbpcff/genblock.genblock.dff/dout_reg[3] ( .D(\dec/dec_tlu_i0_pc_r [4]), .CLK(\dec/decode/i0wbpcff/l1clk ), .RESET_B(n25112), .Q(\dec/decode/i0_pc_wb [4]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wb1pcff/genblock.genblock.dff/dout_reg[3] ( .D(\dec/decode/i0_pc_wb [4]), .CLK(\dec/decode/i0wb1pcff/l1clk ), .RESET_B(n25115), .Q(trace_rv_i_address_ip[4]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f2pcff/genblock.genblock.dff/dout_reg[4] ( .D(\ifu/ifc_fetch_addr_f [5]), .CLK(\ifu/aln/f2pcff/l1clk ), .RESET_B( n25112), .Q(\ifu/aln/f2pc [5]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f1pcff/genblock.genblock.dff/dout_reg[4] ( .D(\ifu/aln/f1pc_in [5]), .CLK(\ifu/aln/f1pcff/l1clk ), .RESET_B( n25112), .Q(\ifu/aln/f1pc [5]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f0pcff/genblock.genblock.dff/dout_reg[4] ( .D(\ifu/aln/f0pc_in [5]), .CLK(\ifu/aln/f0pcff/l1clk ), .RESET_B( n25112), .Q(dec_i0_pc_d[5]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_alu/i_pc_ff/genblock.genblock.dff/dout_reg[4] ( .D(dec_i0_pc_d[5]), .CLK(\exu/i_alu/i_pc_ff/l1clk ), .RESET_B(n25114), .Q(exu_i0_pc_x[5]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0_pc_r_ff/genblock.genblock.dff/dout_reg[4] ( .D(exu_i0_pc_x[5]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n25112), .Q( \dec/dec_tlu_i0_pc_r [5]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wb1pcff/genblock.genblock.dff/dout_reg[4] ( .D(\dec/decode/i0_pc_wb [5]), .CLK(\dec/decode/i0wb1pcff/l1clk ), .RESET_B(n25115), .Q(trace_rv_i_address_ip[5]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f2pcff/genblock.genblock.dff/dout_reg[5] ( .D(\ifu/ifc_fetch_addr_f [6]), .CLK(\ifu/aln/f2pcff/l1clk ), .RESET_B( n25112), .Q(\ifu/aln/f2pc [6]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f1pcff/genblock.genblock.dff/dout_reg[5] ( .D(\ifu/aln/f1pc_in [6]), .CLK(\ifu/aln/f1pcff/l1clk ), .RESET_B( n25114), .Q(\ifu/aln/f1pc [6]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f0pcff/genblock.genblock.dff/dout_reg[5] ( .D(\ifu/aln/f0pc_in [6]), .CLK(\ifu/aln/f0pcff/l1clk ), .RESET_B( n25115), .Q(dec_i0_pc_d[6]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_alu/i_pc_ff/genblock.genblock.dff/dout_reg[5] ( .D(dec_i0_pc_d[6]), .CLK(\exu/i_alu/i_pc_ff/l1clk ), .RESET_B(n25112), .Q(exu_i0_pc_x[6]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0_pc_r_ff/genblock.genblock.dff/dout_reg[5] ( .D(exu_i0_pc_x[6]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n25114), .Q( \dec/dec_tlu_i0_pc_r [6]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wbpcff/genblock.genblock.dff/dout_reg[5] ( .D(\dec/dec_tlu_i0_pc_r [6]), .CLK(\dec/decode/i0wbpcff/l1clk ), .RESET_B(n25115), .Q(\dec/decode/i0_pc_wb [6]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wb1pcff/genblock.genblock.dff/dout_reg[5] ( .D(\dec/decode/i0_pc_wb [6]), .CLK(\dec/decode/i0wb1pcff/l1clk ), .RESET_B(n25112), .Q(trace_rv_i_address_ip[6]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f2pcff/genblock.genblock.dff/dout_reg[6] ( .D(\ifu/ifc_fetch_addr_f [7]), .CLK(\ifu/aln/f2pcff/l1clk ), .RESET_B( n25112), .Q(\ifu/aln/f2pc [7]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f1pcff/genblock.genblock.dff/dout_reg[6] ( .D(\ifu/aln/f1pc_in [7]), .CLK(\ifu/aln/f1pcff/l1clk ), .RESET_B( n25112), .Q(\ifu/aln/f1pc [7]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f0pcff/genblock.genblock.dff/dout_reg[6] ( .D(\ifu/aln/f0pc_in [7]), .CLK(\ifu/aln/f0pcff/l1clk ), .RESET_B( n25115), .Q(dec_i0_pc_d[7]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_alu/i_pc_ff/genblock.genblock.dff/dout_reg[6] ( .D(dec_i0_pc_d[7]), .CLK(\exu/i_alu/i_pc_ff/l1clk ), .RESET_B(n10876), .Q(exu_i0_pc_x[7]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0_pc_r_ff/genblock.genblock.dff/dout_reg[6] ( .D(exu_i0_pc_x[7]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n25102), .Q( \dec/dec_tlu_i0_pc_r [7]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wbpcff/genblock.genblock.dff/dout_reg[6] ( .D(\dec/dec_tlu_i0_pc_r [7]), .CLK(\dec/decode/i0wbpcff/l1clk ), .RESET_B(n25098), .Q(\dec/decode/i0_pc_wb [7]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f2pcff/genblock.genblock.dff/dout_reg[7] ( .D(\ifu/ifc_fetch_addr_f [8]), .CLK(\ifu/aln/f2pcff/l1clk ), .RESET_B( n25116), .Q(\ifu/aln/f2pc [8]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f1pcff/genblock.genblock.dff/dout_reg[7] ( .D(\ifu/aln/f1pc_in [8]), .CLK(\ifu/aln/f1pcff/l1clk ), .RESET_B( n25115), .Q(\ifu/aln/f1pc [8]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f0pcff/genblock.genblock.dff/dout_reg[7] ( .D(\ifu/aln/f0pc_in [8]), .CLK(\ifu/aln/f0pcff/l1clk ), .RESET_B( n25115), .Q(dec_i0_pc_d[8]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_alu/i_pc_ff/genblock.genblock.dff/dout_reg[7] ( .D(dec_i0_pc_d[8]), .CLK(\exu/i_alu/i_pc_ff/l1clk ), .RESET_B(n25115), .Q(exu_i0_pc_x[8]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0_pc_r_ff/genblock.genblock.dff/dout_reg[7] ( .D(exu_i0_pc_x[8]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n25115), .Q( \dec/dec_tlu_i0_pc_r [8]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wbpcff/genblock.genblock.dff/dout_reg[7] ( .D(\dec/dec_tlu_i0_pc_r [8]), .CLK(\dec/decode/i0wbpcff/l1clk ), .RESET_B(n25112), .Q(\dec/decode/i0_pc_wb [8]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wb1pcff/genblock.genblock.dff/dout_reg[7] ( .D(\dec/decode/i0_pc_wb [8]), .CLK(\dec/decode/i0wb1pcff/l1clk ), .RESET_B(n25115), .Q(trace_rv_i_address_ip[8]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f2pcff/genblock.genblock.dff/dout_reg[8] ( .D(\ifu/ifc_fetch_addr_f [9]), .CLK(\ifu/aln/f2pcff/l1clk ), .RESET_B( n25112), .Q(\ifu/aln/f2pc [9]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f1pcff/genblock.genblock.dff/dout_reg[8] ( .D(\ifu/aln/f1pc_in [9]), .CLK(\ifu/aln/f1pcff/l1clk ), .RESET_B( n25112), .Q(\ifu/aln/f1pc [9]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f0pcff/genblock.genblock.dff/dout_reg[8] ( .D(\ifu/aln/f0pc_in [9]), .CLK(\ifu/aln/f0pcff/l1clk ), .RESET_B( n25112), .Q(dec_i0_pc_d[9]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_alu/i_pc_ff/genblock.genblock.dff/dout_reg[8] ( .D(dec_i0_pc_d[9]), .CLK(\exu/i_alu/i_pc_ff/l1clk ), .RESET_B(n25112), .Q(exu_i0_pc_x[9]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0_pc_r_ff/genblock.genblock.dff/dout_reg[8] ( .D(exu_i0_pc_x[9]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n25112), .Q( \dec/dec_tlu_i0_pc_r [9]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wbpcff/genblock.genblock.dff/dout_reg[8] ( .D(\dec/dec_tlu_i0_pc_r [9]), .CLK(\dec/decode/i0wbpcff/l1clk ), .RESET_B(n25112), .Q(\dec/decode/i0_pc_wb [9]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wb1pcff/genblock.genblock.dff/dout_reg[8] ( .D(\dec/decode/i0_pc_wb [9]), .CLK(\dec/decode/i0wb1pcff/l1clk ), .RESET_B(n25112), .Q(trace_rv_i_address_ip[9]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f1pcff/genblock.genblock.dff/dout_reg[9] ( .D(\ifu/aln/f1pc_in [10]), .CLK(\ifu/aln/f1pcff/l1clk ), .RESET_B( n25112), .Q(\ifu/aln/f1pc [10]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f0pcff/genblock.genblock.dff/dout_reg[9] ( .D(\ifu/aln/f0pc_in [10]), .CLK(\ifu/aln/f0pcff/l1clk ), .RESET_B( n25112), .Q(dec_i0_pc_d[10]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_alu/i_pc_ff/genblock.genblock.dff/dout_reg[9] ( .D(dec_i0_pc_d[10]), .CLK(\exu/i_alu/i_pc_ff/l1clk ), .RESET_B(n25112), .Q(exu_i0_pc_x[10]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0_pc_r_ff/genblock.genblock.dff/dout_reg[9] ( .D(exu_i0_pc_x[10]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n25112), .Q( \dec/dec_tlu_i0_pc_r [10]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wbpcff/genblock.genblock.dff/dout_reg[9] ( .D(\dec/dec_tlu_i0_pc_r [10]), .CLK(\dec/decode/i0wbpcff/l1clk ), .RESET_B(n25112), .Q(\dec/decode/i0_pc_wb [10]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wb1pcff/genblock.genblock.dff/dout_reg[9] ( .D(\dec/decode/i0_pc_wb [10]), .CLK(\dec/decode/i0wb1pcff/l1clk ), .RESET_B(n10881), .Q(trace_rv_i_address_ip[10]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f2pcff/genblock.genblock.dff/dout_reg[10] ( .D(\ifu/ifc_fetch_addr_f [11]), .CLK(\ifu/aln/f2pcff/l1clk ), .RESET_B(n25104), .Q(\ifu/aln/f2pc [11]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f1pcff/genblock.genblock.dff/dout_reg[10] ( .D(\ifu/aln/f1pc_in [11]), .CLK(\ifu/aln/f1pcff/l1clk ), .RESET_B( n25101), .Q(\ifu/aln/f1pc [11]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f0pcff/genblock.genblock.dff/dout_reg[10] ( .D(\ifu/aln/f0pc_in [11]), .CLK(\ifu/aln/f0pcff/l1clk ), .RESET_B( n25103), .Q(dec_i0_pc_d[11]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_alu/i_pc_ff/genblock.genblock.dff/dout_reg[10] ( .D(dec_i0_pc_d[11]), .CLK(\exu/i_alu/i_pc_ff/l1clk ), .RESET_B(n25100), .Q(exu_i0_pc_x[11]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0_pc_r_ff/genblock.genblock.dff/dout_reg[10] ( .D(exu_i0_pc_x[11]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n25105), .Q( \dec/dec_tlu_i0_pc_r [11]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wbpcff/genblock.genblock.dff/dout_reg[10] ( .D(\dec/dec_tlu_i0_pc_r [11]), .CLK(\dec/decode/i0wbpcff/l1clk ), .RESET_B(n10881), .Q(\dec/decode/i0_pc_wb [11]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wb1pcff/genblock.genblock.dff/dout_reg[10] ( .D(\dec/decode/i0_pc_wb [11]), .CLK(\dec/decode/i0wb1pcff/l1clk ), .RESET_B(n25116), .Q(trace_rv_i_address_ip[11]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f2pcff/genblock.genblock.dff/dout_reg[11] ( .D(\ifu/ifc_fetch_addr_f [12]), .CLK(\ifu/aln/f2pcff/l1clk ), .RESET_B(n25100), .Q(\ifu/aln/f2pc [12]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f0pcff/genblock.genblock.dff/dout_reg[11] ( .D(\ifu/aln/f0pc_in [12]), .CLK(\ifu/aln/f0pcff/l1clk ), .RESET_B( n25102), .Q(dec_i0_pc_d[12]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_alu/i_pc_ff/genblock.genblock.dff/dout_reg[11] ( .D(dec_i0_pc_d[12]), .CLK(\exu/i_alu/i_pc_ff/l1clk ), .RESET_B(n25116), .Q(exu_i0_pc_x[12]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0_pc_r_ff/genblock.genblock.dff/dout_reg[11] ( .D(exu_i0_pc_x[12]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n25103), .Q( \dec/dec_tlu_i0_pc_r [12]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wbpcff/genblock.genblock.dff/dout_reg[11] ( .D(\dec/dec_tlu_i0_pc_r [12]), .CLK(\dec/decode/i0wbpcff/l1clk ), .RESET_B(n25102), .Q(\dec/decode/i0_pc_wb [12]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wb1pcff/genblock.genblock.dff/dout_reg[11] ( .D(\dec/decode/i0_pc_wb [12]), .CLK(\dec/decode/i0wb1pcff/l1clk ), .RESET_B(n25116), .Q(trace_rv_i_address_ip[12]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f2pcff/genblock.genblock.dff/dout_reg[12] ( .D(\ifu/ifc_fetch_addr_f [13]), .CLK(\ifu/aln/f2pcff/l1clk ), .RESET_B(n25107), .Q(\ifu/aln/f2pc [13]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f1pcff/genblock.genblock.dff/dout_reg[12] ( .D(\ifu/aln/f1pc_in [13]), .CLK(\ifu/aln/f1pcff/l1clk ), .RESET_B( n25116), .Q(\ifu/aln/f1pc [13]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f0pcff/genblock.genblock.dff/dout_reg[12] ( .D(\ifu/aln/f0pc_in [13]), .CLK(\ifu/aln/f0pcff/l1clk ), .RESET_B( n10881), .Q(dec_i0_pc_d[13]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_alu/i_pc_ff/genblock.genblock.dff/dout_reg[12] ( .D(dec_i0_pc_d[13]), .CLK(\exu/i_alu/i_pc_ff/l1clk ), .RESET_B(n10881), .Q(exu_i0_pc_x[13]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0_pc_r_ff/genblock.genblock.dff/dout_reg[12] ( .D(exu_i0_pc_x[13]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n25116), .Q( \dec/dec_tlu_i0_pc_r [13]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wbpcff/genblock.genblock.dff/dout_reg[12] ( .D(\dec/dec_tlu_i0_pc_r [13]), .CLK(\dec/decode/i0wbpcff/l1clk ), .RESET_B(n25103), .Q(\dec/decode/i0_pc_wb [13]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wb1pcff/genblock.genblock.dff/dout_reg[12] ( .D(\dec/decode/i0_pc_wb [13]), .CLK(\dec/decode/i0wb1pcff/l1clk ), .RESET_B(n25116), .Q(trace_rv_i_address_ip[13]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff1/genblock.genblock.dff/dout_reg[6] ( .D(pred_correct_npc_x[13]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n25116), .Q(\exu/pred_correct_npc_r [13]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_flush_path_x_ff/genblock.genblock.dff/dout_reg[12] ( .D(\exu/i0_flush_path_d [13]), .CLK(\exu/i_flush_path_x_ff/l1clk ), .RESET_B(n25116), .Q(\exu/i0_flush_path_x [13]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff1/genblock.genblock.dff/dout_reg[37] ( .D(\exu/i0_flush_path_x [13]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25116), .Q(\exu/i0_flush_path_upper_r [13]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/ifc/faddrf1_ff/genblock.genblock.dff/dout_reg[12] ( .D(\ifu/ifc_fetch_addr_bf [13]), .CLK(\ifu/ifc/faddrf1_ff/l1clk ), .RESET_B(n25116), .Q(\ifu/ifc_fetch_addr_f [13]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f2pcff/genblock.genblock.dff/dout_reg[13] ( .D(\ifu/ifc_fetch_addr_f [14]), .CLK(\ifu/aln/f2pcff/l1clk ), .RESET_B(n10881), .Q(\ifu/aln/f2pc [14]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f1pcff/genblock.genblock.dff/dout_reg[13] ( .D(\ifu/aln/f1pc_in [14]), .CLK(\ifu/aln/f1pcff/l1clk ), .RESET_B( n25101), .Q(\ifu/aln/f1pc [14]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f0pcff/genblock.genblock.dff/dout_reg[13] ( .D(\ifu/aln/f0pc_in [14]), .CLK(\ifu/aln/f0pcff/l1clk ), .RESET_B( n25116), .Q(dec_i0_pc_d[14]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_alu/i_pc_ff/genblock.genblock.dff/dout_reg[13] ( .D(dec_i0_pc_d[14]), .CLK(\exu/i_alu/i_pc_ff/l1clk ), .RESET_B(n25116), .Q(exu_i0_pc_x[14]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0_pc_r_ff/genblock.genblock.dff/dout_reg[13] ( .D(exu_i0_pc_x[14]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n25105), .Q( \dec/dec_tlu_i0_pc_r [14]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wbpcff/genblock.genblock.dff/dout_reg[13] ( .D(\dec/dec_tlu_i0_pc_r [14]), .CLK(\dec/decode/i0wbpcff/l1clk ), .RESET_B(n25104), .Q(\dec/decode/i0_pc_wb [14]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wb1pcff/genblock.genblock.dff/dout_reg[13] ( .D(\dec/decode/i0_pc_wb [14]), .CLK(\dec/decode/i0wb1pcff/l1clk ), .RESET_B(n10876), .Q(trace_rv_i_address_ip[14]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff1/genblock.genblock.dff/dout_reg[7] ( .D(pred_correct_npc_x[14]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n25116), .Q(\exu/pred_correct_npc_r [14]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_flush_path_x_ff/genblock.genblock.dff/dout_reg[13] ( .D(\exu/i0_flush_path_d [14]), .CLK(\exu/i_flush_path_x_ff/l1clk ), .RESET_B(n25101), .Q(\exu/i0_flush_path_x [14]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff1/genblock.genblock.dff/dout_reg[38] ( .D(\exu/i0_flush_path_x [14]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25116), .Q(\exu/i0_flush_path_upper_r [14]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/ifc/faddrf1_ff/genblock.genblock.dff/dout_reg[13] ( .D(\ifu/ifc_fetch_addr_bf [14]), .CLK(\ifu/ifc/faddrf1_ff/l1clk ), .RESET_B(n25116), .Q(\ifu/ifc_fetch_addr_f [14]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f2pcff/genblock.genblock.dff/dout_reg[14] ( .D(\ifu/ifc_fetch_addr_f [15]), .CLK(\ifu/aln/f2pcff/l1clk ), .RESET_B(n25116), .Q(\ifu/aln/f2pc [15]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f1pcff/genblock.genblock.dff/dout_reg[14] ( .D(\ifu/aln/f1pc_in [15]), .CLK(\ifu/aln/f1pcff/l1clk ), .RESET_B( n25116), .Q(\ifu/aln/f1pc [15]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_alu/i_pc_ff/genblock.genblock.dff/dout_reg[14] ( .D(dec_i0_pc_d[15]), .CLK(\exu/i_alu/i_pc_ff/l1clk ), .RESET_B(n25116), .Q(exu_i0_pc_x[15]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0_pc_r_ff/genblock.genblock.dff/dout_reg[14] ( .D(exu_i0_pc_x[15]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n25116), .Q( \dec/dec_tlu_i0_pc_r [15]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wbpcff/genblock.genblock.dff/dout_reg[14] ( .D(\dec/dec_tlu_i0_pc_r [15]), .CLK(\dec/decode/i0wbpcff/l1clk ), .RESET_B(n25116), .Q(\dec/decode/i0_pc_wb [15]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wb1pcff/genblock.genblock.dff/dout_reg[14] ( .D(\dec/decode/i0_pc_wb [15]), .CLK(\dec/decode/i0wb1pcff/l1clk ), .RESET_B(n25116), .Q(trace_rv_i_address_ip[15]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff1/genblock.genblock.dff/dout_reg[8] ( .D(pred_correct_npc_x[15]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n25116), .Q(\exu/pred_correct_npc_r [15]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_flush_path_x_ff/genblock.genblock.dff/dout_reg[14] ( .D(\exu/i0_flush_path_d [15]), .CLK(\exu/i_flush_path_x_ff/l1clk ), .RESET_B(n25106), .Q(\exu/i0_flush_path_x [15]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff1/genblock.genblock.dff/dout_reg[39] ( .D(\exu/i0_flush_path_x [15]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25116), .Q(\exu/i0_flush_path_upper_r [15]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f2pcff/genblock.genblock.dff/dout_reg[15] ( .D(\ifu/ifc_fetch_addr_f [16]), .CLK(\ifu/aln/f2pcff/l1clk ), .RESET_B(n25116), .Q(\ifu/aln/f2pc [16]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f1pcff/genblock.genblock.dff/dout_reg[15] ( .D(\ifu/aln/f1pc_in [16]), .CLK(\ifu/aln/f1pcff/l1clk ), .RESET_B( n25115), .Q(\ifu/aln/f1pc [16]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f0pcff/genblock.genblock.dff/dout_reg[15] ( .D(\ifu/aln/f0pc_in [16]), .CLK(\ifu/aln/f0pcff/l1clk ), .RESET_B( n25116), .Q(dec_i0_pc_d[16]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_alu/i_pc_ff/genblock.genblock.dff/dout_reg[15] ( .D(dec_i0_pc_d[16]), .CLK(\exu/i_alu/i_pc_ff/l1clk ), .RESET_B(n25116), .Q(exu_i0_pc_x[16]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0_pc_r_ff/genblock.genblock.dff/dout_reg[15] ( .D(exu_i0_pc_x[16]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n25082), .Q( \dec/dec_tlu_i0_pc_r [16]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wbpcff/genblock.genblock.dff/dout_reg[15] ( .D(\dec/dec_tlu_i0_pc_r [16]), .CLK(\dec/decode/i0wbpcff/l1clk ), .RESET_B(n25116), .Q(\dec/decode/i0_pc_wb [16]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wb1pcff/genblock.genblock.dff/dout_reg[15] ( .D(\dec/decode/i0_pc_wb [16]), .CLK(\dec/decode/i0wb1pcff/l1clk ), .RESET_B(n25116), .Q(trace_rv_i_address_ip[16]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff1/genblock.genblock.dff/dout_reg[9] ( .D(pred_correct_npc_x[16]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n25100), .Q(\exu/pred_correct_npc_r [16]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_flush_path_x_ff/genblock.genblock.dff/dout_reg[15] ( .D(\exu/i0_flush_path_d [16]), .CLK(\exu/i_flush_path_x_ff/l1clk ), .RESET_B(n25116), .Q(\exu/i0_flush_path_x [16]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff1/genblock.genblock.dff/dout_reg[40] ( .D(\exu/i0_flush_path_x [16]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25116), .Q(\exu/i0_flush_path_upper_r [16]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/ifc/faddrf1_ff/genblock.genblock.dff/dout_reg[15] ( .D(\ifu/ifc_fetch_addr_bf [16]), .CLK(\ifu/ifc/faddrf1_ff/l1clk ), .RESET_B(n25104), .Q(\ifu/ifc_fetch_addr_f [16]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f2pcff/genblock.genblock.dff/dout_reg[16] ( .D(\ifu/ifc_fetch_addr_f [17]), .CLK(\ifu/aln/f2pcff/l1clk ), .RESET_B(n10876), .Q(\ifu/aln/f2pc [17]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f1pcff/genblock.genblock.dff/dout_reg[16] ( .D(\ifu/aln/f1pc_in [17]), .CLK(\ifu/aln/f1pcff/l1clk ), .RESET_B( n10881), .Q(\ifu/aln/f1pc [17]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f0pcff/genblock.genblock.dff/dout_reg[16] ( .D(\ifu/aln/f0pc_in [17]), .CLK(\ifu/aln/f0pcff/l1clk ), .RESET_B( n10881), .Q(dec_i0_pc_d[17]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_alu/i_pc_ff/genblock.genblock.dff/dout_reg[16] ( .D(dec_i0_pc_d[17]), .CLK(\exu/i_alu/i_pc_ff/l1clk ), .RESET_B(n25106), .Q(exu_i0_pc_x[17]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0_pc_r_ff/genblock.genblock.dff/dout_reg[16] ( .D(exu_i0_pc_x[17]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n25107), .Q( \dec/dec_tlu_i0_pc_r [17]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wbpcff/genblock.genblock.dff/dout_reg[16] ( .D(\dec/dec_tlu_i0_pc_r [17]), .CLK(\dec/decode/i0wbpcff/l1clk ), .RESET_B(n25103), .Q(\dec/decode/i0_pc_wb [17]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wb1pcff/genblock.genblock.dff/dout_reg[16] ( .D(\dec/decode/i0_pc_wb [17]), .CLK(\dec/decode/i0wb1pcff/l1clk ), .RESET_B(n25116), .Q(trace_rv_i_address_ip[17]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff1/genblock.genblock.dff/dout_reg[10] ( .D(pred_correct_npc_x[17]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n25106), .Q(\exu/pred_correct_npc_r [17]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_flush_path_x_ff/genblock.genblock.dff/dout_reg[16] ( .D(\exu/i0_flush_path_d [17]), .CLK(\exu/i_flush_path_x_ff/l1clk ), .RESET_B(n25102), .Q(\exu/i0_flush_path_x [17]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff1/genblock.genblock.dff/dout_reg[41] ( .D(\exu/i0_flush_path_x [17]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25082), .Q(\exu/i0_flush_path_upper_r [17]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f2pcff/genblock.genblock.dff/dout_reg[17] ( .D(\ifu/ifc_fetch_addr_f [18]), .CLK(\ifu/aln/f2pcff/l1clk ), .RESET_B(n25116), .Q(\ifu/aln/f2pc [18]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f0pcff/genblock.genblock.dff/dout_reg[18] ( .D(\ifu/aln/f0pc_in [19]), .CLK(\ifu/aln/f0pcff/l1clk ), .RESET_B( n25116), .Q(dec_i0_pc_d[19]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_alu/i_pc_ff/genblock.genblock.dff/dout_reg[18] ( .D(dec_i0_pc_d[19]), .CLK(\exu/i_alu/i_pc_ff/l1clk ), .RESET_B(n25116), .Q(exu_i0_pc_x[19]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0_pc_r_ff/genblock.genblock.dff/dout_reg[18] ( .D(exu_i0_pc_x[19]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n25116), .Q( \dec/dec_tlu_i0_pc_r [19]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wbpcff/genblock.genblock.dff/dout_reg[18] ( .D(\dec/dec_tlu_i0_pc_r [19]), .CLK(\dec/decode/i0wbpcff/l1clk ), .RESET_B(n25116), .Q(\dec/decode/i0_pc_wb [19]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wb1pcff/genblock.genblock.dff/dout_reg[18] ( .D(\dec/decode/i0_pc_wb [19]), .CLK(\dec/decode/i0wb1pcff/l1clk ), .RESET_B(n25116), .Q(trace_rv_i_address_ip[19]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff1/genblock.genblock.dff/dout_reg[12] ( .D(pred_correct_npc_x[19]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n25116), .Q(\exu/pred_correct_npc_r [19]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_flush_path_x_ff/genblock.genblock.dff/dout_reg[18] ( .D(\exu/i0_flush_path_d [19]), .CLK(\exu/i_flush_path_x_ff/l1clk ), .RESET_B(n25116), .Q(\exu/i0_flush_path_x [19]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff1/genblock.genblock.dff/dout_reg[43] ( .D(\exu/i0_flush_path_x [19]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25116), .Q(\exu/i0_flush_path_upper_r [19]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f2pcff/genblock.genblock.dff/dout_reg[19] ( .D(\ifu/ifc_fetch_addr_f [20]), .CLK(\ifu/aln/f2pcff/l1clk ), .RESET_B(n25116), .Q(\ifu/aln/f2pc [20]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f1pcff/genblock.genblock.dff/dout_reg[19] ( .D(\ifu/aln/f1pc_in [20]), .CLK(\ifu/aln/f1pcff/l1clk ), .RESET_B( n25116), .Q(\ifu/aln/f1pc [20]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f0pcff/genblock.genblock.dff/dout_reg[19] ( .D(\ifu/aln/f0pc_in [20]), .CLK(\ifu/aln/f0pcff/l1clk ), .RESET_B( n25116), .Q(dec_i0_pc_d[20]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_alu/i_pc_ff/genblock.genblock.dff/dout_reg[19] ( .D(dec_i0_pc_d[20]), .CLK(\exu/i_alu/i_pc_ff/l1clk ), .RESET_B(n25116), .Q(exu_i0_pc_x[20]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0_pc_r_ff/genblock.genblock.dff/dout_reg[19] ( .D(exu_i0_pc_x[20]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n25116), .Q( \dec/dec_tlu_i0_pc_r [20]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wbpcff/genblock.genblock.dff/dout_reg[19] ( .D(\dec/dec_tlu_i0_pc_r [20]), .CLK(\dec/decode/i0wbpcff/l1clk ), .RESET_B(n25116), .Q(\dec/decode/i0_pc_wb [20]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff1/genblock.genblock.dff/dout_reg[13] ( .D(pred_correct_npc_x[20]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n25116), .Q(\exu/pred_correct_npc_r [20]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_flush_path_x_ff/genblock.genblock.dff/dout_reg[19] ( .D(\exu/i0_flush_path_d [20]), .CLK(\exu/i_flush_path_x_ff/l1clk ), .RESET_B(n25116), .Q(\exu/i0_flush_path_x [20]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff1/genblock.genblock.dff/dout_reg[44] ( .D(\exu/i0_flush_path_x [20]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25116), .Q(\exu/i0_flush_path_upper_r [20]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/ifc/faddrf1_ff/genblock.genblock.dff/dout_reg[19] ( .D(\ifu/ifc_fetch_addr_bf [20]), .CLK(\ifu/ifc/faddrf1_ff/l1clk ), .RESET_B(n25116), .Q(\ifu/ifc_fetch_addr_f [20]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_predpipe_x_ff/genblock.genblock.dff/dout_reg[0] ( .D(i0_predict_btag_d[0]), .CLK(\exu/i_predpipe_x_ff/l1clk ), .RESET_B( n25116), .Q(\exu/predpipe_x [0]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_predpipe_x_ff/genblock.genblock.dff/dout_reg[7] ( .D(i0_predict_index_d[4]), .CLK(\exu/i_predpipe_x_ff/l1clk ), .RESET_B(n25116), .Q(\exu/predpipe_x [7]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_predpipe_r_ff/genblock.genblock.dff/dout_reg[7] ( .D(\exu/predpipe_x [7]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n25116), .Q(exu_i0_br_index_r[4]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f2pcff/genblock.genblock.dff/dout_reg[20] ( .D(\ifu/ifc_fetch_addr_f [21]), .CLK(\ifu/aln/f2pcff/l1clk ), .RESET_B(n25116), .Q(\ifu/aln/f2pc [21]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f1pcff/genblock.genblock.dff/dout_reg[20] ( .D(\ifu/aln/f1pc_in [21]), .CLK(\ifu/aln/f1pcff/l1clk ), .RESET_B( n25116), .Q(\ifu/aln/f1pc [21]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f0pcff/genblock.genblock.dff/dout_reg[20] ( .D(\ifu/aln/f0pc_in [21]), .CLK(\ifu/aln/f0pcff/l1clk ), .RESET_B( n25116), .Q(dec_i0_pc_d[21]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_alu/i_pc_ff/genblock.genblock.dff/dout_reg[20] ( .D(dec_i0_pc_d[21]), .CLK(\exu/i_alu/i_pc_ff/l1clk ), .RESET_B(n25116), .Q(exu_i0_pc_x[21]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0_pc_r_ff/genblock.genblock.dff/dout_reg[20] ( .D(exu_i0_pc_x[21]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n25116), .Q( \dec/dec_tlu_i0_pc_r [21]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wbpcff/genblock.genblock.dff/dout_reg[20] ( .D(\dec/dec_tlu_i0_pc_r [21]), .CLK(\dec/decode/i0wbpcff/l1clk ), .RESET_B(n25116), .Q(\dec/decode/i0_pc_wb [21]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wb1pcff/genblock.genblock.dff/dout_reg[20] ( .D(\dec/decode/i0_pc_wb [21]), .CLK(\dec/decode/i0wb1pcff/l1clk ), .RESET_B(n25116), .Q(trace_rv_i_address_ip[21]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff1/genblock.genblock.dff/dout_reg[14] ( .D(pred_correct_npc_x[21]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n25116), .Q(\exu/pred_correct_npc_r [21]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_flush_path_x_ff/genblock.genblock.dff/dout_reg[20] ( .D(\exu/i0_flush_path_d [21]), .CLK(\exu/i_flush_path_x_ff/l1clk ), .RESET_B(n25116), .Q(\exu/i0_flush_path_x [21]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff1/genblock.genblock.dff/dout_reg[45] ( .D(\exu/i0_flush_path_x [21]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25116), .Q(\exu/i0_flush_path_upper_r [21]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/ifc/faddrf1_ff/genblock.genblock.dff/dout_reg[20] ( .D(\ifu/ifc_fetch_addr_bf [21]), .CLK(\ifu/ifc/faddrf1_ff/l1clk ), .RESET_B(n25116), .Q(\ifu/ifc_fetch_addr_f [21]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_predpipe_x_ff/genblock.genblock.dff/dout_reg[1] ( .D(i0_predict_btag_d[1]), .CLK(\exu/i_predpipe_x_ff/l1clk ), .RESET_B( n25116), .Q(\exu/predpipe_x [1]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_predpipe_x_ff/genblock.genblock.dff/dout_reg[8] ( .D(i0_predict_index_d[5]), .CLK(\exu/i_predpipe_x_ff/l1clk ), .RESET_B(n10880), .Q(\exu/predpipe_x [8]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_predpipe_r_ff/genblock.genblock.dff/dout_reg[8] ( .D(\exu/predpipe_x [8]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n25115), .Q(exu_i0_br_index_r[5]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f2pcff/genblock.genblock.dff/dout_reg[21] ( .D(\ifu/ifc_fetch_addr_f [22]), .CLK(\ifu/aln/f2pcff/l1clk ), .RESET_B(n10880), .Q(\ifu/aln/f2pc [22]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f1pcff/genblock.genblock.dff/dout_reg[21] ( .D(\ifu/aln/f1pc_in [22]), .CLK(\ifu/aln/f1pcff/l1clk ), .RESET_B( n25115), .Q(\ifu/aln/f1pc [22]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f0pcff/genblock.genblock.dff/dout_reg[21] ( .D(\ifu/aln/f0pc_in [22]), .CLK(\ifu/aln/f0pcff/l1clk ), .RESET_B( n10880), .Q(dec_i0_pc_d[22]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_alu/i_pc_ff/genblock.genblock.dff/dout_reg[21] ( .D(dec_i0_pc_d[22]), .CLK(\exu/i_alu/i_pc_ff/l1clk ), .RESET_B(n25115), .Q(exu_i0_pc_x[22]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0_pc_r_ff/genblock.genblock.dff/dout_reg[21] ( .D(exu_i0_pc_x[22]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n10880), .Q( \dec/dec_tlu_i0_pc_r [22]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wbpcff/genblock.genblock.dff/dout_reg[21] ( .D(\dec/dec_tlu_i0_pc_r [22]), .CLK(\dec/decode/i0wbpcff/l1clk ), .RESET_B(n10880), .Q(\dec/decode/i0_pc_wb [22]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wb1pcff/genblock.genblock.dff/dout_reg[21] ( .D(\dec/decode/i0_pc_wb [22]), .CLK(\dec/decode/i0wb1pcff/l1clk ), .RESET_B(n10880), .Q(trace_rv_i_address_ip[22]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff1/genblock.genblock.dff/dout_reg[15] ( .D(pred_correct_npc_x[22]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n10880), .Q(\exu/pred_correct_npc_r [22]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff1/genblock.genblock.dff/dout_reg[46] ( .D(\exu/i0_flush_path_x [22]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n10880), .Q(\exu/i0_flush_path_upper_r [22]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/ifc/faddrf1_ff/genblock.genblock.dff/dout_reg[21] ( .D(\ifu/ifc_fetch_addr_bf [22]), .CLK(\ifu/ifc/faddrf1_ff/l1clk ), .RESET_B(n10880), .Q(\ifu/ifc_fetch_addr_f [22]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_predpipe_x_ff/genblock.genblock.dff/dout_reg[2] ( .D(i0_predict_btag_d[2]), .CLK(\exu/i_predpipe_x_ff/l1clk ), .RESET_B( n25112), .Q(\exu/predpipe_x [2]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_predpipe_x_ff/genblock.genblock.dff/dout_reg[9] ( .D(i0_predict_index_d[6]), .CLK(\exu/i_predpipe_x_ff/l1clk ), .RESET_B(n25116), .Q(\exu/predpipe_x [9]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_predpipe_r_ff/genblock.genblock.dff/dout_reg[9] ( .D(\exu/predpipe_x [9]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n25106), .Q(exu_i0_br_index_r[6]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f2pcff/genblock.genblock.dff/dout_reg[22] ( .D(\ifu/ifc_fetch_addr_f [23]), .CLK(\ifu/aln/f2pcff/l1clk ), .RESET_B(n10876), .Q(\ifu/aln/f2pc [23]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f1pcff/genblock.genblock.dff/dout_reg[22] ( .D(\ifu/aln/f1pc_in [23]), .CLK(\ifu/aln/f1pcff/l1clk ), .RESET_B( n25107), .Q(\ifu/aln/f1pc [23]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f0pcff/genblock.genblock.dff/dout_reg[22] ( .D(\ifu/aln/f0pc_in [23]), .CLK(\ifu/aln/f0pcff/l1clk ), .RESET_B( n10881), .Q(dec_i0_pc_d[23]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_alu/i_pc_ff/genblock.genblock.dff/dout_reg[22] ( .D(dec_i0_pc_d[23]), .CLK(\exu/i_alu/i_pc_ff/l1clk ), .RESET_B(n25105), .Q(exu_i0_pc_x[23]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0_pc_r_ff/genblock.genblock.dff/dout_reg[22] ( .D(exu_i0_pc_x[23]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n10876), .Q( \dec/dec_tlu_i0_pc_r [23]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wbpcff/genblock.genblock.dff/dout_reg[22] ( .D(\dec/dec_tlu_i0_pc_r [23]), .CLK(\dec/decode/i0wbpcff/l1clk ), .RESET_B(n25106), .Q(\dec/decode/i0_pc_wb [23]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wb1pcff/genblock.genblock.dff/dout_reg[22] ( .D(\dec/decode/i0_pc_wb [23]), .CLK(\dec/decode/i0wb1pcff/l1clk ), .RESET_B(n25100), .Q(trace_rv_i_address_ip[23]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff1/genblock.genblock.dff/dout_reg[16] ( .D(pred_correct_npc_x[23]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n25102), .Q(\exu/pred_correct_npc_r [23]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_flush_path_x_ff/genblock.genblock.dff/dout_reg[22] ( .D(\exu/i0_flush_path_d [23]), .CLK(\exu/i_flush_path_x_ff/l1clk ), .RESET_B(n25116), .Q(\exu/i0_flush_path_x [23]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff1/genblock.genblock.dff/dout_reg[47] ( .D(\exu/i0_flush_path_x [23]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25106), .Q(\exu/i0_flush_path_upper_r [23]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/ifc/faddrf1_ff/genblock.genblock.dff/dout_reg[22] ( .D(\ifu/ifc_fetch_addr_bf [23]), .CLK(\ifu/ifc/faddrf1_ff/l1clk ), .RESET_B(n10876), .Q(\ifu/ifc_fetch_addr_f [23]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_predpipe_x_ff/genblock.genblock.dff/dout_reg[10] ( .D(i0_predict_index_d[7]), .CLK(\exu/i_predpipe_x_ff/l1clk ), .RESET_B(n25107), .Q(\exu/predpipe_x [10]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_predpipe_r_ff/genblock.genblock.dff/dout_reg[10] ( .D(\exu/predpipe_x [10]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n10881), .Q(exu_i0_br_index_r[7]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f2pcff/genblock.genblock.dff/dout_reg[23] ( .D(\ifu/ifc_fetch_addr_f [24]), .CLK(\ifu/aln/f2pcff/l1clk ), .RESET_B(n25105), .Q(\ifu/aln/f2pc [24]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f1pcff/genblock.genblock.dff/dout_reg[23] ( .D(\ifu/aln/f1pc_in [24]), .CLK(\ifu/aln/f1pcff/l1clk ), .RESET_B( n10876), .Q(\ifu/aln/f1pc [24]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f0pcff/genblock.genblock.dff/dout_reg[23] ( .D(\ifu/aln/f0pc_in [24]), .CLK(\ifu/aln/f0pcff/l1clk ), .RESET_B( n25106), .Q(dec_i0_pc_d[24]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_alu/i_pc_ff/genblock.genblock.dff/dout_reg[23] ( .D(dec_i0_pc_d[24]), .CLK(\exu/i_alu/i_pc_ff/l1clk ), .RESET_B(n25100), .Q(exu_i0_pc_x[24]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0_pc_r_ff/genblock.genblock.dff/dout_reg[23] ( .D(exu_i0_pc_x[24]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n25102), .Q( \dec/dec_tlu_i0_pc_r [24]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wbpcff/genblock.genblock.dff/dout_reg[23] ( .D(\dec/dec_tlu_i0_pc_r [24]), .CLK(\dec/decode/i0wbpcff/l1clk ), .RESET_B(n25103), .Q(\dec/decode/i0_pc_wb [24]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wb1pcff/genblock.genblock.dff/dout_reg[23] ( .D(\dec/decode/i0_pc_wb [24]), .CLK(\dec/decode/i0wb1pcff/l1clk ), .RESET_B(n10881), .Q(trace_rv_i_address_ip[24]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff1/genblock.genblock.dff/dout_reg[17] ( .D(pred_correct_npc_x[24]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n25107), .Q(\exu/pred_correct_npc_r [24]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_flush_path_x_ff/genblock.genblock.dff/dout_reg[23] ( .D(\exu/i0_flush_path_d [24]), .CLK(\exu/i_flush_path_x_ff/l1clk ), .RESET_B(n10881), .Q(\exu/i0_flush_path_x [24]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff1/genblock.genblock.dff/dout_reg[48] ( .D(\exu/i0_flush_path_x [24]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25105), .Q(\exu/i0_flush_path_upper_r [24]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/ifc/faddrf1_ff/genblock.genblock.dff/dout_reg[23] ( .D(\ifu/ifc_fetch_addr_bf [24]), .CLK(\ifu/ifc/faddrf1_ff/l1clk ), .RESET_B(n10876), .Q(\ifu/ifc_fetch_addr_f [24]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_predpipe_x_ff/genblock.genblock.dff/dout_reg[4] ( .D(i0_predict_btag_d[4]), .CLK(\exu/i_predpipe_x_ff/l1clk ), .RESET_B( n25106), .Q(\exu/predpipe_x [4]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_predpipe_x_ff/genblock.genblock.dff/dout_reg[11] ( .D(i0_predict_index_d[8]), .CLK(\exu/i_predpipe_x_ff/l1clk ), .RESET_B(n25100), .Q(\exu/predpipe_x [11]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_predpipe_r_ff/genblock.genblock.dff/dout_reg[11] ( .D(\exu/predpipe_x [11]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n25102), .Q(exu_i0_br_index_r[8]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f2pcff/genblock.genblock.dff/dout_reg[24] ( .D(\ifu/ifc_fetch_addr_f [25]), .CLK(\ifu/aln/f2pcff/l1clk ), .RESET_B(n25103), .Q(\ifu/aln/f2pc [25]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f1pcff/genblock.genblock.dff/dout_reg[24] ( .D(\ifu/aln/f1pc_in [25]), .CLK(\ifu/aln/f1pcff/l1clk ), .RESET_B( n10881), .Q(\ifu/aln/f1pc [25]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f0pcff/genblock.genblock.dff/dout_reg[24] ( .D(\ifu/aln/f0pc_in [25]), .CLK(\ifu/aln/f0pcff/l1clk ), .RESET_B( n25101), .Q(dec_i0_pc_d[25]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_alu/i_pc_ff/genblock.genblock.dff/dout_reg[24] ( .D(dec_i0_pc_d[25]), .CLK(\exu/i_alu/i_pc_ff/l1clk ), .RESET_B(n25104), .Q(exu_i0_pc_x[25]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0_pc_r_ff/genblock.genblock.dff/dout_reg[24] ( .D(exu_i0_pc_x[25]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n25082), .Q( \dec/dec_tlu_i0_pc_r [25]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wbpcff/genblock.genblock.dff/dout_reg[24] ( .D(\dec/dec_tlu_i0_pc_r [25]), .CLK(\dec/decode/i0wbpcff/l1clk ), .RESET_B(n25116), .Q(\dec/decode/i0_pc_wb [25]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wb1pcff/genblock.genblock.dff/dout_reg[24] ( .D(\dec/decode/i0_pc_wb [25]), .CLK(\dec/decode/i0wb1pcff/l1clk ), .RESET_B(n25116), .Q(trace_rv_i_address_ip[25]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff1/genblock.genblock.dff/dout_reg[18] ( .D(pred_correct_npc_x[25]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n25115), .Q(\exu/pred_correct_npc_r [25]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_flush_path_x_ff/genblock.genblock.dff/dout_reg[24] ( .D(\exu/i0_flush_path_d [25]), .CLK(\exu/i_flush_path_x_ff/l1clk ), .RESET_B(n25106), .Q(\exu/i0_flush_path_x [25]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff1/genblock.genblock.dff/dout_reg[49] ( .D(\exu/i0_flush_path_x [25]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25104), .Q(\exu/i0_flush_path_upper_r [25]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/ifc/faddrf1_ff/genblock.genblock.dff/dout_reg[24] ( .D(\ifu/ifc_fetch_addr_bf [25]), .CLK(\ifu/ifc/faddrf1_ff/l1clk ), .RESET_B(n25116), .Q(\ifu/ifc_fetch_addr_f [25]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_predpipe_x_ff/genblock.genblock.dff/dout_reg[12] ( .D(i0_predict_index_d[9]), .CLK(\exu/i_predpipe_x_ff/l1clk ), .RESET_B(n25082), .Q(\exu/predpipe_x [12]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_predpipe_r_ff/genblock.genblock.dff/dout_reg[12] ( .D(\exu/predpipe_x [12]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n10876), .Q(exu_i0_br_index_r[9]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f2pcff/genblock.genblock.dff/dout_reg[25] ( .D(\ifu/ifc_fetch_addr_f [26]), .CLK(\ifu/aln/f2pcff/l1clk ), .RESET_B(n10881), .Q(\ifu/aln/f2pc [26]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f1pcff/genblock.genblock.dff/dout_reg[25] ( .D(\ifu/aln/f1pc_in [26]), .CLK(\ifu/aln/f1pcff/l1clk ), .RESET_B( n10880), .Q(\ifu/aln/f1pc [26]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f0pcff/genblock.genblock.dff/dout_reg[25] ( .D(\ifu/aln/f0pc_in [26]), .CLK(\ifu/aln/f0pcff/l1clk ), .RESET_B( n25116), .Q(dec_i0_pc_d[26]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_alu/i_pc_ff/genblock.genblock.dff/dout_reg[25] ( .D(dec_i0_pc_d[26]), .CLK(\exu/i_alu/i_pc_ff/l1clk ), .RESET_B(n25116), .Q(exu_i0_pc_x[26]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0_pc_r_ff/genblock.genblock.dff/dout_reg[25] ( .D(exu_i0_pc_x[26]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n25101), .Q( \dec/dec_tlu_i0_pc_r [26]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wbpcff/genblock.genblock.dff/dout_reg[25] ( .D(\dec/dec_tlu_i0_pc_r [26]), .CLK(\dec/decode/i0wbpcff/l1clk ), .RESET_B(n25116), .Q(\dec/decode/i0_pc_wb [26]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wb1pcff/genblock.genblock.dff/dout_reg[25] ( .D(\dec/decode/i0_pc_wb [26]), .CLK(\dec/decode/i0wb1pcff/l1clk ), .RESET_B(n25082), .Q(trace_rv_i_address_ip[26]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff1/genblock.genblock.dff/dout_reg[19] ( .D(pred_correct_npc_x[26]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n25083), .Q(\exu/pred_correct_npc_r [26]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_flush_path_x_ff/genblock.genblock.dff/dout_reg[25] ( .D(\exu/i0_flush_path_d [26]), .CLK(\exu/i_flush_path_x_ff/l1clk ), .RESET_B(n25082), .Q(\exu/i0_flush_path_x [26]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff1/genblock.genblock.dff/dout_reg[50] ( .D(\exu/i0_flush_path_x [26]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25083), .Q(\exu/i0_flush_path_upper_r [26]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f2pcff/genblock.genblock.dff/dout_reg[26] ( .D(\ifu/ifc_fetch_addr_f [27]), .CLK(\ifu/aln/f2pcff/l1clk ), .RESET_B(n25083), .Q(\ifu/aln/f2pc [27]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f1pcff/genblock.genblock.dff/dout_reg[26] ( .D(\ifu/aln/f1pc_in [27]), .CLK(\ifu/aln/f1pcff/l1clk ), .RESET_B( n25082), .Q(\ifu/aln/f1pc [27]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f0pcff/genblock.genblock.dff/dout_reg[26] ( .D(\ifu/aln/f0pc_in [27]), .CLK(\ifu/aln/f0pcff/l1clk ), .RESET_B( n25104), .Q(dec_i0_pc_d[27]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_alu/i_pc_ff/genblock.genblock.dff/dout_reg[26] ( .D(dec_i0_pc_d[27]), .CLK(\exu/i_alu/i_pc_ff/l1clk ), .RESET_B(n25082), .Q(exu_i0_pc_x[27]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0_pc_r_ff/genblock.genblock.dff/dout_reg[26] ( .D(exu_i0_pc_x[27]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n25083), .Q( \dec/dec_tlu_i0_pc_r [27]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wbpcff/genblock.genblock.dff/dout_reg[26] ( .D(\dec/dec_tlu_i0_pc_r [27]), .CLK(\dec/decode/i0wbpcff/l1clk ), .RESET_B(n25112), .Q(\dec/decode/i0_pc_wb [27]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wb1pcff/genblock.genblock.dff/dout_reg[26] ( .D(\dec/decode/i0_pc_wb [27]), .CLK(\dec/decode/i0wb1pcff/l1clk ), .RESET_B(n25082), .Q(trace_rv_i_address_ip[27]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff1/genblock.genblock.dff/dout_reg[20] ( .D(pred_correct_npc_x[27]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n25082), .Q(\exu/pred_correct_npc_r [27]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_flush_path_x_ff/genblock.genblock.dff/dout_reg[26] ( .D(\exu/i0_flush_path_d [27]), .CLK(\exu/i_flush_path_x_ff/l1clk ), .RESET_B(n25082), .Q(\exu/i0_flush_path_x [27]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff1/genblock.genblock.dff/dout_reg[51] ( .D(\exu/i0_flush_path_x [27]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25083), .Q(\exu/i0_flush_path_upper_r [27]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/ifc/faddrf1_ff/genblock.genblock.dff/dout_reg[26] ( .D(\ifu/ifc_fetch_addr_bf [27]), .CLK(\ifu/ifc/faddrf1_ff/l1clk ), .RESET_B(n25107), .Q(\ifu/ifc_fetch_addr_f [27]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f2pcff/genblock.genblock.dff/dout_reg[27] ( .D(\ifu/ifc_fetch_addr_f [28]), .CLK(\ifu/aln/f2pcff/l1clk ), .RESET_B(n25116), .Q(\ifu/aln/f2pc [28]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f1pcff/genblock.genblock.dff/dout_reg[27] ( .D(\ifu/aln/f1pc_in [28]), .CLK(\ifu/aln/f1pcff/l1clk ), .RESET_B( n25082), .Q(\ifu/aln/f1pc [28]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f0pcff/genblock.genblock.dff/dout_reg[27] ( .D(\ifu/aln/f0pc_in [28]), .CLK(\ifu/aln/f0pcff/l1clk ), .RESET_B( n25106), .Q(dec_i0_pc_d[28]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_alu/i_pc_ff/genblock.genblock.dff/dout_reg[27] ( .D(dec_i0_pc_d[28]), .CLK(\exu/i_alu/i_pc_ff/l1clk ), .RESET_B(n25082), .Q(exu_i0_pc_x[28]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0_pc_r_ff/genblock.genblock.dff/dout_reg[27] ( .D(exu_i0_pc_x[28]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n25082), .Q( \dec/dec_tlu_i0_pc_r [28]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wbpcff/genblock.genblock.dff/dout_reg[27] ( .D(\dec/dec_tlu_i0_pc_r [28]), .CLK(\dec/decode/i0wbpcff/l1clk ), .RESET_B(n25082), .Q(\dec/decode/i0_pc_wb [28]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wb1pcff/genblock.genblock.dff/dout_reg[27] ( .D(\dec/decode/i0_pc_wb [28]), .CLK(\dec/decode/i0wb1pcff/l1clk ), .RESET_B(n25083), .Q(trace_rv_i_address_ip[28]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff1/genblock.genblock.dff/dout_reg[21] ( .D(pred_correct_npc_x[28]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n25106), .Q(\exu/pred_correct_npc_r [28]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff1/genblock.genblock.dff/dout_reg[52] ( .D(\exu/i0_flush_path_x [28]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25082), .Q(\exu/i0_flush_path_upper_r [28]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f2pcff/genblock.genblock.dff/dout_reg[28] ( .D(\ifu/ifc_fetch_addr_f [29]), .CLK(\ifu/aln/f2pcff/l1clk ), .RESET_B(n25082), .Q(\ifu/aln/f2pc [29]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f1pcff/genblock.genblock.dff/dout_reg[28] ( .D(\ifu/aln/f1pc_in [29]), .CLK(\ifu/aln/f1pcff/l1clk ), .RESET_B( n25116), .Q(\ifu/aln/f1pc [29]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f0pcff/genblock.genblock.dff/dout_reg[28] ( .D(\ifu/aln/f0pc_in [29]), .CLK(\ifu/aln/f0pcff/l1clk ), .RESET_B( n25082), .Q(dec_i0_pc_d[29]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_alu/i_pc_ff/genblock.genblock.dff/dout_reg[28] ( .D(dec_i0_pc_d[29]), .CLK(\exu/i_alu/i_pc_ff/l1clk ), .RESET_B(n25083), .Q(exu_i0_pc_x[29]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0_pc_r_ff/genblock.genblock.dff/dout_reg[28] ( .D(exu_i0_pc_x[29]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n25106), .Q( \dec/dec_tlu_i0_pc_r [29]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wbpcff/genblock.genblock.dff/dout_reg[28] ( .D(\dec/dec_tlu_i0_pc_r [29]), .CLK(\dec/decode/i0wbpcff/l1clk ), .RESET_B(n25106), .Q(\dec/decode/i0_pc_wb [29]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wb1pcff/genblock.genblock.dff/dout_reg[28] ( .D(\dec/decode/i0_pc_wb [29]), .CLK(\dec/decode/i0wb1pcff/l1clk ), .RESET_B(n25116), .Q(trace_rv_i_address_ip[29]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff1/genblock.genblock.dff/dout_reg[22] ( .D(pred_correct_npc_x[29]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n25082), .Q(\exu/pred_correct_npc_r [29]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_flush_path_x_ff/genblock.genblock.dff/dout_reg[28] ( .D(\exu/i0_flush_path_d [29]), .CLK(\exu/i_flush_path_x_ff/l1clk ), .RESET_B(n25116), .Q(\exu/i0_flush_path_x [29]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff1/genblock.genblock.dff/dout_reg[53] ( .D(\exu/i0_flush_path_x [29]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25082), .Q(\exu/i0_flush_path_upper_r [29]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/ifc/faddrf1_ff/genblock.genblock.dff/dout_reg[28] ( .D(\ifu/ifc_fetch_addr_bf [29]), .CLK(\ifu/ifc/faddrf1_ff/l1clk ), .RESET_B(n25083), .Q(\ifu/ifc_fetch_addr_f [29]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f2pcff/genblock.genblock.dff/dout_reg[29] ( .D(\ifu/ifc_fetch_addr_f [30]), .CLK(\ifu/aln/f2pcff/l1clk ), .RESET_B(n10876), .Q(\ifu/aln/f2pc [30]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f1pcff/genblock.genblock.dff/dout_reg[29] ( .D(\ifu/aln/f1pc_in [30]), .CLK(\ifu/aln/f1pcff/l1clk ), .RESET_B( n25082), .Q(\ifu/aln/f1pc [30]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_alu/i_pc_ff/genblock.genblock.dff/dout_reg[29] ( .D(dec_i0_pc_d[30]), .CLK(\exu/i_alu/i_pc_ff/l1clk ), .RESET_B(n25106), .Q(exu_i0_pc_x[30]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0_pc_r_ff/genblock.genblock.dff/dout_reg[29] ( .D(exu_i0_pc_x[30]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n25116), .Q( \dec/dec_tlu_i0_pc_r [30]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wbpcff/genblock.genblock.dff/dout_reg[29] ( .D(\dec/dec_tlu_i0_pc_r [30]), .CLK(\dec/decode/i0wbpcff/l1clk ), .RESET_B(n25082), .Q(\dec/decode/i0_pc_wb [30]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wb1pcff/genblock.genblock.dff/dout_reg[29] ( .D(\dec/decode/i0_pc_wb [30]), .CLK(\dec/decode/i0wb1pcff/l1clk ), .RESET_B(n25082), .Q(trace_rv_i_address_ip[30]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff1/genblock.genblock.dff/dout_reg[23] ( .D(pred_correct_npc_x[30]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n25083), .Q(\exu/pred_correct_npc_r [30]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_flush_path_x_ff/genblock.genblock.dff/dout_reg[29] ( .D(\exu/i0_flush_path_d [30]), .CLK(\exu/i_flush_path_x_ff/l1clk ), .RESET_B(n25102), .Q(\exu/i0_flush_path_x [30]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff1/genblock.genblock.dff/dout_reg[54] ( .D(\exu/i0_flush_path_x [30]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25106), .Q(\exu/i0_flush_path_upper_r [30]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/ifc/faddrf1_ff/genblock.genblock.dff/dout_reg[29] ( .D(\ifu/ifc_fetch_addr_bf [30]), .CLK(\ifu/ifc/faddrf1_ff/l1clk ), .RESET_B(n25082), .Q(\ifu/ifc_fetch_addr_f [30]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f2pcff/genblock.genblock.dff/dout_reg[30] ( .D(\ifu/ifc_fetch_addr_f [31]), .CLK(\ifu/aln/f2pcff/l1clk ), .RESET_B(n25082), .Q(\ifu/aln/f2pc [31]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f1pcff/genblock.genblock.dff/dout_reg[30] ( .D(\ifu/aln/f1pc_in [31]), .CLK(\ifu/aln/f1pcff/l1clk ), .RESET_B( n25082), .Q(\ifu/aln/f1pc [31]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/aln/f0pcff/genblock.genblock.dff/dout_reg[30] ( .D(\ifu/aln/f0pc_in [31]), .CLK(\ifu/aln/f0pcff/l1clk ), .RESET_B( n25083), .Q(dec_i0_pc_d[31]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_alu/i_pc_ff/genblock.genblock.dff/dout_reg[30] ( .D(dec_i0_pc_d[31]), .CLK(\exu/i_alu/i_pc_ff/l1clk ), .RESET_B(n10881), .Q(exu_i0_pc_x[31]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0_pc_r_ff/genblock.genblock.dff/dout_reg[30] ( .D(exu_i0_pc_x[31]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n25082), .Q( \dec/dec_tlu_i0_pc_r [31]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wbpcff/genblock.genblock.dff/dout_reg[30] ( .D(\dec/dec_tlu_i0_pc_r [31]), .CLK(\dec/decode/i0wbpcff/l1clk ), .RESET_B(n25082), .Q(\dec/decode/i0_pc_wb [31]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff1/genblock.genblock.dff/dout_reg[24] ( .D(pred_correct_npc_x[31]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n25082), .Q(\exu/pred_correct_npc_r [31]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/trap_xff/genblock.genblock.dff/dout_reg[7] ( .D(\dec/decode/d_t[i0trigger][0] ), .CLK(\dec/decode/trap_xff/l1clk ), .RESET_B(n25082), .Q(\dec/decode/x_t[i0trigger][0] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/trap_r_ff/genblock.genblock.dff/dout_reg[7] ( .D(\dec/decode/x_t_in[i0trigger][0] ), .CLK( \dec/decode/trap_r_ff/l1clk ), .RESET_B(n25082), .Q( \dec/decode/r_t[i0trigger][0] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/trap_xff/genblock.genblock.dff/dout_reg[8] ( .D(\dec/decode/d_t[i0trigger][1] ), .CLK(\dec/decode/trap_xff/l1clk ), .RESET_B(n25082), .Q(\dec/decode/x_t[i0trigger][1] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/trap_r_ff/genblock.genblock.dff/dout_reg[8] ( .D(\dec/decode/x_t_in[i0trigger][1] ), .CLK( \dec/decode/trap_r_ff/l1clk ), .RESET_B(n25082), .Q( \dec/decode/r_t[i0trigger][1] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/trap_xff/genblock.genblock.dff/dout_reg[9] ( .D(\dec/decode/d_t[i0trigger][2] ), .CLK(\dec/decode/trap_xff/l1clk ), .RESET_B(n25082), .Q(\dec/decode/x_t[i0trigger][2] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/trap_r_ff/genblock.genblock.dff/dout_reg[9] ( .D(\dec/decode/x_t_in[i0trigger][2] ), .CLK( \dec/decode/trap_r_ff/l1clk ), .RESET_B(n25082), .Q( \dec/decode/r_t[i0trigger][2] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/trap_xff/genblock.genblock.dff/dout_reg[10] ( .D(\dec/decode/d_t[i0trigger][3] ), .CLK(\dec/decode/trap_xff/l1clk ), .RESET_B(n25082), .Q(\dec/decode/x_t[i0trigger][3] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/trap_r_ff/genblock.genblock.dff/dout_reg[10] ( .D(\dec/decode/x_t_in[i0trigger][3] ), .CLK( \dec/decode/trap_r_ff/l1clk ), .RESET_B(n25082), .Q( \dec/decode/r_t[i0trigger][3] ) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_flush_path_x_ff/genblock.genblock.dff/dout_reg[30] ( .D(\exu/i0_flush_path_d [31]), .CLK(\exu/i_flush_path_x_ff/l1clk ), .RESET_B(n25082), .Q(\exu/i0_flush_path_x [31]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff1/genblock.genblock.dff/dout_reg[55] ( .D(\exu/i0_flush_path_x [31]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25082), .Q(\exu/i0_flush_path_upper_r [31]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/trap_xff/genblock.genblock.dff/dout_reg[16] ( .D(\dec/decode/d_t[legal] ), .CLK(\dec/decode/trap_xff/l1clk ), .RESET_B(n25082), .Q(\dec/decode/x_t[legal] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/trap_r_ff/genblock.genblock.dff/dout_reg[16] ( .D(\dec/decode/x_t[legal] ), .CLK(\dec/decode/trap_r_ff/l1clk ), .RESET_B(n25082), .Q(\dec/decode/r_t[legal] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/e1ff/genblock.genblock.dff/dout_reg[15] ( .D(\dec/decode/d_d[i0v] ), .CLK(\dec/decode/e1ff/l1clk ), .RESET_B( n25082), .Q(\dec/decode/x_d[i0v] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/r_d_ff/genblock.genblock.dff/dout_reg[15] ( .D(\dec/decode/x_d_in[i0v] ), .CLK(\exu/i_r_ff0/l1clk ), .RESET_B( n25082), .Q(\dec/decode/r_d[i0v] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/trap_xff/genblock.genblock.dff/dout_reg[14] ( .D(\dec/decode/d_t[icaf_f1] ), .CLK(\dec/decode/trap_xff/l1clk ), .RESET_B(n25082), .Q(\dec/decode/x_t[icaf_f1] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/trap_r_ff/genblock.genblock.dff/dout_reg[14] ( .D(\dec/decode/x_t[icaf_f1] ), .CLK(\dec/decode/trap_r_ff/l1clk ), .RESET_B(n25082), .Q(\dec/decode/r_t[icaf_f1] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/trap_xff/genblock.genblock.dff/dout_reg[15] ( .D(\dec/decode/d_t[icaf] ), .CLK(\dec/decode/trap_xff/l1clk ), .RESET_B(n25082), .Q(\dec/decode/x_t[icaf] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/trap_r_ff/genblock.genblock.dff/dout_reg[15] ( .D(\dec/decode/x_t[icaf] ), .CLK(\dec/decode/trap_r_ff/l1clk ), .RESET_B(n25082), .Q(\dec/decode/r_t[icaf] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/csrmiscff/dout_reg[1] ( .D( \dec/decode/csr_write_d ), .CLK(active_clk), .RESET_B(n25082), .Q( \dec/decode/csr_write_x ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/csrmiscff/dout_reg[2] ( .D( \dec/decode/csr_set_d ), .CLK(active_clk), .RESET_B(n25082), .Q( \dec/decode/csr_set_x ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/csrmiscff/dout_reg[3] ( .D( \dec/decode/csr_clr_d ), .CLK(active_clk), .RESET_B(n25082), .Q( \dec/decode/csr_clr_x ) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_predictpacket_x_ff/genblock.genblock.dff/dout_reg[35] ( .D(\exu/i0_predict_p_d[br_start_error] ), .CLK( \exu/i_predictpacket_x_ff/l1clk ), .RESET_B(n25082), .Q( \exu/i0_predict_p_x[br_start_error] ) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff0/genblock.genblock.dff/dout_reg[42] ( .D(\exu/i0_predict_p_x[br_start_error] ), .CLK(\exu/i_r_ff0/l1clk ), .RESET_B(n25082), .Q(exu_i0_br_start_error_r) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_predictpacket_x_ff/genblock.genblock.dff/dout_reg[36] ( .D(\exu/i0_predict_p_d[br_error] ), .CLK( \exu/i_predictpacket_x_ff/l1clk ), .RESET_B(n25082), .Q( \exu/i0_predict_p_x[br_error] ) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff0/genblock.genblock.dff/dout_reg[43] ( .D(\exu/i0_predict_p_x[br_error] ), .CLK(\exu/i_r_ff0/l1clk ), .RESET_B(n25082), .Q(exu_i0_br_error_r) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0_x_c_ff/genblock.dffs/dout_reg[0] ( .D(\dec/decode/i0_x_c_ff/_0_net_[0] ), .CLK(active_clk), .RESET_B( n25082), .Q(\dec/decode/i0_x_c[alu] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0_r_c_ff/genblock.dffs/dout_reg[0] ( .D(\dec/decode/i0_r_c_ff/_0_net_[0] ), .CLK(active_clk), .RESET_B( n25082), .Q(\dec/decode/i0_r_c[alu] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/e1ff/genblock.genblock.dff/dout_reg[18] ( .D(\dec/decode/d_d[i0load] ), .CLK(\dec/decode/e1ff/l1clk ), .RESET_B( n25082), .Q(\dec/decode/x_d[i0load] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/r_d_ff/genblock.genblock.dff/dout_reg[18] ( .D(\dec/decode/x_d[i0load] ), .CLK(\exu/i_r_ff0/l1clk ), .RESET_B( n25082), .Q(\dec/decode/r_d[i0load] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0_result_r_ff/genblock.genblock.dff/dout_reg[7] ( .D(\dec/decode/i0_result_x [7]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25082), .Q(\dec/decode/i0_result_r [7]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0_result_r_ff/genblock.genblock.dff/dout_reg[20] ( .D(\dec/decode/i0_result_x [20]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25082), .Q(\dec/decode/i0_result_r [20]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/cam_array[0].cam_ff/dout_reg[3] ( .D( \dec/decode/cam_in[0][rd][3] ), .CLK(clk), .RESET_B(n25083), .Q( \dec/decode/cam[0][rd][3] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/cam_array[0].cam_ff/dout_reg[6] ( .D( \dec/decode/cam_in[0][tag][1] ), .CLK(clk), .RESET_B(n25083), .Q( \dec/decode/cam[0][tag][1] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/cam_array[0].cam_ff/dout_reg[0] ( .D( \dec/decode/cam_in[0][rd][0] ), .CLK(clk), .RESET_B(n25083), .Q( \dec/decode/cam[0][rd][0] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/cam_array[0].cam_ff/dout_reg[1] ( .D( \dec/decode/cam_in[0][rd][1] ), .CLK(clk), .RESET_B(n25083), .Q( \dec/decode/cam[0][rd][1] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/cam_array[0].cam_ff/dout_reg[2] ( .D( \dec/decode/cam_in[0][rd][2] ), .CLK(clk), .RESET_B(n25083), .Q( \dec/decode/cam[0][rd][2] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/cam_array[0].cam_ff/dout_reg[4] ( .D( \dec/decode/cam_in[0][rd][4] ), .CLK(clk), .RESET_B(n25083), .Q( \dec/decode/cam[0][rd][4] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/cam_array[0].cam_ff/dout_reg[5] ( .D( \dec/decode/cam_in[0][tag][0] ), .CLK(clk), .RESET_B(n25083), .Q( \dec/decode/cam[0][tag][0] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/cam_array[0].cam_ff/dout_reg[9] ( .D( \dec/decode/cam_in[0][valid] ), .CLK(clk), .RESET_B(n25083), .Q( \dec/decode/cam_raw[0][valid] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/cam_array[1].cam_ff/dout_reg[0] ( .D( \dec/decode/cam_in[1][rd][0] ), .CLK(clk), .RESET_B(n25083), .Q( \dec/decode/cam[1][rd][0] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/cam_array[1].cam_ff/dout_reg[1] ( .D( \dec/decode/cam_in[1][rd][1] ), .CLK(clk), .RESET_B(n25083), .Q( \dec/decode/cam[1][rd][1] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/cam_array[1].cam_ff/dout_reg[2] ( .D( \dec/decode/cam_in[1][rd][2] ), .CLK(clk), .RESET_B(n25083), .Q( \dec/decode/cam[1][rd][2] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/cam_array[1].cam_ff/dout_reg[3] ( .D( \dec/decode/cam_in[1][rd][3] ), .CLK(clk), .RESET_B(n25083), .Q( \dec/decode/cam[1][rd][3] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/cam_array[1].cam_ff/dout_reg[5] ( .D( \dec/decode/cam_in[1][tag][0] ), .CLK(clk), .RESET_B(n25105), .Q( \dec/decode/cam[1][tag][0] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/cam_array[1].cam_ff/dout_reg[6] ( .D( \dec/decode/cam_in[1][tag][1] ), .CLK(clk), .RESET_B(n25100), .Q( \dec/decode/cam[1][tag][1] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/cam_array[2].cam_ff/dout_reg[0] ( .D( \dec/decode/cam_in[2][rd][0] ), .CLK(clk), .RESET_B(n25101), .Q( \dec/decode/cam[2][rd][0] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/cam_array[2].cam_ff/dout_reg[1] ( .D( \dec/decode/cam_in[2][rd][1] ), .CLK(clk), .RESET_B(n25104), .Q( \dec/decode/cam[2][rd][1] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/cam_array[2].cam_ff/dout_reg[2] ( .D( \dec/decode/cam_in[2][rd][2] ), .CLK(clk), .RESET_B(n25103), .Q( \dec/decode/cam[2][rd][2] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/cam_array[2].cam_ff/dout_reg[3] ( .D( \dec/decode/cam_in[2][rd][3] ), .CLK(clk), .RESET_B(n10881), .Q( \dec/decode/cam[2][rd][3] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/cam_array[2].cam_ff/dout_reg[4] ( .D( \dec/decode/cam_in[2][rd][4] ), .CLK(clk), .RESET_B(n25082), .Q( \dec/decode/cam[2][rd][4] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/cam_array[2].cam_ff/dout_reg[5] ( .D( \dec/decode/cam_in[2][tag][0] ), .CLK(clk), .RESET_B(n25112), .Q( \dec/decode/cam[2][tag][0] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/cam_array[2].cam_ff/dout_reg[6] ( .D( \dec/decode/cam_in[2][tag][1] ), .CLK(clk), .RESET_B(n25107), .Q( \dec/decode/cam[2][tag][1] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/cam_array[3].cam_ff/dout_reg[0] ( .D( \dec/decode/cam_in[3][rd][0] ), .CLK(clk), .RESET_B(n25106), .Q( \dec/decode/cam[3][rd][0] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/cam_array[3].cam_ff/dout_reg[1] ( .D( \dec/decode/cam_in[3][rd][1] ), .CLK(clk), .RESET_B(n25106), .Q( \dec/decode/cam[3][rd][1] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/cam_array[3].cam_ff/dout_reg[2] ( .D( \dec/decode/cam_in[3][rd][2] ), .CLK(clk), .RESET_B(n10876), .Q( \dec/decode/cam[3][rd][2] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/cam_array[3].cam_ff/dout_reg[3] ( .D( \dec/decode/cam_in[3][rd][3] ), .CLK(clk), .RESET_B(n25106), .Q( \dec/decode/cam[3][rd][3] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/cam_array[3].cam_ff/dout_reg[4] ( .D( \dec/decode/cam_in[3][rd][4] ), .CLK(clk), .RESET_B(n25100), .Q( \dec/decode/cam[3][rd][4] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/cam_array[3].cam_ff/dout_reg[6] ( .D( \dec/decode/cam_in[3][tag][1] ), .CLK(clk), .RESET_B(n25102), .Q( \dec/decode/cam[3][tag][1] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/cam_array[3].cam_ff/dout_reg[8] ( .D( \dec/decode/cam_in[3][wb] ), .CLK(clk), .RESET_B(n25103), .Q( \dec/decode/cam[3][wb] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/cam_array[3].cam_ff/dout_reg[9] ( .D( \dec/decode/cam_in[3][valid] ), .CLK(clk), .RESET_B(n10881), .Q( \dec/decode/cam_raw[3][valid] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/cam_array[2].cam_ff/dout_reg[8] ( .D( \dec/decode/cam_in[2][wb] ), .CLK(clk), .RESET_B(n25101), .Q( \dec/decode/cam[2][wb] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/cam_array[2].cam_ff/dout_reg[9] ( .D( \dec/decode/cam_in[2][valid] ), .CLK(clk), .RESET_B(n25104), .Q( \dec/decode/cam_raw[2][valid] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/cam_array[1].cam_ff/dout_reg[8] ( .D( \dec/decode/cam_in[1][wb] ), .CLK(clk), .RESET_B(n25082), .Q( \dec/decode/cam[1][wb] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/cam_array[1].cam_ff/dout_reg[9] ( .D( \dec/decode/cam_in[1][valid] ), .CLK(clk), .RESET_B(n25116), .Q( \dec/decode/cam_raw[1][valid] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/cam_array[0].cam_ff/dout_reg[8] ( .D( \dec/decode/cam_in[0][wb] ), .CLK(clk), .RESET_B(n25106), .Q( \dec/decode/cam[0][wb] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0_x_c_ff/genblock.dffs/dout_reg[1] ( .D(\dec/decode/i0_x_c_ff/_0_net_[1] ), .CLK(active_clk), .RESET_B( n25116), .Q(\dec/decode/i0_x_c[load] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0_r_c_ff/genblock.dffs/dout_reg[1] ( .D(\dec/decode/i0_r_c_ff/_0_net_[1] ), .CLK(active_clk), .RESET_B( n25116), .Q(\dec/decode/i0_r_c[load] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/e1ff/genblock.genblock.dff/dout_reg[16] ( .D(\exu/i_div/_0_net_ ), .CLK(\dec/decode/e1ff/l1clk ), .RESET_B( n25116), .Q(\dec/decode/x_d[i0div] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/r_d_ff/genblock.genblock.dff/dout_reg[16] ( .D(\dec/decode/x_d[i0div] ), .CLK(\exu/i_r_ff0/l1clk ), .RESET_B( n25116), .Q(\dec/decode/r_d[i0div] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/divff/genblock.dffs/dout_reg[0] ( .D( \dec/decode/divff/_0_net_[0] ), .CLK(clk), .RESET_B(n25116), .Q( \dec/div_waddr_wb [0]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/divff/genblock.dffs/dout_reg[1] ( .D( \dec/decode/divff/_0_net_[1] ), .CLK(clk), .RESET_B(n25107), .Q( \dec/div_waddr_wb [1]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/divff/genblock.dffs/dout_reg[2] ( .D( \dec/decode/divff/_0_net_[2] ), .CLK(clk), .RESET_B(n25101), .Q( \dec/div_waddr_wb [2]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/divff/genblock.dffs/dout_reg[3] ( .D( \dec/decode/divff/_0_net_[3] ), .CLK(clk), .RESET_B(n25116), .Q( \dec/div_waddr_wb [3]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/divff/genblock.dffs/dout_reg[4] ( .D( \dec/decode/divff/_0_net_[4] ), .CLK(clk), .RESET_B(n10881), .Q( \dec/div_waddr_wb [4]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/runff/dout_reg[0] ( .D( \exu/i_div/run_in ), .CLK(\exu/i_div/exu_div_clk ), .RESET_B(n25116), .Q(\exu/i_div/run_state ) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/countff/dout_reg[0] ( .D( \exu/i_div/count_in [0]), .CLK(\exu/i_div/exu_div_clk ), .RESET_B( n25101), .Q(\exu/i_div/count [0]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/countff/dout_reg[1] ( .D( \exu/i_div/count_in [1]), .CLK(\exu/i_div/exu_div_clk ), .RESET_B( n25116), .Q(\exu/i_div/count [1]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/countff/dout_reg[2] ( .D( \exu/i_div/count_in [2]), .CLK(\exu/i_div/exu_div_clk ), .RESET_B( n25106), .Q(\exu/i_div/count [2]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/countff/dout_reg[3] ( .D( \exu/i_div/count_in [3]), .CLK(\exu/i_div/exu_div_clk ), .RESET_B( n25105), .Q(\exu/i_div/count [3]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/countff/dout_reg[4] ( .D( \exu/i_div/count_in [4]), .CLK(\exu/i_div/exu_div_clk ), .RESET_B( n10876), .Q(\exu/i_div/count [4]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/countff/dout_reg[5] ( .D( \exu/i_div/count_in [5]), .CLK(\exu/i_div/exu_div_clk ), .RESET_B( n25116), .Q(\exu/i_div/count [5]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/aff/genblock.genblock.dff/dout_reg[32] ( .D(\exu/i_div/a_in [32]), .CLK(\exu/i_div/aff/l1clk ), .RESET_B(n25116), .Q(\exu/i_div/a_ff [32]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/aff/genblock.genblock.dff/dout_reg[0] ( .D(\exu/i_div/a_in [0]), .CLK(\exu/i_div/aff/l1clk ), .RESET_B(n25082), .Q(\exu/i_div/a_ff_comp[0] ) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/aff/genblock.genblock.dff/dout_reg[1] ( .D(\exu/i_div/a_in [1]), .CLK(\exu/i_div/aff/l1clk ), .RESET_B(n25107), .Q(\exu/i_div/a_ff [1]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/aff/genblock.genblock.dff/dout_reg[2] ( .D(\exu/i_div/a_in [2]), .CLK(\exu/i_div/aff/l1clk ), .RESET_B(n10876), .Q(\exu/i_div/a_ff [2]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/aff/genblock.genblock.dff/dout_reg[3] ( .D(\exu/i_div/a_in [3]), .CLK(\exu/i_div/aff/l1clk ), .RESET_B(n25116), .Q(\exu/i_div/a_ff [3]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/aff/genblock.genblock.dff/dout_reg[5] ( .D(\exu/i_div/a_in [5]), .CLK(\exu/i_div/aff/l1clk ), .RESET_B(n25112), .Q(\exu/i_div/a_ff [5]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/aff/genblock.genblock.dff/dout_reg[6] ( .D(\exu/i_div/a_in [6]), .CLK(\exu/i_div/aff/l1clk ), .RESET_B(n25116), .Q(\exu/i_div/a_ff [6]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/aff/genblock.genblock.dff/dout_reg[7] ( .D(\exu/i_div/a_in [7]), .CLK(\exu/i_div/aff/l1clk ), .RESET_B(n25116), .Q(\exu/i_div/a_ff [7]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/aff/genblock.genblock.dff/dout_reg[8] ( .D(\exu/i_div/a_in [8]), .CLK(\exu/i_div/aff/l1clk ), .RESET_B(n10881), .Q(\exu/i_div/a_ff [8]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/aff/genblock.genblock.dff/dout_reg[9] ( .D(\exu/i_div/a_in [9]), .CLK(\exu/i_div/aff/l1clk ), .RESET_B(n25105), .Q(\exu/i_div/a_ff [9]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/aff/genblock.genblock.dff/dout_reg[10] ( .D(\exu/i_div/a_in [10]), .CLK(\exu/i_div/aff/l1clk ), .RESET_B(n25116), .Q(\exu/i_div/a_ff [10]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/aff/genblock.genblock.dff/dout_reg[11] ( .D(\exu/i_div/a_in [11]), .CLK(\exu/i_div/aff/l1clk ), .RESET_B(n25106), .Q(\exu/i_div/a_ff [11]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/aff/genblock.genblock.dff/dout_reg[12] ( .D(\exu/i_div/a_in [12]), .CLK(\exu/i_div/aff/l1clk ), .RESET_B(n10876), .Q(\exu/i_div/a_ff [12]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/aff/genblock.genblock.dff/dout_reg[13] ( .D(\exu/i_div/a_in [13]), .CLK(\exu/i_div/aff/l1clk ), .RESET_B(n25106), .Q(\exu/i_div/a_ff [13]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/aff/genblock.genblock.dff/dout_reg[14] ( .D(\exu/i_div/a_in [14]), .CLK(\exu/i_div/aff/l1clk ), .RESET_B(n10876), .Q(\exu/i_div/a_ff [14]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/aff/genblock.genblock.dff/dout_reg[15] ( .D(\exu/i_div/a_in [15]), .CLK(\exu/i_div/aff/l1clk ), .RESET_B(n25100), .Q(\exu/i_div/a_ff [15]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/aff/genblock.genblock.dff/dout_reg[16] ( .D(\exu/i_div/a_in [16]), .CLK(\exu/i_div/aff/l1clk ), .RESET_B(n25116), .Q(\exu/i_div/a_ff [16]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/aff/genblock.genblock.dff/dout_reg[17] ( .D(\exu/i_div/a_in [17]), .CLK(\exu/i_div/aff/l1clk ), .RESET_B(n25106), .Q(\exu/i_div/a_ff [17]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/aff/genblock.genblock.dff/dout_reg[18] ( .D(\exu/i_div/a_in [18]), .CLK(\exu/i_div/aff/l1clk ), .RESET_B(n25116), .Q(\exu/i_div/a_ff [18]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/aff/genblock.genblock.dff/dout_reg[20] ( .D(\exu/i_div/a_in [20]), .CLK(\exu/i_div/aff/l1clk ), .RESET_B(n25116), .Q(\exu/i_div/a_ff [20]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/aff/genblock.genblock.dff/dout_reg[21] ( .D(\exu/i_div/a_in [21]), .CLK(\exu/i_div/aff/l1clk ), .RESET_B(n25103), .Q(\exu/i_div/a_ff [21]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/aff/genblock.genblock.dff/dout_reg[22] ( .D(\exu/i_div/a_in [22]), .CLK(\exu/i_div/aff/l1clk ), .RESET_B(n25114), .Q(\exu/i_div/a_ff [22]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/aff/genblock.genblock.dff/dout_reg[23] ( .D(\exu/i_div/a_in [23]), .CLK(\exu/i_div/aff/l1clk ), .RESET_B(n25097), .Q(\exu/i_div/a_ff [23]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/aff/genblock.genblock.dff/dout_reg[24] ( .D(\exu/i_div/a_in [24]), .CLK(\exu/i_div/aff/l1clk ), .RESET_B(n25097), .Q(\exu/i_div/a_ff [24]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/aff/genblock.genblock.dff/dout_reg[25] ( .D(\exu/i_div/a_in [25]), .CLK(\exu/i_div/aff/l1clk ), .RESET_B(n17264), .Q(\exu/i_div/a_ff [25]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/aff/genblock.genblock.dff/dout_reg[26] ( .D(\exu/i_div/a_in [26]), .CLK(\exu/i_div/aff/l1clk ), .RESET_B(n25098), .Q(\exu/i_div/a_ff [26]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/aff/genblock.genblock.dff/dout_reg[27] ( .D(\exu/i_div/a_in [27]), .CLK(\exu/i_div/aff/l1clk ), .RESET_B(n17264), .Q(\exu/i_div/a_ff [27]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/aff/genblock.genblock.dff/dout_reg[28] ( .D(\exu/i_div/a_in [28]), .CLK(\exu/i_div/aff/l1clk ), .RESET_B(n17264), .Q(\exu/i_div/a_ff [28]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/aff/genblock.genblock.dff/dout_reg[29] ( .D(\exu/i_div/a_in [29]), .CLK(\exu/i_div/aff/l1clk ), .RESET_B(n10965), .Q(\exu/i_div/a_ff [29]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/aff/genblock.genblock.dff/dout_reg[30] ( .D(\exu/i_div/a_in [30]), .CLK(\exu/i_div/aff/l1clk ), .RESET_B(n10965), .Q(\exu/i_div/a_ff [30]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/aff/genblock.genblock.dff/dout_reg[31] ( .D(\exu/i_div/a_in [31]), .CLK(\exu/i_div/aff/l1clk ), .RESET_B(n10965), .Q(\exu/i_div/a_ff [31]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/i_finish_ff/dout_reg[0] ( .D( \exu/i_div/_1_net_ ), .CLK(\exu/i_div/exu_div_clk ), .RESET_B(n25114), .Q(\exu/i_div/finish_ff ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/divactiveff/dout_reg[0] ( .D( \dec/decode/div_active_in ), .CLK(clk), .RESET_B(n25116), .Q( \dec/dec_div_active ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dma_mem_tag_mff/dout_reg[0] ( .D( dma_mem_tag[0]), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n17264), .Q( dccm_dma_rtag[0]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dma_mem_tag_mff/dout_reg[1] ( .D( dma_mem_tag[1]), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n17264), .Q( dccm_dma_rtag[1]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dma_mem_tag_mff/dout_reg[2] ( .D( dma_mem_tag[2]), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n17264), .Q( dccm_dma_rtag[2]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/bus_intf/ldst_dual_mff/dout_reg[0] ( .D( \lsu/bus_intf/ldst_dual_d ), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n17264), .Q(\lsu/bus_intf/ldst_dual_m ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/ldst_dual_mff/dout_reg[0] ( .D( \lsu/bus_intf/ldst_dual_d ), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n17264), .Q(\lsu/stbuf/ldst_dual_m ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/fir_nondccm_access_error_mff/dout_reg[0] ( .D(\lsu/lsu_lsc_ctl/fir_nondccm_access_error_d ), .CLK( \lsu/lsu_c1_m_clk ), .RESET_B(n17264), .Q( \lsu/lsu_lsc_ctl/fir_nondccm_access_error_m ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/fir_dccm_access_error_mff/dout_reg[0] ( .D(\lsu/lsu_lsc_ctl/fir_dccm_access_error_d ), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n17264), .Q(\lsu/lsu_lsc_ctl/fir_dccm_access_error_m ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/addr_external_mff/dout_reg[0] ( .D(\lsu/lsu_lsc_ctl/addr_external_d ), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n17264), .Q(\lsu/addr_external_m ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/end_addr_mff/dout_reg[0] ( .D( dccm_rd_addr_hi[0]), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n25097), .Q( \lsu/lsu_lsc_ctl/n109 ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/end_addr_mff/dout_reg[1] ( .D( dccm_rd_addr_hi[1]), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n25098), .Q( \lsu/lsu_lsc_ctl/n108 ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/end_addr_mff/dout_reg[16] ( .D( \lsu/lsu_lsc_ctl/n107 ), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n17264), .Q(\lsu/end_addr_m [16]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/end_addr_mff/dout_reg[17] ( .D( \lsu/lsu_lsc_ctl/n106 ), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n17264), .Q(\lsu/end_addr_m [17]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/end_addr_mff/dout_reg[18] ( .D( \lsu/lsu_lsc_ctl/n105 ), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n17264), .Q(\lsu/end_addr_m [18]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/end_addr_mff/dout_reg[19] ( .D( \lsu/lsu_lsc_ctl/n104 ), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n17264), .Q(\lsu/end_addr_m [19]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/end_addr_mff/dout_reg[21] ( .D( \lsu/lsu_lsc_ctl/n102 ), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n17264), .Q(\lsu/end_addr_m [21]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/end_addr_mff/dout_reg[22] ( .D( \lsu/lsu_lsc_ctl/n101 ), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n10965), .Q(\lsu/end_addr_m [22]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/end_addr_mff/dout_reg[23] ( .D( \lsu/lsu_lsc_ctl/n100 ), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n10965), .Q(\lsu/end_addr_m [23]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/end_addr_mff/dout_reg[24] ( .D( \lsu/lsu_lsc_ctl/n99 ), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n10880), .Q(\lsu/end_addr_m [24]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/end_addr_mff/dout_reg[25] ( .D( \lsu/lsu_lsc_ctl/n98 ), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n10880), .Q(\lsu/end_addr_m [25]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/end_addr_mff/dout_reg[26] ( .D( \lsu/lsu_lsc_ctl/n97 ), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n10880), .Q(\lsu/end_addr_m [26]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/end_addr_mff/dout_reg[27] ( .D( \lsu/lsu_lsc_ctl/n96 ), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n10880), .Q(\lsu/end_addr_m [27]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/end_addr_mff/dout_reg[28] ( .D( \lsu/lsu_lsc_ctl/n95 ), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n10880), .Q(\lsu/end_addr_m [28]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/end_addr_mff/dout_reg[29] ( .D( \lsu/lsu_lsc_ctl/n94 ), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n10880), .Q(\lsu/end_addr_m [29]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/end_addr_mff/dout_reg[30] ( .D( \lsu/lsu_lsc_ctl/n93 ), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n25082), .Q(\lsu/end_addr_m [30]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/samff/dout_reg[18] ( .D( \lsu/lsu_lsc_ctl/n89 ), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n10880), .Q(\lsu/lsu_addr_m [18]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/samff/dout_reg[20] ( .D( \lsu/lsu_lsc_ctl/n87 ), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n10880), .Q(\lsu/lsu_addr_m [20]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/samff/dout_reg[23] ( .D( \lsu/lsu_lsc_ctl/n84 ), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n10880), .Q(\lsu/lsu_addr_m [23]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/samff/dout_reg[31] ( .D( \lsu/lsu_lsc_ctl/n76 ), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n25082), .Q(\lsu/lsu_addr_m [31]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/lsu_pkt_mff/dout_reg[4] ( .D( \lsu/lsu_lsc_ctl/n113 ), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n25082), .Q(\lsu/lsu_lsc_ctl/n118 ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/lsu_pkt_mff/dout_reg[5] ( .D( \lsu/lsu_pkt_d[store] ), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n25082), .Q(\lsu/lsu_pkt_m[store] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/lsu_pkt_mff/dout_reg[6] ( .D( \lsu/lsu_pkt_d[load] ), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n25082), .Q(\lsu/lsu_pkt_m[load] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/lsu_pkt_mff/dout_reg[7] ( .D( \lsu/lsu_pkt_d[dword] ), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n25082), .Q(\lsu/lsu_lsc_ctl/n117 ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/lsu_pkt_mff/dout_reg[8] ( .D( \lsu/lsu_pkt_d[word] ), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n25082), .Q(\lsu/lsu_pkt_m[word] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/lsu_pkt_mff/dout_reg[9] ( .D( \lsu/lsu_lsc_ctl/n112 ), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n25082), .Q(\lsu/lsu_pkt_m[half] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/lsu_pkt_mff/dout_reg[10] ( .D( \lsu/lsu_lsc_ctl/n111 ), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n25082), .Q(\lsu/lsu_pkt_m[by] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0_result_r_ff/genblock.genblock.dff/dout_reg[15] ( .D(\dec/decode/i0_result_x [15]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25082), .Q(\dec/decode/i0_result_r [15]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/sdmff/dout_reg[15] ( .D( \lsu/lsu_lsc_ctl/store_data_m_in [15]), .CLK(\lsu/lsu_store_c1_m_clk ), .RESET_B(n25082), .Q(\lsu/lsu_lsc_ctl/store_data_pre_m [15]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/mff/genblock.genblock.dff/dout_reg[15] ( .D(\exu/muldiv_rs2_d [15]), .CLK(\exu/i_div/mff/l1clk ), .RESET_B( n25097), .Q(\exu/i_div/m_ff [15]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/lsu_pkt_mff/dout_reg[11] ( .D( dec_extint_stall), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n25097), .Q( \lsu/lsu_pkt_m[fast_int] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/lsu_pkt_vldmff/dout_reg[0] ( .D( \lsu/lsu_lsc_ctl/lsu_pkt_m_in[valid] ), .CLK(\lsu/lsu_c2_m_clk ), .RESET_B(n25097), .Q(\lsu/lsu_pkt_m[valid] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/lsu_pmu_misaligned_m_rff/dout_reg[0] ( .D(lsu_pmu_misaligned_m), .CLK(clk), .RESET_B(n25097), .Q( \dec/decode/lsu_pmu_misaligned_r ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/bus_intf/ldst_dual_rff/dout_reg[0] ( .D( \lsu/bus_intf/ldst_dual_m ), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25097), .Q(\lsu/bus_intf/ldst_dual_r ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/ldst_dual_rff/dout_reg[0] ( .D( \lsu/stbuf/ldst_dual_m ), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25097), .Q(\lsu/stbuf/ldst_dual_r ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/addr_external_rff/dout_reg[0] ( .D(\lsu/addr_external_m ), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25097), .Q(\lsu/lsu_lsc_ctl/addr_external_r ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/addr_in_dccm_rff/dout_reg[0] ( .D(\lsu/addr_in_dccm_m ), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25097), .Q(\lsu/addr_in_dccm_r ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/bus_read_data_r_ff/dout_reg[7] ( .D(\lsu/bus_read_data_m [7]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B( n25097), .Q(\lsu/lsu_lsc_ctl/bus_read_data_r [7]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/bus_read_data_r_ff/dout_reg[15] ( .D(\lsu/bus_read_data_m [15]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B( n25097), .Q(\lsu/lsu_lsc_ctl/bus_read_data_r [15]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/bus_read_data_r_ff/dout_reg[23] ( .D(\lsu/bus_read_data_m [23]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B( n25097), .Q(\lsu/lsu_lsc_ctl/bus_read_data_r [23]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/end_addr_rff/dout_reg[0] ( .D( \lsu/lsu_lsc_ctl/n109 ), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25098), .Q(\lsu/end_addr_r [0]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/end_addr_rff/dout_reg[1] ( .D( \lsu/lsu_lsc_ctl/n108 ), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25098), .Q(\lsu/end_addr_r [1]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/end_addr_rff/dout_reg[2] ( .D( \lsu/end_addr_m [2]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25098), .Q( \lsu/end_addr_r [2]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/end_addr_rff/dout_reg[4] ( .D( \lsu/end_addr_m [4]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25098), .Q( \lsu/end_addr_r [4]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/end_addr_rff/dout_reg[5] ( .D( \lsu/end_addr_m [5]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25098), .Q( \lsu/end_addr_r [5]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/end_addr_rff/dout_reg[7] ( .D( \lsu/end_addr_m [7]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25098), .Q( \lsu/end_addr_r [7]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/end_addr_rff/dout_reg[8] ( .D( \lsu/end_addr_m [8]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25098), .Q( \lsu/end_addr_r [8]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/end_addr_rff/dout_reg[9] ( .D( \lsu/end_addr_m [9]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25098), .Q( \lsu/end_addr_r [9]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/end_addr_rff/dout_reg[10] ( .D( \lsu/end_addr_m [10]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25098), .Q( \lsu/end_addr_r [10]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/end_addr_rff/dout_reg[11] ( .D( \lsu/end_addr_m [11]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25098), .Q( \lsu/end_addr_r [11]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/end_addr_rff/dout_reg[12] ( .D( \lsu/end_addr_m [12]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25099), .Q( \lsu/end_addr_r [12]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/end_addr_rff/dout_reg[13] ( .D( \lsu/end_addr_m [13]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25099), .Q( \lsu/end_addr_r [13]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/end_addr_rff/dout_reg[14] ( .D( \lsu/end_addr_m [14]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25099), .Q( \lsu/end_addr_r [14]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/end_addr_rff/dout_reg[15] ( .D( \lsu/end_addr_m [15]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25099), .Q( \lsu/end_addr_r [15]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/end_addr_rff/dout_reg[16] ( .D( \lsu/end_addr_m [16]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25099), .Q( \lsu/end_addr_r [16]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/end_addr_rff/dout_reg[17] ( .D( \lsu/end_addr_m [17]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25099), .Q( \lsu/end_addr_r [17]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/end_addr_rff/dout_reg[18] ( .D( \lsu/end_addr_m [18]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25099), .Q( \lsu/end_addr_r [18]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/end_addr_rff/dout_reg[19] ( .D( \lsu/end_addr_m [19]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25099), .Q( \lsu/end_addr_r [19]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/end_addr_rff/dout_reg[20] ( .D( \lsu/end_addr_m [20]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25099), .Q( \lsu/end_addr_r [20]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/end_addr_rff/dout_reg[21] ( .D( \lsu/end_addr_m [21]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25099), .Q( \lsu/end_addr_r [21]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/end_addr_rff/dout_reg[22] ( .D( \lsu/end_addr_m [22]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25099), .Q( \lsu/end_addr_r [22]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/end_addr_rff/dout_reg[23] ( .D( \lsu/end_addr_m [23]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25099), .Q( \lsu/end_addr_r [23]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/end_addr_rff/dout_reg[24] ( .D( \lsu/end_addr_m [24]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n10880), .Q( \lsu/end_addr_r [24]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/end_addr_rff/dout_reg[25] ( .D( \lsu/end_addr_m [25]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n10880), .Q( \lsu/end_addr_r [25]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/end_addr_rff/dout_reg[26] ( .D( \lsu/end_addr_m [26]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25097), .Q( \lsu/end_addr_r [26]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/end_addr_rff/dout_reg[27] ( .D( \lsu/end_addr_m [27]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25098), .Q( \lsu/end_addr_r [27]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/end_addr_rff/dout_reg[28] ( .D( \lsu/end_addr_m [28]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25099), .Q( \lsu/end_addr_r [28]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/end_addr_rff/dout_reg[29] ( .D( \lsu/end_addr_m [29]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25114), .Q( \lsu/end_addr_r [29]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/end_addr_rff/dout_reg[30] ( .D( \lsu/end_addr_m [30]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25113), .Q( \lsu/end_addr_r [30]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/end_addr_rff/dout_reg[31] ( .D( \lsu/end_addr_m [31]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25113), .Q( \lsu/end_addr_r [31]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/sarff/dout_reg[0] ( .D( \lsu/lsu_addr_m [0]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25113), .Q( \lsu/lsu_addr_r [0]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/sarff/dout_reg[2] ( .D( \lsu/lsu_addr_m [2]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25113), .Q( \lsu/lsu_addr_r [2]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/sarff/dout_reg[3] ( .D( \lsu/lsu_addr_m [3]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25113), .Q( \lsu/lsu_addr_r [3]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/sarff/dout_reg[4] ( .D( \lsu/lsu_addr_m [4]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25113), .Q( \lsu/lsu_addr_r [4]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/sarff/dout_reg[5] ( .D( \lsu/lsu_addr_m [5]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25113), .Q( \lsu/lsu_addr_r [5]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/sarff/dout_reg[6] ( .D( \lsu/lsu_addr_m [6]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25113), .Q( \lsu/lsu_addr_r [6]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/sarff/dout_reg[7] ( .D( \lsu/lsu_addr_m [7]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25113), .Q( \lsu/lsu_addr_r [7]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/sarff/dout_reg[8] ( .D( \lsu/lsu_addr_m [8]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25113), .Q( \lsu/lsu_addr_r [8]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/sarff/dout_reg[9] ( .D( \lsu/lsu_addr_m [9]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25114), .Q( \lsu/lsu_addr_r [9]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/sarff/dout_reg[10] ( .D( \lsu/lsu_addr_m [10]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25113), .Q( \lsu/lsu_addr_r [10]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/sarff/dout_reg[11] ( .D( \lsu/lsu_addr_m [11]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25113), .Q( \lsu/lsu_addr_r [11]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/sarff/dout_reg[14] ( .D( \lsu/lsu_addr_m [14]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25113), .Q( \lsu/lsu_addr_r [14]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/sarff/dout_reg[15] ( .D( \lsu/lsu_addr_m [15]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25113), .Q( \lsu/lsu_addr_r [15]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/sarff/dout_reg[18] ( .D( \lsu/lsu_addr_m [18]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25113), .Q( \lsu/lsu_addr_r [18]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/sarff/dout_reg[20] ( .D( \lsu/lsu_addr_m [20]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25113), .Q( \lsu/lsu_addr_r [20]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/sarff/dout_reg[23] ( .D( \lsu/lsu_addr_m [23]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25113), .Q( \lsu/lsu_addr_r [23]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/sarff/dout_reg[31] ( .D( \lsu/lsu_addr_m [31]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25113), .Q( \lsu/lsu_addr_r [31]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/bus_intf/lsu_byten_rff/dout_reg[0] ( .D( \lsu/bus_intf/ldst_byteen_m [0]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B( n25113), .Q(\lsu/bus_intf/ldst_byteen_r [0]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/bus_intf/lsu_byten_rff/dout_reg[1] ( .D( \lsu/bus_intf/ldst_byteen_m [1]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B( n25114), .Q(\lsu/bus_intf/ldst_byteen_r [1]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/lsu_pkt_rff/dout_reg[3] ( .D( \lsu/lsu_pkt_m[dma] ), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25113), .Q( \lsu/lsu_pkt_r[dma] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/lsu_pkt_rff/dout_reg[4] ( .D( \lsu/lsu_lsc_ctl/n118 ), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25113), .Q(\lsu/lsu_pkt_r[unsign] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/lsu_pkt_rff/dout_reg[5] ( .D( \lsu/lsu_pkt_m[store] ), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25113), .Q(\lsu/lsu_pkt_r[store] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/lsu_pkt_rff/dout_reg[6] ( .D( \lsu/lsu_pkt_m[load] ), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25113), .Q(\lsu/lsu_pkt_r[load] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/lsu_pkt_rff/dout_reg[7] ( .D( \lsu/lsu_lsc_ctl/n117 ), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25113), .Q(\lsu/stbuf/store_byteen_ext_r[7] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/lsu_pkt_rff/dout_reg[8] ( .D( \lsu/lsu_pkt_m[word] ), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25113), .Q(\lsu/lsu_pkt_r[word] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/lsu_pkt_rff/dout_reg[9] ( .D( \lsu/lsu_pkt_m[half] ), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25113), .Q(\lsu/lsu_pkt_r[half] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/lsu_pkt_rff/dout_reg[10] ( .D( \lsu/lsu_pkt_m[by] ), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25113), .Q( \lsu/lsu_pkt_r[by] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/L2U_Plus1_0.lsu_error_pkt_rff/dout_reg[0] ( .D(\lsu/lsu_addr_m [0]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25113), .Q(\lsu_error_pkt_r[addr][0] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/L2U_Plus1_0.lsu_error_pkt_rff/dout_reg[1] ( .D(\lsu/lsu_addr_m [1]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25113), .Q(\lsu_error_pkt_r[addr][1] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/L2U_Plus1_0.lsu_error_pkt_rff/dout_reg[2] ( .D(\lsu/lsu_addr_m [2]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25113), .Q(\lsu_error_pkt_r[addr][2] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/L2U_Plus1_0.lsu_error_pkt_rff/dout_reg[3] ( .D(\lsu/lsu_addr_m [3]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25113), .Q(\lsu_error_pkt_r[addr][3] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/L2U_Plus1_0.lsu_error_pkt_rff/dout_reg[4] ( .D(\lsu/lsu_addr_m [4]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25113), .Q(\lsu_error_pkt_r[addr][4] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/L2U_Plus1_0.lsu_error_pkt_rff/dout_reg[5] ( .D(\lsu/lsu_addr_m [5]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25113), .Q(\lsu_error_pkt_r[addr][5] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/L2U_Plus1_0.lsu_error_pkt_rff/dout_reg[6] ( .D(\lsu/lsu_addr_m [6]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25113), .Q(\lsu_error_pkt_r[addr][6] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/L2U_Plus1_0.lsu_error_pkt_rff/dout_reg[7] ( .D(\lsu/lsu_addr_m [7]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25113), .Q(\lsu_error_pkt_r[addr][7] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/L2U_Plus1_0.lsu_error_pkt_rff/dout_reg[8] ( .D(\lsu/lsu_addr_m [8]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25113), .Q(\lsu_error_pkt_r[addr][8] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/L2U_Plus1_0.lsu_error_pkt_rff/dout_reg[9] ( .D(\lsu/lsu_addr_m [9]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25096), .Q(\lsu_error_pkt_r[addr][9] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/L2U_Plus1_0.lsu_error_pkt_rff/dout_reg[10] ( .D(\lsu/lsu_addr_m [10]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25094), .Q(\lsu_error_pkt_r[addr][10] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/L2U_Plus1_0.lsu_error_pkt_rff/dout_reg[11] ( .D(\lsu/lsu_addr_m [11]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25095), .Q(\lsu_error_pkt_r[addr][11] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/L2U_Plus1_0.lsu_error_pkt_rff/dout_reg[14] ( .D(\lsu/lsu_addr_m [14]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25096), .Q(\lsu_error_pkt_r[addr][14] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/L2U_Plus1_0.lsu_error_pkt_rff/dout_reg[15] ( .D(\lsu/lsu_addr_m [15]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25093), .Q(\lsu_error_pkt_r[addr][15] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/L2U_Plus1_0.lsu_error_pkt_rff/dout_reg[18] ( .D(\lsu/lsu_addr_m [18]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25093), .Q(\lsu_error_pkt_r[addr][18] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/L2U_Plus1_0.lsu_error_pkt_rff/dout_reg[20] ( .D(\lsu/lsu_addr_m [20]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25093), .Q(\lsu_error_pkt_r[addr][20] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/L2U_Plus1_0.lsu_error_pkt_rff/dout_reg[23] ( .D(\lsu/lsu_addr_m [23]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25094), .Q(\lsu_error_pkt_r[addr][23] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/L2U_Plus1_0.lsu_error_pkt_rff/dout_reg[31] ( .D(\lsu/lsu_addr_m [31]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25095), .Q(\lsu_error_pkt_r[addr][31] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/L2U_Plus1_0.lsu_error_pkt_rff/dout_reg[37] ( .D(\lsu/lsu_pkt_m[store] ), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25096), .Q(\lsu_error_pkt_r[inst_type] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/lsu_pkt_vldrff/dout_reg[0] ( .D( \lsu/lsu_lsc_ctl/lsu_pkt_r_in[valid] ), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25093), .Q(\lsu/lsu_pkt_r[valid] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/clkdomain/lsu_free_c1_clkenff/dout_reg[0] ( .D(\lsu/clkdomain/lsu_free_c1_clken ), .CLK(clk), .RESET_B(n25093), .Q(\lsu/clkdomain/lsu_free_c1_clken_q ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/clkdomain/lsu_c1_r_clkenff/dout_reg[0] ( .D( \lsu/clkdomain/lsu_c1_r_clken ), .CLK(\lsu/lsu_free_c2_clk ), .RESET_B(n25093), .Q(\lsu/clkdomain/lsu_c1_r_clken_q ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/clkdomain/lsu_c1_m_clkenff/dout_reg[0] ( .D( \lsu/clkdomain/lsu_c1_m_clken ), .CLK(\lsu/lsu_free_c2_clk ), .RESET_B(n25093), .Q(\lsu/clkdomain/lsu_c1_m_clken_q ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/clkdomain/lsu_c1_d_clkenff/dout_reg[0] ( .D( \lsu/clkdomain/lsu_c1_d_clken ), .CLK(\lsu/lsu_free_c2_clk ), .RESET_B(n25093), .Q(\lsu/clkdomain/lsu_c1_d_clken_q ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/L2U_Plus1_0.lsu_fir_error_rff/dout_reg[1] ( .D(\lsu/lsu_lsc_ctl/L2U_Plus1_0.lsu_fir_error_m [1]), .CLK( \lsu/lsu_c2_r_clk ), .RESET_B(n25093), .Q(lsu_fir_error[1]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/lsu_idle_ff/dout_reg[0] ( .D(\lsu/N19 ), .CLK(active_clk), .RESET_B(n25093), .Q(\dec/decode/lsu_idle ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/Gen_dccm_enable.dccm_rden_mff/dout_reg[0] ( .D(\lsu/dccm_ctl/lsu_dccm_rden_d ), .CLK(\lsu/lsu_c2_m_clk ), .RESET_B(n25093), .Q(\lsu/lsu_dccm_rden_m ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/L2U_Plus1_0.lsu_double_ecc_err_r/dout_reg[0] ( .D(dccm_dma_ecc_error), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25093), .Q(\lsu/lsu_double_ecc_error_r ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/ld_double_ecc_error_rff/dout_reg[0] ( .D(\lsu/lsu_double_ecc_error_r ), .CLK(\lsu/lsu_free_c2_clk ), .RESET_B(n25093), .Q(\lsu/dccm_ctl/lsu_double_ecc_error_r_ff ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/L2U_Plus1_0.lsu_fir_error_rff/dout_reg[0] ( .D(\lsu/lsu_lsc_ctl/L2U_Plus1_0.lsu_fir_error_m [0]), .CLK( \lsu/lsu_c2_r_clk ), .RESET_B(n25093), .Q(lsu_fir_error[0]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/L2U_Plus1_0.ldst_sec_hi_rff/dout_reg[0] ( .D(\lsu/ecc/single_ecc_error_hi_any ), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25093), .Q(\lsu/single_ecc_error_hi_r ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/L2U_Plus1_0.sec_data_hi_rff/dout_reg[4] ( .D(\lsu/sec_data_hi_m [4]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25093), .Q(\lsu/ecc/n28 ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/L2U_Plus1_0.sec_data_hi_rff/dout_reg[6] ( .D(\lsu/sec_data_hi_m [6]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25093), .Q(\lsu/ecc/n26 ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/L2U_Plus1_0.sec_data_hi_rff/dout_reg[8] ( .D(\lsu/sec_data_hi_m [8]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25093), .Q(\lsu/ecc/n24 ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/L2U_Plus1_0.sec_data_hi_rff/dout_reg[5] ( .D(\lsu/sec_data_hi_m [5]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25093), .Q(\lsu/ecc/n27 ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/L2U_Plus1_0.sec_data_hi_rff/dout_reg[7] ( .D(\lsu/sec_data_hi_m [7]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25093), .Q(\lsu/ecc/n25 ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/L2U_Plus1_0.sec_data_hi_rff/dout_reg[9] ( .D(\lsu/sec_data_hi_m [9]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25093), .Q(\lsu/ecc/n23 ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/L2U_Plus1_0.sec_data_hi_rff/dout_reg[1] ( .D(\lsu/sec_data_hi_m [1]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25093), .Q(\lsu/ecc/n31 ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/L2U_Plus1_0.sec_data_hi_rff/dout_reg[14] ( .D(\lsu/sec_data_hi_m [14]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25093), .Q(\lsu/ecc/n18 ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/L2U_Plus1_0.sec_data_hi_rff/dout_reg[15] ( .D(\lsu/sec_data_hi_m [15]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25093), .Q(\lsu/ecc/n17 ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/L2U_Plus1_0.sec_data_hi_rff/dout_reg[2] ( .D(\lsu/sec_data_hi_m [2]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25093), .Q(\lsu/ecc/n30 ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/L2U_Plus1_0.sec_data_hi_rff/dout_reg[3] ( .D(\lsu/sec_data_hi_m [3]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25093), .Q(\lsu/ecc/n29 ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/L2U_Plus1_0.sec_data_hi_rff/dout_reg[16] ( .D(\lsu/sec_data_hi_m [16]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25093), .Q(\lsu/ecc/n16 ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/L2U_Plus1_0.sec_data_hi_rff/dout_reg[17] ( .D(\lsu/sec_data_hi_m [17]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25093), .Q(\lsu/ecc/n15 ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/L2U_Plus1_0.sec_data_hi_rff/dout_reg[11] ( .D(\lsu/sec_data_hi_m [11]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25093), .Q(\lsu/ecc/n21 ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/L2U_Plus1_0.sec_data_hi_rff/dout_reg[13] ( .D(\lsu/sec_data_hi_m [13]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25093), .Q(\lsu/ecc/n19 ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/L2U_Plus1_0.sec_data_hi_rff/dout_reg[19] ( .D(\lsu/sec_data_hi_m [19]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25093), .Q(\lsu/ecc/n13 ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/L2U_Plus1_0.sec_data_hi_rff/dout_reg[21] ( .D(\lsu/sec_data_hi_m [21]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25093), .Q(\lsu/ecc/n11 ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/L2U_Plus1_0.sec_data_hi_rff/dout_reg[23] ( .D(\lsu/sec_data_hi_m [23]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25093), .Q(\lsu/ecc/n9 ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/L2U_Plus1_0.sec_data_hi_rff/dout_reg[12] ( .D(\lsu/sec_data_hi_m [12]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25093), .Q(\lsu/ecc/n20 ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/L2U_Plus1_0.sec_data_hi_rff/dout_reg[18] ( .D(\lsu/sec_data_hi_m [18]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25093), .Q(\lsu/ecc/n14 ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/L2U_Plus1_0.sec_data_hi_rff/dout_reg[20] ( .D(\lsu/sec_data_hi_m [20]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25093), .Q(\lsu/ecc/n12 ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/L2U_Plus1_0.sec_data_hi_rff/dout_reg[22] ( .D(\lsu/sec_data_hi_m [22]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25093), .Q(\lsu/ecc/n10 ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/L2U_Plus1_0.sec_data_hi_rff/dout_reg[24] ( .D(\lsu/sec_data_hi_m [24]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25093), .Q(\lsu/ecc/n8 ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/L2U_Plus1_0.sec_data_hi_rff/dout_reg[10] ( .D(\lsu/sec_data_hi_m [10]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25093), .Q(\lsu/ecc/n22 ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/L2U_Plus1_0.sec_data_hi_rff/dout_reg[25] ( .D(\lsu/sec_data_hi_m [25]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25094), .Q(\lsu/ecc/n7 ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/L2U_Plus1_0.sec_data_hi_rff/dout_reg[27] ( .D(\lsu/sec_data_hi_m [27]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25094), .Q(\lsu/ecc/n5 ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/L2U_Plus1_0.sec_data_hi_rff/dout_reg[0] ( .D(\lsu/sec_data_hi_m [0]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25094), .Q(\lsu/ecc/n32 ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/L2U_Plus1_0.sec_data_hi_rff/dout_reg[26] ( .D(\lsu/sec_data_hi_m [26]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25094), .Q(\lsu/ecc/n6 ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/L2U_Plus1_0.sec_data_hi_rff/dout_reg[28] ( .D(\lsu/sec_data_hi_m [28]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25094), .Q(\lsu/ecc/n4 ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/L2U_Plus1_0.sec_data_hi_rff/dout_reg[29] ( .D(\lsu/sec_data_hi_m [29]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25094), .Q(\lsu/ecc/n3 ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/L2U_Plus1_0.sec_data_hi_rff/dout_reg[30] ( .D(\lsu/sec_data_hi_m [30]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25094), .Q(\lsu/ecc/n2 ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/L2U_Plus1_0.sec_data_hi_rff/dout_reg[31] ( .D(\lsu/sec_data_hi_m [31]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25094), .Q(\lsu/ecc/n1 ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/L2U_Plus1_0.lsu_single_ecc_err_r/dout_reg[0] ( .D(\lsu/lsu_single_ecc_error_m ), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B( n25094), .Q(\lsu/lsu_single_ecc_error_r ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/L2U_Plus1_0.ldst_sec_lo_rff/dout_reg[0] ( .D(\lsu/ecc/single_ecc_error_lo_any ), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25094), .Q(\lsu/single_ecc_error_lo_r ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/L2U_Plus1_0.sec_data_lo_rff/dout_reg[1] ( .D(\lsu/sec_data_lo_m [1]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25094), .Q(\lsu/ecc/n63 ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/L2U_Plus1_0.sec_data_lo_rff/dout_reg[5] ( .D(\lsu/sec_data_lo_m [5]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25094), .Q(\lsu/ecc/n59 ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/L2U_Plus1_0.sec_data_lo_rff/dout_reg[6] ( .D(\lsu/sec_data_lo_m [6]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25095), .Q(\lsu/ecc/n58 ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/L2U_Plus1_0.sec_data_lo_rff/dout_reg[7] ( .D(\lsu/sec_data_lo_m [7]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25095), .Q(\lsu/ecc/n57 ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/L2U_Plus1_0.sec_data_lo_rff/dout_reg[8] ( .D(\lsu/sec_data_lo_m [8]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25095), .Q(\lsu/ecc/n56 ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/L2U_Plus1_0.sec_data_lo_rff/dout_reg[12] ( .D(\lsu/sec_data_lo_m [12]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25095), .Q(\lsu/ecc/n52 ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/L2U_Plus1_0.sec_data_lo_rff/dout_reg[14] ( .D(\lsu/sec_data_lo_m [14]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25095), .Q(\lsu/ecc/n50 ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/L2U_Plus1_0.sec_data_lo_rff/dout_reg[13] ( .D(\lsu/sec_data_lo_m [13]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25095), .Q(\lsu/ecc/n51 ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/L2U_Plus1_0.sec_data_lo_rff/dout_reg[15] ( .D(\lsu/sec_data_lo_m [15]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25095), .Q(\lsu/ecc/n49 ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/L2U_Plus1_0.sec_data_lo_rff/dout_reg[4] ( .D(\lsu/sec_data_lo_m [4]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25095), .Q(\lsu/ecc/n60 ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/L2U_Plus1_0.sec_data_lo_rff/dout_reg[11] ( .D(\lsu/sec_data_lo_m [11]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25095), .Q(\lsu/ecc/n53 ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/L2U_Plus1_0.sec_data_lo_rff/dout_reg[18] ( .D(\lsu/sec_data_lo_m [18]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25095), .Q(\lsu/ecc/n46 ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/L2U_Plus1_0.sec_data_lo_rff/dout_reg[19] ( .D(\lsu/sec_data_lo_m [19]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25095), .Q(\lsu/ecc/n45 ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/L2U_Plus1_0.sec_data_lo_rff/dout_reg[20] ( .D(\lsu/sec_data_lo_m [20]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25095), .Q(\lsu/ecc/n44 ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/L2U_Plus1_0.sec_data_lo_rff/dout_reg[22] ( .D(\lsu/sec_data_lo_m [22]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25096), .Q(\lsu/ecc/n42 ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/L2U_Plus1_0.sec_data_lo_rff/dout_reg[21] ( .D(\lsu/sec_data_lo_m [21]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25096), .Q(\lsu/ecc/n43 ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/L2U_Plus1_0.sec_data_lo_rff/dout_reg[23] ( .D(\lsu/sec_data_lo_m [23]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25096), .Q(\lsu/ecc/n41 ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/L2U_Plus1_0.sec_data_lo_rff/dout_reg[3] ( .D(\lsu/sec_data_lo_m [3]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25096), .Q(\lsu/ecc/n61 ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/L2U_Plus1_0.sec_data_lo_rff/dout_reg[9] ( .D(\lsu/sec_data_lo_m [9]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25096), .Q(\lsu/ecc/n55 ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/L2U_Plus1_0.sec_data_lo_rff/dout_reg[10] ( .D(\lsu/sec_data_lo_m [10]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25096), .Q(\lsu/ecc/n54 ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/L2U_Plus1_0.sec_data_lo_rff/dout_reg[16] ( .D(\lsu/sec_data_lo_m [16]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25096), .Q(\lsu/ecc/n48 ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/L2U_Plus1_0.sec_data_lo_rff/dout_reg[17] ( .D(\lsu/sec_data_lo_m [17]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25096), .Q(\lsu/ecc/n47 ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/L2U_Plus1_0.sec_data_lo_rff/dout_reg[24] ( .D(\lsu/sec_data_lo_m [24]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25096), .Q(\lsu/ecc/n40 ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/L2U_Plus1_0.sec_data_lo_rff/dout_reg[25] ( .D(\lsu/sec_data_lo_m [25]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25096), .Q(\lsu/ecc/n39 ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/L2U_Plus1_0.sec_data_lo_rff/dout_reg[26] ( .D(\lsu/sec_data_lo_m [26]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25096), .Q(\lsu/ecc/n38 ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/L2U_Plus1_0.sec_data_lo_rff/dout_reg[28] ( .D(\lsu/sec_data_lo_m [28]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25106), .Q(\lsu/ecc/n36 ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/L2U_Plus1_0.sec_data_lo_rff/dout_reg[0] ( .D(\lsu/sec_data_lo_m [0]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25106), .Q(\lsu/ecc/n64 ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/L2U_Plus1_0.sec_data_lo_rff/dout_reg[30] ( .D(\lsu/sec_data_lo_m [30]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25106), .Q(\lsu/ecc/n34 ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/L2U_Plus1_0.sec_data_lo_rff/dout_reg[2] ( .D(\lsu/sec_data_lo_m [2]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25106), .Q(\lsu/ecc/n62 ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/L2U_Plus1_0.sec_data_lo_rff/dout_reg[29] ( .D(\lsu/sec_data_lo_m [29]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25106), .Q(\lsu/ecc/n35 ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/L2U_Plus1_0.sec_data_lo_rff/dout_reg[27] ( .D(\lsu/sec_data_lo_m [27]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n10965), .Q(\lsu/ecc/n37 ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/L2U_Plus1_0.sec_data_lo_rff/dout_reg[31] ( .D(\lsu/sec_data_lo_m [31]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25097), .Q(\lsu/ecc/n33 ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/csrmiscff/dout_reg[4] ( .D( \dec/decode/csr_read ), .CLK(active_clk), .RESET_B(n25098), .Q( \dec/decode/csr_read_x ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/trap_xff/genblock.genblock.dff/dout_reg[3] ( .D(\dec/decode/i0_itype [0]), .CLK(\dec/decode/trap_xff/l1clk ), .RESET_B(n25099), .Q(\dec/decode/x_t[pmu_i0_itype][0] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/e1ff/genblock.genblock.dff/dout_reg[13] ( .D(\dec/decode/d_d[csrwen] ), .CLK(\dec/decode/e1ff/l1clk ), .RESET_B( n10965), .Q(\dec/decode/x_d[csrwen] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/r_d_ff/genblock.genblock.dff/dout_reg[13] ( .D(\dec/decode/x_d[csrwen] ), .CLK(\exu/i_r_ff0/l1clk ), .RESET_B( n25097), .Q(\dec/decode/r_d[csrwen] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/e1ff/genblock.genblock.dff/dout_reg[17] ( .D(\dec/decode/d_d[i0store] ), .CLK(\dec/decode/e1ff/l1clk ), .RESET_B(n25098), .Q(\dec/decode/x_d[i0store] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/r_d_ff/genblock.genblock.dff/dout_reg[17] ( .D(\dec/decode/x_d[i0store] ), .CLK(\exu/i_r_ff0/l1clk ), .RESET_B( n10965), .Q(\dec/decode/r_d[i0store] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/trap_xff/genblock.genblock.dff/dout_reg[4] ( .D(\dec/decode/i0_itype [1]), .CLK(\dec/decode/trap_xff/l1clk ), .RESET_B(n25099), .Q(\dec/decode/x_t[pmu_i0_itype][1] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/trap_r_ff/genblock.genblock.dff/dout_reg[4] ( .D(\dec/decode/x_t[pmu_i0_itype][1] ), .CLK( \dec/decode/trap_r_ff/l1clk ), .RESET_B(n10965), .Q( \dec/decode/r_t[pmu_i0_itype][1] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/trap_xff/genblock.genblock.dff/dout_reg[5] ( .D(\dec/decode/i0_itype [2]), .CLK(\dec/decode/trap_xff/l1clk ), .RESET_B(n25098), .Q(\dec/decode/x_t[pmu_i0_itype][2] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/trap_r_ff/genblock.genblock.dff/dout_reg[5] ( .D(\dec/decode/x_t[pmu_i0_itype][2] ), .CLK( \dec/decode/trap_r_ff/l1clk ), .RESET_B(n25099), .Q( \dec/decode/r_t[pmu_i0_itype][2] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/trap_xff/genblock.genblock.dff/dout_reg[6] ( .D(\dec/decode/i0_itype [3]), .CLK(\dec/decode/trap_xff/l1clk ), .RESET_B(n25098), .Q(\dec/decode/x_t[pmu_i0_itype][3] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/trap_r_ff/genblock.genblock.dff/dout_reg[6] ( .D(\dec/decode/x_t[pmu_i0_itype][3] ), .CLK( \dec/decode/trap_r_ff/l1clk ), .RESET_B(n10965), .Q( \dec/decode/r_t[pmu_i0_itype][3] ) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_predictpacket_x_ff/genblock.genblock.dff/dout_reg[37] ( .D(\exu/i0_predict_p_d[valid] ), .CLK(\exu/i_predictpacket_x_ff/l1clk ), .RESET_B(n25097), .Q(\exu/i0_predict_p_x[valid] ) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff0/genblock.genblock.dff/dout_reg[44] ( .D(\exu/i0_predict_p_x[valid] ), .CLK(\exu/i_r_ff0/l1clk ), .RESET_B( n10965), .Q(exu_i0_br_valid_r) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_x_ff/genblock.genblock.dff/dout_reg[11] ( .D(\exu/i0_predict_p_d[valid] ), .CLK(\exu/i_x_ff/l1clk ), .RESET_B( n25099), .Q(\exu/i0_valid_x ) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_x_ff/genblock.genblock.dff/dout_reg[0] ( .D(\exu/ghr_x_ns [0]), .CLK(\exu/i_x_ff/l1clk ), .RESET_B(n25097), .Q( \exu/ghr_x [0]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_x_ff/genblock.genblock.dff/dout_reg[2] ( .D(\exu/ghr_x_ns [2]), .CLK(\exu/i_x_ff/l1clk ), .RESET_B(n25098), .Q( \exu/ghr_x [2]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_x_ff/genblock.genblock.dff/dout_reg[3] ( .D(\exu/ghr_x_ns [3]), .CLK(\exu/i_x_ff/l1clk ), .RESET_B(n25099), .Q( \exu/ghr_x [3]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_x_ff/genblock.genblock.dff/dout_reg[4] ( .D(\exu/ghr_x_ns [4]), .CLK(\exu/i_x_ff/l1clk ), .RESET_B(n10965), .Q( \exu/ghr_x [4]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_x_ff/genblock.genblock.dff/dout_reg[5] ( .D(\exu/ghr_x_ns [5]), .CLK(\exu/i_x_ff/l1clk ), .RESET_B(n25098), .Q( \exu/ghr_x [5]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_x_ff/genblock.genblock.dff/dout_reg[6] ( .D(\exu/ghr_x_ns [6]), .CLK(\exu/i_x_ff/l1clk ), .RESET_B(n25097), .Q( \exu/ghr_x [6]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_x_ff/genblock.genblock.dff/dout_reg[7] ( .D(\exu/ghr_x_ns [7]), .CLK(\exu/i_x_ff/l1clk ), .RESET_B(n25098), .Q( \exu/ghr_x [7]) ); sky130_fd_sc_hd__dfrtp_1 \exu/genblk1.i_data_gate_ff/genblock.genblock.dff/dout_reg[0] ( .D(n25080), .CLK(\exu/genblk1.i_data_gate_ff/l1clk ), .RESET_B(n25099), .Q(\exu/flush_lower_ff ) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_alu/i_result_ff/genblock.genblock.dff/dout_reg[16] ( .D(\exu/i_alu/result [16]), .CLK(\exu/i_alu/i_result_ff/l1clk ), .RESET_B(n10965), .Q(\exu/alu_result_x [16]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_alu/i_result_ff/genblock.genblock.dff/dout_reg[17] ( .D(\exu/i_alu/result [17]), .CLK(\exu/i_alu/i_result_ff/l1clk ), .RESET_B(n25097), .Q(\exu/alu_result_x [17]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_alu/i_result_ff/genblock.genblock.dff/dout_reg[30] ( .D(\exu/i_alu/result [30]), .CLK(\exu/i_alu/i_result_ff/l1clk ), .RESET_B(n25098), .Q(\exu/alu_result_x [30]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_csr_rs1_x_ff/genblock.genblock.dff/dout_reg[30] ( .D(\exu/csr_rs1_in_d [30]), .CLK(\exu/i_csr_rs1_x_ff/l1clk ), .RESET_B(n10965), .Q(exu_csr_rs1_x[30]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_alu/i_result_ff/genblock.genblock.dff/dout_reg[27] ( .D(\exu/i_alu/result [27]), .CLK(\exu/i_alu/i_result_ff/l1clk ), .RESET_B(n25099), .Q(\exu/alu_result_x [27]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_csr_rs1_x_ff/genblock.genblock.dff/dout_reg[27] ( .D(\exu/csr_rs1_in_d [27]), .CLK(\exu/i_csr_rs1_x_ff/l1clk ), .RESET_B(n10965), .Q(exu_csr_rs1_x[27]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_alu/i_result_ff/genblock.genblock.dff/dout_reg[24] ( .D(\exu/i_alu/result [24]), .CLK(\exu/i_alu/i_result_ff/l1clk ), .RESET_B(n25097), .Q(\exu/alu_result_x [24]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_alu/i_result_ff/genblock.genblock.dff/dout_reg[25] ( .D(\exu/i_alu/result [25]), .CLK(\exu/i_alu/i_result_ff/l1clk ), .RESET_B(n25098), .Q(\exu/alu_result_x [25]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_alu/i_result_ff/genblock.genblock.dff/dout_reg[29] ( .D(\exu/i_alu/result [29]), .CLK(\exu/i_alu/i_result_ff/l1clk ), .RESET_B(n10965), .Q(\exu/alu_result_x [29]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/samff/dout_reg[29] ( .D( \lsu/lsu_lsc_ctl/n78 ), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n25098), .Q(\lsu/lsu_addr_m [29]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/L2U_Plus1_0.lsu_error_pkt_rff/dout_reg[29] ( .D(\lsu/lsu_addr_m [29]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25099), .Q(\lsu_error_pkt_r[addr][29] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/sarff/dout_reg[29] ( .D( \lsu/lsu_addr_m [29]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25097), .Q( \lsu/lsu_addr_r [29]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/samff/dout_reg[22] ( .D( \lsu/lsu_lsc_ctl/n85 ), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n25098), .Q(\lsu/lsu_addr_m [22]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/L2U_Plus1_0.lsu_error_pkt_rff/dout_reg[22] ( .D(\lsu/lsu_addr_m [22]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n10965), .Q(\lsu_error_pkt_r[addr][22] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/sarff/dout_reg[22] ( .D( \lsu/lsu_addr_m [22]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25099), .Q( \lsu/lsu_addr_r [22]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0_result_r_ff/genblock.genblock.dff/dout_reg[22] ( .D(\dec/decode/i0_result_x [22]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n10965), .Q(\dec/decode/i0_result_r [22]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/sdmff/dout_reg[22] ( .D( \lsu/lsu_lsc_ctl/store_data_m_in [22]), .CLK(\lsu/lsu_store_c1_m_clk ), .RESET_B(n10965), .Q(\lsu/lsu_lsc_ctl/store_data_pre_m [22]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U1_Plus1_0.store_data_lo_rff/dout_reg[22] ( .D(\lsu/dccm_ctl/store_data_lo_r_in [22]), .CLK( \lsu/lsu_store_c1_r_clk ), .RESET_B(n25099), .Q( \lsu/store_data_lo_r [22]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/bus_read_data_r_ff/dout_reg[14] ( .D(\lsu/bus_read_data_m [14]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B( n10965), .Q(\lsu/lsu_lsc_ctl/bus_read_data_r [14]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0_result_r_ff/genblock.genblock.dff/dout_reg[14] ( .D(\dec/decode/i0_result_x [14]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n10965), .Q(\dec/decode/i0_result_r [14]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U1_Plus1_0.store_data_lo_rff/dout_reg[14] ( .D(\lsu/dccm_ctl/store_data_lo_r_in [14]), .CLK( \lsu/lsu_store_c1_r_clk ), .RESET_B(n25099), .Q( \lsu/store_data_lo_r [14]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/bus_read_data_r_ff/dout_reg[6] ( .D(\lsu/bus_read_data_m [6]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B( n10965), .Q(\lsu/lsu_lsc_ctl/bus_read_data_r [6]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0_result_r_ff/genblock.genblock.dff/dout_reg[6] ( .D(\dec/decode/i0_result_x [6]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n10965), .Q(\dec/decode/i0_result_r [6]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/sdmff/dout_reg[6] ( .D( \lsu/lsu_lsc_ctl/store_data_m_in [6]), .CLK(\lsu/lsu_store_c1_m_clk ), .RESET_B(n25099), .Q(\lsu/lsu_lsc_ctl/store_data_pre_m [6]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U1_Plus1_0.store_data_lo_rff/dout_reg[6] ( .D(\lsu/dccm_ctl/store_data_lo_r_in [6]), .CLK( \lsu/lsu_store_c1_r_clk ), .RESET_B(n10965), .Q( \lsu/store_data_lo_r [6]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/samff/dout_reg[30] ( .D( \lsu/lsu_lsc_ctl/n77 ), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n25097), .Q(\lsu/lsu_addr_m [30]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/L2U_Plus1_0.lsu_error_pkt_rff/dout_reg[30] ( .D(\lsu/lsu_addr_m [30]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n10965), .Q(\lsu_error_pkt_r[addr][30] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/sarff/dout_reg[30] ( .D( \lsu/lsu_addr_m [30]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25097), .Q( \lsu/lsu_addr_r [30]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/samff/dout_reg[21] ( .D( \lsu/lsu_lsc_ctl/n86 ), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n10965), .Q(\lsu/lsu_addr_m [21]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/L2U_Plus1_0.lsu_error_pkt_rff/dout_reg[21] ( .D(\lsu/lsu_addr_m [21]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n10965), .Q(\lsu_error_pkt_r[addr][21] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/sarff/dout_reg[21] ( .D( \lsu/lsu_addr_m [21]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n10965), .Q( \lsu/lsu_addr_r [21]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0_result_r_ff/genblock.genblock.dff/dout_reg[21] ( .D(\dec/decode/i0_result_x [21]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n10965), .Q(\dec/decode/i0_result_r [21]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/sdmff/dout_reg[21] ( .D( \lsu/lsu_lsc_ctl/store_data_m_in [21]), .CLK(\lsu/lsu_store_c1_m_clk ), .RESET_B(n25099), .Q(\lsu/lsu_lsc_ctl/store_data_pre_m [21]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U1_Plus1_0.store_data_lo_rff/dout_reg[21] ( .D(\lsu/dccm_ctl/store_data_lo_r_in [21]), .CLK( \lsu/lsu_store_c1_r_clk ), .RESET_B(n10965), .Q( \lsu/store_data_lo_r [21]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/bus_read_data_r_ff/dout_reg[13] ( .D(\lsu/bus_read_data_m [13]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B( n10965), .Q(\lsu/lsu_lsc_ctl/bus_read_data_r [13]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0_result_r_ff/genblock.genblock.dff/dout_reg[13] ( .D(\dec/decode/i0_result_x [13]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n10965), .Q(\dec/decode/i0_result_r [13]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/L2U_Plus1_0.lsu_error_pkt_rff/dout_reg[13] ( .D(\lsu/lsu_addr_m [13]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25097), .Q(\lsu_error_pkt_r[addr][13] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/sarff/dout_reg[13] ( .D( \lsu/lsu_addr_m [13]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n10965), .Q( \lsu/lsu_addr_r [13]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0_result_r_ff/genblock.genblock.dff/dout_reg[4] ( .D(\dec/decode/i0_result_x [4]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n10965), .Q(\dec/decode/i0_result_r [4]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/sdmff/dout_reg[4] ( .D( \lsu/lsu_lsc_ctl/store_data_m_in [4]), .CLK(\lsu/lsu_store_c1_m_clk ), .RESET_B(n10965), .Q(\lsu/lsu_lsc_ctl/store_data_pre_m [4]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U1_Plus1_0.store_data_lo_rff/dout_reg[12] ( .D(\lsu/dccm_ctl/store_data_lo_r_in [12]), .CLK( \lsu/lsu_store_c1_r_clk ), .RESET_B(n25098), .Q( \lsu/store_data_lo_r [12]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/bus_read_data_r_ff/dout_reg[12] ( .D(\lsu/bus_read_data_m [12]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B( n17264), .Q(\lsu/lsu_lsc_ctl/bus_read_data_r [12]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0_result_r_ff/genblock.genblock.dff/dout_reg[12] ( .D(\dec/decode/i0_result_x [12]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25099), .Q(\dec/decode/i0_result_r [12]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/L2U_Plus1_0.lsu_error_pkt_rff/dout_reg[12] ( .D(\lsu/lsu_addr_m [12]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n17264), .Q(\lsu_error_pkt_r[addr][12] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/sarff/dout_reg[12] ( .D( \lsu/lsu_addr_m [12]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25106), .Q( \lsu/lsu_addr_r [12]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/WrPtrff/genblock.dffs/dout_reg[1] ( .D( \lsu/stbuf/WrPtrff/_0_net_[1] ), .CLK(\lsu/lsu_stbuf_c1_clk ), .RESET_B(n25114), .Q(\lsu/stbuf/WrPtr [1]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[0].stbuf_addrff/genblock.genblock.dff/dout_reg[15] ( .D(\lsu/stbuf/stbuf_addrin[0][15] ), .CLK( \lsu/stbuf/GenStBuf[0].stbuf_addrff/l1clk ), .RESET_B(n25114), .Q( \lsu/stbuf/stbuf_addr[0][15] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[0].stbuf_killff/genblk1.dffsc/dout_reg[0] ( .D(\lsu/stbuf/GenStBuf[0].stbuf_killff/din_new[0] ), .CLK( \lsu/lsu_free_c2_clk ), .RESET_B(n25114), .Q( \lsu/stbuf/stbuf_dma_kill [0]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[1].stbuf_addrff/genblock.genblock.dff/dout_reg[15] ( .D(\lsu/stbuf/stbuf_addrin[1][15] ), .CLK( \lsu/stbuf/GenStBuf[1].stbuf_addrff/l1clk ), .RESET_B(n25114), .Q( \lsu/stbuf/stbuf_addr[1][15] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[1].stbuf_addrff/genblock.genblock.dff/dout_reg[0] ( .D(\lsu/stbuf/stbuf_addrin[1][0] ), .CLK( \lsu/stbuf/GenStBuf[1].stbuf_addrff/l1clk ), .RESET_B(n10881), .Q( \lsu/stbuf/stbuf_addr[1][0] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[1].stbuf_addrff/genblock.genblock.dff/dout_reg[1] ( .D(\lsu/stbuf/stbuf_addrin[1][1] ), .CLK( \lsu/stbuf/GenStBuf[1].stbuf_addrff/l1clk ), .RESET_B(n10881), .Q( \lsu/stbuf/stbuf_addr[1][1] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[1].stbuf_addrff/genblock.genblock.dff/dout_reg[2] ( .D(\lsu/stbuf/stbuf_addrin[1][2] ), .CLK( \lsu/stbuf/GenStBuf[1].stbuf_addrff/l1clk ), .RESET_B(n10881), .Q( \lsu/stbuf/stbuf_addr[1][2] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[1].stbuf_addrff/genblock.genblock.dff/dout_reg[3] ( .D(\lsu/stbuf/stbuf_addrin[1][3] ), .CLK( \lsu/stbuf/GenStBuf[1].stbuf_addrff/l1clk ), .RESET_B(n10881), .Q( \lsu/stbuf/stbuf_addr[1][3] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[1].stbuf_addrff/genblock.genblock.dff/dout_reg[4] ( .D(\lsu/stbuf/stbuf_addrin[1][4] ), .CLK( \lsu/stbuf/GenStBuf[1].stbuf_addrff/l1clk ), .RESET_B(n10881), .Q( \lsu/stbuf/stbuf_addr[1][4] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[1].stbuf_addrff/genblock.genblock.dff/dout_reg[5] ( .D(\lsu/stbuf/stbuf_addrin[1][5] ), .CLK( \lsu/stbuf/GenStBuf[1].stbuf_addrff/l1clk ), .RESET_B(n10881), .Q( \lsu/stbuf/stbuf_addr[1][5] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[1].stbuf_addrff/genblock.genblock.dff/dout_reg[6] ( .D(\lsu/stbuf/stbuf_addrin[1][6] ), .CLK( \lsu/stbuf/GenStBuf[1].stbuf_addrff/l1clk ), .RESET_B(n10881), .Q( \lsu/stbuf/stbuf_addr[1][6] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[1].stbuf_addrff/genblock.genblock.dff/dout_reg[7] ( .D(\lsu/stbuf/stbuf_addrin[1][7] ), .CLK( \lsu/stbuf/GenStBuf[1].stbuf_addrff/l1clk ), .RESET_B(n10881), .Q( \lsu/stbuf/stbuf_addr[1][7] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[1].stbuf_addrff/genblock.genblock.dff/dout_reg[8] ( .D(\lsu/stbuf/stbuf_addrin[1][8] ), .CLK( \lsu/stbuf/GenStBuf[1].stbuf_addrff/l1clk ), .RESET_B(n10881), .Q( \lsu/stbuf/stbuf_addr[1][8] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[1].stbuf_addrff/genblock.genblock.dff/dout_reg[9] ( .D(\lsu/stbuf/stbuf_addrin[1][9] ), .CLK( \lsu/stbuf/GenStBuf[1].stbuf_addrff/l1clk ), .RESET_B(n10881), .Q( \lsu/stbuf/stbuf_addr[1][9] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[1].stbuf_addrff/genblock.genblock.dff/dout_reg[10] ( .D(\lsu/stbuf/stbuf_addrin[1][10] ), .CLK( \lsu/stbuf/GenStBuf[1].stbuf_addrff/l1clk ), .RESET_B(n10881), .Q( \lsu/stbuf/stbuf_addr[1][10] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[1].stbuf_addrff/genblock.genblock.dff/dout_reg[11] ( .D(\lsu/stbuf/stbuf_addrin[1][11] ), .CLK( \lsu/stbuf/GenStBuf[1].stbuf_addrff/l1clk ), .RESET_B(n10881), .Q( \lsu/stbuf/stbuf_addr[1][11] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[1].stbuf_addrff/genblock.genblock.dff/dout_reg[12] ( .D(\lsu/stbuf/stbuf_addrin[1][12] ), .CLK( \lsu/stbuf/GenStBuf[1].stbuf_addrff/l1clk ), .RESET_B(n10881), .Q( \lsu/stbuf/stbuf_addr[1][12] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[1].stbuf_addrff/genblock.genblock.dff/dout_reg[13] ( .D(\lsu/stbuf/stbuf_addrin[1][13] ), .CLK( \lsu/stbuf/GenStBuf[1].stbuf_addrff/l1clk ), .RESET_B(n10881), .Q( \lsu/stbuf/stbuf_addr[1][13] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/WrPtrff/genblock.dffs/dout_reg[0] ( .D( \lsu/stbuf/WrPtrff/_0_net_[0] ), .CLK(\lsu/lsu_stbuf_c1_clk ), .RESET_B(n10881), .Q(\lsu/stbuf/WrPtr [0]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[0].stbuf_addrff/genblock.genblock.dff/dout_reg[0] ( .D(\lsu/stbuf/stbuf_addrin[0][0] ), .CLK( \lsu/stbuf/GenStBuf[0].stbuf_addrff/l1clk ), .RESET_B(n10881), .Q( \lsu/stbuf/stbuf_addr[0][0] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[0].stbuf_addrff/genblock.genblock.dff/dout_reg[1] ( .D(\lsu/stbuf/stbuf_addrin[0][1] ), .CLK( \lsu/stbuf/GenStBuf[0].stbuf_addrff/l1clk ), .RESET_B(n10881), .Q( \lsu/stbuf/stbuf_addr[0][1] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[0].stbuf_addrff/genblock.genblock.dff/dout_reg[2] ( .D(\lsu/stbuf/stbuf_addrin[0][2] ), .CLK( \lsu/stbuf/GenStBuf[0].stbuf_addrff/l1clk ), .RESET_B(n10881), .Q( \lsu/stbuf/stbuf_addr[0][2] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[0].stbuf_addrff/genblock.genblock.dff/dout_reg[3] ( .D(\lsu/stbuf/stbuf_addrin[0][3] ), .CLK( \lsu/stbuf/GenStBuf[0].stbuf_addrff/l1clk ), .RESET_B(n10881), .Q( \lsu/stbuf/stbuf_addr[0][3] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[0].stbuf_addrff/genblock.genblock.dff/dout_reg[4] ( .D(\lsu/stbuf/stbuf_addrin[0][4] ), .CLK( \lsu/stbuf/GenStBuf[0].stbuf_addrff/l1clk ), .RESET_B(n10881), .Q( \lsu/stbuf/stbuf_addr[0][4] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[0].stbuf_addrff/genblock.genblock.dff/dout_reg[5] ( .D(\lsu/stbuf/stbuf_addrin[0][5] ), .CLK( \lsu/stbuf/GenStBuf[0].stbuf_addrff/l1clk ), .RESET_B(n10881), .Q( \lsu/stbuf/stbuf_addr[0][5] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[0].stbuf_addrff/genblock.genblock.dff/dout_reg[6] ( .D(\lsu/stbuf/stbuf_addrin[0][6] ), .CLK( \lsu/stbuf/GenStBuf[0].stbuf_addrff/l1clk ), .RESET_B(n10881), .Q( \lsu/stbuf/stbuf_addr[0][6] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[0].stbuf_addrff/genblock.genblock.dff/dout_reg[7] ( .D(\lsu/stbuf/stbuf_addrin[0][7] ), .CLK( \lsu/stbuf/GenStBuf[0].stbuf_addrff/l1clk ), .RESET_B(n10881), .Q( \lsu/stbuf/stbuf_addr[0][7] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[0].stbuf_addrff/genblock.genblock.dff/dout_reg[8] ( .D(\lsu/stbuf/stbuf_addrin[0][8] ), .CLK( \lsu/stbuf/GenStBuf[0].stbuf_addrff/l1clk ), .RESET_B(n10881), .Q( \lsu/stbuf/stbuf_addr[0][8] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[0].stbuf_addrff/genblock.genblock.dff/dout_reg[9] ( .D(\lsu/stbuf/stbuf_addrin[0][9] ), .CLK( \lsu/stbuf/GenStBuf[0].stbuf_addrff/l1clk ), .RESET_B(n10881), .Q( \lsu/stbuf/stbuf_addr[0][9] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[0].stbuf_addrff/genblock.genblock.dff/dout_reg[10] ( .D(\lsu/stbuf/stbuf_addrin[0][10] ), .CLK( \lsu/stbuf/GenStBuf[0].stbuf_addrff/l1clk ), .RESET_B(n25107), .Q( \lsu/stbuf/stbuf_addr[0][10] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[0].stbuf_addrff/genblock.genblock.dff/dout_reg[11] ( .D(\lsu/stbuf/stbuf_addrin[0][11] ), .CLK( \lsu/stbuf/GenStBuf[0].stbuf_addrff/l1clk ), .RESET_B(n25107), .Q( \lsu/stbuf/stbuf_addr[0][11] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[0].stbuf_addrff/genblock.genblock.dff/dout_reg[12] ( .D(\lsu/stbuf/stbuf_addrin[0][12] ), .CLK( \lsu/stbuf/GenStBuf[0].stbuf_addrff/l1clk ), .RESET_B(n25107), .Q( \lsu/stbuf/stbuf_addr[0][12] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[0].stbuf_addrff/genblock.genblock.dff/dout_reg[14] ( .D(\lsu/stbuf/stbuf_addrin[0][14] ), .CLK( \lsu/stbuf/GenStBuf[0].stbuf_addrff/l1clk ), .RESET_B(n25107), .Q( \lsu/stbuf/stbuf_addr[0][14] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[2].stbuf_addrff/genblock.genblock.dff/dout_reg[15] ( .D(\lsu/stbuf/stbuf_addrin[2][15] ), .CLK( \lsu/stbuf/GenStBuf[2].stbuf_addrff/l1clk ), .RESET_B(n25107), .Q( \lsu/stbuf/stbuf_addr[2][15] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[2].stbuf_vldff/genblk1.dffsc/dout_reg[0] ( .D(\lsu/stbuf/GenStBuf[2].stbuf_vldff/din_new[0] ), .CLK( \lsu/lsu_free_c2_clk ), .RESET_B(n25107), .Q(\lsu/stbuf/stbuf_vld [2]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/RdPtrff/genblock.dffs/dout_reg[0] ( .D( \lsu/stbuf/RdPtrff/_0_net_[0] ), .CLK(\lsu/lsu_stbuf_c1_clk ), .RESET_B(n25107), .Q(\lsu/stbuf/RdPtr [0]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[1].stbuf_vldff/genblk1.dffsc/dout_reg[0] ( .D(\lsu/stbuf/GenStBuf[1].stbuf_vldff/din_new[0] ), .CLK( \lsu/lsu_free_c2_clk ), .RESET_B(n25107), .Q(\lsu/stbuf/stbuf_vld [1]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/RdPtrff/genblock.dffs/dout_reg[1] ( .D( \lsu/stbuf/RdPtrff/_0_net_[1] ), .CLK(\lsu/lsu_stbuf_c1_clk ), .RESET_B(n25107), .Q(\lsu/stbuf/RdPtr [1]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[2].stbuf_killff/genblk1.dffsc/dout_reg[0] ( .D(\lsu/stbuf/GenStBuf[2].stbuf_killff/din_new[0] ), .CLK( \lsu/lsu_free_c2_clk ), .RESET_B(n25107), .Q( \lsu/stbuf/stbuf_dma_kill [2]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[0].stbuf_vldff/genblk1.dffsc/dout_reg[0] ( .D(\lsu/stbuf/GenStBuf[0].stbuf_vldff/din_new[0] ), .CLK( \lsu/lsu_free_c2_clk ), .RESET_B(n25107), .Q(\lsu/stbuf/stbuf_vld [0]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[3].stbuf_addrff/genblock.genblock.dff/dout_reg[15] ( .D(\lsu/stbuf/stbuf_addrin[3][15] ), .CLK( \lsu/stbuf/GenStBuf[3].stbuf_addrff/l1clk ), .RESET_B(n25107), .Q( \lsu/stbuf/stbuf_addr[3][15] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[3].stbuf_vldff/genblk1.dffsc/dout_reg[0] ( .D(\lsu/stbuf/GenStBuf[3].stbuf_vldff/din_new[0] ), .CLK( \lsu/lsu_free_c2_clk ), .RESET_B(n10876), .Q(\lsu/stbuf/stbuf_vld [3]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[3].stbuf_addrff/genblock.genblock.dff/dout_reg[0] ( .D(\lsu/stbuf/stbuf_addrin[3][0] ), .CLK( \lsu/stbuf/GenStBuf[3].stbuf_addrff/l1clk ), .RESET_B(n10876), .Q( \lsu/stbuf/stbuf_addr[3][0] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[3].stbuf_addrff/genblock.genblock.dff/dout_reg[1] ( .D(\lsu/stbuf/stbuf_addrin[3][1] ), .CLK( \lsu/stbuf/GenStBuf[3].stbuf_addrff/l1clk ), .RESET_B(n10876), .Q( \lsu/stbuf/stbuf_addr[3][1] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[3].stbuf_addrff/genblock.genblock.dff/dout_reg[2] ( .D(\lsu/stbuf/stbuf_addrin[3][2] ), .CLK( \lsu/stbuf/GenStBuf[3].stbuf_addrff/l1clk ), .RESET_B(n10876), .Q( \lsu/stbuf/stbuf_addr[3][2] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[3].stbuf_addrff/genblock.genblock.dff/dout_reg[3] ( .D(\lsu/stbuf/stbuf_addrin[3][3] ), .CLK( \lsu/stbuf/GenStBuf[3].stbuf_addrff/l1clk ), .RESET_B(n10876), .Q( \lsu/stbuf/stbuf_addr[3][3] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[3].stbuf_addrff/genblock.genblock.dff/dout_reg[5] ( .D(\lsu/stbuf/stbuf_addrin[3][5] ), .CLK( \lsu/stbuf/GenStBuf[3].stbuf_addrff/l1clk ), .RESET_B(n10876), .Q( \lsu/stbuf/stbuf_addr[3][5] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[3].stbuf_addrff/genblock.genblock.dff/dout_reg[6] ( .D(\lsu/stbuf/stbuf_addrin[3][6] ), .CLK( \lsu/stbuf/GenStBuf[3].stbuf_addrff/l1clk ), .RESET_B(n10876), .Q( \lsu/stbuf/stbuf_addr[3][6] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[3].stbuf_addrff/genblock.genblock.dff/dout_reg[7] ( .D(\lsu/stbuf/stbuf_addrin[3][7] ), .CLK( \lsu/stbuf/GenStBuf[3].stbuf_addrff/l1clk ), .RESET_B(n10876), .Q( \lsu/stbuf/stbuf_addr[3][7] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[3].stbuf_addrff/genblock.genblock.dff/dout_reg[8] ( .D(\lsu/stbuf/stbuf_addrin[3][8] ), .CLK( \lsu/stbuf/GenStBuf[3].stbuf_addrff/l1clk ), .RESET_B(n10876), .Q( \lsu/stbuf/stbuf_addr[3][8] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[3].stbuf_addrff/genblock.genblock.dff/dout_reg[9] ( .D(\lsu/stbuf/stbuf_addrin[3][9] ), .CLK( \lsu/stbuf/GenStBuf[3].stbuf_addrff/l1clk ), .RESET_B(n10876), .Q( \lsu/stbuf/stbuf_addr[3][9] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[3].stbuf_addrff/genblock.genblock.dff/dout_reg[10] ( .D(\lsu/stbuf/stbuf_addrin[3][10] ), .CLK( \lsu/stbuf/GenStBuf[3].stbuf_addrff/l1clk ), .RESET_B(n10876), .Q( \lsu/stbuf/stbuf_addr[3][10] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[3].stbuf_addrff/genblock.genblock.dff/dout_reg[11] ( .D(\lsu/stbuf/stbuf_addrin[3][11] ), .CLK( \lsu/stbuf/GenStBuf[3].stbuf_addrff/l1clk ), .RESET_B(n10876), .Q( \lsu/stbuf/stbuf_addr[3][11] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[3].stbuf_addrff/genblock.genblock.dff/dout_reg[12] ( .D(\lsu/stbuf/stbuf_addrin[3][12] ), .CLK( \lsu/stbuf/GenStBuf[3].stbuf_addrff/l1clk ), .RESET_B(n10876), .Q( \lsu/stbuf/stbuf_addr[3][12] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[3].stbuf_addrff/genblock.genblock.dff/dout_reg[13] ( .D(\lsu/stbuf/stbuf_addrin[3][13] ), .CLK( \lsu/stbuf/GenStBuf[3].stbuf_addrff/l1clk ), .RESET_B(n10876), .Q( \lsu/stbuf/stbuf_addr[3][13] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[3].stbuf_addrff/genblock.genblock.dff/dout_reg[14] ( .D(\lsu/stbuf/stbuf_addrin[3][14] ), .CLK( \lsu/stbuf/GenStBuf[3].stbuf_addrff/l1clk ), .RESET_B(n10876), .Q( \lsu/stbuf/stbuf_addr[3][14] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[3].stbuf_killff/genblk1.dffsc/dout_reg[0] ( .D(\lsu/stbuf/GenStBuf[3].stbuf_killff/din_new[0] ), .CLK( \lsu/lsu_free_c2_clk ), .RESET_B(n10876), .Q( \lsu/stbuf/stbuf_dma_kill [3]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[2].stbuf_addrff/genblock.genblock.dff/dout_reg[0] ( .D(\lsu/stbuf/stbuf_addrin[2][0] ), .CLK( \lsu/stbuf/GenStBuf[2].stbuf_addrff/l1clk ), .RESET_B(n10876), .Q( \lsu/stbuf/stbuf_addr[2][0] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[2].stbuf_addrff/genblock.genblock.dff/dout_reg[1] ( .D(\lsu/stbuf/stbuf_addrin[2][1] ), .CLK( \lsu/stbuf/GenStBuf[2].stbuf_addrff/l1clk ), .RESET_B(n10876), .Q( \lsu/stbuf/stbuf_addr[2][1] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[2].stbuf_addrff/genblock.genblock.dff/dout_reg[2] ( .D(\lsu/stbuf/stbuf_addrin[2][2] ), .CLK( \lsu/stbuf/GenStBuf[2].stbuf_addrff/l1clk ), .RESET_B(n10876), .Q( \lsu/stbuf/stbuf_addr[2][2] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[2].stbuf_addrff/genblock.genblock.dff/dout_reg[4] ( .D(\lsu/stbuf/stbuf_addrin[2][4] ), .CLK( \lsu/stbuf/GenStBuf[2].stbuf_addrff/l1clk ), .RESET_B(n10876), .Q( \lsu/stbuf/stbuf_addr[2][4] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[2].stbuf_addrff/genblock.genblock.dff/dout_reg[5] ( .D(\lsu/stbuf/stbuf_addrin[2][5] ), .CLK( \lsu/stbuf/GenStBuf[2].stbuf_addrff/l1clk ), .RESET_B(n10876), .Q( \lsu/stbuf/stbuf_addr[2][5] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[2].stbuf_addrff/genblock.genblock.dff/dout_reg[6] ( .D(\lsu/stbuf/stbuf_addrin[2][6] ), .CLK( \lsu/stbuf/GenStBuf[2].stbuf_addrff/l1clk ), .RESET_B(n10876), .Q( \lsu/stbuf/stbuf_addr[2][6] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[2].stbuf_addrff/genblock.genblock.dff/dout_reg[7] ( .D(\lsu/stbuf/stbuf_addrin[2][7] ), .CLK( \lsu/stbuf/GenStBuf[2].stbuf_addrff/l1clk ), .RESET_B(n10876), .Q( \lsu/stbuf/stbuf_addr[2][7] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[2].stbuf_addrff/genblock.genblock.dff/dout_reg[8] ( .D(\lsu/stbuf/stbuf_addrin[2][8] ), .CLK( \lsu/stbuf/GenStBuf[2].stbuf_addrff/l1clk ), .RESET_B(n10876), .Q( \lsu/stbuf/stbuf_addr[2][8] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[2].stbuf_addrff/genblock.genblock.dff/dout_reg[9] ( .D(\lsu/stbuf/stbuf_addrin[2][9] ), .CLK( \lsu/stbuf/GenStBuf[2].stbuf_addrff/l1clk ), .RESET_B(n25106), .Q( \lsu/stbuf/stbuf_addr[2][9] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[2].stbuf_addrff/genblock.genblock.dff/dout_reg[10] ( .D(\lsu/stbuf/stbuf_addrin[2][10] ), .CLK( \lsu/stbuf/GenStBuf[2].stbuf_addrff/l1clk ), .RESET_B(n25106), .Q( \lsu/stbuf/stbuf_addr[2][10] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[2].stbuf_addrff/genblock.genblock.dff/dout_reg[11] ( .D(\lsu/stbuf/stbuf_addrin[2][11] ), .CLK( \lsu/stbuf/GenStBuf[2].stbuf_addrff/l1clk ), .RESET_B(n25106), .Q( \lsu/stbuf/stbuf_addr[2][11] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[2].stbuf_addrff/genblock.genblock.dff/dout_reg[12] ( .D(\lsu/stbuf/stbuf_addrin[2][12] ), .CLK( \lsu/stbuf/GenStBuf[2].stbuf_addrff/l1clk ), .RESET_B(n25106), .Q( \lsu/stbuf/stbuf_addr[2][12] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[2].stbuf_addrff/genblock.genblock.dff/dout_reg[13] ( .D(\lsu/stbuf/stbuf_addrin[2][13] ), .CLK( \lsu/stbuf/GenStBuf[2].stbuf_addrff/l1clk ), .RESET_B(n25106), .Q( \lsu/stbuf/stbuf_addr[2][13] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[2].stbuf_addrff/genblock.genblock.dff/dout_reg[14] ( .D(\lsu/stbuf/stbuf_addrin[2][14] ), .CLK( \lsu/stbuf/GenStBuf[2].stbuf_addrff/l1clk ), .RESET_B(n25106), .Q( \lsu/stbuf/stbuf_addr[2][14] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[0].stbuf_byteenff/genblk1.dffsc/dout_reg[0] ( .D(\lsu/stbuf/GenStBuf[0].stbuf_byteenff/din_new[0] ), .CLK( \lsu/lsu_stbuf_c1_clk ), .RESET_B(n25106), .Q( \lsu/stbuf/stbuf_byteen[0][0] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[0].stbuf_byteenff/genblk1.dffsc/dout_reg[1] ( .D(\lsu/stbuf/GenStBuf[0].stbuf_byteenff/din_new[1] ), .CLK( \lsu/lsu_stbuf_c1_clk ), .RESET_B(n25106), .Q( \lsu/stbuf/stbuf_byteen[0][1] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[0].stbuf_byteenff/genblk1.dffsc/dout_reg[2] ( .D(\lsu/stbuf/GenStBuf[0].stbuf_byteenff/din_new[2] ), .CLK( \lsu/lsu_stbuf_c1_clk ), .RESET_B(n25106), .Q( \lsu/stbuf/stbuf_byteen[0][2] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[3].stbuf_byteenff/genblk1.dffsc/dout_reg[0] ( .D(\lsu/stbuf/GenStBuf[3].stbuf_byteenff/din_new[0] ), .CLK( \lsu/lsu_stbuf_c1_clk ), .RESET_B(n25106), .Q( \lsu/stbuf/stbuf_byteen[3][0] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[3].stbuf_byteenff/genblk1.dffsc/dout_reg[1] ( .D(\lsu/stbuf/GenStBuf[3].stbuf_byteenff/din_new[1] ), .CLK( \lsu/lsu_stbuf_c1_clk ), .RESET_B(n25106), .Q( \lsu/stbuf/stbuf_byteen[3][1] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[3].stbuf_byteenff/genblk1.dffsc/dout_reg[2] ( .D(\lsu/stbuf/GenStBuf[3].stbuf_byteenff/din_new[2] ), .CLK( \lsu/lsu_stbuf_c1_clk ), .RESET_B(n25105), .Q( \lsu/stbuf/stbuf_byteen[3][2] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[3].stbuf_byteenff/genblk1.dffsc/dout_reg[3] ( .D(\lsu/stbuf/GenStBuf[3].stbuf_byteenff/din_new[3] ), .CLK( \lsu/lsu_stbuf_c1_clk ), .RESET_B(n25105), .Q( \lsu/stbuf/stbuf_byteen[3][3] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[2].stbuf_byteenff/genblk1.dffsc/dout_reg[0] ( .D(\lsu/stbuf/GenStBuf[2].stbuf_byteenff/din_new[0] ), .CLK( \lsu/lsu_stbuf_c1_clk ), .RESET_B(n25105), .Q( \lsu/stbuf/stbuf_byteen[2][0] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[2].stbuf_byteenff/genblk1.dffsc/dout_reg[1] ( .D(\lsu/stbuf/GenStBuf[2].stbuf_byteenff/din_new[1] ), .CLK( \lsu/lsu_stbuf_c1_clk ), .RESET_B(n25105), .Q( \lsu/stbuf/stbuf_byteen[2][1] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[2].stbuf_byteenff/genblk1.dffsc/dout_reg[2] ( .D(\lsu/stbuf/GenStBuf[2].stbuf_byteenff/din_new[2] ), .CLK( \lsu/lsu_stbuf_c1_clk ), .RESET_B(n25105), .Q( \lsu/stbuf/stbuf_byteen[2][2] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[2].stbuf_byteenff/genblk1.dffsc/dout_reg[3] ( .D(\lsu/stbuf/GenStBuf[2].stbuf_byteenff/din_new[3] ), .CLK( \lsu/lsu_stbuf_c1_clk ), .RESET_B(n25105), .Q( \lsu/stbuf/stbuf_byteen[2][3] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[1].stbuf_byteenff/genblk1.dffsc/dout_reg[0] ( .D(\lsu/stbuf/GenStBuf[1].stbuf_byteenff/din_new[0] ), .CLK( \lsu/lsu_stbuf_c1_clk ), .RESET_B(n25105), .Q( \lsu/stbuf/stbuf_byteen[1][0] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[1].stbuf_dataff/genblock.genblock.dff/dout_reg[7] ( .D(\lsu/stbuf/stbuf_datain[1][7] ), .CLK( \lsu/stbuf/GenStBuf[1].stbuf_dataff/l1clk ), .RESET_B(n25105), .Q( \lsu/stbuf/stbuf_data[1][7] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U1_Plus1_0.store_data_lo_rff/dout_reg[7] ( .D(\lsu/dccm_ctl/store_data_lo_r_in [7]), .CLK( \lsu/lsu_store_c1_r_clk ), .RESET_B(n25105), .Q( \lsu/store_data_lo_r [7]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[3].stbuf_dataff/genblock.genblock.dff/dout_reg[7] ( .D(\lsu/stbuf/stbuf_datain[3][7] ), .CLK( \lsu/stbuf/GenStBuf[3].stbuf_dataff/l1clk ), .RESET_B(n25105), .Q( \lsu/stbuf/stbuf_data[3][7] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[2].stbuf_dataff/genblock.genblock.dff/dout_reg[7] ( .D(\lsu/stbuf/stbuf_datain[2][7] ), .CLK( \lsu/stbuf/GenStBuf[2].stbuf_dataff/l1clk ), .RESET_B(n25105), .Q( \lsu/stbuf/stbuf_data[2][7] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[0].stbuf_dataff/genblock.genblock.dff/dout_reg[7] ( .D(\lsu/stbuf/stbuf_datain[0][7] ), .CLK( \lsu/stbuf/GenStBuf[0].stbuf_dataff/l1clk ), .RESET_B(n25105), .Q( \lsu/stbuf/stbuf_data[0][7] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[1].stbuf_dataff/genblock.genblock.dff/dout_reg[15] ( .D(\lsu/stbuf/stbuf_datain[1][15] ), .CLK( \lsu/stbuf/GenStBuf[1].stbuf_dataff/l1clk ), .RESET_B(n25104), .Q( \lsu/stbuf/stbuf_data[1][15] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U1_Plus1_0.store_data_hi_rff/dout_reg[15] ( .D(\lsu/dccm_ctl/store_data_hi_r_in [15]), .CLK( \lsu/lsu_store_c1_r_clk ), .RESET_B(n25104), .Q( \lsu/store_data_hi_r [15]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[3].stbuf_dataff/genblock.genblock.dff/dout_reg[15] ( .D(\lsu/stbuf/stbuf_datain[3][15] ), .CLK( \lsu/stbuf/GenStBuf[3].stbuf_dataff/l1clk ), .RESET_B(n25104), .Q( \lsu/stbuf/stbuf_data[3][15] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[2].stbuf_dataff/genblock.genblock.dff/dout_reg[15] ( .D(\lsu/stbuf/stbuf_datain[2][15] ), .CLK( \lsu/stbuf/GenStBuf[2].stbuf_dataff/l1clk ), .RESET_B(n25104), .Q( \lsu/stbuf/stbuf_data[2][15] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[0].stbuf_dataff/genblock.genblock.dff/dout_reg[15] ( .D(\lsu/stbuf/stbuf_datain[0][15] ), .CLK( \lsu/stbuf/GenStBuf[0].stbuf_dataff/l1clk ), .RESET_B(n25104), .Q( \lsu/stbuf/stbuf_data[0][15] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[1].stbuf_byteenff/genblk1.dffsc/dout_reg[2] ( .D(\lsu/stbuf/GenStBuf[1].stbuf_byteenff/din_new[2] ), .CLK( \lsu/lsu_stbuf_c1_clk ), .RESET_B(n25104), .Q( \lsu/stbuf/stbuf_byteen[1][2] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[1].stbuf_byteenff/genblk1.dffsc/dout_reg[3] ( .D(\lsu/stbuf/GenStBuf[1].stbuf_byteenff/din_new[3] ), .CLK( \lsu/lsu_stbuf_c1_clk ), .RESET_B(n25104), .Q( \lsu/stbuf/stbuf_byteen[1][3] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[1].stbuf_dataff/genblock.genblock.dff/dout_reg[31] ( .D(\lsu/stbuf/stbuf_datain[1][31] ), .CLK( \lsu/stbuf/GenStBuf[1].stbuf_dataff/l1clk ), .RESET_B(n25104), .Q( \lsu/stbuf/stbuf_data[1][31] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U1_Plus1_0.store_data_hi_rff/dout_reg[31] ( .D(\lsu/dccm_ctl/store_data_hi_r_in [31]), .CLK( \lsu/lsu_store_c1_r_clk ), .RESET_B(n25104), .Q( \lsu/store_data_hi_r [31]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[3].stbuf_dataff/genblock.genblock.dff/dout_reg[31] ( .D(\lsu/stbuf/stbuf_datain[3][31] ), .CLK( \lsu/stbuf/GenStBuf[3].stbuf_dataff/l1clk ), .RESET_B(n25104), .Q( \lsu/stbuf/stbuf_data[3][31] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[2].stbuf_dataff/genblock.genblock.dff/dout_reg[31] ( .D(\lsu/stbuf/stbuf_datain[2][31] ), .CLK( \lsu/stbuf/GenStBuf[2].stbuf_dataff/l1clk ), .RESET_B(n25104), .Q( \lsu/stbuf/stbuf_data[2][31] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[0].stbuf_dataff/genblock.genblock.dff/dout_reg[31] ( .D(\lsu/stbuf/stbuf_datain[0][31] ), .CLK( \lsu/stbuf/GenStBuf[0].stbuf_dataff/l1clk ), .RESET_B(n25104), .Q( \lsu/stbuf/stbuf_data[0][31] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_raw_fwd_r_ff/dout_reg[0] ( .D( \lsu/lsu_raw_fwd_lo_m ), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25104), .Q(\lsu/lsu_raw_fwd_lo_r ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/sdmff/dout_reg[12] ( .D( \lsu/lsu_lsc_ctl/store_data_m_in [12]), .CLK(\lsu/lsu_store_c1_m_clk ), .RESET_B(n25103), .Q(\lsu/lsu_lsc_ctl/store_data_pre_m [12]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/bus_read_data_r_ff/dout_reg[28] ( .D(\lsu/bus_read_data_m [28]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B( n25103), .Q(\lsu/lsu_lsc_ctl/bus_read_data_r [28]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/samff/dout_reg[28] ( .D( \lsu/lsu_lsc_ctl/n79 ), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n25103), .Q(\lsu/lsu_addr_m [28]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/L2U_Plus1_0.lsu_error_pkt_rff/dout_reg[28] ( .D(\lsu/lsu_addr_m [28]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25103), .Q(\lsu_error_pkt_r[addr][28] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/sarff/dout_reg[28] ( .D( \lsu/lsu_addr_m [28]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25103), .Q( \lsu/lsu_addr_r [28]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/samff/dout_reg[27] ( .D( \lsu/lsu_lsc_ctl/n80 ), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n25103), .Q(\lsu/lsu_addr_m [27]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/L2U_Plus1_0.lsu_error_pkt_rff/dout_reg[27] ( .D(\lsu/lsu_addr_m [27]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25103), .Q(\lsu_error_pkt_r[addr][27] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/sarff/dout_reg[27] ( .D( \lsu/lsu_addr_m [27]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25103), .Q( \lsu/lsu_addr_r [27]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0_result_r_ff/genblock.genblock.dff/dout_reg[27] ( .D(\dec/decode/i0_result_x [27]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25103), .Q(\dec/decode/i0_result_r [27]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/sdmff/dout_reg[27] ( .D( \lsu/lsu_lsc_ctl/store_data_m_in [27]), .CLK(\lsu/lsu_store_c1_m_clk ), .RESET_B(n25103), .Q(\lsu/lsu_lsc_ctl/store_data_pre_m [27]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U1_Plus1_0.store_data_lo_rff/dout_reg[27] ( .D(\lsu/dccm_ctl/store_data_lo_r_in [27]), .CLK( \lsu/lsu_store_c1_r_clk ), .RESET_B(n25103), .Q( \lsu/store_data_lo_r [27]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[0].stbuf_dataff/genblock.genblock.dff/dout_reg[27] ( .D(\lsu/stbuf/stbuf_datain[0][27] ), .CLK( \lsu/stbuf/GenStBuf[0].stbuf_dataff/l1clk ), .RESET_B(n25103), .Q( \lsu/stbuf/stbuf_data[0][27] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U1_Plus1_0.store_data_hi_rff/dout_reg[27] ( .D(\lsu/dccm_ctl/store_data_hi_r_in [27]), .CLK( \lsu/lsu_store_c1_r_clk ), .RESET_B(n25102), .Q( \lsu/store_data_hi_r [27]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[3].stbuf_dataff/genblock.genblock.dff/dout_reg[27] ( .D(\lsu/stbuf/stbuf_datain[3][27] ), .CLK( \lsu/stbuf/GenStBuf[3].stbuf_dataff/l1clk ), .RESET_B(n25102), .Q( \lsu/stbuf/stbuf_data[3][27] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[2].stbuf_dataff/genblock.genblock.dff/dout_reg[27] ( .D(\lsu/stbuf/stbuf_datain[2][27] ), .CLK( \lsu/stbuf/GenStBuf[2].stbuf_dataff/l1clk ), .RESET_B(n25102), .Q( \lsu/stbuf/stbuf_data[2][27] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/bus_read_data_r_ff/dout_reg[11] ( .D(\lsu/bus_read_data_m [11]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B( n25102), .Q(\lsu/lsu_lsc_ctl/bus_read_data_r [11]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0_result_r_ff/genblock.genblock.dff/dout_reg[11] ( .D(\dec/decode/i0_result_x [11]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25102), .Q(\dec/decode/i0_result_r [11]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/sdmff/dout_reg[11] ( .D( \lsu/lsu_lsc_ctl/store_data_m_in [11]), .CLK(\lsu/lsu_store_c1_m_clk ), .RESET_B(n25102), .Q(\lsu/lsu_lsc_ctl/store_data_pre_m [11]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U1_Plus1_0.store_data_lo_rff/dout_reg[11] ( .D(\lsu/dccm_ctl/store_data_lo_r_in [11]), .CLK( \lsu/lsu_store_c1_r_clk ), .RESET_B(n25102), .Q( \lsu/store_data_lo_r [11]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[0].stbuf_dataff/genblock.genblock.dff/dout_reg[11] ( .D(\lsu/stbuf/stbuf_datain[0][11] ), .CLK( \lsu/stbuf/GenStBuf[0].stbuf_dataff/l1clk ), .RESET_B(n25102), .Q( \lsu/stbuf/stbuf_data[0][11] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U1_Plus1_0.store_data_hi_rff/dout_reg[11] ( .D(\lsu/dccm_ctl/store_data_hi_r_in [11]), .CLK( \lsu/lsu_store_c1_r_clk ), .RESET_B(n25102), .Q( \lsu/store_data_hi_r [11]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[3].stbuf_dataff/genblock.genblock.dff/dout_reg[11] ( .D(\lsu/stbuf/stbuf_datain[3][11] ), .CLK( \lsu/stbuf/GenStBuf[3].stbuf_dataff/l1clk ), .RESET_B(n25102), .Q( \lsu/stbuf/stbuf_data[3][11] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[2].stbuf_dataff/genblock.genblock.dff/dout_reg[11] ( .D(\lsu/stbuf/stbuf_datain[2][11] ), .CLK( \lsu/stbuf/GenStBuf[2].stbuf_dataff/l1clk ), .RESET_B(n25102), .Q( \lsu/stbuf/stbuf_data[2][11] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[1].stbuf_dataff/genblock.genblock.dff/dout_reg[11] ( .D(\lsu/stbuf/stbuf_datain[1][11] ), .CLK( \lsu/stbuf/GenStBuf[1].stbuf_dataff/l1clk ), .RESET_B(n25102), .Q( \lsu/stbuf/stbuf_data[1][11] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/bus_read_data_r_ff/dout_reg[19] ( .D(\lsu/bus_read_data_m [19]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B( n25101), .Q(\lsu/lsu_lsc_ctl/bus_read_data_r [19]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/L2U_Plus1_0.lsu_error_pkt_rff/dout_reg[19] ( .D(\lsu/lsu_addr_m [19]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25101), .Q(\lsu_error_pkt_r[addr][19] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/sarff/dout_reg[19] ( .D( \lsu/lsu_addr_m [19]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25101), .Q( \lsu/lsu_addr_r [19]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/addr_in_pic_mff/dout_reg[0] ( .D( \lsu/addr_in_pic_d ), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n25101), .Q( \lsu/addr_in_pic_m ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/addr_in_pic_rff/dout_reg[0] ( .D( \lsu/addr_in_pic_m ), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25101), .Q( \lsu/addr_in_pic_r ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/picm_mke_flop/dout_reg[0] ( .D( picm_mken), .CLK(active_clk), .RESET_B(n25101), .Q( \pic_ctrl_inst/picm_mken_ff ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/picm_rde_flop/dout_reg[0] ( .D( picm_rden), .CLK(active_clk), .RESET_B(n25101), .Q( \pic_ctrl_inst/picm_rden_ff ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/picm_wre_flop/dout_reg[0] ( .D( picm_wren), .CLK(active_clk), .RESET_B(n25100), .Q( \pic_ctrl_inst/picm_wren_ff ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/picm_dat_flop/dout_reg[7] ( .D( picm_wr_data[7]), .CLK(\pic_ctrl_inst/pic_data_c1_clk ), .RESET_B( n25100), .Q(\pic_ctrl_inst/picm_wr_data_ff [7]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/picm_dat_flop/dout_reg[11] ( .D( picm_wr_data[11]), .CLK(\pic_ctrl_inst/pic_data_c1_clk ), .RESET_B( n25100), .Q(\pic_ctrl_inst/picm_wr_data_ff [11]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/picm_dat_flop/dout_reg[15] ( .D( picm_wr_data[15]), .CLK(\pic_ctrl_inst/pic_data_c1_clk ), .RESET_B( n25113), .Q(\pic_ctrl_inst/picm_wr_data_ff [15]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/picm_dat_flop/dout_reg[27] ( .D( picm_wr_data[27]), .CLK(\pic_ctrl_inst/pic_data_c1_clk ), .RESET_B( n25113), .Q(\pic_ctrl_inst/picm_wr_data_ff [27]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/picm_dat_flop/dout_reg[31] ( .D( picm_wr_data[31]), .CLK(\pic_ctrl_inst/pic_data_c1_clk ), .RESET_B( n25113), .Q(\pic_ctrl_inst/picm_wr_data_ff [31]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/picm_wadd_flop/dout_reg[0] ( .D( picm_wraddr[0]), .CLK(\pic_ctrl_inst/pic_data_c1_clk ), .RESET_B( n25113), .Q(\pic_ctrl_inst/picm_waddr_ff [0]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/picm_wadd_flop/dout_reg[1] ( .D( picm_wraddr[1]), .CLK(\pic_ctrl_inst/pic_data_c1_clk ), .RESET_B( n25113), .Q(\pic_ctrl_inst/picm_waddr_ff [1]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/picm_wadd_flop/dout_reg[2] ( .D( picm_wraddr[2]), .CLK(\pic_ctrl_inst/pic_data_c1_clk ), .RESET_B( n25113), .Q(\pic_ctrl_inst/picm_waddr_ff [2]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/picm_wadd_flop/dout_reg[3] ( .D( picm_wraddr[3]), .CLK(\pic_ctrl_inst/pic_data_c1_clk ), .RESET_B( n25113), .Q(\pic_ctrl_inst/picm_waddr_ff [3]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/picm_wadd_flop/dout_reg[4] ( .D( picm_wraddr[4]), .CLK(\pic_ctrl_inst/pic_data_c1_clk ), .RESET_B( n25114), .Q(\pic_ctrl_inst/picm_waddr_ff [4]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/picm_wadd_flop/dout_reg[5] ( .D( picm_wraddr[5]), .CLK(\pic_ctrl_inst/pic_data_c1_clk ), .RESET_B( n25114), .Q(\pic_ctrl_inst/picm_waddr_ff [5]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/picm_wadd_flop/dout_reg[6] ( .D( picm_wraddr[6]), .CLK(\pic_ctrl_inst/pic_data_c1_clk ), .RESET_B( n25113), .Q(\pic_ctrl_inst/picm_waddr_ff [6]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/picm_wadd_flop/dout_reg[8] ( .D( picm_wraddr[8]), .CLK(\pic_ctrl_inst/pic_data_c1_clk ), .RESET_B( n25113), .Q(\pic_ctrl_inst/picm_waddr_ff [8]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/picm_wadd_flop/dout_reg[9] ( .D( picm_wraddr[9]), .CLK(\pic_ctrl_inst/pic_data_c1_clk ), .RESET_B( n25113), .Q(\pic_ctrl_inst/picm_waddr_ff [9]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/picm_wadd_flop/dout_reg[10] ( .D( picm_wraddr[10]), .CLK(\pic_ctrl_inst/pic_data_c1_clk ), .RESET_B( n25113), .Q(\pic_ctrl_inst/picm_waddr_ff [10]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/picm_wadd_flop/dout_reg[11] ( .D( picm_wraddr[11]), .CLK(\pic_ctrl_inst/pic_data_c1_clk ), .RESET_B( n25113), .Q(\pic_ctrl_inst/picm_waddr_ff [11]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/picm_wadd_flop/dout_reg[12] ( .D( picm_wraddr[12]), .CLK(\pic_ctrl_inst/pic_data_c1_clk ), .RESET_B( n25113), .Q(\pic_ctrl_inst/picm_waddr_ff [12]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/picm_wadd_flop/dout_reg[13] ( .D( picm_wraddr[13]), .CLK(\pic_ctrl_inst/pic_data_c1_clk ), .RESET_B( n25113), .Q(\pic_ctrl_inst/picm_waddr_ff [13]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/picm_wadd_flop/dout_reg[14] ( .D( picm_wraddr[14]), .CLK(\pic_ctrl_inst/pic_data_c1_clk ), .RESET_B( n25114), .Q(\pic_ctrl_inst/picm_waddr_ff [14]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/samff/dout_reg[25] ( .D( \lsu/lsu_lsc_ctl/n82 ), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n25113), .Q(\lsu/lsu_addr_m [25]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/L2U_Plus1_0.lsu_error_pkt_rff/dout_reg[25] ( .D(\lsu/lsu_addr_m [25]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25114), .Q(\lsu_error_pkt_r[addr][25] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/sarff/dout_reg[25] ( .D( \lsu/lsu_addr_m [25]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25114), .Q( \lsu/lsu_addr_r [25]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0_result_r_ff/genblock.genblock.dff/dout_reg[25] ( .D(\dec/decode/i0_result_x [25]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25113), .Q(\dec/decode/i0_result_r [25]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/sdmff/dout_reg[25] ( .D( \lsu/lsu_lsc_ctl/store_data_m_in [25]), .CLK(\lsu/lsu_store_c1_m_clk ), .RESET_B(n25113), .Q(\lsu/lsu_lsc_ctl/store_data_pre_m [25]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U1_Plus1_0.store_data_lo_rff/dout_reg[25] ( .D(\lsu/dccm_ctl/store_data_lo_r_in [25]), .CLK( \lsu/lsu_store_c1_r_clk ), .RESET_B(n25113), .Q( \lsu/store_data_lo_r [25]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/picm_dat_flop/dout_reg[25] ( .D( picm_wr_data[25]), .CLK(\pic_ctrl_inst/pic_data_c1_clk ), .RESET_B( n25113), .Q(\pic_ctrl_inst/picm_wr_data_ff [25]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U1_Plus1_0.store_data_hi_rff/dout_reg[25] ( .D(\lsu/dccm_ctl/store_data_hi_r_in [25]), .CLK( \lsu/lsu_store_c1_r_clk ), .RESET_B(n25114), .Q( \lsu/store_data_hi_r [25]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[3].stbuf_dataff/genblock.genblock.dff/dout_reg[25] ( .D(\lsu/stbuf/stbuf_datain[3][25] ), .CLK( \lsu/stbuf/GenStBuf[3].stbuf_dataff/l1clk ), .RESET_B(n25113), .Q( \lsu/stbuf/stbuf_data[3][25] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[2].stbuf_dataff/genblock.genblock.dff/dout_reg[25] ( .D(\lsu/stbuf/stbuf_datain[2][25] ), .CLK( \lsu/stbuf/GenStBuf[2].stbuf_dataff/l1clk ), .RESET_B(n25113), .Q( \lsu/stbuf/stbuf_data[2][25] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[1].stbuf_dataff/genblock.genblock.dff/dout_reg[25] ( .D(\lsu/stbuf/stbuf_datain[1][25] ), .CLK( \lsu/stbuf/GenStBuf[1].stbuf_dataff/l1clk ), .RESET_B(n25113), .Q( \lsu/stbuf/stbuf_data[1][25] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/bus_read_data_r_ff/dout_reg[17] ( .D(\lsu/bus_read_data_m [17]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B( n25113), .Q(\lsu/lsu_lsc_ctl/bus_read_data_r [17]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/samff/dout_reg[17] ( .D( \lsu/lsu_lsc_ctl/n90 ), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n25113), .Q(\lsu/lsu_addr_m [17]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/L2U_Plus1_0.lsu_error_pkt_rff/dout_reg[17] ( .D(\lsu/lsu_addr_m [17]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25113), .Q(\lsu_error_pkt_r[addr][17] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/sarff/dout_reg[17] ( .D( \lsu/lsu_addr_m [17]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25113), .Q( \lsu/lsu_addr_r [17]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0_result_r_ff/genblock.genblock.dff/dout_reg[17] ( .D(\dec/decode/i0_result_x [17]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25114), .Q(\dec/decode/i0_result_r [17]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/sdmff/dout_reg[17] ( .D( \lsu/lsu_lsc_ctl/store_data_m_in [17]), .CLK(\lsu/lsu_store_c1_m_clk ), .RESET_B(n25113), .Q(\lsu/lsu_lsc_ctl/store_data_pre_m [17]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U1_Plus1_0.store_data_lo_rff/dout_reg[17] ( .D(\lsu/dccm_ctl/store_data_lo_r_in [17]), .CLK( \lsu/lsu_store_c1_r_clk ), .RESET_B(n25113), .Q( \lsu/store_data_lo_r [17]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/picm_dat_flop/dout_reg[17] ( .D( picm_wr_data[17]), .CLK(\pic_ctrl_inst/pic_data_c1_clk ), .RESET_B( n25113), .Q(\pic_ctrl_inst/picm_wr_data_ff [17]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[0].stbuf_dataff/genblock.genblock.dff/dout_reg[17] ( .D(\lsu/stbuf/stbuf_datain[0][17] ), .CLK( \lsu/stbuf/GenStBuf[0].stbuf_dataff/l1clk ), .RESET_B(n25113), .Q( \lsu/stbuf/stbuf_data[0][17] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U1_Plus1_0.store_data_hi_rff/dout_reg[17] ( .D(\lsu/dccm_ctl/store_data_hi_r_in [17]), .CLK( \lsu/lsu_store_c1_r_clk ), .RESET_B(n25114), .Q( \lsu/store_data_hi_r [17]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[2].stbuf_dataff/genblock.genblock.dff/dout_reg[17] ( .D(\lsu/stbuf/stbuf_datain[2][17] ), .CLK( \lsu/stbuf/GenStBuf[2].stbuf_dataff/l1clk ), .RESET_B(n25114), .Q( \lsu/stbuf/stbuf_data[2][17] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[1].stbuf_dataff/genblock.genblock.dff/dout_reg[17] ( .D(\lsu/stbuf/stbuf_datain[1][17] ), .CLK( \lsu/stbuf/GenStBuf[1].stbuf_dataff/l1clk ), .RESET_B(n25113), .Q( \lsu/stbuf/stbuf_data[1][17] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/bus_read_data_r_ff/dout_reg[9] ( .D(\lsu/bus_read_data_m [9]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B( n25113), .Q(\lsu/lsu_lsc_ctl/bus_read_data_r [9]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0_result_r_ff/genblock.genblock.dff/dout_reg[9] ( .D(\dec/decode/i0_result_x [9]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25113), .Q(\dec/decode/i0_result_r [9]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/sdmff/dout_reg[9] ( .D( \lsu/lsu_lsc_ctl/store_data_m_in [9]), .CLK(\lsu/lsu_store_c1_m_clk ), .RESET_B(n25113), .Q(\lsu/lsu_lsc_ctl/store_data_pre_m [9]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U1_Plus1_0.store_data_lo_rff/dout_reg[9] ( .D(\lsu/dccm_ctl/store_data_lo_r_in [9]), .CLK( \lsu/lsu_store_c1_r_clk ), .RESET_B(n25113), .Q( \lsu/store_data_lo_r [9]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/picm_dat_flop/dout_reg[9] ( .D( picm_wr_data[9]), .CLK(\pic_ctrl_inst/pic_data_c1_clk ), .RESET_B( n25114), .Q(\pic_ctrl_inst/picm_wr_data_ff [9]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[0].stbuf_dataff/genblock.genblock.dff/dout_reg[9] ( .D(\lsu/stbuf/stbuf_datain[0][9] ), .CLK( \lsu/stbuf/GenStBuf[0].stbuf_dataff/l1clk ), .RESET_B(n25113), .Q( \lsu/stbuf/stbuf_data[0][9] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U1_Plus1_0.store_data_hi_rff/dout_reg[9] ( .D(\lsu/dccm_ctl/store_data_hi_r_in [9]), .CLK( \lsu/lsu_store_c1_r_clk ), .RESET_B(n25113), .Q( \lsu/store_data_hi_r [9]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[3].stbuf_dataff/genblock.genblock.dff/dout_reg[9] ( .D(\lsu/stbuf/stbuf_datain[3][9] ), .CLK( \lsu/stbuf/GenStBuf[3].stbuf_dataff/l1clk ), .RESET_B(n25113), .Q( \lsu/stbuf/stbuf_data[3][9] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[2].stbuf_dataff/genblock.genblock.dff/dout_reg[9] ( .D(\lsu/stbuf/stbuf_datain[2][9] ), .CLK( \lsu/stbuf/GenStBuf[2].stbuf_dataff/l1clk ), .RESET_B(n25113), .Q( \lsu/stbuf/stbuf_data[2][9] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[1].stbuf_dataff/genblock.genblock.dff/dout_reg[9] ( .D(\lsu/stbuf/stbuf_datain[1][9] ), .CLK( \lsu/stbuf/GenStBuf[1].stbuf_dataff/l1clk ), .RESET_B(n25114), .Q( \lsu/stbuf/stbuf_data[1][9] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/bus_read_data_r_ff/dout_reg[1] ( .D(\lsu/bus_read_data_m [1]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B( n25113), .Q(\lsu/lsu_lsc_ctl/bus_read_data_r [1]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0_result_r_ff/genblock.genblock.dff/dout_reg[1] ( .D(\dec/decode/i0_result_x [1]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25113), .Q(\dec/decode/i0_result_r [1]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U1_Plus1_0.store_data_lo_rff/dout_reg[1] ( .D(\lsu/dccm_ctl/store_data_lo_r_in [1]), .CLK( \lsu/lsu_store_c1_r_clk ), .RESET_B(n25113), .Q( \lsu/store_data_lo_r [1]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/picm_dat_flop/dout_reg[1] ( .D( picm_wr_data[1]), .CLK(\pic_ctrl_inst/pic_data_c1_clk ), .RESET_B( n25113), .Q(\pic_ctrl_inst/picm_wr_data_ff [1]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[2].NON_ZERO_INT.gw_config_ff/genblock.dffs/dout_reg[1] ( .D(\pic_ctrl_inst/SETREG[2].NON_ZERO_INT.gw_config_ff/_0_net_[1] ), .CLK(\pic_ctrl_inst/gw_config_c1_clk ), .RESET_B(n25113), .Q( \pic_ctrl_inst/gw_config_reg[2][1] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[3].NON_ZERO_INT.gw_config_ff/genblock.dffs/dout_reg[1] ( .D(\pic_ctrl_inst/SETREG[3].NON_ZERO_INT.gw_config_ff/_0_net_[1] ), .CLK(\pic_ctrl_inst/gw_config_c1_clk ), .RESET_B(n25113), .Q( \pic_ctrl_inst/gw_config_reg[3][1] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[4].NON_ZERO_INT.gw_config_ff/genblock.dffs/dout_reg[1] ( .D(\pic_ctrl_inst/SETREG[4].NON_ZERO_INT.gw_config_ff/_0_net_[1] ), .CLK(\pic_ctrl_inst/gw_config_c1_clk ), .RESET_B(n25113), .Q( \pic_ctrl_inst/gw_config_reg[4][1] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[5].NON_ZERO_INT.gw_config_ff/genblock.dffs/dout_reg[1] ( .D(\pic_ctrl_inst/SETREG[5].NON_ZERO_INT.gw_config_ff/_0_net_[1] ), .CLK(\pic_ctrl_inst/gw_config_c1_clk ), .RESET_B(n25113), .Q( \pic_ctrl_inst/gw_config_reg[5][1] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[6].NON_ZERO_INT.gw_config_ff/genblock.dffs/dout_reg[1] ( .D(\pic_ctrl_inst/SETREG[6].NON_ZERO_INT.gw_config_ff/_0_net_[1] ), .CLK(\pic_ctrl_inst/gw_config_c1_clk ), .RESET_B(n25113), .Q( \pic_ctrl_inst/gw_config_reg[6][1] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[7].NON_ZERO_INT.gw_config_ff/genblock.dffs/dout_reg[1] ( .D(\pic_ctrl_inst/SETREG[7].NON_ZERO_INT.gw_config_ff/_0_net_[1] ), .CLK(\pic_ctrl_inst/gw_config_c1_clk ), .RESET_B(n25114), .Q( \pic_ctrl_inst/gw_config_reg[7][1] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[8].NON_ZERO_INT.gw_config_ff/genblock.dffs/dout_reg[1] ( .D(\pic_ctrl_inst/SETREG[8].NON_ZERO_INT.gw_config_ff/_0_net_[1] ), .CLK(\pic_ctrl_inst/gw_config_c1_clk ), .RESET_B(n25113), .Q( \pic_ctrl_inst/gw_config_reg[8][1] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[9].NON_ZERO_INT.gw_config_ff/genblock.dffs/dout_reg[1] ( .D(\pic_ctrl_inst/SETREG[9].NON_ZERO_INT.gw_config_ff/_0_net_[1] ), .CLK(\pic_ctrl_inst/gw_config_c1_clk ), .RESET_B(n25113), .Q( \pic_ctrl_inst/gw_config_reg[9][1] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[10].NON_ZERO_INT.gw_config_ff/genblock.dffs/dout_reg[1] ( .D(\pic_ctrl_inst/SETREG[10].NON_ZERO_INT.gw_config_ff/_0_net_[1] ), .CLK(\pic_ctrl_inst/gw_config_c1_clk ), .RESET_B(n25113), .Q( \pic_ctrl_inst/gw_config_reg[10][1] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[11].NON_ZERO_INT.gw_config_ff/genblock.dffs/dout_reg[1] ( .D(\pic_ctrl_inst/SETREG[11].NON_ZERO_INT.gw_config_ff/_0_net_[1] ), .CLK(\pic_ctrl_inst/gw_config_c1_clk ), .RESET_B(n25113), .Q( \pic_ctrl_inst/gw_config_reg[11][1] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[12].NON_ZERO_INT.gw_config_ff/genblock.dffs/dout_reg[1] ( .D(\pic_ctrl_inst/SETREG[12].NON_ZERO_INT.gw_config_ff/_0_net_[1] ), .CLK(\pic_ctrl_inst/gw_config_c1_clk ), .RESET_B(n25113), .Q( \pic_ctrl_inst/gw_config_reg[12][1] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[13].NON_ZERO_INT.gw_config_ff/genblock.dffs/dout_reg[1] ( .D(\pic_ctrl_inst/SETREG[13].NON_ZERO_INT.gw_config_ff/_0_net_[1] ), .CLK(\pic_ctrl_inst/gw_config_c1_clk ), .RESET_B(n25114), .Q( \pic_ctrl_inst/gw_config_reg[13][1] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[15].NON_ZERO_INT.gw_config_ff/genblock.dffs/dout_reg[1] ( .D(\pic_ctrl_inst/SETREG[15].NON_ZERO_INT.gw_config_ff/_0_net_[1] ), .CLK(\pic_ctrl_inst/gw_config_c1_clk ), .RESET_B(n25113), .Q( \pic_ctrl_inst/gw_config_reg[15][1] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[16].NON_ZERO_INT.gw_config_ff/genblock.dffs/dout_reg[1] ( .D(\pic_ctrl_inst/SETREG[16].NON_ZERO_INT.gw_config_ff/_0_net_[1] ), .CLK(\pic_ctrl_inst/gw_config_c1_clk ), .RESET_B(n25113), .Q( \pic_ctrl_inst/gw_config_reg[16][1] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[17].NON_ZERO_INT.gw_config_ff/genblock.dffs/dout_reg[1] ( .D(\pic_ctrl_inst/SETREG[17].NON_ZERO_INT.gw_config_ff/_0_net_[1] ), .CLK(\pic_ctrl_inst/gw_config_c1_clk ), .RESET_B(n25113), .Q( \pic_ctrl_inst/gw_config_reg[17][1] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[18].NON_ZERO_INT.gw_config_ff/genblock.dffs/dout_reg[1] ( .D(\pic_ctrl_inst/SETREG[18].NON_ZERO_INT.gw_config_ff/_0_net_[1] ), .CLK(\pic_ctrl_inst/gw_config_c1_clk ), .RESET_B(n25113), .Q( \pic_ctrl_inst/gw_config_reg[18][1] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[19].NON_ZERO_INT.gw_config_ff/genblock.dffs/dout_reg[1] ( .D(\pic_ctrl_inst/SETREG[19].NON_ZERO_INT.gw_config_ff/_0_net_[1] ), .CLK(\pic_ctrl_inst/gw_config_c1_clk ), .RESET_B(n25113), .Q( \pic_ctrl_inst/gw_config_reg[19][1] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[20].NON_ZERO_INT.gw_config_ff/genblock.dffs/dout_reg[1] ( .D(\pic_ctrl_inst/SETREG[20].NON_ZERO_INT.gw_config_ff/_0_net_[1] ), .CLK(\pic_ctrl_inst/gw_config_c1_clk ), .RESET_B(n25114), .Q( \pic_ctrl_inst/gw_config_reg[20][1] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[21].NON_ZERO_INT.gw_config_ff/genblock.dffs/dout_reg[1] ( .D(\pic_ctrl_inst/SETREG[21].NON_ZERO_INT.gw_config_ff/_0_net_[1] ), .CLK(\pic_ctrl_inst/gw_config_c1_clk ), .RESET_B(n25114), .Q( \pic_ctrl_inst/gw_config_reg[21][1] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[22].NON_ZERO_INT.gw_config_ff/genblock.dffs/dout_reg[1] ( .D(\pic_ctrl_inst/SETREG[22].NON_ZERO_INT.gw_config_ff/_0_net_[1] ), .CLK(\pic_ctrl_inst/gw_config_c1_clk ), .RESET_B(n25113), .Q( \pic_ctrl_inst/gw_config_reg[22][1] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[23].NON_ZERO_INT.gw_config_ff/genblock.dffs/dout_reg[1] ( .D(\pic_ctrl_inst/SETREG[23].NON_ZERO_INT.gw_config_ff/_0_net_[1] ), .CLK(\pic_ctrl_inst/gw_config_c1_clk ), .RESET_B(n25113), .Q( \pic_ctrl_inst/gw_config_reg[23][1] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[24].NON_ZERO_INT.gw_config_ff/genblock.dffs/dout_reg[1] ( .D(\pic_ctrl_inst/SETREG[24].NON_ZERO_INT.gw_config_ff/_0_net_[1] ), .CLK(\pic_ctrl_inst/gw_config_c1_clk ), .RESET_B(n25113), .Q( \pic_ctrl_inst/gw_config_reg[24][1] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/samff/dout_reg[24] ( .D( \lsu/lsu_lsc_ctl/n83 ), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n25113), .Q(\lsu/lsu_addr_m [24]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/L2U_Plus1_0.lsu_error_pkt_rff/dout_reg[24] ( .D(\lsu/lsu_addr_m [24]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25114), .Q(\lsu_error_pkt_r[addr][24] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/sarff/dout_reg[24] ( .D( \lsu/lsu_addr_m [24]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25114), .Q( \lsu/lsu_addr_r [24]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0_result_r_ff/genblock.genblock.dff/dout_reg[24] ( .D(\dec/decode/i0_result_x [24]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25113), .Q(\dec/decode/i0_result_r [24]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U1_Plus1_0.store_data_lo_rff/dout_reg[24] ( .D(\lsu/dccm_ctl/store_data_lo_r_in [24]), .CLK( \lsu/lsu_store_c1_r_clk ), .RESET_B(n25113), .Q( \lsu/store_data_lo_r [24]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/picm_dat_flop/dout_reg[24] ( .D( picm_wr_data[24]), .CLK(\pic_ctrl_inst/pic_data_c1_clk ), .RESET_B( n25113), .Q(\pic_ctrl_inst/picm_wr_data_ff [24]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[0].stbuf_dataff/genblock.genblock.dff/dout_reg[24] ( .D(\lsu/stbuf/stbuf_datain[0][24] ), .CLK( \lsu/stbuf/GenStBuf[0].stbuf_dataff/l1clk ), .RESET_B(n25114), .Q( \lsu/stbuf/stbuf_data[0][24] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U1_Plus1_0.store_data_hi_rff/dout_reg[24] ( .D(\lsu/dccm_ctl/store_data_hi_r_in [24]), .CLK( \lsu/lsu_store_c1_r_clk ), .RESET_B(n25114), .Q( \lsu/store_data_hi_r [24]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[3].stbuf_dataff/genblock.genblock.dff/dout_reg[24] ( .D(\lsu/stbuf/stbuf_datain[3][24] ), .CLK( \lsu/stbuf/GenStBuf[3].stbuf_dataff/l1clk ), .RESET_B(n25113), .Q( \lsu/stbuf/stbuf_data[3][24] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[2].stbuf_dataff/genblock.genblock.dff/dout_reg[24] ( .D(\lsu/stbuf/stbuf_datain[2][24] ), .CLK( \lsu/stbuf/GenStBuf[2].stbuf_dataff/l1clk ), .RESET_B(n25114), .Q( \lsu/stbuf/stbuf_data[2][24] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[1].stbuf_dataff/genblock.genblock.dff/dout_reg[24] ( .D(\lsu/stbuf/stbuf_datain[1][24] ), .CLK( \lsu/stbuf/GenStBuf[1].stbuf_dataff/l1clk ), .RESET_B(n25114), .Q( \lsu/stbuf/stbuf_data[1][24] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/bus_read_data_r_ff/dout_reg[0] ( .D(\lsu/bus_read_data_m [0]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B( n25114), .Q(\lsu/lsu_lsc_ctl/bus_read_data_r [0]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0_result_r_ff/genblock.genblock.dff/dout_reg[0] ( .D(\dec/decode/i0_result_x [0]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25114), .Q(\dec/decode/i0_result_r [0]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/sdmff/dout_reg[0] ( .D( \lsu/lsu_lsc_ctl/store_data_m_in [0]), .CLK(\lsu/lsu_store_c1_m_clk ), .RESET_B(n25114), .Q(\lsu/lsu_lsc_ctl/store_data_pre_m [0]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U1_Plus1_0.store_data_lo_rff/dout_reg[0] ( .D(\lsu/dccm_ctl/store_data_lo_r_in [0]), .CLK( \lsu/lsu_store_c1_r_clk ), .RESET_B(n25114), .Q( \lsu/store_data_lo_r [0]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/samff/dout_reg[16] ( .D( \lsu/lsu_lsc_ctl/n91 ), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n25114), .Q(\lsu/lsu_addr_m [16]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/L2U_Plus1_0.lsu_error_pkt_rff/dout_reg[16] ( .D(\lsu/lsu_addr_m [16]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25114), .Q(\lsu_error_pkt_r[addr][16] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/sarff/dout_reg[16] ( .D( \lsu/lsu_addr_m [16]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25114), .Q( \lsu/lsu_addr_r [16]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0_result_r_ff/genblock.genblock.dff/dout_reg[16] ( .D(\dec/decode/i0_result_x [16]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25114), .Q(\dec/decode/i0_result_r [16]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/sdmff/dout_reg[16] ( .D( \lsu/lsu_lsc_ctl/store_data_m_in [16]), .CLK(\lsu/lsu_store_c1_m_clk ), .RESET_B(n25114), .Q(\lsu/lsu_lsc_ctl/store_data_pre_m [16]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U1_Plus1_0.store_data_hi_rff/dout_reg[0] ( .D(\lsu/dccm_ctl/store_data_hi_r_in [0]), .CLK( \lsu/lsu_store_c1_r_clk ), .RESET_B(n25114), .Q( \lsu/store_data_hi_r [0]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[0].stbuf_dataff/genblock.genblock.dff/dout_reg[0] ( .D(\lsu/stbuf/stbuf_datain[0][0] ), .CLK( \lsu/stbuf/GenStBuf[0].stbuf_dataff/l1clk ), .RESET_B(n25114), .Q( \lsu/stbuf/stbuf_data[0][0] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/picm_dat_flop/dout_reg[0] ( .D( picm_wr_data[0]), .CLK(\pic_ctrl_inst/pic_data_c1_clk ), .RESET_B( n25114), .Q(\pic_ctrl_inst/picm_wr_data_ff [0]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[2].NON_ZERO_INT.gw_config_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[2].NON_ZERO_INT.gw_config_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/gw_config_c1_clk ), .RESET_B(n25114), .Q( \pic_ctrl_inst/gw_config_reg[2][0] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[2].NON_ZERO_INT.config_gw_inst/int_pend_ff/dout_reg[0] ( .D( \pic_ctrl_inst/SETREG[2].NON_ZERO_INT.config_gw_inst/gw_int_pending_in ), .CLK(clk), .RESET_B(n25114), .Q( \pic_ctrl_inst/SETREG[2].NON_ZERO_INT.config_gw_inst/gw_int_pending ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[3].NON_ZERO_INT.gw_config_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[3].NON_ZERO_INT.gw_config_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/gw_config_c1_clk ), .RESET_B(n25114), .Q( \pic_ctrl_inst/gw_config_reg[3][0] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[3].NON_ZERO_INT.config_gw_inst/int_pend_ff/dout_reg[0] ( .D( \pic_ctrl_inst/SETREG[3].NON_ZERO_INT.config_gw_inst/gw_int_pending_in ), .CLK(clk), .RESET_B(n25114), .Q( \pic_ctrl_inst/SETREG[3].NON_ZERO_INT.config_gw_inst/gw_int_pending ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[4].NON_ZERO_INT.gw_config_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[4].NON_ZERO_INT.gw_config_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/gw_config_c1_clk ), .RESET_B(n25114), .Q( \pic_ctrl_inst/gw_config_reg[4][0] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[4].NON_ZERO_INT.config_gw_inst/int_pend_ff/dout_reg[0] ( .D( \pic_ctrl_inst/SETREG[4].NON_ZERO_INT.config_gw_inst/gw_int_pending_in ), .CLK(clk), .RESET_B(n25114), .Q( \pic_ctrl_inst/SETREG[4].NON_ZERO_INT.config_gw_inst/gw_int_pending ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[5].NON_ZERO_INT.gw_config_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[5].NON_ZERO_INT.gw_config_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/gw_config_c1_clk ), .RESET_B(n25114), .Q( \pic_ctrl_inst/gw_config_reg[5][0] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[5].NON_ZERO_INT.config_gw_inst/int_pend_ff/dout_reg[0] ( .D( \pic_ctrl_inst/SETREG[5].NON_ZERO_INT.config_gw_inst/gw_int_pending_in ), .CLK(clk), .RESET_B(n25114), .Q( \pic_ctrl_inst/SETREG[5].NON_ZERO_INT.config_gw_inst/gw_int_pending ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[6].NON_ZERO_INT.gw_config_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[6].NON_ZERO_INT.gw_config_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/gw_config_c1_clk ), .RESET_B(n25114), .Q( \pic_ctrl_inst/gw_config_reg[6][0] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[6].NON_ZERO_INT.config_gw_inst/int_pend_ff/dout_reg[0] ( .D( \pic_ctrl_inst/SETREG[6].NON_ZERO_INT.config_gw_inst/gw_int_pending_in ), .CLK(clk), .RESET_B(n25114), .Q( \pic_ctrl_inst/SETREG[6].NON_ZERO_INT.config_gw_inst/gw_int_pending ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[7].NON_ZERO_INT.config_gw_inst/int_pend_ff/dout_reg[0] ( .D( \pic_ctrl_inst/SETREG[7].NON_ZERO_INT.config_gw_inst/gw_int_pending_in ), .CLK(clk), .RESET_B(n25113), .Q( \pic_ctrl_inst/SETREG[7].NON_ZERO_INT.config_gw_inst/gw_int_pending ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[8].NON_ZERO_INT.gw_config_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[8].NON_ZERO_INT.gw_config_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/gw_config_c1_clk ), .RESET_B(n25113), .Q( \pic_ctrl_inst/gw_config_reg[8][0] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[8].NON_ZERO_INT.config_gw_inst/int_pend_ff/dout_reg[0] ( .D( \pic_ctrl_inst/SETREG[8].NON_ZERO_INT.config_gw_inst/gw_int_pending_in ), .CLK(clk), .RESET_B(n25113), .Q( \pic_ctrl_inst/SETREG[8].NON_ZERO_INT.config_gw_inst/gw_int_pending ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[9].NON_ZERO_INT.gw_config_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[9].NON_ZERO_INT.gw_config_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/gw_config_c1_clk ), .RESET_B(n25113), .Q( \pic_ctrl_inst/gw_config_reg[9][0] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[9].NON_ZERO_INT.config_gw_inst/int_pend_ff/dout_reg[0] ( .D( \pic_ctrl_inst/SETREG[9].NON_ZERO_INT.config_gw_inst/gw_int_pending_in ), .CLK(clk), .RESET_B(n25113), .Q( \pic_ctrl_inst/SETREG[9].NON_ZERO_INT.config_gw_inst/gw_int_pending ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[10].NON_ZERO_INT.gw_config_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[10].NON_ZERO_INT.gw_config_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/gw_config_c1_clk ), .RESET_B(n25113), .Q( \pic_ctrl_inst/gw_config_reg[10][0] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[10].NON_ZERO_INT.config_gw_inst/int_pend_ff/dout_reg[0] ( .D( \pic_ctrl_inst/SETREG[10].NON_ZERO_INT.config_gw_inst/gw_int_pending_in ), .CLK(clk), .RESET_B(n25113), .Q( \pic_ctrl_inst/SETREG[10].NON_ZERO_INT.config_gw_inst/gw_int_pending ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[11].NON_ZERO_INT.gw_config_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[11].NON_ZERO_INT.gw_config_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/gw_config_c1_clk ), .RESET_B(n25113), .Q( \pic_ctrl_inst/gw_config_reg[11][0] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[11].NON_ZERO_INT.config_gw_inst/int_pend_ff/dout_reg[0] ( .D( \pic_ctrl_inst/SETREG[11].NON_ZERO_INT.config_gw_inst/gw_int_pending_in ), .CLK(clk), .RESET_B(n25113), .Q( \pic_ctrl_inst/SETREG[11].NON_ZERO_INT.config_gw_inst/gw_int_pending ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[12].NON_ZERO_INT.gw_config_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[12].NON_ZERO_INT.gw_config_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/gw_config_c1_clk ), .RESET_B(n25113), .Q( \pic_ctrl_inst/gw_config_reg[12][0] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[12].NON_ZERO_INT.config_gw_inst/int_pend_ff/dout_reg[0] ( .D( \pic_ctrl_inst/SETREG[12].NON_ZERO_INT.config_gw_inst/gw_int_pending_in ), .CLK(clk), .RESET_B(n25113), .Q( \pic_ctrl_inst/SETREG[12].NON_ZERO_INT.config_gw_inst/gw_int_pending ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[13].NON_ZERO_INT.gw_config_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[13].NON_ZERO_INT.gw_config_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/gw_config_c1_clk ), .RESET_B(n25113), .Q( \pic_ctrl_inst/gw_config_reg[13][0] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[13].NON_ZERO_INT.config_gw_inst/int_pend_ff/dout_reg[0] ( .D( \pic_ctrl_inst/SETREG[13].NON_ZERO_INT.config_gw_inst/gw_int_pending_in ), .CLK(clk), .RESET_B(n25113), .Q( \pic_ctrl_inst/SETREG[13].NON_ZERO_INT.config_gw_inst/gw_int_pending ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[14].NON_ZERO_INT.gw_config_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[14].NON_ZERO_INT.gw_config_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/gw_config_c1_clk ), .RESET_B(n25113), .Q( \pic_ctrl_inst/gw_config_reg[14][0] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[15].NON_ZERO_INT.gw_config_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[15].NON_ZERO_INT.gw_config_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/gw_config_c1_clk ), .RESET_B(n25113), .Q( \pic_ctrl_inst/gw_config_reg[15][0] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[15].NON_ZERO_INT.config_gw_inst/int_pend_ff/dout_reg[0] ( .D( \pic_ctrl_inst/SETREG[15].NON_ZERO_INT.config_gw_inst/gw_int_pending_in ), .CLK(clk), .RESET_B(n25113), .Q( \pic_ctrl_inst/SETREG[15].NON_ZERO_INT.config_gw_inst/gw_int_pending ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[16].NON_ZERO_INT.gw_config_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[16].NON_ZERO_INT.gw_config_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/gw_config_c1_clk ), .RESET_B(n25113), .Q( \pic_ctrl_inst/gw_config_reg[16][0] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[16].NON_ZERO_INT.config_gw_inst/int_pend_ff/dout_reg[0] ( .D( \pic_ctrl_inst/SETREG[16].NON_ZERO_INT.config_gw_inst/gw_int_pending_in ), .CLK(clk), .RESET_B(n25113), .Q( \pic_ctrl_inst/SETREG[16].NON_ZERO_INT.config_gw_inst/gw_int_pending ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[17].NON_ZERO_INT.gw_config_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[17].NON_ZERO_INT.gw_config_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/gw_config_c1_clk ), .RESET_B(n25113), .Q( \pic_ctrl_inst/gw_config_reg[17][0] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[17].NON_ZERO_INT.config_gw_inst/int_pend_ff/dout_reg[0] ( .D( \pic_ctrl_inst/SETREG[17].NON_ZERO_INT.config_gw_inst/gw_int_pending_in ), .CLK(clk), .RESET_B(n25113), .Q( \pic_ctrl_inst/SETREG[17].NON_ZERO_INT.config_gw_inst/gw_int_pending ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[18].NON_ZERO_INT.gw_config_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[18].NON_ZERO_INT.gw_config_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/gw_config_c1_clk ), .RESET_B(n25113), .Q( \pic_ctrl_inst/gw_config_reg[18][0] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[18].NON_ZERO_INT.config_gw_inst/int_pend_ff/dout_reg[0] ( .D( \pic_ctrl_inst/SETREG[18].NON_ZERO_INT.config_gw_inst/gw_int_pending_in ), .CLK(clk), .RESET_B(n25113), .Q( \pic_ctrl_inst/SETREG[18].NON_ZERO_INT.config_gw_inst/gw_int_pending ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[19].NON_ZERO_INT.gw_config_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[19].NON_ZERO_INT.gw_config_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/gw_config_c1_clk ), .RESET_B(n25113), .Q( \pic_ctrl_inst/gw_config_reg[19][0] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[19].NON_ZERO_INT.config_gw_inst/int_pend_ff/dout_reg[0] ( .D( \pic_ctrl_inst/SETREG[19].NON_ZERO_INT.config_gw_inst/gw_int_pending_in ), .CLK(clk), .RESET_B(n25113), .Q( \pic_ctrl_inst/SETREG[19].NON_ZERO_INT.config_gw_inst/gw_int_pending ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[20].NON_ZERO_INT.gw_config_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[20].NON_ZERO_INT.gw_config_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/gw_config_c1_clk ), .RESET_B(n25113), .Q( \pic_ctrl_inst/gw_config_reg[20][0] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[20].NON_ZERO_INT.config_gw_inst/int_pend_ff/dout_reg[0] ( .D( \pic_ctrl_inst/SETREG[20].NON_ZERO_INT.config_gw_inst/gw_int_pending_in ), .CLK(clk), .RESET_B(n25113), .Q( \pic_ctrl_inst/SETREG[20].NON_ZERO_INT.config_gw_inst/gw_int_pending ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[21].NON_ZERO_INT.gw_config_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[21].NON_ZERO_INT.gw_config_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/gw_config_c1_clk ), .RESET_B(n25113), .Q( \pic_ctrl_inst/gw_config_reg[21][0] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[21].NON_ZERO_INT.config_gw_inst/int_pend_ff/dout_reg[0] ( .D( \pic_ctrl_inst/SETREG[21].NON_ZERO_INT.config_gw_inst/gw_int_pending_in ), .CLK(clk), .RESET_B(n25113), .Q( \pic_ctrl_inst/SETREG[21].NON_ZERO_INT.config_gw_inst/gw_int_pending ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[22].NON_ZERO_INT.config_gw_inst/int_pend_ff/dout_reg[0] ( .D( \pic_ctrl_inst/SETREG[22].NON_ZERO_INT.config_gw_inst/gw_int_pending_in ), .CLK(clk), .RESET_B(n25113), .Q( \pic_ctrl_inst/SETREG[22].NON_ZERO_INT.config_gw_inst/gw_int_pending ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[23].NON_ZERO_INT.gw_config_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[23].NON_ZERO_INT.gw_config_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/gw_config_c1_clk ), .RESET_B(n25113), .Q( \pic_ctrl_inst/gw_config_reg[23][0] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[23].NON_ZERO_INT.config_gw_inst/int_pend_ff/dout_reg[0] ( .D( \pic_ctrl_inst/SETREG[23].NON_ZERO_INT.config_gw_inst/gw_int_pending_in ), .CLK(clk), .RESET_B(n25113), .Q( \pic_ctrl_inst/SETREG[23].NON_ZERO_INT.config_gw_inst/gw_int_pending ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[24].NON_ZERO_INT.gw_config_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[24].NON_ZERO_INT.gw_config_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/gw_config_c1_clk ), .RESET_B(n25113), .Q( \pic_ctrl_inst/gw_config_reg[24][0] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[24].NON_ZERO_INT.config_gw_inst/int_pend_ff/dout_reg[0] ( .D( \pic_ctrl_inst/SETREG[24].NON_ZERO_INT.config_gw_inst/gw_int_pending_in ), .CLK(clk), .RESET_B(n25113), .Q( \pic_ctrl_inst/SETREG[24].NON_ZERO_INT.config_gw_inst/gw_int_pending ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[25].NON_ZERO_INT.gw_config_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[25].NON_ZERO_INT.gw_config_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/gw_config_c1_clk ), .RESET_B(n25114), .Q( \pic_ctrl_inst/gw_config_reg[25][0] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[25].NON_ZERO_INT.config_gw_inst/int_pend_ff/dout_reg[0] ( .D( \pic_ctrl_inst/SETREG[25].NON_ZERO_INT.config_gw_inst/gw_int_pending_in ), .CLK(clk), .RESET_B(n25114), .Q( \pic_ctrl_inst/SETREG[25].NON_ZERO_INT.config_gw_inst/gw_int_pending ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[26].NON_ZERO_INT.gw_config_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[26].NON_ZERO_INT.gw_config_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/gw_config_c1_clk ), .RESET_B(n25114), .Q( \pic_ctrl_inst/gw_config_reg[26][0] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[26].NON_ZERO_INT.config_gw_inst/int_pend_ff/dout_reg[0] ( .D( \pic_ctrl_inst/SETREG[26].NON_ZERO_INT.config_gw_inst/gw_int_pending_in ), .CLK(clk), .RESET_B(n25114), .Q( \pic_ctrl_inst/SETREG[26].NON_ZERO_INT.config_gw_inst/gw_int_pending ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[27].NON_ZERO_INT.gw_config_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[27].NON_ZERO_INT.gw_config_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/gw_config_c1_clk ), .RESET_B(n25114), .Q( \pic_ctrl_inst/gw_config_reg[27][0] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[27].NON_ZERO_INT.config_gw_inst/int_pend_ff/dout_reg[0] ( .D( \pic_ctrl_inst/SETREG[27].NON_ZERO_INT.config_gw_inst/gw_int_pending_in ), .CLK(clk), .RESET_B(n25114), .Q( \pic_ctrl_inst/SETREG[27].NON_ZERO_INT.config_gw_inst/gw_int_pending ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[28].NON_ZERO_INT.gw_config_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[28].NON_ZERO_INT.gw_config_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/gw_config_c1_clk ), .RESET_B(n25114), .Q( \pic_ctrl_inst/gw_config_reg[28][0] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[28].NON_ZERO_INT.config_gw_inst/int_pend_ff/dout_reg[0] ( .D( \pic_ctrl_inst/SETREG[28].NON_ZERO_INT.config_gw_inst/gw_int_pending_in ), .CLK(clk), .RESET_B(n25114), .Q( \pic_ctrl_inst/SETREG[28].NON_ZERO_INT.config_gw_inst/gw_int_pending ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[29].NON_ZERO_INT.gw_config_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[29].NON_ZERO_INT.gw_config_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/gw_config_c1_clk ), .RESET_B(n25114), .Q( \pic_ctrl_inst/gw_config_reg[29][0] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[30].NON_ZERO_INT.gw_config_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[30].NON_ZERO_INT.gw_config_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/gw_config_c1_clk ), .RESET_B(n25114), .Q( \pic_ctrl_inst/gw_config_reg[30][0] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[30].NON_ZERO_INT.config_gw_inst/int_pend_ff/dout_reg[0] ( .D( \pic_ctrl_inst/SETREG[30].NON_ZERO_INT.config_gw_inst/gw_int_pending_in ), .CLK(clk), .RESET_B(n10874), .Q( \pic_ctrl_inst/SETREG[30].NON_ZERO_INT.config_gw_inst/gw_int_pending ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[31].NON_ZERO_INT.gw_config_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[31].NON_ZERO_INT.gw_config_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/gw_config_c1_clk ), .RESET_B(n10882), .Q( \pic_ctrl_inst/gw_config_reg[31][0] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[31].NON_ZERO_INT.config_gw_inst/int_pend_ff/dout_reg[0] ( .D( \pic_ctrl_inst/SETREG[31].NON_ZERO_INT.config_gw_inst/gw_int_pending_in ), .CLK(clk), .RESET_B(n10882), .Q( \pic_ctrl_inst/SETREG[31].NON_ZERO_INT.config_gw_inst/gw_int_pending ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[1].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[1].NON_ZERO_INT.intpriority_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n10882), .Q( \pic_ctrl_inst/intpriority_reg[1][0] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[2].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[2].NON_ZERO_INT.intpriority_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n10882), .Q( \pic_ctrl_inst/intpriority_reg[2][0] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[3].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[3].NON_ZERO_INT.intpriority_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25089), .Q( \pic_ctrl_inst/intpriority_reg[3][0] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[4].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[4].NON_ZERO_INT.intpriority_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n10882), .Q( \pic_ctrl_inst/intpriority_reg[4][0] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[5].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[5].NON_ZERO_INT.intpriority_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n10874), .Q( \pic_ctrl_inst/intpriority_reg[5][0] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[6].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[6].NON_ZERO_INT.intpriority_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n10874), .Q( \pic_ctrl_inst/intpriority_reg[6][0] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[7].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[7].NON_ZERO_INT.intpriority_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n10882), .Q( \pic_ctrl_inst/intpriority_reg[7][0] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[8].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[8].NON_ZERO_INT.intpriority_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n10874), .Q( \pic_ctrl_inst/intpriority_reg[8][0] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[9].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[9].NON_ZERO_INT.intpriority_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n10874), .Q( \pic_ctrl_inst/intpriority_reg[9][0] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[10].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[10].NON_ZERO_INT.intpriority_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n10882), .Q( \pic_ctrl_inst/intpriority_reg[10][0] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[11].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[11].NON_ZERO_INT.intpriority_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n10882), .Q( \pic_ctrl_inst/intpriority_reg[11][0] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[12].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[12].NON_ZERO_INT.intpriority_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n10874), .Q( \pic_ctrl_inst/intpriority_reg[12][0] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[13].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[13].NON_ZERO_INT.intpriority_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n10882), .Q( \pic_ctrl_inst/intpriority_reg[13][0] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[14].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[14].NON_ZERO_INT.intpriority_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n10874), .Q( \pic_ctrl_inst/intpriority_reg[14][0] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[15].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[15].NON_ZERO_INT.intpriority_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n10874), .Q( \pic_ctrl_inst/intpriority_reg[15][0] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[16].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[16].NON_ZERO_INT.intpriority_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n10882), .Q( \pic_ctrl_inst/intpriority_reg[16][0] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[17].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[17].NON_ZERO_INT.intpriority_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25089), .Q( \pic_ctrl_inst/intpriority_reg[17][0] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[18].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[18].NON_ZERO_INT.intpriority_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n10874), .Q( \pic_ctrl_inst/intpriority_reg[18][0] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[19].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[19].NON_ZERO_INT.intpriority_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n10874), .Q( \pic_ctrl_inst/intpriority_reg[19][0] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[20].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[20].NON_ZERO_INT.intpriority_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n10882), .Q( \pic_ctrl_inst/intpriority_reg[20][0] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[21].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[21].NON_ZERO_INT.intpriority_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25089), .Q( \pic_ctrl_inst/intpriority_reg[21][0] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[22].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[22].NON_ZERO_INT.intpriority_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25089), .Q( \pic_ctrl_inst/intpriority_reg[22][0] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[23].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[23].NON_ZERO_INT.intpriority_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n10874), .Q( \pic_ctrl_inst/intpriority_reg[23][0] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[24].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[24].NON_ZERO_INT.intpriority_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n10882), .Q( \pic_ctrl_inst/intpriority_reg[24][0] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[25].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[25].NON_ZERO_INT.intpriority_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n10874), .Q( \pic_ctrl_inst/intpriority_reg[25][0] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[26].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[26].NON_ZERO_INT.intpriority_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n10874), .Q( \pic_ctrl_inst/intpriority_reg[26][0] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[27].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[27].NON_ZERO_INT.intpriority_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25089), .Q( \pic_ctrl_inst/intpriority_reg[27][0] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[28].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[28].NON_ZERO_INT.intpriority_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25089), .Q( \pic_ctrl_inst/intpriority_reg[28][0] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[29].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[29].NON_ZERO_INT.intpriority_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25089), .Q( \pic_ctrl_inst/intpriority_reg[29][0] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[30].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[30].NON_ZERO_INT.intpriority_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25089), .Q( \pic_ctrl_inst/intpriority_reg[30][0] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[31].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[31].NON_ZERO_INT.intpriority_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25089), .Q( \pic_ctrl_inst/intpriority_reg[31][0] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[1].NON_ZERO_INT.intenable_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[1].NON_ZERO_INT.intenable_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/pic_int_c1_clk ), .RESET_B(n10874), .Q( \pic_ctrl_inst/intenable_reg [1]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[2].NON_ZERO_INT.intenable_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[2].NON_ZERO_INT.intenable_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/pic_int_c1_clk ), .RESET_B(n10874), .Q( \pic_ctrl_inst/intenable_reg [2]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[3].NON_ZERO_INT.intenable_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[3].NON_ZERO_INT.intenable_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/pic_int_c1_clk ), .RESET_B(n10882), .Q( \pic_ctrl_inst/intenable_reg [3]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[4].NON_ZERO_INT.intenable_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[4].NON_ZERO_INT.intenable_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/pic_int_c1_clk ), .RESET_B(n10874), .Q( \pic_ctrl_inst/intenable_reg [4]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[5].NON_ZERO_INT.intenable_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[5].NON_ZERO_INT.intenable_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/pic_int_c1_clk ), .RESET_B(n10874), .Q( \pic_ctrl_inst/intenable_reg [5]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[6].NON_ZERO_INT.intenable_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[6].NON_ZERO_INT.intenable_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/pic_int_c1_clk ), .RESET_B(n10874), .Q( \pic_ctrl_inst/intenable_reg [6]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[7].NON_ZERO_INT.intenable_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[7].NON_ZERO_INT.intenable_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/pic_int_c1_clk ), .RESET_B(n25089), .Q( \pic_ctrl_inst/intenable_reg [7]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[8].NON_ZERO_INT.intenable_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[8].NON_ZERO_INT.intenable_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/pic_int_c1_clk ), .RESET_B(n10874), .Q( \pic_ctrl_inst/intenable_reg [8]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[9].NON_ZERO_INT.intenable_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[9].NON_ZERO_INT.intenable_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/pic_int_c1_clk ), .RESET_B(n25089), .Q( \pic_ctrl_inst/intenable_reg [9]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[11].NON_ZERO_INT.intenable_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[11].NON_ZERO_INT.intenable_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/pic_int_c1_clk ), .RESET_B(n25089), .Q( \pic_ctrl_inst/intenable_reg [11]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[12].NON_ZERO_INT.intenable_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[12].NON_ZERO_INT.intenable_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/pic_int_c1_clk ), .RESET_B(n10874), .Q( \pic_ctrl_inst/intenable_reg [12]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[13].NON_ZERO_INT.intenable_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[13].NON_ZERO_INT.intenable_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/pic_int_c1_clk ), .RESET_B(n25089), .Q( \pic_ctrl_inst/intenable_reg [13]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[14].NON_ZERO_INT.intenable_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[14].NON_ZERO_INT.intenable_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/pic_int_c1_clk ), .RESET_B(n10882), .Q( \pic_ctrl_inst/intenable_reg [14]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[15].NON_ZERO_INT.intenable_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[15].NON_ZERO_INT.intenable_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/pic_int_c1_clk ), .RESET_B(n25089), .Q( \pic_ctrl_inst/intenable_reg [15]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[16].NON_ZERO_INT.intenable_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[16].NON_ZERO_INT.intenable_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/pic_int_c1_clk ), .RESET_B(n25089), .Q( \pic_ctrl_inst/intenable_reg [16]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[17].NON_ZERO_INT.intenable_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[17].NON_ZERO_INT.intenable_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/pic_int_c1_clk ), .RESET_B(n25089), .Q( \pic_ctrl_inst/intenable_reg [17]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[18].NON_ZERO_INT.intenable_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[18].NON_ZERO_INT.intenable_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/pic_int_c1_clk ), .RESET_B(n10874), .Q( \pic_ctrl_inst/intenable_reg [18]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[19].NON_ZERO_INT.intenable_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[19].NON_ZERO_INT.intenable_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/pic_int_c1_clk ), .RESET_B(n10874), .Q( \pic_ctrl_inst/intenable_reg [19]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[20].NON_ZERO_INT.intenable_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[20].NON_ZERO_INT.intenable_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/pic_int_c1_clk ), .RESET_B(n10882), .Q( \pic_ctrl_inst/intenable_reg [20]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[21].NON_ZERO_INT.intenable_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[21].NON_ZERO_INT.intenable_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/pic_int_c1_clk ), .RESET_B(n25089), .Q( \pic_ctrl_inst/intenable_reg [21]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[22].NON_ZERO_INT.intenable_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[22].NON_ZERO_INT.intenable_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/pic_int_c1_clk ), .RESET_B(n10874), .Q( \pic_ctrl_inst/intenable_reg [22]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[23].NON_ZERO_INT.intenable_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[23].NON_ZERO_INT.intenable_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/pic_int_c1_clk ), .RESET_B(n25089), .Q( \pic_ctrl_inst/intenable_reg [23]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[24].NON_ZERO_INT.intenable_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[24].NON_ZERO_INT.intenable_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/pic_int_c1_clk ), .RESET_B(n25089), .Q( \pic_ctrl_inst/intenable_reg [24]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[26].NON_ZERO_INT.intenable_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[26].NON_ZERO_INT.intenable_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/pic_int_c1_clk ), .RESET_B(n10874), .Q( \pic_ctrl_inst/intenable_reg [26]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[27].NON_ZERO_INT.intenable_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[27].NON_ZERO_INT.intenable_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/pic_int_c1_clk ), .RESET_B(n10874), .Q( \pic_ctrl_inst/intenable_reg [27]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[28].NON_ZERO_INT.intenable_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[28].NON_ZERO_INT.intenable_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/pic_int_c1_clk ), .RESET_B(n10882), .Q( \pic_ctrl_inst/intenable_reg [28]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[29].NON_ZERO_INT.intenable_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[29].NON_ZERO_INT.intenable_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/pic_int_c1_clk ), .RESET_B(n25089), .Q( \pic_ctrl_inst/intenable_reg [29]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[30].NON_ZERO_INT.intenable_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[30].NON_ZERO_INT.intenable_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/pic_int_c1_clk ), .RESET_B(n10874), .Q( \pic_ctrl_inst/intenable_reg [30]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[31].NON_ZERO_INT.intenable_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[31].NON_ZERO_INT.intenable_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/pic_int_c1_clk ), .RESET_B(n25089), .Q( \pic_ctrl_inst/intenable_reg [31]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[1].NON_ZERO_INT.gw_config_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[1].NON_ZERO_INT.gw_config_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/gw_config_c1_clk ), .RESET_B(n10874), .Q( \pic_ctrl_inst/gw_config_reg[1][0] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[1].NON_ZERO_INT.config_gw_inst/int_pend_ff/dout_reg[0] ( .D( \pic_ctrl_inst/SETREG[1].NON_ZERO_INT.config_gw_inst/gw_int_pending_in ), .CLK(clk), .RESET_B(n10882), .Q( \pic_ctrl_inst/SETREG[1].NON_ZERO_INT.config_gw_inst/gw_int_pending ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[3].stbuf_dataff/genblock.genblock.dff/dout_reg[0] ( .D(\lsu/stbuf/stbuf_datain[3][0] ), .CLK( \lsu/stbuf/GenStBuf[3].stbuf_dataff/l1clk ), .RESET_B(n10874), .Q( \lsu/stbuf/stbuf_data[3][0] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[2].stbuf_dataff/genblock.genblock.dff/dout_reg[0] ( .D(\lsu/stbuf/stbuf_datain[2][0] ), .CLK( \lsu/stbuf/GenStBuf[2].stbuf_dataff/l1clk ), .RESET_B(n10874), .Q( \lsu/stbuf/stbuf_data[2][0] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[1].stbuf_dataff/genblock.genblock.dff/dout_reg[0] ( .D(\lsu/stbuf/stbuf_datain[1][0] ), .CLK( \lsu/stbuf/GenStBuf[1].stbuf_dataff/l1clk ), .RESET_B(n25089), .Q( \lsu/stbuf/stbuf_data[1][0] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U1_Plus1_0.store_data_lo_rff/dout_reg[16] ( .D(\lsu/dccm_ctl/store_data_lo_r_in [16]), .CLK( \lsu/lsu_store_c1_r_clk ), .RESET_B(n25089), .Q( \lsu/store_data_lo_r [16]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/picm_dat_flop/dout_reg[16] ( .D( picm_wr_data[16]), .CLK(\pic_ctrl_inst/pic_data_c1_clk ), .RESET_B( n10874), .Q(\pic_ctrl_inst/picm_wr_data_ff [16]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U1_Plus1_0.store_data_hi_rff/dout_reg[16] ( .D(\lsu/dccm_ctl/store_data_hi_r_in [16]), .CLK( \lsu/lsu_store_c1_r_clk ), .RESET_B(n10882), .Q( \lsu/store_data_hi_r [16]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[3].stbuf_dataff/genblock.genblock.dff/dout_reg[16] ( .D(\lsu/stbuf/stbuf_datain[3][16] ), .CLK( \lsu/stbuf/GenStBuf[3].stbuf_dataff/l1clk ), .RESET_B(n25089), .Q( \lsu/stbuf/stbuf_data[3][16] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[2].stbuf_dataff/genblock.genblock.dff/dout_reg[16] ( .D(\lsu/stbuf/stbuf_datain[2][16] ), .CLK( \lsu/stbuf/GenStBuf[2].stbuf_dataff/l1clk ), .RESET_B(n10882), .Q( \lsu/stbuf/stbuf_data[2][16] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[1].stbuf_dataff/genblock.genblock.dff/dout_reg[16] ( .D(\lsu/stbuf/stbuf_datain[1][16] ), .CLK( \lsu/stbuf/GenStBuf[1].stbuf_dataff/l1clk ), .RESET_B(n25089), .Q( \lsu/stbuf/stbuf_data[1][16] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/bus_read_data_r_ff/dout_reg[8] ( .D(\lsu/bus_read_data_m [8]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B( n25089), .Q(\lsu/lsu_lsc_ctl/bus_read_data_r [8]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0_result_r_ff/genblock.genblock.dff/dout_reg[8] ( .D(\dec/decode/i0_result_x [8]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25089), .Q(\dec/decode/i0_result_r [8]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/sdmff/dout_reg[8] ( .D( \lsu/lsu_lsc_ctl/store_data_m_in [8]), .CLK(\lsu/lsu_store_c1_m_clk ), .RESET_B(n10874), .Q(\lsu/lsu_lsc_ctl/store_data_pre_m [8]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U1_Plus1_0.store_data_lo_rff/dout_reg[8] ( .D(\lsu/dccm_ctl/store_data_lo_r_in [8]), .CLK( \lsu/lsu_store_c1_r_clk ), .RESET_B(n10874), .Q( \lsu/store_data_lo_r [8]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/picm_dat_flop/dout_reg[8] ( .D( picm_wr_data[8]), .CLK(\pic_ctrl_inst/pic_data_c1_clk ), .RESET_B( n10874), .Q(\pic_ctrl_inst/picm_wr_data_ff [8]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[0].stbuf_dataff/genblock.genblock.dff/dout_reg[8] ( .D(\lsu/stbuf/stbuf_datain[0][8] ), .CLK( \lsu/stbuf/GenStBuf[0].stbuf_dataff/l1clk ), .RESET_B(n10882), .Q( \lsu/stbuf/stbuf_data[0][8] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U1_Plus1_0.store_data_hi_rff/dout_reg[8] ( .D(\lsu/dccm_ctl/store_data_hi_r_in [8]), .CLK( \lsu/lsu_store_c1_r_clk ), .RESET_B(n25089), .Q( \lsu/store_data_hi_r [8]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[3].stbuf_dataff/genblock.genblock.dff/dout_reg[8] ( .D(\lsu/stbuf/stbuf_datain[3][8] ), .CLK( \lsu/stbuf/GenStBuf[3].stbuf_dataff/l1clk ), .RESET_B(n25089), .Q( \lsu/stbuf/stbuf_data[3][8] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[2].stbuf_dataff/genblock.genblock.dff/dout_reg[8] ( .D(\lsu/stbuf/stbuf_datain[2][8] ), .CLK( \lsu/stbuf/GenStBuf[2].stbuf_dataff/l1clk ), .RESET_B(n25089), .Q( \lsu/stbuf/stbuf_data[2][8] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[1].stbuf_dataff/genblock.genblock.dff/dout_reg[8] ( .D(\lsu/stbuf/stbuf_datain[1][8] ), .CLK( \lsu/stbuf/GenStBuf[1].stbuf_dataff/l1clk ), .RESET_B(n10874), .Q( \lsu/stbuf/stbuf_data[1][8] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/bus_read_data_r_ff/dout_reg[24] ( .D(\lsu/bus_read_data_m [24]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B( n10874), .Q(\lsu/lsu_lsc_ctl/bus_read_data_r [24]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_rff/dout_reg[16] ( .D(\lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_m [16]), .CLK( \lsu/lsu_c2_r_clk ), .RESET_B(n10882), .Q(lsu_fir_addr[16]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_rff/dout_reg[24] ( .D(\lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_m [24]), .CLK( \lsu/lsu_c2_r_clk ), .RESET_B(n10874), .Q(lsu_fir_addr[24]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_rff/dout_reg[8] ( .D(\lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_m [8]), .CLK( \lsu/lsu_c2_r_clk ), .RESET_B(n25089), .Q(lsu_fir_addr[8]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/mff/genblock.genblock.dff/dout_reg[8] ( .D(\exu/muldiv_rs2_d [8]), .CLK(\exu/i_div/mff/l1clk ), .RESET_B( n25089), .Q(\exu/i_div/m_ff [8]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/bus_read_data_r_ff/dout_reg[16] ( .D(\lsu/bus_read_data_m [16]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B( n25089), .Q(\lsu/lsu_lsc_ctl/bus_read_data_r [16]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/mff/genblock.genblock.dff/dout_reg[16] ( .D(\exu/muldiv_rs2_d [16]), .CLK(\exu/i_div/mff/l1clk ), .RESET_B( n10874), .Q(\exu/i_div/m_ff [16]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_rff/dout_reg[0] ( .D(\lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_m [0]), .CLK( \lsu/lsu_c2_r_clk ), .RESET_B(n10874), .Q(\lsu/lsu_ld_data_corr_r[0] ) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_data0_reg/genblock.genblock.dff/dout_reg[0] ( .D(\dbg/data0_din [0]), .CLK(\dbg/dbg_data0_reg/l1clk ), .RESET_B( n25117), .Q(dbg_cmd_wrdata[0]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/trap_xff/genblock.genblock.dff/dout_reg[11] ( .D(\dec/decode/d_t[fence_i] ), .CLK(\dec/decode/trap_xff/l1clk ), .RESET_B(n10882), .Q(\dec/decode/x_t[fence_i] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/trap_r_ff/genblock.genblock.dff/dout_reg[11] ( .D(\dec/decode/x_t[fence_i] ), .CLK(\dec/decode/trap_r_ff/l1clk ), .RESET_B(n10874), .Q(\dec/decode/r_t[fence_i] ) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/postsync_stallff/dout_reg[0] ( .D( \dec/decode/ps_stall_in ), .CLK(\dec/decode/data_gate_clk ), .RESET_B( n25089), .Q(\dec/dec_pmu_postsync_stall ) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dmi_rddata_reg/genblock.dffs/dout_reg[0] ( .D(\dbg/dmi_rddata_reg/_0_net_[0] ), .CLK(\dbg/dbg_free_clk ), .RESET_B(n10811), .Q(dmi_reg_rdata[0]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/write_csr_ff/genblock.genblock.dff/dout_reg[0] ( .D(n10824), .CLK(\dec/decode/write_csr_ff/l1clk ), .RESET_B(n25089), .Q(\dec/decode/write_csr_data [0]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/mff/genblock.genblock.dff/dout_reg[0] ( .D(n25109), .CLK(\exu/i_div/mff/l1clk ), .RESET_B(n25089), .Q( \exu/i_div/m_ff [0]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[25].NON_ZERO_INT.gw_config_ff/genblock.dffs/dout_reg[1] ( .D(\pic_ctrl_inst/SETREG[25].NON_ZERO_INT.gw_config_ff/_0_net_[1] ), .CLK(\pic_ctrl_inst/gw_config_c1_clk ), .RESET_B(n10874), .Q( \pic_ctrl_inst/gw_config_reg[25][1] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[26].NON_ZERO_INT.gw_config_ff/genblock.dffs/dout_reg[1] ( .D(\pic_ctrl_inst/SETREG[26].NON_ZERO_INT.gw_config_ff/_0_net_[1] ), .CLK(\pic_ctrl_inst/gw_config_c1_clk ), .RESET_B(n10874), .Q( \pic_ctrl_inst/gw_config_reg[26][1] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[27].NON_ZERO_INT.gw_config_ff/genblock.dffs/dout_reg[1] ( .D(\pic_ctrl_inst/SETREG[27].NON_ZERO_INT.gw_config_ff/_0_net_[1] ), .CLK(\pic_ctrl_inst/gw_config_c1_clk ), .RESET_B(n10882), .Q( \pic_ctrl_inst/gw_config_reg[27][1] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[28].NON_ZERO_INT.gw_config_ff/genblock.dffs/dout_reg[1] ( .D(\pic_ctrl_inst/SETREG[28].NON_ZERO_INT.gw_config_ff/_0_net_[1] ), .CLK(\pic_ctrl_inst/gw_config_c1_clk ), .RESET_B(n25089), .Q( \pic_ctrl_inst/gw_config_reg[28][1] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[29].NON_ZERO_INT.gw_config_ff/genblock.dffs/dout_reg[1] ( .D(\pic_ctrl_inst/SETREG[29].NON_ZERO_INT.gw_config_ff/_0_net_[1] ), .CLK(\pic_ctrl_inst/gw_config_c1_clk ), .RESET_B(n25089), .Q( \pic_ctrl_inst/gw_config_reg[29][1] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[30].NON_ZERO_INT.gw_config_ff/genblock.dffs/dout_reg[1] ( .D(\pic_ctrl_inst/SETREG[30].NON_ZERO_INT.gw_config_ff/_0_net_[1] ), .CLK(\pic_ctrl_inst/gw_config_c1_clk ), .RESET_B(n25089), .Q( \pic_ctrl_inst/gw_config_reg[30][1] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[31].NON_ZERO_INT.gw_config_ff/genblock.dffs/dout_reg[1] ( .D(\pic_ctrl_inst/SETREG[31].NON_ZERO_INT.gw_config_ff/_0_net_[1] ), .CLK(\pic_ctrl_inst/gw_config_c1_clk ), .RESET_B(n10874), .Q( \pic_ctrl_inst/gw_config_reg[31][1] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[1].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[1] ( .D(\pic_ctrl_inst/SETREG[1].NON_ZERO_INT.intpriority_ff/_0_net_[1] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n10874), .Q( \pic_ctrl_inst/intpriority_reg[1][1] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[2].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[1] ( .D(\pic_ctrl_inst/SETREG[2].NON_ZERO_INT.intpriority_ff/_0_net_[1] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n10882), .Q( \pic_ctrl_inst/intpriority_reg[2][1] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[3].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[1] ( .D(\pic_ctrl_inst/SETREG[3].NON_ZERO_INT.intpriority_ff/_0_net_[1] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25089), .Q( \pic_ctrl_inst/intpriority_reg[3][1] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[4].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[1] ( .D(\pic_ctrl_inst/SETREG[4].NON_ZERO_INT.intpriority_ff/_0_net_[1] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25089), .Q( \pic_ctrl_inst/intpriority_reg[4][1] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[5].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[1] ( .D(\pic_ctrl_inst/SETREG[5].NON_ZERO_INT.intpriority_ff/_0_net_[1] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25089), .Q( \pic_ctrl_inst/intpriority_reg[5][1] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[6].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[1] ( .D(\pic_ctrl_inst/SETREG[6].NON_ZERO_INT.intpriority_ff/_0_net_[1] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25089), .Q( \pic_ctrl_inst/intpriority_reg[6][1] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[7].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[1] ( .D(\pic_ctrl_inst/SETREG[7].NON_ZERO_INT.intpriority_ff/_0_net_[1] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25089), .Q( \pic_ctrl_inst/intpriority_reg[7][1] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[8].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[1] ( .D(\pic_ctrl_inst/SETREG[8].NON_ZERO_INT.intpriority_ff/_0_net_[1] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25089), .Q( \pic_ctrl_inst/intpriority_reg[8][1] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[9].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[1] ( .D(\pic_ctrl_inst/SETREG[9].NON_ZERO_INT.intpriority_ff/_0_net_[1] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25089), .Q( \pic_ctrl_inst/intpriority_reg[9][1] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[10].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[1] ( .D(\pic_ctrl_inst/SETREG[10].NON_ZERO_INT.intpriority_ff/_0_net_[1] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25089), .Q( \pic_ctrl_inst/intpriority_reg[10][1] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[11].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[1] ( .D(\pic_ctrl_inst/SETREG[11].NON_ZERO_INT.intpriority_ff/_0_net_[1] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25089), .Q( \pic_ctrl_inst/intpriority_reg[11][1] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[12].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[1] ( .D(\pic_ctrl_inst/SETREG[12].NON_ZERO_INT.intpriority_ff/_0_net_[1] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25089), .Q( \pic_ctrl_inst/intpriority_reg[12][1] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[13].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[1] ( .D(\pic_ctrl_inst/SETREG[13].NON_ZERO_INT.intpriority_ff/_0_net_[1] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25089), .Q( \pic_ctrl_inst/intpriority_reg[13][1] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[14].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[1] ( .D(\pic_ctrl_inst/SETREG[14].NON_ZERO_INT.intpriority_ff/_0_net_[1] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25089), .Q( \pic_ctrl_inst/intpriority_reg[14][1] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[15].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[1] ( .D(\pic_ctrl_inst/SETREG[15].NON_ZERO_INT.intpriority_ff/_0_net_[1] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25089), .Q( \pic_ctrl_inst/intpriority_reg[15][1] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[16].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[1] ( .D(\pic_ctrl_inst/SETREG[16].NON_ZERO_INT.intpriority_ff/_0_net_[1] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25089), .Q( \pic_ctrl_inst/intpriority_reg[16][1] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[17].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[1] ( .D(\pic_ctrl_inst/SETREG[17].NON_ZERO_INT.intpriority_ff/_0_net_[1] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25089), .Q( \pic_ctrl_inst/intpriority_reg[17][1] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[18].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[1] ( .D(\pic_ctrl_inst/SETREG[18].NON_ZERO_INT.intpriority_ff/_0_net_[1] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25089), .Q( \pic_ctrl_inst/intpriority_reg[18][1] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[19].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[1] ( .D(\pic_ctrl_inst/SETREG[19].NON_ZERO_INT.intpriority_ff/_0_net_[1] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25089), .Q( \pic_ctrl_inst/intpriority_reg[19][1] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[20].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[1] ( .D(\pic_ctrl_inst/SETREG[20].NON_ZERO_INT.intpriority_ff/_0_net_[1] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25089), .Q( \pic_ctrl_inst/intpriority_reg[20][1] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[21].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[1] ( .D(\pic_ctrl_inst/SETREG[21].NON_ZERO_INT.intpriority_ff/_0_net_[1] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25089), .Q( \pic_ctrl_inst/intpriority_reg[21][1] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[22].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[1] ( .D(\pic_ctrl_inst/SETREG[22].NON_ZERO_INT.intpriority_ff/_0_net_[1] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25089), .Q( \pic_ctrl_inst/intpriority_reg[22][1] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[23].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[1] ( .D(\pic_ctrl_inst/SETREG[23].NON_ZERO_INT.intpriority_ff/_0_net_[1] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25089), .Q( \pic_ctrl_inst/intpriority_reg[23][1] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[24].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[1] ( .D(\pic_ctrl_inst/SETREG[24].NON_ZERO_INT.intpriority_ff/_0_net_[1] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25089), .Q( \pic_ctrl_inst/intpriority_reg[24][1] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[25].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[1] ( .D(\pic_ctrl_inst/SETREG[25].NON_ZERO_INT.intpriority_ff/_0_net_[1] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25089), .Q( \pic_ctrl_inst/intpriority_reg[25][1] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[26].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[1] ( .D(\pic_ctrl_inst/SETREG[26].NON_ZERO_INT.intpriority_ff/_0_net_[1] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25089), .Q( \pic_ctrl_inst/intpriority_reg[26][1] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[27].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[1] ( .D(\pic_ctrl_inst/SETREG[27].NON_ZERO_INT.intpriority_ff/_0_net_[1] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25089), .Q( \pic_ctrl_inst/intpriority_reg[27][1] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[28].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[1] ( .D(\pic_ctrl_inst/SETREG[28].NON_ZERO_INT.intpriority_ff/_0_net_[1] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25089), .Q( \pic_ctrl_inst/intpriority_reg[28][1] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[29].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[1] ( .D(\pic_ctrl_inst/SETREG[29].NON_ZERO_INT.intpriority_ff/_0_net_[1] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25089), .Q( \pic_ctrl_inst/intpriority_reg[29][1] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[30].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[1] ( .D(\pic_ctrl_inst/SETREG[30].NON_ZERO_INT.intpriority_ff/_0_net_[1] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25089), .Q( \pic_ctrl_inst/intpriority_reg[30][1] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[31].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[1] ( .D(\pic_ctrl_inst/SETREG[31].NON_ZERO_INT.intpriority_ff/_0_net_[1] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25089), .Q( \pic_ctrl_inst/intpriority_reg[31][1] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[1].NON_ZERO_INT.gw_config_ff/genblock.dffs/dout_reg[1] ( .D(\pic_ctrl_inst/SETREG[1].NON_ZERO_INT.gw_config_ff/_0_net_[1] ), .CLK(\pic_ctrl_inst/gw_config_c1_clk ), .RESET_B(n25089), .Q( \pic_ctrl_inst/gw_config_reg[1][1] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[0].stbuf_dataff/genblock.genblock.dff/dout_reg[1] ( .D(\lsu/stbuf/stbuf_datain[0][1] ), .CLK( \lsu/stbuf/GenStBuf[0].stbuf_dataff/l1clk ), .RESET_B(n25089), .Q( \lsu/stbuf/stbuf_data[0][1] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U1_Plus1_0.store_data_hi_rff/dout_reg[1] ( .D(\lsu/dccm_ctl/store_data_hi_r_in [1]), .CLK( \lsu/lsu_store_c1_r_clk ), .RESET_B(n25089), .Q( \lsu/store_data_hi_r [1]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[3].stbuf_dataff/genblock.genblock.dff/dout_reg[1] ( .D(\lsu/stbuf/stbuf_datain[3][1] ), .CLK( \lsu/stbuf/GenStBuf[3].stbuf_dataff/l1clk ), .RESET_B(n25089), .Q( \lsu/stbuf/stbuf_data[3][1] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[2].stbuf_dataff/genblock.genblock.dff/dout_reg[1] ( .D(\lsu/stbuf/stbuf_datain[2][1] ), .CLK( \lsu/stbuf/GenStBuf[2].stbuf_dataff/l1clk ), .RESET_B(n25089), .Q( \lsu/stbuf/stbuf_data[2][1] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[1].stbuf_dataff/genblock.genblock.dff/dout_reg[1] ( .D(\lsu/stbuf/stbuf_datain[1][1] ), .CLK( \lsu/stbuf/GenStBuf[1].stbuf_dataff/l1clk ), .RESET_B(n25089), .Q( \lsu/stbuf/stbuf_data[1][1] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_rff/dout_reg[17] ( .D(\lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_m [17]), .CLK( \lsu/lsu_c2_r_clk ), .RESET_B(n25089), .Q(lsu_fir_addr[17]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_rff/dout_reg[9] ( .D(\lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_m [9]), .CLK( \lsu/lsu_c2_r_clk ), .RESET_B(n25089), .Q(lsu_fir_addr[9]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_rff/dout_reg[25] ( .D(\lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_m [25]), .CLK( \lsu/lsu_c2_r_clk ), .RESET_B(n25089), .Q(lsu_fir_addr[25]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_rff/dout_reg[1] ( .D(\lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_m [1]), .CLK( \lsu/lsu_c2_r_clk ), .RESET_B(n25089), .Q(lsu_fir_addr[1]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_data0_reg/genblock.genblock.dff/dout_reg[1] ( .D(\dbg/data0_din [1]), .CLK(\dbg/dbg_data0_reg/l1clk ), .RESET_B( n10811), .Q(dbg_cmd_wrdata[1]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dmi_rddata_reg/genblock.dffs/dout_reg[1] ( .D(\dbg/dmi_rddata_reg/_0_net_[1] ), .CLK(\dbg/dbg_free_clk ), .RESET_B(n25119), .Q(dmi_reg_rdata[1]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/mff/genblock.genblock.dff/dout_reg[1] ( .D(\exu/i0_rs2_d [1]), .CLK(\exu/i_div/mff/l1clk ), .RESET_B(n10874), .Q(\exu/i_div/m_ff [1]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/mff/genblock.genblock.dff/dout_reg[9] ( .D(\exu/muldiv_rs2_d [9]), .CLK(\exu/i_div/mff/l1clk ), .RESET_B( n10874), .Q(\exu/i_div/m_ff [9]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/bus_read_data_r_ff/dout_reg[25] ( .D(\lsu/bus_read_data_m [25]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B( n10874), .Q(\lsu/lsu_lsc_ctl/bus_read_data_r [25]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/mff/genblock.genblock.dff/dout_reg[17] ( .D(\exu/muldiv_rs2_d [17]), .CLK(\exu/i_div/mff/l1clk ), .RESET_B( n10874), .Q(\exu/i_div/m_ff [17]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/mff/genblock.genblock.dff/dout_reg[25] ( .D(\exu/muldiv_rs2_d [25]), .CLK(\exu/i_div/mff/l1clk ), .RESET_B( n10874), .Q(\exu/i_div/m_ff [25]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0_result_r_ff/genblock.genblock.dff/dout_reg[18] ( .D(\dec/decode/i0_result_x [18]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n10874), .Q(\dec/decode/i0_result_r [18]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/sdmff/dout_reg[18] ( .D( \lsu/lsu_lsc_ctl/store_data_m_in [18]), .CLK(\lsu/lsu_store_c1_m_clk ), .RESET_B(n10874), .Q(\lsu/lsu_lsc_ctl/store_data_pre_m [18]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U1_Plus1_0.store_data_lo_rff/dout_reg[18] ( .D(\lsu/dccm_ctl/store_data_lo_r_in [18]), .CLK( \lsu/lsu_store_c1_r_clk ), .RESET_B(n10874), .Q( \lsu/store_data_lo_r [18]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/bus_read_data_r_ff/dout_reg[10] ( .D(\lsu/bus_read_data_m [10]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B( n10874), .Q(\lsu/lsu_lsc_ctl/bus_read_data_r [10]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0_result_r_ff/genblock.genblock.dff/dout_reg[10] ( .D(\dec/decode/i0_result_x [10]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n10874), .Q(\dec/decode/i0_result_r [10]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/sdmff/dout_reg[10] ( .D( \lsu/lsu_lsc_ctl/store_data_m_in [10]), .CLK(\lsu/lsu_store_c1_m_clk ), .RESET_B(n10874), .Q(\lsu/lsu_lsc_ctl/store_data_pre_m [10]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U1_Plus1_0.store_data_lo_rff/dout_reg[10] ( .D(\lsu/dccm_ctl/store_data_lo_r_in [10]), .CLK( \lsu/lsu_store_c1_r_clk ), .RESET_B(n10874), .Q( \lsu/store_data_lo_r [10]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/bus_read_data_r_ff/dout_reg[2] ( .D(\lsu/bus_read_data_m [2]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B( n25082), .Q(\lsu/lsu_lsc_ctl/bus_read_data_r [2]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0_result_r_ff/genblock.genblock.dff/dout_reg[2] ( .D(\dec/decode/i0_result_x [2]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25087), .Q(\dec/decode/i0_result_r [2]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/sdmff/dout_reg[2] ( .D( \lsu/lsu_lsc_ctl/store_data_m_in [2]), .CLK(\lsu/lsu_store_c1_m_clk ), .RESET_B(n25087), .Q(\lsu/lsu_lsc_ctl/store_data_pre_m [2]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U1_Plus1_0.store_data_lo_rff/dout_reg[2] ( .D(\lsu/dccm_ctl/store_data_lo_r_in [2]), .CLK( \lsu/lsu_store_c1_r_clk ), .RESET_B(n25088), .Q( \lsu/store_data_lo_r [2]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/samff/dout_reg[26] ( .D( \lsu/lsu_lsc_ctl/n81 ), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n25082), .Q(\lsu/lsu_addr_m [26]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/L2U_Plus1_0.lsu_error_pkt_rff/dout_reg[26] ( .D(\lsu/lsu_addr_m [26]), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25087), .Q(\lsu_error_pkt_r[addr][26] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/sarff/dout_reg[26] ( .D( \lsu/lsu_addr_m [26]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25082), .Q( \lsu/lsu_addr_r [26]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0_result_r_ff/genblock.genblock.dff/dout_reg[26] ( .D(\dec/decode/i0_result_x [26]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25082), .Q(\dec/decode/i0_result_r [26]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/sdmff/dout_reg[26] ( .D( \lsu/lsu_lsc_ctl/store_data_m_in [26]), .CLK(\lsu/lsu_store_c1_m_clk ), .RESET_B(n25082), .Q(\lsu/lsu_lsc_ctl/store_data_pre_m [26]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U1_Plus1_0.store_data_hi_rff/dout_reg[18] ( .D(\lsu/dccm_ctl/store_data_hi_r_in [18]), .CLK( \lsu/lsu_store_c1_r_clk ), .RESET_B(n25088), .Q( \lsu/store_data_hi_r [18]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[0].stbuf_dataff/genblock.genblock.dff/dout_reg[18] ( .D(\lsu/stbuf/stbuf_datain[0][18] ), .CLK( \lsu/stbuf/GenStBuf[0].stbuf_dataff/l1clk ), .RESET_B(n25082), .Q( \lsu/stbuf/stbuf_data[0][18] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/picm_dat_flop/dout_reg[18] ( .D( picm_wr_data[18]), .CLK(\pic_ctrl_inst/pic_data_c1_clk ), .RESET_B( n25082), .Q(\pic_ctrl_inst/picm_wr_data_ff [18]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[2].stbuf_dataff/genblock.genblock.dff/dout_reg[18] ( .D(\lsu/stbuf/stbuf_datain[2][18] ), .CLK( \lsu/stbuf/GenStBuf[2].stbuf_dataff/l1clk ), .RESET_B(n25082), .Q( \lsu/stbuf/stbuf_data[2][18] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[1].stbuf_dataff/genblock.genblock.dff/dout_reg[18] ( .D(\lsu/stbuf/stbuf_datain[1][18] ), .CLK( \lsu/stbuf/GenStBuf[1].stbuf_dataff/l1clk ), .RESET_B(n25082), .Q( \lsu/stbuf/stbuf_data[1][18] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U1_Plus1_0.store_data_hi_rff/dout_reg[2] ( .D(\lsu/dccm_ctl/store_data_hi_r_in [2]), .CLK( \lsu/lsu_store_c1_r_clk ), .RESET_B(n25087), .Q( \lsu/store_data_hi_r [2]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[0].stbuf_dataff/genblock.genblock.dff/dout_reg[2] ( .D(\lsu/stbuf/stbuf_datain[0][2] ), .CLK( \lsu/stbuf/GenStBuf[0].stbuf_dataff/l1clk ), .RESET_B(n25088), .Q( \lsu/stbuf/stbuf_data[0][2] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/picm_dat_flop/dout_reg[2] ( .D( picm_wr_data[2]), .CLK(\pic_ctrl_inst/pic_data_c1_clk ), .RESET_B( n25082), .Q(\pic_ctrl_inst/picm_wr_data_ff [2]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[1].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[2] ( .D(\pic_ctrl_inst/SETREG[1].NON_ZERO_INT.intpriority_ff/_0_net_[2] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25082), .Q( \pic_ctrl_inst/intpriority_reg[1][2] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[2].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[2] ( .D(\pic_ctrl_inst/SETREG[2].NON_ZERO_INT.intpriority_ff/_0_net_[2] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25087), .Q( \pic_ctrl_inst/intpriority_reg[2][2] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[3].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[2] ( .D(\pic_ctrl_inst/SETREG[3].NON_ZERO_INT.intpriority_ff/_0_net_[2] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25082), .Q( \pic_ctrl_inst/intpriority_reg[3][2] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[4].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[2] ( .D(\pic_ctrl_inst/SETREG[4].NON_ZERO_INT.intpriority_ff/_0_net_[2] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25082), .Q( \pic_ctrl_inst/intpriority_reg[4][2] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[5].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[2] ( .D(\pic_ctrl_inst/SETREG[5].NON_ZERO_INT.intpriority_ff/_0_net_[2] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25082), .Q( \pic_ctrl_inst/intpriority_reg[5][2] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[6].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[2] ( .D(\pic_ctrl_inst/SETREG[6].NON_ZERO_INT.intpriority_ff/_0_net_[2] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25087), .Q( \pic_ctrl_inst/intpriority_reg[6][2] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[7].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[2] ( .D(\pic_ctrl_inst/SETREG[7].NON_ZERO_INT.intpriority_ff/_0_net_[2] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25088), .Q( \pic_ctrl_inst/intpriority_reg[7][2] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[8].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[2] ( .D(\pic_ctrl_inst/SETREG[8].NON_ZERO_INT.intpriority_ff/_0_net_[2] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25082), .Q( \pic_ctrl_inst/intpriority_reg[8][2] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[9].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[2] ( .D(\pic_ctrl_inst/SETREG[9].NON_ZERO_INT.intpriority_ff/_0_net_[2] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25082), .Q( \pic_ctrl_inst/intpriority_reg[9][2] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[10].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[2] ( .D(\pic_ctrl_inst/SETREG[10].NON_ZERO_INT.intpriority_ff/_0_net_[2] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25088), .Q( \pic_ctrl_inst/intpriority_reg[10][2] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[11].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[2] ( .D(\pic_ctrl_inst/SETREG[11].NON_ZERO_INT.intpriority_ff/_0_net_[2] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25082), .Q( \pic_ctrl_inst/intpriority_reg[11][2] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[12].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[2] ( .D(\pic_ctrl_inst/SETREG[12].NON_ZERO_INT.intpriority_ff/_0_net_[2] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25082), .Q( \pic_ctrl_inst/intpriority_reg[12][2] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[13].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[2] ( .D(\pic_ctrl_inst/SETREG[13].NON_ZERO_INT.intpriority_ff/_0_net_[2] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25087), .Q( \pic_ctrl_inst/intpriority_reg[13][2] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[14].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[2] ( .D(\pic_ctrl_inst/SETREG[14].NON_ZERO_INT.intpriority_ff/_0_net_[2] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25082), .Q( \pic_ctrl_inst/intpriority_reg[14][2] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[15].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[2] ( .D(\pic_ctrl_inst/SETREG[15].NON_ZERO_INT.intpriority_ff/_0_net_[2] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25087), .Q( \pic_ctrl_inst/intpriority_reg[15][2] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[16].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[2] ( .D(\pic_ctrl_inst/SETREG[16].NON_ZERO_INT.intpriority_ff/_0_net_[2] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25088), .Q( \pic_ctrl_inst/intpriority_reg[16][2] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[17].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[2] ( .D(\pic_ctrl_inst/SETREG[17].NON_ZERO_INT.intpriority_ff/_0_net_[2] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25082), .Q( \pic_ctrl_inst/intpriority_reg[17][2] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[18].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[2] ( .D(\pic_ctrl_inst/SETREG[18].NON_ZERO_INT.intpriority_ff/_0_net_[2] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25082), .Q( \pic_ctrl_inst/intpriority_reg[18][2] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[19].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[2] ( .D(\pic_ctrl_inst/SETREG[19].NON_ZERO_INT.intpriority_ff/_0_net_[2] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25087), .Q( \pic_ctrl_inst/intpriority_reg[19][2] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[20].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[2] ( .D(\pic_ctrl_inst/SETREG[20].NON_ZERO_INT.intpriority_ff/_0_net_[2] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25088), .Q( \pic_ctrl_inst/intpriority_reg[20][2] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[21].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[2] ( .D(\pic_ctrl_inst/SETREG[21].NON_ZERO_INT.intpriority_ff/_0_net_[2] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25082), .Q( \pic_ctrl_inst/intpriority_reg[21][2] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[22].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[2] ( .D(\pic_ctrl_inst/SETREG[22].NON_ZERO_INT.intpriority_ff/_0_net_[2] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25082), .Q( \pic_ctrl_inst/intpriority_reg[22][2] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[23].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[2] ( .D(\pic_ctrl_inst/SETREG[23].NON_ZERO_INT.intpriority_ff/_0_net_[2] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25082), .Q( \pic_ctrl_inst/intpriority_reg[23][2] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[24].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[2] ( .D(\pic_ctrl_inst/SETREG[24].NON_ZERO_INT.intpriority_ff/_0_net_[2] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25082), .Q( \pic_ctrl_inst/intpriority_reg[24][2] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[25].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[2] ( .D(\pic_ctrl_inst/SETREG[25].NON_ZERO_INT.intpriority_ff/_0_net_[2] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25082), .Q( \pic_ctrl_inst/intpriority_reg[25][2] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[26].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[2] ( .D(\pic_ctrl_inst/SETREG[26].NON_ZERO_INT.intpriority_ff/_0_net_[2] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25082), .Q( \pic_ctrl_inst/intpriority_reg[26][2] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[27].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[2] ( .D(\pic_ctrl_inst/SETREG[27].NON_ZERO_INT.intpriority_ff/_0_net_[2] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25087), .Q( \pic_ctrl_inst/intpriority_reg[27][2] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[28].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[2] ( .D(\pic_ctrl_inst/SETREG[28].NON_ZERO_INT.intpriority_ff/_0_net_[2] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25088), .Q( \pic_ctrl_inst/intpriority_reg[28][2] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[29].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[2] ( .D(\pic_ctrl_inst/SETREG[29].NON_ZERO_INT.intpriority_ff/_0_net_[2] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25082), .Q( \pic_ctrl_inst/intpriority_reg[29][2] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[30].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[2] ( .D(\pic_ctrl_inst/SETREG[30].NON_ZERO_INT.intpriority_ff/_0_net_[2] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25088), .Q( \pic_ctrl_inst/intpriority_reg[30][2] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[31].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[2] ( .D(\pic_ctrl_inst/SETREG[31].NON_ZERO_INT.intpriority_ff/_0_net_[2] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25082), .Q( \pic_ctrl_inst/intpriority_reg[31][2] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[3].stbuf_dataff/genblock.genblock.dff/dout_reg[2] ( .D(\lsu/stbuf/stbuf_datain[3][2] ), .CLK( \lsu/stbuf/GenStBuf[3].stbuf_dataff/l1clk ), .RESET_B(n25082), .Q( \lsu/stbuf/stbuf_data[3][2] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[2].stbuf_dataff/genblock.genblock.dff/dout_reg[2] ( .D(\lsu/stbuf/stbuf_datain[2][2] ), .CLK( \lsu/stbuf/GenStBuf[2].stbuf_dataff/l1clk ), .RESET_B(n25082), .Q( \lsu/stbuf/stbuf_data[2][2] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[1].stbuf_dataff/genblock.genblock.dff/dout_reg[2] ( .D(\lsu/stbuf/stbuf_datain[1][2] ), .CLK( \lsu/stbuf/GenStBuf[1].stbuf_dataff/l1clk ), .RESET_B(n25082), .Q( \lsu/stbuf/stbuf_data[1][2] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U1_Plus1_0.store_data_hi_rff/dout_reg[10] ( .D(\lsu/dccm_ctl/store_data_hi_r_in [10]), .CLK( \lsu/lsu_store_c1_r_clk ), .RESET_B(n25087), .Q( \lsu/store_data_hi_r [10]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[0].stbuf_dataff/genblock.genblock.dff/dout_reg[10] ( .D(\lsu/stbuf/stbuf_datain[0][10] ), .CLK( \lsu/stbuf/GenStBuf[0].stbuf_dataff/l1clk ), .RESET_B(n25088), .Q( \lsu/stbuf/stbuf_data[0][10] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/picm_dat_flop/dout_reg[10] ( .D( picm_wr_data[10]), .CLK(\pic_ctrl_inst/pic_data_c1_clk ), .RESET_B( n25082), .Q(\pic_ctrl_inst/picm_wr_data_ff [10]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[3].stbuf_dataff/genblock.genblock.dff/dout_reg[10] ( .D(\lsu/stbuf/stbuf_datain[3][10] ), .CLK( \lsu/stbuf/GenStBuf[3].stbuf_dataff/l1clk ), .RESET_B(n25087), .Q( \lsu/stbuf/stbuf_data[3][10] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[2].stbuf_dataff/genblock.genblock.dff/dout_reg[10] ( .D(\lsu/stbuf/stbuf_datain[2][10] ), .CLK( \lsu/stbuf/GenStBuf[2].stbuf_dataff/l1clk ), .RESET_B(n25082), .Q( \lsu/stbuf/stbuf_data[2][10] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_rff/dout_reg[18] ( .D(\lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_m [18]), .CLK( \lsu/lsu_c2_r_clk ), .RESET_B(n25082), .Q(lsu_fir_addr[18]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_rff/dout_reg[26] ( .D(\lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_m [26]), .CLK( \lsu/lsu_c2_r_clk ), .RESET_B(n25087), .Q(lsu_fir_addr[26]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_rff/dout_reg[10] ( .D(\lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_m [10]), .CLK( \lsu/lsu_c2_r_clk ), .RESET_B(n25088), .Q(lsu_fir_addr[10]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U1_Plus1_0.store_data_lo_rff/dout_reg[26] ( .D(\lsu/dccm_ctl/store_data_lo_r_in [26]), .CLK( \lsu/lsu_store_c1_r_clk ), .RESET_B(n25082), .Q( \lsu/store_data_lo_r [26]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/picm_dat_flop/dout_reg[26] ( .D( picm_wr_data[26]), .CLK(\pic_ctrl_inst/pic_data_c1_clk ), .RESET_B( n25088), .Q(\pic_ctrl_inst/picm_wr_data_ff [26]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[0].stbuf_dataff/genblock.genblock.dff/dout_reg[26] ( .D(\lsu/stbuf/stbuf_datain[0][26] ), .CLK( \lsu/stbuf/GenStBuf[0].stbuf_dataff/l1clk ), .RESET_B(n25082), .Q( \lsu/stbuf/stbuf_data[0][26] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U1_Plus1_0.store_data_hi_rff/dout_reg[26] ( .D(\lsu/dccm_ctl/store_data_hi_r_in [26]), .CLK( \lsu/lsu_store_c1_r_clk ), .RESET_B(n25082), .Q( \lsu/store_data_hi_r [26]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[3].stbuf_dataff/genblock.genblock.dff/dout_reg[26] ( .D(\lsu/stbuf/stbuf_datain[3][26] ), .CLK( \lsu/stbuf/GenStBuf[3].stbuf_dataff/l1clk ), .RESET_B(n25082), .Q( \lsu/stbuf/stbuf_data[3][26] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[2].stbuf_dataff/genblock.genblock.dff/dout_reg[26] ( .D(\lsu/stbuf/stbuf_datain[2][26] ), .CLK( \lsu/stbuf/GenStBuf[2].stbuf_dataff/l1clk ), .RESET_B(n25087), .Q( \lsu/stbuf/stbuf_data[2][26] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[1].stbuf_dataff/genblock.genblock.dff/dout_reg[26] ( .D(\lsu/stbuf/stbuf_datain[1][26] ), .CLK( \lsu/stbuf/GenStBuf[1].stbuf_dataff/l1clk ), .RESET_B(n25087), .Q( \lsu/stbuf/stbuf_data[1][26] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/bus_read_data_r_ff/dout_reg[26] ( .D(\lsu/bus_read_data_m [26]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B( n25088), .Q(\lsu/lsu_lsc_ctl/bus_read_data_r [26]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/mff/genblock.genblock.dff/dout_reg[26] ( .D(\exu/muldiv_rs2_d [26]), .CLK(\exu/i_div/mff/l1clk ), .RESET_B( n25082), .Q(\exu/i_div/m_ff [26]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_rff/dout_reg[2] ( .D(\lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_m [2]), .CLK( \lsu/lsu_c2_r_clk ), .RESET_B(n25082), .Q(lsu_fir_addr[2]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_data0_reg/genblock.genblock.dff/dout_reg[2] ( .D(\dbg/data0_din [2]), .CLK(\dbg/dbg_data0_reg/l1clk ), .RESET_B( n25118), .Q(dbg_cmd_wrdata[2]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/write_csr_ff/genblock.genblock.dff/dout_reg[2] ( .D(n10826), .CLK(\dec/decode/write_csr_ff/l1clk ), .RESET_B(n25082), .Q(\dec/decode/write_csr_data [2]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/mff/genblock.genblock.dff/dout_reg[2] ( .D(\exu/i0_rs2_d [2]), .CLK(\exu/i_div/mff/l1clk ), .RESET_B(n25082), .Q(\exu/i_div/m_ff [2]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/bus_read_data_r_ff/dout_reg[18] ( .D(\lsu/bus_read_data_m [18]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B( n25087), .Q(\lsu/lsu_lsc_ctl/bus_read_data_r [18]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/mff/genblock.genblock.dff/dout_reg[10] ( .D(\exu/muldiv_rs2_d [10]), .CLK(\exu/i_div/mff/l1clk ), .RESET_B( n25088), .Q(\exu/i_div/m_ff [10]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/mff/genblock.genblock.dff/dout_reg[18] ( .D(\exu/muldiv_rs2_d [18]), .CLK(\exu/i_div/mff/l1clk ), .RESET_B( n25082), .Q(\exu/i_div/m_ff [18]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0_result_r_ff/genblock.genblock.dff/dout_reg[19] ( .D(\dec/decode/i0_result_x [19]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25082), .Q(\dec/decode/i0_result_r [19]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/sdmff/dout_reg[19] ( .D( \lsu/lsu_lsc_ctl/store_data_m_in [19]), .CLK(\lsu/lsu_store_c1_m_clk ), .RESET_B(n25082), .Q(\lsu/lsu_lsc_ctl/store_data_pre_m [19]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U1_Plus1_0.store_data_lo_rff/dout_reg[19] ( .D(\lsu/dccm_ctl/store_data_lo_r_in [19]), .CLK( \lsu/lsu_store_c1_r_clk ), .RESET_B(n25082), .Q( \lsu/store_data_lo_r [19]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/picm_dat_flop/dout_reg[19] ( .D( picm_wr_data[19]), .CLK(\pic_ctrl_inst/pic_data_c1_clk ), .RESET_B( n25082), .Q(\pic_ctrl_inst/picm_wr_data_ff [19]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[0].stbuf_dataff/genblock.genblock.dff/dout_reg[19] ( .D(\lsu/stbuf/stbuf_datain[0][19] ), .CLK( \lsu/stbuf/GenStBuf[0].stbuf_dataff/l1clk ), .RESET_B(n25082), .Q( \lsu/stbuf/stbuf_data[0][19] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U1_Plus1_0.store_data_hi_rff/dout_reg[19] ( .D(\lsu/dccm_ctl/store_data_hi_r_in [19]), .CLK( \lsu/lsu_store_c1_r_clk ), .RESET_B(n25082), .Q( \lsu/store_data_hi_r [19]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[3].stbuf_dataff/genblock.genblock.dff/dout_reg[19] ( .D(\lsu/stbuf/stbuf_datain[3][19] ), .CLK( \lsu/stbuf/GenStBuf[3].stbuf_dataff/l1clk ), .RESET_B(n25082), .Q( \lsu/stbuf/stbuf_data[3][19] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[2].stbuf_dataff/genblock.genblock.dff/dout_reg[19] ( .D(\lsu/stbuf/stbuf_datain[2][19] ), .CLK( \lsu/stbuf/GenStBuf[2].stbuf_dataff/l1clk ), .RESET_B(n25082), .Q( \lsu/stbuf/stbuf_data[2][19] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[1].stbuf_dataff/genblock.genblock.dff/dout_reg[19] ( .D(\lsu/stbuf/stbuf_datain[1][19] ), .CLK( \lsu/stbuf/GenStBuf[1].stbuf_dataff/l1clk ), .RESET_B(n25082), .Q( \lsu/stbuf/stbuf_data[1][19] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/bus_read_data_r_ff/dout_reg[27] ( .D(\lsu/bus_read_data_m [27]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B( n25082), .Q(\lsu/lsu_lsc_ctl/bus_read_data_r [27]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/bus_read_data_r_ff/dout_reg[3] ( .D(\lsu/bus_read_data_m [3]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B( n25082), .Q(\lsu/lsu_lsc_ctl/bus_read_data_r [3]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0_result_r_ff/genblock.genblock.dff/dout_reg[3] ( .D(\dec/decode/i0_result_x [3]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25082), .Q(\dec/decode/i0_result_r [3]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/sdmff/dout_reg[3] ( .D( \lsu/lsu_lsc_ctl/store_data_m_in [3]), .CLK(\lsu/lsu_store_c1_m_clk ), .RESET_B(n25082), .Q(\lsu/lsu_lsc_ctl/store_data_pre_m [3]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U1_Plus1_0.store_data_lo_rff/dout_reg[3] ( .D(\lsu/dccm_ctl/store_data_lo_r_in [3]), .CLK( \lsu/lsu_store_c1_r_clk ), .RESET_B(n25082), .Q( \lsu/store_data_lo_r [3]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/picm_dat_flop/dout_reg[3] ( .D( picm_wr_data[3]), .CLK(\pic_ctrl_inst/pic_data_c1_clk ), .RESET_B( n25082), .Q(\pic_ctrl_inst/picm_wr_data_ff [3]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[1].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[3] ( .D(\pic_ctrl_inst/SETREG[1].NON_ZERO_INT.intpriority_ff/_0_net_[3] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25082), .Q( \pic_ctrl_inst/intpriority_reg[1][3] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[2].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[3] ( .D(\pic_ctrl_inst/SETREG[2].NON_ZERO_INT.intpriority_ff/_0_net_[3] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25082), .Q( \pic_ctrl_inst/intpriority_reg[2][3] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[3].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[3] ( .D(\pic_ctrl_inst/SETREG[3].NON_ZERO_INT.intpriority_ff/_0_net_[3] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25082), .Q( \pic_ctrl_inst/intpriority_reg[3][3] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[4].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[3] ( .D(\pic_ctrl_inst/SETREG[4].NON_ZERO_INT.intpriority_ff/_0_net_[3] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25082), .Q( \pic_ctrl_inst/intpriority_reg[4][3] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[5].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[3] ( .D(\pic_ctrl_inst/SETREG[5].NON_ZERO_INT.intpriority_ff/_0_net_[3] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25082), .Q( \pic_ctrl_inst/intpriority_reg[5][3] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[6].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[3] ( .D(\pic_ctrl_inst/SETREG[6].NON_ZERO_INT.intpriority_ff/_0_net_[3] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25082), .Q( \pic_ctrl_inst/intpriority_reg[6][3] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[7].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[3] ( .D(\pic_ctrl_inst/SETREG[7].NON_ZERO_INT.intpriority_ff/_0_net_[3] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25082), .Q( \pic_ctrl_inst/intpriority_reg[7][3] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[8].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[3] ( .D(\pic_ctrl_inst/SETREG[8].NON_ZERO_INT.intpriority_ff/_0_net_[3] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25082), .Q( \pic_ctrl_inst/intpriority_reg[8][3] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[9].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[3] ( .D(\pic_ctrl_inst/SETREG[9].NON_ZERO_INT.intpriority_ff/_0_net_[3] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25082), .Q( \pic_ctrl_inst/intpriority_reg[9][3] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[10].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[3] ( .D(\pic_ctrl_inst/SETREG[10].NON_ZERO_INT.intpriority_ff/_0_net_[3] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25082), .Q( \pic_ctrl_inst/intpriority_reg[10][3] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[11].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[3] ( .D(\pic_ctrl_inst/SETREG[11].NON_ZERO_INT.intpriority_ff/_0_net_[3] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25082), .Q( \pic_ctrl_inst/intpriority_reg[11][3] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[12].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[3] ( .D(\pic_ctrl_inst/SETREG[12].NON_ZERO_INT.intpriority_ff/_0_net_[3] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25082), .Q( \pic_ctrl_inst/intpriority_reg[12][3] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[13].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[3] ( .D(\pic_ctrl_inst/SETREG[13].NON_ZERO_INT.intpriority_ff/_0_net_[3] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25082), .Q( \pic_ctrl_inst/intpriority_reg[13][3] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[14].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[3] ( .D(\pic_ctrl_inst/SETREG[14].NON_ZERO_INT.intpriority_ff/_0_net_[3] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25082), .Q( \pic_ctrl_inst/intpriority_reg[14][3] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[15].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[3] ( .D(\pic_ctrl_inst/SETREG[15].NON_ZERO_INT.intpriority_ff/_0_net_[3] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25082), .Q( \pic_ctrl_inst/intpriority_reg[15][3] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[16].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[3] ( .D(\pic_ctrl_inst/SETREG[16].NON_ZERO_INT.intpriority_ff/_0_net_[3] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25082), .Q( \pic_ctrl_inst/intpriority_reg[16][3] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[17].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[3] ( .D(\pic_ctrl_inst/SETREG[17].NON_ZERO_INT.intpriority_ff/_0_net_[3] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25082), .Q( \pic_ctrl_inst/intpriority_reg[17][3] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[18].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[3] ( .D(\pic_ctrl_inst/SETREG[18].NON_ZERO_INT.intpriority_ff/_0_net_[3] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25082), .Q( \pic_ctrl_inst/intpriority_reg[18][3] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[19].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[3] ( .D(\pic_ctrl_inst/SETREG[19].NON_ZERO_INT.intpriority_ff/_0_net_[3] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25082), .Q( \pic_ctrl_inst/intpriority_reg[19][3] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[20].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[3] ( .D(\pic_ctrl_inst/SETREG[20].NON_ZERO_INT.intpriority_ff/_0_net_[3] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25082), .Q( \pic_ctrl_inst/intpriority_reg[20][3] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[21].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[3] ( .D(\pic_ctrl_inst/SETREG[21].NON_ZERO_INT.intpriority_ff/_0_net_[3] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25082), .Q( \pic_ctrl_inst/intpriority_reg[21][3] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[22].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[3] ( .D(\pic_ctrl_inst/SETREG[22].NON_ZERO_INT.intpriority_ff/_0_net_[3] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25082), .Q( \pic_ctrl_inst/intpriority_reg[22][3] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[23].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[3] ( .D(\pic_ctrl_inst/SETREG[23].NON_ZERO_INT.intpriority_ff/_0_net_[3] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25082), .Q( \pic_ctrl_inst/intpriority_reg[23][3] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[24].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[3] ( .D(\pic_ctrl_inst/SETREG[24].NON_ZERO_INT.intpriority_ff/_0_net_[3] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25087), .Q( \pic_ctrl_inst/intpriority_reg[24][3] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[25].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[3] ( .D(\pic_ctrl_inst/SETREG[25].NON_ZERO_INT.intpriority_ff/_0_net_[3] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25087), .Q( \pic_ctrl_inst/intpriority_reg[25][3] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[26].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[3] ( .D(\pic_ctrl_inst/SETREG[26].NON_ZERO_INT.intpriority_ff/_0_net_[3] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25087), .Q( \pic_ctrl_inst/intpriority_reg[26][3] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[27].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[3] ( .D(\pic_ctrl_inst/SETREG[27].NON_ZERO_INT.intpriority_ff/_0_net_[3] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25087), .Q( \pic_ctrl_inst/intpriority_reg[27][3] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[28].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[3] ( .D(\pic_ctrl_inst/SETREG[28].NON_ZERO_INT.intpriority_ff/_0_net_[3] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25087), .Q( \pic_ctrl_inst/intpriority_reg[28][3] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[29].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[3] ( .D(\pic_ctrl_inst/SETREG[29].NON_ZERO_INT.intpriority_ff/_0_net_[3] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25087), .Q( \pic_ctrl_inst/intpriority_reg[29][3] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[30].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[3] ( .D(\pic_ctrl_inst/SETREG[30].NON_ZERO_INT.intpriority_ff/_0_net_[3] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25087), .Q( \pic_ctrl_inst/intpriority_reg[30][3] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[31].NON_ZERO_INT.intpriority_ff/genblock.dffs/dout_reg[3] ( .D(\pic_ctrl_inst/SETREG[31].NON_ZERO_INT.intpriority_ff/_0_net_[3] ), .CLK(\pic_ctrl_inst/pic_pri_c1_clk ), .RESET_B(n25087), .Q( \pic_ctrl_inst/intpriority_reg[31][3] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/pl_ff/dout_reg[3] ( .D( \pic_ctrl_inst/pl_in_q [3]), .CLK(clk), .RESET_B(n25087), .Q(pic_pl[3]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/claimid_ff/dout_reg[4] ( .D( \intadd_4/n1 ), .CLK(clk), .RESET_B(n25087), .Q(pic_claimid[4]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/pl_ff/dout_reg[2] ( .D( \pic_ctrl_inst/pl_in_q [2]), .CLK(clk), .RESET_B(n25087), .Q(pic_pl[2]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/pl_ff/dout_reg[0] ( .D( \pic_ctrl_inst/pl_in_q [0]), .CLK(clk), .RESET_B(n25087), .Q(pic_pl[0]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/pl_ff/dout_reg[1] ( .D( \pic_ctrl_inst/pl_in_q [1]), .CLK(clk), .RESET_B(n25088), .Q(pic_pl[1]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/wake_up_ff/dout_reg[0] ( .D( \pic_ctrl_inst/mhwakeup_in ), .CLK(clk), .RESET_B(n25088), .Q(mhwakeup) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/mexintpend_ff/dout_reg[0] ( .D( \pic_ctrl_inst/mexintpend_in ), .CLK(clk), .RESET_B(n25088), .Q( mexintpend) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/claimid_ff/dout_reg[0] ( .D( \pic_ctrl_inst/claimid_in [0]), .CLK(clk), .RESET_B(n25088), .Q( pic_claimid[0]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/claimid_ff/dout_reg[2] ( .D( \pic_ctrl_inst/claimid_in [2]), .CLK(clk), .RESET_B(n25088), .Q( pic_claimid[2]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/claimid_ff/dout_reg[3] ( .D( \pic_ctrl_inst/claimid_in [3]), .CLK(clk), .RESET_B(n25088), .Q( pic_claimid[3]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[0].stbuf_dataff/genblock.genblock.dff/dout_reg[3] ( .D(\lsu/stbuf/stbuf_datain[0][3] ), .CLK( \lsu/stbuf/GenStBuf[0].stbuf_dataff/l1clk ), .RESET_B(n25088), .Q( \lsu/stbuf/stbuf_data[0][3] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U1_Plus1_0.store_data_hi_rff/dout_reg[3] ( .D(\lsu/dccm_ctl/store_data_hi_r_in [3]), .CLK( \lsu/lsu_store_c1_r_clk ), .RESET_B(n25088), .Q( \lsu/store_data_hi_r [3]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[3].stbuf_dataff/genblock.genblock.dff/dout_reg[3] ( .D(\lsu/stbuf/stbuf_datain[3][3] ), .CLK( \lsu/stbuf/GenStBuf[3].stbuf_dataff/l1clk ), .RESET_B(n25088), .Q( \lsu/stbuf/stbuf_data[3][3] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[2].stbuf_dataff/genblock.genblock.dff/dout_reg[3] ( .D(\lsu/stbuf/stbuf_datain[2][3] ), .CLK( \lsu/stbuf/GenStBuf[2].stbuf_dataff/l1clk ), .RESET_B(n25088), .Q( \lsu/stbuf/stbuf_data[2][3] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[1].stbuf_dataff/genblock.genblock.dff/dout_reg[3] ( .D(\lsu/stbuf/stbuf_datain[1][3] ), .CLK( \lsu/stbuf/GenStBuf[1].stbuf_dataff/l1clk ), .RESET_B(n25088), .Q( \lsu/stbuf/stbuf_data[1][3] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_rff/dout_reg[27] ( .D(\lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_m [27]), .CLK( \lsu/lsu_c2_r_clk ), .RESET_B(n10880), .Q(lsu_fir_addr[27]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_rff/dout_reg[11] ( .D(\lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_m [11]), .CLK( \lsu/lsu_c2_r_clk ), .RESET_B(n10880), .Q(lsu_fir_addr[11]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_rff/dout_reg[19] ( .D(\lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_m [19]), .CLK( \lsu/lsu_c2_r_clk ), .RESET_B(n10880), .Q(lsu_fir_addr[19]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_rff/dout_reg[3] ( .D(\lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_m [3]), .CLK( \lsu/lsu_c2_r_clk ), .RESET_B(n10880), .Q(lsu_fir_addr[3]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_data0_reg/genblock.genblock.dff/dout_reg[3] ( .D(\dbg/data0_din [3]), .CLK(\dbg/dbg_data0_reg/l1clk ), .RESET_B( n10811), .Q(dbg_cmd_wrdata[3]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dmi_rddata_reg/genblock.dffs/dout_reg[3] ( .D(\dbg/dmi_rddata_reg/_0_net_[3] ), .CLK(\dbg/dbg_free_clk ), .RESET_B(n25119), .Q(dmi_reg_rdata[3]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/mff/genblock.genblock.dff/dout_reg[3] ( .D(\exu/i0_rs2_d [3]), .CLK(\exu/i_div/mff/l1clk ), .RESET_B(n10880), .Q(\exu/i_div/m_ff [3]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/mff/genblock.genblock.dff/dout_reg[11] ( .D(\exu/muldiv_rs2_d [11]), .CLK(\exu/i_div/mff/l1clk ), .RESET_B( n10882), .Q(\exu/i_div/m_ff [11]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/mff/genblock.genblock.dff/dout_reg[27] ( .D(\exu/muldiv_rs2_d [27]), .CLK(\exu/i_div/mff/l1clk ), .RESET_B( n25089), .Q(\exu/i_div/m_ff [27]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_raw_fwd_r_ff/dout_reg[1] ( .D( \lsu/lsu_raw_fwd_hi_m ), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25089), .Q(\lsu/lsu_raw_fwd_hi_r ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/ld_sec_addr_hi_rff/genblock.genblock.dff/dout_reg[0] ( .D(\lsu/end_addr_r [0]), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n10882), .Q(\lsu/dccm_ctl/ld_sec_addr_hi_r_ff [0]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/ld_sec_addr_hi_rff/genblock.genblock.dff/dout_reg[1] ( .D(\lsu/end_addr_r [1]), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n10874), .Q(\lsu/dccm_ctl/ld_sec_addr_hi_r_ff [1]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/ld_sec_addr_hi_rff/genblock.genblock.dff/dout_reg[2] ( .D(\lsu/end_addr_r [2]), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n10874), .Q(\lsu/dccm_ctl/ld_sec_addr_hi_r_ff [2]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/ld_sec_addr_hi_rff/genblock.genblock.dff/dout_reg[3] ( .D(\lsu/end_addr_r [3]), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n10882), .Q(\lsu/dccm_ctl/ld_sec_addr_hi_r_ff [3]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/ld_sec_addr_hi_rff/genblock.genblock.dff/dout_reg[4] ( .D(\lsu/end_addr_r [4]), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n10882), .Q(\lsu/dccm_ctl/ld_sec_addr_hi_r_ff [4]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/ld_sec_addr_hi_rff/genblock.genblock.dff/dout_reg[5] ( .D(\lsu/end_addr_r [5]), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n10882), .Q(\lsu/dccm_ctl/ld_sec_addr_hi_r_ff [5]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/ld_sec_addr_hi_rff/genblock.genblock.dff/dout_reg[6] ( .D(\lsu/end_addr_r [6]), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n10882), .Q(\lsu/dccm_ctl/ld_sec_addr_hi_r_ff [6]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/ld_sec_addr_hi_rff/genblock.genblock.dff/dout_reg[7] ( .D(\lsu/end_addr_r [7]), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n10882), .Q(\lsu/dccm_ctl/ld_sec_addr_hi_r_ff [7]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/ld_sec_addr_hi_rff/genblock.genblock.dff/dout_reg[8] ( .D(\lsu/end_addr_r [8]), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25089), .Q(\lsu/dccm_ctl/ld_sec_addr_hi_r_ff [8]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/ld_sec_addr_hi_rff/genblock.genblock.dff/dout_reg[9] ( .D(\lsu/end_addr_r [9]), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25089), .Q(\lsu/dccm_ctl/ld_sec_addr_hi_r_ff [9]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/ld_sec_addr_hi_rff/genblock.genblock.dff/dout_reg[10] ( .D(\lsu/end_addr_r [10]), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n10874), .Q(\lsu/dccm_ctl/ld_sec_addr_hi_r_ff [10]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/ld_sec_addr_hi_rff/genblock.genblock.dff/dout_reg[11] ( .D(\lsu/end_addr_r [11]), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n10874), .Q(\lsu/dccm_ctl/ld_sec_addr_hi_r_ff [11]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/ld_sec_addr_hi_rff/genblock.genblock.dff/dout_reg[12] ( .D(\lsu/end_addr_r [12]), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n10882), .Q(\lsu/dccm_ctl/ld_sec_addr_hi_r_ff [12]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/ld_sec_addr_hi_rff/genblock.genblock.dff/dout_reg[13] ( .D(\lsu/end_addr_r [13]), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n10882), .Q(\lsu/dccm_ctl/ld_sec_addr_hi_r_ff [13]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/ld_sec_addr_hi_rff/genblock.genblock.dff/dout_reg[14] ( .D(\lsu/end_addr_r [14]), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25089), .Q(\lsu/dccm_ctl/ld_sec_addr_hi_r_ff [14]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/ld_sec_addr_hi_rff/genblock.genblock.dff/dout_reg[15] ( .D(\lsu/end_addr_r [15]), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25093), .Q(\lsu/dccm_ctl/ld_sec_addr_hi_r_ff [15]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/ld_sec_addr_lo_rff/genblock.genblock.dff/dout_reg[0] ( .D(n25079), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B( n25115), .Q(\lsu/dccm_ctl/ld_sec_addr_lo_r_ff [0]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/ld_sec_addr_lo_rff/genblock.genblock.dff/dout_reg[1] ( .D(\lsu/lsu_addr_r [1]), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25115), .Q(\lsu/dccm_ctl/ld_sec_addr_lo_r_ff [1]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/ld_sec_addr_lo_rff/genblock.genblock.dff/dout_reg[2] ( .D(\lsu/lsu_addr_r [2]), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25115), .Q(\lsu/dccm_ctl/ld_sec_addr_lo_r_ff [2]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/ld_sec_addr_lo_rff/genblock.genblock.dff/dout_reg[3] ( .D(\lsu/lsu_addr_r [3]), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25115), .Q(\lsu/dccm_ctl/ld_sec_addr_lo_r_ff [3]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/ld_sec_addr_lo_rff/genblock.genblock.dff/dout_reg[4] ( .D(\lsu/lsu_addr_r [4]), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25115), .Q(\lsu/dccm_ctl/ld_sec_addr_lo_r_ff [4]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/ld_sec_addr_lo_rff/genblock.genblock.dff/dout_reg[5] ( .D(\lsu/lsu_addr_r [5]), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25115), .Q(\lsu/dccm_ctl/ld_sec_addr_lo_r_ff [5]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/ld_sec_addr_lo_rff/genblock.genblock.dff/dout_reg[6] ( .D(\lsu/lsu_addr_r [6]), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25115), .Q(\lsu/dccm_ctl/ld_sec_addr_lo_r_ff [6]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/ld_sec_addr_lo_rff/genblock.genblock.dff/dout_reg[7] ( .D(\lsu/lsu_addr_r [7]), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25115), .Q(\lsu/dccm_ctl/ld_sec_addr_lo_r_ff [7]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/ld_sec_addr_lo_rff/genblock.genblock.dff/dout_reg[8] ( .D(\lsu/lsu_addr_r [8]), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25115), .Q(\lsu/dccm_ctl/ld_sec_addr_lo_r_ff [8]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/ld_sec_addr_lo_rff/genblock.genblock.dff/dout_reg[9] ( .D(\lsu/lsu_addr_r [9]), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25115), .Q(\lsu/dccm_ctl/ld_sec_addr_lo_r_ff [9]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/ld_sec_addr_lo_rff/genblock.genblock.dff/dout_reg[10] ( .D(\lsu/lsu_addr_r [10]), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25090), .Q(\lsu/dccm_ctl/ld_sec_addr_lo_r_ff [10]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/ld_sec_addr_lo_rff/genblock.genblock.dff/dout_reg[11] ( .D(\lsu/lsu_addr_r [11]), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25090), .Q(\lsu/dccm_ctl/ld_sec_addr_lo_r_ff [11]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/ld_sec_addr_lo_rff/genblock.genblock.dff/dout_reg[12] ( .D(\lsu/lsu_addr_r [12]), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25090), .Q(\lsu/dccm_ctl/ld_sec_addr_lo_r_ff [12]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/ld_sec_addr_lo_rff/genblock.genblock.dff/dout_reg[13] ( .D(\lsu/lsu_addr_r [13]), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25090), .Q(\lsu/dccm_ctl/ld_sec_addr_lo_r_ff [13]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/ld_sec_addr_lo_rff/genblock.genblock.dff/dout_reg[14] ( .D(\lsu/lsu_addr_r [14]), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25090), .Q(\lsu/dccm_ctl/ld_sec_addr_lo_r_ff [14]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/ld_sec_addr_lo_rff/genblock.genblock.dff/dout_reg[15] ( .D(\lsu/lsu_addr_r [15]), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25090), .Q(\lsu/dccm_ctl/ld_sec_addr_lo_r_ff [15]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/sec_data_hi_rplus1ff/genblock.genblock.dff/dout_reg[0] ( .D(\lsu/ecc/n32 ), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25090), .Q(\lsu/sec_data_hi_r_ff [0]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/sec_data_hi_rplus1ff/genblock.genblock.dff/dout_reg[1] ( .D(\lsu/ecc/n31 ), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25090), .Q(\lsu/sec_data_hi_r_ff [1]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/sec_data_hi_rplus1ff/genblock.genblock.dff/dout_reg[2] ( .D(\lsu/ecc/n30 ), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25090), .Q(\lsu/sec_data_hi_r_ff [2]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/sec_data_hi_rplus1ff/genblock.genblock.dff/dout_reg[3] ( .D(\lsu/ecc/n29 ), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25090), .Q(\lsu/sec_data_hi_r_ff [3]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/sec_data_hi_rplus1ff/genblock.genblock.dff/dout_reg[4] ( .D(\lsu/ecc/n28 ), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25090), .Q(\lsu/sec_data_hi_r_ff [4]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/sec_data_hi_rplus1ff/genblock.genblock.dff/dout_reg[5] ( .D(\lsu/ecc/n27 ), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25090), .Q(\lsu/sec_data_hi_r_ff [5]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/sec_data_hi_rplus1ff/genblock.genblock.dff/dout_reg[6] ( .D(\lsu/ecc/n26 ), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25091), .Q(\lsu/sec_data_hi_r_ff [6]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/sec_data_hi_rplus1ff/genblock.genblock.dff/dout_reg[7] ( .D(\lsu/ecc/n25 ), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25091), .Q(\lsu/sec_data_hi_r_ff [7]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/sec_data_hi_rplus1ff/genblock.genblock.dff/dout_reg[8] ( .D(\lsu/ecc/n24 ), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25091), .Q(\lsu/sec_data_hi_r_ff [8]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/sec_data_hi_rplus1ff/genblock.genblock.dff/dout_reg[9] ( .D(\lsu/ecc/n23 ), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25091), .Q(\lsu/sec_data_hi_r_ff [9]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/sec_data_hi_rplus1ff/genblock.genblock.dff/dout_reg[10] ( .D(\lsu/ecc/n22 ), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25091), .Q(\lsu/sec_data_hi_r_ff [10]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/sec_data_hi_rplus1ff/genblock.genblock.dff/dout_reg[11] ( .D(\lsu/ecc/n21 ), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25091), .Q(\lsu/sec_data_hi_r_ff [11]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/sec_data_hi_rplus1ff/genblock.genblock.dff/dout_reg[12] ( .D(\lsu/ecc/n20 ), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25091), .Q(\lsu/sec_data_hi_r_ff [12]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/sec_data_hi_rplus1ff/genblock.genblock.dff/dout_reg[13] ( .D(\lsu/ecc/n19 ), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25091), .Q(\lsu/sec_data_hi_r_ff [13]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/sec_data_hi_rplus1ff/genblock.genblock.dff/dout_reg[14] ( .D(\lsu/ecc/n18 ), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25091), .Q(\lsu/sec_data_hi_r_ff [14]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/sec_data_hi_rplus1ff/genblock.genblock.dff/dout_reg[15] ( .D(\lsu/ecc/n17 ), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25091), .Q(\lsu/sec_data_hi_r_ff [15]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/sec_data_hi_rplus1ff/genblock.genblock.dff/dout_reg[16] ( .D(\lsu/ecc/n16 ), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25091), .Q(\lsu/sec_data_hi_r_ff [16]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/sec_data_hi_rplus1ff/genblock.genblock.dff/dout_reg[17] ( .D(\lsu/ecc/n15 ), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25091), .Q(\lsu/sec_data_hi_r_ff [17]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/sec_data_hi_rplus1ff/genblock.genblock.dff/dout_reg[18] ( .D(\lsu/ecc/n14 ), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25092), .Q(\lsu/sec_data_hi_r_ff [18]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/sec_data_hi_rplus1ff/genblock.genblock.dff/dout_reg[19] ( .D(\lsu/ecc/n13 ), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25092), .Q(\lsu/sec_data_hi_r_ff [19]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/sec_data_hi_rplus1ff/genblock.genblock.dff/dout_reg[20] ( .D(\lsu/ecc/n12 ), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25092), .Q(\lsu/sec_data_hi_r_ff [20]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/sec_data_hi_rplus1ff/genblock.genblock.dff/dout_reg[21] ( .D(\lsu/ecc/n11 ), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25092), .Q(\lsu/sec_data_hi_r_ff [21]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/sec_data_hi_rplus1ff/genblock.genblock.dff/dout_reg[22] ( .D(\lsu/ecc/n10 ), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25092), .Q(\lsu/sec_data_hi_r_ff [22]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/sec_data_hi_rplus1ff/genblock.genblock.dff/dout_reg[23] ( .D(\lsu/ecc/n9 ), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25092), .Q(\lsu/sec_data_hi_r_ff [23]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/sec_data_hi_rplus1ff/genblock.genblock.dff/dout_reg[24] ( .D(\lsu/ecc/n8 ), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25092), .Q(\lsu/sec_data_hi_r_ff [24]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/sec_data_hi_rplus1ff/genblock.genblock.dff/dout_reg[25] ( .D(\lsu/ecc/n7 ), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25092), .Q(\lsu/sec_data_hi_r_ff [25]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/sec_data_hi_rplus1ff/genblock.genblock.dff/dout_reg[26] ( .D(\lsu/ecc/n6 ), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25092), .Q(\lsu/sec_data_hi_r_ff [26]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/sec_data_hi_rplus1ff/genblock.genblock.dff/dout_reg[27] ( .D(\lsu/ecc/n5 ), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25092), .Q(\lsu/sec_data_hi_r_ff [27]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/sec_data_hi_rplus1ff/genblock.genblock.dff/dout_reg[28] ( .D(\lsu/ecc/n4 ), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25092), .Q(\lsu/sec_data_hi_r_ff [28]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/sec_data_hi_rplus1ff/genblock.genblock.dff/dout_reg[29] ( .D(\lsu/ecc/n3 ), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25092), .Q(\lsu/sec_data_hi_r_ff [29]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/sec_data_hi_rplus1ff/genblock.genblock.dff/dout_reg[30] ( .D(\lsu/ecc/n2 ), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25115), .Q(\lsu/sec_data_hi_r_ff [30]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/sec_data_hi_rplus1ff/genblock.genblock.dff/dout_reg[31] ( .D(\lsu/ecc/n1 ), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25115), .Q(\lsu/sec_data_hi_r_ff [31]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/sec_data_lo_rplus1ff/genblock.genblock.dff/dout_reg[0] ( .D(\lsu/ecc/n64 ), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25115), .Q(\lsu/sec_data_lo_r_ff [0]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/sec_data_lo_rplus1ff/genblock.genblock.dff/dout_reg[1] ( .D(\lsu/ecc/n63 ), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25115), .Q(\lsu/sec_data_lo_r_ff [1]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/sec_data_lo_rplus1ff/genblock.genblock.dff/dout_reg[2] ( .D(\lsu/ecc/n62 ), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25115), .Q(\lsu/sec_data_lo_r_ff [2]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/sec_data_lo_rplus1ff/genblock.genblock.dff/dout_reg[3] ( .D(\lsu/ecc/n61 ), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25096), .Q(\lsu/sec_data_lo_r_ff [3]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/sec_data_lo_rplus1ff/genblock.genblock.dff/dout_reg[4] ( .D(\lsu/ecc/n60 ), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25095), .Q(\lsu/sec_data_lo_r_ff [4]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/sec_data_lo_rplus1ff/genblock.genblock.dff/dout_reg[5] ( .D(\lsu/ecc/n59 ), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25094), .Q(\lsu/sec_data_lo_r_ff [5]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/sec_data_lo_rplus1ff/genblock.genblock.dff/dout_reg[6] ( .D(\lsu/ecc/n58 ), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25093), .Q(\lsu/sec_data_lo_r_ff [6]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/sec_data_lo_rplus1ff/genblock.genblock.dff/dout_reg[7] ( .D(\lsu/ecc/n57 ), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25096), .Q(\lsu/sec_data_lo_r_ff [7]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/sec_data_lo_rplus1ff/genblock.genblock.dff/dout_reg[8] ( .D(\lsu/ecc/n56 ), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25093), .Q(\lsu/sec_data_lo_r_ff [8]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/sec_data_lo_rplus1ff/genblock.genblock.dff/dout_reg[9] ( .D(\lsu/ecc/n55 ), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25096), .Q(\lsu/sec_data_lo_r_ff [9]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/sec_data_lo_rplus1ff/genblock.genblock.dff/dout_reg[10] ( .D(\lsu/ecc/n54 ), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25093), .Q(\lsu/sec_data_lo_r_ff [10]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/sec_data_lo_rplus1ff/genblock.genblock.dff/dout_reg[11] ( .D(\lsu/ecc/n53 ), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25093), .Q(\lsu/sec_data_lo_r_ff [11]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/sec_data_lo_rplus1ff/genblock.genblock.dff/dout_reg[12] ( .D(\lsu/ecc/n52 ), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25094), .Q(\lsu/sec_data_lo_r_ff [12]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/sec_data_lo_rplus1ff/genblock.genblock.dff/dout_reg[13] ( .D(\lsu/ecc/n51 ), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25095), .Q(\lsu/sec_data_lo_r_ff [13]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/sec_data_lo_rplus1ff/genblock.genblock.dff/dout_reg[14] ( .D(\lsu/ecc/n50 ), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25096), .Q(\lsu/sec_data_lo_r_ff [14]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/sec_data_lo_rplus1ff/genblock.genblock.dff/dout_reg[15] ( .D(\lsu/ecc/n49 ), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25093), .Q(\lsu/sec_data_lo_r_ff [15]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/sec_data_lo_rplus1ff/genblock.genblock.dff/dout_reg[16] ( .D(\lsu/ecc/n48 ), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25093), .Q(\lsu/sec_data_lo_r_ff [16]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/sec_data_lo_rplus1ff/genblock.genblock.dff/dout_reg[17] ( .D(\lsu/ecc/n47 ), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25094), .Q(\lsu/sec_data_lo_r_ff [17]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/sec_data_lo_rplus1ff/genblock.genblock.dff/dout_reg[18] ( .D(\lsu/ecc/n46 ), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25093), .Q(\lsu/sec_data_lo_r_ff [18]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/sec_data_lo_rplus1ff/genblock.genblock.dff/dout_reg[19] ( .D(\lsu/ecc/n45 ), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25094), .Q(\lsu/sec_data_lo_r_ff [19]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/sec_data_lo_rplus1ff/genblock.genblock.dff/dout_reg[20] ( .D(\lsu/ecc/n44 ), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25093), .Q(\lsu/sec_data_lo_r_ff [20]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/sec_data_lo_rplus1ff/genblock.genblock.dff/dout_reg[21] ( .D(\lsu/ecc/n43 ), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25093), .Q(\lsu/sec_data_lo_r_ff [21]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/sec_data_lo_rplus1ff/genblock.genblock.dff/dout_reg[22] ( .D(\lsu/ecc/n42 ), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25094), .Q(\lsu/sec_data_lo_r_ff [22]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/sec_data_lo_rplus1ff/genblock.genblock.dff/dout_reg[23] ( .D(\lsu/ecc/n41 ), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25095), .Q(\lsu/sec_data_lo_r_ff [23]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/sec_data_lo_rplus1ff/genblock.genblock.dff/dout_reg[24] ( .D(\lsu/ecc/n40 ), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25096), .Q(\lsu/sec_data_lo_r_ff [24]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/sec_data_lo_rplus1ff/genblock.genblock.dff/dout_reg[25] ( .D(\lsu/ecc/n39 ), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25095), .Q(\lsu/sec_data_lo_r_ff [25]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/sec_data_lo_rplus1ff/genblock.genblock.dff/dout_reg[26] ( .D(\lsu/ecc/n38 ), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25093), .Q(\lsu/sec_data_lo_r_ff [26]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/sec_data_lo_rplus1ff/genblock.genblock.dff/dout_reg[27] ( .D(\lsu/ecc/n37 ), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25093), .Q(\lsu/sec_data_lo_r_ff [27]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/sec_data_lo_rplus1ff/genblock.genblock.dff/dout_reg[28] ( .D(\lsu/ecc/n36 ), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25096), .Q(\lsu/sec_data_lo_r_ff [28]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/sec_data_lo_rplus1ff/genblock.genblock.dff/dout_reg[29] ( .D(\lsu/ecc/n35 ), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25093), .Q(\lsu/sec_data_lo_r_ff [29]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/sec_data_lo_rplus1ff/genblock.genblock.dff/dout_reg[30] ( .D(\lsu/ecc/n34 ), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25096), .Q(\lsu/sec_data_lo_r_ff [30]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/ecc/sec_data_lo_rplus1ff/genblock.genblock.dff/dout_reg[31] ( .D(\lsu/ecc/n33 ), .CLK(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ), .RESET_B(n25093), .Q(\lsu/sec_data_lo_r_ff [31]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0_result_r_ff/genblock.genblock.dff/dout_reg[28] ( .D(\dec/decode/i0_result_x [28]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25093), .Q(\dec/decode/i0_result_r [28]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/sdmff/dout_reg[28] ( .D( \lsu/lsu_lsc_ctl/store_data_m_in [28]), .CLK(\lsu/lsu_store_c1_m_clk ), .RESET_B(n25094), .Q(\lsu/lsu_lsc_ctl/store_data_pre_m [28]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U1_Plus1_0.store_data_hi_rff/dout_reg[20] ( .D(\lsu/dccm_ctl/store_data_hi_r_in [20]), .CLK( \lsu/lsu_store_c1_r_clk ), .RESET_B(n25095), .Q( \lsu/store_data_hi_r [20]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[0].stbuf_dataff/genblock.genblock.dff/dout_reg[20] ( .D(\lsu/stbuf/stbuf_datain[0][20] ), .CLK( \lsu/stbuf/GenStBuf[0].stbuf_dataff/l1clk ), .RESET_B(n25096), .Q( \lsu/stbuf/stbuf_data[0][20] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/picm_dat_flop/dout_reg[20] ( .D( picm_wr_data[20]), .CLK(\pic_ctrl_inst/pic_data_c1_clk ), .RESET_B( n25094), .Q(\pic_ctrl_inst/picm_wr_data_ff [20]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[2].stbuf_dataff/genblock.genblock.dff/dout_reg[20] ( .D(\lsu/stbuf/stbuf_datain[2][20] ), .CLK( \lsu/stbuf/GenStBuf[2].stbuf_dataff/l1clk ), .RESET_B(n25093), .Q( \lsu/stbuf/stbuf_data[2][20] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[1].stbuf_dataff/genblock.genblock.dff/dout_reg[20] ( .D(\lsu/stbuf/stbuf_datain[1][20] ), .CLK( \lsu/stbuf/GenStBuf[1].stbuf_dataff/l1clk ), .RESET_B(n25093), .Q( \lsu/stbuf/stbuf_data[1][20] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U1_Plus1_0.store_data_hi_rff/dout_reg[4] ( .D(\lsu/dccm_ctl/store_data_hi_r_in [4]), .CLK( \lsu/lsu_store_c1_r_clk ), .RESET_B(n25094), .Q( \lsu/store_data_hi_r [4]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[0].stbuf_dataff/genblock.genblock.dff/dout_reg[4] ( .D(\lsu/stbuf/stbuf_datain[0][4] ), .CLK( \lsu/stbuf/GenStBuf[0].stbuf_dataff/l1clk ), .RESET_B(n25094), .Q( \lsu/stbuf/stbuf_data[0][4] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U1_Plus1_0.store_data_lo_rff/dout_reg[4] ( .D(\lsu/dccm_ctl/store_data_lo_r_in [4]), .CLK( \lsu/lsu_store_c1_r_clk ), .RESET_B(n25093), .Q( \lsu/store_data_lo_r [4]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/picm_dat_flop/dout_reg[4] ( .D( picm_wr_data[4]), .CLK(\pic_ctrl_inst/pic_data_c1_clk ), .RESET_B( n25095), .Q(\pic_ctrl_inst/picm_wr_data_ff [4]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[3].stbuf_dataff/genblock.genblock.dff/dout_reg[4] ( .D(\lsu/stbuf/stbuf_datain[3][4] ), .CLK( \lsu/stbuf/GenStBuf[3].stbuf_dataff/l1clk ), .RESET_B(n25095), .Q( \lsu/stbuf/stbuf_data[3][4] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[2].stbuf_dataff/genblock.genblock.dff/dout_reg[4] ( .D(\lsu/stbuf/stbuf_datain[2][4] ), .CLK( \lsu/stbuf/GenStBuf[2].stbuf_dataff/l1clk ), .RESET_B(n25093), .Q( \lsu/stbuf/stbuf_data[2][4] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[1].stbuf_dataff/genblock.genblock.dff/dout_reg[4] ( .D(\lsu/stbuf/stbuf_datain[1][4] ), .CLK( \lsu/stbuf/GenStBuf[1].stbuf_dataff/l1clk ), .RESET_B(n25093), .Q( \lsu/stbuf/stbuf_data[1][4] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U1_Plus1_0.store_data_hi_rff/dout_reg[12] ( .D(\lsu/dccm_ctl/store_data_hi_r_in [12]), .CLK( \lsu/lsu_store_c1_r_clk ), .RESET_B(n25094), .Q( \lsu/store_data_hi_r [12]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[0].stbuf_dataff/genblock.genblock.dff/dout_reg[12] ( .D(\lsu/stbuf/stbuf_datain[0][12] ), .CLK( \lsu/stbuf/GenStBuf[0].stbuf_dataff/l1clk ), .RESET_B(n25095), .Q( \lsu/stbuf/stbuf_data[0][12] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/picm_dat_flop/dout_reg[12] ( .D( picm_wr_data[12]), .CLK(\pic_ctrl_inst/pic_data_c1_clk ), .RESET_B( n25096), .Q(\pic_ctrl_inst/picm_wr_data_ff [12]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[3].stbuf_dataff/genblock.genblock.dff/dout_reg[12] ( .D(\lsu/stbuf/stbuf_datain[3][12] ), .CLK( \lsu/stbuf/GenStBuf[3].stbuf_dataff/l1clk ), .RESET_B(n25093), .Q( \lsu/stbuf/stbuf_data[3][12] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[2].stbuf_dataff/genblock.genblock.dff/dout_reg[12] ( .D(\lsu/stbuf/stbuf_datain[2][12] ), .CLK( \lsu/stbuf/GenStBuf[2].stbuf_dataff/l1clk ), .RESET_B(n25093), .Q( \lsu/stbuf/stbuf_data[2][12] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_rff/dout_reg[20] ( .D(\lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_m [20]), .CLK( \lsu/lsu_c2_r_clk ), .RESET_B(n25095), .Q(lsu_fir_addr[20]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_rff/dout_reg[28] ( .D(\lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_m [28]), .CLK( \lsu/lsu_c2_r_clk ), .RESET_B(n25096), .Q(lsu_fir_addr[28]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_rff/dout_reg[12] ( .D(\lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_m [12]), .CLK( \lsu/lsu_c2_r_clk ), .RESET_B(n25096), .Q(lsu_fir_addr[12]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_rff/dout_reg[4] ( .D(\lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_m [4]), .CLK( \lsu/lsu_c2_r_clk ), .RESET_B(n25096), .Q(lsu_fir_addr[4]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U1_Plus1_0.store_data_lo_rff/dout_reg[28] ( .D(\lsu/dccm_ctl/store_data_lo_r_in [28]), .CLK( \lsu/lsu_store_c1_r_clk ), .RESET_B(n25096), .Q( \lsu/store_data_lo_r [28]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/picm_dat_flop/dout_reg[28] ( .D( picm_wr_data[28]), .CLK(\pic_ctrl_inst/pic_data_c1_clk ), .RESET_B( n25093), .Q(\pic_ctrl_inst/picm_wr_data_ff [28]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[0].stbuf_dataff/genblock.genblock.dff/dout_reg[28] ( .D(\lsu/stbuf/stbuf_datain[0][28] ), .CLK( \lsu/stbuf/GenStBuf[0].stbuf_dataff/l1clk ), .RESET_B(n25093), .Q( \lsu/stbuf/stbuf_data[0][28] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U1_Plus1_0.store_data_hi_rff/dout_reg[28] ( .D(\lsu/dccm_ctl/store_data_hi_r_in [28]), .CLK( \lsu/lsu_store_c1_r_clk ), .RESET_B(n25093), .Q( \lsu/store_data_hi_r [28]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[3].stbuf_dataff/genblock.genblock.dff/dout_reg[28] ( .D(\lsu/stbuf/stbuf_datain[3][28] ), .CLK( \lsu/stbuf/GenStBuf[3].stbuf_dataff/l1clk ), .RESET_B(n25093), .Q( \lsu/stbuf/stbuf_data[3][28] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[2].stbuf_dataff/genblock.genblock.dff/dout_reg[28] ( .D(\lsu/stbuf/stbuf_datain[2][28] ), .CLK( \lsu/stbuf/GenStBuf[2].stbuf_dataff/l1clk ), .RESET_B(n25095), .Q( \lsu/stbuf/stbuf_data[2][28] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[1].stbuf_dataff/genblock.genblock.dff/dout_reg[28] ( .D(\lsu/stbuf/stbuf_datain[1][28] ), .CLK( \lsu/stbuf/GenStBuf[1].stbuf_dataff/l1clk ), .RESET_B(n25096), .Q( \lsu/stbuf/stbuf_data[1][28] ) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/mff/genblock.genblock.dff/dout_reg[28] ( .D(\exu/muldiv_rs2_d [28]), .CLK(\exu/i_div/mff/l1clk ), .RESET_B( n25094), .Q(\exu/i_div/m_ff [28]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/bus_read_data_r_ff/dout_reg[4] ( .D(\lsu/bus_read_data_m [4]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B( n25095), .Q(\lsu/lsu_lsc_ctl/bus_read_data_r [4]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_data0_reg/genblock.genblock.dff/dout_reg[4] ( .D(\dbg/data0_din [4]), .CLK(\dbg/dbg_data0_reg/l1clk ), .RESET_B( n25118), .Q(dbg_cmd_wrdata[4]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/write_csr_ff/genblock.genblock.dff/dout_reg[4] ( .D(n10828), .CLK(\dec/decode/write_csr_ff/l1clk ), .RESET_B(n25095), .Q(\dec/decode/write_csr_data [4]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/bus_read_data_r_ff/dout_reg[20] ( .D(\lsu/bus_read_data_m [20]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B( n25096), .Q(\lsu/lsu_lsc_ctl/bus_read_data_r [20]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/mff/genblock.genblock.dff/dout_reg[12] ( .D(\exu/muldiv_rs2_d [12]), .CLK(\exu/i_div/mff/l1clk ), .RESET_B( n25095), .Q(\exu/i_div/m_ff [12]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/mff/genblock.genblock.dff/dout_reg[4] ( .D(\exu/i0_rs2_d [4]), .CLK(\exu/i_div/mff/l1clk ), .RESET_B(n25093), .Q(\exu/i_div/m_ff [4]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_alu/i_result_ff/genblock.genblock.dff/dout_reg[23] ( .D(\exu/i_alu/result [23]), .CLK(\exu/i_alu/i_result_ff/l1clk ), .RESET_B(n25093), .Q(\exu/alu_result_x [23]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0_result_r_ff/genblock.genblock.dff/dout_reg[23] ( .D(\dec/decode/i0_result_x [23]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25094), .Q(\dec/decode/i0_result_r [23]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/sdmff/dout_reg[23] ( .D( \lsu/lsu_lsc_ctl/store_data_m_in [23]), .CLK(\lsu/lsu_store_c1_m_clk ), .RESET_B(n25095), .Q(\lsu/lsu_lsc_ctl/store_data_pre_m [23]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U1_Plus1_0.store_data_lo_rff/dout_reg[23] ( .D(\lsu/dccm_ctl/store_data_lo_r_in [23]), .CLK( \lsu/lsu_store_c1_r_clk ), .RESET_B(n25096), .Q( \lsu/store_data_lo_r [23]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/picm_dat_flop/dout_reg[23] ( .D( picm_wr_data[23]), .CLK(\pic_ctrl_inst/pic_data_c1_clk ), .RESET_B( n25094), .Q(\pic_ctrl_inst/picm_wr_data_ff [23]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[0].stbuf_dataff/genblock.genblock.dff/dout_reg[23] ( .D(\lsu/stbuf/stbuf_datain[0][23] ), .CLK( \lsu/stbuf/GenStBuf[0].stbuf_dataff/l1clk ), .RESET_B(n25095), .Q( \lsu/stbuf/stbuf_data[0][23] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U1_Plus1_0.store_data_hi_rff/dout_reg[23] ( .D(\lsu/dccm_ctl/store_data_hi_r_in [23]), .CLK( \lsu/lsu_store_c1_r_clk ), .RESET_B(n25096), .Q( \lsu/store_data_hi_r [23]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[3].stbuf_dataff/genblock.genblock.dff/dout_reg[23] ( .D(\lsu/stbuf/stbuf_datain[3][23] ), .CLK( \lsu/stbuf/GenStBuf[3].stbuf_dataff/l1clk ), .RESET_B(n25093), .Q( \lsu/stbuf/stbuf_data[3][23] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[2].stbuf_dataff/genblock.genblock.dff/dout_reg[23] ( .D(\lsu/stbuf/stbuf_datain[2][23] ), .CLK( \lsu/stbuf/GenStBuf[2].stbuf_dataff/l1clk ), .RESET_B(n25094), .Q( \lsu/stbuf/stbuf_data[2][23] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[1].stbuf_dataff/genblock.genblock.dff/dout_reg[23] ( .D(\lsu/stbuf/stbuf_datain[1][23] ), .CLK( \lsu/stbuf/GenStBuf[1].stbuf_dataff/l1clk ), .RESET_B(n25095), .Q( \lsu/stbuf/stbuf_data[1][23] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/ld_single_ecc_error_hi_rff/dout_reg[0] ( .D(\lsu/dccm_ctl/ld_single_ecc_error_hi_r_ns ), .CLK( \lsu/lsu_free_c2_clk ), .RESET_B(n25093), .Q( \lsu/dccm_ctl/ld_single_ecc_error_hi_r_ff ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/sdmff/dout_reg[13] ( .D( \lsu/lsu_lsc_ctl/store_data_m_in [13]), .CLK(\lsu/lsu_store_c1_m_clk ), .RESET_B(n25093), .Q(\lsu/lsu_lsc_ctl/store_data_pre_m [13]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U1_Plus1_0.store_data_lo_rff/dout_reg[13] ( .D(\lsu/dccm_ctl/store_data_lo_r_in [13]), .CLK( \lsu/lsu_store_c1_r_clk ), .RESET_B(n25094), .Q( \lsu/store_data_lo_r [13]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/bus_read_data_r_ff/dout_reg[5] ( .D(\lsu/bus_read_data_m [5]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B( n25095), .Q(\lsu/lsu_lsc_ctl/bus_read_data_r [5]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0_result_r_ff/genblock.genblock.dff/dout_reg[5] ( .D(\dec/decode/i0_result_x [5]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25096), .Q(\dec/decode/i0_result_r [5]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/sdmff/dout_reg[5] ( .D( \lsu/lsu_lsc_ctl/store_data_m_in [5]), .CLK(\lsu/lsu_store_c1_m_clk ), .RESET_B(n25093), .Q(\lsu/lsu_lsc_ctl/store_data_pre_m [5]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U1_Plus1_0.store_data_lo_rff/dout_reg[5] ( .D(\lsu/dccm_ctl/store_data_lo_r_in [5]), .CLK( \lsu/lsu_store_c1_r_clk ), .RESET_B(n25093), .Q( \lsu/store_data_lo_r [5]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0_result_r_ff/genblock.genblock.dff/dout_reg[29] ( .D(\dec/decode/i0_result_x [29]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25093), .Q(\dec/decode/i0_result_r [29]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/sdmff/dout_reg[29] ( .D( \lsu/lsu_lsc_ctl/store_data_m_in [29]), .CLK(\lsu/lsu_store_c1_m_clk ), .RESET_B(n25093), .Q(\lsu/lsu_lsc_ctl/store_data_pre_m [29]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U1_Plus1_0.store_data_hi_rff/dout_reg[21] ( .D(\lsu/dccm_ctl/store_data_hi_r_in [21]), .CLK( \lsu/lsu_store_c1_r_clk ), .RESET_B(n25093), .Q( \lsu/store_data_hi_r [21]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[0].stbuf_dataff/genblock.genblock.dff/dout_reg[21] ( .D(\lsu/stbuf/stbuf_datain[0][21] ), .CLK( \lsu/stbuf/GenStBuf[0].stbuf_dataff/l1clk ), .RESET_B(n25094), .Q( \lsu/stbuf/stbuf_data[0][21] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/picm_dat_flop/dout_reg[21] ( .D( picm_wr_data[21]), .CLK(\pic_ctrl_inst/pic_data_c1_clk ), .RESET_B( n25095), .Q(\pic_ctrl_inst/picm_wr_data_ff [21]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[3].stbuf_dataff/genblock.genblock.dff/dout_reg[21] ( .D(\lsu/stbuf/stbuf_datain[3][21] ), .CLK( \lsu/stbuf/GenStBuf[3].stbuf_dataff/l1clk ), .RESET_B(n25096), .Q( \lsu/stbuf/stbuf_data[3][21] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[2].stbuf_dataff/genblock.genblock.dff/dout_reg[21] ( .D(\lsu/stbuf/stbuf_datain[2][21] ), .CLK( \lsu/stbuf/GenStBuf[2].stbuf_dataff/l1clk ), .RESET_B(n25093), .Q( \lsu/stbuf/stbuf_data[2][21] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U1_Plus1_0.store_data_hi_rff/dout_reg[5] ( .D(\lsu/dccm_ctl/store_data_hi_r_in [5]), .CLK( \lsu/lsu_store_c1_r_clk ), .RESET_B(n25093), .Q( \lsu/store_data_hi_r [5]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[0].stbuf_dataff/genblock.genblock.dff/dout_reg[5] ( .D(\lsu/stbuf/stbuf_datain[0][5] ), .CLK( \lsu/stbuf/GenStBuf[0].stbuf_dataff/l1clk ), .RESET_B(n25093), .Q( \lsu/stbuf/stbuf_data[0][5] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/picm_dat_flop/dout_reg[5] ( .D( picm_wr_data[5]), .CLK(\pic_ctrl_inst/pic_data_c1_clk ), .RESET_B( n25094), .Q(\pic_ctrl_inst/picm_wr_data_ff [5]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[3].stbuf_dataff/genblock.genblock.dff/dout_reg[5] ( .D(\lsu/stbuf/stbuf_datain[3][5] ), .CLK( \lsu/stbuf/GenStBuf[3].stbuf_dataff/l1clk ), .RESET_B(n25095), .Q( \lsu/stbuf/stbuf_data[3][5] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[2].stbuf_dataff/genblock.genblock.dff/dout_reg[5] ( .D(\lsu/stbuf/stbuf_datain[2][5] ), .CLK( \lsu/stbuf/GenStBuf[2].stbuf_dataff/l1clk ), .RESET_B(n25096), .Q( \lsu/stbuf/stbuf_data[2][5] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[1].stbuf_dataff/genblock.genblock.dff/dout_reg[5] ( .D(\lsu/stbuf/stbuf_datain[1][5] ), .CLK( \lsu/stbuf/GenStBuf[1].stbuf_dataff/l1clk ), .RESET_B(n25094), .Q( \lsu/stbuf/stbuf_data[1][5] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U1_Plus1_0.store_data_hi_rff/dout_reg[13] ( .D(\lsu/dccm_ctl/store_data_hi_r_in [13]), .CLK( \lsu/lsu_store_c1_r_clk ), .RESET_B(n25095), .Q( \lsu/store_data_hi_r [13]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[0].stbuf_dataff/genblock.genblock.dff/dout_reg[13] ( .D(\lsu/stbuf/stbuf_datain[0][13] ), .CLK( \lsu/stbuf/GenStBuf[0].stbuf_dataff/l1clk ), .RESET_B(n25093), .Q( \lsu/stbuf/stbuf_data[0][13] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/picm_dat_flop/dout_reg[13] ( .D( picm_wr_data[13]), .CLK(\pic_ctrl_inst/pic_data_c1_clk ), .RESET_B( n25093), .Q(\pic_ctrl_inst/picm_wr_data_ff [13]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[3].stbuf_dataff/genblock.genblock.dff/dout_reg[13] ( .D(\lsu/stbuf/stbuf_datain[3][13] ), .CLK( \lsu/stbuf/GenStBuf[3].stbuf_dataff/l1clk ), .RESET_B(n25094), .Q( \lsu/stbuf/stbuf_data[3][13] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[2].stbuf_dataff/genblock.genblock.dff/dout_reg[13] ( .D(\lsu/stbuf/stbuf_datain[2][13] ), .CLK( \lsu/stbuf/GenStBuf[2].stbuf_dataff/l1clk ), .RESET_B(n25095), .Q( \lsu/stbuf/stbuf_data[2][13] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[1].stbuf_dataff/genblock.genblock.dff/dout_reg[13] ( .D(\lsu/stbuf/stbuf_datain[1][13] ), .CLK( \lsu/stbuf/GenStBuf[1].stbuf_dataff/l1clk ), .RESET_B(n25096), .Q( \lsu/stbuf/stbuf_data[1][13] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_rff/dout_reg[21] ( .D(\lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_m [21]), .CLK( \lsu/lsu_c2_r_clk ), .RESET_B(n25093), .Q(lsu_fir_addr[21]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_rff/dout_reg[29] ( .D(\lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_m [29]), .CLK( \lsu/lsu_c2_r_clk ), .RESET_B(n25096), .Q(lsu_fir_addr[29]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_rff/dout_reg[13] ( .D(\lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_m [13]), .CLK( \lsu/lsu_c2_r_clk ), .RESET_B(n25093), .Q(lsu_fir_addr[13]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U1_Plus1_0.store_data_lo_rff/dout_reg[29] ( .D(\lsu/dccm_ctl/store_data_lo_r_in [29]), .CLK( \lsu/lsu_store_c1_r_clk ), .RESET_B(n25093), .Q( \lsu/store_data_lo_r [29]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/picm_dat_flop/dout_reg[29] ( .D( picm_wr_data[29]), .CLK(\pic_ctrl_inst/pic_data_c1_clk ), .RESET_B( n10882), .Q(\pic_ctrl_inst/picm_wr_data_ff [29]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[0].stbuf_dataff/genblock.genblock.dff/dout_reg[29] ( .D(\lsu/stbuf/stbuf_datain[0][29] ), .CLK( \lsu/stbuf/GenStBuf[0].stbuf_dataff/l1clk ), .RESET_B(n10874), .Q( \lsu/stbuf/stbuf_data[0][29] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U1_Plus1_0.store_data_hi_rff/dout_reg[29] ( .D(\lsu/dccm_ctl/store_data_hi_r_in [29]), .CLK( \lsu/lsu_store_c1_r_clk ), .RESET_B(n10874), .Q( \lsu/store_data_hi_r [29]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[3].stbuf_dataff/genblock.genblock.dff/dout_reg[29] ( .D(\lsu/stbuf/stbuf_datain[3][29] ), .CLK( \lsu/stbuf/GenStBuf[3].stbuf_dataff/l1clk ), .RESET_B(n10874), .Q( \lsu/stbuf/stbuf_data[3][29] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[2].stbuf_dataff/genblock.genblock.dff/dout_reg[29] ( .D(\lsu/stbuf/stbuf_datain[2][29] ), .CLK( \lsu/stbuf/GenStBuf[2].stbuf_dataff/l1clk ), .RESET_B(n10874), .Q( \lsu/stbuf/stbuf_data[2][29] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[1].stbuf_dataff/genblock.genblock.dff/dout_reg[29] ( .D(\lsu/stbuf/stbuf_datain[1][29] ), .CLK( \lsu/stbuf/GenStBuf[1].stbuf_dataff/l1clk ), .RESET_B(n10874), .Q( \lsu/stbuf/stbuf_data[1][29] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/bus_read_data_r_ff/dout_reg[29] ( .D(\lsu/bus_read_data_m [29]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B( n10874), .Q(\lsu/lsu_lsc_ctl/bus_read_data_r [29]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/mff/genblock.genblock.dff/dout_reg[29] ( .D(\exu/muldiv_rs2_d [29]), .CLK(\exu/i_div/mff/l1clk ), .RESET_B( n10874), .Q(\exu/i_div/m_ff [29]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_rff/dout_reg[5] ( .D(\lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_m [5]), .CLK( \lsu/lsu_c2_r_clk ), .RESET_B(n10874), .Q(lsu_fir_addr[5]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_data0_reg/genblock.genblock.dff/dout_reg[5] ( .D(\dbg/data0_din [5]), .CLK(\dbg/dbg_data0_reg/l1clk ), .RESET_B( n10811), .Q(dbg_cmd_wrdata[5]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dmi_rddata_reg/genblock.dffs/dout_reg[5] ( .D(\dbg/dmi_rddata_reg/_0_net_[5] ), .CLK(\dbg/dbg_free_clk ), .RESET_B(n25119), .Q(dmi_reg_rdata[5]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/mff/genblock.genblock.dff/dout_reg[5] ( .D(\exu/muldiv_rs2_d [5]), .CLK(\exu/i_div/mff/l1clk ), .RESET_B( n10874), .Q(\exu/i_div/m_ff [5]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/bus_read_data_r_ff/dout_reg[21] ( .D(\lsu/bus_read_data_m [21]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B( n10874), .Q(\lsu/lsu_lsc_ctl/bus_read_data_r [21]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/mff/genblock.genblock.dff/dout_reg[21] ( .D(\exu/muldiv_rs2_d [21]), .CLK(\exu/i_div/mff/l1clk ), .RESET_B( n25115), .Q(\exu/i_div/m_ff [21]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0_result_r_ff/genblock.genblock.dff/dout_reg[30] ( .D(\dec/decode/i0_result_x [30]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25115), .Q(\dec/decode/i0_result_r [30]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/sdmff/dout_reg[30] ( .D( \lsu/lsu_lsc_ctl/store_data_m_in [30]), .CLK(\lsu/lsu_store_c1_m_clk ), .RESET_B(n25115), .Q(\lsu/lsu_lsc_ctl/store_data_pre_m [30]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/lsu_trigger_match_rff/dout_reg[3] ( .D(lsu_trigger_match_m[3]), .CLK(clk), .RESET_B(n25115), .Q( \dec/decode/lsu_trigger_match_r [3]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/lsu_trigger_match_rff/dout_reg[2] ( .D(lsu_trigger_match_m[2]), .CLK(clk), .RESET_B(n25115), .Q( \dec/decode/lsu_trigger_match_r [2]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/lsu_trigger_match_rff/dout_reg[1] ( .D(lsu_trigger_match_m[1]), .CLK(clk), .RESET_B(n25115), .Q( \dec/decode/lsu_trigger_match_r [1]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/lsu_trigger_match_rff/dout_reg[0] ( .D(lsu_trigger_match_m[0]), .CLK(clk), .RESET_B(n10882), .Q( \dec/decode/lsu_trigger_match_r [0]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U1_Plus1_0.store_data_hi_rff/dout_reg[22] ( .D(\lsu/dccm_ctl/store_data_hi_r_in [22]), .CLK( \lsu/lsu_store_c1_r_clk ), .RESET_B(n25090), .Q( \lsu/store_data_hi_r [22]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[0].stbuf_dataff/genblock.genblock.dff/dout_reg[22] ( .D(\lsu/stbuf/stbuf_datain[0][22] ), .CLK( \lsu/stbuf/GenStBuf[0].stbuf_dataff/l1clk ), .RESET_B(n10882), .Q( \lsu/stbuf/stbuf_data[0][22] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/picm_dat_flop/dout_reg[22] ( .D( picm_wr_data[22]), .CLK(\pic_ctrl_inst/pic_data_c1_clk ), .RESET_B( n25090), .Q(\pic_ctrl_inst/picm_wr_data_ff [22]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[3].stbuf_dataff/genblock.genblock.dff/dout_reg[22] ( .D(\lsu/stbuf/stbuf_datain[3][22] ), .CLK( \lsu/stbuf/GenStBuf[3].stbuf_dataff/l1clk ), .RESET_B(n25091), .Q( \lsu/stbuf/stbuf_data[3][22] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[2].stbuf_dataff/genblock.genblock.dff/dout_reg[22] ( .D(\lsu/stbuf/stbuf_datain[2][22] ), .CLK( \lsu/stbuf/GenStBuf[2].stbuf_dataff/l1clk ), .RESET_B(n25092), .Q( \lsu/stbuf/stbuf_data[2][22] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[1].stbuf_dataff/genblock.genblock.dff/dout_reg[22] ( .D(\lsu/stbuf/stbuf_datain[1][22] ), .CLK( \lsu/stbuf/GenStBuf[1].stbuf_dataff/l1clk ), .RESET_B(n10882), .Q( \lsu/stbuf/stbuf_data[1][22] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U1_Plus1_0.store_data_hi_rff/dout_reg[6] ( .D(\lsu/dccm_ctl/store_data_hi_r_in [6]), .CLK( \lsu/lsu_store_c1_r_clk ), .RESET_B(n10882), .Q( \lsu/store_data_hi_r [6]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[0].stbuf_dataff/genblock.genblock.dff/dout_reg[6] ( .D(\lsu/stbuf/stbuf_datain[0][6] ), .CLK( \lsu/stbuf/GenStBuf[0].stbuf_dataff/l1clk ), .RESET_B(n10882), .Q( \lsu/stbuf/stbuf_data[0][6] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/picm_dat_flop/dout_reg[6] ( .D( picm_wr_data[6]), .CLK(\pic_ctrl_inst/pic_data_c1_clk ), .RESET_B( n10882), .Q(\pic_ctrl_inst/picm_wr_data_ff [6]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[3].stbuf_dataff/genblock.genblock.dff/dout_reg[6] ( .D(\lsu/stbuf/stbuf_datain[3][6] ), .CLK( \lsu/stbuf/GenStBuf[3].stbuf_dataff/l1clk ), .RESET_B(n10882), .Q( \lsu/stbuf/stbuf_data[3][6] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[2].stbuf_dataff/genblock.genblock.dff/dout_reg[6] ( .D(\lsu/stbuf/stbuf_datain[2][6] ), .CLK( \lsu/stbuf/GenStBuf[2].stbuf_dataff/l1clk ), .RESET_B(n25115), .Q( \lsu/stbuf/stbuf_data[2][6] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[1].stbuf_dataff/genblock.genblock.dff/dout_reg[6] ( .D(\lsu/stbuf/stbuf_datain[1][6] ), .CLK( \lsu/stbuf/GenStBuf[1].stbuf_dataff/l1clk ), .RESET_B(n25090), .Q( \lsu/stbuf/stbuf_data[1][6] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U1_Plus1_0.store_data_hi_rff/dout_reg[14] ( .D(\lsu/dccm_ctl/store_data_hi_r_in [14]), .CLK( \lsu/lsu_store_c1_r_clk ), .RESET_B(n25091), .Q( \lsu/store_data_hi_r [14]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[0].stbuf_dataff/genblock.genblock.dff/dout_reg[14] ( .D(\lsu/stbuf/stbuf_datain[0][14] ), .CLK( \lsu/stbuf/GenStBuf[0].stbuf_dataff/l1clk ), .RESET_B(n25092), .Q( \lsu/stbuf/stbuf_data[0][14] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/picm_dat_flop/dout_reg[14] ( .D( picm_wr_data[14]), .CLK(\pic_ctrl_inst/pic_data_c1_clk ), .RESET_B( n10882), .Q(\pic_ctrl_inst/picm_wr_data_ff [14]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[3].stbuf_dataff/genblock.genblock.dff/dout_reg[14] ( .D(\lsu/stbuf/stbuf_datain[3][14] ), .CLK( \lsu/stbuf/GenStBuf[3].stbuf_dataff/l1clk ), .RESET_B(n10882), .Q( \lsu/stbuf/stbuf_data[3][14] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[2].stbuf_dataff/genblock.genblock.dff/dout_reg[14] ( .D(\lsu/stbuf/stbuf_datain[2][14] ), .CLK( \lsu/stbuf/GenStBuf[2].stbuf_dataff/l1clk ), .RESET_B(n25090), .Q( \lsu/stbuf/stbuf_data[2][14] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[1].stbuf_dataff/genblock.genblock.dff/dout_reg[14] ( .D(\lsu/stbuf/stbuf_datain[1][14] ), .CLK( \lsu/stbuf/GenStBuf[1].stbuf_dataff/l1clk ), .RESET_B(n10882), .Q( \lsu/stbuf/stbuf_data[1][14] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U1_Plus1_0.store_data_lo_rff/dout_reg[30] ( .D(\lsu/dccm_ctl/store_data_lo_r_in [30]), .CLK( \lsu/lsu_store_c1_r_clk ), .RESET_B(n10882), .Q( \lsu/store_data_lo_r [30]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/picm_dat_flop/dout_reg[30] ( .D( picm_wr_data[30]), .CLK(\pic_ctrl_inst/pic_data_c1_clk ), .RESET_B( n10882), .Q(\pic_ctrl_inst/picm_wr_data_ff [30]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[0].stbuf_dataff/genblock.genblock.dff/dout_reg[30] ( .D(\lsu/stbuf/stbuf_datain[0][30] ), .CLK( \lsu/stbuf/GenStBuf[0].stbuf_dataff/l1clk ), .RESET_B(n25115), .Q( \lsu/stbuf/stbuf_data[0][30] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U1_Plus1_0.store_data_hi_rff/dout_reg[30] ( .D(\lsu/dccm_ctl/store_data_hi_r_in [30]), .CLK( \lsu/lsu_store_c1_r_clk ), .RESET_B(n10882), .Q( \lsu/store_data_hi_r [30]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[2].stbuf_dataff/genblock.genblock.dff/dout_reg[30] ( .D(\lsu/stbuf/stbuf_datain[2][30] ), .CLK( \lsu/stbuf/GenStBuf[2].stbuf_dataff/l1clk ), .RESET_B(n25092), .Q( \lsu/stbuf/stbuf_data[2][30] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/stbuf/GenStBuf[1].stbuf_dataff/genblock.genblock.dff/dout_reg[30] ( .D(\lsu/stbuf/stbuf_datain[1][30] ), .CLK( \lsu/stbuf/GenStBuf[1].stbuf_dataff/l1clk ), .RESET_B(n10882), .Q( \lsu/stbuf/stbuf_data[1][30] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_rff/dout_reg[30] ( .D(\lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_m [30]), .CLK( \lsu/lsu_c2_r_clk ), .RESET_B(n25091), .Q(lsu_fir_addr[30]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_rff/dout_reg[14] ( .D(\lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_m [14]), .CLK( \lsu/lsu_c2_r_clk ), .RESET_B(n25092), .Q(lsu_fir_addr[14]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_rff/dout_reg[22] ( .D(\lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_m [22]), .CLK( \lsu/lsu_c2_r_clk ), .RESET_B(n10882), .Q(lsu_fir_addr[22]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/bus_read_data_r_ff/dout_reg[30] ( .D(\lsu/bus_read_data_m [30]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B( n10882), .Q(\lsu/lsu_lsc_ctl/bus_read_data_r [30]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/mff/genblock.genblock.dff/dout_reg[30] ( .D(\exu/muldiv_rs2_d [30]), .CLK(\exu/i_div/mff/l1clk ), .RESET_B( n25092), .Q(\exu/i_div/m_ff [30]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_rff/dout_reg[6] ( .D(\lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_m [6]), .CLK( \lsu/lsu_c2_r_clk ), .RESET_B(n10882), .Q(lsu_fir_addr[6]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_data0_reg/genblock.genblock.dff/dout_reg[6] ( .D(\dbg/data0_din [6]), .CLK(\dbg/dbg_data0_reg/l1clk ), .RESET_B( n25117), .Q(dbg_cmd_wrdata[6]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dmi_rddata_reg/genblock.dffs/dout_reg[6] ( .D(\dbg/dmi_rddata_reg/_0_net_[6] ), .CLK(\dbg/dbg_free_clk ), .RESET_B(n25117), .Q(dmi_reg_rdata[6]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/write_csr_ff/genblock.genblock.dff/dout_reg[6] ( .D(n10830), .CLK(\dec/decode/write_csr_ff/l1clk ), .RESET_B(n25091), .Q(\dec/decode/write_csr_data [6]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/mff/genblock.genblock.dff/dout_reg[6] ( .D(\exu/muldiv_rs2_d [6]), .CLK(\exu/i_div/mff/l1clk ), .RESET_B( n25092), .Q(\exu/i_div/m_ff [6]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/bus_read_data_r_ff/dout_reg[22] ( .D(\lsu/bus_read_data_m [22]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B( n10882), .Q(\lsu/lsu_lsc_ctl/bus_read_data_r [22]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/mff/genblock.genblock.dff/dout_reg[14] ( .D(\exu/muldiv_rs2_d [14]), .CLK(\exu/i_div/mff/l1clk ), .RESET_B( n25090), .Q(\exu/i_div/m_ff [14]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_rff/dout_reg[31] ( .D(\lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_m [31]), .CLK( \lsu/lsu_c2_r_clk ), .RESET_B(n25092), .Q(lsu_fir_addr[31]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_rff/dout_reg[15] ( .D(\lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_m [15]), .CLK( \lsu/lsu_c2_r_clk ), .RESET_B(n10882), .Q(lsu_fir_addr[15]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_rff/dout_reg[7] ( .D(\lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_m [7]), .CLK( \lsu/lsu_c2_r_clk ), .RESET_B(n25115), .Q(lsu_fir_addr[7]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_data0_reg/genblock.genblock.dff/dout_reg[30] ( .D(\dbg/data0_din [30]), .CLK(\dbg/dbg_data0_reg/l1clk ), .RESET_B( n25119), .Q(dbg_cmd_wrdata[30]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dmi_rddata_reg/genblock.dffs/dout_reg[30] ( .D(\dbg/dmi_rddata_reg/_0_net_[30] ), .CLK(\dbg/dbg_free_clk ), .RESET_B(n25118), .Q(dmi_reg_rdata[30]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/write_csr_ff/genblock.genblock.dff/dout_reg[30] ( .D(n10854), .CLK(\dec/decode/write_csr_ff/l1clk ), .RESET_B(n25090), .Q(\dec/decode/write_csr_data [30]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_data0_reg/genblock.genblock.dff/dout_reg[29] ( .D(\dbg/data0_din [29]), .CLK(\dbg/dbg_data0_reg/l1clk ), .RESET_B( n10811), .Q(dbg_cmd_wrdata[29]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dmi_rddata_reg/genblock.dffs/dout_reg[29] ( .D(\dbg/dmi_rddata_reg/_0_net_[29] ), .CLK(\dbg/dbg_free_clk ), .RESET_B(n10811), .Q(dmi_reg_rdata[29]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_data0_reg/genblock.genblock.dff/dout_reg[28] ( .D(\dbg/data0_din [28]), .CLK(\dbg/dbg_data0_reg/l1clk ), .RESET_B( n10811), .Q(dbg_cmd_wrdata[28]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dmi_rddata_reg/genblock.dffs/dout_reg[28] ( .D(\dbg/dmi_rddata_reg/_0_net_[28] ), .CLK(\dbg/dbg_free_clk ), .RESET_B(n10811), .Q(dmi_reg_rdata[28]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/write_csr_ff/genblock.genblock.dff/dout_reg[28] ( .D(n10852), .CLK(\dec/decode/write_csr_ff/l1clk ), .RESET_B(n25092), .Q(\dec/decode/write_csr_data [28]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_data0_reg/genblock.genblock.dff/dout_reg[27] ( .D(\dbg/data0_din [27]), .CLK(\dbg/dbg_data0_reg/l1clk ), .RESET_B( n25119), .Q(dbg_cmd_wrdata[27]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dmi_rddata_reg/genblock.dffs/dout_reg[27] ( .D(\dbg/dmi_rddata_reg/_0_net_[27] ), .CLK(\dbg/dbg_free_clk ), .RESET_B(n25118), .Q(dmi_reg_rdata[27]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/write_csr_ff/genblock.genblock.dff/dout_reg[27] ( .D(n10851), .CLK(\dec/decode/write_csr_ff/l1clk ), .RESET_B(n10882), .Q(\dec/decode/write_csr_data [27]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dmi_rddata_reg/genblock.dffs/dout_reg[26] ( .D(\dbg/dmi_rddata_reg/_0_net_[26] ), .CLK(\dbg/dbg_free_clk ), .RESET_B(n10811), .Q(dmi_reg_rdata[26]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/write_csr_ff/genblock.genblock.dff/dout_reg[26] ( .D(n10850), .CLK(\dec/decode/write_csr_ff/l1clk ), .RESET_B(n25091), .Q(\dec/decode/write_csr_data [26]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_data0_reg/genblock.genblock.dff/dout_reg[25] ( .D(\dbg/data0_din [25]), .CLK(\dbg/dbg_data0_reg/l1clk ), .RESET_B( n10811), .Q(dbg_cmd_wrdata[25]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dmi_rddata_reg/genblock.dffs/dout_reg[25] ( .D(\dbg/dmi_rddata_reg/_0_net_[25] ), .CLK(\dbg/dbg_free_clk ), .RESET_B(n10811), .Q(dmi_reg_rdata[25]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_data0_reg/genblock.genblock.dff/dout_reg[24] ( .D(\dbg/data0_din [24]), .CLK(\dbg/dbg_data0_reg/l1clk ), .RESET_B( n10811), .Q(dbg_cmd_wrdata[24]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dmi_rddata_reg/genblock.dffs/dout_reg[24] ( .D(\dbg/dmi_rddata_reg/_0_net_[24] ), .CLK(\dbg/dbg_free_clk ), .RESET_B(n25117), .Q(dmi_reg_rdata[24]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_data0_reg/genblock.genblock.dff/dout_reg[22] ( .D(\dbg/data0_din [22]), .CLK(\dbg/dbg_data0_reg/l1clk ), .RESET_B( n10811), .Q(dbg_cmd_wrdata[22]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dmi_rddata_reg/genblock.dffs/dout_reg[22] ( .D(\dbg/dmi_rddata_reg/_0_net_[22] ), .CLK(\dbg/dbg_free_clk ), .RESET_B(n25119), .Q(dmi_reg_rdata[22]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/write_csr_ff/genblock.genblock.dff/dout_reg[22] ( .D(n10846), .CLK(\dec/decode/write_csr_ff/l1clk ), .RESET_B(n25092), .Q(\dec/decode/write_csr_data [22]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_data0_reg/genblock.genblock.dff/dout_reg[21] ( .D(\dbg/data0_din [21]), .CLK(\dbg/dbg_data0_reg/l1clk ), .RESET_B( n25118), .Q(dbg_cmd_wrdata[21]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dmi_rddata_reg/genblock.dffs/dout_reg[21] ( .D(\dbg/dmi_rddata_reg/_0_net_[21] ), .CLK(\dbg/dbg_free_clk ), .RESET_B(n10811), .Q(dmi_reg_rdata[21]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_data0_reg/genblock.genblock.dff/dout_reg[20] ( .D(\dbg/data0_din [20]), .CLK(\dbg/dbg_data0_reg/l1clk ), .RESET_B( n10811), .Q(dbg_cmd_wrdata[20]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dmi_rddata_reg/genblock.dffs/dout_reg[20] ( .D(\dbg/dmi_rddata_reg/_0_net_[20] ), .CLK(\dbg/dbg_free_clk ), .RESET_B(n25118), .Q(dmi_reg_rdata[20]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/write_csr_ff/genblock.genblock.dff/dout_reg[20] ( .D(n10844), .CLK(\dec/decode/write_csr_ff/l1clk ), .RESET_B(n25090), .Q(\dec/decode/write_csr_data [20]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dmi_rddata_reg/genblock.dffs/dout_reg[19] ( .D(\dbg/dmi_rddata_reg/_0_net_[19] ), .CLK(\dbg/dbg_free_clk ), .RESET_B(n10811), .Q(dmi_reg_rdata[19]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/write_csr_ff/genblock.genblock.dff/dout_reg[19] ( .D(n10843), .CLK(\dec/decode/write_csr_ff/l1clk ), .RESET_B(n25115), .Q(\dec/decode/write_csr_data [19]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_data0_reg/genblock.genblock.dff/dout_reg[18] ( .D(\dbg/data0_din [18]), .CLK(\dbg/dbg_data0_reg/l1clk ), .RESET_B( n10811), .Q(dbg_cmd_wrdata[18]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dmi_rddata_reg/genblock.dffs/dout_reg[18] ( .D(\dbg/dmi_rddata_reg/_0_net_[18] ), .CLK(\dbg/dbg_free_clk ), .RESET_B(n10811), .Q(dmi_reg_rdata[18]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/write_csr_ff/genblock.genblock.dff/dout_reg[18] ( .D(n10842), .CLK(\dec/decode/write_csr_ff/l1clk ), .RESET_B(n25115), .Q(\dec/decode/write_csr_data [18]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_data0_reg/genblock.genblock.dff/dout_reg[17] ( .D(\dbg/data0_din [17]), .CLK(\dbg/dbg_data0_reg/l1clk ), .RESET_B( n25117), .Q(dbg_cmd_wrdata[17]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dmi_rddata_reg/genblock.dffs/dout_reg[17] ( .D(\dbg/dmi_rddata_reg/_0_net_[17] ), .CLK(\dbg/dbg_free_clk ), .RESET_B(n25119), .Q(dmi_reg_rdata[17]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_data0_reg/genblock.genblock.dff/dout_reg[16] ( .D(\dbg/data0_din [16]), .CLK(\dbg/dbg_data0_reg/l1clk ), .RESET_B( n10811), .Q(dbg_cmd_wrdata[16]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dmi_rddata_reg/genblock.dffs/dout_reg[16] ( .D(\dbg/dmi_rddata_reg/_0_net_[16] ), .CLK(\dbg/dbg_free_clk ), .RESET_B(n25118), .Q(dmi_reg_rdata[16]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_data0_reg/genblock.genblock.dff/dout_reg[15] ( .D(\dbg/data0_din [15]), .CLK(\dbg/dbg_data0_reg/l1clk ), .RESET_B( n10811), .Q(dbg_cmd_wrdata[15]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dmi_rddata_reg/genblock.dffs/dout_reg[15] ( .D(\dbg/dmi_rddata_reg/_0_net_[15] ), .CLK(\dbg/dbg_free_clk ), .RESET_B(n10811), .Q(dmi_reg_rdata[15]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/write_csr_ff/genblock.genblock.dff/dout_reg[15] ( .D(n10839), .CLK(\dec/decode/write_csr_ff/l1clk ), .RESET_B(n25090), .Q(\dec/decode/write_csr_data [15]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_data0_reg/genblock.genblock.dff/dout_reg[14] ( .D(\dbg/data0_din [14]), .CLK(\dbg/dbg_data0_reg/l1clk ), .RESET_B( n25119), .Q(dbg_cmd_wrdata[14]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dmi_rddata_reg/genblock.dffs/dout_reg[14] ( .D(\dbg/dmi_rddata_reg/_0_net_[14] ), .CLK(\dbg/dbg_free_clk ), .RESET_B(n10811), .Q(dmi_reg_rdata[14]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_data0_reg/genblock.genblock.dff/dout_reg[13] ( .D(\dbg/data0_din [13]), .CLK(\dbg/dbg_data0_reg/l1clk ), .RESET_B( n10811), .Q(dbg_cmd_wrdata[13]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dmi_rddata_reg/genblock.dffs/dout_reg[13] ( .D(\dbg/dmi_rddata_reg/_0_net_[13] ), .CLK(\dbg/dbg_free_clk ), .RESET_B(n10811), .Q(dmi_reg_rdata[13]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_data0_reg/genblock.genblock.dff/dout_reg[12] ( .D(\dbg/data0_din [12]), .CLK(\dbg/dbg_data0_reg/l1clk ), .RESET_B( n10811), .Q(dbg_cmd_wrdata[12]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/write_csr_ff/genblock.genblock.dff/dout_reg[12] ( .D(n10836), .CLK(\dec/decode/write_csr_ff/l1clk ), .RESET_B(n25091), .Q(\dec/decode/write_csr_data [12]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_data0_reg/genblock.genblock.dff/dout_reg[11] ( .D(\dbg/data0_din [11]), .CLK(\dbg/dbg_data0_reg/l1clk ), .RESET_B( n25117), .Q(dbg_cmd_wrdata[11]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dmi_rddata_reg/genblock.dffs/dout_reg[11] ( .D(\dbg/dmi_rddata_reg/_0_net_[11] ), .CLK(\dbg/dbg_free_clk ), .RESET_B(n10811), .Q(dmi_reg_rdata[11]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_data0_reg/genblock.genblock.dff/dout_reg[10] ( .D(\dbg/data0_din [10]), .CLK(\dbg/dbg_data0_reg/l1clk ), .RESET_B( n25119), .Q(dbg_cmd_wrdata[10]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dmi_rddata_reg/genblock.dffs/dout_reg[10] ( .D(\dbg/dmi_rddata_reg/_0_net_[10] ), .CLK(\dbg/dbg_free_clk ), .RESET_B(n10811), .Q(dmi_reg_rdata[10]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/write_csr_ff/genblock.genblock.dff/dout_reg[10] ( .D(n10834), .CLK(\dec/decode/write_csr_ff/l1clk ), .RESET_B(n25092), .Q(\dec/decode/write_csr_data [10]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_data0_reg/genblock.genblock.dff/dout_reg[9] ( .D(\dbg/data0_din [9]), .CLK(\dbg/dbg_data0_reg/l1clk ), .RESET_B( n10811), .Q(dbg_cmd_wrdata[9]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dmi_rddata_reg/genblock.dffs/dout_reg[9] ( .D(\dbg/dmi_rddata_reg/_0_net_[9] ), .CLK(\dbg/dbg_free_clk ), .RESET_B(n10811), .Q(dmi_reg_rdata[9]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_data0_reg/genblock.genblock.dff/dout_reg[8] ( .D(\dbg/data0_din [8]), .CLK(\dbg/dbg_data0_reg/l1clk ), .RESET_B( n10811), .Q(dbg_cmd_wrdata[8]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/write_csr_ff/genblock.genblock.dff/dout_reg[8] ( .D(n10832), .CLK(\dec/decode/write_csr_ff/l1clk ), .RESET_B(n10882), .Q(\dec/decode/write_csr_data [8]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_data0_reg/genblock.genblock.dff/dout_reg[7] ( .D(\dbg/data0_din [7]), .CLK(\dbg/dbg_data0_reg/l1clk ), .RESET_B( n10811), .Q(dbg_cmd_wrdata[7]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/write_csr_ff/genblock.genblock.dff/dout_reg[7] ( .D(n10831), .CLK(\dec/decode/write_csr_ff/l1clk ), .RESET_B(n25091), .Q(\dec/decode/write_csr_data [7]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_rff/dout_reg[23] ( .D(\lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_m [23]), .CLK( \lsu/lsu_c2_r_clk ), .RESET_B(n25091), .Q(lsu_fir_addr[23]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_data0_reg/genblock.genblock.dff/dout_reg[23] ( .D(\dbg/data0_din [23]), .CLK(\dbg/dbg_data0_reg/l1clk ), .RESET_B( n10811), .Q(dbg_cmd_wrdata[23]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dmi_rddata_reg/genblock.dffs/dout_reg[23] ( .D(\dbg/dmi_rddata_reg/_0_net_[23] ), .CLK(\dbg/dbg_free_clk ), .RESET_B(n10811), .Q(dmi_reg_rdata[23]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/write_csr_ff/genblock.genblock.dff/dout_reg[23] ( .D(n10847), .CLK(\dec/decode/write_csr_ff/l1clk ), .RESET_B(n25092), .Q(\dec/decode/write_csr_data [23]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/addrcheck/is_sideeffects_mff/dout_reg[0] ( .D(\lsu/lsu_lsc_ctl/addrcheck/is_sideeffects_d ), .CLK( \lsu/lsu_c2_m_clk ), .RESET_B(n25115), .Q(\lsu/is_sideeffects_m ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/bus_intf/is_sideeffects_rff/dout_reg[0] ( .D( \lsu/is_sideeffects_m ), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25091), .Q(\lsu/bus_intf/is_sideeffects_r ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/misaligned_fault_mff/dout_reg[0] ( .D(\lsu/lsu_lsc_ctl/misaligned_fault_d ), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n25115), .Q(\lsu/lsu_lsc_ctl/misaligned_fault_m ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/L2U_Plus1_0.lsu_error_pkt_rff/dout_reg[36] ( .D(n10860), .CLK(\lsu/lsu_c2_r_clk ), .RESET_B(n25115), .Q( \lsu_error_pkt_r[exc_type] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/exc_mscause_mff/dout_reg[0] ( .D( \lsu/lsu_lsc_ctl/exc_mscause_d [0]), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n25090), .Q(\lsu/lsu_lsc_ctl/exc_mscause_m [0]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_csr_rs1_x_ff/genblock.genblock.dff/dout_reg[29] ( .D(\exu/csr_rs1_in_d [29]), .CLK(\exu/i_csr_rs1_x_ff/l1clk ), .RESET_B(n25092), .Q(exu_csr_rs1_x[29]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/write_csr_ff/genblock.genblock.dff/dout_reg[29] ( .D(n10853), .CLK(\dec/decode/write_csr_ff/l1clk ), .RESET_B(n25090), .Q(\dec/decode/write_csr_data [29]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_csr_rs1_x_ff/genblock.genblock.dff/dout_reg[25] ( .D(\exu/csr_rs1_in_d [25]), .CLK(\exu/i_csr_rs1_x_ff/l1clk ), .RESET_B(n25115), .Q(exu_csr_rs1_x[25]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/write_csr_ff/genblock.genblock.dff/dout_reg[25] ( .D(n10849), .CLK(\dec/decode/write_csr_ff/l1clk ), .RESET_B(n25115), .Q(\dec/decode/write_csr_data [25]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/write_csr_ff/genblock.genblock.dff/dout_reg[24] ( .D(n10848), .CLK(\dec/decode/write_csr_ff/l1clk ), .RESET_B(n25091), .Q(\dec/decode/write_csr_data [24]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_csr_rs1_x_ff/genblock.genblock.dff/dout_reg[21] ( .D(\exu/csr_rs1_in_d [21]), .CLK(\exu/i_csr_rs1_x_ff/l1clk ), .RESET_B(n25092), .Q(exu_csr_rs1_x[21]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/write_csr_ff/genblock.genblock.dff/dout_reg[21] ( .D(n10845), .CLK(\dec/decode/write_csr_ff/l1clk ), .RESET_B(n10882), .Q(\dec/decode/write_csr_data [21]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_csr_rs1_x_ff/genblock.genblock.dff/dout_reg[17] ( .D(\exu/csr_rs1_in_d [17]), .CLK(\exu/i_csr_rs1_x_ff/l1clk ), .RESET_B(n25090), .Q(exu_csr_rs1_x[17]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/write_csr_ff/genblock.genblock.dff/dout_reg[17] ( .D(n10841), .CLK(\dec/decode/write_csr_ff/l1clk ), .RESET_B(n25091), .Q(\dec/decode/write_csr_data [17]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_csr_rs1_x_ff/genblock.genblock.dff/dout_reg[16] ( .D(\exu/csr_rs1_in_d [16]), .CLK(\exu/i_csr_rs1_x_ff/l1clk ), .RESET_B(n25090), .Q(exu_csr_rs1_x[16]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/write_csr_ff/genblock.genblock.dff/dout_reg[16] ( .D(n10840), .CLK(\dec/decode/write_csr_ff/l1clk ), .RESET_B(n25115), .Q(\dec/decode/write_csr_data [16]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_csr_rs1_x_ff/genblock.genblock.dff/dout_reg[3] ( .D(\exu/csr_rs1_in_d [3]), .CLK(\exu/i_csr_rs1_x_ff/l1clk ), .RESET_B( n25090), .Q(exu_csr_rs1_x[3]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/write_csr_ff/genblock.genblock.dff/dout_reg[3] ( .D(n10827), .CLK(\dec/decode/write_csr_ff/l1clk ), .RESET_B(n25091), .Q(\dec/decode/write_csr_data [3]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_alu/i_result_ff/genblock.genblock.dff/dout_reg[3] ( .D(\exu/i_alu/result [3]), .CLK(\exu/i_alu/i_result_ff/l1clk ), .RESET_B(n25092), .Q(\exu/alu_result_x [3]) ); sky130_fd_sc_hd__dfrtp_1 \exu/genblk1.i_data_gate_ff/genblock.genblock.dff/dout_reg[2] ( .D(\exu/ghr_d_ns [0]), .CLK(\exu/genblk1.i_data_gate_ff/l1clk ), .RESET_B(n10882), .Q(\exu/ghr_d [0]) ); sky130_fd_sc_hd__dfrtp_1 \exu/genblk1.i_data_gate_ff/genblock.genblock.dff/dout_reg[3] ( .D(\exu/ghr_d_ns [1]), .CLK(\exu/genblk1.i_data_gate_ff/l1clk ), .RESET_B(n25115), .Q(\exu/ghr_d [1]) ); sky130_fd_sc_hd__dfrtp_1 \exu/genblk1.i_data_gate_ff/genblock.genblock.dff/dout_reg[4] ( .D(\exu/ghr_d_ns [2]), .CLK(\exu/genblk1.i_data_gate_ff/l1clk ), .RESET_B(n25092), .Q(\exu/ghr_d [2]) ); sky130_fd_sc_hd__dfrtp_1 \exu/genblk1.i_data_gate_ff/genblock.genblock.dff/dout_reg[5] ( .D(\exu/ghr_d_ns [3]), .CLK(\exu/genblk1.i_data_gate_ff/l1clk ), .RESET_B(n25115), .Q(\exu/ghr_d [3]) ); sky130_fd_sc_hd__dfrtp_1 \exu/genblk1.i_data_gate_ff/genblock.genblock.dff/dout_reg[6] ( .D(\exu/ghr_d_ns [4]), .CLK(\exu/genblk1.i_data_gate_ff/l1clk ), .RESET_B(n10882), .Q(\exu/ghr_d [4]) ); sky130_fd_sc_hd__dfrtp_1 \exu/genblk1.i_data_gate_ff/genblock.genblock.dff/dout_reg[7] ( .D(\exu/ghr_d_ns [5]), .CLK(\exu/genblk1.i_data_gate_ff/l1clk ), .RESET_B(n25091), .Q(\exu/ghr_d [5]) ); sky130_fd_sc_hd__dfrtp_1 \exu/genblk1.i_data_gate_ff/genblock.genblock.dff/dout_reg[8] ( .D(\exu/ghr_d_ns [6]), .CLK(\exu/genblk1.i_data_gate_ff/l1clk ), .RESET_B(n10882), .Q(\exu/ghr_d [6]) ); sky130_fd_sc_hd__dfrtp_1 \exu/genblk1.i_data_gate_ff/genblock.genblock.dff/dout_reg[9] ( .D(\exu/ghr_d_ns [7]), .CLK(\exu/genblk1.i_data_gate_ff/l1clk ), .RESET_B(n25091), .Q(\exu/ghr_d [7]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/bus_read_data_r_ff/dout_reg[31] ( .D(\lsu/bus_read_data_m [31]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B( n25092), .Q(\lsu/lsu_lsc_ctl/bus_read_data_r [31]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0_result_r_ff/genblock.genblock.dff/dout_reg[31] ( .D(\dec/decode/i0_result_x [31]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B( n25115), .Q(\dec/decode/i0_result_r [31]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/write_csr_ff/genblock.genblock.dff/dout_reg[31] ( .D(n10855), .CLK(\dec/decode/write_csr_ff/l1clk ), .RESET_B(n25090), .Q(\dec/decode/write_csr_data [31]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/mff/genblock.genblock.dff/dout_reg[7] ( .D(\exu/muldiv_rs2_d [7]), .CLK(\exu/i_div/mff/l1clk ), .RESET_B( n25091), .Q(\exu/i_div/m_ff [7]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_csr_rs1_x_ff/genblock.genblock.dff/dout_reg[13] ( .D(\exu/csr_rs1_in_d [13]), .CLK(\exu/i_csr_rs1_x_ff/l1clk ), .RESET_B(n25092), .Q(exu_csr_rs1_x[13]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/write_csr_ff/genblock.genblock.dff/dout_reg[13] ( .D(n10837), .CLK(\dec/decode/write_csr_ff/l1clk ), .RESET_B(n10882), .Q(\dec/decode/write_csr_data [13]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/mff/genblock.genblock.dff/dout_reg[31] ( .D(\exu/muldiv_rs2_d [31]), .CLK(\exu/i_div/mff/l1clk ), .RESET_B( n25115), .Q(\exu/i_div/m_ff [31]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/mff/genblock.genblock.dff/dout_reg[32] ( .D(\exu/i_div/_8_net_[32] ), .CLK(\exu/i_div/mff/l1clk ), .RESET_B( n10882), .Q(\exu/i_div/m_ff [32]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/i_shortq_ff/dout_reg[0] ( .D( \exu/i_div/shortq_shift [0]), .CLK(\exu/i_div/exu_div_clk ), .RESET_B( n25090), .Q(\exu/i_div/shortq_shift_xx [0]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/qff/genblock.genblock.dff/dout_reg[1] ( .D(\exu/i_div/q_in [1]), .CLK(\exu/i_div/qff/l1clk ), .RESET_B(n25115), .Q(\exu/i_div/q_ff [1]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/qff/genblock.genblock.dff/dout_reg[2] ( .D(\exu/i_div/q_in [2]), .CLK(\exu/i_div/qff/l1clk ), .RESET_B(n25090), .Q(\exu/i_div/q_ff [2]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/smallnumff/dout_reg[2] ( .D( \exu/i_div/smallnum [2]), .CLK(\exu/i_div/exu_div_clk ), .RESET_B( n25092), .Q(\exu/i_div/smallnum_ff [2]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/smallnumff/dout_reg[3] ( .D( \exu/i_div/smallnum [3]), .CLK(\exu/i_div/exu_div_clk ), .RESET_B( n10882), .Q(\exu/i_div/smallnum_ff [3]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/smallnumff/dout_reg[0] ( .D( \exu/i_div/smallnum [0]), .CLK(\exu/i_div/exu_div_clk ), .RESET_B( n25092), .Q(\exu/i_div/smallnum_ff [0]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/smallnumff/dout_reg[1] ( .D( \exu/i_div/smallnum [1]), .CLK(\exu/i_div/exu_div_clk ), .RESET_B( n10882), .Q(\exu/i_div/smallnum_ff [1]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/qff/genblock.genblock.dff/dout_reg[4] ( .D(\exu/i_div/q_in [4]), .CLK(\exu/i_div/qff/l1clk ), .RESET_B(n25090), .Q(\exu/i_div/q_ff [4]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/qff/genblock.genblock.dff/dout_reg[5] ( .D(\exu/i_div/q_in [5]), .CLK(\exu/i_div/qff/l1clk ), .RESET_B(n25115), .Q(\exu/i_div/q_ff [5]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/qff/genblock.genblock.dff/dout_reg[6] ( .D(\exu/i_div/q_in [6]), .CLK(\exu/i_div/qff/l1clk ), .RESET_B(n25090), .Q(\exu/i_div/q_ff [6]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/miscf/genblock.dffs/dout_reg[2] ( .D( \exu/i_div/miscf/_0_net_[2] ), .CLK(\exu/i_div/exu_div_clk ), .RESET_B(n25091), .Q(\exu/i_div/divisor_neg_ff ) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_alu/i_result_ff/genblock.genblock.dff/dout_reg[31] ( .D(\exu/i_alu/result [31]), .CLK(\exu/i_alu/i_result_ff/l1clk ), .RESET_B(n25092), .Q(\exu/alu_result_x [31]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/qff/genblock.genblock.dff/dout_reg[7] ( .D(\exu/i_div/q_in [7]), .CLK(\exu/i_div/qff/l1clk ), .RESET_B(n10882), .Q(\exu/i_div/q_ff [7]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/qff/genblock.genblock.dff/dout_reg[8] ( .D(\exu/i_div/q_in [8]), .CLK(\exu/i_div/qff/l1clk ), .RESET_B(n25091), .Q(\exu/i_div/q_ff [8]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/qff/genblock.genblock.dff/dout_reg[9] ( .D(\exu/i_div/q_in [9]), .CLK(\exu/i_div/qff/l1clk ), .RESET_B(n25115), .Q(\exu/i_div/q_ff [9]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/qff/genblock.genblock.dff/dout_reg[11] ( .D(\exu/i_div/q_in [11]), .CLK(\exu/i_div/qff/l1clk ), .RESET_B(n25115), .Q(\exu/i_div/q_ff [11]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/qff/genblock.genblock.dff/dout_reg[12] ( .D(\exu/i_div/q_in [12]), .CLK(\exu/i_div/qff/l1clk ), .RESET_B(n25090), .Q(\exu/i_div/q_ff [12]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/qff/genblock.genblock.dff/dout_reg[14] ( .D(\exu/i_div/q_in [14]), .CLK(\exu/i_div/qff/l1clk ), .RESET_B(n25092), .Q(\exu/i_div/q_ff [14]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/qff/genblock.genblock.dff/dout_reg[15] ( .D(\exu/i_div/q_in [15]), .CLK(\exu/i_div/qff/l1clk ), .RESET_B(n10882), .Q(\exu/i_div/q_ff [15]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/qff/genblock.genblock.dff/dout_reg[17] ( .D(\exu/i_div/q_in [17]), .CLK(\exu/i_div/qff/l1clk ), .RESET_B(n25092), .Q(\exu/i_div/q_ff [17]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/qff/genblock.genblock.dff/dout_reg[18] ( .D(\exu/i_div/q_in [18]), .CLK(\exu/i_div/qff/l1clk ), .RESET_B(n25115), .Q(\exu/i_div/q_ff [18]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/qff/genblock.genblock.dff/dout_reg[19] ( .D(\exu/i_div/q_in [19]), .CLK(\exu/i_div/qff/l1clk ), .RESET_B(n25115), .Q(\exu/i_div/q_ff [19]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/qff/genblock.genblock.dff/dout_reg[20] ( .D(\exu/i_div/q_in [20]), .CLK(\exu/i_div/qff/l1clk ), .RESET_B(n25090), .Q(\exu/i_div/q_ff [20]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/qff/genblock.genblock.dff/dout_reg[21] ( .D(\exu/i_div/q_in [21]), .CLK(\exu/i_div/qff/l1clk ), .RESET_B(n25091), .Q(\exu/i_div/q_ff [21]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/qff/genblock.genblock.dff/dout_reg[22] ( .D(\exu/i_div/q_in [22]), .CLK(\exu/i_div/qff/l1clk ), .RESET_B(n25091), .Q(\exu/i_div/q_ff [22]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/qff/genblock.genblock.dff/dout_reg[23] ( .D(\exu/i_div/q_in [23]), .CLK(\exu/i_div/qff/l1clk ), .RESET_B(n25092), .Q(\exu/i_div/q_ff [23]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/qff/genblock.genblock.dff/dout_reg[24] ( .D(\exu/i_div/q_in [24]), .CLK(\exu/i_div/qff/l1clk ), .RESET_B(n10882), .Q(\exu/i_div/q_ff [24]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/qff/genblock.genblock.dff/dout_reg[25] ( .D(\exu/i_div/q_in [25]), .CLK(\exu/i_div/qff/l1clk ), .RESET_B(n25115), .Q(\exu/i_div/q_ff [25]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/qff/genblock.genblock.dff/dout_reg[26] ( .D(\exu/i_div/q_in [26]), .CLK(\exu/i_div/qff/l1clk ), .RESET_B(n25115), .Q(\exu/i_div/q_ff [26]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/qff/genblock.genblock.dff/dout_reg[27] ( .D(\exu/i_div/q_in [27]), .CLK(\exu/i_div/qff/l1clk ), .RESET_B(n25090), .Q(\exu/i_div/q_ff [27]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/qff/genblock.genblock.dff/dout_reg[28] ( .D(\exu/i_div/q_in [28]), .CLK(\exu/i_div/qff/l1clk ), .RESET_B(n25091), .Q(\exu/i_div/q_ff [28]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/qff/genblock.genblock.dff/dout_reg[30] ( .D(\exu/i_div/q_in [30]), .CLK(\exu/i_div/qff/l1clk ), .RESET_B(n10882), .Q(\exu/i_div/q_ff [30]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/L2U_Plus1_0.lsu_error_pkt_rff/dout_reg[32] ( .D(\lsu/lsu_lsc_ctl/lsu_error_pkt_m[mscause][0] ), .CLK( \lsu/lsu_c2_r_clk ), .RESET_B(n25115), .Q( \lsu_error_pkt_r[mscause][0] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/L2U_Plus1_0.lsu_error_pkt_rff/dout_reg[38] ( .D(\lsu/lsu_lsc_ctl/lsu_error_pkt_m[single_ecc_error] ), .CLK( \lsu/lsu_c2_r_clk ), .RESET_B(n25115), .Q( \lsu_error_pkt_r[single_ecc_error] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/L2U_Plus1_0.lsu_error_pkt_rff/dout_reg[39] ( .D(\lsu/lsu_lsc_ctl/lsu_error_pkt_m[exc_valid] ), .CLK( \lsu/lsu_c2_r_clk ), .RESET_B(n25090), .Q(\lsu_error_pkt_r[exc_valid] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/exc_mscause_mff/dout_reg[1] ( .D( \lsu/lsu_lsc_ctl/exc_mscause_d [1]), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n25115), .Q(\lsu/lsu_lsc_ctl/exc_mscause_m [1]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/L2U_Plus1_0.lsu_error_pkt_rff/dout_reg[33] ( .D(\lsu/lsu_lsc_ctl/lsu_error_pkt_m[mscause][1] ), .CLK( \lsu/lsu_c2_r_clk ), .RESET_B(n25115), .Q( \lsu_error_pkt_r[mscause][1] ) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/exc_mscause_mff/dout_reg[2] ( .D( \lsu/lsu_lsc_ctl/exc_mscause_d [2]), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n25115), .Q(\lsu/lsu_lsc_ctl/exc_mscause_m [2]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/L2U_Plus1_0.lsu_error_pkt_rff/dout_reg[34] ( .D(\lsu/lsu_lsc_ctl/lsu_error_pkt_m[mscause][2] ), .CLK( \lsu/lsu_c2_r_clk ), .RESET_B(n25115), .Q( \lsu_error_pkt_r[mscause][2] ) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/qff/genblock.genblock.dff/dout_reg[32] ( .D(\exu/i_div/q_in [32]), .CLK(\exu/i_div/qff/l1clk ), .RESET_B(n25115), .Q(\exu/i_div/q_ff [32]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_csr_rs1_x_ff/genblock.genblock.dff/dout_reg[11] ( .D(\exu/csr_rs1_in_d [11]), .CLK(\exu/i_csr_rs1_x_ff/l1clk ), .RESET_B(n25115), .Q(exu_csr_rs1_x[11]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/write_csr_ff/genblock.genblock.dff/dout_reg[11] ( .D(n10835), .CLK(\dec/decode/write_csr_ff/l1clk ), .RESET_B(n25115), .Q(\dec/decode/write_csr_data [11]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_csr_rs1_x_ff/genblock.genblock.dff/dout_reg[9] ( .D(\exu/csr_rs1_in_d [9]), .CLK(\exu/i_csr_rs1_x_ff/l1clk ), .RESET_B( n25115), .Q(exu_csr_rs1_x[9]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/write_csr_ff/genblock.genblock.dff/dout_reg[9] ( .D(n10833), .CLK(\dec/decode/write_csr_ff/l1clk ), .RESET_B(n25115), .Q(\dec/decode/write_csr_data [9]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_csr_rs1_x_ff/genblock.genblock.dff/dout_reg[5] ( .D(\exu/csr_rs1_in_d [5]), .CLK(\exu/i_csr_rs1_x_ff/l1clk ), .RESET_B( n25115), .Q(exu_csr_rs1_x[5]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_predpipe_x_ff/genblock.genblock.dff/dout_reg[3] ( .D(i0_predict_btag_d[3]), .CLK(\exu/i_predpipe_x_ff/l1clk ), .RESET_B( n25115), .Q(\exu/predpipe_x [3]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_predpipe_x_ff/genblock.genblock.dff/dout_reg[5] ( .D(i0_predict_index_d[2]), .CLK(\exu/i_predpipe_x_ff/l1clk ), .RESET_B(n25115), .Q(\exu/predpipe_x [5]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_predpipe_r_ff/genblock.genblock.dff/dout_reg[5] ( .D(\exu/predpipe_x [5]), .CLK(\exu/i_r_ff1/l1clk ), .RESET_B(n25115), .Q(exu_i0_br_index_r[2]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dmabstractcs_busy_reg/genblock.dffs/dout_reg[0] ( .D(\dbg/dmabstractcs_busy_reg/_0_net_[0] ), .CLK(\dbg/dbg_free_clk ), .RESET_B(n10811), .Q(\dbg/abstractcs_reg_12 ) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dmabstractcs_error_reg/dout_reg[0] ( .D( \dbg/abstractcs_error_din [0]), .CLK(\dbg/dbg_free_clk ), .RESET_B( n10811), .Q(\dbg/abstractcs_reg [8]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dmi_rddata_reg/genblock.dffs/dout_reg[8] ( .D(\dbg/dmi_rddata_reg/_0_net_[8] ), .CLK(\dbg/dbg_free_clk ), .RESET_B(n10811), .Q(dmi_reg_rdata[8]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dmi_rddata_reg/genblock.dffs/dout_reg[12] ( .D(\dbg/dmi_rddata_reg/_0_net_[12] ), .CLK(\dbg/dbg_free_clk ), .RESET_B(n10811), .Q(dmi_reg_rdata[12]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/picm_radd_flop/dout_reg[31] ( .D( \lsu_axi_awburst[0] ), .CLK(\pic_ctrl_inst/pic_raddr_c1_clk ), .RESET_B(n25093), .Q(\pic_ctrl_inst/picm_raddr_ff [31]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/picm_wadd_flop/dout_reg[31] ( .D( \lsu_axi_awburst[0] ), .CLK(\pic_ctrl_inst/pic_data_c1_clk ), .RESET_B(n25108), .Q(\pic_ctrl_inst/picm_waddr_ff [31]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_mul/i_a_x_ff/genblock.genblock.dff/dout_reg[33] ( .D(\mul_p[low] ), .CLK(\exu/i_mul/i_b_x_ff/l1clk ), .RESET_B(n25082), .Q(\exu/i_mul/low_x ) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_mul/i_a_x_ff/genblock.genblock.dff/dout_reg[32] ( .D(\exu/i_mul/rs1_ext_in[32] ), .CLK(\exu/i_mul/i_b_x_ff/l1clk ), .RESET_B(n25107), .Q(\exu/i_mul/rs1_x [32]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_mul/i_a_x_ff/genblock.genblock.dff/dout_reg[31] ( .D(\exu/muldiv_rs1_d [31]), .CLK(\exu/i_mul/i_b_x_ff/l1clk ), .RESET_B(n10881), .Q(\exu/i_mul/rs1_x [31]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_mul/i_a_x_ff/genblock.genblock.dff/dout_reg[30] ( .D(\exu/muldiv_rs1_d [30]), .CLK(\exu/i_mul/i_b_x_ff/l1clk ), .RESET_B(n25106), .Q(\exu/i_mul/rs1_x [30]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_mul/i_a_x_ff/genblock.genblock.dff/dout_reg[29] ( .D(\exu/muldiv_rs1_d [29]), .CLK(\exu/i_mul/i_b_x_ff/l1clk ), .RESET_B(n25106), .Q(\exu/i_mul/rs1_x [29]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_mul/i_a_x_ff/genblock.genblock.dff/dout_reg[27] ( .D(\exu/muldiv_rs1_d [27]), .CLK(\exu/i_mul/i_b_x_ff/l1clk ), .RESET_B(n25106), .Q(\exu/i_mul/rs1_x [27]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_mul/i_a_x_ff/genblock.genblock.dff/dout_reg[26] ( .D(\exu/muldiv_rs1_d [26]), .CLK(\exu/i_mul/i_b_x_ff/l1clk ), .RESET_B(n25106), .Q(\exu/i_mul/rs1_x [26]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_mul/i_a_x_ff/genblock.genblock.dff/dout_reg[25] ( .D(\exu/muldiv_rs1_d [25]), .CLK(\exu/i_mul/i_b_x_ff/l1clk ), .RESET_B(n25106), .Q(\exu/i_mul/rs1_x [25]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_mul/i_a_x_ff/genblock.genblock.dff/dout_reg[24] ( .D(\exu/muldiv_rs1_d [24]), .CLK(\exu/i_mul/i_b_x_ff/l1clk ), .RESET_B(n25106), .Q(\exu/i_mul/rs1_x [24]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_mul/i_a_x_ff/genblock.genblock.dff/dout_reg[23] ( .D(\exu/muldiv_rs1_d [23]), .CLK(\exu/i_mul/i_b_x_ff/l1clk ), .RESET_B(n25106), .Q(\exu/i_mul/rs1_x [23]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_mul/i_a_x_ff/genblock.genblock.dff/dout_reg[22] ( .D(\exu/muldiv_rs1_d [22]), .CLK(\exu/i_mul/i_b_x_ff/l1clk ), .RESET_B(n25106), .Q(\exu/i_mul/rs1_x [22]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_mul/i_a_x_ff/genblock.genblock.dff/dout_reg[21] ( .D(\exu/muldiv_rs1_d [21]), .CLK(\exu/i_mul/i_b_x_ff/l1clk ), .RESET_B(n25084), .Q(\exu/i_mul/rs1_x [21]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_mul/i_a_x_ff/genblock.genblock.dff/dout_reg[20] ( .D(\exu/muldiv_rs1_d [20]), .CLK(\exu/i_mul/i_b_x_ff/l1clk ), .RESET_B(n25084), .Q(\exu/i_mul/rs1_x [20]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_mul/i_a_x_ff/genblock.genblock.dff/dout_reg[19] ( .D(\exu/muldiv_rs1_d [19]), .CLK(\exu/i_mul/i_b_x_ff/l1clk ), .RESET_B(n25084), .Q(\exu/i_mul/rs1_x [19]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_mul/i_a_x_ff/genblock.genblock.dff/dout_reg[18] ( .D(\exu/muldiv_rs1_d [18]), .CLK(\exu/i_mul/i_b_x_ff/l1clk ), .RESET_B(n25084), .Q(\exu/i_mul/rs1_x [18]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_mul/i_a_x_ff/genblock.genblock.dff/dout_reg[17] ( .D(\exu/muldiv_rs1_d [17]), .CLK(\exu/i_mul/i_b_x_ff/l1clk ), .RESET_B(n25084), .Q(\exu/i_mul/rs1_x [17]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_mul/i_a_x_ff/genblock.genblock.dff/dout_reg[16] ( .D(\exu/muldiv_rs1_d [16]), .CLK(\exu/i_mul/i_b_x_ff/l1clk ), .RESET_B(n25084), .Q(\exu/i_mul/rs1_x [16]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_mul/i_a_x_ff/genblock.genblock.dff/dout_reg[15] ( .D(\exu/muldiv_rs1_d [15]), .CLK(\exu/i_mul/i_b_x_ff/l1clk ), .RESET_B(n25084), .Q(\exu/i_mul/rs1_x [15]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_mul/i_a_x_ff/genblock.genblock.dff/dout_reg[14] ( .D(\exu/muldiv_rs1_d [14]), .CLK(\exu/i_mul/i_b_x_ff/l1clk ), .RESET_B(n25084), .Q(\exu/i_mul/rs1_x [14]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_mul/i_a_x_ff/genblock.genblock.dff/dout_reg[12] ( .D(\exu/muldiv_rs1_d [12]), .CLK(\exu/i_mul/i_b_x_ff/l1clk ), .RESET_B(n25084), .Q(\exu/i_mul/rs1_x [12]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_mul/i_a_x_ff/genblock.genblock.dff/dout_reg[11] ( .D(\exu/muldiv_rs1_d [11]), .CLK(\exu/i_mul/i_b_x_ff/l1clk ), .RESET_B(n25084), .Q(\exu/i_mul/rs1_x [11]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_mul/i_a_x_ff/genblock.genblock.dff/dout_reg[10] ( .D(\exu/muldiv_rs1_d [10]), .CLK(\exu/i_mul/i_b_x_ff/l1clk ), .RESET_B(n25084), .Q(\exu/i_mul/rs1_x [10]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_mul/i_a_x_ff/genblock.genblock.dff/dout_reg[9] ( .D(\exu/muldiv_rs1_d [9]), .CLK(\exu/i_mul/i_b_x_ff/l1clk ), .RESET_B( n25085), .Q(\exu/i_mul/rs1_x [9]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_mul/i_a_x_ff/genblock.genblock.dff/dout_reg[8] ( .D(\exu/muldiv_rs1_d [8]), .CLK(\exu/i_mul/i_b_x_ff/l1clk ), .RESET_B( n25085), .Q(\exu/i_mul/rs1_x [8]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_mul/i_a_x_ff/genblock.genblock.dff/dout_reg[7] ( .D(\exu/muldiv_rs1_d [7]), .CLK(\exu/i_mul/i_b_x_ff/l1clk ), .RESET_B( n25085), .Q(\exu/i_mul/rs1_x [7]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_mul/i_a_x_ff/genblock.genblock.dff/dout_reg[6] ( .D(\exu/muldiv_rs1_d [6]), .CLK(\exu/i_mul/i_b_x_ff/l1clk ), .RESET_B( n25085), .Q(\exu/i_mul/rs1_x [6]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_mul/i_a_x_ff/genblock.genblock.dff/dout_reg[5] ( .D(\exu/muldiv_rs1_d [5]), .CLK(\exu/i_mul/i_b_x_ff/l1clk ), .RESET_B( n25085), .Q(\exu/i_mul/rs1_x [5]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_mul/i_a_x_ff/genblock.genblock.dff/dout_reg[4] ( .D(\exu/muldiv_rs1_d [4]), .CLK(\exu/i_mul/i_b_x_ff/l1clk ), .RESET_B( n25085), .Q(\exu/i_mul/rs1_x [4]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_mul/i_a_x_ff/genblock.genblock.dff/dout_reg[3] ( .D(\exu/muldiv_rs1_d [3]), .CLK(\exu/i_mul/i_b_x_ff/l1clk ), .RESET_B( n25085), .Q(\exu/i_mul/rs1_x [3]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_mul/i_a_x_ff/genblock.genblock.dff/dout_reg[2] ( .D(\exu/muldiv_rs1_d [2]), .CLK(\exu/i_mul/i_b_x_ff/l1clk ), .RESET_B( n25085), .Q(\exu/i_mul/rs1_x [2]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_mul/i_a_x_ff/genblock.genblock.dff/dout_reg[1] ( .D(\exu/muldiv_rs1_d [1]), .CLK(\exu/i_mul/i_b_x_ff/l1clk ), .RESET_B( n25085), .Q(\exu/i_mul/rs1_x [1]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_mul/i_a_x_ff/genblock.genblock.dff/dout_reg[0] ( .D(\exu/muldiv_rs1_d [0]), .CLK(\exu/i_mul/i_b_x_ff/l1clk ), .RESET_B( n25085), .Q(\exu/i_mul/rs1_x [0]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_mul/i_b_x_ff/genblock.genblock.dff/dout_reg[32] ( .D(\exu/i_mul/rs2_ext_in[32] ), .CLK(\exu/i_mul/i_b_x_ff/l1clk ), .RESET_B(n25085), .Q(\exu/i_mul/rs2_x [32]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_mul/i_b_x_ff/genblock.genblock.dff/dout_reg[30] ( .D(\exu/muldiv_rs2_d [30]), .CLK(\exu/i_mul/i_b_x_ff/l1clk ), .RESET_B(n25103), .Q(\exu/i_mul/rs2_x [30]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_mul/i_b_x_ff/genblock.genblock.dff/dout_reg[29] ( .D(\exu/muldiv_rs2_d [29]), .CLK(\exu/i_mul/i_b_x_ff/l1clk ), .RESET_B(n10881), .Q(\exu/i_mul/rs2_x [29]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_mul/i_b_x_ff/genblock.genblock.dff/dout_reg[28] ( .D(\exu/muldiv_rs2_d [28]), .CLK(\exu/i_mul/i_b_x_ff/l1clk ), .RESET_B(n25082), .Q(\exu/i_mul/rs2_x [28]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_mul/i_b_x_ff/genblock.genblock.dff/dout_reg[27] ( .D(\exu/muldiv_rs2_d [27]), .CLK(\exu/i_mul/i_b_x_ff/l1clk ), .RESET_B(n25112), .Q(\exu/i_mul/rs2_x [27]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_mul/i_b_x_ff/genblock.genblock.dff/dout_reg[26] ( .D(\exu/muldiv_rs2_d [26]), .CLK(\exu/i_mul/i_b_x_ff/l1clk ), .RESET_B(n25116), .Q(\exu/i_mul/rs2_x [26]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_mul/i_b_x_ff/genblock.genblock.dff/dout_reg[25] ( .D(\exu/muldiv_rs2_d [25]), .CLK(\exu/i_mul/i_b_x_ff/l1clk ), .RESET_B(n10881), .Q(\exu/i_mul/rs2_x [25]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_mul/i_b_x_ff/genblock.genblock.dff/dout_reg[24] ( .D(\exu/muldiv_rs2_d [24]), .CLK(\exu/i_mul/i_b_x_ff/l1clk ), .RESET_B(n25107), .Q(\exu/i_mul/rs2_x [24]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_mul/i_b_x_ff/genblock.genblock.dff/dout_reg[23] ( .D(\exu/muldiv_rs2_d [23]), .CLK(\exu/i_mul/i_b_x_ff/l1clk ), .RESET_B(n25105), .Q(\exu/i_mul/rs2_x [23]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_mul/i_b_x_ff/genblock.genblock.dff/dout_reg[22] ( .D(\exu/muldiv_rs2_d [22]), .CLK(\exu/i_mul/i_b_x_ff/l1clk ), .RESET_B(n25082), .Q(\exu/i_mul/rs2_x [22]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_mul/i_b_x_ff/genblock.genblock.dff/dout_reg[21] ( .D(\exu/muldiv_rs2_d [21]), .CLK(\exu/i_mul/i_b_x_ff/l1clk ), .RESET_B(n10876), .Q(\exu/i_mul/rs2_x [21]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_mul/i_b_x_ff/genblock.genblock.dff/dout_reg[20] ( .D(\exu/muldiv_rs2_d [20]), .CLK(\exu/i_mul/i_b_x_ff/l1clk ), .RESET_B(n25102), .Q(\exu/i_mul/rs2_x [20]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_mul/i_b_x_ff/genblock.genblock.dff/dout_reg[19] ( .D(\exu/muldiv_rs2_d [19]), .CLK(\exu/i_mul/i_b_x_ff/l1clk ), .RESET_B(n10876), .Q(\exu/i_mul/rs2_x [19]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_mul/i_b_x_ff/genblock.genblock.dff/dout_reg[18] ( .D(\exu/muldiv_rs2_d [18]), .CLK(\exu/i_mul/i_b_x_ff/l1clk ), .RESET_B(n25106), .Q(\exu/i_mul/rs2_x [18]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_mul/i_b_x_ff/genblock.genblock.dff/dout_reg[17] ( .D(\exu/muldiv_rs2_d [17]), .CLK(\exu/i_mul/i_b_x_ff/l1clk ), .RESET_B(n25100), .Q(\exu/i_mul/rs2_x [17]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_mul/i_b_x_ff/genblock.genblock.dff/dout_reg[16] ( .D(\exu/muldiv_rs2_d [16]), .CLK(\exu/i_mul/i_b_x_ff/l1clk ), .RESET_B(n25101), .Q(\exu/i_mul/rs2_x [16]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_mul/i_b_x_ff/genblock.genblock.dff/dout_reg[15] ( .D(\exu/muldiv_rs2_d [15]), .CLK(\exu/i_mul/i_b_x_ff/l1clk ), .RESET_B(n25104), .Q(\exu/i_mul/rs2_x [15]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_mul/i_b_x_ff/genblock.genblock.dff/dout_reg[14] ( .D(\exu/muldiv_rs2_d [14]), .CLK(\exu/i_mul/i_b_x_ff/l1clk ), .RESET_B(n25103), .Q(\exu/i_mul/rs2_x [14]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_mul/i_b_x_ff/genblock.genblock.dff/dout_reg[13] ( .D(\exu/muldiv_rs2_d [13]), .CLK(\exu/i_mul/i_b_x_ff/l1clk ), .RESET_B(n10881), .Q(\exu/i_mul/rs2_x [13]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_mul/i_b_x_ff/genblock.genblock.dff/dout_reg[12] ( .D(\exu/muldiv_rs2_d [12]), .CLK(\exu/i_mul/i_b_x_ff/l1clk ), .RESET_B(n25112), .Q(\exu/i_mul/rs2_x [12]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_mul/i_b_x_ff/genblock.genblock.dff/dout_reg[11] ( .D(\exu/muldiv_rs2_d [11]), .CLK(\exu/i_mul/i_b_x_ff/l1clk ), .RESET_B(n25107), .Q(\exu/i_mul/rs2_x [11]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_mul/i_b_x_ff/genblock.genblock.dff/dout_reg[10] ( .D(\exu/muldiv_rs2_d [10]), .CLK(\exu/i_mul/i_b_x_ff/l1clk ), .RESET_B(n25106), .Q(\exu/i_mul/rs2_x [10]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_mul/i_b_x_ff/genblock.genblock.dff/dout_reg[9] ( .D(\exu/muldiv_rs2_d [9]), .CLK(\exu/i_mul/i_b_x_ff/l1clk ), .RESET_B( n25082), .Q(\exu/i_mul/rs2_x [9]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_mul/i_b_x_ff/genblock.genblock.dff/dout_reg[8] ( .D(\exu/muldiv_rs2_d [8]), .CLK(\exu/i_mul/i_b_x_ff/l1clk ), .RESET_B( n25100), .Q(\exu/i_mul/rs2_x [8]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_mul/i_b_x_ff/genblock.genblock.dff/dout_reg[7] ( .D(\exu/muldiv_rs2_d [7]), .CLK(\exu/i_mul/i_b_x_ff/l1clk ), .RESET_B( n25107), .Q(\exu/i_mul/rs2_x [7]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_mul/i_b_x_ff/genblock.genblock.dff/dout_reg[6] ( .D(\exu/muldiv_rs2_d [6]), .CLK(\exu/i_mul/i_b_x_ff/l1clk ), .RESET_B( n25116), .Q(\exu/i_mul/rs2_x [6]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_mul/i_b_x_ff/genblock.genblock.dff/dout_reg[5] ( .D(\exu/muldiv_rs2_d [5]), .CLK(\exu/i_mul/i_b_x_ff/l1clk ), .RESET_B( n25112), .Q(\exu/i_mul/rs2_x [5]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_mul/i_b_x_ff/genblock.genblock.dff/dout_reg[4] ( .D(\exu/i0_rs2_d [4]), .CLK(\exu/i_mul/i_b_x_ff/l1clk ), .RESET_B( n25116), .Q(\exu/i_mul/rs2_x [4]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_mul/i_b_x_ff/genblock.genblock.dff/dout_reg[3] ( .D(\exu/i0_rs2_d [3]), .CLK(\exu/i_mul/i_b_x_ff/l1clk ), .RESET_B( n25106), .Q(\exu/i_mul/rs2_x [3]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_mul/i_b_x_ff/genblock.genblock.dff/dout_reg[2] ( .D(\exu/i0_rs2_d [2]), .CLK(\exu/i_mul/i_b_x_ff/l1clk ), .RESET_B( n25107), .Q(\exu/i_mul/rs2_x [2]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_mul/i_b_x_ff/genblock.genblock.dff/dout_reg[0] ( .D(n25109), .CLK(\exu/i_mul/i_b_x_ff/l1clk ), .RESET_B(n25101), .Q( \exu/i_mul/rs2_x [0]) ); sky130_fd_sc_hd__dlxtn_1 \exu/i_mul/i_a_x_ff/genblock.genblock.clkhdr/clkhdr/en_ff_reg ( .D(\exu/i_mul/i_a_x_ff/genblock.genblock.clkhdr/clkhdr/enable ), .GATE_N(clk), .Q( \exu/i_mul/i_a_x_ff/genblock.genblock.clkhdr/clkhdr/en_ff ) ); sky130_fd_sc_hd__fa_1 \intadd_0/U18 ( .A(\intadd_0/B[0] ), .B( \intadd_0/A[0] ), .CIN(\intadd_0/CI ), .COUT(\intadd_0/n17 ), .SUM( \intadd_0/SUM[0] ) ); sky130_fd_sc_hd__fa_1 \intadd_0/U17 ( .A(\intadd_0/B[1] ), .B( \intadd_0/A[1] ), .CIN(\intadd_0/n17 ), .COUT(\intadd_0/n16 ), .SUM( \intadd_0/SUM[1] ) ); sky130_fd_sc_hd__fa_1 \intadd_0/U16 ( .A(\intadd_0/B[2] ), .B( \intadd_0/A[2] ), .CIN(\intadd_0/n16 ), .COUT(\intadd_0/n15 ), .SUM( \intadd_0/SUM[2] ) ); sky130_fd_sc_hd__fa_1 \intadd_0/U15 ( .A(\intadd_0/B[3] ), .B( \intadd_0/A[3] ), .CIN(\intadd_0/n15 ), .COUT(\intadd_0/n14 ), .SUM( \intadd_0/SUM[3] ) ); sky130_fd_sc_hd__fa_1 \intadd_0/U14 ( .A(\intadd_0/B[4] ), .B( \intadd_0/A[4] ), .CIN(\intadd_0/n14 ), .COUT(\intadd_0/n13 ), .SUM( \intadd_0/SUM[4] ) ); sky130_fd_sc_hd__fa_1 \intadd_0/U13 ( .A(\intadd_0/B[5] ), .B( \intadd_0/A[5] ), .CIN(\intadd_0/n13 ), .COUT(\intadd_0/n12 ), .SUM( \intadd_0/SUM[5] ) ); sky130_fd_sc_hd__fa_1 \intadd_0/U12 ( .A(\intadd_0/B[6] ), .B( \intadd_0/A[6] ), .CIN(\intadd_0/n12 ), .COUT(\intadd_0/n11 ), .SUM( \intadd_0/SUM[6] ) ); sky130_fd_sc_hd__fa_1 \intadd_0/U11 ( .A(\intadd_0/B[7] ), .B( \intadd_0/A[7] ), .CIN(\intadd_0/n11 ), .COUT(\intadd_0/n10 ), .SUM( \intadd_0/SUM[7] ) ); sky130_fd_sc_hd__fa_1 \intadd_0/U10 ( .A(\intadd_0/B[8] ), .B( \intadd_0/A[8] ), .CIN(\intadd_0/n10 ), .COUT(\intadd_0/n9 ), .SUM( \intadd_0/SUM[8] ) ); sky130_fd_sc_hd__fa_1 \intadd_0/U9 ( .A(\intadd_0/B[9] ), .B( \intadd_0/A[9] ), .CIN(\intadd_0/n9 ), .COUT(\intadd_0/n8 ), .SUM( \intadd_0/SUM[9] ) ); sky130_fd_sc_hd__fa_1 \intadd_0/U8 ( .A(\intadd_0/B[10] ), .B( \intadd_0/A[10] ), .CIN(\intadd_0/n8 ), .COUT(\intadd_0/n7 ), .SUM( \intadd_0/SUM[10] ) ); sky130_fd_sc_hd__fa_1 \intadd_0/U7 ( .A(\intadd_0/B[11] ), .B( \intadd_0/A[11] ), .CIN(\intadd_0/n7 ), .COUT(\intadd_0/n6 ), .SUM( \intadd_0/SUM[11] ) ); sky130_fd_sc_hd__fa_1 \intadd_0/U6 ( .A(\intadd_0/B[12] ), .B( \intadd_0/A[12] ), .CIN(\intadd_0/n6 ), .COUT(\intadd_0/n5 ), .SUM( \intadd_0/SUM[12] ) ); sky130_fd_sc_hd__fa_1 \intadd_0/U5 ( .A(\intadd_0/B[13] ), .B( \intadd_0/A[13] ), .CIN(\intadd_0/n5 ), .COUT(\intadd_0/n4 ), .SUM( \intadd_0/SUM[13] ) ); sky130_fd_sc_hd__fa_1 \intadd_0/U4 ( .A(\intadd_0/B[14] ), .B( \intadd_0/A[14] ), .CIN(\intadd_0/n4 ), .COUT(\intadd_0/n3 ), .SUM( \intadd_0/SUM[14] ) ); sky130_fd_sc_hd__fa_1 \intadd_0/U3 ( .A(\intadd_0/B[15] ), .B( \intadd_0/A[15] ), .CIN(\intadd_0/n3 ), .COUT(\intadd_0/n2 ), .SUM( \intadd_0/SUM[15] ) ); sky130_fd_sc_hd__fa_1 \intadd_0/U2 ( .A(\intadd_0/B[16] ), .B( \intadd_0/A[16] ), .CIN(\intadd_0/n2 ), .COUT(\intadd_0/n1 ), .SUM( \intadd_0/SUM[16] ) ); sky130_fd_sc_hd__fa_1 \intadd_1/U13 ( .A(\intadd_1/B[0] ), .B( \intadd_1/A[0] ), .CIN(\intadd_1/CI ), .COUT(\intadd_1/n12 ), .SUM( \intadd_1/SUM[0] ) ); sky130_fd_sc_hd__fa_1 \intadd_1/U12 ( .A(\intadd_1/B[1] ), .B( \intadd_1/A[1] ), .CIN(\intadd_1/n12 ), .COUT(\intadd_1/n11 ), .SUM( \intadd_1/SUM[1] ) ); sky130_fd_sc_hd__fa_1 \intadd_1/U11 ( .A(\intadd_1/B[2] ), .B( \intadd_1/A[2] ), .CIN(\intadd_1/n11 ), .COUT(\intadd_1/n10 ), .SUM( \intadd_1/SUM[2] ) ); sky130_fd_sc_hd__fa_1 \intadd_1/U10 ( .A(\intadd_1/B[3] ), .B( \intadd_1/A[3] ), .CIN(\intadd_1/n10 ), .COUT(\intadd_1/n9 ), .SUM( \intadd_1/SUM[3] ) ); sky130_fd_sc_hd__fa_1 \intadd_1/U9 ( .A(\intadd_1/B[4] ), .B( \intadd_1/A[4] ), .CIN(\intadd_1/n9 ), .COUT(\intadd_1/n8 ), .SUM( \intadd_1/SUM[4] ) ); sky130_fd_sc_hd__fa_1 \intadd_1/U8 ( .A(\intadd_1/B[5] ), .B( \intadd_1/A[5] ), .CIN(\intadd_1/n8 ), .COUT(\intadd_1/n7 ), .SUM( \intadd_1/SUM[5] ) ); sky130_fd_sc_hd__fa_1 \intadd_1/U7 ( .A(\intadd_1/B[6] ), .B( \intadd_1/A[6] ), .CIN(\intadd_1/n7 ), .COUT(\intadd_1/n6 ), .SUM( \intadd_1/SUM[6] ) ); sky130_fd_sc_hd__fa_1 \intadd_1/U6 ( .A(\intadd_1/B[7] ), .B( \intadd_1/A[7] ), .CIN(\intadd_1/n6 ), .COUT(\intadd_1/n5 ), .SUM( \intadd_1/SUM[7] ) ); sky130_fd_sc_hd__fa_1 \intadd_1/U5 ( .A(\intadd_1/B[8] ), .B( \intadd_1/A[8] ), .CIN(\intadd_1/n5 ), .COUT(\intadd_1/n4 ), .SUM( \intadd_1/SUM[8] ) ); sky130_fd_sc_hd__fa_1 \intadd_1/U4 ( .A(\intadd_1/B[9] ), .B( \intadd_1/A[9] ), .CIN(\intadd_1/n4 ), .COUT(\intadd_1/n3 ), .SUM( \intadd_1/SUM[9] ) ); sky130_fd_sc_hd__fa_1 \intadd_1/U3 ( .A(\intadd_1/B[10] ), .B( \intadd_1/A[10] ), .CIN(\intadd_1/n3 ), .COUT(\intadd_1/n2 ), .SUM( \intadd_1/SUM[10] ) ); sky130_fd_sc_hd__fa_1 \intadd_1/U2 ( .A(\intadd_1/B[11] ), .B( \intadd_1/A[11] ), .CIN(\intadd_1/n2 ), .COUT(\intadd_1/n1 ), .SUM( \intadd_1/SUM[11] ) ); sky130_fd_sc_hd__fa_1 \intadd_2/U12 ( .A(\intadd_2/B[0] ), .B( dec_i0_pc_d[2]), .CIN(\intadd_2/CI ), .COUT(\intadd_2/n11 ), .SUM( \intadd_2/SUM[0] ) ); sky130_fd_sc_hd__fa_1 \intadd_2/U11 ( .A(\intadd_2/B[1] ), .B( dec_i0_pc_d[3]), .CIN(\intadd_2/n11 ), .COUT(\intadd_2/n10 ), .SUM( \intadd_2/SUM[1] ) ); sky130_fd_sc_hd__fa_1 \intadd_2/U10 ( .A(\intadd_2/B[2] ), .B( dec_i0_pc_d[4]), .CIN(\intadd_2/n10 ), .COUT(\intadd_2/n9 ), .SUM( \intadd_2/SUM[2] ) ); sky130_fd_sc_hd__fa_1 \intadd_2/U9 ( .A(\intadd_2/B[3] ), .B(dec_i0_pc_d[5]), .CIN(\intadd_2/n9 ), .COUT(\intadd_2/n8 ), .SUM(\intadd_2/SUM[3] ) ); sky130_fd_sc_hd__fa_1 \intadd_2/U8 ( .A(\intadd_2/B[4] ), .B(dec_i0_pc_d[6]), .CIN(\intadd_2/n8 ), .COUT(\intadd_2/n7 ), .SUM(\intadd_2/SUM[4] ) ); sky130_fd_sc_hd__fa_1 \intadd_2/U7 ( .A(\intadd_2/B[5] ), .B(dec_i0_pc_d[7]), .CIN(\intadd_2/n7 ), .COUT(\intadd_2/n6 ), .SUM(\intadd_2/SUM[5] ) ); sky130_fd_sc_hd__fa_1 \intadd_2/U6 ( .A(\intadd_2/B[6] ), .B(dec_i0_pc_d[8]), .CIN(\intadd_2/n6 ), .COUT(\intadd_2/n5 ), .SUM(\intadd_2/SUM[6] ) ); sky130_fd_sc_hd__fa_1 \intadd_2/U5 ( .A(\intadd_2/B[7] ), .B(dec_i0_pc_d[9]), .CIN(\intadd_2/n5 ), .COUT(\intadd_2/n4 ), .SUM(\intadd_2/SUM[7] ) ); sky130_fd_sc_hd__fa_1 \intadd_2/U4 ( .A(\intadd_2/B[8] ), .B( dec_i0_pc_d[10]), .CIN(\intadd_2/n4 ), .COUT(\intadd_2/n3 ), .SUM( \intadd_2/SUM[8] ) ); sky130_fd_sc_hd__fa_1 \intadd_2/U3 ( .A(\intadd_2/B[9] ), .B( dec_i0_pc_d[11]), .CIN(\intadd_2/n3 ), .COUT(\intadd_2/n2 ), .SUM( \intadd_2/SUM[9] ) ); sky130_fd_sc_hd__fa_1 \intadd_2/U2 ( .A(\intadd_2/B[10] ), .B( dec_i0_pc_d[12]), .CIN(\intadd_2/n2 ), .COUT(\intadd_2/n1 ), .SUM( \intadd_2/SUM[10] ) ); sky130_fd_sc_hd__fa_1 \intadd_3/U12 ( .A(\dec/decode/last_br_immed_x [2]), .B(exu_i0_pc_x[2]), .CIN(\intadd_3/CI ), .COUT(\intadd_3/n11 ), .SUM( pred_correct_npc_x[2]) ); sky130_fd_sc_hd__fa_1 \intadd_3/U11 ( .A(\dec/decode/last_br_immed_x [3]), .B(exu_i0_pc_x[3]), .CIN(\intadd_3/n11 ), .COUT(\intadd_3/n10 ), .SUM( pred_correct_npc_x[3]) ); sky130_fd_sc_hd__fa_1 \intadd_3/U10 ( .A(\dec/decode/last_br_immed_x [4]), .B(exu_i0_pc_x[4]), .CIN(\intadd_3/n10 ), .COUT(\intadd_3/n9 ), .SUM( pred_correct_npc_x[4]) ); sky130_fd_sc_hd__fa_1 \intadd_3/U9 ( .A(\dec/decode/last_br_immed_x [5]), .B(exu_i0_pc_x[5]), .CIN(\intadd_3/n9 ), .COUT(\intadd_3/n8 ), .SUM( pred_correct_npc_x[5]) ); sky130_fd_sc_hd__fa_1 \intadd_3/U8 ( .A(\dec/decode/last_br_immed_x [6]), .B(exu_i0_pc_x[6]), .CIN(\intadd_3/n8 ), .COUT(\intadd_3/n7 ), .SUM( pred_correct_npc_x[6]) ); sky130_fd_sc_hd__fa_1 \intadd_3/U7 ( .A(\dec/decode/last_br_immed_x [7]), .B(exu_i0_pc_x[7]), .CIN(\intadd_3/n7 ), .COUT(\intadd_3/n6 ), .SUM( pred_correct_npc_x[7]) ); sky130_fd_sc_hd__fa_1 \intadd_3/U6 ( .A(\dec/decode/last_br_immed_x [8]), .B(exu_i0_pc_x[8]), .CIN(\intadd_3/n6 ), .COUT(\intadd_3/n5 ), .SUM( pred_correct_npc_x[8]) ); sky130_fd_sc_hd__fa_1 \intadd_3/U5 ( .A(\dec/decode/last_br_immed_x [9]), .B(exu_i0_pc_x[9]), .CIN(\intadd_3/n5 ), .COUT(\intadd_3/n4 ), .SUM( pred_correct_npc_x[9]) ); sky130_fd_sc_hd__fa_1 \intadd_3/U4 ( .A(\dec/decode/last_br_immed_x [10]), .B(exu_i0_pc_x[10]), .CIN(\intadd_3/n4 ), .COUT(\intadd_3/n3 ), .SUM( pred_correct_npc_x[10]) ); sky130_fd_sc_hd__fa_1 \intadd_3/U3 ( .A(\dec/decode/last_br_immed_x [11]), .B(exu_i0_pc_x[11]), .CIN(\intadd_3/n3 ), .COUT(\intadd_3/n2 ), .SUM( pred_correct_npc_x[11]) ); sky130_fd_sc_hd__fa_1 \intadd_3/U2 ( .A(\dec/decode/last_br_immed_x [12]), .B(exu_i0_pc_x[12]), .CIN(\intadd_3/n2 ), .COUT(\intadd_3/n1 ), .SUM( pred_correct_npc_x[12]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/samff/dout_reg[19] ( .D( \lsu/lsu_lsc_ctl/n88 ), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n25100), .Q(\lsu/lsu_addr_m [19]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/samff/dout_reg[14] ( .D( dccm_rd_addr_lo[14]), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n10880), .Q( \lsu/lsu_addr_m [14]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/end_addr_mff/dout_reg[11] ( .D( dccm_rd_addr_hi[11]), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n25098), .Q( \lsu/end_addr_m [11]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/end_addr_mff/dout_reg[10] ( .D( dccm_rd_addr_hi[10]), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n25097), .Q( \lsu/end_addr_m [10]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/end_addr_mff/dout_reg[7] ( .D( dccm_rd_addr_hi[7]), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n25097), .Q( \lsu/end_addr_m [7]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/samff/dout_reg[6] ( .D( dccm_rd_addr_lo[6]), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n25115), .Q( \lsu/lsu_addr_m [6]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/samff/dout_reg[13] ( .D( dccm_rd_addr_lo[13]), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n25099), .Q( \lsu/lsu_addr_m [13]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/samff/dout_reg[3] ( .D( dccm_rd_addr_lo[3]), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n25097), .Q( \lsu/lsu_addr_m [3]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/end_addr_mff/dout_reg[4] ( .D( dccm_rd_addr_hi[4]), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n25097), .Q( \lsu/end_addr_m [4]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/end_addr_mff/dout_reg[15] ( .D( dccm_rd_addr_hi[15]), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n25098), .Q( \lsu/end_addr_m [15]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/samff/dout_reg[15] ( .D( dccm_rd_addr_lo[15]), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n25112), .Q( \lsu/lsu_addr_m [15]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/samff/dout_reg[2] ( .D( dccm_rd_addr_lo[2]), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n25089), .Q( \lsu/lsu_addr_m [2]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/end_addr_mff/dout_reg[6] ( .D( dccm_rd_addr_hi[6]), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n25099), .Q( \lsu/end_addr_m [6]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/end_addr_mff/dout_reg[5] ( .D( dccm_rd_addr_hi[5]), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n25098), .Q( \lsu/end_addr_m [5]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/samff/dout_reg[11] ( .D( dccm_rd_addr_lo[11]), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n25082), .Q( \lsu/lsu_addr_m [11]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/end_addr_mff/dout_reg[12] ( .D( dccm_rd_addr_hi[12]), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n25098), .Q( \lsu/end_addr_m [12]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/end_addr_mff/dout_reg[13] ( .D( dccm_rd_addr_hi[13]), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n25099), .Q( \lsu/end_addr_m [13]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbaddress0_reg/genblock.genblock.dff/dout_reg[27] ( .D(\dbg/sbaddress0_reg_din [27]), .CLK(\dbg/dbg_sbaddress0_reg/l1clk ), .RESET_B(n10811), .Q(sb_axi_awaddr[27]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbaddress0_reg/genblock.genblock.dff/dout_reg[26] ( .D(\dbg/sbaddress0_reg_din [26]), .CLK(\dbg/dbg_sbaddress0_reg/l1clk ), .RESET_B(n10811), .Q(sb_axi_awaddr[26]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbaddress0_reg/genblock.genblock.dff/dout_reg[29] ( .D(\dbg/sbaddress0_reg_din [29]), .CLK(\dbg/dbg_sbaddress0_reg/l1clk ), .RESET_B(n10811), .Q(sb_axi_awaddr[29]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbaddress0_reg/genblock.genblock.dff/dout_reg[31] ( .D(\dbg/sbaddress0_reg_din [31]), .CLK(\dbg/dbg_sbaddress0_reg/l1clk ), .RESET_B(n10811), .Q(sb_axi_awaddr[31]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbaddress0_reg/genblock.genblock.dff/dout_reg[30] ( .D(\dbg/sbaddress0_reg_din [30]), .CLK(\dbg/dbg_sbaddress0_reg/l1clk ), .RESET_B(n10811), .Q(sb_axi_awaddr[30]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbaddress0_reg/genblock.genblock.dff/dout_reg[28] ( .D(\dbg/sbaddress0_reg_din [28]), .CLK(\dbg/dbg_sbaddress0_reg/l1clk ), .RESET_B(n10811), .Q(sb_axi_awaddr[28]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbaddress0_reg/genblock.genblock.dff/dout_reg[25] ( .D(\dbg/sbaddress0_reg_din [25]), .CLK(\dbg/dbg_sbaddress0_reg/l1clk ), .RESET_B(n10811), .Q(sb_axi_awaddr[25]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbaddress0_reg/genblock.genblock.dff/dout_reg[24] ( .D(\dbg/sbaddress0_reg_din [24]), .CLK(\dbg/dbg_sbaddress0_reg/l1clk ), .RESET_B(n10811), .Q(sb_axi_awaddr[24]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbaddress0_reg/genblock.genblock.dff/dout_reg[22] ( .D(\dbg/sbaddress0_reg_din [22]), .CLK(\dbg/dbg_sbaddress0_reg/l1clk ), .RESET_B(n10811), .Q(sb_axi_awaddr[22]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbaddress0_reg/genblock.genblock.dff/dout_reg[21] ( .D(\dbg/sbaddress0_reg_din [21]), .CLK(\dbg/dbg_sbaddress0_reg/l1clk ), .RESET_B(n10811), .Q(sb_axi_awaddr[21]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbaddress0_reg/genblock.genblock.dff/dout_reg[20] ( .D(\dbg/sbaddress0_reg_din [20]), .CLK(\dbg/dbg_sbaddress0_reg/l1clk ), .RESET_B(n10811), .Q(sb_axi_awaddr[20]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbaddress0_reg/genblock.genblock.dff/dout_reg[19] ( .D(\dbg/sbaddress0_reg_din [19]), .CLK(\dbg/dbg_sbaddress0_reg/l1clk ), .RESET_B(n10811), .Q(sb_axi_awaddr[19]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbaddress0_reg/genblock.genblock.dff/dout_reg[18] ( .D(\dbg/sbaddress0_reg_din [18]), .CLK(\dbg/dbg_sbaddress0_reg/l1clk ), .RESET_B(n10811), .Q(sb_axi_awaddr[18]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbaddress0_reg/genblock.genblock.dff/dout_reg[17] ( .D(\dbg/sbaddress0_reg_din [17]), .CLK(\dbg/dbg_sbaddress0_reg/l1clk ), .RESET_B(n10811), .Q(sb_axi_awaddr[17]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbaddress0_reg/genblock.genblock.dff/dout_reg[16] ( .D(\dbg/sbaddress0_reg_din [16]), .CLK(\dbg/dbg_sbaddress0_reg/l1clk ), .RESET_B(n10811), .Q(sb_axi_awaddr[16]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbaddress0_reg/genblock.genblock.dff/dout_reg[15] ( .D(\dbg/sbaddress0_reg_din [15]), .CLK(\dbg/dbg_sbaddress0_reg/l1clk ), .RESET_B(n10811), .Q(sb_axi_awaddr[15]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbaddress0_reg/genblock.genblock.dff/dout_reg[14] ( .D(\dbg/sbaddress0_reg_din [14]), .CLK(\dbg/dbg_sbaddress0_reg/l1clk ), .RESET_B(n10811), .Q(sb_axi_awaddr[14]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbaddress0_reg/genblock.genblock.dff/dout_reg[12] ( .D(\dbg/sbaddress0_reg_din [12]), .CLK(\dbg/dbg_sbaddress0_reg/l1clk ), .RESET_B(n10811), .Q(sb_axi_awaddr[12]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbaddress0_reg/genblock.genblock.dff/dout_reg[11] ( .D(\dbg/sbaddress0_reg_din [11]), .CLK(\dbg/dbg_sbaddress0_reg/l1clk ), .RESET_B(n10811), .Q(sb_axi_awaddr[11]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbaddress0_reg/genblock.genblock.dff/dout_reg[10] ( .D(\dbg/sbaddress0_reg_din [10]), .CLK(\dbg/dbg_sbaddress0_reg/l1clk ), .RESET_B(n10811), .Q(sb_axi_awaddr[10]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbaddress0_reg/genblock.genblock.dff/dout_reg[8] ( .D(\dbg/sbaddress0_reg_din [8]), .CLK(\dbg/dbg_sbaddress0_reg/l1clk ), .RESET_B(n10811), .Q(sb_axi_awaddr[8]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbaddress0_reg/genblock.genblock.dff/dout_reg[7] ( .D(\dbg/sbaddress0_reg_din [7]), .CLK(\dbg/dbg_sbaddress0_reg/l1clk ), .RESET_B(n10811), .Q(sb_axi_awaddr[7]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbaddress0_reg/genblock.genblock.dff/dout_reg[5] ( .D(\dbg/sbaddress0_reg_din [5]), .CLK(\dbg/dbg_sbaddress0_reg/l1clk ), .RESET_B(n10811), .Q(sb_axi_awaddr[5]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbaddress0_reg/genblock.genblock.dff/dout_reg[4] ( .D(\dbg/sbaddress0_reg_din [4]), .CLK(\dbg/dbg_sbaddress0_reg/l1clk ), .RESET_B(n10811), .Q(sb_axi_awaddr[4]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbaddress0_reg/genblock.genblock.dff/dout_reg[3] ( .D(\dbg/sbaddress0_reg_din [3]), .CLK(\dbg/dbg_sbaddress0_reg/l1clk ), .RESET_B(n10811), .Q(sb_axi_awaddr[3]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/ifc/faddrf1_ff/genblock.genblock.dff/dout_reg[30] ( .D(\ifu/ifc_fetch_addr_bf [31]), .CLK(\ifu/ifc/faddrf1_ff/l1clk ), .RESET_B(n25105), .Q(\ifu/ifc_fetch_addr_f [31]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/ifc/faddrf1_ff/genblock.genblock.dff/dout_reg[27] ( .D(\ifu/ifc_fetch_addr_bf [28]), .CLK(\ifu/ifc/faddrf1_ff/l1clk ), .RESET_B(n25116), .Q(\ifu/ifc_fetch_addr_f [28]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/ifc/faddrf1_ff/genblock.genblock.dff/dout_reg[25] ( .D(\ifu/ifc_fetch_addr_bf [26]), .CLK(\ifu/ifc/faddrf1_ff/l1clk ), .RESET_B(n25105), .Q(\ifu/ifc_fetch_addr_f [26]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbaddress0_reg/genblock.genblock.dff/dout_reg[0] ( .D(\dbg/sbaddress0_reg_din [0]), .CLK(\dbg/dbg_sbaddress0_reg/l1clk ), .RESET_B(n10811), .Q(sb_axi_awaddr[0]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbaddress0_reg/genblock.genblock.dff/dout_reg[1] ( .D(\dbg/sbaddress0_reg_din [1]), .CLK(\dbg/dbg_sbaddress0_reg/l1clk ), .RESET_B(n10811), .Q(sb_axi_awaddr[1]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbaddress0_reg/genblock.genblock.dff/dout_reg[2] ( .D(\dbg/sbaddress0_reg_din [2]), .CLK(\dbg/dbg_sbaddress0_reg/l1clk ), .RESET_B(n10811), .Q(\dbg/N205 ) ); sky130_fd_sc_hd__dfrtp_1 \dbg/sbcs_misc_reg/genblock.dffs/dout_reg[3] ( .D( \dbg/sbcs_misc_reg/_0_net_[3] ), .CLK(\dbg/sb_free_clk ), .RESET_B( n10811), .Q(sb_axi_awsize[1]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/sbcs_misc_reg/genblock.dffs/dout_reg[4] ( .D( \dbg/sbcs_misc_reg/_0_net_[4] ), .CLK(\dbg/sb_free_clk ), .RESET_B( n10811), .Q(sb_axi_awsize[2]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/ifc/req_ff/dout_reg[0] ( .D( \ifu/ifc_fetch_req_bf ), .CLK(active_clk), .RESET_B(n25107), .Q( \ifu/ifc_fetch_req_f ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/picm_radd_flop/dout_reg[0] ( .D( dccm_rd_addr_lo[0]), .CLK(\pic_ctrl_inst/pic_raddr_c1_clk ), .RESET_B( n25101), .Q(\pic_ctrl_inst/picm_raddr_ff [0]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/picm_radd_flop/dout_reg[1] ( .D( dccm_rd_addr_lo[1]), .CLK(\pic_ctrl_inst/pic_raddr_c1_clk ), .RESET_B( n25101), .Q(\pic_ctrl_inst/picm_raddr_ff [1]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/ifc/faddrf1_ff/genblock.genblock.dff/dout_reg[16] ( .D(\ifu/ifc_fetch_addr_bf [17]), .CLK(\ifu/ifc/faddrf1_ff/l1clk ), .RESET_B(n25105), .Q(\ifu/ifc_fetch_addr_f [17]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/picm_radd_flop/dout_reg[6] ( .D( dccm_rd_addr_lo[6]), .CLK(\pic_ctrl_inst/pic_raddr_c1_clk ), .RESET_B( n25100), .Q(\pic_ctrl_inst/picm_raddr_ff [6]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/addr_in_dccm_mff/dout_reg[0] ( .D(\lsu/addr_in_dccm_d ), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n25082), .Q(\lsu/addr_in_dccm_m ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/picm_radd_flop/dout_reg[14] ( .D( dccm_rd_addr_lo[14]), .CLK(\pic_ctrl_inst/pic_raddr_c1_clk ), .RESET_B(n25100), .Q(\pic_ctrl_inst/picm_raddr_ff [14]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/picm_radd_flop/dout_reg[13] ( .D( dccm_rd_addr_lo[13]), .CLK(\pic_ctrl_inst/pic_raddr_c1_clk ), .RESET_B(n25100), .Q(\pic_ctrl_inst/picm_raddr_ff [13]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/picm_radd_flop/dout_reg[12] ( .D( dccm_rd_addr_lo[12]), .CLK(\pic_ctrl_inst/pic_raddr_c1_clk ), .RESET_B(n25100), .Q(\pic_ctrl_inst/picm_raddr_ff [12]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/picm_radd_flop/dout_reg[5] ( .D( dccm_rd_addr_lo[5]), .CLK(\pic_ctrl_inst/pic_raddr_c1_clk ), .RESET_B( n25101), .Q(\pic_ctrl_inst/picm_raddr_ff [5]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/picm_radd_flop/dout_reg[11] ( .D( dccm_rd_addr_lo[11]), .CLK(\pic_ctrl_inst/pic_raddr_c1_clk ), .RESET_B(n25100), .Q(\pic_ctrl_inst/picm_raddr_ff [11]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/picm_radd_flop/dout_reg[4] ( .D( dccm_rd_addr_lo[4]), .CLK(\pic_ctrl_inst/pic_raddr_c1_clk ), .RESET_B( n25101), .Q(\pic_ctrl_inst/picm_raddr_ff [4]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/ifc/faddrf1_ff/genblock.genblock.dff/dout_reg[10] ( .D(\ifu/ifc_fetch_addr_bf [11]), .CLK(\ifu/ifc/faddrf1_ff/l1clk ), .RESET_B(n25106), .Q(\ifu/ifc_fetch_addr_f [11]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/picm_radd_flop/dout_reg[2] ( .D( dccm_rd_addr_lo[2]), .CLK(\pic_ctrl_inst/pic_raddr_c1_clk ), .RESET_B( n25101), .Q(\pic_ctrl_inst/picm_raddr_ff [2]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/picm_radd_flop/dout_reg[3] ( .D( dccm_rd_addr_lo[3]), .CLK(\pic_ctrl_inst/pic_raddr_c1_clk ), .RESET_B( n25101), .Q(\pic_ctrl_inst/picm_raddr_ff [3]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/picm_radd_flop/dout_reg[7] ( .D( dccm_rd_addr_lo[7]), .CLK(\pic_ctrl_inst/pic_raddr_c1_clk ), .RESET_B( n25100), .Q(\pic_ctrl_inst/picm_raddr_ff [7]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/picm_radd_flop/dout_reg[9] ( .D( dccm_rd_addr_lo[9]), .CLK(\pic_ctrl_inst/pic_raddr_c1_clk ), .RESET_B( n25100), .Q(\pic_ctrl_inst/picm_raddr_ff [9]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/picm_radd_flop/dout_reg[10] ( .D( dccm_rd_addr_lo[10]), .CLK(\pic_ctrl_inst/pic_raddr_c1_clk ), .RESET_B(n25100), .Q(\pic_ctrl_inst/picm_raddr_ff [10]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/samff/dout_reg[10] ( .D( dccm_rd_addr_lo[10]), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n25106), .Q( \lsu/lsu_addr_m [10]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/samff/dout_reg[12] ( .D( dccm_rd_addr_lo[12]), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n25099), .Q( \lsu/lsu_addr_m [12]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/samff/dout_reg[4] ( .D( dccm_rd_addr_lo[4]), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n25114), .Q( \lsu/lsu_addr_m [4]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/samff/dout_reg[8] ( .D( dccm_rd_addr_lo[8]), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n25116), .Q( \lsu/lsu_addr_m [8]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/samff/dout_reg[7] ( .D( dccm_rd_addr_lo[7]), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n25115), .Q( \lsu/lsu_addr_m [7]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/samff/dout_reg[9] ( .D( dccm_rd_addr_lo[9]), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n25112), .Q( \lsu/lsu_addr_m [9]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/samff/dout_reg[5] ( .D( dccm_rd_addr_lo[5]), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n25082), .Q( \lsu/lsu_addr_m [5]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/end_addr_mff/dout_reg[31] ( .D( \lsu/lsu_lsc_ctl/n92 ), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n25097), .Q(\lsu/end_addr_m [31]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/end_addr_mff/dout_reg[9] ( .D( dccm_rd_addr_hi[9]), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n25099), .Q( \lsu/end_addr_m [9]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/end_addr_mff/dout_reg[14] ( .D( dccm_rd_addr_hi[14]), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n25097), .Q( \lsu/end_addr_m [14]) ); sky130_fd_sc_hd__dfrtp_1 \lsu/lsu_lsc_ctl/end_addr_mff/dout_reg[8] ( .D( dccm_rd_addr_hi[8]), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n25098), .Q( \lsu/end_addr_m [8]) ); sky130_fd_sc_hd__dfrtp_1 \ifu/ifc/faddrf1_ff/genblock.genblock.dff/dout_reg[1] ( .D(\ifu/ifc_fetch_addr_bf [2]), .CLK(\ifu/ifc/faddrf1_ff/l1clk ), .RESET_B(n25082), .Q(\ifu/ifc_fetch_addr_f [2]) ); sky130_fd_sc_hd__dfrtp_2 \dbg/dbg_data1_reg/genblock.genblock.dff/dout_reg[1] ( .D(\dbg/data1_din [1]), .CLK(\dbg/dbg_data1_reg/l1clk ), .RESET_B( n25119), .Q(\dbg/data1_reg [1]) ); sky130_fd_sc_hd__dfrtp_2 \dbg/dbg_data1_reg/genblock.genblock.dff/dout_reg[16] ( .D(\dbg/data1_din [16]), .CLK(\dbg/dbg_data1_reg/l1clk ), .RESET_B( n25117), .Q(\dbg/data1_reg [16]) ); sky130_fd_sc_hd__dfrtp_2 \dbg/dmstatus_havereset_reg/genblk1.dffsc/dout_reg[0] ( .D(\dbg/dmstatus_havereset_reg/din_new[0] ), .CLK(\dbg/dbg_free_clk ), .RESET_B(n10811), .Q(\dbg/dmstatus_reg[19] ) ); sky130_fd_sc_hd__dfrtp_2 \dbg/dbg_sbdata1_reg/genblock.genblock.dff/dout_reg[2] ( .D(\dbg/sbdata1_din [2]), .CLK(\dbg/dbg_sbdata1_reg/l1clk ), .RESET_B( n25118), .Q(\dbg/sbdata1_reg [2]) ); sky130_fd_sc_hd__dfrtp_2 \dbg/dbg_sbdata1_reg/genblock.genblock.dff/dout_reg[17] ( .D(\dbg/sbdata1_din [17]), .CLK(\dbg/dbg_sbdata1_reg/l1clk ), .RESET_B(n25119), .Q(\dbg/sbdata1_reg [17]) ); sky130_fd_sc_hd__dfrtp_2 \dbg/dbg_sbdata0_reg/genblock.genblock.dff/dout_reg[16] ( .D(\dbg/sbdata0_din [16]), .CLK(\dbg/dbg_sbdata0_reg/l1clk ), .RESET_B(n10811), .Q(\dbg/sbdata0_reg [16]) ); sky130_fd_sc_hd__dfrtp_2 \dbg/dbg_sbdata0_reg/genblock.genblock.dff/dout_reg[31] ( .D(\dbg/sbdata0_din [31]), .CLK(\dbg/dbg_sbdata0_reg/l1clk ), .RESET_B(n10811), .Q(\dbg/sbdata0_reg [31]) ); sky130_fd_sc_hd__dfrtp_2 \dbg/dbg_sbdata0_reg/genblock.genblock.dff/dout_reg[11] ( .D(\dbg/sbdata0_din [11]), .CLK(\dbg/dbg_sbdata0_reg/l1clk ), .RESET_B(n25118), .Q(\dbg/sbdata0_reg [11]) ); sky130_fd_sc_hd__dfrtp_2 \pic_ctrl_inst/sync_inst/sync_ff2/dout_reg[4] ( .D(\pic_ctrl_inst/sync_inst/din_ff1 [4]), .CLK(clk), .RESET_B(n25107), .Q(\pic_ctrl_inst/extintsrc_req_sync [5]) ); sky130_fd_sc_hd__dfrtp_2 \pic_ctrl_inst/sync_inst/sync_ff2/dout_reg[19] ( .D(\pic_ctrl_inst/sync_inst/din_ff1 [19]), .CLK(clk), .RESET_B(n25102), .Q(\pic_ctrl_inst/extintsrc_req_sync [20]) ); sky130_fd_sc_hd__dfrtp_2 \dbg/dmstatus_resumeack_reg/genblock.dffs/dout_reg[0] ( .D(\dbg/dmstatus_resumeack_reg/_0_net_[0] ), .CLK(\dbg/dbg_free_clk ), .RESET_B(n10811), .Q(\dbg/dmstatus_reg_17 ) ); sky130_fd_sc_hd__dfrtp_2 \exu/i_alu/i_result_ff/genblock.genblock.dff/dout_reg[11] ( .D(\exu/i_alu/result [11]), .CLK(\exu/i_alu/i_result_ff/l1clk ), .RESET_B(n25087), .Q(\exu/alu_result_x [11]) ); sky130_fd_sc_hd__dfrtp_2 \exu/i_div/qff/genblock.genblock.dff/dout_reg[31] ( .D(\exu/i_div/q_in [31]), .CLK(\exu/i_div/qff/l1clk ), .RESET_B(n25088), .Q(\exu/i_div/q_ff [31]) ); sky130_fd_sc_hd__dfrtp_2 \dec/decode/csr_rddata_x_ff/genblock.genblock.dff/dout_reg[3] ( .D(\dec/dec_csr_rddata_d [3]), .CLK(\dec/decode/csr_rddata_x_ff/l1clk ), .RESET_B(n25101), .Q(\dec/decode/csr_rddata_x [3]) ); sky130_fd_sc_hd__dfrtp_2 \exu/i_r_ff0/genblock.genblock.dff/dout_reg[2] ( .D(pred_correct_npc_x[2]), .CLK(\exu/i_r_ff0/l1clk ), .RESET_B(n25082), .Q(\exu/pred_correct_npc_r [2]) ); sky130_fd_sc_hd__dfrtp_2 \exu/i_predictpacket_x_ff/genblock.genblock.dff/dout_reg[52] ( .D(\exu/i0_predict_p_d[pc4] ), .CLK(\exu/i_predictpacket_x_ff/l1clk ), .RESET_B(n25086), .Q(\exu/i0_predict_p_x[pc4] ) ); sky130_fd_sc_hd__dfrtp_2 \exu/i_predictpacket_x_ff/genblock.genblock.dff/dout_reg[0] ( .D(\exu/i0_predict_p_d[way] ), .CLK(\exu/i_predictpacket_x_ff/l1clk ), .RESET_B(n10873), .Q(\exu/i0_predict_p_x[way] ) ); sky130_fd_sc_hd__dfrtp_2 \dec/decode/i0wbinstff/genblock.genblock.dff/dout_reg[25] ( .D(\dec/decode/i0_inst_wb_in [25]), .CLK(\dec/decode/i0wbpcff/l1clk ), .RESET_B(n25086), .Q(\dec/decode/i0_inst_wb [25]) ); sky130_fd_sc_hd__dfrtp_2 \exu/i_predictpacket_x_ff/genblock.genblock.dff/dout_reg[44] ( .D(\exu/i0_predict_p_d[toffset][6] ), .CLK( \exu/i_predictpacket_x_ff/l1clk ), .RESET_B(n10873), .Q( \exu/i0_predict_p_x[toffset][6] ) ); sky130_fd_sc_hd__dfrtp_2 \dec/decode/i0xinstff/genblock.genblock.dff/dout_reg[19] ( .D(\dec/decode/i0_inst_d [19]), .CLK(\dec/decode/i0xinstff/l1clk ), .RESET_B(n25102), .Q(\dec/decode/i0_inst_x [19]) ); sky130_fd_sc_hd__dfrtp_2 \dec/decode/r_d_ff/genblock.genblock.dff/dout_reg[0] ( .D(\dec/decode/x_d[csrwaddr][0] ), .CLK(\exu/i_r_ff0/l1clk ), .RESET_B(n25112), .Q(\dec/dec_csr_wraddr_r [0]) ); sky130_fd_sc_hd__dfrtp_2 \dec/decode/i0wb1instff/genblock.genblock.dff/dout_reg[11] ( .D(\dec/decode/i0_inst_wb [11]), .CLK(\dec/decode/i0wb1pcff/l1clk ), .RESET_B(n25083), .Q(trace_rv_i_insn_ip[11]) ); sky130_fd_sc_hd__dfrtp_2 \exu/i_flush_path_x_ff/genblock.genblock.dff/dout_reg[1] ( .D(\exu/i0_flush_path_d [2]), .CLK(\exu/i_flush_path_x_ff/l1clk ), .RESET_B(n25116), .Q(\exu/i0_flush_path_x [2]) ); sky130_fd_sc_hd__dfrtp_2 \exu/i_flush_path_x_ff/genblock.genblock.dff/dout_reg[6] ( .D(\exu/i0_flush_path_d [7]), .CLK(\exu/i_flush_path_x_ff/l1clk ), .RESET_B(n25082), .Q(\exu/i0_flush_path_x [7]) ); sky130_fd_sc_hd__dfrtp_2 \ifu/aln/f2pcff/genblock.genblock.dff/dout_reg[9] ( .D(\ifu/ifc_fetch_addr_f [10]), .CLK(\ifu/aln/f2pcff/l1clk ), .RESET_B(n25112), .Q(\ifu/aln/f2pc [10]) ); sky130_fd_sc_hd__dfrtp_2 \ifu/aln/f1pcff/genblock.genblock.dff/dout_reg[11] ( .D(\ifu/aln/f1pc_in [12]), .CLK(\ifu/aln/f1pcff/l1clk ), .RESET_B( n25116), .Q(\ifu/aln/f1pc [12]) ); sky130_fd_sc_hd__dfrtp_2 \ifu/aln/f0pcff/genblock.genblock.dff/dout_reg[14] ( .D(\ifu/aln/f0pc_in [15]), .CLK(\ifu/aln/f0pcff/l1clk ), .RESET_B( n25116), .Q(dec_i0_pc_d[15]) ); sky130_fd_sc_hd__dfrtp_2 \ifu/aln/f2pcff/genblock.genblock.dff/dout_reg[18] ( .D(\ifu/ifc_fetch_addr_f [19]), .CLK(\ifu/aln/f2pcff/l1clk ), .RESET_B(n25116), .Q(\ifu/aln/f2pc [19]) ); sky130_fd_sc_hd__dfrtp_2 \dec/decode/i0wb1pcff/genblock.genblock.dff/dout_reg[19] ( .D(\dec/decode/i0_pc_wb [20]), .CLK(\dec/decode/i0wb1pcff/l1clk ), .RESET_B(n25116), .Q(trace_rv_i_address_ip[20]) ); sky130_fd_sc_hd__dfrtp_2 \exu/i_flush_path_x_ff/genblock.genblock.dff/dout_reg[27] ( .D(\exu/i0_flush_path_d [28]), .CLK(\exu/i_flush_path_x_ff/l1clk ), .RESET_B(n25106), .Q(\exu/i0_flush_path_x [28]) ); sky130_fd_sc_hd__dfrtp_2 \ifu/aln/f0pcff/genblock.genblock.dff/dout_reg[29] ( .D(\ifu/aln/f0pc_in [30]), .CLK(\ifu/aln/f0pcff/l1clk ), .RESET_B( n25083), .Q(dec_i0_pc_d[30]) ); sky130_fd_sc_hd__dfrtp_2 \dec/decode/i0wb1pcff/genblock.genblock.dff/dout_reg[30] ( .D(\dec/decode/i0_pc_wb [31]), .CLK(\dec/decode/i0wb1pcff/l1clk ), .RESET_B(n25082), .Q(trace_rv_i_address_ip[31]) ); sky130_fd_sc_hd__dfrtp_2 \dec/decode/cam_array[1].cam_ff/dout_reg[4] ( .D( \dec/decode/cam_in[1][rd][4] ), .CLK(clk), .RESET_B(n10876), .Q( \dec/decode/cam[1][rd][4] ) ); sky130_fd_sc_hd__dfrtp_2 \dec/decode/cam_array[3].cam_ff/dout_reg[5] ( .D( \dec/decode/cam_in[3][tag][0] ), .CLK(clk), .RESET_B(n25116), .Q( \dec/decode/cam[3][tag][0] ) ); sky130_fd_sc_hd__dfrtp_2 \exu/i_div/aff/genblock.genblock.dff/dout_reg[19] ( .D(\exu/i_div/a_in [19]), .CLK(\exu/i_div/aff/l1clk ), .RESET_B(n25104), .Q(\exu/i_div/a_ff [19]) ); sky130_fd_sc_hd__dfrtp_2 \lsu/lsu_lsc_ctl/sdmff/dout_reg[20] ( .D( \lsu/lsu_lsc_ctl/store_data_m_in [20]), .CLK(\lsu/lsu_store_c1_m_clk ), .RESET_B(n25114), .Q(\lsu/lsu_lsc_ctl/store_data_pre_m [20]) ); sky130_fd_sc_hd__dfrtp_2 \lsu/lsu_lsc_ctl/end_addr_mff/dout_reg[20] ( .D( \lsu/lsu_lsc_ctl/n103 ), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n25116), .Q(\lsu/end_addr_m [20]) ); sky130_fd_sc_hd__dfrtp_2 \lsu/lsu_lsc_ctl/lsu_pkt_mff/dout_reg[3] ( .D( \lsu/lsu_pkt_d[dma] ), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n25082), .Q( \lsu/lsu_pkt_m[dma] ) ); sky130_fd_sc_hd__dfrtp_2 \dec/decode/trap_r_ff/genblock.genblock.dff/dout_reg[3] ( .D(\dec/decode/x_t[pmu_i0_itype][0] ), .CLK( \dec/decode/trap_r_ff/l1clk ), .RESET_B(n25097), .Q( \dec/decode/r_t[pmu_i0_itype][0] ) ); sky130_fd_sc_hd__dfrtp_2 \exu/i_x_ff/genblock.genblock.dff/dout_reg[1] ( .D(\exu/ghr_x_ns [1]), .CLK(\exu/i_x_ff/l1clk ), .RESET_B(n25115), .Q( \exu/ghr_x [1]) ); sky130_fd_sc_hd__dfrtp_2 \exu/i_alu/i_result_ff/genblock.genblock.dff/dout_reg[21] ( .D(\exu/i_alu/result [21]), .CLK(\exu/i_alu/i_result_ff/l1clk ), .RESET_B(n25098), .Q(\exu/alu_result_x [21]) ); sky130_fd_sc_hd__dfrtp_2 \lsu/lsu_lsc_ctl/sdmff/dout_reg[14] ( .D( \lsu/lsu_lsc_ctl/store_data_m_in [14]), .CLK(\lsu/lsu_store_c1_m_clk ), .RESET_B(n25112), .Q(\lsu/lsu_lsc_ctl/store_data_pre_m [14]) ); sky130_fd_sc_hd__dfrtp_2 \lsu/stbuf/GenStBuf[1].stbuf_killff/genblk1.dffsc/dout_reg[0] ( .D(\lsu/stbuf/GenStBuf[1].stbuf_killff/din_new[0] ), .CLK( \lsu/lsu_free_c2_clk ), .RESET_B(n25114), .Q( \lsu/stbuf/stbuf_dma_kill [1]) ); sky130_fd_sc_hd__dfrtp_2 \lsu/stbuf/GenStBuf[1].stbuf_addrff/genblock.genblock.dff/dout_reg[14] ( .D(\lsu/stbuf/stbuf_addrin[1][14] ), .CLK( \lsu/stbuf/GenStBuf[1].stbuf_addrff/l1clk ), .RESET_B(n10881), .Q( \lsu/stbuf/stbuf_addr[1][14] ) ); sky130_fd_sc_hd__dfrtp_2 \lsu/stbuf/GenStBuf[0].stbuf_addrff/genblock.genblock.dff/dout_reg[13] ( .D(\lsu/stbuf/stbuf_addrin[0][13] ), .CLK( \lsu/stbuf/GenStBuf[0].stbuf_addrff/l1clk ), .RESET_B(n25107), .Q( \lsu/stbuf/stbuf_addr[0][13] ) ); sky130_fd_sc_hd__dfrtp_2 \lsu/stbuf/GenStBuf[3].stbuf_addrff/genblock.genblock.dff/dout_reg[4] ( .D(\lsu/stbuf/stbuf_addrin[3][4] ), .CLK( \lsu/stbuf/GenStBuf[3].stbuf_addrff/l1clk ), .RESET_B(n10876), .Q( \lsu/stbuf/stbuf_addr[3][4] ) ); sky130_fd_sc_hd__dfrtp_2 \lsu/stbuf/GenStBuf[2].stbuf_addrff/genblock.genblock.dff/dout_reg[3] ( .D(\lsu/stbuf/stbuf_addrin[2][3] ), .CLK( \lsu/stbuf/GenStBuf[2].stbuf_addrff/l1clk ), .RESET_B(n10876), .Q( \lsu/stbuf/stbuf_addr[2][3] ) ); sky130_fd_sc_hd__dfrtp_2 \lsu/stbuf/GenStBuf[0].stbuf_byteenff/genblk1.dffsc/dout_reg[3] ( .D(\lsu/stbuf/GenStBuf[0].stbuf_byteenff/din_new[3] ), .CLK( \lsu/lsu_stbuf_c1_clk ), .RESET_B(n25106), .Q( \lsu/stbuf/stbuf_byteen[0][3] ) ); sky130_fd_sc_hd__dfrtp_2 \lsu/stbuf/GenStBuf[1].stbuf_byteenff/genblk1.dffsc/dout_reg[1] ( .D(\lsu/stbuf/GenStBuf[1].stbuf_byteenff/din_new[1] ), .CLK( \lsu/lsu_stbuf_c1_clk ), .RESET_B(n25105), .Q( \lsu/stbuf/stbuf_byteen[1][1] ) ); sky130_fd_sc_hd__dfrtp_2 \lsu/dccm_ctl/L2U1_Plus1_0.store_data_lo_rff/dout_reg[20] ( .D(\lsu/dccm_ctl/store_data_lo_r_in [20]), .CLK( \lsu/lsu_store_c1_r_clk ), .RESET_B(n25103), .Q( \lsu/store_data_lo_r [20]) ); sky130_fd_sc_hd__dfrtp_2 \lsu/stbuf/GenStBuf[1].stbuf_dataff/genblock.genblock.dff/dout_reg[27] ( .D(\lsu/stbuf/stbuf_datain[1][27] ), .CLK( \lsu/stbuf/GenStBuf[1].stbuf_dataff/l1clk ), .RESET_B(n25102), .Q( \lsu/stbuf/stbuf_data[1][27] ) ); sky130_fd_sc_hd__dfrtp_2 \lsu/stbuf/GenStBuf[0].stbuf_dataff/genblock.genblock.dff/dout_reg[25] ( .D(\lsu/stbuf/stbuf_datain[0][25] ), .CLK( \lsu/stbuf/GenStBuf[0].stbuf_dataff/l1clk ), .RESET_B(n25114), .Q( \lsu/stbuf/stbuf_data[0][25] ) ); sky130_fd_sc_hd__dfrtp_2 \lsu/stbuf/GenStBuf[3].stbuf_dataff/genblock.genblock.dff/dout_reg[17] ( .D(\lsu/stbuf/stbuf_datain[3][17] ), .CLK( \lsu/stbuf/GenStBuf[3].stbuf_dataff/l1clk ), .RESET_B(n25113), .Q( \lsu/stbuf/stbuf_data[3][17] ) ); sky130_fd_sc_hd__dfrtp_2 \lsu/lsu_lsc_ctl/sdmff/dout_reg[1] ( .D( \lsu/lsu_lsc_ctl/store_data_m_in [1]), .CLK(\lsu/lsu_store_c1_m_clk ), .RESET_B(n25114), .Q(\lsu/lsu_lsc_ctl/store_data_pre_m [1]) ); sky130_fd_sc_hd__dfrtp_2 \pic_ctrl_inst/SETREG[14].NON_ZERO_INT.gw_config_ff/genblock.dffs/dout_reg[1] ( .D(\pic_ctrl_inst/SETREG[14].NON_ZERO_INT.gw_config_ff/_0_net_[1] ), .CLK(\pic_ctrl_inst/gw_config_c1_clk ), .RESET_B(n25114), .Q( \pic_ctrl_inst/gw_config_reg[14][1] ) ); sky130_fd_sc_hd__dfrtp_2 \lsu/lsu_lsc_ctl/sdmff/dout_reg[24] ( .D( \lsu/lsu_lsc_ctl/store_data_m_in [24]), .CLK(\lsu/lsu_store_c1_m_clk ), .RESET_B(n25113), .Q(\lsu/lsu_lsc_ctl/store_data_pre_m [24]) ); sky130_fd_sc_hd__dfrtp_2 \pic_ctrl_inst/SETREG[14].NON_ZERO_INT.config_gw_inst/int_pend_ff/dout_reg[0] ( .D( \pic_ctrl_inst/SETREG[14].NON_ZERO_INT.config_gw_inst/gw_int_pending_in ), .CLK(clk), .RESET_B(n25113), .Q( \pic_ctrl_inst/SETREG[14].NON_ZERO_INT.config_gw_inst/gw_int_pending ) ); sky130_fd_sc_hd__dfrtp_2 \pic_ctrl_inst/SETREG[22].NON_ZERO_INT.gw_config_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[22].NON_ZERO_INT.gw_config_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/gw_config_c1_clk ), .RESET_B(n25113), .Q( \pic_ctrl_inst/gw_config_reg[22][0] ) ); sky130_fd_sc_hd__dfrtp_2 \pic_ctrl_inst/SETREG[29].NON_ZERO_INT.config_gw_inst/int_pend_ff/dout_reg[0] ( .D( \pic_ctrl_inst/SETREG[29].NON_ZERO_INT.config_gw_inst/gw_int_pending_in ), .CLK(clk), .RESET_B(n25114), .Q( \pic_ctrl_inst/SETREG[29].NON_ZERO_INT.config_gw_inst/gw_int_pending ) ); sky130_fd_sc_hd__dfrtp_2 \pic_ctrl_inst/SETREG[10].NON_ZERO_INT.intenable_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[10].NON_ZERO_INT.intenable_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/pic_int_c1_clk ), .RESET_B(n25089), .Q( \pic_ctrl_inst/intenable_reg [10]) ); sky130_fd_sc_hd__dfrtp_2 \pic_ctrl_inst/SETREG[25].NON_ZERO_INT.intenable_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[25].NON_ZERO_INT.intenable_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/pic_int_c1_clk ), .RESET_B(n25089), .Q( \pic_ctrl_inst/intenable_reg [25]) ); sky130_fd_sc_hd__dfrtp_2 \lsu/stbuf/GenStBuf[0].stbuf_dataff/genblock.genblock.dff/dout_reg[16] ( .D(\lsu/stbuf/stbuf_datain[0][16] ), .CLK( \lsu/stbuf/GenStBuf[0].stbuf_dataff/l1clk ), .RESET_B(n10874), .Q( \lsu/stbuf/stbuf_data[0][16] ) ); sky130_fd_sc_hd__dfrtp_2 \exu/i_div/mff/genblock.genblock.dff/dout_reg[24] ( .D(\exu/muldiv_rs2_d [24]), .CLK(\exu/i_div/mff/l1clk ), .RESET_B( n10874), .Q(\exu/i_div/m_ff [24]) ); sky130_fd_sc_hd__dfrtp_2 \lsu/stbuf/GenStBuf[3].stbuf_dataff/genblock.genblock.dff/dout_reg[18] ( .D(\lsu/stbuf/stbuf_datain[3][18] ), .CLK( \lsu/stbuf/GenStBuf[3].stbuf_dataff/l1clk ), .RESET_B(n25082), .Q( \lsu/stbuf/stbuf_data[3][18] ) ); sky130_fd_sc_hd__dfrtp_2 \lsu/stbuf/GenStBuf[1].stbuf_dataff/genblock.genblock.dff/dout_reg[10] ( .D(\lsu/stbuf/stbuf_datain[1][10] ), .CLK( \lsu/stbuf/GenStBuf[1].stbuf_dataff/l1clk ), .RESET_B(n25082), .Q( \lsu/stbuf/stbuf_data[1][10] ) ); sky130_fd_sc_hd__dfrtp_2 \dbg/dmi_rddata_reg/genblock.dffs/dout_reg[2] ( .D(\dbg/dmi_rddata_reg/_0_net_[2] ), .CLK(\dbg/dbg_free_clk ), .RESET_B(n25117), .Q(dmi_reg_rdata[2]) ); sky130_fd_sc_hd__dfrtp_2 \pic_ctrl_inst/claimid_ff/dout_reg[1] ( .D( \pic_ctrl_inst/claimid_in [1]), .CLK(clk), .RESET_B(n25088), .Q( pic_claimid[1]) ); sky130_fd_sc_hd__dfrtp_2 \exu/i_div/mff/genblock.genblock.dff/dout_reg[19] ( .D(\exu/muldiv_rs2_d [19]), .CLK(\exu/i_div/mff/l1clk ), .RESET_B( n25089), .Q(\exu/i_div/m_ff [19]) ); sky130_fd_sc_hd__dfrtp_2 \lsu/stbuf/GenStBuf[3].stbuf_dataff/genblock.genblock.dff/dout_reg[20] ( .D(\lsu/stbuf/stbuf_datain[3][20] ), .CLK( \lsu/stbuf/GenStBuf[3].stbuf_dataff/l1clk ), .RESET_B(n25095), .Q( \lsu/stbuf/stbuf_data[3][20] ) ); sky130_fd_sc_hd__dfrtp_2 \lsu/stbuf/GenStBuf[1].stbuf_dataff/genblock.genblock.dff/dout_reg[12] ( .D(\lsu/stbuf/stbuf_datain[1][12] ), .CLK( \lsu/stbuf/GenStBuf[1].stbuf_dataff/l1clk ), .RESET_B(n25094), .Q( \lsu/stbuf/stbuf_data[1][12] ) ); sky130_fd_sc_hd__dfrtp_2 \dbg/dmi_rddata_reg/genblock.dffs/dout_reg[4] ( .D(\dbg/dmi_rddata_reg/_0_net_[4] ), .CLK(\dbg/dbg_free_clk ), .RESET_B(n10811), .Q(dmi_reg_rdata[4]) ); sky130_fd_sc_hd__dfrtp_2 \exu/i_div/mff/genblock.genblock.dff/dout_reg[23] ( .D(\exu/muldiv_rs2_d [23]), .CLK(\exu/i_div/mff/l1clk ), .RESET_B( n25094), .Q(\exu/i_div/m_ff [23]) ); sky130_fd_sc_hd__dfrtp_2 \lsu/stbuf/GenStBuf[1].stbuf_dataff/genblock.genblock.dff/dout_reg[21] ( .D(\lsu/stbuf/stbuf_datain[1][21] ), .CLK( \lsu/stbuf/GenStBuf[1].stbuf_dataff/l1clk ), .RESET_B(n25094), .Q( \lsu/stbuf/stbuf_data[1][21] ) ); sky130_fd_sc_hd__dfrtp_2 \lsu/stbuf/GenStBuf[3].stbuf_dataff/genblock.genblock.dff/dout_reg[30] ( .D(\lsu/stbuf/stbuf_datain[3][30] ), .CLK( \lsu/stbuf/GenStBuf[3].stbuf_dataff/l1clk ), .RESET_B(n25091), .Q( \lsu/stbuf/stbuf_data[3][30] ) ); sky130_fd_sc_hd__dfrtp_2 \exu/i_div/mff/genblock.genblock.dff/dout_reg[22] ( .D(\exu/muldiv_rs2_d [22]), .CLK(\exu/i_div/mff/l1clk ), .RESET_B( n25091), .Q(\exu/i_div/m_ff [22]) ); sky130_fd_sc_hd__dfrtp_2 \dbg/dbg_data0_reg/genblock.genblock.dff/dout_reg[26] ( .D(\dbg/data0_din [26]), .CLK(\dbg/dbg_data0_reg/l1clk ), .RESET_B( n25117), .Q(dbg_cmd_wrdata[26]) ); sky130_fd_sc_hd__dfrtp_2 \dbg/dbg_data0_reg/genblock.genblock.dff/dout_reg[19] ( .D(\dbg/data0_din [19]), .CLK(\dbg/dbg_data0_reg/l1clk ), .RESET_B( n25117), .Q(dbg_cmd_wrdata[19]) ); sky130_fd_sc_hd__dfrtp_2 \dec/decode/write_csr_ff/genblock.genblock.dff/dout_reg[14] ( .D(n10838), .CLK(\dec/decode/write_csr_ff/l1clk ), .RESET_B(n25090), .Q(\dec/decode/write_csr_data [14]) ); sky130_fd_sc_hd__dfrtp_2 \dbg/dmi_rddata_reg/genblock.dffs/dout_reg[7] ( .D(\dbg/dmi_rddata_reg/_0_net_[7] ), .CLK(\dbg/dbg_free_clk ), .RESET_B(n10811), .Q(dmi_reg_rdata[7]) ); sky130_fd_sc_hd__dfrtp_2 \exu/i_csr_rs1_x_ff/genblock.genblock.dff/dout_reg[24] ( .D(\exu/csr_rs1_in_d [24]), .CLK(\exu/i_csr_rs1_x_ff/l1clk ), .RESET_B(n25090), .Q(exu_csr_rs1_x[24]) ); sky130_fd_sc_hd__dfrtp_2 \exu/i_div/qff/genblock.genblock.dff/dout_reg[3] ( .D(\exu/i_div/q_in [3]), .CLK(\exu/i_div/qff/l1clk ), .RESET_B(n25091), .Q(\exu/i_div/q_ff [3]) ); sky130_fd_sc_hd__dfrtp_2 \exu/i_div/qff/genblock.genblock.dff/dout_reg[13] ( .D(\exu/i_div/q_in [13]), .CLK(\exu/i_div/qff/l1clk ), .RESET_B(n25091), .Q(\exu/i_div/q_ff [13]) ); sky130_fd_sc_hd__dfrtp_2 \exu/i_div/qff/genblock.genblock.dff/dout_reg[29] ( .D(\exu/i_div/q_in [29]), .CLK(\exu/i_div/qff/l1clk ), .RESET_B(n25092), .Q(\exu/i_div/q_ff [29]) ); sky130_fd_sc_hd__dfrtp_2 \dec/decode/write_csr_ff/genblock.genblock.dff/dout_reg[5] ( .D(n10829), .CLK(\dec/decode/write_csr_ff/l1clk ), .RESET_B(n25115), .Q(\dec/decode/write_csr_data [5]) ); sky130_fd_sc_hd__dfrtp_2 \exu/i_mul/i_a_x_ff/genblock.genblock.dff/dout_reg[28] ( .D(\exu/muldiv_rs1_d [28]), .CLK(\exu/i_mul/i_b_x_ff/l1clk ), .RESET_B(n25106), .Q(\exu/i_mul/rs1_x [28]) ); sky130_fd_sc_hd__dfrtp_2 \exu/i_mul/i_a_x_ff/genblock.genblock.dff/dout_reg[13] ( .D(\exu/muldiv_rs1_d [13]), .CLK(\exu/i_mul/i_b_x_ff/l1clk ), .RESET_B(n25084), .Q(\exu/i_mul/rs1_x [13]) ); sky130_fd_sc_hd__dfrtp_2 \exu/i_mul/i_b_x_ff/genblock.genblock.dff/dout_reg[31] ( .D(\exu/muldiv_rs2_d [31]), .CLK(\exu/i_mul/i_b_x_ff/l1clk ), .RESET_B(n25085), .Q(\exu/i_mul/rs2_x [31]) ); sky130_fd_sc_hd__dfrtp_2 \exu/i_mul/i_b_x_ff/genblock.genblock.dff/dout_reg[1] ( .D(\exu/i0_rs2_d [1]), .CLK(\exu/i_mul/i_b_x_ff/l1clk ), .RESET_B( n25102), .Q(\exu/i_mul/rs2_x [1]) ); sky130_fd_sc_hd__dfrtp_2 \lsu/lsu_lsc_ctl/end_addr_mff/dout_reg[3] ( .D( dccm_rd_addr_hi[3]), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n25099), .Q( \lsu/end_addr_m [3]) ); sky130_fd_sc_hd__dfrtp_2 \dbg/dbg_sbaddress0_reg/genblock.genblock.dff/dout_reg[23] ( .D(\dbg/sbaddress0_reg_din [23]), .CLK(\dbg/dbg_sbaddress0_reg/l1clk ), .RESET_B(n10811), .Q(sb_axi_awaddr[23]) ); sky130_fd_sc_hd__dfrtp_2 \ifu/ifc/faddrf1_ff/genblock.genblock.dff/dout_reg[14] ( .D(\ifu/ifc_fetch_addr_bf [15]), .CLK(\ifu/ifc/faddrf1_ff/l1clk ), .RESET_B(n25082), .Q(\ifu/ifc_fetch_addr_f [15]) ); sky130_fd_sc_hd__dfrtp_2 \pic_ctrl_inst/picm_radd_flop/dout_reg[8] ( .D( dccm_rd_addr_lo[8]), .CLK(\pic_ctrl_inst/pic_raddr_c1_clk ), .RESET_B( n25100), .Q(\pic_ctrl_inst/picm_raddr_ff [8]) ); sky130_fd_sc_hd__dfrtp_2 \lsu/lsu_lsc_ctl/samff/dout_reg[1] ( .D( dccm_rd_addr_lo[1]), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n25099), .Q( \lsu/lsu_addr_m [1]) ); sky130_fd_sc_hd__dfrtp_2 \lsu/lsu_lsc_ctl/end_addr_rff/dout_reg[3] ( .D( \lsu/end_addr_m [3]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25098), .Q( \lsu/end_addr_r [3]) ); sky130_fd_sc_hd__dfrtp_2 \lsu/lsu_lsc_ctl/end_addr_mff/dout_reg[2] ( .D( dccm_rd_addr_hi[2]), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n25098), .Q( \lsu/end_addr_m [2]) ); sky130_fd_sc_hd__dfrtp_2 \lsu/lsu_lsc_ctl/sarff/dout_reg[1] ( .D( \lsu/lsu_addr_m [1]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25113), .Q( \lsu/lsu_addr_r [1]) ); sky130_fd_sc_hd__dfrtp_2 \lsu/lsu_lsc_ctl/end_addr_rff/dout_reg[6] ( .D( \lsu/end_addr_m [6]), .CLK(\lsu/lsu_c1_r_clk ), .RESET_B(n25098), .Q( \lsu/end_addr_r [6]) ); sky130_fd_sc_hd__dfrtp_2 \lsu/lsu_lsc_ctl/samff/dout_reg[0] ( .D( dccm_rd_addr_lo[0]), .CLK(\lsu/lsu_c1_m_clk ), .RESET_B(n25082), .Q( \lsu/lsu_addr_m [0]) ); sky130_fd_sc_hd__dfrtp_2 \exu/genblk1.i_data_gate_ff/genblock.genblock.dff/dout_reg[1] ( .D(\mul_p[valid] ), .CLK(\exu/genblk1.i_data_gate_ff/l1clk ), .RESET_B(n25097), .Q(\exu/mul_valid_x ) ); sky130_fd_sc_hd__dfrtp_2 \lsu/dccm_ctl/ld_single_ecc_error_lo_rff/dout_reg[0] ( .D(\lsu/dccm_ctl/ld_single_ecc_error_lo_r_ns ), .CLK( \lsu/lsu_free_c2_clk ), .RESET_B(n10876), .Q( \lsu/dccm_ctl/ld_single_ecc_error_lo_r_ff ) ); sky130_fd_sc_hd__dfrtp_2 \exu/i_x_ff/genblock.genblock.dff/dout_reg[9] ( .D(n25111), .CLK(\exu/i_x_ff/l1clk ), .RESET_B(n10876), .Q( \exu/i0_flush_upper_x ) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dmcommand_reg/genblock.genblock.dff/dout_reg[31] ( .D(dmi_reg_wdata[31]), .CLK(\dbg/dmcommand_reg/l1clk ), .RESET_B( n10811), .Q(\dbg/command_reg_31 ) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dmcommand_reg/genblock.genblock.dff/dout_reg[14] ( .D(dmi_reg_wdata[14]), .CLK(\dbg/dmcommand_reg/l1clk ), .RESET_B( n25117), .Q(\dbg/command_reg [14]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/SETREG[7].NON_ZERO_INT.gw_config_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/SETREG[7].NON_ZERO_INT.gw_config_ff/_0_net_[0] ), .CLK(\pic_ctrl_inst/gw_config_c1_clk ), .RESET_B(n25113), .Q( \pic_ctrl_inst/gw_config_reg[7][0] ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/config_reg_ff/genblock.dffs/dout_reg[0] ( .D(\pic_ctrl_inst/config_reg_ff/_0_net_[0] ), .CLK(clk), .RESET_B( n10882), .Q(\pic_ctrl_inst/config_reg ) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/picm_wadd_flop/dout_reg[7] ( .D( picm_wraddr[7]), .CLK(\pic_ctrl_inst/pic_data_c1_clk ), .RESET_B( n25113), .Q(\pic_ctrl_inst/picm_waddr_ff [7]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_r_ff0/genblock.genblock.dff/dout_reg[62] ( .D(\exu/i0_predict_p_x[misp] ), .CLK(\exu/i_r_ff0/l1clk ), .RESET_B( n25112), .Q(exu_i0_br_mp_r) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/aff/genblock.genblock.dff/dout_reg[4] ( .D(\exu/i_div/a_in [4]), .CLK(\exu/i_div/aff/l1clk ), .RESET_B(n10876), .Q(\exu/i_div/a_ff [4]) ); sky130_fd_sc_hd__dfrtp_1 \dbg/dbg_sbaddress0_reg/genblock.genblock.dff/dout_reg[6] ( .D(\dbg/sbaddress0_reg_din [6]), .CLK(\dbg/dbg_sbaddress0_reg/l1clk ), .RESET_B(n10811), .Q(sb_axi_awaddr[6]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_alu/i_pc_ff/genblock.genblock.dff/dout_reg[0] ( .D(\exu/i0_predict_p_d[boffset] ), .CLK(\exu/i_alu/i_pc_ff/l1clk ), .RESET_B(n25112), .Q(exu_i0_pc_x[1]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_div/mff/genblock.genblock.dff/dout_reg[13] ( .D(\exu/muldiv_rs2_d [13]), .CLK(\exu/i_div/mff/l1clk ), .RESET_B( n10874), .Q(\exu/i_div/m_ff [13]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wb1instff/genblock.genblock.dff/dout_reg[14] ( .D(\dec/decode/i0_inst_wb [14]), .CLK(\dec/decode/i0wb1pcff/l1clk ), .RESET_B(n25112), .Q(trace_rv_i_insn_ip[14]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wb1pcff/genblock.genblock.dff/dout_reg[6] ( .D(\dec/decode/i0_pc_wb [7]), .CLK(\dec/decode/i0wb1pcff/l1clk ), .RESET_B(n10881), .Q(trace_rv_i_address_ip[7]) ); sky130_fd_sc_hd__dfrtp_1 \pic_ctrl_inst/sync_inst/sync_ff1/dout_reg[20] ( .D(extintsrc_req[21]), .CLK(clk), .RESET_B(n10876), .Q( \pic_ctrl_inst/sync_inst/din_ff1 [20]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0xinstff/genblock.genblock.dff/dout_reg[4] ( .D(\dec/decode/i0_inst_d [4]), .CLK(\dec/decode/i0xinstff/l1clk ), .RESET_B(n25112), .Q(\dec/decode/i0_inst_x [4]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0xinstff/genblock.genblock.dff/dout_reg[29] ( .D(\dec/decode/i0_inst_d [29]), .CLK(\dec/decode/i0xinstff/l1clk ), .RESET_B(n25112), .Q(\dec/decode/i0_inst_x [29]) ); sky130_fd_sc_hd__dfrtp_1 \exu/i_flush_path_x_ff/genblock.genblock.dff/dout_reg[21] ( .D(\exu/i0_flush_path_d [22]), .CLK(\exu/i_flush_path_x_ff/l1clk ), .RESET_B(n25115), .Q(\exu/i0_flush_path_x [22]) ); sky130_fd_sc_hd__dfrtp_1 \dec/decode/i0wbpcff/genblock.genblock.dff/dout_reg[4] ( .D(\dec/dec_tlu_i0_pc_r [5]), .CLK(\dec/decode/i0wbpcff/l1clk ), .RESET_B(n10876), .Q(\dec/decode/i0_pc_wb [5]) ); sky130_fd_sc_hd__buf_4 U13367 ( .A(n25106), .X(n25112) ); sky130_fd_sc_hd__buf_4 U13368 ( .A(n25114), .X(n25113) ); sky130_fd_sc_hd__inv_4 U13369 ( .A(n25059), .Y(n10811) ); sky130_fd_sc_hd__clkbuf_1 U13370 ( .A(n17077), .X(n17078) ); sky130_fd_sc_hd__a2bb2o_1 U13371 ( .A1_N(n17235), .A2_N(n17234), .B1(n17315), .B2(\dec/decode/i0_result_r [3]), .X(n17236) ); sky130_fd_sc_hd__inv_1 U13372 ( .A(n22492), .Y(n10866) ); sky130_fd_sc_hd__inv_1 U13373 ( .A(n10866), .Y(n10867) ); sky130_fd_sc_hd__clkinv_1 U13374 ( .A(n12655), .Y(n12684) ); sky130_fd_sc_hd__clkinv_1 U13375 ( .A(\exu/i_mul/rs2_x [32]), .Y(n13285) ); sky130_fd_sc_hd__clkinv_1 U13376 ( .A(n15898), .Y(n15072) ); sky130_fd_sc_hd__clkinv_1 U13377 ( .A(\lsu/lsu_addr_m [9]), .Y(n21091) ); sky130_fd_sc_hd__clkinv_1 U13378 ( .A(\lsu/lsu_addr_m [3]), .Y(n21073) ); sky130_fd_sc_hd__clkinv_1 U13379 ( .A(\exu/i_mul/rs2_x [0]), .Y(n13385) ); sky130_fd_sc_hd__clkinv_1 U13380 ( .A(\lsu/lsu_addr_m [13]), .Y(n21045) ); sky130_fd_sc_hd__clkinv_1 U13381 ( .A(\lsu/lsu_addr_m [15]), .Y(n13940) ); sky130_fd_sc_hd__clkinv_1 U13382 ( .A(n24887), .Y(n24847) ); sky130_fd_sc_hd__fa_1 U13383 ( .A(n15197), .B(n15196), .CIN(n15195), .COUT( n15241), .SUM(n15198) ); sky130_fd_sc_hd__clkinv_1 U13384 ( .A(n15902), .Y(n14640) ); sky130_fd_sc_hd__clkinv_1 U13385 ( .A(n16133), .Y(n16126) ); sky130_fd_sc_hd__clkinv_1 U13386 ( .A(n19362), .Y(n16188) ); sky130_fd_sc_hd__clkinv_1 U13387 ( .A(n23932), .Y(n11135) ); sky130_fd_sc_hd__clkinv_1 U13388 ( .A(\lsu/lsu_pkt_m[word] ), .Y(n20672) ); sky130_fd_sc_hd__clkinv_1 U13389 ( .A(n17260), .Y(n17315) ); sky130_fd_sc_hd__clkinv_1 U13390 ( .A(n24002), .Y(n16005) ); sky130_fd_sc_hd__a2bb2o_1 U13391 ( .A1_N(n17074), .A2_N(n17235), .B1(n17315), .B2(\dec/decode/i0_result_r [7]), .X(n17075) ); sky130_fd_sc_hd__clkbuf_1 U13392 ( .A(\pic_ctrl_inst/config_reg ), .X(n10887) ); sky130_fd_sc_hd__clkinv_1 U13393 ( .A(\lsu/addr_in_pic_m ), .Y(n20671) ); sky130_fd_sc_hd__clkinv_1 U13394 ( .A(n22030), .Y(n21380) ); sky130_fd_sc_hd__clkinv_1 U13395 ( .A(n16058), .Y(n16134) ); sky130_fd_sc_hd__clkinv_1 U13396 ( .A(n22020), .Y(n22035) ); sky130_fd_sc_hd__clkinv_1 U13397 ( .A(n21669), .Y(n21586) ); sky130_fd_sc_hd__inv_2 U13398 ( .A(n21532), .Y(n21593) ); sky130_fd_sc_hd__buf_2 U13399 ( .A(n21809), .X(n22455) ); sky130_fd_sc_hd__inv_2 U13400 ( .A(\dec/decode/write_csr_data [27]), .Y( n24602) ); sky130_fd_sc_hd__clkinv_1 U13401 ( .A(n21690), .Y(n21589) ); sky130_fd_sc_hd__clkinv_1 U13402 ( .A(dec_i0_pc_d[22]), .Y(n24221) ); sky130_fd_sc_hd__clkinv_1 U13403 ( .A(n18473), .Y(n18461) ); sky130_fd_sc_hd__inv_2 U13404 ( .A(n10887), .Y(n10878) ); sky130_fd_sc_hd__clkinv_1 U13405 ( .A(\lsu/lsu_addr_m [1]), .Y(n25056) ); sky130_fd_sc_hd__clkinv_1 U13406 ( .A(dma_mem_addr[2]), .Y(n22540) ); sky130_fd_sc_hd__clkinv_1 U13407 ( .A(n21676), .Y(n21583) ); sky130_fd_sc_hd__inv_2 U13408 ( .A(\lsu/stbuf/WrPtr [0]), .Y(n22655) ); sky130_fd_sc_hd__clkinv_1 U13409 ( .A(\lsu/lsu_addr_r [7]), .Y(n22565) ); sky130_fd_sc_hd__clkinv_1 U13410 ( .A(n21598), .Y(n21596) ); sky130_fd_sc_hd__clkinv_1 U13411 ( .A(n23768), .Y(n25052) ); sky130_fd_sc_hd__clkinv_1 U13412 ( .A(dec_extint_stall), .Y(n21742) ); sky130_fd_sc_hd__inv_2 U13413 ( .A(\ifu/ifu_bp_btb_target_f [30]), .Y(n17548) ); sky130_fd_sc_hd__inv_2 U13414 ( .A(\ifu/ifu_bp_btb_target_f [29]), .Y(n17537) ); sky130_fd_sc_hd__clkinv_1 U13415 ( .A(\dec/dec_i0_rs1_d [4]), .Y(n23938) ); sky130_fd_sc_hd__clkinv_1 U13416 ( .A(n24562), .Y(n10879) ); sky130_fd_sc_hd__clkinv_1 U13417 ( .A(n24052), .Y(n24025) ); sky130_fd_sc_hd__clkinv_1 U13418 ( .A(\exu/i0_predict_p_d[toffset][9] ), .Y( n24021) ); sky130_fd_sc_hd__clkinv_1 U13419 ( .A(\dec/dec_i0_rs1_d [0]), .Y(n23933) ); sky130_fd_sc_hd__clkinv_1 U13420 ( .A(n24110), .Y(n23928) ); sky130_fd_sc_hd__clkinv_1 U13421 ( .A(\dec/dec_i0_rs2_d [3]), .Y(n23941) ); sky130_fd_sc_hd__clkinv_1 U13422 ( .A(\exu/i0_predict_p_d[toffset][6] ), .Y( n24019) ); sky130_fd_sc_hd__clkinv_1 U13423 ( .A(\exu/i0_predict_p_d[pc4] ), .Y(n24119) ); sky130_fd_sc_hd__clkinv_1 U13424 ( .A(\dec/decode/d_t[legal] ), .Y(n24055) ); sky130_fd_sc_hd__clkinv_1 U13425 ( .A(sb_axi_awsize[0]), .Y(n24510) ); sky130_fd_sc_hd__inv_2 U13426 ( .A(n21700), .Y(n21702) ); sky130_fd_sc_hd__clkinv_1 U13427 ( .A(dec_i0_decode_d), .Y(n21795) ); sky130_fd_sc_hd__nor2b_1 U13428 ( .B_N(\dec/decode/i0_inst_d [0]), .A(n11070), .Y(\exu/i0_predict_p_d[pc4] ) ); sky130_fd_sc_hd__clkinv_1 U13429 ( .A(n22551), .Y(n25079) ); sky130_fd_sc_hd__clkinv_1 U13430 ( .A(n21325), .Y( \lsu/lsu_lsc_ctl/addr_external_d ) ); sky130_fd_sc_hd__clkinv_1 U13431 ( .A(n23940), .Y(\dec/dec_i0_rs2_d [1]) ); sky130_fd_sc_hd__clkinv_1 U13432 ( .A(n21805), .Y(exu_flush_final) ); sky130_fd_sc_hd__xor2_1 U13433 ( .A(n11786), .B(n11970), .X(n10868) ); sky130_fd_sc_hd__xor2_1 U13434 ( .A(n11846), .B(n11845), .X(n10869) ); sky130_fd_sc_hd__xor2_1 U13435 ( .A(n11805), .B(n11804), .X(n10870) ); sky130_fd_sc_hd__xor2_1 U13436 ( .A(n11870), .B(n11869), .X(n10871) ); sky130_fd_sc_hd__clkinv_1 U13437 ( .A(n18490), .Y(n21801) ); sky130_fd_sc_hd__o21a_2 U13438 ( .A1(n17821), .A2(n15885), .B1( \lsu/addr_in_dccm_d ), .X(\lsu/dccm_ctl/lsu_dccm_rden_d ) ); sky130_fd_sc_hd__nor2_2 U13439 ( .A(n21324), .B(n17845), .Y( \lsu/addr_in_dccm_d ) ); sky130_fd_sc_hd__or2_0 U13440 ( .A(dec_tlu_lsu_clk_override), .B(n17831), .X(\lsu/clkdomain/lsu_c1_d_clken ) ); sky130_fd_sc_hd__or2_1 U13441 ( .A(\exu/i0_predict_p_d[valid] ), .B(n25080), .X(n21727) ); sky130_fd_sc_hd__a2bb2oi_1 U13442 ( .B1(n23892), .B2(n23842), .A1_N(n23841), .A2_N(n23899), .Y(n23843) ); sky130_fd_sc_hd__or2_0 U13443 ( .A(n17804), .B(n16144), .X(n15461) ); sky130_fd_sc_hd__clkinv_1 U13444 ( .A(n21217), .Y(n14672) ); sky130_fd_sc_hd__fah_1 U13445 ( .A(n14433), .B(n14432), .CI(n13737), .COUT( n14384), .SUM(n14434) ); sky130_fd_sc_hd__inv_6 U13446 ( .A(n24520), .Y(n25080) ); sky130_fd_sc_hd__fa_1 U13447 ( .A(n15288), .B(n15287), .CIN(n15286), .COUT( n14370), .SUM(n15293) ); sky130_fd_sc_hd__fa_1 U13448 ( .A(n15321), .B(n15320), .CIN(n15319), .COUT( n15286), .SUM(n15326) ); sky130_fd_sc_hd__fa_1 U13449 ( .A(n15260), .B(n15259), .CIN(n15258), .COUT( n15319), .SUM(n15264) ); sky130_fd_sc_hd__fa_1 U13450 ( .A(n15239), .B(n15238), .CIN(n15237), .COUT( n15258), .SUM(n15244) ); sky130_fd_sc_hd__or2_0 U13451 ( .A(n17444), .B(n17458), .X(n16190) ); sky130_fd_sc_hd__clkinv_1 U13452 ( .A(n13711), .Y(n13712) ); sky130_fd_sc_hd__or2_0 U13453 ( .A(n13734), .B(n13735), .X(n10953) ); sky130_fd_sc_hd__or2_0 U13454 ( .A(n13721), .B(n13722), .X(n10961) ); sky130_fd_sc_hd__or2_0 U13455 ( .A(n13744), .B(n13745), .X(n10957) ); sky130_fd_sc_hd__or2_0 U13456 ( .A(n12608), .B(n12609), .X(n10955) ); sky130_fd_sc_hd__or2_0 U13457 ( .A(n12597), .B(n12598), .X(n10954) ); sky130_fd_sc_hd__or2_0 U13458 ( .A(n12569), .B(n12570), .X(n12568) ); sky130_fd_sc_hd__clkinv_1 U13459 ( .A(n14631), .Y(n12576) ); sky130_fd_sc_hd__or2_0 U13460 ( .A(n12586), .B(n12587), .X(n12585) ); sky130_fd_sc_hd__or2_0 U13461 ( .A(n12574), .B(n12575), .X(n12516) ); sky130_fd_sc_hd__or2_0 U13462 ( .A(lsu_nonblock_load_data_valid), .B( lsu_nonblock_load_data_error), .X(n14083) ); sky130_fd_sc_hd__or2_0 U13463 ( .A(n13354), .B(n13353), .X(n13349) ); sky130_fd_sc_hd__or2_0 U13464 ( .A(n12550), .B(n12551), .X(n12532) ); sky130_fd_sc_hd__or2_0 U13465 ( .A(n12541), .B(n12542), .X(n12536) ); sky130_fd_sc_hd__ha_1 U13466 ( .A(n12547), .B(n12546), .COUT(n12554), .SUM( n12549) ); sky130_fd_sc_hd__a2bb2o_1 U13467 ( .A1_N(n17235), .A2_N(n17218), .B1(n17315), .B2(\dec/decode/i0_result_r [4]), .X(n17219) ); sky130_fd_sc_hd__a2bb2o_1 U13468 ( .A1_N(n17235), .A2_N(n17216), .B1(n17315), .B2(\dec/decode/i0_result_r [2]), .X(n17217) ); sky130_fd_sc_hd__buf_2 U13469 ( .A(n25093), .X(n10873) ); sky130_fd_sc_hd__or2_0 U13470 ( .A(n13777), .B(n13776), .X(n24887) ); sky130_fd_sc_hd__inv_6 U13471 ( .A(n17263), .Y(n25108) ); sky130_fd_sc_hd__inv_6 U13472 ( .A(n17263), .Y(core_rst_l) ); sky130_fd_sc_hd__inv_6 U13473 ( .A(n17263), .Y(n10880) ); sky130_fd_sc_hd__clkinv_1 U13474 ( .A(n24267), .Y(n10988) ); sky130_fd_sc_hd__buf_2 U13475 ( .A(n10882), .X(n10874) ); sky130_fd_sc_hd__buf_6 U13476 ( .A(n25114), .X(n25106) ); sky130_fd_sc_hd__buf_8 U13477 ( .A(n25116), .X(n25082) ); sky130_fd_sc_hd__inv_1 U13478 ( .A(n21661), .Y(n21580) ); sky130_fd_sc_hd__nor2b_1 U13479 ( .B_N(\exu/predpipe_x [0]), .A(n19337), .Y( exu_mp_btag[0]) ); sky130_fd_sc_hd__nor2b_1 U13480 ( .B_N(\exu/predpipe_x [4]), .A(n19337), .Y( exu_mp_btag[4]) ); sky130_fd_sc_hd__buf_2 U13481 ( .A(n25114), .X(n10876) ); sky130_fd_sc_hd__clkinv_1 U13482 ( .A(\dec/decode/write_csr_data [19]), .Y( n24658) ); sky130_fd_sc_hd__inv_1 U13483 ( .A(\dec/decode/write_csr_data [21]), .Y( n24644) ); sky130_fd_sc_hd__inv_1 U13484 ( .A(\dec/decode/write_csr_data [23]), .Y( n24630) ); sky130_fd_sc_hd__inv_1 U13485 ( .A(\dec/decode/write_csr_data [25]), .Y( n24616) ); sky130_fd_sc_hd__or2_0 U13486 ( .A(\exu/i_mul/rs2_x [32]), .B( \exu/i_mul/rs2_x [31]), .X(n10927) ); sky130_fd_sc_hd__inv_2 U13487 ( .A(\ifu/ifc/state [1]), .Y(n18387) ); sky130_fd_sc_hd__and2_4 U13488 ( .A(\exu/i0_predict_p_x[pc4] ), .B( \exu/i0_flush_upper_x ), .X(\exu_mp_pkt[pc4] ) ); sky130_fd_sc_hd__or2_0 U13489 ( .A(\exu/i_mul/rs2_x [2]), .B( \exu/i_mul/rs2_x [3]), .X(n10922) ); sky130_fd_sc_hd__or2_0 U13490 ( .A(\dec/decode/r_d[i0load] ), .B( \dec/decode/r_d[i0store] ), .X(n24010) ); sky130_fd_sc_hd__clkinv_1 U13491 ( .A(n10888), .Y(n10884) ); sky130_fd_sc_hd__clkinv_1 U13492 ( .A(n10886), .Y(n10883) ); sky130_fd_sc_hd__nor4_2 U13493 ( .A(n18391), .B(dec_tlu_flush_noredir_r), .C(\ifu/ic_write_stall ), .D(n17853), .Y(\ifu/ifc_fetch_req_bf ) ); sky130_fd_sc_hd__o21ai_1 U13494 ( .A1(n18393), .A2(n18370), .B1(n18389), .Y( n17853) ); sky130_fd_sc_hd__nor2_1 U13495 ( .A(\ifu/ifc/dma_iccm_stall_any_f ), .B( \ifu/ic_dma_active ), .Y(n18389) ); sky130_fd_sc_hd__clkinv_1 U13496 ( .A(\ifu/ifc_fetch_addr_bf [30]), .Y( n24898) ); sky130_fd_sc_hd__buf_2 U13497 ( .A(n18501), .X(n18502) ); sky130_fd_sc_hd__clkinv_1 U13498 ( .A(n18414), .Y(n18451) ); sky130_fd_sc_hd__clkinv_1 U13499 ( .A(n18488), .Y(n18657) ); sky130_fd_sc_hd__inv_2 U13500 ( .A(n18434), .Y(n18476) ); sky130_fd_sc_hd__inv_1 U13501 ( .A(n21798), .Y(n18783) ); sky130_fd_sc_hd__inv_1 U13502 ( .A(n21802), .Y(n18497) ); sky130_fd_sc_hd__clkinv_1 U13503 ( .A(\ifu/ifu_fetch_val [0]), .Y(n10895) ); sky130_fd_sc_hd__clkinv_1 U13504 ( .A(\ifu/ifu_fetch_val [0]), .Y(n10894) ); sky130_fd_sc_hd__or4b_1 U13505 ( .A(n21493), .B(n21492), .C(n21491), .D_N( n21490), .X(n21579) ); sky130_fd_sc_hd__nor3_2 U13506 ( .A(n16387), .B(n19345), .C( \exu/i0_flush_upper_x ), .Y(n17299) ); sky130_fd_sc_hd__and2_1 U13507 ( .A(n17847), .B(n17846), .X(n10964) ); sky130_fd_sc_hd__a221oi_1 U13508 ( .A1(n15907), .A2( \lsu/dccm_ctl/lsu_dccm_rden_d ), .B1(n15906), .B2( \lsu/dccm_ctl/lsu_dccm_rden_d ), .C1(n21698), .Y(n20392) ); sky130_fd_sc_hd__clkinv_1 U13509 ( .A(\exu/i0_predict_p_d[ataken] ), .Y( n19339) ); sky130_fd_sc_hd__o21ai_1 U13510 ( .A1(n16197), .A2(n22686), .B1(n16196), .Y( n19370) ); sky130_fd_sc_hd__clkinv_1 U13511 ( .A(n17823), .Y(\lsu/lsu_lsc_ctl/n76 ) ); sky130_fd_sc_hd__clkinv_1 U13512 ( .A(n17813), .Y(\lsu/lsu_lsc_ctl/n78 ) ); sky130_fd_sc_hd__clkinv_1 U13513 ( .A(n15642), .Y(n15647) ); sky130_fd_sc_hd__clkinv_1 U13514 ( .A(\lsu/lsu_lsc_ctl/n107 ), .Y(n15672) ); sky130_fd_sc_hd__clkinv_1 U13515 ( .A(n18486), .Y(n18491) ); sky130_fd_sc_hd__or2_0 U13516 ( .A(\lsu/clkdomain/lsu_c1_m_clken_q ), .B( \lsu/clkdomain/lsu_c1m_cgc/clkhdr/enable ), .X( \lsu/clkdomain/lsu_c2m_cgc/clkhdr/enable ) ); sky130_fd_sc_hd__clkinv_1 U13517 ( .A(n15640), .Y(n15615) ); sky130_fd_sc_hd__clkinv_1 U13518 ( .A(\ifu/ifu_bp_btb_target_f [21]), .Y( n17609) ); sky130_fd_sc_hd__clkinv_1 U13519 ( .A(n21835), .Y(dccm_rd_addr_lo[12]) ); sky130_fd_sc_hd__clkinv_1 U13520 ( .A(n21701), .Y( \lsu/clkdomain/lsu_c1_m_clken ) ); sky130_fd_sc_hd__clkinv_1 U13521 ( .A(n21558), .Y(n20442) ); sky130_fd_sc_hd__clkinv_1 U13522 ( .A(n21559), .Y(n17821) ); sky130_fd_sc_hd__clkinv_1 U13523 ( .A(ifu_pmu_instr_aligned), .Y(n18778) ); sky130_fd_sc_hd__clkinv_1 U13524 ( .A(n21695), .Y(n17831) ); sky130_fd_sc_hd__clkinv_1 U13525 ( .A(n15464), .Y(n15491) ); sky130_fd_sc_hd__fa_1 U13526 ( .A(n19351), .B(n16284), .CIN(n16283), .COUT( n16357), .SUM(n19617) ); sky130_fd_sc_hd__clkinv_1 U13527 ( .A(dccm_rd_addr_hi[3]), .Y(n20475) ); sky130_fd_sc_hd__clkinv_1 U13528 ( .A(dccm_rd_addr_hi[2]), .Y(n20476) ); sky130_fd_sc_hd__clkinv_1 U13529 ( .A(n15682), .Y(n15665) ); sky130_fd_sc_hd__clkinv_1 U13530 ( .A(n17829), .Y(n17839) ); sky130_fd_sc_hd__clkinv_1 U13531 ( .A(n15679), .Y(n15654) ); sky130_fd_sc_hd__clkinv_1 U13532 ( .A(n20404), .Y(n17858) ); sky130_fd_sc_hd__clkinv_1 U13533 ( .A(n15685), .Y(n15681) ); sky130_fd_sc_hd__clkinv_1 U13534 ( .A(n15660), .Y(n15656) ); sky130_fd_sc_hd__clkinv_1 U13535 ( .A(n15657), .Y(n15678) ); sky130_fd_sc_hd__clkinv_1 U13536 ( .A(n15722), .Y(n16389) ); sky130_fd_sc_hd__clkinv_1 U13537 ( .A(n15691), .Y(n15687) ); sky130_fd_sc_hd__ha_1 U13538 ( .A(n17903), .B(n17902), .COUT(n17870), .SUM( n17872) ); sky130_fd_sc_hd__clkinv_1 U13539 ( .A(n17859), .Y(n20399) ); sky130_fd_sc_hd__clkinv_1 U13540 ( .A(n17861), .Y(n17864) ); sky130_fd_sc_hd__clkinv_1 U13541 ( .A(\exu/muldiv_rs2_d [28]), .Y(n19860) ); sky130_fd_sc_hd__ha_1 U13542 ( .A(n17894), .B(n17892), .COUT(n15881), .SUM( dccm_rd_addr_lo[0]) ); sky130_fd_sc_hd__o22a_1 U13543 ( .A1(n23838), .A2(n23899), .B1(n23898), .B2( n23837), .X(n23839) ); sky130_fd_sc_hd__o22a_1 U13544 ( .A1(n23900), .A2(n23899), .B1(n23898), .B2( n23897), .X(n23901) ); sky130_fd_sc_hd__clkinv_1 U13545 ( .A(\ifu/ifu_bp_btb_target_f [9]), .Y( n18433) ); sky130_fd_sc_hd__clkinv_1 U13546 ( .A(\lsu/lsu_lsc_ctl/n112 ), .Y(n17832) ); sky130_fd_sc_hd__a2bb2oi_1 U13547 ( .B1(n23892), .B2(n23830), .A1_N(n23829), .A2_N(n23899), .Y(n23831) ); sky130_fd_sc_hd__clkinv_1 U13548 ( .A(\ifu/ifu_bp_btb_target_f [7]), .Y( n18422) ); sky130_fd_sc_hd__clkinv_1 U13549 ( .A(n19932), .Y(n24561) ); sky130_fd_sc_hd__clkinv_1 U13550 ( .A(n15595), .Y(n15488) ); sky130_fd_sc_hd__clkinv_1 U13551 ( .A(\exu/muldiv_rs2_d [29]), .Y(n19897) ); sky130_fd_sc_hd__clkinv_1 U13552 ( .A(n19358), .Y(n24559) ); sky130_fd_sc_hd__clkinv_1 U13553 ( .A(\exu/muldiv_rs2_d [30]), .Y(n16199) ); sky130_fd_sc_hd__clkinv_1 U13554 ( .A(\exu/muldiv_rs2_d [27]), .Y(n19839) ); sky130_fd_sc_hd__clkinv_1 U13555 ( .A(\exu/muldiv_rs2_d [22]), .Y(n22674) ); sky130_fd_sc_hd__clkinv_1 U13556 ( .A(\exu/muldiv_rs2_d [24]), .Y(n19779) ); sky130_fd_sc_hd__clkinv_1 U13557 ( .A(n19357), .Y(n24560) ); sky130_fd_sc_hd__clkinv_1 U13558 ( .A(\exu/muldiv_rs2_d [21]), .Y(n19736) ); sky130_fd_sc_hd__clkinv_1 U13559 ( .A(\exu/muldiv_rs2_d [16]), .Y(n19647) ); sky130_fd_sc_hd__or2_0 U13560 ( .A(\mul_p[valid] ), .B(scan_mode), .X( \exu/i_mul/i_a_x_ff/genblock.genblock.clkhdr/clkhdr/enable ) ); sky130_fd_sc_hd__clkinv_1 U13561 ( .A(\exu/muldiv_rs2_d [18]), .Y(n19681) ); sky130_fd_sc_hd__clkinv_1 U13562 ( .A(\exu/muldiv_rs2_d [23]), .Y(n19763) ); sky130_fd_sc_hd__clkinv_1 U13563 ( .A(\exu/muldiv_rs2_d [31]), .Y(n19943) ); sky130_fd_sc_hd__clkinv_1 U13564 ( .A(\ifu/ifu_bp_btb_target_f [5]), .Y( n18413) ); sky130_fd_sc_hd__clkinv_1 U13565 ( .A(\exu/muldiv_rs2_d [25]), .Y(n22669) ); sky130_fd_sc_hd__clkinv_1 U13566 ( .A(\exu/muldiv_rs2_d [8]), .Y(n19502) ); sky130_fd_sc_hd__clkinv_1 U13567 ( .A(n17473), .Y(n24543) ); sky130_fd_sc_hd__clkinv_1 U13568 ( .A(\exu/muldiv_rs2_d [12]), .Y(n19569) ); sky130_fd_sc_hd__clkinv_1 U13569 ( .A(\exu/muldiv_rs2_d [9]), .Y(n22677) ); sky130_fd_sc_hd__clkinv_1 U13570 ( .A(\exu/muldiv_rs2_d [11]), .Y(n22670) ); sky130_fd_sc_hd__clkinv_1 U13571 ( .A(\exu/muldiv_rs2_d [26]), .Y(n19837) ); sky130_fd_sc_hd__clkinv_1 U13572 ( .A(n17474), .Y(n24544) ); sky130_fd_sc_hd__clkinv_1 U13573 ( .A(n19359), .Y(n24558) ); sky130_fd_sc_hd__clkinv_1 U13574 ( .A(\exu/muldiv_rs2_d [20]), .Y(n22668) ); sky130_fd_sc_hd__clkinv_1 U13575 ( .A(\exu/muldiv_rs2_d [10]), .Y(n16200) ); sky130_fd_sc_hd__clkinv_1 U13576 ( .A(\exu/muldiv_rs2_d [17]), .Y(n19663) ); sky130_fd_sc_hd__clkinv_1 U13577 ( .A(\exu/muldiv_rs2_d [19]), .Y(n19691) ); sky130_fd_sc_hd__clkinv_1 U13578 ( .A(\exu/muldiv_rs2_d [14]), .Y(n19609) ); sky130_fd_sc_hd__clkinv_1 U13579 ( .A(n19726), .Y(\exu/i0_rs2_d [1]) ); sky130_fd_sc_hd__clkinv_1 U13580 ( .A(n23867), .Y(n23991) ); sky130_fd_sc_hd__clkinv_1 U13581 ( .A(n23884), .Y(n23999) ); sky130_fd_sc_hd__clkinv_1 U13582 ( .A(n19606), .Y(n24548) ); sky130_fd_sc_hd__clkinv_1 U13583 ( .A(n23860), .Y(n23987) ); sky130_fd_sc_hd__clkinv_1 U13584 ( .A(\exu/muldiv_rs1_d [8]), .Y(n20150) ); sky130_fd_sc_hd__clkinv_1 U13585 ( .A(n19440), .Y(n19456) ); sky130_fd_sc_hd__clkinv_1 U13586 ( .A(\exu/muldiv_rs1_d [12]), .Y(n20164) ); sky130_fd_sc_hd__clkinv_1 U13587 ( .A(\exu/muldiv_rs1_d [13]), .Y(n20168) ); sky130_fd_sc_hd__clkinv_1 U13588 ( .A(n23846), .Y(n23979) ); sky130_fd_sc_hd__clkinv_1 U13589 ( .A(\exu/muldiv_rs2_d [7]), .Y(n22667) ); sky130_fd_sc_hd__clkinv_1 U13590 ( .A(\exu/muldiv_rs1_d [10]), .Y(n20157) ); sky130_fd_sc_hd__clkinv_1 U13591 ( .A(n16017), .Y(n23997) ); sky130_fd_sc_hd__clkinv_1 U13592 ( .A(n19727), .Y(\exu/i0_rs2_d [4]) ); sky130_fd_sc_hd__clkinv_1 U13593 ( .A(n19401), .Y(\exu/i0_rs2_d [2]) ); sky130_fd_sc_hd__clkinv_1 U13594 ( .A(n23814), .Y(n23963) ); sky130_fd_sc_hd__clkinv_1 U13595 ( .A(\exu/muldiv_rs1_d [5]), .Y(n20141) ); sky130_fd_sc_hd__clkinv_1 U13596 ( .A(n23818), .Y(n23965) ); sky130_fd_sc_hd__clkinv_1 U13597 ( .A(n23853), .Y(n23983) ); sky130_fd_sc_hd__clkinv_1 U13598 ( .A(\exu/muldiv_rs1_d [2]), .Y(n20136) ); sky130_fd_sc_hd__clkinv_1 U13599 ( .A(n19365), .Y(n25109) ); sky130_fd_sc_hd__clkinv_1 U13600 ( .A(\exu/muldiv_rs2_d [5]), .Y(n19462) ); sky130_fd_sc_hd__clkinv_1 U13601 ( .A(n15589), .Y(n15515) ); sky130_fd_sc_hd__clkinv_1 U13602 ( .A(n16186), .Y(n16187) ); sky130_fd_sc_hd__clkinv_1 U13603 ( .A(n23945), .Y(n23781) ); sky130_fd_sc_hd__clkinv_1 U13604 ( .A(n15556), .Y(n15558) ); sky130_fd_sc_hd__clkinv_1 U13605 ( .A(n23803), .Y(n23957) ); sky130_fd_sc_hd__clkinv_1 U13606 ( .A(\exu/muldiv_rs1_d [6]), .Y(n20144) ); sky130_fd_sc_hd__clkinv_1 U13607 ( .A(n16272), .Y(n19434) ); sky130_fd_sc_hd__clkinv_1 U13608 ( .A(n23785), .Y(n23947) ); sky130_fd_sc_hd__clkinv_1 U13609 ( .A(n14484), .Y(n14919) ); sky130_fd_sc_hd__clkinv_1 U13610 ( .A(\exu/muldiv_rs2_d [6]), .Y(n19473) ); sky130_fd_sc_hd__clkinv_1 U13611 ( .A(\exu/muldiv_rs1_d [0]), .Y(n20134) ); sky130_fd_sc_hd__clkinv_1 U13612 ( .A(n23994), .Y(n16024) ); sky130_fd_sc_hd__clkinv_1 U13613 ( .A(\exu/muldiv_rs1_d [3]), .Y(n20138) ); sky130_fd_sc_hd__a21o_1 U13614 ( .A1(\lsu/lsu_addr_m [1]), .A2(n15213), .B1( n15092), .X(\lsu/bus_read_data_m [1]) ); sky130_fd_sc_hd__clkinv_1 U13615 ( .A(n23992), .Y(n16028) ); sky130_fd_sc_hd__clkinv_1 U13616 ( .A(lsu_nonblock_load_valid_m), .Y(n22698) ); sky130_fd_sc_hd__clkinv_1 U13617 ( .A(n23990), .Y(n16032) ); sky130_fd_sc_hd__a21o_1 U13618 ( .A1(n25056), .A2(n15313), .B1(n15312), .X( \lsu/bus_read_data_m [21]) ); sky130_fd_sc_hd__a21o_1 U13619 ( .A1(n15285), .A2(n25056), .B1(n15284), .X( \lsu/bus_read_data_m [22]) ); sky130_fd_sc_hd__o22a_1 U13620 ( .A1(n15500), .A2(n23512), .B1(n15499), .B2( n15542), .X(n15501) ); sky130_fd_sc_hd__o22a_1 U13621 ( .A1(n15328), .A2(n17251), .B1(n15418), .B2( n23986), .X(n15330) ); sky130_fd_sc_hd__o22a_1 U13622 ( .A1(n11579), .A2(n15542), .B1(n15310), .B2( n23512), .X(n11580) ); sky130_fd_sc_hd__clkinv_1 U13623 ( .A(n15344), .Y(n13750) ); sky130_fd_sc_hd__a21o_1 U13624 ( .A1(n15241), .A2(n10957), .B1(n13746), .X( n13747) ); sky130_fd_sc_hd__clkinv_1 U13625 ( .A(n14171), .Y(n15280) ); sky130_fd_sc_hd__fah_1 U13626 ( .A(n15222), .B(n15221), .CI(n15220), .COUT( n15195), .SUM(n15223) ); sky130_fd_sc_hd__clkinv_1 U13627 ( .A(n21226), .Y(n21227) ); sky130_fd_sc_hd__clkinv_1 U13628 ( .A(n14668), .Y(n11639) ); sky130_fd_sc_hd__clkinv_1 U13629 ( .A(n23972), .Y(n16077) ); sky130_fd_sc_hd__clkinv_1 U13630 ( .A(n15185), .Y(n15281) ); sky130_fd_sc_hd__clkinv_1 U13631 ( .A(n18468), .Y(n18456) ); sky130_fd_sc_hd__fah_1 U13632 ( .A(n15168), .B(n15167), .CI(n15166), .COUT( n15220), .SUM(n15169) ); sky130_fd_sc_hd__clkinv_1 U13633 ( .A(n14565), .Y(n14679) ); sky130_fd_sc_hd__clkinv_1 U13634 ( .A(n11577), .Y(n15535) ); sky130_fd_sc_hd__clkinv_1 U13635 ( .A(n11631), .Y(n14558) ); sky130_fd_sc_hd__fah_1 U13636 ( .A(n14386), .B(n14385), .CI(n14384), .COUT( n15166), .SUM(n14387) ); sky130_fd_sc_hd__clkinv_1 U13637 ( .A(n18467), .Y(n17555) ); sky130_fd_sc_hd__clkinv_1 U13638 ( .A(n18471), .Y(n17621) ); sky130_fd_sc_hd__clkinv_1 U13639 ( .A(n11630), .Y(n11568) ); sky130_fd_sc_hd__a21o_1 U13640 ( .A1(n14497), .A2(n10953), .B1(n13736), .X( n13737) ); sky130_fd_sc_hd__clkinv_1 U13641 ( .A(\intadd_2/n1 ), .Y(n17300) ); sky130_fd_sc_hd__clkinv_1 U13642 ( .A(n23958), .Y(n16111) ); sky130_fd_sc_hd__clkinv_1 U13643 ( .A(n23956), .Y(n16115) ); sky130_fd_sc_hd__inv_2 U13644 ( .A(dec_tlu_flush_lower_r), .Y(n24520) ); sky130_fd_sc_hd__clkinv_1 U13645 ( .A(n23954), .Y(n16119) ); sky130_fd_sc_hd__clkinv_1 U13646 ( .A(n14688), .Y(n13727) ); sky130_fd_sc_hd__clkinv_1 U13647 ( .A(n23950), .Y(n16128) ); sky130_fd_sc_hd__clkinv_1 U13648 ( .A(n23948), .Y(n16132) ); sky130_fd_sc_hd__clkinv_1 U13649 ( .A(n23946), .Y(n15989) ); sky130_fd_sc_hd__clkinv_1 U13650 ( .A(n16047), .Y(n16042) ); sky130_fd_sc_hd__clkinv_1 U13651 ( .A(n16143), .Y(n16145) ); sky130_fd_sc_hd__clkinv_1 U13652 ( .A(n19316), .Y(n21761) ); sky130_fd_sc_hd__a21o_1 U13653 ( .A1(n15052), .A2(n10961), .B1(n13723), .X( n13724) ); sky130_fd_sc_hd__clkinv_1 U13654 ( .A(n23775), .Y(n16139) ); sky130_fd_sc_hd__clkinv_1 U13655 ( .A(n19340), .Y(n18369) ); sky130_fd_sc_hd__clkinv_1 U13656 ( .A(n16101), .Y(n16123) ); sky130_fd_sc_hd__clkinv_1 U13657 ( .A(n16189), .Y(n14060) ); sky130_fd_sc_hd__clkinv_1 U13658 ( .A(n24036), .Y(n24037) ); sky130_fd_sc_hd__clkinv_1 U13659 ( .A(n15276), .Y(n15328) ); sky130_fd_sc_hd__clkinv_1 U13660 ( .A(n24919), .Y(\dec/dec_csr_any_unq_d ) ); sky130_fd_sc_hd__clkinv_1 U13661 ( .A(n15652), .Y(n17461) ); sky130_fd_sc_hd__clkinv_1 U13662 ( .A(n16051), .Y(n16216) ); sky130_fd_sc_hd__clkinv_1 U13663 ( .A(n15756), .Y(n15753) ); sky130_fd_sc_hd__clkinv_1 U13664 ( .A(n16214), .Y(n17445) ); sky130_fd_sc_hd__clkinv_1 U13665 ( .A(n24023), .Y(n24013) ); sky130_fd_sc_hd__clkinv_1 U13666 ( .A(n19577), .Y(n24049) ); sky130_fd_sc_hd__a21o_1 U13667 ( .A1(n13713), .A2(n10962), .B1(n13712), .X( n13714) ); sky130_fd_sc_hd__clkinv_1 U13668 ( .A(n15339), .Y(n12626) ); sky130_fd_sc_hd__clkinv_1 U13669 ( .A(\exu/i0_predict_p_d[toffset][10] ), .Y(n24022) ); sky130_fd_sc_hd__clkinv_1 U13670 ( .A(\exu/i0_predict_p_d[toffset][1] ), .Y( n24014) ); sky130_fd_sc_hd__clkinv_1 U13671 ( .A(\exu/i0_predict_p_d[toffset][2] ), .Y( n24015) ); sky130_fd_sc_hd__clkinv_1 U13672 ( .A(\exu/i0_predict_p_d[toffset][3] ), .Y( n24016) ); sky130_fd_sc_hd__clkinv_1 U13673 ( .A(n19966), .Y(n11357) ); sky130_fd_sc_hd__clkinv_1 U13674 ( .A(n24939), .Y(n25051) ); sky130_fd_sc_hd__clkinv_1 U13675 ( .A(n24973), .Y(n25013) ); sky130_fd_sc_hd__clkinv_1 U13676 ( .A(n16219), .Y(n15988) ); sky130_fd_sc_hd__clkinv_1 U13677 ( .A(n24976), .Y(n25015) ); sky130_fd_sc_hd__clkinv_1 U13678 ( .A(n24989), .Y(n24968) ); sky130_fd_sc_hd__clkinv_1 U13679 ( .A(n24962), .Y(n24983) ); sky130_fd_sc_hd__clkinv_1 U13680 ( .A(n13978), .Y(n18345) ); sky130_fd_sc_hd__clkinv_1 U13681 ( .A(n24965), .Y(n24985) ); sky130_fd_sc_hd__clkinv_1 U13682 ( .A(n14134), .Y(n14136) ); sky130_fd_sc_hd__clkinv_1 U13683 ( .A(n13973), .Y(n14906) ); sky130_fd_sc_hd__clkinv_1 U13684 ( .A(n16001), .Y(n13754) ); sky130_fd_sc_hd__clkinv_1 U13685 ( .A(n14028), .Y(n15136) ); sky130_fd_sc_hd__clkinv_1 U13686 ( .A(n24026), .Y(n24053) ); sky130_fd_sc_hd__clkinv_1 U13687 ( .A(n14138), .Y(n14143) ); sky130_fd_sc_hd__clkinv_1 U13688 ( .A(n15780), .Y(n24033) ); sky130_fd_sc_hd__clkinv_1 U13689 ( .A(\dec/dec_i0_instr_d [9]), .Y(n23920) ); sky130_fd_sc_hd__clkinv_1 U13690 ( .A(dma_dccm_stall_any), .Y(n15717) ); sky130_fd_sc_hd__clkinv_1 U13691 ( .A(n21492), .Y(n21523) ); sky130_fd_sc_hd__clkinv_1 U13692 ( .A(n15993), .Y(n16003) ); sky130_fd_sc_hd__clkinv_1 U13693 ( .A(n23930), .Y(n17458) ); sky130_fd_sc_hd__clkinv_1 U13694 ( .A(n15763), .Y(n17463) ); sky130_fd_sc_hd__clkinv_1 U13695 ( .A(n24041), .Y(n24109) ); sky130_fd_sc_hd__clkinv_1 U13696 ( .A(\dec/dec_i0_instr_d [10]), .Y(n23922) ); sky130_fd_sc_hd__clkinv_1 U13697 ( .A(n19313), .Y(n23926) ); sky130_fd_sc_hd__clkinv_1 U13698 ( .A(\dec/dec_i0_instr_d [8]), .Y(n23918) ); sky130_fd_sc_hd__clkinv_1 U13699 ( .A(\dec/dec_i0_instr_d [11]), .Y(n23924) ); sky130_fd_sc_hd__clkinv_1 U13700 ( .A(\dec/dec_i0_rs1_d [3]), .Y(n23937) ); sky130_fd_sc_hd__clkinv_1 U13701 ( .A(n11121), .Y(n23942) ); sky130_fd_sc_hd__clkinv_1 U13702 ( .A(\dec/dec_i0_instr_d [7]), .Y(n23916) ); sky130_fd_sc_hd__clkinv_1 U13703 ( .A(n11289), .Y(n24054) ); sky130_fd_sc_hd__clkinv_1 U13704 ( .A(\exu/i0_predict_p_d[toffset][7] ), .Y( n24043) ); sky130_fd_sc_hd__clkinv_1 U13705 ( .A(n23939), .Y(n25081) ); sky130_fd_sc_hd__clkinv_1 U13706 ( .A(\exu/i0_predict_p_d[toffset][5] ), .Y( n24018) ); sky130_fd_sc_hd__clkinv_1 U13707 ( .A(\dec/dec_csr_rdaddr_d[11] ), .Y(n24024) ); sky130_fd_sc_hd__clkinv_1 U13708 ( .A(\exu/i0_predict_p_d[toffset][8] ), .Y( n24020) ); sky130_fd_sc_hd__clkinv_1 U13709 ( .A(n17450), .Y(n19304) ); sky130_fd_sc_hd__clkinv_1 U13710 ( .A(n16151), .Y(n16148) ); sky130_fd_sc_hd__clkinv_1 U13711 ( .A(n23914), .Y(n17442) ); sky130_fd_sc_hd__clkinv_1 U13712 ( .A(n23906), .Y(n24046) ); sky130_fd_sc_hd__clkinv_1 U13713 ( .A(n23908), .Y(n19303) ); sky130_fd_sc_hd__clkinv_1 U13714 ( .A(n14685), .Y(n14687) ); sky130_fd_sc_hd__clkinv_1 U13715 ( .A(n15051), .Y(n13723) ); sky130_fd_sc_hd__clkinv_1 U13716 ( .A(n11299), .Y(n11317) ); sky130_fd_sc_hd__clkinv_1 U13717 ( .A(n14496), .Y(n13736) ); sky130_fd_sc_hd__clkinv_1 U13718 ( .A(n11245), .Y(n11250) ); sky130_fd_sc_hd__clkinv_1 U13719 ( .A(n15336), .Y(n15338) ); sky130_fd_sc_hd__clkinv_1 U13720 ( .A(\dec/dec_nonblock_load_waddr [1]), .Y( n15743) ); sky130_fd_sc_hd__clkinv_1 U13721 ( .A(\dec/dec_nonblock_load_waddr [2]), .Y( n15744) ); sky130_fd_sc_hd__clkinv_1 U13722 ( .A(\dec/dec_nonblock_load_waddr [3]), .Y( n15742) ); sky130_fd_sc_hd__clkinv_1 U13723 ( .A(\dec/dec_nonblock_load_waddr [0]), .Y( n15745) ); sky130_fd_sc_hd__clkinv_1 U13724 ( .A(\dec/dec_nonblock_load_waddr [4]), .Y( n15750) ); sky130_fd_sc_hd__or2_0 U13725 ( .A(n12070), .B(n12071), .X(n10962) ); sky130_fd_sc_hd__clkinv_1 U13726 ( .A(n15240), .Y(n13746) ); sky130_fd_sc_hd__clkinv_1 U13727 ( .A(n16360), .Y(n16363) ); sky130_fd_sc_hd__clkinv_1 U13728 ( .A(n16241), .Y(n16244) ); sky130_fd_sc_hd__clkinv_1 U13729 ( .A(n11400), .Y(n15800) ); sky130_fd_sc_hd__clkinv_1 U13730 ( .A(n16302), .Y(n16305) ); sky130_fd_sc_hd__clkinv_1 U13731 ( .A(n16237), .Y(n16240) ); sky130_fd_sc_hd__clkinv_1 U13732 ( .A(n16364), .Y(n16367) ); sky130_fd_sc_hd__clkinv_1 U13733 ( .A(n14381), .Y(n12610) ); sky130_fd_sc_hd__clkinv_1 U13734 ( .A(n16298), .Y(n16301) ); sky130_fd_sc_hd__clkinv_1 U13735 ( .A(n16318), .Y(n16321) ); sky130_fd_sc_hd__clkinv_1 U13736 ( .A(n16257), .Y(n16260) ); sky130_fd_sc_hd__clkinv_1 U13737 ( .A(n16282), .Y(n16285) ); sky130_fd_sc_hd__clkinv_1 U13738 ( .A(n16290), .Y(n16293) ); sky130_fd_sc_hd__clkinv_1 U13739 ( .A(n16225), .Y(n16228) ); sky130_fd_sc_hd__clkinv_1 U13740 ( .A(n16261), .Y(n16264) ); sky130_fd_sc_hd__clkinv_1 U13741 ( .A(n16221), .Y(n16224) ); sky130_fd_sc_hd__clkinv_1 U13742 ( .A(n15217), .Y(n12621) ); sky130_fd_sc_hd__clkinv_1 U13743 ( .A(n16265), .Y(n16268) ); sky130_fd_sc_hd__clkinv_1 U13744 ( .A(n16229), .Y(n16232) ); sky130_fd_sc_hd__clkinv_1 U13745 ( .A(n15341), .Y(n15343) ); sky130_fd_sc_hd__clkinv_1 U13746 ( .A(n16356), .Y(n16359) ); sky130_fd_sc_hd__clkinv_1 U13747 ( .A(n16233), .Y(n16236) ); sky130_fd_sc_hd__clkinv_1 U13748 ( .A(n16278), .Y(n16281) ); sky130_fd_sc_hd__clkinv_1 U13749 ( .A(n16286), .Y(n16289) ); sky130_fd_sc_hd__clkinv_1 U13750 ( .A(n16306), .Y(n16309) ); sky130_fd_sc_hd__clkinv_1 U13751 ( .A(n15190), .Y(n15192) ); sky130_fd_sc_hd__clkinv_1 U13752 ( .A(n16310), .Y(n16313) ); sky130_fd_sc_hd__clkinv_1 U13753 ( .A(n16346), .Y(n16349) ); sky130_fd_sc_hd__clkinv_1 U13754 ( .A(n16245), .Y(n16248) ); sky130_fd_sc_hd__clkinv_1 U13755 ( .A(n16269), .Y(n16273) ); sky130_fd_sc_hd__clkinv_1 U13756 ( .A(n15161), .Y(n15163) ); sky130_fd_sc_hd__clkinv_1 U13757 ( .A(n16350), .Y(n16351) ); sky130_fd_sc_hd__clkinv_1 U13758 ( .A(n16330), .Y(n16333) ); sky130_fd_sc_hd__clkinv_1 U13759 ( .A(n16368), .Y(n16371) ); sky130_fd_sc_hd__clkinv_1 U13760 ( .A(n16338), .Y(n16341) ); sky130_fd_sc_hd__clkinv_1 U13761 ( .A(n16342), .Y(n16345) ); sky130_fd_sc_hd__clkinv_1 U13762 ( .A(n16326), .Y(n16329) ); sky130_fd_sc_hd__clkinv_1 U13763 ( .A(n16322), .Y(n16325) ); sky130_fd_sc_hd__clkinv_1 U13764 ( .A(n11154), .Y(n11141) ); sky130_fd_sc_hd__clkinv_1 U13765 ( .A(n19318), .Y(n19334) ); sky130_fd_sc_hd__or2_0 U13766 ( .A(n12619), .B(n12620), .X(n10956) ); sky130_fd_sc_hd__clkinv_1 U13767 ( .A(n11265), .Y(n11297) ); sky130_fd_sc_hd__clkinv_1 U13768 ( .A(n14493), .Y(n12599) ); sky130_fd_sc_hd__clkinv_1 U13769 ( .A(n11278), .Y(n11320) ); sky130_fd_sc_hd__clkinv_1 U13770 ( .A(n14427), .Y(n14429) ); sky130_fd_sc_hd__clkinv_1 U13771 ( .A(n14926), .Y(n12571) ); sky130_fd_sc_hd__clkinv_1 U13772 ( .A(n15559), .Y(n15524) ); sky130_fd_sc_hd__clkinv_1 U13773 ( .A(n14567), .Y(n14569) ); sky130_fd_sc_hd__clkinv_1 U13774 ( .A(n14453), .Y(n14455) ); sky130_fd_sc_hd__clkinv_1 U13775 ( .A(n14526), .Y(n12588) ); sky130_fd_sc_hd__clkinv_1 U13776 ( .A(n11128), .Y(n11123) ); sky130_fd_sc_hd__clkinv_1 U13777 ( .A(n14680), .Y(n14682) ); sky130_fd_sc_hd__clkinv_1 U13778 ( .A(n11217), .Y(n11315) ); sky130_fd_sc_hd__or2_0 U13779 ( .A(dma_mem_sz[0]), .B(dma_mem_sz[2]), .X( n17803) ); sky130_fd_sc_hd__clkinv_1 U13780 ( .A(n11219), .Y(n11127) ); sky130_fd_sc_hd__clkinv_1 U13781 ( .A(n11226), .Y(n11276) ); sky130_fd_sc_hd__clkinv_1 U13782 ( .A(n14943), .Y(n14945) ); sky130_fd_sc_hd__clkinv_1 U13783 ( .A(n19323), .Y(n11346) ); sky130_fd_sc_hd__clkinv_1 U13784 ( .A(n11111), .Y(n11167) ); sky130_fd_sc_hd__clkinv_1 U13785 ( .A(n11246), .Y(n11234) ); sky130_fd_sc_hd__clkinv_1 U13786 ( .A(n11149), .Y(n11179) ); sky130_fd_sc_hd__clkinv_1 U13787 ( .A(n19319), .Y(n24295) ); sky130_fd_sc_hd__clkinv_1 U13788 ( .A(n11190), .Y(n11160) ); sky130_fd_sc_hd__clkinv_1 U13789 ( .A(n13024), .Y(n13083) ); sky130_fd_sc_hd__clkinv_1 U13790 ( .A(n12927), .Y(n13007) ); sky130_fd_sc_hd__clkinv_1 U13791 ( .A(n11057), .Y(n11145) ); sky130_fd_sc_hd__clkinv_1 U13792 ( .A(n12748), .Y(n12786) ); sky130_fd_sc_hd__clkinv_1 U13793 ( .A(n13878), .Y(n15573) ); sky130_fd_sc_hd__clkinv_1 U13794 ( .A(n12710), .Y(n12735) ); sky130_fd_sc_hd__clkinv_1 U13795 ( .A(n11578), .Y(n14489) ); sky130_fd_sc_hd__clkinv_1 U13796 ( .A(n12892), .Y(n12918) ); sky130_fd_sc_hd__clkinv_1 U13797 ( .A(n15005), .Y(n15007) ); sky130_fd_sc_hd__clkinv_1 U13798 ( .A(n15521), .Y(n12713) ); sky130_fd_sc_hd__clkinv_1 U13799 ( .A(n12801), .Y(n12849) ); sky130_fd_sc_hd__clkinv_1 U13800 ( .A(n11043), .Y(n11048) ); sky130_fd_sc_hd__clkinv_1 U13801 ( .A(n11282), .Y(n11236) ); sky130_fd_sc_hd__clkinv_1 U13802 ( .A(n14975), .Y(n12552) ); sky130_fd_sc_hd__clkinv_1 U13803 ( .A(n24744), .Y(\dec/dec_csr_wrdata_r [7]) ); sky130_fd_sc_hd__clkinv_1 U13804 ( .A(n11089), .Y(n11094) ); sky130_fd_sc_hd__clkinv_1 U13805 ( .A(n23929), .Y(n11151) ); sky130_fd_sc_hd__clkinv_1 U13806 ( .A(n20593), .Y(n14987) ); sky130_fd_sc_hd__clkinv_1 U13807 ( .A(n11136), .Y(n23934) ); sky130_fd_sc_hd__clkinv_1 U13808 ( .A(\dec/decode/i0_inst_d [1]), .Y(n11113) ); sky130_fd_sc_hd__clkinv_1 U13809 ( .A(n20562), .Y(n14512) ); sky130_fd_sc_hd__clkinv_1 U13810 ( .A(n11170), .Y(n11162) ); sky130_fd_sc_hd__clkinv_1 U13811 ( .A(n20533), .Y(n15506) ); sky130_fd_sc_hd__clkinv_1 U13812 ( .A(n11040), .Y(n23927) ); sky130_fd_sc_hd__clkinv_1 U13813 ( .A(lsu_nonblock_load_data_tag[1]), .Y( n10896) ); sky130_fd_sc_hd__clkinv_1 U13814 ( .A(lsu_nonblock_load_data_tag[0]), .Y( n11658) ); sky130_fd_sc_hd__clkinv_1 U13815 ( .A(n20645), .Y(n14648) ); sky130_fd_sc_hd__clkinv_1 U13816 ( .A(n13248), .Y(n13348) ); sky130_fd_sc_hd__clkinv_1 U13817 ( .A(n13105), .Y(n13229) ); sky130_fd_sc_hd__clkinv_1 U13818 ( .A(n11307), .Y(n11264) ); sky130_fd_sc_hd__clkinv_1 U13819 ( .A(n11222), .Y(n23912) ); sky130_fd_sc_hd__clkinv_1 U13820 ( .A(n14403), .Y(n15279) ); sky130_fd_sc_hd__clkinv_1 U13821 ( .A(n14797), .Y(n14799) ); sky130_fd_sc_hd__clkinv_1 U13822 ( .A(\lsu/store_data_r [28]), .Y(n14449) ); sky130_fd_sc_hd__clkinv_1 U13823 ( .A(n14773), .Y(n14775) ); sky130_fd_sc_hd__clkinv_1 U13824 ( .A(n14782), .Y(n14784) ); sky130_fd_sc_hd__clkinv_1 U13825 ( .A(n20561), .Y(n15269) ); sky130_fd_sc_hd__clkinv_1 U13826 ( .A(n14785), .Y(n14787) ); sky130_fd_sc_hd__clkinv_1 U13827 ( .A(n14779), .Y(n14781) ); sky130_fd_sc_hd__clkinv_1 U13828 ( .A(n14788), .Y(n14790) ); sky130_fd_sc_hd__clkinv_1 U13829 ( .A(n14776), .Y(n14778) ); sky130_fd_sc_hd__clkinv_1 U13830 ( .A(n20520), .Y(n24897) ); sky130_fd_sc_hd__clkinv_1 U13831 ( .A(n14791), .Y(n14793) ); sky130_fd_sc_hd__clkinv_1 U13832 ( .A(n14794), .Y(n14796) ); sky130_fd_sc_hd__clkinv_1 U13833 ( .A(n20548), .Y(n15407) ); sky130_fd_sc_hd__clkinv_1 U13834 ( .A(n20532), .Y(n15575) ); sky130_fd_sc_hd__clkinv_1 U13835 ( .A(n20512), .Y(n24852) ); sky130_fd_sc_hd__clkinv_1 U13836 ( .A(n20604), .Y(n15017) ); sky130_fd_sc_hd__clkinv_1 U13837 ( .A(n20556), .Y(n14543) ); sky130_fd_sc_hd__clkinv_1 U13838 ( .A(n20670), .Y(n14504) ); sky130_fd_sc_hd__clkinv_1 U13839 ( .A(n20542), .Y(n14537) ); sky130_fd_sc_hd__clkinv_1 U13840 ( .A(n20624), .Y(n14362) ); sky130_fd_sc_hd__clkinv_1 U13841 ( .A(n20513), .Y(n24841) ); sky130_fd_sc_hd__clkinv_1 U13842 ( .A(n20644), .Y(n15371) ); sky130_fd_sc_hd__clkinv_1 U13843 ( .A(n11130), .Y(n11137) ); sky130_fd_sc_hd__ha_1 U13844 ( .A(n13405), .B(n13404), .COUT(n13401), .SUM( n13480) ); sky130_fd_sc_hd__clkinv_1 U13845 ( .A(n20592), .Y(n15203) ); sky130_fd_sc_hd__clkinv_1 U13846 ( .A(n14000), .Y(n14710) ); sky130_fd_sc_hd__ha_1 U13847 ( .A(n12512), .B(n12511), .COUT(n12515), .SUM( n12523) ); sky130_fd_sc_hd__clkinv_1 U13848 ( .A(n11114), .Y(n23925) ); sky130_fd_sc_hd__clkinv_1 U13849 ( .A(n11059), .Y(n11101) ); sky130_fd_sc_hd__clkinv_1 U13850 ( .A(n23919), .Y(n11252) ); sky130_fd_sc_hd__ha_1 U13851 ( .A(n11895), .B(n11894), .COUT(n11893), .SUM( n11925) ); sky130_fd_sc_hd__ha_1 U13852 ( .A(n12343), .B(n12342), .COUT(n12341), .SUM( n12380) ); sky130_fd_sc_hd__ha_1 U13853 ( .A(n13455), .B(n13454), .COUT(n13453), .SUM( n13534) ); sky130_fd_sc_hd__ha_1 U13854 ( .A(n12407), .B(n12406), .COUT(n12405), .SUM( n12464) ); sky130_fd_sc_hd__clkinv_1 U13855 ( .A(n13963), .Y(n14917) ); sky130_fd_sc_hd__ha_1 U13856 ( .A(n12478), .B(n12477), .COUT(n12487), .SUM( n12482) ); sky130_fd_sc_hd__clkinv_1 U13857 ( .A(n14402), .Y(n15282) ); sky130_fd_sc_hd__ha_1 U13858 ( .A(n13563), .B(n13562), .COUT(n13611), .SUM( n13578) ); sky130_fd_sc_hd__ha_1 U13859 ( .A(n12133), .B(n12132), .COUT(n12131), .SUM( n12192) ); sky130_fd_sc_hd__clkinv_1 U13860 ( .A(n11283), .Y(n23915) ); sky130_fd_sc_hd__clkinv_1 U13861 ( .A(n11574), .Y(n14490) ); sky130_fd_sc_hd__clkinv_1 U13862 ( .A(n11139), .Y(n11007) ); sky130_fd_sc_hd__ha_1 U13863 ( .A(n12554), .B(n12553), .COUT(n12560), .SUM( n12551) ); sky130_fd_sc_hd__clkinv_1 U13864 ( .A(n23923), .Y(n11203) ); sky130_fd_sc_hd__ha_1 U13865 ( .A(n12250), .B(n12249), .COUT(n12239), .SUM( n12286) ); sky130_fd_sc_hd__buf_4 U13866 ( .A(n25106), .X(n25093) ); sky130_fd_sc_hd__clkinv_1 U13867 ( .A(dma_mem_write), .Y(n10897) ); sky130_fd_sc_hd__clkinv_1 U13868 ( .A(n15899), .Y(n15073) ); sky130_fd_sc_hd__clkinv_1 U13869 ( .A(\lsu/store_data_r [27]), .Y(n14167) ); sky130_fd_sc_hd__ha_1 U13870 ( .A(n12470), .B(n12469), .COUT(n12478), .SUM( n12505) ); sky130_fd_sc_hd__clkinv_1 U13871 ( .A(n11210), .Y(n23921) ); sky130_fd_sc_hd__ha_1 U13872 ( .A(n12413), .B(n12412), .COUT(n12407), .SUM( n12445) ); sky130_fd_sc_hd__clkinv_1 U13873 ( .A(n24596), .Y(\dec/dec_csr_wrdata_r [28]) ); sky130_fd_sc_hd__a21o_1 U13874 ( .A1(n11185), .A2(n11152), .B1(n11259), .X( n11153) ); sky130_fd_sc_hd__o22a_1 U13875 ( .A1(n25079), .A2(\lsu/store_data_r [12]), .B1(n22551), .B2(\lsu/store_data_r [4]), .X(n15254) ); sky130_fd_sc_hd__clkinv_1 U13876 ( .A(n11225), .Y(n23917) ); sky130_fd_sc_hd__ha_1 U13877 ( .A(n12520), .B(n12519), .COUT(n12512), .SUM( n12566) ); sky130_fd_sc_hd__clkinv_1 U13878 ( .A(n13997), .Y(n13961) ); sky130_fd_sc_hd__clkinv_1 U13879 ( .A(n11296), .Y(n23909) ); sky130_fd_sc_hd__clkinv_1 U13880 ( .A(n24885), .Y(n24833) ); sky130_fd_sc_hd__ha_1 U13881 ( .A(n12263), .B(n12262), .COUT(n12250), .SUM( n12312) ); sky130_fd_sc_hd__ha_1 U13882 ( .A(n12157), .B(n12156), .COUT(n12133), .SUM( n12205) ); sky130_fd_sc_hd__clkinv_1 U13883 ( .A(n11220), .Y(n23907) ); sky130_fd_sc_hd__ha_1 U13884 ( .A(n12360), .B(n12359), .COUT(n12343), .SUM( n12395) ); sky130_fd_sc_hd__clkinv_1 U13885 ( .A(n11175), .Y(n14057) ); sky130_fd_sc_hd__clkinv_1 U13886 ( .A(n14213), .Y(n14599) ); sky130_fd_sc_hd__clkinv_1 U13887 ( .A(n11144), .Y(n11035) ); sky130_fd_sc_hd__clkinv_1 U13888 ( .A(n24624), .Y(\dec/dec_csr_wrdata_r [24]) ); sky130_fd_sc_hd__clkinv_1 U13889 ( .A(n15901), .Y(n15127) ); sky130_fd_sc_hd__clkinv_1 U13890 ( .A(n24666), .Y(\dec/dec_csr_wrdata_r [18]) ); sky130_fd_sc_hd__clkinv_1 U13891 ( .A(n24715), .Y(\dec/dec_csr_wrdata_r [11]) ); sky130_fd_sc_hd__clkinv_1 U13892 ( .A(n24638), .Y(\dec/dec_csr_wrdata_r [22]) ); sky130_fd_sc_hd__ha_1 U13893 ( .A(n12057), .B(n12056), .COUT(n13563), .SUM( n12036) ); sky130_fd_sc_hd__ha_1 U13894 ( .A(n11850), .B(n11849), .COUT(n11895), .SUM( n12089) ); sky130_fd_sc_hd__clkinv_1 U13895 ( .A(n15915), .Y(n23418) ); sky130_fd_sc_hd__clkinv_1 U13896 ( .A(n24889), .Y(n24845) ); sky130_fd_sc_hd__clkinv_1 U13897 ( .A(n24694), .Y(\dec/dec_csr_wrdata_r [14]) ); sky130_fd_sc_hd__ha_1 U13898 ( .A(n13491), .B(n13490), .COUT(n13455), .SUM( n13613) ); sky130_fd_sc_hd__clkinv_1 U13899 ( .A(dma_mem_addr[10]), .Y(n22546) ); sky130_fd_sc_hd__clkinv_1 U13900 ( .A(n11947), .Y(n11727) ); sky130_fd_sc_hd__clkinv_1 U13901 ( .A(n17661), .Y(n17656) ); sky130_fd_sc_hd__clkinv_1 U13902 ( .A(n11636), .Y(n11640) ); sky130_fd_sc_hd__clkinv_1 U13903 ( .A(n11632), .Y(n15536) ); sky130_fd_sc_hd__clkinv_1 U13904 ( .A(dma_mem_addr[14]), .Y(n22549) ); sky130_fd_sc_hd__ha_1 U13905 ( .A(n12383), .B(\exu/i_mul/rs1_x [17]), .COUT( n12360), .SUM(n12425) ); sky130_fd_sc_hd__ha_1 U13906 ( .A(n12453), .B(\exu/i_mul/rs1_x [14]), .COUT( n12413), .SUM(n12480) ); sky130_fd_sc_hd__clkinv_1 U13907 ( .A(n14557), .Y(n15362) ); sky130_fd_sc_hd__clkinv_1 U13908 ( .A(n14280), .Y(n21545) ); sky130_fd_sc_hd__clkinv_1 U13909 ( .A(n11328), .Y(dbg_cmd_addr[3]) ); sky130_fd_sc_hd__clkinv_1 U13910 ( .A(dma_mem_addr[13]), .Y(n22548) ); sky130_fd_sc_hd__ha_1 U13911 ( .A(n12077), .B(\exu/i_mul/rs1_x [26]), .COUT( n11850), .SUM(n12124) ); sky130_fd_sc_hd__clkinv_1 U13912 ( .A(n11569), .Y(n23151) ); sky130_fd_sc_hd__ha_1 U13913 ( .A(n12291), .B(\exu/i_mul/rs1_x [20]), .COUT( n12263), .SUM(n12337) ); sky130_fd_sc_hd__clkinv_1 U13914 ( .A(dma_mem_addr[12]), .Y(n22547) ); sky130_fd_sc_hd__ha_1 U13915 ( .A(n12178), .B(\exu/i_mul/rs1_x [23]), .COUT( n12157), .SUM(n12235) ); sky130_fd_sc_hd__clkinv_1 U13916 ( .A(dma_mem_addr[3]), .Y(n22541) ); sky130_fd_sc_hd__clkinv_1 U13917 ( .A(n24846), .Y(n24885) ); sky130_fd_sc_hd__clkinv_1 U13918 ( .A(n11329), .Y(dbg_cmd_addr[4]) ); sky130_fd_sc_hd__clkinv_1 U13919 ( .A(n25063), .Y(n14556) ); sky130_fd_sc_hd__clkinv_1 U13920 ( .A(dma_mem_addr[8]), .Y(n22545) ); sky130_fd_sc_hd__clkinv_1 U13921 ( .A(n11945), .Y(n11728) ); sky130_fd_sc_hd__clkinv_1 U13922 ( .A(n11325), .Y(dbg_cmd_addr[2]) ); sky130_fd_sc_hd__clkinv_1 U13923 ( .A(n11326), .Y(dbg_cmd_addr[9]) ); sky130_fd_sc_hd__ha_1 U13924 ( .A(n12557), .B(\exu/i_mul/rs1_x [8]), .COUT( n12520), .SUM(n12563) ); sky130_fd_sc_hd__clkinv_1 U13925 ( .A(n15107), .Y(n15108) ); sky130_fd_sc_hd__clkinv_1 U13926 ( .A(n14899), .Y(n14910) ); sky130_fd_sc_hd__clkinv_1 U13927 ( .A(n11324), .Y(dbg_cmd_addr[7]) ); sky130_fd_sc_hd__clkinv_1 U13928 ( .A(dma_mem_addr[7]), .Y(n22544) ); sky130_fd_sc_hd__ha_1 U13929 ( .A(n11963), .B(\exu/i_mul/rs1_x [29]), .COUT( n12057), .SUM(n11889) ); sky130_fd_sc_hd__clkinv_1 U13930 ( .A(dma_mem_addr[5]), .Y(n22542) ); sky130_fd_sc_hd__ha_1 U13931 ( .A(n12496), .B(\exu/i_mul/rs1_x [11]), .COUT( n12470), .SUM(n12502) ); sky130_fd_sc_hd__clkinv_1 U13932 ( .A(dma_mem_addr[6]), .Y(n22543) ); sky130_fd_sc_hd__clkinv_1 U13933 ( .A(n19327), .Y(n24266) ); sky130_fd_sc_hd__ha_1 U13934 ( .A(n12535), .B(\exu/i_mul/rs1_x [5]), .COUT( n12547), .SUM(n12542) ); sky130_fd_sc_hd__ha_1 U13935 ( .A(n13525), .B(\exu/i_mul/rs1_x [32]), .COUT( n13491), .SUM(n13560) ); sky130_fd_sc_hd__clkinv_1 U13936 ( .A(n24278), .Y(n11056) ); sky130_fd_sc_hd__clkinv_1 U13937 ( .A(n24279), .Y(n11055) ); sky130_fd_sc_hd__clkinv_1 U13938 ( .A(n15707), .Y(n17085) ); sky130_fd_sc_hd__and2_1 U13939 ( .A(n10966), .B(dma_dbg_ready), .X( dbg_cmd_valid) ); sky130_fd_sc_hd__clkinv_1 U13940 ( .A(n11584), .Y(n14659) ); sky130_fd_sc_hd__clkinv_1 U13941 ( .A(n12652), .Y(n12680) ); sky130_fd_sc_hd__clkinv_1 U13942 ( .A(n12645), .Y(n12671) ); sky130_fd_sc_hd__clkinv_1 U13943 ( .A(n11758), .Y(n11855) ); sky130_fd_sc_hd__clkinv_1 U13944 ( .A(n15147), .Y(n12538) ); sky130_fd_sc_hd__clkinv_1 U13945 ( .A(n15583), .Y(n15510) ); sky130_fd_sc_hd__clkinv_1 U13946 ( .A(n14662), .Y(n23107) ); sky130_fd_sc_hd__clkinv_1 U13947 ( .A(n11327), .Y(dbg_cmd_addr[0]) ); sky130_fd_sc_hd__clkinv_1 U13948 ( .A(n14848), .Y(n14850) ); sky130_fd_sc_hd__clkinv_1 U13949 ( .A(n11989), .Y(n11938) ); sky130_fd_sc_hd__clkinv_1 U13950 ( .A(n13876), .Y(n14663) ); sky130_fd_sc_hd__clkinv_1 U13951 ( .A(n14837), .Y(n14813) ); sky130_fd_sc_hd__clkinv_1 U13952 ( .A(n11430), .Y(n11431) ); sky130_fd_sc_hd__clkinv_1 U13953 ( .A(n14838), .Y(n14840) ); sky130_fd_sc_hd__clkinv_1 U13954 ( .A(n24281), .Y(n11052) ); sky130_fd_sc_hd__clkinv_1 U13955 ( .A(n11987), .Y(n11939) ); sky130_fd_sc_hd__clkinv_1 U13956 ( .A(n14440), .Y(n14441) ); sky130_fd_sc_hd__clkinv_1 U13957 ( .A(n14843), .Y(n14845) ); sky130_fd_sc_hd__clkinv_1 U13958 ( .A(n15035), .Y( \lsu/bus_intf/ldst_byteen_m [0]) ); sky130_fd_sc_hd__clkinv_1 U13959 ( .A(n11766), .Y(n11845) ); sky130_fd_sc_hd__clkinv_1 U13960 ( .A(n11835), .Y(n11783) ); sky130_fd_sc_hd__clkinv_1 U13961 ( .A(n11258), .Y(n25110) ); sky130_fd_sc_hd__clkinv_1 U13962 ( .A(n14827), .Y(n14804) ); sky130_fd_sc_hd__clkinv_1 U13963 ( .A(n14828), .Y(n14806) ); sky130_fd_sc_hd__clkinv_1 U13964 ( .A(n11836), .Y(n11780) ); sky130_fd_sc_hd__clkinv_1 U13965 ( .A(n14833), .Y(n14835) ); sky130_fd_sc_hd__clkinv_1 U13966 ( .A(n15037), .Y(n15139) ); sky130_fd_sc_hd__clkinv_1 U13967 ( .A(n14853), .Y(n14855) ); sky130_fd_sc_hd__clkinv_1 U13968 ( .A(n17975), .Y(n18303) ); sky130_fd_sc_hd__clkinv_1 U13969 ( .A(n14832), .Y(n14810) ); sky130_fd_sc_hd__a21o_1 U13970 ( .A1(n24268), .A2(\ifu/aln/brdata0 [9]), .B1(n11406), .X(n11405) ); sky130_fd_sc_hd__clkinv_1 U13971 ( .A(n12650), .Y(n12678) ); sky130_fd_sc_hd__clkinv_1 U13972 ( .A(n12644), .Y(n12670) ); sky130_fd_sc_hd__clkinv_1 U13973 ( .A(n15585), .Y(n15359) ); sky130_fd_sc_hd__clkinv_1 U13974 ( .A(n18458), .Y(n19594) ); sky130_fd_sc_hd__clkinv_1 U13975 ( .A(n14857), .Y(n14825) ); sky130_fd_sc_hd__clkinv_1 U13976 ( .A(n14847), .Y(n14819) ); sky130_fd_sc_hd__clkinv_1 U13977 ( .A(n14842), .Y(n14816) ); sky130_fd_sc_hd__clkinv_1 U13978 ( .A(n11853), .Y(n11854) ); sky130_fd_sc_hd__clkinv_1 U13979 ( .A(n11852), .Y(n11856) ); sky130_fd_sc_hd__clkinv_1 U13980 ( .A(n11926), .Y(n11929) ); sky130_fd_sc_hd__clkinv_1 U13981 ( .A(n11927), .Y(n11928) ); sky130_fd_sc_hd__clkinv_1 U13982 ( .A(n14661), .Y(n22524) ); sky130_fd_sc_hd__clkinv_1 U13983 ( .A(n25035), .Y(n15542) ); sky130_fd_sc_hd__clkinv_1 U13984 ( .A(n15137), .Y(n15101) ); sky130_fd_sc_hd__clkinv_1 U13985 ( .A(n15143), .Y(n15104) ); sky130_fd_sc_hd__clkinv_1 U13986 ( .A(n11979), .Y(n11711) ); sky130_fd_sc_hd__clkinv_1 U13987 ( .A(n11978), .Y(n11712) ); sky130_fd_sc_hd__clkinv_1 U13988 ( .A(n12672), .Y(n12674) ); sky130_fd_sc_hd__clkinv_1 U13989 ( .A(n12668), .Y(n12669) ); sky130_fd_sc_hd__clkinv_1 U13990 ( .A(n21680), .Y(n21592) ); sky130_fd_sc_hd__clkinv_1 U13991 ( .A(n17676), .Y(n11573) ); sky130_fd_sc_hd__clkinv_1 U13992 ( .A(n17920), .Y(n18053) ); sky130_fd_sc_hd__clkinv_1 U13993 ( .A(n25036), .Y(n23512) ); sky130_fd_sc_hd__buf_2 U13994 ( .A(n25114), .X(n25107) ); sky130_fd_sc_hd__clkinv_1 U13995 ( .A(n14807), .Y(n14830) ); sky130_fd_sc_hd__clkinv_1 U13996 ( .A(n14852), .Y(n14822) ); sky130_fd_sc_hd__clkinv_1 U13997 ( .A(n16390), .Y(n17662) ); sky130_fd_sc_hd__clkinv_1 U13998 ( .A(n14860), .Y(n14858) ); sky130_fd_sc_hd__clkinv_1 U13999 ( .A(n13983), .Y(n13998) ); sky130_fd_sc_hd__clkinv_1 U14000 ( .A(n21535), .Y(n13838) ); sky130_fd_sc_hd__clkinv_1 U14001 ( .A(\lsu/bus_intf/ldst_byteen_ext_m [4]), .Y(n23710) ); sky130_fd_sc_hd__buf_4 U14002 ( .A(n17264), .X(n25116) ); sky130_fd_sc_hd__buf_2 U14003 ( .A(n25114), .X(n10881) ); sky130_fd_sc_hd__clkinv_1 U14004 ( .A(n24293), .Y(n14059) ); sky130_fd_sc_hd__clkinv_1 U14005 ( .A(n11417), .Y(n11416) ); sky130_fd_sc_hd__clkinv_1 U14006 ( .A(n18391), .Y(\ifu/ifc/N10 ) ); sky130_fd_sc_hd__clkinv_1 U14007 ( .A(n12628), .Y(n12016) ); sky130_fd_sc_hd__clkinv_1 U14008 ( .A(n12629), .Y(n12021) ); sky130_fd_sc_hd__clkinv_1 U14009 ( .A(n12630), .Y(n12015) ); sky130_fd_sc_hd__clkinv_1 U14010 ( .A(n11821), .Y(n11681) ); sky130_fd_sc_hd__clkinv_1 U14011 ( .A(n11863), .Y(n11865) ); sky130_fd_sc_hd__clkinv_1 U14012 ( .A(n11851), .Y(n11898) ); sky130_fd_sc_hd__clkinv_1 U14013 ( .A(n12660), .Y(n12657) ); sky130_fd_sc_hd__clkinv_1 U14014 ( .A(n11910), .Y(n11912) ); sky130_fd_sc_hd__clkinv_1 U14015 ( .A(n11767), .Y(n11844) ); sky130_fd_sc_hd__clkinv_1 U14016 ( .A(n11907), .Y(n11908) ); sky130_fd_sc_hd__clkinv_1 U14017 ( .A(n11900), .Y(n11902) ); sky130_fd_sc_hd__clkinv_1 U14018 ( .A(n11896), .Y(n11897) ); sky130_fd_sc_hd__clkinv_1 U14019 ( .A(n11843), .Y(n11768) ); sky130_fd_sc_hd__clkinv_1 U14020 ( .A(n11769), .Y(n11771) ); sky130_fd_sc_hd__buf_2 U14021 ( .A(n25115), .X(n10882) ); sky130_fd_sc_hd__clkinv_1 U14022 ( .A(n11825), .Y(n11827) ); sky130_fd_sc_hd__clkinv_1 U14023 ( .A(n11868), .Y(n11814) ); sky130_fd_sc_hd__clkinv_1 U14024 ( .A(n11948), .Y(n11729) ); sky130_fd_sc_hd__clkinv_1 U14025 ( .A(n11715), .Y(n11717) ); sky130_fd_sc_hd__clkinv_1 U14026 ( .A(n11796), .Y(n11798) ); sky130_fd_sc_hd__clkinv_1 U14027 ( .A(n11779), .Y(n11969) ); sky130_fd_sc_hd__clkinv_1 U14028 ( .A(n20625), .Y( \lsu/bus_intf/ldst_byteen_m [1]) ); sky130_fd_sc_hd__clkinv_1 U14029 ( .A(n12685), .Y(n12687) ); sky130_fd_sc_hd__clkinv_1 U14030 ( .A(n12675), .Y(n12636) ); sky130_fd_sc_hd__clkinv_1 U14031 ( .A(n11881), .Y(n11883) ); sky130_fd_sc_hd__clkinv_1 U14032 ( .A(n11980), .Y(n11982) ); sky130_fd_sc_hd__clkinv_1 U14033 ( .A(n11993), .Y(n11995) ); sky130_fd_sc_hd__clkinv_1 U14034 ( .A(n11737), .Y(n11933) ); sky130_fd_sc_hd__clkinv_1 U14035 ( .A(n11793), .Y(n11750) ); sky130_fd_sc_hd__clkinv_1 U14036 ( .A(n11932), .Y(n11738) ); sky130_fd_sc_hd__clkinv_1 U14037 ( .A(n11951), .Y(n11953) ); sky130_fd_sc_hd__clkinv_1 U14038 ( .A(n11829), .Y(n11759) ); sky130_fd_sc_hd__clkinv_1 U14039 ( .A(n11990), .Y(n11940) ); sky130_fd_sc_hd__clkinv_1 U14040 ( .A(n11743), .Y(n11745) ); sky130_fd_sc_hd__clkinv_1 U14041 ( .A(n11971), .Y(n11973) ); sky130_fd_sc_hd__clkinv_1 U14042 ( .A(n24095), .Y(n14116) ); sky130_fd_sc_hd__clkinv_1 U14043 ( .A(n24091), .Y(n14117) ); sky130_fd_sc_hd__clkinv_1 U14044 ( .A(n11967), .Y(n11968) ); sky130_fd_sc_hd__clkinv_1 U14045 ( .A(n11873), .Y(n11909) ); sky130_fd_sc_hd__clkinv_1 U14046 ( .A(n11877), .Y(n11878) ); sky130_fd_sc_hd__clkinv_1 U14047 ( .A(n11834), .Y(n11879) ); sky130_fd_sc_hd__clkinv_1 U14048 ( .A(dec_i0_pc_d[23]), .Y(n24234) ); sky130_fd_sc_hd__clkinv_1 U14049 ( .A(\ifu/aln/f2val [0]), .Y(n18485) ); sky130_fd_sc_hd__clkinv_1 U14050 ( .A(dec_i0_pc_d[29]), .Y(n19899) ); sky130_fd_sc_hd__clkinv_1 U14051 ( .A(dec_i0_pc_d[30]), .Y(n19931) ); sky130_fd_sc_hd__clkinv_1 U14052 ( .A(\lsu/stbuf/stbuf_data[0][19] ), .Y( n21991) ); sky130_fd_sc_hd__clkinv_1 U14053 ( .A(dec_i0_pc_d[26]), .Y(n19819) ); sky130_fd_sc_hd__clkinv_1 U14054 ( .A( \lsu/dccm_ctl/ld_single_ecc_error_lo_r_ff ), .Y(n22080) ); sky130_fd_sc_hd__clkinv_1 U14055 ( .A(dec_i0_pc_d[31]), .Y(n19939) ); sky130_fd_sc_hd__clkinv_1 U14056 ( .A(dec_i0_pc_d[28]), .Y(n19859) ); sky130_fd_sc_hd__clkinv_1 U14057 ( .A(\ifu/ifc_fetch_addr_f [7]), .Y(n18669) ); sky130_fd_sc_hd__clkinv_1 U14058 ( .A(\dbg/sbdata0_reg [18]), .Y(n17925) ); sky130_fd_sc_hd__clkinv_1 U14059 ( .A(\lsu/stbuf/stbuf_data[1][17] ), .Y( n21975) ); sky130_fd_sc_hd__clkinv_1 U14060 ( .A(dec_i0_pc_d[25]), .Y(n24254) ); sky130_fd_sc_hd__clkinv_1 U14061 ( .A(dec_i0_pc_d[27]), .Y(n19841) ); sky130_fd_sc_hd__clkinv_1 U14062 ( .A(\dec/decode/i0_result_r [26]), .Y( n17257) ); sky130_fd_sc_hd__clkinv_1 U14063 ( .A(\lsu/lsu_pkt_m[store] ), .Y(n25067) ); sky130_fd_sc_hd__clkinv_1 U14064 ( .A(sb_axi_awsize[2]), .Y(n24513) ); sky130_fd_sc_hd__clkinv_1 U14065 ( .A(\dbg/sb_state [3]), .Y(n22814) ); sky130_fd_sc_hd__clkinv_1 U14066 ( .A(dec_i0_pc_d[24]), .Y(n24246) ); sky130_fd_sc_hd__clkinv_1 U14067 ( .A(\dbg/sb_state [0]), .Y(n22801) ); sky130_fd_sc_hd__clkinv_1 U14068 ( .A(\dbg/sb_state [1]), .Y(n22794) ); sky130_fd_sc_hd__clkinv_1 U14069 ( .A(\ifu/ifc_fetch_req_f ), .Y(n21803) ); sky130_fd_sc_hd__clkinv_1 U14070 ( .A(dec_i0_pc_d[18]), .Y(n24173) ); sky130_fd_sc_hd__clkinv_1 U14071 ( .A(\dec/decode/i0_result_r [19]), .Y( n17247) ); sky130_fd_sc_hd__clkinv_1 U14072 ( .A(dec_i0_pc_d[10]), .Y(n24174) ); sky130_fd_sc_hd__clkinv_1 U14073 ( .A(\ifu/aln/q0 [16]), .Y(n11074) ); sky130_fd_sc_hd__clkinv_1 U14074 ( .A(\lsu/store_data_hi_r [9]), .Y(n23127) ); sky130_fd_sc_hd__clkinv_1 U14075 ( .A(\ifu/aln/q0off ), .Y(n19324) ); sky130_fd_sc_hd__clkinv_1 U14076 ( .A(\lsu/stbuf/stbuf_data[1][9] ), .Y( n17707) ); sky130_fd_sc_hd__clkinv_1 U14077 ( .A(\ifu/aln/q2off ), .Y(n18789) ); sky130_fd_sc_hd__clkinv_1 U14078 ( .A(\ifu/aln/q1off ), .Y(n18784) ); sky130_fd_sc_hd__clkinv_1 U14079 ( .A(\lsu/stbuf/stbuf_data[3][9] ), .Y( n17710) ); sky130_fd_sc_hd__clkinv_1 U14080 ( .A(\ifu/aln/rdptr [1]), .Y(n18799) ); sky130_fd_sc_hd__clkinv_1 U14081 ( .A(\ifu/aln/rdptr [0]), .Y(n18793) ); sky130_fd_sc_hd__clkinv_1 U14082 ( .A(\dec/decode/i0_result_r [1]), .Y( n17215) ); sky130_fd_sc_hd__clkinv_1 U14083 ( .A(\lsu/store_data_lo_r [24]), .Y(n24802) ); sky130_fd_sc_hd__clkinv_1 U14084 ( .A(\dec/decode/i0_result_r [28]), .Y( n17259) ); sky130_fd_sc_hd__clkinv_1 U14085 ( .A(\lsu/store_data_lo_r [16]), .Y(n22527) ); sky130_fd_sc_hd__or2_0 U14086 ( .A(\exu/i_mul/rs2_x [1]), .B( \exu/i_mul/rs2_x [2]), .X(n10923) ); sky130_fd_sc_hd__clkinv_1 U14087 ( .A(\dec/decode/write_csr_data [29]), .Y( n24588) ); sky130_fd_sc_hd__clkinv_1 U14088 ( .A(\lsu/lsu_pkt_r[store] ), .Y(n21554) ); sky130_fd_sc_hd__clkinv_1 U14089 ( .A(\pic_ctrl_inst/gw_config_reg[12][1] ), .Y(n22735) ); sky130_fd_sc_hd__clkinv_1 U14090 ( .A(\dec/decode/x_d[i0rd][2] ), .Y(n15724) ); sky130_fd_sc_hd__clkinv_1 U14091 ( .A(\lsu/stbuf/stbuf_data[1][10] ), .Y( n17715) ); sky130_fd_sc_hd__clkinv_1 U14092 ( .A(\pic_ctrl_inst/gw_config_reg[14][1] ), .Y(n22740) ); sky130_fd_sc_hd__clkinv_1 U14093 ( .A(\pic_ctrl_inst/gw_config_reg[26][1] ), .Y(n22773) ); sky130_fd_sc_hd__clkinv_1 U14094 ( .A(\pic_ctrl_inst/gw_config_reg[24][1] ), .Y(n22767) ); sky130_fd_sc_hd__clkinv_1 U14095 ( .A(\pic_ctrl_inst/gw_config_reg[22][1] ), .Y(n22761) ); sky130_fd_sc_hd__clkinv_1 U14096 ( .A(\pic_ctrl_inst/gw_config_reg[20][1] ), .Y(n22755) ); sky130_fd_sc_hd__clkinv_1 U14097 ( .A(\pic_ctrl_inst/gw_config_reg[18][1] ), .Y(n22750) ); sky130_fd_sc_hd__clkinv_1 U14098 ( .A(\lsu/store_data_hi_r [1]), .Y(n25062) ); sky130_fd_sc_hd__clkinv_1 U14099 ( .A(\ifu/aln/q0 [21]), .Y(n11298) ); sky130_fd_sc_hd__clkinv_1 U14100 ( .A(\lsu/stbuf/stbuf_data[2][1] ), .Y( n17690) ); sky130_fd_sc_hd__clkinv_1 U14101 ( .A(\lsu/stbuf/stbuf_data[1][1] ), .Y( n15095) ); sky130_fd_sc_hd__clkinv_1 U14102 ( .A(\lsu/store_data_lo_r [25]), .Y(n24821) ); sky130_fd_sc_hd__clkinv_1 U14103 ( .A(\lsu/store_data_lo_r [17]), .Y(n22528) ); sky130_fd_sc_hd__clkinv_1 U14104 ( .A(dec_i0_pc_d[13]), .Y(n24209) ); sky130_fd_sc_hd__clkinv_1 U14105 ( .A(\lsu/store_data_hi_r [17]), .Y(n14249) ); sky130_fd_sc_hd__clkinv_1 U14106 ( .A(\pic_ctrl_inst/gw_config_reg[10][1] ), .Y(n22730) ); sky130_fd_sc_hd__clkinv_1 U14107 ( .A(\lsu/store_data_lo_r [20]), .Y(n22531) ); sky130_fd_sc_hd__clkinv_1 U14108 ( .A(\lsu/store_data_lo_r [28]), .Y(n24843) ); sky130_fd_sc_hd__clkinv_1 U14109 ( .A(\lsu/stbuf/stbuf_data[0][6] ), .Y( n17684) ); sky130_fd_sc_hd__clkinv_1 U14110 ( .A(\lsu/stbuf/stbuf_data[1][6] ), .Y( n14954) ); sky130_fd_sc_hd__clkinv_1 U14111 ( .A(\lsu/lsu_addr_r [22]), .Y(n11540) ); sky130_fd_sc_hd__clkinv_1 U14112 ( .A(\lsu/lsu_pkt_m[dma] ), .Y(n20384) ); sky130_fd_sc_hd__clkinv_1 U14113 ( .A(\lsu/addr_external_m ), .Y(n15142) ); sky130_fd_sc_hd__clkinv_1 U14114 ( .A(dec_i0_pc_d[19]), .Y(n24185) ); sky130_fd_sc_hd__clkinv_1 U14115 ( .A(\lsu/stbuf/store_byteen_ext_r[7] ), .Y(n21538) ); sky130_fd_sc_hd__clkinv_1 U14116 ( .A(\lsu/stbuf/stbuf_addr[0][10] ), .Y( n21349) ); sky130_fd_sc_hd__clkinv_1 U14117 ( .A(\lsu/store_data_hi_r [12]), .Y(n23133) ); sky130_fd_sc_hd__clkinv_1 U14118 ( .A(\lsu/stbuf/stbuf_addr[0][2] ), .Y( n21355) ); sky130_fd_sc_hd__clkinv_1 U14119 ( .A(\lsu/store_data_hi_r [6]), .Y(n25058) ); sky130_fd_sc_hd__clkinv_1 U14120 ( .A(\lsu/lsu_addr_m [5]), .Y(n21100) ); sky130_fd_sc_hd__clkinv_1 U14121 ( .A(\exu/i0_predict_p_d[boffset] ), .Y( n19219) ); sky130_fd_sc_hd__clkinv_1 U14122 ( .A(\exu/i_mul/rs1_x [1]), .Y(n11707) ); sky130_fd_sc_hd__clkinv_1 U14123 ( .A(\exu/i_mul/rs1_x [0]), .Y(n11706) ); sky130_fd_sc_hd__clkinv_1 U14124 ( .A(\lsu/stbuf/stbuf_addr[0][14] ), .Y( n21357) ); sky130_fd_sc_hd__clkinv_1 U14125 ( .A(\lsu/stbuf/stbuf_vld [0]), .Y(n21599) ); sky130_fd_sc_hd__clkinv_1 U14126 ( .A(\lsu/stbuf/stbuf_addr[0][13] ), .Y( n21365) ); sky130_fd_sc_hd__clkinv_1 U14127 ( .A(\lsu/stbuf/stbuf_data[0][22] ), .Y( n22019) ); sky130_fd_sc_hd__or2_0 U14128 ( .A(\exu/i_mul/rs2_x [1]), .B( \exu/i_mul/rs2_x [0]), .X(n10925) ); sky130_fd_sc_hd__clkinv_1 U14129 ( .A(\lsu/store_data_hi_r [0]), .Y(n23154) ); sky130_fd_sc_hd__clkinv_1 U14130 ( .A(\lsu/store_data_lo_r [22]), .Y(n22533) ); sky130_fd_sc_hd__clkinv_1 U14131 ( .A(\lsu/stbuf/stbuf_data[2][28] ), .Y( n15955) ); sky130_fd_sc_hd__clkinv_1 U14132 ( .A(\lsu/stbuf/stbuf_data[2][30] ), .Y( n24866) ); sky130_fd_sc_hd__clkinv_1 U14133 ( .A(\lsu/store_data_hi_r [8]), .Y(n23125) ); sky130_fd_sc_hd__clkinv_1 U14134 ( .A(\lsu/stbuf/stbuf_data[1][20] ), .Y( n22000) ); sky130_fd_sc_hd__clkinv_1 U14135 ( .A(\lsu/store_data_lo_r [30]), .Y(n24877) ); sky130_fd_sc_hd__clkinv_1 U14136 ( .A(\lsu/stbuf/stbuf_data[2][14] ), .Y( n17663) ); sky130_fd_sc_hd__clkinv_1 U14137 ( .A(\lsu/lsu_addr_r [16]), .Y(n11526) ); sky130_fd_sc_hd__clkinv_1 U14138 ( .A(\lsu/stbuf/stbuf_data[1][3] ), .Y( n17696) ); sky130_fd_sc_hd__clkinv_1 U14139 ( .A(\lsu/lsu_addr_m [24]), .Y(n21028) ); sky130_fd_sc_hd__clkinv_1 U14140 ( .A(\lsu/store_data_hi_r [4]), .Y(n23161) ); sky130_fd_sc_hd__clkinv_1 U14141 ( .A(\lsu/store_data_hi_r [14]), .Y(n23137) ); sky130_fd_sc_hd__clkinv_1 U14142 ( .A(\lsu/lsu_addr_m [0]), .Y(n25027) ); sky130_fd_sc_hd__clkinv_1 U14143 ( .A(\dec/decode/x_d[i0rd][4] ), .Y(n16405) ); sky130_fd_sc_hd__clkinv_1 U14144 ( .A(\lsu/stbuf/stbuf_data[0][21] ), .Y( n22008) ); sky130_fd_sc_hd__clkinv_1 U14145 ( .A(\lsu/end_addr_r [16]), .Y(n11475) ); sky130_fd_sc_hd__clkinv_1 U14146 ( .A(\lsu/lsu_lsc_ctl/n118 ), .Y(n14037) ); sky130_fd_sc_hd__clkinv_1 U14147 ( .A(\lsu/stbuf/stbuf_data[1][29] ), .Y( n24853) ); sky130_fd_sc_hd__clkinv_1 U14148 ( .A(\dec/decode/i0_result_r [5]), .Y( n17221) ); sky130_fd_sc_hd__clkinv_1 U14149 ( .A(\lsu/store_data_hi_r [13]), .Y(n23135) ); sky130_fd_sc_hd__clkinv_1 U14150 ( .A(dec_i0_pc_d[14]), .Y(n24222) ); sky130_fd_sc_hd__clkinv_1 U14151 ( .A(\lsu/store_data_lo_r [21]), .Y(n22532) ); sky130_fd_sc_hd__clkinv_1 U14152 ( .A(\lsu/store_data_lo_r [29]), .Y(n24860) ); sky130_fd_sc_hd__clkinv_1 U14153 ( .A(\dbg/command_reg [1]), .Y(n24323) ); sky130_fd_sc_hd__clkinv_1 U14154 ( .A(dec_i0_pc_d[15]), .Y(n24233) ); sky130_fd_sc_hd__clkinv_1 U14155 ( .A(dec_i0_pc_d[9]), .Y(n24251) ); sky130_fd_sc_hd__clkinv_1 U14156 ( .A(\lsu/store_data_hi_r [5]), .Y(n23163) ); sky130_fd_sc_hd__clkinv_1 U14157 ( .A(\lsu/stbuf/stbuf_data[1][7] ), .Y( n17658) ); sky130_fd_sc_hd__clkinv_1 U14158 ( .A(\lsu/stbuf/stbuf_data[1][2] ), .Y( n17693) ); sky130_fd_sc_hd__clkinv_1 U14159 ( .A(\exu/i_mul/low_x ), .Y(n15563) ); sky130_fd_sc_hd__clkinv_1 U14160 ( .A(dec_i0_pc_d[8]), .Y(n24248) ); sky130_fd_sc_hd__clkinv_1 U14161 ( .A(dec_i0_pc_d[4]), .Y(n19095) ); sky130_fd_sc_hd__clkinv_1 U14162 ( .A(\pic_ctrl_inst/gw_config_reg[31][1] ), .Y(n22789) ); sky130_fd_sc_hd__clkinv_1 U14163 ( .A(\dec/dec_pmu_postsync_stall ), .Y( n21769) ); sky130_fd_sc_hd__clkinv_1 U14164 ( .A(dec_i0_pc_d[17]), .Y(n24252) ); sky130_fd_sc_hd__clkinv_1 U14165 ( .A(\dec/decode/i0_result_r [18]), .Y( n17245) ); sky130_fd_sc_hd__clkinv_1 U14166 ( .A(\pic_ctrl_inst/gw_config_reg[28][1] ), .Y(n22780) ); sky130_fd_sc_hd__clkinv_1 U14167 ( .A(\ifu/aln/q0 [20]), .Y(n11191) ); sky130_fd_sc_hd__clkinv_1 U14168 ( .A(\pic_ctrl_inst/gw_config_reg[3][1] ), .Y(n22711) ); sky130_fd_sc_hd__clkinv_1 U14169 ( .A(\pic_ctrl_inst/gw_config_reg[5][1] ), .Y(n22717) ); sky130_fd_sc_hd__clkinv_1 U14170 ( .A(\pic_ctrl_inst/gw_config_reg[7][1] ), .Y(n22723) ); sky130_fd_sc_hd__clkinv_1 U14171 ( .A(dec_i0_pc_d[16]), .Y(n24245) ); sky130_fd_sc_hd__clkinv_1 U14172 ( .A(dec_i0_pc_d[5]), .Y(n24210) ); sky130_fd_sc_hd__clkinv_1 U14173 ( .A(\lsu/stbuf/stbuf_addr[0][7] ), .Y( n21363) ); sky130_fd_sc_hd__clkinv_1 U14174 ( .A(\lsu/lsu_addr_m [19]), .Y(n11613) ); sky130_fd_sc_hd__clkinv_1 U14175 ( .A(\pic_ctrl_inst/gw_config_reg[28][0] ), .Y(n22778) ); sky130_fd_sc_hd__clkinv_1 U14176 ( .A(\pic_ctrl_inst/picm_raddr_ff [11]), .Y(n13854) ); sky130_fd_sc_hd__clkinv_1 U14177 ( .A(\ifu/aln/brdata0 [7]), .Y(n11340) ); sky130_fd_sc_hd__clkinv_1 U14178 ( .A(dec_i0_pc_d[2]), .Y(n19226) ); sky130_fd_sc_hd__clkinv_1 U14179 ( .A(\lsu/stbuf/stbuf_addr[0][5] ), .Y( n21362) ); sky130_fd_sc_hd__clkinv_1 U14180 ( .A(\pic_ctrl_inst/picm_raddr_ff [4]), .Y( n14193) ); sky130_fd_sc_hd__clkinv_1 U14181 ( .A(\lsu/end_addr_m [8]), .Y(n13816) ); sky130_fd_sc_hd__clkinv_1 U14182 ( .A(\lsu/stbuf/stbuf_data[1][18] ), .Y( n21983) ); sky130_fd_sc_hd__clkinv_1 U14183 ( .A(\dec/dec_i0_waddr_r [2]), .Y(n17419) ); sky130_fd_sc_hd__clkinv_1 U14184 ( .A(\pic_ctrl_inst/picm_raddr_ff [3]), .Y( n14194) ); sky130_fd_sc_hd__clkinv_1 U14185 ( .A(\dec/dec_i0_waddr_r [4]), .Y(n22500) ); sky130_fd_sc_hd__clkinv_1 U14186 ( .A(\lsu/stbuf/stbuf_data[2][23] ), .Y( n22032) ); sky130_fd_sc_hd__clkinv_1 U14187 ( .A(\lsu/end_addr_r [17]), .Y(n11612) ); sky130_fd_sc_hd__clkinv_1 U14188 ( .A(\pic_ctrl_inst/picm_raddr_ff [10]), .Y(n13852) ); sky130_fd_sc_hd__clkinv_1 U14189 ( .A(\lsu/stbuf/stbuf_addr[0][3] ), .Y( n21368) ); sky130_fd_sc_hd__clkinv_1 U14190 ( .A(\pic_ctrl_inst/intenable_reg [18]), .Y(n16475) ); sky130_fd_sc_hd__clkinv_1 U14191 ( .A(\dec/dec_i0_waddr_r [1]), .Y(n22502) ); sky130_fd_sc_hd__clkinv_1 U14192 ( .A(\lsu/store_data_hi_r [10]), .Y(n23129) ); sky130_fd_sc_hd__clkinv_1 U14193 ( .A(\pic_ctrl_inst/picm_raddr_ff [1]), .Y( n13850) ); sky130_fd_sc_hd__clkinv_1 U14194 ( .A(\lsu/end_addr_r [27]), .Y(n11454) ); sky130_fd_sc_hd__clkinv_1 U14195 ( .A(\pic_ctrl_inst/picm_raddr_ff [13]), .Y(n14718) ); sky130_fd_sc_hd__clkinv_1 U14196 ( .A(\lsu/lsu_addr_r [8]), .Y(n22567) ); sky130_fd_sc_hd__clkinv_1 U14197 ( .A(\pic_ctrl_inst/intenable_reg [20]), .Y(n16484) ); sky130_fd_sc_hd__clkinv_1 U14198 ( .A(dec_i0_pc_d[20]), .Y(n24197) ); sky130_fd_sc_hd__clkinv_1 U14199 ( .A(\lsu/lsu_addr_m [20]), .Y(n21183) ); sky130_fd_sc_hd__clkinv_1 U14200 ( .A(\pic_ctrl_inst/intenable_reg [22]), .Y(n16480) ); sky130_fd_sc_hd__clkinv_1 U14201 ( .A(\lsu/stbuf/stbuf_data[0][10] ), .Y( n17712) ); sky130_fd_sc_hd__clkinv_1 U14202 ( .A(\dec/dec_i0_waddr_r [3]), .Y(n17418) ); sky130_fd_sc_hd__clkinv_1 U14203 ( .A(dec_i0_pc_d[21]), .Y(n19732) ); sky130_fd_sc_hd__clkinv_1 U14204 ( .A(\lsu/stbuf/stbuf_data[3][10] ), .Y( n17711) ); sky130_fd_sc_hd__clkinv_1 U14205 ( .A(\lsu/stbuf/stbuf_addr[0][4] ), .Y( n15908) ); sky130_fd_sc_hd__clkinv_1 U14206 ( .A(\pic_ctrl_inst/picm_raddr_ff [14]), .Y(n14861) ); sky130_fd_sc_hd__clkinv_1 U14207 ( .A(\lsu/end_addr_m [12]), .Y(n13802) ); sky130_fd_sc_hd__clkinv_1 U14208 ( .A(\lsu/lsu_addr_m [18]), .Y(n20973) ); sky130_fd_sc_hd__clkinv_1 U14209 ( .A(\pic_ctrl_inst/intenable_reg [24]), .Y(n16491) ); sky130_fd_sc_hd__clkinv_1 U14210 ( .A(\pic_ctrl_inst/picm_raddr_ff [6]), .Y( n14864) ); sky130_fd_sc_hd__clkinv_1 U14211 ( .A(\lsu/end_addr_r [23]), .Y(n11455) ); sky130_fd_sc_hd__clkinv_1 U14212 ( .A(\lsu/stbuf/stbuf_byteen[2][0] ), .Y( n23210) ); sky130_fd_sc_hd__clkinv_1 U14213 ( .A(\lsu/stbuf/stbuf_byteen[1][0] ), .Y( n23260) ); sky130_fd_sc_hd__clkinv_1 U14214 ( .A(\lsu/lsu_addr_m [23]), .Y(n21167) ); sky130_fd_sc_hd__clkinv_1 U14215 ( .A(\pic_ctrl_inst/picm_waddr_ff [2]), .Y( n21235) ); sky130_fd_sc_hd__clkinv_1 U14216 ( .A(\pic_ctrl_inst/intenable_reg [26]), .Y(n16488) ); sky130_fd_sc_hd__clkinv_1 U14217 ( .A(\lsu/lsu_addr_m [29]), .Y(n21023) ); sky130_fd_sc_hd__clkinv_1 U14218 ( .A(\pic_ctrl_inst/picm_raddr_ff [2]), .Y( n14195) ); sky130_fd_sc_hd__clkinv_1 U14219 ( .A(dec_i0_pc_d[7]), .Y(n24236) ); sky130_fd_sc_hd__clkinv_1 U14220 ( .A(\pic_ctrl_inst/picm_raddr_ff [5]), .Y( n14196) ); sky130_fd_sc_hd__clkinv_1 U14221 ( .A(\dec/decode/i0_result_r [6]), .Y( n17223) ); sky130_fd_sc_hd__clkinv_1 U14222 ( .A(\pic_ctrl_inst/picm_raddr_ff [8]), .Y( n13857) ); sky130_fd_sc_hd__clkinv_1 U14223 ( .A(\lsu/lsu_addr_m [28]), .Y(n11606) ); sky130_fd_sc_hd__clkinv_1 U14224 ( .A(\ifu/ifc_fetch_addr_f [12]), .Y(n18689) ); sky130_fd_sc_hd__clkinv_1 U14225 ( .A(\ifu/ifc_fetch_addr_f [14]), .Y(n18697) ); sky130_fd_sc_hd__clkinv_1 U14226 ( .A(\lsu/stbuf/stbuf_addr[3][8] ), .Y( n21484) ); sky130_fd_sc_hd__clkinv_1 U14227 ( .A(\lsu/store_data_hi_r [2]), .Y(n23157) ); sky130_fd_sc_hd__clkinv_1 U14228 ( .A(\ifu/ifc_fetch_addr_f [16]), .Y(n18705) ); sky130_fd_sc_hd__clkinv_1 U14229 ( .A(\lsu/stbuf/stbuf_addr[3][3] ), .Y( n21476) ); sky130_fd_sc_hd__clkinv_1 U14230 ( .A(\ifu/ifc_fetch_addr_f [18]), .Y(n18710) ); sky130_fd_sc_hd__clkinv_1 U14231 ( .A(\lsu/end_addr_m [6]), .Y(n13796) ); sky130_fd_sc_hd__clkinv_1 U14232 ( .A(\ifu/ifc_fetch_addr_f [20]), .Y(n18718) ); sky130_fd_sc_hd__clkinv_1 U14233 ( .A(\lsu/end_addr_m [13]), .Y(n13824) ); sky130_fd_sc_hd__clkinv_1 U14234 ( .A(\ifu/ifc_fetch_addr_f [22]), .Y(n18726) ); sky130_fd_sc_hd__clkinv_1 U14235 ( .A(\lsu/lsu_addr_m [27]), .Y(n21201) ); sky130_fd_sc_hd__clkinv_1 U14236 ( .A(\lsu/stbuf/stbuf_addr[3][2] ), .Y( n21469) ); sky130_fd_sc_hd__clkinv_1 U14237 ( .A(\lsu/stbuf/stbuf_addr[3][6] ), .Y( n21385) ); sky130_fd_sc_hd__clkinv_1 U14238 ( .A(\lsu/lsu_addr_m [16]), .Y(n21084) ); sky130_fd_sc_hd__clkinv_1 U14239 ( .A(\lsu/stbuf/stbuf_addr[3][10] ), .Y( n21384) ); sky130_fd_sc_hd__clkinv_1 U14240 ( .A(\lsu/end_addr_m [17]), .Y(n11457) ); sky130_fd_sc_hd__clkinv_1 U14241 ( .A(\lsu/end_addr_m [15]), .Y(n13814) ); sky130_fd_sc_hd__clkinv_1 U14242 ( .A(\lsu/stbuf/stbuf_data[2][31] ), .Y( n24886) ); sky130_fd_sc_hd__clkinv_1 U14243 ( .A(\lsu/stbuf/stbuf_addr[1][3] ), .Y( n21498) ); sky130_fd_sc_hd__clkinv_1 U14244 ( .A(\lsu/stbuf/stbuf_addr[3][5] ), .Y( n21485) ); sky130_fd_sc_hd__clkinv_1 U14245 ( .A(\pic_ctrl_inst/gw_config_reg[6][0] ), .Y(n22720) ); sky130_fd_sc_hd__clkinv_1 U14246 ( .A(\ifu/ifc_fetch_addr_f [10]), .Y(n18678) ); sky130_fd_sc_hd__clkinv_1 U14247 ( .A(\pic_ctrl_inst/gw_config_reg[4][0] ), .Y(n22714) ); sky130_fd_sc_hd__clkinv_1 U14248 ( .A(\lsu/stbuf/stbuf_vld [1]), .Y(n21595) ); sky130_fd_sc_hd__clkinv_1 U14249 ( .A(\pic_ctrl_inst/gw_config_reg[2][0] ), .Y(n22708) ); sky130_fd_sc_hd__clkinv_1 U14250 ( .A(\lsu/lsu_addr_m [26]), .Y(n11610) ); sky130_fd_sc_hd__clkinv_1 U14251 ( .A(\lsu/lsu_addr_r [15]), .Y(n22581) ); sky130_fd_sc_hd__clkinv_1 U14252 ( .A(\lsu/stbuf/stbuf_addr[3][4] ), .Y( n21477) ); sky130_fd_sc_hd__clkinv_1 U14253 ( .A(\pic_ctrl_inst/gw_config_reg[1][0] ), .Y(n23066) ); sky130_fd_sc_hd__clkinv_1 U14254 ( .A(\lsu/stbuf/stbuf_addr[1][5] ), .Y( n21497) ); sky130_fd_sc_hd__clkinv_1 U14255 ( .A(\lsu/stbuf/stbuf_addr[3][7] ), .Y( n21471) ); sky130_fd_sc_hd__clkinv_1 U14256 ( .A(\lsu/end_addr_r [24]), .Y(n11609) ); sky130_fd_sc_hd__clkinv_1 U14257 ( .A(\lsu/stbuf/stbuf_addr[1][6] ), .Y( n21510) ); sky130_fd_sc_hd__clkinv_1 U14258 ( .A(\lsu/stbuf/stbuf_addr[1][4] ), .Y( n21496) ); sky130_fd_sc_hd__clkinv_1 U14259 ( .A(\lsu/stbuf/stbuf_data[3][26] ), .Y( n15983) ); sky130_fd_sc_hd__clkinv_1 U14260 ( .A(\lsu/end_addr_r [26]), .Y(n11458) ); sky130_fd_sc_hd__clkinv_1 U14261 ( .A(\pic_ctrl_inst/gw_config_reg[22][0] ), .Y(n22759) ); sky130_fd_sc_hd__clkinv_1 U14262 ( .A(\pic_ctrl_inst/gw_config_reg[26][0] ), .Y(n22771) ); sky130_fd_sc_hd__clkinv_1 U14263 ( .A(\pic_ctrl_inst/picm_raddr_ff [31]), .Y(n13856) ); sky130_fd_sc_hd__clkinv_1 U14264 ( .A(\pic_ctrl_inst/picm_raddr_ff [9]), .Y( n13842) ); sky130_fd_sc_hd__clkinv_1 U14265 ( .A(\lsu/stbuf/stbuf_addr[3][14] ), .Y( n21470) ); sky130_fd_sc_hd__clkinv_1 U14266 ( .A(\dec/decode/i0_result_r [21]), .Y( n17251) ); sky130_fd_sc_hd__clkinv_1 U14267 ( .A(\lsu/lsu_addr_r [26]), .Y(n11531) ); sky130_fd_sc_hd__clkinv_1 U14268 ( .A(\lsu/end_addr_m [10]), .Y(n13815) ); sky130_fd_sc_hd__clkinv_1 U14269 ( .A(dbg_cmd_write), .Y(n18004) ); sky130_fd_sc_hd__clkinv_1 U14270 ( .A(\dec/decode/x_d[i0rd][0] ), .Y(n15727) ); sky130_fd_sc_hd__clkinv_1 U14271 ( .A(\lsu/stbuf/stbuf_byteen[1][1] ), .Y( n23248) ); sky130_fd_sc_hd__clkinv_1 U14272 ( .A(\lsu/lsu_addr_r [17]), .Y(n11527) ); sky130_fd_sc_hd__clkinv_1 U14273 ( .A(\dec/decode/x_d[i0rd][3] ), .Y(n16406) ); sky130_fd_sc_hd__clkinv_1 U14274 ( .A(\lsu/lsu_addr_m [0]), .Y(n23539) ); sky130_fd_sc_hd__clkinv_1 U14275 ( .A(dec_i0_pc_d[6]), .Y(n19194) ); sky130_fd_sc_hd__clkinv_1 U14276 ( .A(\lsu/stbuf/stbuf_data[1][13] ), .Y( n17669) ); sky130_fd_sc_hd__clkinv_1 U14277 ( .A(\lsu/lsu_addr_r [18]), .Y(n11541) ); sky130_fd_sc_hd__clkinv_1 U14278 ( .A(\lsu/stbuf/stbuf_data[2][8] ), .Y( n17672) ); sky130_fd_sc_hd__clkinv_1 U14279 ( .A(\lsu/lsu_addr_r [20]), .Y(n11529) ); sky130_fd_sc_hd__clkinv_1 U14280 ( .A(\lsu/stbuf/stbuf_data[1][8] ), .Y( n17673) ); sky130_fd_sc_hd__clkinv_1 U14281 ( .A(\lsu/end_addr_m [16]), .Y(n11505) ); sky130_fd_sc_hd__clkinv_1 U14282 ( .A(\lsu/lsu_addr_r [28]), .Y(n11506) ); sky130_fd_sc_hd__clkinv_1 U14283 ( .A(\lsu/stbuf/stbuf_byteen[2][1] ), .Y( n23198) ); sky130_fd_sc_hd__clkinv_1 U14284 ( .A(\lsu/lsu_addr_r [19]), .Y(n11536) ); sky130_fd_sc_hd__clkinv_1 U14285 ( .A(\lsu/end_addr_r [6]), .Y(n22562) ); sky130_fd_sc_hd__clkinv_1 U14286 ( .A(\lsu/end_addr_m [22]), .Y(n11503) ); sky130_fd_sc_hd__clkinv_1 U14287 ( .A(\lsu/lsu_addr_r [27]), .Y(n11543) ); sky130_fd_sc_hd__clkinv_1 U14288 ( .A(\lsu/lsu_addr_r [23]), .Y(n11535) ); sky130_fd_sc_hd__clkinv_1 U14289 ( .A(\lsu/end_addr_m [7]), .Y(n13812) ); sky130_fd_sc_hd__clkinv_1 U14290 ( .A(\lsu/lsu_addr_r [1]), .Y(n22553) ); sky130_fd_sc_hd__clkinv_1 U14291 ( .A(\lsu/end_addr_m [21]), .Y(n11501) ); sky130_fd_sc_hd__clkinv_1 U14292 ( .A(\pic_ctrl_inst/intenable_reg [28]), .Y(n17326) ); sky130_fd_sc_hd__clkinv_1 U14293 ( .A(\lsu/lsu_addr_m [25]), .Y(n21172) ); sky130_fd_sc_hd__clkinv_1 U14294 ( .A(\lsu/stbuf/stbuf_byteen[3][0] ), .Y( n23146) ); sky130_fd_sc_hd__clkinv_1 U14295 ( .A(\pic_ctrl_inst/intenable_reg [30]), .Y(n16495) ); sky130_fd_sc_hd__clkinv_1 U14296 ( .A(\lsu/lsu_pkt_m[load] ), .Y(n21215) ); sky130_fd_sc_hd__clkinv_1 U14297 ( .A(\pic_ctrl_inst/intenable_reg [14]), .Y(n17323) ); sky130_fd_sc_hd__clkinv_1 U14298 ( .A(\lsu/lsu_addr_m [22]), .Y(n21033) ); sky130_fd_sc_hd__clkinv_1 U14299 ( .A(\lsu/lsu_addr_m [21]), .Y(n11623) ); sky130_fd_sc_hd__clkinv_1 U14300 ( .A(\lsu/lsu_addr_r [3]), .Y(n22557) ); sky130_fd_sc_hd__clkinv_1 U14301 ( .A(\pic_ctrl_inst/gw_config_reg[24][0] ), .Y(n22765) ); sky130_fd_sc_hd__clkinv_1 U14302 ( .A(\pic_ctrl_inst/intenable_reg [12]), .Y(n17330) ); sky130_fd_sc_hd__clkinv_1 U14303 ( .A(\pic_ctrl_inst/intenable_reg [10]), .Y(n17321) ); sky130_fd_sc_hd__clkinv_1 U14304 ( .A(dec_i0_pc_d[11]), .Y(n24131) ); sky130_fd_sc_hd__clkinv_1 U14305 ( .A(\lsu/stbuf/stbuf_data[1][24] ), .Y( n24804) ); sky130_fd_sc_hd__clkinv_1 U14306 ( .A(\pic_ctrl_inst/intenable_reg [8]), .Y( n16507) ); sky130_fd_sc_hd__clkinv_1 U14307 ( .A(\lsu/end_addr_m [19]), .Y(n11451) ); sky130_fd_sc_hd__clkinv_1 U14308 ( .A(\lsu/stbuf/stbuf_data[2][0] ), .Y( n17687) ); sky130_fd_sc_hd__clkinv_1 U14309 ( .A(\lsu/end_addr_m [2]), .Y(n15825) ); sky130_fd_sc_hd__clkinv_1 U14310 ( .A(\lsu/stbuf/stbuf_data[1][0] ), .Y( n15126) ); sky130_fd_sc_hd__clkinv_1 U14311 ( .A(\pic_ctrl_inst/intenable_reg [6]), .Y( n17328) ); sky130_fd_sc_hd__clkinv_1 U14312 ( .A(\pic_ctrl_inst/intenable_reg [4]), .Y( n16516) ); sky130_fd_sc_hd__clkinv_1 U14313 ( .A(\lsu/lsu_addr_r [29]), .Y(n11517) ); sky130_fd_sc_hd__clkinv_1 U14314 ( .A(\lsu/stbuf/stbuf_byteen[2][2] ), .Y( n23185) ); sky130_fd_sc_hd__clkinv_1 U14315 ( .A(\lsu/end_addr_m [11]), .Y(n13813) ); sky130_fd_sc_hd__clkinv_1 U14316 ( .A(\pic_ctrl_inst/intenable_reg [2]), .Y( n17332) ); sky130_fd_sc_hd__clkinv_1 U14317 ( .A(\lsu/store_data_hi_r [15]), .Y(n23140) ); sky130_fd_sc_hd__clkinv_1 U14318 ( .A(\pic_ctrl_inst/intenable_reg [1]), .Y( n23046) ); sky130_fd_sc_hd__clkinv_1 U14319 ( .A(\lsu/end_addr_r [3]), .Y(n22556) ); sky130_fd_sc_hd__clkinv_1 U14320 ( .A(\lsu/lsu_addr_r [30]), .Y(n11538) ); sky130_fd_sc_hd__clkinv_1 U14321 ( .A(\lsu/stbuf/stbuf_data[1][16] ), .Y( n21967) ); sky130_fd_sc_hd__clkinv_1 U14322 ( .A(\lsu/end_addr_r [29]), .Y(n11452) ); sky130_fd_sc_hd__clkinv_1 U14323 ( .A(\lsu/lsu_addr_r [31]), .Y(n11515) ); sky130_fd_sc_hd__clkinv_1 U14324 ( .A(\lsu/lsu_addr_r [25]), .Y(n11533) ); sky130_fd_sc_hd__clkinv_1 U14325 ( .A(\lsu/stbuf/stbuf_byteen[0][1] ), .Y( n23334) ); sky130_fd_sc_hd__clkinv_1 U14326 ( .A(\lsu/lsu_addr_r [24]), .Y(n11513) ); sky130_fd_sc_hd__clkinv_1 U14327 ( .A(\lsu/stbuf/stbuf_addr[2][11] ), .Y( n21459) ); sky130_fd_sc_hd__clkinv_1 U14328 ( .A(\lsu/end_addr_m [9]), .Y(n15919) ); sky130_fd_sc_hd__clkinv_1 U14329 ( .A(\lsu/end_addr_m [18]), .Y(n11467) ); sky130_fd_sc_hd__clkinv_1 U14330 ( .A(\dbg/dbg_state [2]), .Y(n23039) ); sky130_fd_sc_hd__clkinv_1 U14331 ( .A(\lsu/store_data_hi_r [3]), .Y(n23159) ); sky130_fd_sc_hd__clkinv_1 U14332 ( .A(\lsu/lsu_addr_r [10]), .Y(n22571) ); sky130_fd_sc_hd__clkinv_1 U14333 ( .A(\lsu/stbuf/stbuf_addr[2][6] ), .Y( n21455) ); sky130_fd_sc_hd__clkinv_1 U14334 ( .A(\lsu/stbuf/stbuf_addr[2][4] ), .Y( n21439) ); sky130_fd_sc_hd__clkinv_1 U14335 ( .A(\lsu/stbuf/stbuf_vld [3]), .Y(n21582) ); sky130_fd_sc_hd__clkinv_1 U14336 ( .A(\lsu/store_data_hi_r [11]), .Y(n23131) ); sky130_fd_sc_hd__clkinv_1 U14337 ( .A(\lsu/stbuf/stbuf_addr[2][12] ), .Y( n21447) ); sky130_fd_sc_hd__clkinv_1 U14338 ( .A(\lsu/stbuf/stbuf_addr[2][7] ), .Y( n21448) ); sky130_fd_sc_hd__clkinv_1 U14339 ( .A(\lsu/end_addr_m [3]), .Y(n15914) ); sky130_fd_sc_hd__clkinv_1 U14340 ( .A(\lsu/addr_in_dccm_m ), .Y(n23427) ); sky130_fd_sc_hd__clkinv_1 U14341 ( .A(\lsu/stbuf/stbuf_data[1][4] ), .Y( n17681) ); sky130_fd_sc_hd__clkinv_1 U14342 ( .A(\lsu/lsu_addr_r [4]), .Y(n22559) ); sky130_fd_sc_hd__clkinv_1 U14343 ( .A(\lsu/stbuf/stbuf_addr[2][2] ), .Y( n21446) ); sky130_fd_sc_hd__clkinv_1 U14344 ( .A(\lsu/stbuf/stbuf_vld [2]), .Y(n21588) ); sky130_fd_sc_hd__clkinv_1 U14345 ( .A(\lsu/stbuf/stbuf_data[0][12] ), .Y( n17666) ); sky130_fd_sc_hd__clkinv_1 U14346 ( .A(\lsu/lsu_pkt_r[by] ), .Y(n16391) ); sky130_fd_sc_hd__clkinv_1 U14347 ( .A(\lsu/lsu_addr_m [10]), .Y(n21054) ); sky130_fd_sc_hd__clkinv_1 U14348 ( .A(\lsu/stbuf/stbuf_byteen[2][3] ), .Y( n21677) ); sky130_fd_sc_hd__clkinv_1 U14349 ( .A(\lsu/end_addr_r [25]), .Y(n11473) ); sky130_fd_sc_hd__clkinv_1 U14350 ( .A(\lsu/lsu_lsc_ctl/addr_external_r ), .Y(n17237) ); sky130_fd_sc_hd__clkinv_1 U14351 ( .A(\lsu/stbuf/stbuf_addr[2][5] ), .Y( n21440) ); sky130_fd_sc_hd__clkinv_1 U14352 ( .A(\lsu/end_addr_r [21]), .Y(n11470) ); sky130_fd_sc_hd__clkinv_1 U14353 ( .A(\lsu/end_addr_m [28]), .Y(n11472) ); sky130_fd_sc_hd__clkinv_1 U14354 ( .A(\lsu/stbuf/stbuf_addr[3][15] ), .Y( n21468) ); sky130_fd_sc_hd__clkinv_1 U14355 ( .A(\lsu/stbuf/stbuf_addr[2][13] ), .Y( n21441) ); sky130_fd_sc_hd__clkinv_1 U14356 ( .A(\lsu/store_data_hi_r [7]), .Y(n23167) ); sky130_fd_sc_hd__clkinv_1 U14357 ( .A(\lsu/stbuf/stbuf_data[2][11] ), .Y( n17706) ); sky130_fd_sc_hd__clkinv_1 U14358 ( .A(\lsu/stbuf/stbuf_addr[2][8] ), .Y( n21449) ); sky130_fd_sc_hd__clkinv_1 U14359 ( .A(\lsu/lsu_addr_m [8]), .Y(n13945) ); sky130_fd_sc_hd__clkinv_1 U14360 ( .A(\lsu/store_data_lo_r [31]), .Y(n24881) ); sky130_fd_sc_hd__clkinv_1 U14361 ( .A(\lsu/stbuf/stbuf_data[1][5] ), .Y( n17699) ); sky130_fd_sc_hd__clkinv_1 U14362 ( .A(\lsu/lsu_pkt_r[half] ), .Y(n13875) ); sky130_fd_sc_hd__clkinv_1 U14363 ( .A(\lsu/stbuf/stbuf_addr[2][10] ), .Y( n21438) ); sky130_fd_sc_hd__clkinv_1 U14364 ( .A(dec_i0_pc_d[3]), .Y(n24186) ); sky130_fd_sc_hd__clkinv_1 U14365 ( .A(\lsu/lsu_pkt_r[word] ), .Y(n17211) ); sky130_fd_sc_hd__clkinv_1 U14366 ( .A(\dbg/dmcontrol_reg_1 ), .Y(n24494) ); sky130_fd_sc_hd__clkinv_1 U14367 ( .A(\lsu/stbuf/stbuf_addr[2][15] ), .Y( n21457) ); sky130_fd_sc_hd__clkinv_1 U14368 ( .A(\lsu/store_data_lo_r [23]), .Y(n22536) ); sky130_fd_sc_hd__clkinv_1 U14369 ( .A(\dec/decode/i0_result_r [22]), .Y( n17253) ); sky130_fd_sc_hd__clkinv_1 U14370 ( .A(\lsu/stbuf/stbuf_data[0][11] ), .Y( n17703) ); sky130_fd_sc_hd__clkinv_1 U14371 ( .A(\lsu/lsu_addr_m [2]), .Y(n21089) ); sky130_fd_sc_hd__clkinv_1 U14372 ( .A(\lsu/end_addr_r [30]), .Y(n11621) ); sky130_fd_sc_hd__clkinv_1 U14373 ( .A(\lsu/store_data_lo_r [18]), .Y(n22529) ); sky130_fd_sc_hd__clkinv_1 U14374 ( .A(\lsu/end_addr_m [14]), .Y(n13822) ); sky130_fd_sc_hd__clkinv_1 U14375 ( .A(\lsu/end_addr_r [31]), .Y(n11461) ); sky130_fd_sc_hd__clkinv_1 U14376 ( .A(\dec/decode/leak1_i1_stall ), .Y( n21744) ); sky130_fd_sc_hd__clkinv_1 U14377 ( .A(\lsu/store_data_lo_r [26]), .Y(n24827) ); sky130_fd_sc_hd__clkinv_1 U14378 ( .A(\lsu/stbuf/stbuf_addr[1][15] ), .Y( n21408) ); sky130_fd_sc_hd__clkinv_1 U14379 ( .A(\lsu/lsu_addr_m [31]), .Y(n21001) ); sky130_fd_sc_hd__clkinv_1 U14380 ( .A(\lsu/stbuf/stbuf_addr[1][2] ), .Y( n21504) ); sky130_fd_sc_hd__clkinv_1 U14381 ( .A(\exu/mul_valid_x ), .Y(n21710) ); sky130_fd_sc_hd__clkinv_1 U14382 ( .A(\lsu/store_data_lo_r [19]), .Y(n22530) ); sky130_fd_sc_hd__clkinv_1 U14383 ( .A(\ifu/ifc_fetch_addr_f [8]), .Y(n18673) ); sky130_fd_sc_hd__clkinv_1 U14384 ( .A(\lsu/stbuf/stbuf_addr[1][9] ), .Y( n21495) ); sky130_fd_sc_hd__clkinv_1 U14385 ( .A(\lsu/stbuf/stbuf_addr[1][13] ), .Y( n21511) ); sky130_fd_sc_hd__clkinv_1 U14386 ( .A(\lsu/end_addr_m [24]), .Y(n11460) ); sky130_fd_sc_hd__clkinv_1 U14387 ( .A(\lsu/lsu_addr_m [7]), .Y(n20930) ); sky130_fd_sc_hd__clkinv_1 U14388 ( .A(\pic_ctrl_inst/gw_config_reg[31][0] ), .Y(n22787) ); sky130_fd_sc_hd__clkinv_1 U14389 ( .A(\lsu/end_addr_r [22]), .Y(n11476) ); sky130_fd_sc_hd__clkinv_1 U14390 ( .A(\ifu/ifc_fetch_addr_f [6]), .Y(n18662) ); sky130_fd_sc_hd__clkinv_1 U14391 ( .A(\lsu/stbuf/stbuf_addr[3][9] ), .Y( n21383) ); sky130_fd_sc_hd__clkinv_1 U14392 ( .A(\ifu/ifc_fetch_addr_f [4]), .Y(n18656) ); sky130_fd_sc_hd__clkinv_1 U14393 ( .A(\lsu/lsu_addr_r [13]), .Y(n22577) ); sky130_fd_sc_hd__clkinv_1 U14394 ( .A(\lsu/stbuf/stbuf_addr[1][14] ), .Y( n21505) ); sky130_fd_sc_hd__clkinv_1 U14395 ( .A(\lsu/end_addr_r [20]), .Y(n11468) ); sky130_fd_sc_hd__clkinv_1 U14396 ( .A(\dbg/dbg_state [0]), .Y(n23019) ); sky130_fd_sc_hd__clkinv_1 U14397 ( .A(\lsu/lsu_pkt_r[load] ), .Y(n21785) ); sky130_fd_sc_hd__clkinv_1 U14398 ( .A(\lsu/lsu_addr_m [6]), .Y(n21049) ); sky130_fd_sc_hd__clkinv_1 U14399 ( .A(\dbg/dbg_state [1]), .Y(n23026) ); sky130_fd_sc_hd__clkinv_1 U14400 ( .A(\ifu/aln/f1val [0]), .Y(n18482) ); sky130_fd_sc_hd__clkinv_1 U14401 ( .A(\lsu/stbuf/stbuf_addr[1][8] ), .Y( n21507) ); sky130_fd_sc_hd__clkinv_1 U14402 ( .A(\lsu/lsu_addr_m [12]), .Y(n21096) ); sky130_fd_sc_hd__clkinv_1 U14403 ( .A(\pic_ctrl_inst/gw_config_reg[18][0] ), .Y(n22748) ); sky130_fd_sc_hd__clkinv_1 U14404 ( .A(\lsu/lsu_addr_r [5]), .Y(n22561) ); sky130_fd_sc_hd__clkinv_1 U14405 ( .A(\lsu/lsu_addr_m [4]), .Y(n21104) ); sky130_fd_sc_hd__clkinv_1 U14406 ( .A(\lsu/stbuf/stbuf_data[1][11] ), .Y( n17702) ); sky130_fd_sc_hd__clkinv_1 U14407 ( .A(\dec/decode/i0_result_r [20]), .Y( n17249) ); sky130_fd_sc_hd__clkinv_1 U14408 ( .A(dec_i0_pc_d[12]), .Y(n24198) ); sky130_fd_sc_hd__clkinv_1 U14409 ( .A(\lsu/store_data_lo_r [27]), .Y(n24837) ); sky130_fd_sc_hd__clkinv_1 U14410 ( .A(\lsu/stbuf/stbuf_addr[1][11] ), .Y( n21509) ); sky130_fd_sc_hd__clkinv_1 U14411 ( .A(dec_tlu_dbg_halted), .Y(n10898) ); sky130_fd_sc_hd__clkinv_1 U14412 ( .A(n24012), .Y(n18805) ); sky130_fd_sc_hd__clkinv_1 U14413 ( .A(\dec/decode/cam[0][rd][2] ), .Y(n24096) ); sky130_fd_sc_hd__clkinv_1 U14414 ( .A(\dec/decode/cam[0][rd][1] ), .Y(n24094) ); sky130_fd_sc_hd__clkinv_1 U14415 ( .A(\dec/decode/cam[0][rd][0] ), .Y(n24092) ); sky130_fd_sc_hd__clkinv_1 U14416 ( .A(\dec/dec_div_active ), .Y(n16408) ); sky130_fd_sc_hd__clkinv_1 U14417 ( .A(\dec/decode/cam[0][rd][3] ), .Y(n24098) ); sky130_fd_sc_hd__clkinv_1 U14418 ( .A(\dec/decode/cam[1][rd][0] ), .Y(n24082) ); sky130_fd_sc_hd__clkinv_1 U14419 ( .A(\dec/div_waddr_wb [4]), .Y(n22696) ); sky130_fd_sc_hd__clkinv_1 U14420 ( .A(\dec/decode/cam[1][rd][2] ), .Y(n24084) ); sky130_fd_sc_hd__clkinv_1 U14421 ( .A(\dec/div_waddr_wb [1]), .Y(n22692) ); sky130_fd_sc_hd__clkinv_1 U14422 ( .A(\dec/decode/cam[2][rd][4] ), .Y(n24077) ); sky130_fd_sc_hd__clkinv_1 U14423 ( .A(\dec/decode/cam[0][rd][4] ), .Y(n24100) ); sky130_fd_sc_hd__clkinv_1 U14424 ( .A(\dec/decode/cam[2][rd][3] ), .Y(n24076) ); sky130_fd_sc_hd__clkinv_1 U14425 ( .A(\dec/div_waddr_wb [3]), .Y(n22694) ); sky130_fd_sc_hd__clkinv_1 U14426 ( .A(\dec/decode/cam[1][rd][3] ), .Y(n24085) ); sky130_fd_sc_hd__clkinv_1 U14427 ( .A(\dec/decode/cam[3][rd][3] ), .Y(n24061) ); sky130_fd_sc_hd__clkinv_1 U14428 ( .A(\dec/decode/cam[2][rd][2] ), .Y(n24075) ); sky130_fd_sc_hd__clkinv_1 U14429 ( .A(\dec/decode/cam[2][rd][0] ), .Y(n24073) ); sky130_fd_sc_hd__clkinv_1 U14430 ( .A(\dec/decode/cam[1][rd][1] ), .Y(n24083) ); sky130_fd_sc_hd__clkinv_1 U14431 ( .A(\dec/decode/cam[2][rd][1] ), .Y(n24074) ); sky130_fd_sc_hd__clkinv_1 U14432 ( .A(\dec/decode/cam[3][rd][4] ), .Y(n24062) ); sky130_fd_sc_hd__clkinv_1 U14433 ( .A(\dec/decode/cam[3][rd][1] ), .Y(n24059) ); sky130_fd_sc_hd__clkinv_1 U14434 ( .A(\dec/decode/cam[3][rd][2] ), .Y(n24060) ); sky130_fd_sc_hd__clkinv_1 U14435 ( .A(\dec/decode/cam[3][rd][0] ), .Y(n24058) ); sky130_fd_sc_hd__clkinv_1 U14436 ( .A(\lsu/dccm_rdata_lo_m [10]), .Y(n17274) ); sky130_fd_sc_hd__clkinv_1 U14437 ( .A(\lsu/dccm_rdata_lo_m [13]), .Y(n14515) ); sky130_fd_sc_hd__clkinv_1 U14438 ( .A(\lsu/dccm_rdata_lo_m [19]), .Y(n17736) ); sky130_fd_sc_hd__clkinv_1 U14439 ( .A(\lsu/dccm_rdata_hi_m [15]), .Y(n15805) ); sky130_fd_sc_hd__clkinv_1 U14440 ( .A(\lsu/dccm_rdata_lo_m [8]), .Y(n17286) ); sky130_fd_sc_hd__clkinv_1 U14441 ( .A(\lsu/dccm_rdata_lo_m [27]), .Y(n17278) ); sky130_fd_sc_hd__clkinv_1 U14442 ( .A(\lsu/dccm_rdata_lo_m [25]), .Y(n17729) ); sky130_fd_sc_hd__clkinv_1 U14443 ( .A(\lsu/dccm_rdata_lo_m [9]), .Y(n17752) ); sky130_fd_sc_hd__clkinv_1 U14444 ( .A(\lsu/dccm_rdata_lo_m [17]), .Y(n23480) ); sky130_fd_sc_hd__clkinv_1 U14445 ( .A(\lsu/dccm_rdata_lo_m [4]), .Y(n17737) ); sky130_fd_sc_hd__clkinv_1 U14446 ( .A(\lsu/dccm_rdata_lo_m [15]), .Y(n17778) ); sky130_fd_sc_hd__clkinv_1 U14447 ( .A(\lsu/dccm_rdata_lo_m [1]), .Y(n17287) ); sky130_fd_sc_hd__clkinv_1 U14448 ( .A(scan_mode), .Y(n21804) ); sky130_fd_sc_hd__clkinv_1 U14449 ( .A(rst_l), .Y(n25060) ); sky130_fd_sc_hd__clkinv_1 U14450 ( .A(\lsu/dccm_rdata_lo_m [12]), .Y(n17763) ); sky130_fd_sc_hd__clkinv_1 U14451 ( .A(n10889), .Y(n10885) ); sky130_fd_sc_hd__clkbuf_1 U14452 ( .A(\pic_ctrl_inst/config_reg ), .X(n10886) ); sky130_fd_sc_hd__clkbuf_1 U14453 ( .A(\pic_ctrl_inst/config_reg ), .X(n10888) ); sky130_fd_sc_hd__clkbuf_1 U14454 ( .A(\pic_ctrl_inst/config_reg ), .X(n10889) ); sky130_fd_sc_hd__clkbuf_1 U14455 ( .A(\pic_ctrl_inst/config_reg ), .X(n10890) ); sky130_fd_sc_hd__clkbuf_1 U14456 ( .A(\pic_ctrl_inst/config_reg ), .X(n10891) ); sky130_fd_sc_hd__clkbuf_1 U14457 ( .A(\pic_ctrl_inst/config_reg ), .X(n10892) ); sky130_fd_sc_hd__clkbuf_1 U14458 ( .A(\pic_ctrl_inst/config_reg ), .X(n10893) ); sky130_fd_sc_hd__and2_0 U14459 ( .A(\dec/decode/r_d[i0v] ), .B( \dec/decode/r_d[i0load] ), .X(n17260) ); sky130_fd_sc_hd__inv_2 U14460 ( .A(n19368), .Y(n19376) ); sky130_fd_sc_hd__a31oi_1 U14461 ( .A1(\lsu/addr_in_dccm_d ), .A2( dma_dccm_req), .A3(n10897), .B1(n22278), .Y(n22197) ); sky130_fd_sc_hd__and2_0 U14462 ( .A(n15666), .B(n15635), .X(n15626) ); sky130_fd_sc_hd__buf_2 U14463 ( .A(n17304), .X(n18473) ); sky130_fd_sc_hd__nor4_1 U14464 ( .A(n21803), .B(exu_flush_final), .C( \ifu/ifu_fetch_val [0]), .D(\ifu/ifu_bp_hit_taken_f ), .Y(n17304) ); sky130_fd_sc_hd__and2_0 U14465 ( .A(n23472), .B( \lsu/bus_intf/ldst_byteen_m [1]), .X(n21052) ); sky130_fd_sc_hd__and2_0 U14466 ( .A(\pic_ctrl_inst/picm_raddr_ff [12]), .B( n14215), .X(n14720) ); sky130_fd_sc_hd__and2_0 U14467 ( .A(\exu/predpipe_x [15]), .B( \exu/i0_flush_upper_x ), .X(exu_mp_eghr[2]) ); sky130_fd_sc_hd__and2_0 U14468 ( .A(\exu/predpipe_x [13]), .B( \exu/i0_flush_upper_x ), .X(exu_mp_eghr[0]) ); sky130_fd_sc_hd__a21boi_0 U14469 ( .A1(\pic_ctrl_inst/gw_config_reg[30][1] ), .A2( \pic_ctrl_inst/SETREG[30].NON_ZERO_INT.config_gw_inst/gw_int_pending ), .B1_N(n21243), .Y(n16496) ); sky130_fd_sc_hd__a21boi_0 U14470 ( .A1(\pic_ctrl_inst/gw_config_reg[20][1] ), .A2( \pic_ctrl_inst/SETREG[20].NON_ZERO_INT.config_gw_inst/gw_int_pending ), .B1_N(n21274), .Y(n16485) ); sky130_fd_sc_hd__a21boi_0 U14471 ( .A1(\pic_ctrl_inst/gw_config_reg[29][1] ), .A2( \pic_ctrl_inst/SETREG[29].NON_ZERO_INT.config_gw_inst/gw_int_pending ), .B1_N(n21245), .Y(n16500) ); sky130_fd_sc_hd__a21boi_0 U14472 ( .A1(\pic_ctrl_inst/gw_config_reg[3][1] ), .A2( \pic_ctrl_inst/SETREG[3].NON_ZERO_INT.config_gw_inst/gw_int_pending ), .B1_N(n21319), .Y(n16522) ); sky130_fd_sc_hd__a21boi_0 U14473 ( .A1(\pic_ctrl_inst/gw_config_reg[10][1] ), .A2( \pic_ctrl_inst/SETREG[10].NON_ZERO_INT.config_gw_inst/gw_int_pending ), .B1_N(n21301), .Y(n16510) ); sky130_fd_sc_hd__a21boi_0 U14474 ( .A1(\pic_ctrl_inst/gw_config_reg[8][1] ), .A2( \pic_ctrl_inst/SETREG[8].NON_ZERO_INT.config_gw_inst/gw_int_pending ), .B1_N(n21306), .Y(n16508) ); sky130_fd_sc_hd__a21boi_0 U14475 ( .A1(\pic_ctrl_inst/gw_config_reg[16][1] ), .A2( \pic_ctrl_inst/SETREG[16].NON_ZERO_INT.config_gw_inst/gw_int_pending ), .B1_N(n21285), .Y(n16479) ); sky130_fd_sc_hd__a21boi_0 U14476 ( .A1( \pic_ctrl_inst/SETREG[25].NON_ZERO_INT.config_gw_inst/gw_int_pending ), .A2(\pic_ctrl_inst/gw_config_reg[25][1] ), .B1_N(n21257), .Y(n16493) ); sky130_fd_sc_hd__o2bb2ai_1 U14477 ( .B1(\pic_ctrl_inst/picm_raddr_ff [12]), .B2(\pic_ctrl_inst/picm_waddr_ff [12]), .A1_N( \pic_ctrl_inst/picm_raddr_ff [12]), .A2_N( \pic_ctrl_inst/picm_waddr_ff [12]), .Y(n13847) ); sky130_fd_sc_hd__a21boi_0 U14478 ( .A1( \pic_ctrl_inst/SETREG[9].NON_ZERO_INT.config_gw_inst/gw_int_pending ), .A2(\pic_ctrl_inst/gw_config_reg[9][1] ), .B1_N(n21304), .Y(n16509) ); sky130_fd_sc_hd__a21boi_0 U14479 ( .A1( \pic_ctrl_inst/SETREG[11].NON_ZERO_INT.config_gw_inst/gw_int_pending ), .A2(\pic_ctrl_inst/gw_config_reg[11][1] ), .B1_N(n21298), .Y(n16511) ); sky130_fd_sc_hd__a21boi_0 U14480 ( .A1(\pic_ctrl_inst/gw_config_reg[12][1] ), .A2( \pic_ctrl_inst/SETREG[12].NON_ZERO_INT.config_gw_inst/gw_int_pending ), .B1_N(n21293), .Y(n16504) ); sky130_fd_sc_hd__a21boi_0 U14481 ( .A1(\pic_ctrl_inst/gw_config_reg[13][1] ), .A2( \pic_ctrl_inst/SETREG[13].NON_ZERO_INT.config_gw_inst/gw_int_pending ), .B1_N(n21291), .Y(n16505) ); sky130_fd_sc_hd__a21boi_0 U14482 ( .A1(\pic_ctrl_inst/gw_config_reg[14][1] ), .A2( \pic_ctrl_inst/SETREG[14].NON_ZERO_INT.config_gw_inst/gw_int_pending ), .B1_N(n21289), .Y(n16501) ); sky130_fd_sc_hd__a21boi_0 U14483 ( .A1(\pic_ctrl_inst/gw_config_reg[15][1] ), .A2( \pic_ctrl_inst/SETREG[15].NON_ZERO_INT.config_gw_inst/gw_int_pending ), .B1_N(n21287), .Y(n16502) ); sky130_fd_sc_hd__a21boi_0 U14484 ( .A1(\pic_ctrl_inst/gw_config_reg[23][1] ), .A2( \pic_ctrl_inst/SETREG[23].NON_ZERO_INT.config_gw_inst/gw_int_pending ), .B1_N(n21264), .Y(n16482) ); sky130_fd_sc_hd__clkinv_1 U14485 ( .A(\lsu/stbuf/stbuf_addr[0][6] ), .Y( n21367) ); sky130_fd_sc_hd__clkinv_1 U14486 ( .A(\lsu/stbuf/stbuf_addr[0][15] ), .Y( n21356) ); sky130_fd_sc_hd__and2_0 U14487 ( .A(\dec/decode/cam_raw[1][valid] ), .B( n14078), .X(n11675) ); sky130_fd_sc_hd__and2_0 U14488 ( .A(\exu/i0_predict_p_x[hist][0] ), .B( \exu/i0_flush_upper_x ), .X(\exu_mp_pkt[hist][0] ) ); sky130_fd_sc_hd__a21boi_0 U14489 ( .A1(\pic_ctrl_inst/gw_config_reg[19][1] ), .A2( \pic_ctrl_inst/SETREG[19].NON_ZERO_INT.config_gw_inst/gw_int_pending ), .B1_N(n21277), .Y(n16779) ); sky130_fd_sc_hd__a21boi_0 U14490 ( .A1(\pic_ctrl_inst/gw_config_reg[21][1] ), .A2( \pic_ctrl_inst/SETREG[21].NON_ZERO_INT.config_gw_inst/gw_int_pending ), .B1_N(n21271), .Y(n16860) ); sky130_fd_sc_hd__a21boi_0 U14491 ( .A1( \pic_ctrl_inst/SETREG[17].NON_ZERO_INT.config_gw_inst/gw_int_pending ), .A2(\pic_ctrl_inst/gw_config_reg[17][1] ), .B1_N(n21282), .Y(n16803) ); sky130_fd_sc_hd__a21boi_0 U14492 ( .A1(\pic_ctrl_inst/gw_config_reg[27][1] ), .A2( \pic_ctrl_inst/SETREG[27].NON_ZERO_INT.config_gw_inst/gw_int_pending ), .B1_N(n21249), .Y(n16490) ); sky130_fd_sc_hd__a21boi_0 U14493 ( .A1(\pic_ctrl_inst/gw_config_reg[24][1] ), .A2( \pic_ctrl_inst/SETREG[24].NON_ZERO_INT.config_gw_inst/gw_int_pending ), .B1_N(n21261), .Y(n16492) ); sky130_fd_sc_hd__a21boi_0 U14494 ( .A1(\pic_ctrl_inst/gw_config_reg[7][1] ), .A2( \pic_ctrl_inst/SETREG[7].NON_ZERO_INT.config_gw_inst/gw_int_pending ), .B1_N(n21310), .Y(n16514) ); sky130_fd_sc_hd__inv_2 U14495 ( .A(\lsu/lsu_addr_m [11]), .Y(n13946) ); sky130_fd_sc_hd__a21boi_0 U14496 ( .A1(\pic_ctrl_inst/gw_config_reg[5][1] ), .A2( \pic_ctrl_inst/SETREG[5].NON_ZERO_INT.config_gw_inst/gw_int_pending ), .B1_N(n21314), .Y(n16518) ); sky130_fd_sc_hd__a21boi_0 U14497 ( .A1(\pic_ctrl_inst/gw_config_reg[31][1] ), .A2( \pic_ctrl_inst/SETREG[31].NON_ZERO_INT.config_gw_inst/gw_int_pending ), .B1_N(n21240), .Y(n16497) ); sky130_fd_sc_hd__and2_0 U14498 ( .A(\exu/predpipe_x [6]), .B( \exu/i0_flush_upper_x ), .X(exu_mp_index[3]) ); sky130_fd_sc_hd__and2_0 U14499 ( .A(\exu/predpipe_x [11]), .B( \exu/i0_flush_upper_x ), .X(exu_mp_index[8]) ); sky130_fd_sc_hd__inv_2 U14500 ( .A(n21806), .Y(n22278) ); sky130_fd_sc_hd__and2_0 U14501 ( .A(\exu/predpipe_x [20]), .B( \exu/i0_flush_upper_x ), .X(exu_mp_eghr[7]) ); sky130_fd_sc_hd__inv_2 U14502 ( .A(\lsu/lsu_addr_m [14]), .Y(n21061) ); sky130_fd_sc_hd__and2_0 U14503 ( .A(n12538), .B(\exu/i_mul/rs1_x [2]), .X( n10902) ); sky130_fd_sc_hd__o2bb2ai_1 U14504 ( .B1(\lsu/lsu_addr_m [0]), .B2(n15038), .A1_N(\lsu/lsu_addr_m [0]), .A2_N(n15248), .Y(n14546) ); sky130_fd_sc_hd__and2_0 U14505 ( .A(n13839), .B(n11568), .X(n11576) ); sky130_fd_sc_hd__o21ai_1 U14506 ( .A1(\lsu/dccm_ctl/lsu_dccm_rden_d ), .A2( n22197), .B1(n20392), .Y(n23426) ); sky130_fd_sc_hd__clkinv_1 U14507 ( .A(\lsu/stbuf/ldst_dual_r ), .Y(n21530) ); sky130_fd_sc_hd__clkinv_1 U14508 ( .A(n15048), .Y(n12543) ); sky130_fd_sc_hd__inv_2 U14509 ( .A(n16078), .Y(n16058) ); sky130_fd_sc_hd__inv_2 U14510 ( .A(n14446), .Y(n14664) ); sky130_fd_sc_hd__clkinv_1 U14511 ( .A(n14522), .Y(n14678) ); sky130_fd_sc_hd__clkinv_1 U14512 ( .A(n15605), .Y(n15473) ); sky130_fd_sc_hd__and2_0 U14513 ( .A(\dec/decode/r_d[i0div] ), .B( \dec/decode/r_d[i0valid] ), .X(\dec/dec_tlu_packet_r[pmu_divide] ) ); sky130_fd_sc_hd__clkinv_1 U14514 ( .A(n24270), .Y(n24300) ); sky130_fd_sc_hd__clkinv_1 U14515 ( .A(n24269), .Y(n24298) ); sky130_fd_sc_hd__clkinv_1 U14516 ( .A(n17851), .Y(n18376) ); sky130_fd_sc_hd__clkinv_1 U14517 ( .A(n22031), .Y(n22017) ); sky130_fd_sc_hd__inv_2 U14518 ( .A(n16158), .Y(n19362) ); sky130_fd_sc_hd__clkinv_1 U14519 ( .A( \lsu/dccm_ctl/ld_single_ecc_error_hi_r_ff ), .Y(n22454) ); sky130_fd_sc_hd__clkinv_1 U14520 ( .A(dccm_rd_addr_lo[3]), .Y(n21817) ); sky130_fd_sc_hd__nand4_1 U14521 ( .A(\lsu/addr_in_dccm_d ), .B(dma_dccm_req), .C(n21806), .D(n10897), .Y(n22123) ); sky130_fd_sc_hd__clkinv_1 U14522 ( .A(n15996), .Y(n15997) ); sky130_fd_sc_hd__a21boi_0 U14523 ( .A1(n17315), .A2( \dec/decode/i0_result_r [15]), .B1_N(n17193), .Y(n17722) ); sky130_fd_sc_hd__clkinv_1 U14524 ( .A(n17241), .Y(n17192) ); sky130_fd_sc_hd__inv_2 U14525 ( .A(\dec/decode/r_d[csrwonly] ), .Y(n17723) ); sky130_fd_sc_hd__and2_0 U14526 ( .A(n21567), .B(\dbg/dbg_state [1]), .X( n23037) ); sky130_fd_sc_hd__inv_2 U14527 ( .A(n15534), .Y(n15590) ); sky130_fd_sc_hd__inv_2 U14528 ( .A(n15328), .Y(n15566) ); sky130_fd_sc_hd__inv_2 U14529 ( .A(n15418), .Y(n15567) ); sky130_fd_sc_hd__clkinv_1 U14530 ( .A(n19349), .Y(n24545) ); sky130_fd_sc_hd__and2_0 U14531 ( .A(n21329), .B(\lsu/lsu_pkt_d[word] ), .X( n17834) ); sky130_fd_sc_hd__clkinv_1 U14532 ( .A(n20386), .Y(n20601) ); sky130_fd_sc_hd__clkinv_1 U14533 ( .A(n19352), .Y(n24547) ); sky130_fd_sc_hd__clkinv_1 U14534 ( .A(\dec/decode/write_csr_data [3]), .Y( n24774) ); sky130_fd_sc_hd__clkinv_1 U14535 ( .A(n19640), .Y(n24550) ); sky130_fd_sc_hd__clkinv_1 U14536 ( .A(n19735), .Y(n24555) ); sky130_fd_sc_hd__a21boi_0 U14537 ( .A1(n14664), .A2(\lsu/store_data_r [29]), .B1_N(n11432), .Y(n11433) ); sky130_fd_sc_hd__and2_0 U14538 ( .A(n13869), .B(n13868), .X(n18344) ); sky130_fd_sc_hd__and2_0 U14539 ( .A(n13882), .B(n13881), .X(n18343) ); sky130_fd_sc_hd__inv_2 U14540 ( .A(dma_mem_addr[1]), .Y(n22539) ); sky130_fd_sc_hd__clkinv_1 U14541 ( .A(n21556), .Y(n17639) ); sky130_fd_sc_hd__and2_0 U14542 ( .A(n13967), .B(n13966), .X(n18347) ); sky130_fd_sc_hd__and2_0 U14543 ( .A(n14004), .B(n14003), .X(n18346) ); sky130_fd_sc_hd__and2_0 U14544 ( .A(n17677), .B(n17676), .X(n23274) ); sky130_fd_sc_hd__clkinv_1 U14545 ( .A(\lsu/stbuf/stbuf_dma_kill [3]), .Y( n21578) ); sky130_fd_sc_hd__nor2_1 U14546 ( .A(n22661), .B(n21380), .Y(n22663) ); sky130_fd_sc_hd__nor2_1 U14547 ( .A(n21999), .B(n22661), .Y(n21690) ); sky130_fd_sc_hd__nand2_1 U14548 ( .A(n23426), .B(n21697), .Y(n22662) ); sky130_fd_sc_hd__clkinv_1 U14549 ( .A(\lsu/stbuf/RdPtr [0]), .Y(n22660) ); sky130_fd_sc_hd__inv_2 U14550 ( .A(n22662), .Y(n22661) ); sky130_fd_sc_hd__nor2_1 U14551 ( .A(n22031), .B(n22661), .Y(n21676) ); sky130_fd_sc_hd__inv_2 U14552 ( .A(\lsu/end_addr_r [14]), .Y(n22578) ); sky130_fd_sc_hd__inv_2 U14553 ( .A(\lsu/lsu_addr_r [14]), .Y(n22579) ); sky130_fd_sc_hd__inv_2 U14554 ( .A(\lsu/end_addr_r [13]), .Y(n22576) ); sky130_fd_sc_hd__inv_2 U14555 ( .A(\lsu/lsu_addr_r [12]), .Y(n22575) ); sky130_fd_sc_hd__inv_2 U14556 ( .A(\lsu/end_addr_r [12]), .Y(n22574) ); sky130_fd_sc_hd__inv_2 U14557 ( .A(\lsu/end_addr_r [11]), .Y(n22572) ); sky130_fd_sc_hd__inv_2 U14558 ( .A(\lsu/lsu_addr_r [11]), .Y(n22573) ); sky130_fd_sc_hd__inv_2 U14559 ( .A(\lsu/end_addr_r [10]), .Y(n22570) ); sky130_fd_sc_hd__inv_2 U14560 ( .A(\lsu/end_addr_r [9]), .Y(n22568) ); sky130_fd_sc_hd__inv_2 U14561 ( .A(\lsu/end_addr_r [8]), .Y(n22566) ); sky130_fd_sc_hd__inv_2 U14562 ( .A(\lsu/end_addr_r [7]), .Y(n22564) ); sky130_fd_sc_hd__inv_2 U14563 ( .A(\lsu/lsu_addr_r [6]), .Y(n22563) ); sky130_fd_sc_hd__inv_2 U14564 ( .A(\lsu/end_addr_r [5]), .Y(n22560) ); sky130_fd_sc_hd__inv_2 U14565 ( .A(\lsu/end_addr_r [4]), .Y(n22558) ); sky130_fd_sc_hd__inv_2 U14566 ( .A(\lsu/lsu_addr_r [2]), .Y(n22555) ); sky130_fd_sc_hd__inv_2 U14567 ( .A(\lsu/end_addr_r [2]), .Y(n22554) ); sky130_fd_sc_hd__inv_2 U14568 ( .A(\lsu/lsu_addr_r [0]), .Y(n22551) ); sky130_fd_sc_hd__inv_2 U14569 ( .A(\lsu/end_addr_r [15]), .Y(n22580) ); sky130_fd_sc_hd__clkinv_1 U14570 ( .A(n19782), .Y(n24557) ); sky130_fd_sc_hd__clkinv_1 U14571 ( .A(\exu/ghr_x [0]), .Y(n24522) ); sky130_fd_sc_hd__nor3_1 U14572 ( .A(n11423), .B(n17790), .C(n11422), .Y( n24052) ); sky130_fd_sc_hd__clkinv_1 U14573 ( .A(n24107), .Y(n24044) ); sky130_fd_sc_hd__inv_2 U14574 ( .A(n25053), .Y(n15122) ); sky130_fd_sc_hd__clkinv_1 U14575 ( .A(\lsu/lsu_pkt_m[valid] ), .Y(n20383) ); sky130_fd_sc_hd__and2_0 U14576 ( .A(n15648), .B(n15619), .X(n15635) ); sky130_fd_sc_hd__clkinv_1 U14577 ( .A(n17837), .Y(n17826) ); sky130_fd_sc_hd__clkinv_1 U14578 ( .A(n17083), .Y(n15659) ); sky130_fd_sc_hd__clkinv_1 U14579 ( .A(n15671), .Y(n21324) ); sky130_fd_sc_hd__clkinv_1 U14580 ( .A(dccm_rd_addr_lo[2]), .Y(n21815) ); sky130_fd_sc_hd__a21boi_0 U14581 ( .A1(n14078), .A2(n14083), .B1_N( \dec/decode/cam_raw[1][valid] ), .Y(n22514) ); sky130_fd_sc_hd__a21boi_0 U14582 ( .A1(n14070), .A2(n14083), .B1_N( \dec/decode/cam_raw[2][valid] ), .Y(n22513) ); sky130_fd_sc_hd__clkinv_1 U14583 ( .A(n22513), .Y(n24067) ); sky130_fd_sc_hd__a21boi_0 U14584 ( .A1(n14084), .A2(n14083), .B1_N( \dec/decode/cam_raw[3][valid] ), .Y(n22496) ); sky130_fd_sc_hd__a21boi_0 U14585 ( .A1(n14061), .A2(n14083), .B1_N( \dec/decode/cam_raw[0][valid] ), .Y(n22518) ); sky130_fd_sc_hd__clkinv_1 U14586 ( .A(\ifu/ifc_fetch_addr_f [30]), .Y(n18764) ); sky130_fd_sc_hd__clkinv_1 U14587 ( .A(\ifu/ifc_fetch_addr_f [28]), .Y(n18753) ); sky130_fd_sc_hd__clkinv_1 U14588 ( .A(\ifu/ifc_fetch_addr_f [26]), .Y(n18746) ); sky130_fd_sc_hd__clkinv_1 U14589 ( .A(\ifu/ifc_fetch_addr_f [25]), .Y(n18738) ); sky130_fd_sc_hd__clkinv_1 U14590 ( .A(\ifu/ifc_fetch_addr_f [17]), .Y(n18709) ); sky130_fd_sc_hd__clkinv_1 U14591 ( .A(\ifu/ifc_fetch_addr_f [15]), .Y(n18698) ); sky130_fd_sc_hd__clkinv_1 U14592 ( .A(n19355), .Y(n24552) ); sky130_fd_sc_hd__and2_0 U14593 ( .A(n11171), .B(n11170), .X(n11177) ); sky130_fd_sc_hd__inv_2 U14594 ( .A(\dec/dec_i0_rs1_d [1]), .Y(n23935) ); sky130_fd_sc_hd__inv_2 U14595 ( .A(\dec/dec_i0_rs1_d [2]), .Y(n23936) ); sky130_fd_sc_hd__inv_2 U14596 ( .A(\exu/i0_predict_p_d[toffset][4] ), .Y( n24017) ); sky130_fd_sc_hd__clkinv_1 U14597 ( .A(n24268), .Y(n24297) ); sky130_fd_sc_hd__and2_0 U14598 ( .A(n18376), .B(n18797), .X(n18385) ); sky130_fd_sc_hd__o2bb2ai_1 U14599 ( .B1(n18778), .B2(n17852), .A1_N(n18778), .A2_N(\ifu/aln/f0val [0]), .Y(n18496) ); sky130_fd_sc_hd__clkinv_1 U14600 ( .A(n19351), .Y(n24549) ); sky130_fd_sc_hd__clkinv_1 U14601 ( .A(n19354), .Y(n24553) ); sky130_fd_sc_hd__clkinv_1 U14602 ( .A(n19755), .Y(n24556) ); sky130_fd_sc_hd__clkinv_1 U14603 ( .A(\exu/i_div/_0_net_ ), .Y(n22695) ); sky130_fd_sc_hd__clkinv_1 U14604 ( .A(n19708), .Y(n24554) ); sky130_fd_sc_hd__clkinv_1 U14605 ( .A(n19353), .Y(n24546) ); sky130_fd_sc_hd__clkinv_1 U14606 ( .A(n17466), .Y(n24540) ); sky130_fd_sc_hd__clkinv_1 U14607 ( .A(dec_tlu_flush_leak_one_r), .Y(n21740) ); sky130_fd_sc_hd__clkinv_1 U14608 ( .A(n17071), .Y(n19398) ); sky130_fd_sc_hd__clkinv_1 U14609 ( .A(n19350), .Y(n24542) ); sky130_fd_sc_hd__inv_2 U14610 ( .A(\ifu/aln/f0val [1]), .Y(n24258) ); sky130_fd_sc_hd__clkinv_1 U14611 ( .A(\dbg/dmcontrol_reg [31]), .Y(n24497) ); sky130_fd_sc_hd__inv_1 U14612 ( .A(\exu/ghr_d [0]), .Y(n24521) ); sky130_fd_sc_hd__and2_0 U14613 ( .A(clk), .B( \lsu/clkdomain/lsu_bus_obuf_c1_cgc/clkhdr/en_ff ), .X( \lsu/lsu_bus_obuf_c1_clk ) ); sky130_fd_sc_hd__and2_0 U14614 ( .A(clk), .B( \lsu/clkdomain/lsu_busm_cgc/clkhdr/en_ff ), .X(\lsu/lsu_busm_clk ) ); sky130_fd_sc_hd__and2_0 U14615 ( .A(clk), .B( \lsu/clkdomain/lsu_bus_ibuf_c1_cgc/clkhdr/en_ff ), .X( \lsu/lsu_bus_ibuf_c1_clk ) ); sky130_fd_sc_hd__o2bb2ai_1 U14616 ( .B1(\dec/decode/r_d[csrwonly] ), .B2( n24672), .A1_N(\dec/decode/r_d[csrwonly] ), .A2_N(dec_dbg_rddata[17]), .Y(\dec/dec_csr_wrdata_r [17]) ); sky130_fd_sc_hd__clkinv_1 U14617 ( .A(n24729), .Y(\dec/dec_csr_wrdata_r [9]) ); sky130_fd_sc_hd__o2bb2ai_1 U14618 ( .B1(\dec/decode/r_d[csrwonly] ), .B2( n24735), .A1_N(\dec/decode/r_d[csrwonly] ), .A2_N(dec_dbg_rddata[8]), .Y(\dec/dec_csr_wrdata_r [8]) ); sky130_fd_sc_hd__o2bb2ai_1 U14619 ( .B1(\dec/decode/r_d[csrwonly] ), .B2( n24588), .A1_N(\dec/decode/r_d[csrwonly] ), .A2_N(dec_dbg_rddata[29]), .Y(\dec/dec_csr_wrdata_r [29]) ); sky130_fd_sc_hd__o2bb2ai_1 U14620 ( .B1(\dec/decode/r_d[csrwonly] ), .B2( n24602), .A1_N(\dec/decode/r_d[csrwonly] ), .A2_N(dec_dbg_rddata[27]), .Y(\dec/dec_csr_wrdata_r [27]) ); sky130_fd_sc_hd__o2bb2ai_1 U14621 ( .B1(\dec/decode/r_d[csrwonly] ), .B2( n24616), .A1_N(\dec/decode/r_d[csrwonly] ), .A2_N(dec_dbg_rddata[25]), .Y(\dec/dec_csr_wrdata_r [25]) ); sky130_fd_sc_hd__o2bb2ai_1 U14622 ( .B1(\dec/decode/r_d[csrwonly] ), .B2( n24630), .A1_N(\dec/decode/r_d[csrwonly] ), .A2_N(dec_dbg_rddata[23]), .Y(\dec/dec_csr_wrdata_r [23]) ); sky130_fd_sc_hd__o2bb2ai_1 U14623 ( .B1(\dec/decode/r_d[csrwonly] ), .B2( n24644), .A1_N(\dec/decode/r_d[csrwonly] ), .A2_N(n25072), .Y( \dec/dec_csr_wrdata_r [21]) ); sky130_fd_sc_hd__clkinv_1 U14624 ( .A(n24652), .Y(\dec/dec_csr_wrdata_r [20]) ); sky130_fd_sc_hd__o2bb2ai_1 U14625 ( .B1(\dec/decode/r_d[csrwonly] ), .B2( n24658), .A1_N(\dec/decode/r_d[csrwonly] ), .A2_N(n25073), .Y( \dec/dec_csr_wrdata_r [19]) ); sky130_fd_sc_hd__o2bb2ai_1 U14626 ( .B1(\dec/decode/r_d[csrwonly] ), .B2( n24700), .A1_N(\dec/decode/r_d[csrwonly] ), .A2_N(dec_dbg_rddata[13]), .Y(\dec/dec_csr_wrdata_r [13]) ); sky130_fd_sc_hd__o2bb2ai_1 U14627 ( .B1(\dec/decode/r_d[csrwonly] ), .B2( n24721), .A1_N(\dec/decode/r_d[csrwonly] ), .A2_N(dec_dbg_rddata[10]), .Y(\dec/dec_csr_wrdata_r [10]) ); sky130_fd_sc_hd__o2bb2ai_1 U14628 ( .B1(\dec/decode/r_d[csrwonly] ), .B2( n24761), .A1_N(\dec/decode/r_d[csrwonly] ), .A2_N(n10901), .Y( \dec/dec_csr_wrdata_r [5]) ); sky130_fd_sc_hd__o2bb2ai_1 U14629 ( .B1(\dec/decode/r_d[csrwonly] ), .B2( n24787), .A1_N(\dec/decode/r_d[csrwonly] ), .A2_N(n25078), .Y( \dec/dec_csr_wrdata_r [1]) ); sky130_fd_sc_hd__and2_0 U14630 ( .A(\exu/i0_predict_p_x[way] ), .B( \exu/i0_flush_upper_x ), .X(\exu_mp_pkt[way] ) ); sky130_fd_sc_hd__and2_0 U14631 ( .A(\dbg/data1_reg [23]), .B(n25110), .X( dbg_cmd_addr[23]) ); sky130_fd_sc_hd__and2_0 U14632 ( .A(\dbg/data1_reg [30]), .B(n25110), .X( dbg_cmd_addr[30]) ); sky130_fd_sc_hd__and2_0 U14633 ( .A(\dbg/data1_reg [29]), .B(n25110), .X( dbg_cmd_addr[29]) ); sky130_fd_sc_hd__and2_0 U14634 ( .A(\dbg/data1_reg [28]), .B(n25110), .X( dbg_cmd_addr[28]) ); sky130_fd_sc_hd__and2_0 U14635 ( .A(\dbg/data1_reg [27]), .B(n25110), .X( dbg_cmd_addr[27]) ); sky130_fd_sc_hd__and2_0 U14636 ( .A(\dbg/data1_reg [26]), .B(n25110), .X( dbg_cmd_addr[26]) ); sky130_fd_sc_hd__and2_0 U14637 ( .A(\dbg/data1_reg [25]), .B(n25110), .X( dbg_cmd_addr[25]) ); sky130_fd_sc_hd__and2_0 U14638 ( .A(\dbg/data1_reg [24]), .B(n25110), .X( dbg_cmd_addr[24]) ); sky130_fd_sc_hd__and2_0 U14639 ( .A(\dbg/data1_reg [22]), .B(n25110), .X( dbg_cmd_addr[22]) ); sky130_fd_sc_hd__and2_0 U14640 ( .A(\dbg/data1_reg [21]), .B(n25110), .X( dbg_cmd_addr[21]) ); sky130_fd_sc_hd__and2_0 U14641 ( .A(\dbg/data1_reg [20]), .B(n25110), .X( dbg_cmd_addr[20]) ); sky130_fd_sc_hd__and2_0 U14642 ( .A(\dbg/data1_reg [19]), .B(n25110), .X( dbg_cmd_addr[19]) ); sky130_fd_sc_hd__and2_0 U14643 ( .A(\dbg/data1_reg [18]), .B(n25110), .X( dbg_cmd_addr[18]) ); sky130_fd_sc_hd__and2_0 U14644 ( .A(\dbg/data1_reg [17]), .B(n25110), .X( dbg_cmd_addr[17]) ); sky130_fd_sc_hd__and2_0 U14645 ( .A(\dbg/data1_reg [16]), .B(n25110), .X( dbg_cmd_addr[16]) ); sky130_fd_sc_hd__and2_0 U14646 ( .A(\dbg/data1_reg [15]), .B(n25110), .X( dbg_cmd_addr[15]) ); sky130_fd_sc_hd__and2_0 U14647 ( .A(\dbg/data1_reg [14]), .B(n25110), .X( dbg_cmd_addr[14]) ); sky130_fd_sc_hd__and2_0 U14648 ( .A(\dbg/data1_reg [13]), .B(n25110), .X( dbg_cmd_addr[13]) ); sky130_fd_sc_hd__and2_0 U14649 ( .A(\dbg/data1_reg [12]), .B(n25110), .X( dbg_cmd_addr[12]) ); sky130_fd_sc_hd__clkinv_1 U14650 ( .A(n24920), .Y(dbg_cmd_addr[11]) ); sky130_fd_sc_hd__and2_0 U14651 ( .A(\dbg/data1_reg [31]), .B(n25110), .X( dbg_cmd_addr[31]) ); sky130_fd_sc_hd__clkbuf_1 U14652 ( .A(n17299), .X(n25111) ); sky130_fd_sc_hd__clkinv_1 U14653 ( .A(n17856), .Y(n17082) ); sky130_fd_sc_hd__and2_0 U14654 ( .A(\exu/muldiv_rs2_d [31]), .B(n17799), .X( \exu/i_mul/rs2_ext_in[32] ) ); sky130_fd_sc_hd__and2_0 U14655 ( .A(\exu/muldiv_rs1_d [31]), .B(n17793), .X( \exu/i_mul/rs1_ext_in[32] ) ); sky130_fd_sc_hd__and2_0 U14656 ( .A(clk), .B( \pic_ctrl_inst/pic_addr_c1_cgc/clkhdr/en_ff ), .X( \pic_ctrl_inst/pic_raddr_c1_clk ) ); sky130_fd_sc_hd__and2_0 U14657 ( .A(n22686), .B(\exu/muldiv_rs2_d [31]), .X( \exu/i_div/_8_net_[32] ) ); sky130_fd_sc_hd__o2bb2ai_1 U14658 ( .B1(n10879), .B2(n24593), .A1_N(n10879), .A2_N(n19902), .Y(\exu/csr_rs1_in_d [29]) ); sky130_fd_sc_hd__clkinv_1 U14659 ( .A(\lsu/lsu_lsc_ctl/misaligned_fault_m ), .Y(n10860) ); sky130_fd_sc_hd__inv_2 U14660 ( .A(n19659), .Y(\exu/i0_rs2_d [3]) ); sky130_fd_sc_hd__and2_0 U14661 ( .A(clk), .B( \pic_ctrl_inst/pic_int_c1_cgc/clkhdr/en_ff ), .X( \pic_ctrl_inst/pic_int_c1_clk ) ); sky130_fd_sc_hd__a21boi_0 U14662 ( .A1(n20396), .A2(n15630), .B1_N(n20402), .Y(n15623) ); sky130_fd_sc_hd__and2_0 U14663 ( .A(clk), .B( \pic_ctrl_inst/pic_data_c1_cgc/clkhdr/en_ff ), .X( \pic_ctrl_inst/pic_data_c1_clk ) ); sky130_fd_sc_hd__and2_0 U14664 ( .A(clk), .B( \lsu/stbuf/GenStBuf[0].stbuf_dataff/genblock.genblock.clkhdr/clkhdr/en_ff ), .X(\lsu/stbuf/GenStBuf[0].stbuf_dataff/l1clk ) ); sky130_fd_sc_hd__and2_0 U14665 ( .A(clk), .B( \lsu/stbuf/GenStBuf[2].stbuf_dataff/genblock.genblock.clkhdr/clkhdr/en_ff ), .X(\lsu/stbuf/GenStBuf[2].stbuf_dataff/l1clk ) ); sky130_fd_sc_hd__and2_0 U14666 ( .A(clk), .B( \lsu/stbuf/GenStBuf[3].stbuf_dataff/genblock.genblock.clkhdr/clkhdr/en_ff ), .X(\lsu/stbuf/GenStBuf[3].stbuf_dataff/l1clk ) ); sky130_fd_sc_hd__and2_0 U14667 ( .A(clk), .B( \lsu/stbuf/GenStBuf[1].stbuf_dataff/genblock.genblock.clkhdr/clkhdr/en_ff ), .X(\lsu/stbuf/GenStBuf[1].stbuf_dataff/l1clk ) ); sky130_fd_sc_hd__and2_0 U14668 ( .A(clk), .B( \lsu/stbuf/GenStBuf[3].stbuf_addrff/genblock.genblock.clkhdr/clkhdr/en_ff ), .X(\lsu/stbuf/GenStBuf[3].stbuf_addrff/l1clk ) ); sky130_fd_sc_hd__and2_0 U14669 ( .A(clk), .B( \lsu/stbuf/GenStBuf[2].stbuf_addrff/genblock.genblock.clkhdr/clkhdr/en_ff ), .X(\lsu/stbuf/GenStBuf[2].stbuf_addrff/l1clk ) ); sky130_fd_sc_hd__and2_0 U14670 ( .A(clk), .B( \lsu/stbuf/GenStBuf[1].stbuf_addrff/genblock.genblock.clkhdr/clkhdr/en_ff ), .X(\lsu/stbuf/GenStBuf[1].stbuf_addrff/l1clk ) ); sky130_fd_sc_hd__and2_0 U14671 ( .A(clk), .B( \lsu/stbuf/GenStBuf[0].stbuf_addrff/genblock.genblock.clkhdr/clkhdr/en_ff ), .X(\lsu/stbuf/GenStBuf[0].stbuf_addrff/l1clk ) ); sky130_fd_sc_hd__and2_0 U14672 ( .A(clk), .B( \lsu/clkdomain/lsu_stbuf_c1_cgc/clkhdr/en_ff ), .X( \lsu/lsu_stbuf_c1_clk ) ); sky130_fd_sc_hd__and2_0 U14673 ( .A(clk), .B( \exu/genblk1.i_data_gate_ff/genblock.genblock.clkhdr/clkhdr/en_ff ), .X(\exu/genblk1.i_data_gate_ff/l1clk ) ); sky130_fd_sc_hd__and2_0 U14674 ( .A(clk), .B( \lsu/clkdomain/lsu_free_cgc/clkhdr/en_ff ), .X(\lsu/lsu_free_c2_clk ) ); sky130_fd_sc_hd__and2_0 U14675 ( .A(clk), .B( \lsu/clkdomain/lsu_c2m_cgc/clkhdr/en_ff ), .X(\lsu/lsu_c2_m_clk ) ); sky130_fd_sc_hd__a21boi_0 U14676 ( .A1(n15648), .A2(n17083), .B1_N(n15614), .Y(n15608) ); sky130_fd_sc_hd__fa_1 U14677 ( .A(n17836), .B(n17826), .CIN(n17825), .COUT( n17827), .SUM(\lsu/lsu_lsc_ctl/n94 ) ); sky130_fd_sc_hd__fa_1 U14678 ( .A(n15691), .B(n15690), .CIN(n15689), .COUT( n17825), .SUM(\lsu/lsu_lsc_ctl/n95 ) ); sky130_fd_sc_hd__clkinv_1 U14679 ( .A(n17836), .Y(n15690) ); sky130_fd_sc_hd__clkinv_1 U14680 ( .A(n15688), .Y(n15684) ); sky130_fd_sc_hd__clkinv_1 U14681 ( .A(n15666), .Y(n15675) ); sky130_fd_sc_hd__clkinv_1 U14682 ( .A(n15676), .Y(n15662) ); sky130_fd_sc_hd__clkinv_1 U14683 ( .A(n15663), .Y(n17855) ); sky130_fd_sc_hd__and2_0 U14684 ( .A(clk), .B( \exu/i_div/aff/genblock.genblock.clkhdr/clkhdr/en_ff ), .X( \exu/i_div/aff/l1clk ) ); sky130_fd_sc_hd__and2_0 U14685 ( .A(n17790), .B(\dec/decode/d_t[legal] ), .X(\exu/i0_predict_p_d[br_error] ) ); sky130_fd_sc_hd__and2_0 U14686 ( .A(n24035), .B(\dec/decode/d_t[legal] ), .X(\dec/decode/csr_write_d ) ); sky130_fd_sc_hd__and2_0 U14687 ( .A(clk), .B( \ifu/aln/f2pcff/genblock.genblock.clkhdr/clkhdr/en_ff ), .X( \ifu/aln/f2pcff/l1clk ) ); sky130_fd_sc_hd__and2_0 U14688 ( .A(clk), .B( \exu/i_div/mff/genblock.genblock.clkhdr/clkhdr/en_ff ), .X( \exu/i_div/mff/l1clk ) ); sky130_fd_sc_hd__and2_0 U14689 ( .A(clk), .B( \dec/decode/wbff/genblock.genblock.clkhdr/clkhdr/en_ff ), .X( \dec/decode/wbff/l1clk ) ); sky130_fd_sc_hd__and2_0 U14690 ( .A(clk), .B( \exu/i_x_ff/genblock.genblock.clkhdr/clkhdr/en_ff ), .X( \exu/i_x_ff/l1clk ) ); sky130_fd_sc_hd__and2_0 U14691 ( .A(clk), .B( \dec/decode/illegal_any_ff/genblock.genblock.clkhdr/clkhdr/en_ff ), .X(\dec/decode/illegal_any_ff/l1clk ) ); sky130_fd_sc_hd__and2_0 U14692 ( .A(clk), .B( \dec/decode/e1brpcff/genblock.genblock.clkhdr/clkhdr/en_ff ), .X( \dec/decode/e1brpcff/l1clk ) ); sky130_fd_sc_hd__and2_0 U14693 ( .A(clk), .B( \exu/i_flush_path_x_ff/genblock.genblock.clkhdr/clkhdr/en_ff ), .X( \exu/i_flush_path_x_ff/l1clk ) ); sky130_fd_sc_hd__and2_0 U14694 ( .A(clk), .B( \dec/decode/trap_r_ff/genblock.genblock.clkhdr/clkhdr/en_ff ), .X( \dec/decode/trap_r_ff/l1clk ) ); sky130_fd_sc_hd__and2_0 U14695 ( .A(clk), .B( \dec/decode/trap_xff/genblock.genblock.clkhdr/clkhdr/en_ff ), .X( \dec/decode/trap_xff/l1clk ) ); sky130_fd_sc_hd__and2_0 U14696 ( .A(clk), .B( \dec/decode/i0xinstff/genblock.genblock.clkhdr/clkhdr/en_ff ), .X( \dec/decode/i0xinstff/l1clk ) ); sky130_fd_sc_hd__and2_0 U14697 ( .A(clk), .B( \dec/decode/e1ff/genblock.genblock.clkhdr/clkhdr/en_ff ), .X( \dec/decode/e1ff/l1clk ) ); sky130_fd_sc_hd__and2_0 U14698 ( .A(clk), .B( \exu/i_r_ff0/genblock.genblock.clkhdr/clkhdr/en_ff ), .X( \exu/i_r_ff0/l1clk ) ); sky130_fd_sc_hd__and2_0 U14699 ( .A(clk), .B( \exu/i_alu/i_pc_ff/genblock.genblock.clkhdr/clkhdr/en_ff ), .X( \exu/i_alu/i_pc_ff/l1clk ) ); sky130_fd_sc_hd__nor2b_1 U14700 ( .B_N(n15798), .A(n15799), .Y( dec_i0_decode_d) ); sky130_fd_sc_hd__and2_0 U14701 ( .A(clk), .B( \exu/i_predictpacket_x_ff/genblock.genblock.clkhdr/clkhdr/en_ff ), .X( \exu/i_predictpacket_x_ff/l1clk ) ); sky130_fd_sc_hd__and2_0 U14702 ( .A(clk), .B( \dec/decode/csr_rddata_x_ff/genblock.genblock.clkhdr/clkhdr/en_ff ), .X(\dec/decode/csr_rddata_x_ff/l1clk ) ); sky130_fd_sc_hd__and2_0 U14703 ( .A(clk), .B( \lsu/clkdomain/lsu_store_c1m_cgc/clkhdr/en_ff ), .X( \lsu/lsu_store_c1_m_clk ) ); sky130_fd_sc_hd__and2_0 U14704 ( .A(clk), .B( \exu/i_div/qff/genblock.genblock.clkhdr/clkhdr/en_ff ), .X( \exu/i_div/qff/l1clk ) ); sky130_fd_sc_hd__and2_0 U14705 ( .A(clk), .B( \exu/i_div/exu_div_cgc/clkhdr/en_ff ), .X(\exu/i_div/exu_div_clk ) ); sky130_fd_sc_hd__and2_0 U14706 ( .A(clk), .B( \dec/decode/data_gated_cgc/clkhdr/en_ff ), .X( \dec/decode/data_gate_clk ) ); sky130_fd_sc_hd__and2_0 U14707 ( .A(clk), .B( \dec/decode/write_csr_ff/genblock.genblock.clkhdr/clkhdr/en_ff ), .X( \dec/decode/write_csr_ff/l1clk ) ); sky130_fd_sc_hd__and2_0 U14708 ( .A(clk), .B( \exu/i_csr_rs1_x_ff/genblock.genblock.clkhdr/clkhdr/en_ff ), .X( \exu/i_csr_rs1_x_ff/l1clk ) ); sky130_fd_sc_hd__and2_0 U14709 ( .A(clk), .B( \ifu/aln/f0pcff/genblock.genblock.clkhdr/clkhdr/en_ff ), .X( \ifu/aln/f0pcff/l1clk ) ); sky130_fd_sc_hd__and2_0 U14710 ( .A(clk), .B( \exu/i_predpipe_x_ff/genblock.genblock.clkhdr/clkhdr/en_ff ), .X( \exu/i_predpipe_x_ff/l1clk ) ); sky130_fd_sc_hd__and2_0 U14711 ( .A(clk), .B( \ifu/aln/f1pcff/genblock.genblock.clkhdr/clkhdr/en_ff ), .X( \ifu/aln/f1pcff/l1clk ) ); sky130_fd_sc_hd__and2_0 U14712 ( .A(clk), .B( \ifu/ifc/faddrf1_ff/genblock.genblock.clkhdr/clkhdr/en_ff ), .X( \ifu/ifc/faddrf1_ff/l1clk ) ); sky130_fd_sc_hd__and2_0 U14713 ( .A(clk), .B( \exu/i_alu/i_result_ff/genblock.genblock.clkhdr/clkhdr/en_ff ), .X( \exu/i_alu/i_result_ff/l1clk ) ); sky130_fd_sc_hd__and2_0 U14714 ( .A(clk), .B( \dbg/dbg_data0_reg/genblock.genblock.clkhdr/clkhdr/en_ff ), .X( \dbg/dbg_data0_reg/l1clk ) ); sky130_fd_sc_hd__and2_0 U14715 ( .A(clk), .B( \dbg/dbg_sbdata0_reg/genblock.genblock.clkhdr/clkhdr/en_ff ), .X( \dbg/dbg_sbdata0_reg/l1clk ) ); sky130_fd_sc_hd__and2_0 U14716 ( .A(clk), .B( \dbg/dbg_sbdata1_reg/genblock.genblock.clkhdr/clkhdr/en_ff ), .X( \dbg/dbg_sbdata1_reg/l1clk ) ); sky130_fd_sc_hd__and2_0 U14717 ( .A(clk), .B( \dbg/dbg_sbaddress0_reg/genblock.genblock.clkhdr/clkhdr/en_ff ), .X( \dbg/dbg_sbaddress0_reg/l1clk ) ); sky130_fd_sc_hd__and2_0 U14718 ( .A(clk), .B(\dbg/sb_free_cgc/clkhdr/en_ff ), .X(\dbg/sb_free_clk ) ); sky130_fd_sc_hd__and2_0 U14719 ( .A(clk), .B( \dbg/dbg_data1_reg/genblock.genblock.clkhdr/clkhdr/en_ff ), .X( \dbg/dbg_data1_reg/l1clk ) ); sky130_fd_sc_hd__and2_0 U14720 ( .A(clk), .B( \dbg/dmcommand_reg/genblock.genblock.clkhdr/clkhdr/en_ff ), .X( \dbg/dmcommand_reg/l1clk ) ); sky130_fd_sc_hd__and2_0 U14721 ( .A(clk), .B(\dbg/dbg_free_cgc/clkhdr/en_ff ), .X(\dbg/dbg_free_clk ) ); sky130_fd_sc_hd__xnor2_1 U14722 ( .A(n11855), .B(n11760), .Y(n10899) ); sky130_fd_sc_hd__xnor2_1 U14723 ( .A(n11904), .B(n11903), .Y(n10900) ); sky130_fd_sc_hd__clkinv_1 U14724 ( .A(n18657), .Y(n18761) ); sky130_fd_sc_hd__clkinv_1 U14725 ( .A(\exu/i0_flush_upper_x ), .Y(n19337) ); sky130_fd_sc_hd__o22ai_2 U14726 ( .A1(n17260), .A2(n17221), .B1(n17235), .B2(n17220), .Y(n10901) ); sky130_fd_sc_hd__nor2b_1 U14727 ( .B_N(\exu/i0_predict_p_x[toffset][4] ), .A(n19337), .Y(\exu_mp_pkt[toffset][4] ) ); sky130_fd_sc_hd__nor2b_1 U14728 ( .B_N(\exu/i0_predict_p_x[toffset][5] ), .A(n19337), .Y(\exu_mp_pkt[toffset][5] ) ); sky130_fd_sc_hd__nor2b_1 U14729 ( .B_N(\exu/i0_predict_p_x[toffset][3] ), .A(n19337), .Y(\exu_mp_pkt[toffset][3] ) ); sky130_fd_sc_hd__nor2b_1 U14730 ( .B_N(\exu/i0_predict_p_x[toffset][1] ), .A(n19337), .Y(\exu_mp_pkt[toffset][1] ) ); sky130_fd_sc_hd__nor2b_1 U14731 ( .B_N(\exu/i0_predict_p_x[toffset][9] ), .A(n19337), .Y(\exu_mp_pkt[toffset][9] ) ); sky130_fd_sc_hd__nor2b_1 U14732 ( .B_N(\exu/i0_predict_p_x[toffset][10] ), .A(n19337), .Y(\exu_mp_pkt[toffset][10] ) ); sky130_fd_sc_hd__nor2b_1 U14733 ( .B_N(\exu/i0_predict_p_x[toffset][6] ), .A(n19337), .Y(\exu_mp_pkt[toffset][6] ) ); sky130_fd_sc_hd__nor2b_1 U14734 ( .B_N(\exu/i0_predict_p_x[toffset][8] ), .A(n19337), .Y(\exu_mp_pkt[toffset][8] ) ); sky130_fd_sc_hd__nor2b_1 U14735 ( .B_N(\exu/i0_predict_p_x[toffset][2] ), .A(n19337), .Y(\exu_mp_pkt[toffset][2] ) ); sky130_fd_sc_hd__o21ai_2 U14736 ( .A1(n24295), .A2(n24297), .B1(n18779), .Y( n22491) ); sky130_fd_sc_hd__o211ai_2 U14737 ( .A1(n17260), .A2(n17247), .B1(n17318), .C1(n17246), .Y(n25073) ); sky130_fd_sc_hd__o211ai_2 U14738 ( .A1(n17260), .A2(n17251), .B1(n17318), .C1(n17250), .Y(n25072) ); sky130_fd_sc_hd__o211ai_2 U14739 ( .A1(n17260), .A2(n17245), .B1(n17318), .C1(n17244), .Y(n25074) ); sky130_fd_sc_hd__o211ai_2 U14740 ( .A1(n17260), .A2(n17249), .B1(n17318), .C1(n17248), .Y(n25076) ); sky130_fd_sc_hd__o211ai_2 U14741 ( .A1(n17260), .A2(n17253), .B1(n17318), .C1(n17252), .Y(n25075) ); sky130_fd_sc_hd__o211ai_2 U14742 ( .A1(n17260), .A2(n17257), .B1(n17318), .C1(n17256), .Y(n25071) ); sky130_fd_sc_hd__o211ai_2 U14743 ( .A1(n17260), .A2(n17259), .B1(n17318), .C1(n17258), .Y(n25070) ); sky130_fd_sc_hd__o22ai_2 U14744 ( .A1(n17260), .A2(n17215), .B1(n17235), .B2(n17214), .Y(n25078) ); sky130_fd_sc_hd__o22ai_2 U14745 ( .A1(n17260), .A2(n17223), .B1(n17235), .B2(n17222), .Y(n25077) ); sky130_fd_sc_hd__xor2_1 U14746 ( .A(n11857), .B(n11899), .X(n10903) ); sky130_fd_sc_hd__xnor2_1 U14747 ( .A(n11975), .B(n11974), .Y(n10904) ); sky130_fd_sc_hd__xnor2_1 U14748 ( .A(n12638), .B(n12637), .Y(n10905) ); sky130_fd_sc_hd__xnor2_1 U14749 ( .A(n12023), .B(n12022), .Y(n10906) ); sky130_fd_sc_hd__xnor2_1 U14750 ( .A(n11997), .B(n11996), .Y(n10907) ); sky130_fd_sc_hd__xnor2_1 U14751 ( .A(n11984), .B(n11983), .Y(n10908) ); sky130_fd_sc_hd__xnor2_1 U14752 ( .A(n11955), .B(n11954), .Y(n10909) ); sky130_fd_sc_hd__clkinv_1 U14753 ( .A(n17639), .Y(n22534) ); sky130_fd_sc_hd__xnor2_1 U14754 ( .A(n11935), .B(n11934), .Y(n10910) ); sky130_fd_sc_hd__xnor2_1 U14755 ( .A(n11942), .B(n11941), .Y(n10911) ); sky130_fd_sc_hd__xnor2_1 U14756 ( .A(n11914), .B(n11913), .Y(n10912) ); sky130_fd_sc_hd__xor2_1 U14757 ( .A(n11874), .B(n12684), .X(n10913) ); sky130_fd_sc_hd__xnor2_1 U14758 ( .A(n11800), .B(n11799), .Y(n10914) ); sky130_fd_sc_hd__xnor2_1 U14759 ( .A(n11752), .B(n11751), .Y(n10915) ); sky130_fd_sc_hd__xnor2_1 U14760 ( .A(n11747), .B(n11746), .Y(n10916) ); sky130_fd_sc_hd__xnor2_1 U14761 ( .A(n11731), .B(n11730), .Y(n10917) ); sky130_fd_sc_hd__xnor2_1 U14762 ( .A(n11719), .B(n11718), .Y(n10918) ); sky130_fd_sc_hd__xnor2_1 U14763 ( .A(n11705), .B(n11704), .Y(n10919) ); sky130_fd_sc_hd__xnor2_1 U14764 ( .A(n12689), .B(n12688), .Y(n10920) ); sky130_fd_sc_hd__xnor2_1 U14765 ( .A(n11773), .B(n11772), .Y(n10921) ); sky130_fd_sc_hd__xnor2_1 U14766 ( .A(n11822), .B(n11821), .Y(n10924) ); sky130_fd_sc_hd__a21o_1 U14767 ( .A1(n17469), .A2(\exu/i0_rs2_d [1]), .B1( n19915), .X(n19428) ); sky130_fd_sc_hd__xor2_1 U14768 ( .A(n12662), .B(n12661), .X(n10926) ); sky130_fd_sc_hd__clkinv_1 U14769 ( .A(dma_mem_addr[0]), .Y(n22538) ); sky130_fd_sc_hd__clkinv_1 U14770 ( .A(n19946), .Y(n19864) ); sky130_fd_sc_hd__a22oi_1 U14771 ( .A1(n15548), .A2(\exu/i_mul/rs2_x [1]), .B1(n15547), .B2(\exu/i_mul/rs2_x [0]), .Y(n10928) ); sky130_fd_sc_hd__nand2_1 U14772 ( .A(n15548), .B(\exu/i_mul/rs2_x [0]), .Y( n10929) ); sky130_fd_sc_hd__xnor2_1 U14773 ( .A(n15519), .B(\exu/i_mul/rs1_x [32]), .Y( n10930) ); sky130_fd_sc_hd__a22oi_1 U14774 ( .A1(n13521), .A2(\exu/i_mul/rs2_x [1]), .B1(n13520), .B2(\exu/i_mul/rs2_x [0]), .Y(n10931) ); sky130_fd_sc_hd__nand2_1 U14775 ( .A(n13521), .B(\exu/i_mul/rs2_x [0]), .Y( n10932) ); sky130_fd_sc_hd__a22oi_1 U14776 ( .A1(n13528), .A2(\exu/i_mul/rs2_x [1]), .B1(n13527), .B2(\exu/i_mul/rs2_x [0]), .Y(n10933) ); sky130_fd_sc_hd__nand2_1 U14777 ( .A(n13528), .B(\exu/i_mul/rs2_x [0]), .Y( n10934) ); sky130_fd_sc_hd__a22oi_1 U14778 ( .A1(n13572), .A2(\exu/i_mul/rs2_x [1]), .B1(n13571), .B2(\exu/i_mul/rs2_x [0]), .Y(n10935) ); sky130_fd_sc_hd__nand2_1 U14779 ( .A(n13572), .B(\exu/i_mul/rs2_x [0]), .Y( n10936) ); sky130_fd_sc_hd__nand2_1 U14780 ( .A(n13566), .B(\exu/i_mul/rs2_x [0]), .Y( n10937) ); sky130_fd_sc_hd__a22oi_1 U14781 ( .A1(n13566), .A2(\exu/i_mul/rs2_x [1]), .B1(n13565), .B2(\exu/i_mul/rs2_x [0]), .Y(n10938) ); sky130_fd_sc_hd__a22oi_1 U14782 ( .A1(n13584), .A2(\exu/i_mul/rs2_x [1]), .B1(n13583), .B2(\exu/i_mul/rs2_x [0]), .Y(n10939) ); sky130_fd_sc_hd__nand2_1 U14783 ( .A(n13584), .B(\exu/i_mul/rs2_x [0]), .Y( n10940) ); sky130_fd_sc_hd__a22oi_1 U14784 ( .A1(n13590), .A2(\exu/i_mul/rs2_x [1]), .B1(n13589), .B2(\exu/i_mul/rs2_x [0]), .Y(n10941) ); sky130_fd_sc_hd__nand2_1 U14785 ( .A(n13590), .B(\exu/i_mul/rs2_x [0]), .Y( n10942) ); sky130_fd_sc_hd__a22oi_1 U14786 ( .A1(n13596), .A2(\exu/i_mul/rs2_x [1]), .B1(n13595), .B2(\exu/i_mul/rs2_x [0]), .Y(n10943) ); sky130_fd_sc_hd__nand2_1 U14787 ( .A(n13596), .B(\exu/i_mul/rs2_x [0]), .Y( n10944) ); sky130_fd_sc_hd__a22oi_1 U14788 ( .A1(n13626), .A2(\exu/i_mul/rs2_x [1]), .B1(n13625), .B2(\exu/i_mul/rs2_x [0]), .Y(n10945) ); sky130_fd_sc_hd__nand2_1 U14789 ( .A(n13626), .B(\exu/i_mul/rs2_x [0]), .Y( n10946) ); sky130_fd_sc_hd__clkinv_1 U14790 ( .A(\trigger_pkt_any[0][select] ), .Y( n21200) ); sky130_fd_sc_hd__clkinv_1 U14791 ( .A(n24005), .Y(n24003) ); sky130_fd_sc_hd__xnor2_1 U14792 ( .A(n11867), .B(n11815), .Y(n10947) ); sky130_fd_sc_hd__nand2_1 U14793 ( .A(n13620), .B(\exu/i_mul/rs2_x [0]), .Y( n10948) ); sky130_fd_sc_hd__a22oi_1 U14794 ( .A1(n13619), .A2(\exu/i_mul/rs2_x [0]), .B1(n13620), .B2(\exu/i_mul/rs2_x [1]), .Y(n10949) ); sky130_fd_sc_hd__xnor2_1 U14795 ( .A(n12540), .B(\exu/i_mul/rs1_x [2]), .Y( n10950) ); sky130_fd_sc_hd__a22oi_1 U14796 ( .A1(n13632), .A2(\exu/i_mul/rs2_x [1]), .B1(n13631), .B2(\exu/i_mul/rs2_x [0]), .Y(n10951) ); sky130_fd_sc_hd__nand2_1 U14797 ( .A(n13632), .B(\exu/i_mul/rs2_x [0]), .Y( n10952) ); sky130_fd_sc_hd__xor2_1 U14798 ( .A(n11831), .B(n11830), .X(n10958) ); sky130_fd_sc_hd__xnor2_1 U14799 ( .A(n11885), .B(n11884), .Y(n10959) ); sky130_fd_sc_hd__xor2_1 U14800 ( .A(n11837), .B(n11880), .X(n10960) ); sky130_fd_sc_hd__xor2_1 U14801 ( .A(\lsu/lsu_lsc_ctl/n79 ), .B( \lsu/lsu_lsc_ctl/n95 ), .X(n10963) ); sky130_fd_sc_hd__clkinv_1 U14802 ( .A(n21108), .Y(n21116) ); sky130_fd_sc_hd__clkinv_1 U14803 ( .A(n20779), .Y(n20786) ); sky130_fd_sc_hd__clkinv_1 U14804 ( .A(\trigger_pkt_any[2][tdata2][17] ), .Y( n20726) ); sky130_fd_sc_hd__clkinv_1 U14805 ( .A(n20858), .Y(n18999) ); sky130_fd_sc_hd__clkinv_1 U14806 ( .A(\trigger_pkt_any[3][tdata2][17] ), .Y( n20648) ); sky130_fd_sc_hd__clkinv_1 U14807 ( .A(\trigger_pkt_any[3][tdata2][13] ), .Y( n20565) ); sky130_fd_sc_hd__clkinv_1 U14808 ( .A(n19277), .Y(n20583) ); sky130_fd_sc_hd__clkinv_1 U14809 ( .A(n20938), .Y(n19016) ); sky130_fd_sc_hd__clkinv_1 U14810 ( .A(\trigger_pkt_any[1][tdata2][8] ), .Y( n19010) ); sky130_fd_sc_hd__clkinv_1 U14811 ( .A(n20907), .Y(n21053) ); sky130_fd_sc_hd__clkinv_1 U14812 ( .A(n22604), .Y(n16814) ); sky130_fd_sc_hd__clkinv_1 U14813 ( .A(n22612), .Y(n16999) ); sky130_fd_sc_hd__clkinv_1 U14814 ( .A(n18946), .Y(n18962) ); sky130_fd_sc_hd__clkinv_1 U14815 ( .A(\trigger_pkt_any[0][tdata2][8] ), .Y( n18902) ); sky130_fd_sc_hd__clkinv_1 U14816 ( .A(\trigger_pkt_any[0][tdata2][17] ), .Y( n21142) ); sky130_fd_sc_hd__clkinv_1 U14817 ( .A(n20908), .Y(n20918) ); sky130_fd_sc_hd__clkinv_1 U14818 ( .A(n21042), .Y(n20972) ); sky130_fd_sc_hd__clkinv_1 U14819 ( .A(n16724), .Y(n16727) ); sky130_fd_sc_hd__clkinv_1 U14820 ( .A(n22607), .Y(n16868) ); sky130_fd_sc_hd__clkinv_1 U14821 ( .A(n16809), .Y(n16799) ); sky130_fd_sc_hd__clkinv_1 U14822 ( .A(n22601), .Y(n16722) ); sky130_fd_sc_hd__clkinv_1 U14823 ( .A(n15843), .Y(n15845) ); sky130_fd_sc_hd__clkinv_1 U14824 ( .A(\trigger_pkt_any[3][tdata2][14] ), .Y( n19250) ); sky130_fd_sc_hd__clkinv_1 U14825 ( .A(\trigger_pkt_any[3][tdata2][6] ), .Y( n19237) ); sky130_fd_sc_hd__clkinv_1 U14826 ( .A(\trigger_pkt_any[1][tdata2][16] ), .Y( n19029) ); sky130_fd_sc_hd__clkinv_1 U14827 ( .A(\trigger_pkt_any[1][tdata2][26] ), .Y( n18973) ); sky130_fd_sc_hd__clkinv_1 U14828 ( .A(n20939), .Y(n18966) ); sky130_fd_sc_hd__clkinv_1 U14829 ( .A(\trigger_pkt_any[1][tdata2][15] ), .Y( n20964) ); sky130_fd_sc_hd__clkinv_1 U14830 ( .A(n20891), .Y(n21182) ); sky130_fd_sc_hd__clkinv_1 U14831 ( .A(n20652), .Y(n20555) ); sky130_fd_sc_hd__clkinv_1 U14832 ( .A(n16819), .Y(n16888) ); sky130_fd_sc_hd__clkinv_1 U14833 ( .A(n17012), .Y(n17006) ); sky130_fd_sc_hd__clkinv_1 U14834 ( .A(n16554), .Y(n16545) ); sky130_fd_sc_hd__clkinv_1 U14835 ( .A(n16820), .Y(n16890) ); sky130_fd_sc_hd__clkinv_1 U14836 ( .A(n16632), .Y(n16635) ); sky130_fd_sc_hd__clkinv_1 U14837 ( .A(\lsu/dccm_rdata_lo_m [20]), .Y(n17764) ); sky130_fd_sc_hd__clkinv_1 U14838 ( .A(\lsu/dccm_rdata_hi_m [12]), .Y(n15835) ); sky130_fd_sc_hd__clkinv_1 U14839 ( .A(\trigger_pkt_any[3][select] ), .Y( n20673) ); sky130_fd_sc_hd__clkinv_1 U14840 ( .A(\trigger_pkt_any[3][tdata2][18] ), .Y( n19258) ); sky130_fd_sc_hd__clkinv_1 U14841 ( .A(n19106), .Y(n20741) ); sky130_fd_sc_hd__clkinv_1 U14842 ( .A(n18860), .Y(n21055) ); sky130_fd_sc_hd__clkinv_1 U14843 ( .A(dccm_rd_addr_hi[9]), .Y(n20481) ); sky130_fd_sc_hd__clkinv_1 U14844 ( .A(dccm_rd_addr_hi[8]), .Y(n20467) ); sky130_fd_sc_hd__clkinv_1 U14845 ( .A(n17194), .Y(n17200) ); sky130_fd_sc_hd__clkinv_1 U14846 ( .A(\lsu/stbuf/stbuf_data[1][30] ), .Y( n24865) ); sky130_fd_sc_hd__clkinv_1 U14847 ( .A(n21187), .Y(n21189) ); sky130_fd_sc_hd__clkinv_1 U14848 ( .A(n21043), .Y(n21149) ); sky130_fd_sc_hd__clkinv_1 U14849 ( .A(\trigger_pkt_any[1][tdata2][20] ), .Y( n20892) ); sky130_fd_sc_hd__clkinv_1 U14850 ( .A(\trigger_pkt_any[2][select] ), .Y( n20844) ); sky130_fd_sc_hd__clkinv_1 U14851 ( .A(\lsu/stbuf/stbuf_data[2][29] ), .Y( n24854) ); sky130_fd_sc_hd__clkinv_1 U14852 ( .A(n17045), .Y(n17025) ); sky130_fd_sc_hd__clkinv_1 U14853 ( .A(n16576), .Y(n16570) ); sky130_fd_sc_hd__clkinv_1 U14854 ( .A(n16884), .Y(n16877) ); sky130_fd_sc_hd__clkinv_1 U14855 ( .A(\lsu/dccm_rdata_lo_m [23]), .Y(n17730) ); sky130_fd_sc_hd__clkinv_1 U14856 ( .A(\lsu/dccm_rdata_hi_m [8]), .Y(n15811) ); sky130_fd_sc_hd__clkinv_1 U14857 ( .A(\lsu/dccm_rdata_hi_m [13]), .Y(n15838) ); sky130_fd_sc_hd__clkinv_1 U14858 ( .A(n25066), .Y( \lsu/bus_intf/ldst_byteen_ext_m [0]) ); sky130_fd_sc_hd__clkinv_1 U14859 ( .A(\trigger_pkt_any[3][tdata2][28] ), .Y( n19202) ); sky130_fd_sc_hd__clkinv_1 U14860 ( .A(\trigger_pkt_any[2][tdata2][20] ), .Y( n19144) ); sky130_fd_sc_hd__clkinv_1 U14861 ( .A(\trigger_pkt_any[1][tdata2][25] ), .Y( n18960) ); sky130_fd_sc_hd__clkinv_1 U14862 ( .A(n21101), .Y(n18851) ); sky130_fd_sc_hd__clkinv_1 U14863 ( .A(n16394), .Y(n16397) ); sky130_fd_sc_hd__clkinv_1 U14864 ( .A(n19868), .Y(n19641) ); sky130_fd_sc_hd__clkinv_1 U14865 ( .A(n19725), .Y(n19447) ); sky130_fd_sc_hd__clkinv_1 U14866 ( .A(\lsu/stbuf/stbuf_addr[1][10] ), .Y( n21401) ); sky130_fd_sc_hd__clkinv_1 U14867 ( .A(n22477), .Y(n22478) ); sky130_fd_sc_hd__clkinv_1 U14868 ( .A(\exu/i_div/q_ff [12]), .Y(n20291) ); sky130_fd_sc_hd__clkinv_1 U14869 ( .A(\exu/i_div/q_ff [11]), .Y(n17122) ); sky130_fd_sc_hd__clkinv_1 U14870 ( .A(\ifu/ifc_fetch_addr_bf [29]), .Y( n24899) ); sky130_fd_sc_hd__clkinv_1 U14871 ( .A(n20081), .Y(n20067) ); sky130_fd_sc_hd__clkinv_1 U14872 ( .A(n17814), .Y(n17810) ); sky130_fd_sc_hd__clkinv_1 U14873 ( .A(n20879), .Y(n21022) ); sky130_fd_sc_hd__clkinv_1 U14874 ( .A(n20895), .Y(n20897) ); sky130_fd_sc_hd__clkinv_1 U14875 ( .A(n20537), .Y(n20539) ); sky130_fd_sc_hd__clkinv_1 U14876 ( .A(n16909), .Y(n16903) ); sky130_fd_sc_hd__clkinv_1 U14877 ( .A(n16667), .Y(n16700) ); sky130_fd_sc_hd__clkinv_1 U14878 ( .A(n22624), .Y(n22609) ); sky130_fd_sc_hd__clkinv_1 U14879 ( .A(n16566), .Y(n22585) ); sky130_fd_sc_hd__clkinv_1 U14880 ( .A(n16898), .Y(n17042) ); sky130_fd_sc_hd__clkinv_1 U14881 ( .A(\lsu/stbuf/stbuf_data[1][26] ), .Y( n24824) ); sky130_fd_sc_hd__clkinv_1 U14882 ( .A(n19949), .Y(n19443) ); sky130_fd_sc_hd__clkinv_1 U14883 ( .A(\exu/i_div/a_ff [3]), .Y(n20029) ); sky130_fd_sc_hd__clkinv_1 U14884 ( .A(\dec/dec_i0_waddr_r [0]), .Y(n22503) ); sky130_fd_sc_hd__clkinv_1 U14885 ( .A(n19166), .Y(n20836) ); sky130_fd_sc_hd__clkinv_1 U14886 ( .A(n21097), .Y(n18840) ); sky130_fd_sc_hd__clkinv_1 U14887 ( .A(\trigger_pkt_any[0][tdata2][16] ), .Y( n18910) ); sky130_fd_sc_hd__clkinv_1 U14888 ( .A(n20292), .Y(n20293) ); sky130_fd_sc_hd__clkinv_1 U14889 ( .A(n19516), .Y(n17472) ); sky130_fd_sc_hd__clkinv_1 U14890 ( .A(n19428), .Y(n19415) ); sky130_fd_sc_hd__clkinv_1 U14891 ( .A(n21999), .Y(n15918) ); sky130_fd_sc_hd__clkinv_1 U14892 ( .A(n25064), .Y(\lsu/store_data_r [18]) ); sky130_fd_sc_hd__clkinv_1 U14893 ( .A(n20207), .Y(n20209) ); sky130_fd_sc_hd__clkinv_1 U14894 ( .A(n20158), .Y(n20190) ); sky130_fd_sc_hd__clkinv_1 U14895 ( .A(\lsu/lsu_lsc_ctl/n79 ), .Y(n17818) ); sky130_fd_sc_hd__clkinv_1 U14896 ( .A(\lsu/sec_data_lo_m [7]), .Y(n24938) ); sky130_fd_sc_hd__clkinv_1 U14897 ( .A(n24793), .Y(n24751) ); sky130_fd_sc_hd__clkinv_1 U14898 ( .A(n18954), .Y(n20878) ); sky130_fd_sc_hd__clkinv_1 U14899 ( .A(n19072), .Y(n20832) ); sky130_fd_sc_hd__clkinv_1 U14900 ( .A(\lsu/sec_data_lo_m [13]), .Y(n24952) ); sky130_fd_sc_hd__clkinv_1 U14901 ( .A(\lsu/sec_data_lo_m [19]), .Y(n24961) ); sky130_fd_sc_hd__clkinv_1 U14902 ( .A(n16961), .Y(n17030) ); sky130_fd_sc_hd__clkinv_1 U14903 ( .A(n17063), .Y(n17066) ); sky130_fd_sc_hd__clkinv_1 U14904 ( .A(n22276), .Y(n21989) ); sky130_fd_sc_hd__clkinv_1 U14905 ( .A(n24426), .Y(n24367) ); sky130_fd_sc_hd__clkinv_1 U14906 ( .A(\pic_ctrl_inst/picm_waddr_ff [5]), .Y( n17325) ); sky130_fd_sc_hd__clkinv_1 U14907 ( .A(n22109), .Y(n21981) ); sky130_fd_sc_hd__clkinv_1 U14908 ( .A(\lsu/store_data_hi_r [27]), .Y(n24836) ); sky130_fd_sc_hd__clkinv_1 U14909 ( .A(n21525), .Y(n21529) ); sky130_fd_sc_hd__clkinv_1 U14910 ( .A(n22246), .Y(n22024) ); sky130_fd_sc_hd__clkinv_1 U14911 ( .A(n23574), .Y(n23437) ); sky130_fd_sc_hd__clkinv_1 U14912 ( .A(n22189), .Y(n22006) ); sky130_fd_sc_hd__clkinv_1 U14913 ( .A(n20106), .Y(n20107) ); sky130_fd_sc_hd__clkinv_1 U14914 ( .A(\exu/i_div/m_ff [10]), .Y(n20275) ); sky130_fd_sc_hd__clkinv_1 U14915 ( .A(n20073), .Y(n20087) ); sky130_fd_sc_hd__clkinv_1 U14916 ( .A(\trigger_pkt_any[3][tdata2][26] ), .Y( n20665) ); sky130_fd_sc_hd__clkinv_1 U14917 ( .A(\trigger_pkt_any[2][tdata2][30] ), .Y( n20838) ); sky130_fd_sc_hd__clkinv_1 U14918 ( .A(\trigger_pkt_any[1][tdata2][28] ), .Y( n20874) ); sky130_fd_sc_hd__clkinv_1 U14919 ( .A(\trigger_pkt_any[0][tdata2][28] ), .Y( n21179) ); sky130_fd_sc_hd__a21o_1 U14920 ( .A1(n18607), .A2(n18743), .B1(n18611), .X( n18742) ); sky130_fd_sc_hd__clkinv_1 U14921 ( .A(\ifu/aln/f1pc [26]), .Y(n18743) ); sky130_fd_sc_hd__clkinv_1 U14922 ( .A(n24299), .Y(n24301) ); sky130_fd_sc_hd__a21o_1 U14923 ( .A1(n19819), .A2(n17622), .B1(n18605), .X( n19818) ); sky130_fd_sc_hd__clkinv_1 U14924 ( .A(n17493), .Y(n17566) ); sky130_fd_sc_hd__clkinv_1 U14925 ( .A(\exu/i_div/q_ff [30]), .Y(n20248) ); sky130_fd_sc_hd__clkinv_1 U14926 ( .A(n20128), .Y(n20131) ); sky130_fd_sc_hd__clkinv_1 U14927 ( .A(n19716), .Y(n19679) ); sky130_fd_sc_hd__clkinv_1 U14928 ( .A(\dec/dec_pause_state ), .Y(n24780) ); sky130_fd_sc_hd__clkinv_1 U14929 ( .A(n18177), .Y(n18174) ); sky130_fd_sc_hd__clkinv_1 U14930 ( .A(n23038), .Y(n21571) ); sky130_fd_sc_hd__clkinv_1 U14931 ( .A(n20446), .Y(n20447) ); sky130_fd_sc_hd__clkinv_1 U14932 ( .A(\pic_ctrl_inst/picm_waddr_ff [14]), .Y(n17372) ); sky130_fd_sc_hd__clkinv_1 U14933 ( .A(n16427), .Y(n16426) ); sky130_fd_sc_hd__clkinv_1 U14934 ( .A(n22182), .Y(n22183) ); sky130_fd_sc_hd__clkinv_1 U14935 ( .A(dma_mem_wdata[56]), .Y(n22186) ); sky130_fd_sc_hd__clkinv_1 U14936 ( .A(n22124), .Y(n22029) ); sky130_fd_sc_hd__clkinv_1 U14937 ( .A(n22197), .Y(n22277) ); sky130_fd_sc_hd__clkinv_1 U14938 ( .A(n15895), .Y(n23410) ); sky130_fd_sc_hd__clkinv_1 U14939 ( .A(\ifu/ifc_fetch_addr_bf [31]), .Y( n24909) ); sky130_fd_sc_hd__clkinv_1 U14940 ( .A(n18084), .Y(n18085) ); sky130_fd_sc_hd__clkinv_1 U14941 ( .A(n21776), .Y(n18120) ); sky130_fd_sc_hd__clkinv_1 U14942 ( .A(n21775), .Y(n21564) ); sky130_fd_sc_hd__clkinv_1 U14943 ( .A(n20206), .Y(n20142) ); sky130_fd_sc_hd__clkinv_1 U14944 ( .A(\exu/i_div/m_ff [1]), .Y(n20378) ); sky130_fd_sc_hd__clkinv_1 U14945 ( .A(n17722), .Y(dec_dbg_rddata[15]) ); sky130_fd_sc_hd__a21o_1 U14946 ( .A1(\dbg/dmstatus_reg_17 ), .A2(n24426), .B1(n24481), .X(n24421) ); sky130_fd_sc_hd__a21o_1 U14947 ( .A1(n24983), .A2(\lsu/sec_data_lo_m [23]), .B1(n24935), .X(\ashr_306/A[23] ) ); sky130_fd_sc_hd__a21o_1 U14948 ( .A1(n24985), .A2(\lsu/sec_data_lo_m [31]), .B1(n24936), .X(\ashr_306/A[31] ) ); sky130_fd_sc_hd__clkinv_1 U14949 ( .A(\trigger_pkt_any[1][tdata2][30] ), .Y( n20863) ); sky130_fd_sc_hd__clkinv_1 U14950 ( .A(n19276), .Y(n20688) ); sky130_fd_sc_hd__a21o_1 U14951 ( .A1(n25015), .A2(\lsu/sec_data_hi_m [21]), .B1(n24993), .X(\ashr_306/A[53] ) ); sky130_fd_sc_hd__clkinv_1 U14952 ( .A(n23531), .Y(n23568) ); sky130_fd_sc_hd__a21o_1 U14953 ( .A1(n25051), .A2(\lsu/sec_data_lo_m [4]), .B1(n24956), .X(\ashr_306/A[4] ) ); sky130_fd_sc_hd__clkinv_1 U14954 ( .A(n23507), .Y(n23557) ); sky130_fd_sc_hd__a21o_1 U14955 ( .A1(n25051), .A2(\lsu/sec_data_lo_m [3]), .B1(n24966), .X(\ashr_306/A[3] ) ); sky130_fd_sc_hd__clkinv_1 U14956 ( .A(n22650), .Y(n22646) ); sky130_fd_sc_hd__clkinv_1 U14957 ( .A(n20635), .Y(n20636) ); sky130_fd_sc_hd__clkinv_1 U14958 ( .A(n23498), .Y(n23553) ); sky130_fd_sc_hd__a21o_1 U14959 ( .A1(n25051), .A2(\lsu/sec_data_lo_m [2]), .B1(n24986), .X(\ashr_306/A[2] ) ); sky130_fd_sc_hd__clkinv_1 U14960 ( .A(n23090), .Y(n23439) ); sky130_fd_sc_hd__a21o_1 U14961 ( .A1(n25051), .A2(\lsu/sec_data_lo_m [1]), .B1(n25026), .X(\ashr_306/A[1] ) ); sky130_fd_sc_hd__clkinv_1 U14962 ( .A(\dec/decode/write_csr_data [0]), .Y( n24794) ); sky130_fd_sc_hd__clkinv_1 U14963 ( .A(n21270), .Y(n21273) ); sky130_fd_sc_hd__clkinv_1 U14964 ( .A(n21276), .Y(n21297) ); sky130_fd_sc_hd__clkinv_1 U14965 ( .A(n17701), .Y(n17700) ); sky130_fd_sc_hd__clkinv_1 U14966 ( .A(n23485), .Y(n23547) ); sky130_fd_sc_hd__clkinv_1 U14967 ( .A(n17721), .Y(n17720) ); sky130_fd_sc_hd__clkinv_1 U14968 ( .A(n20405), .Y(n15900) ); sky130_fd_sc_hd__clkinv_1 U14969 ( .A(n23536), .Y(n23523) ); sky130_fd_sc_hd__clkinv_1 U14970 ( .A(n19892), .Y(n19956) ); sky130_fd_sc_hd__clkinv_1 U14971 ( .A(n19800), .Y(n19944) ); sky130_fd_sc_hd__clkinv_1 U14972 ( .A(n19884), .Y(n19917) ); sky130_fd_sc_hd__clkinv_1 U14973 ( .A(n23461), .Y(n23670) ); sky130_fd_sc_hd__clkinv_1 U14974 ( .A(\lsu/ecc/single_ecc_error_hi_any ), .Y(n15975) ); sky130_fd_sc_hd__clkinv_1 U14975 ( .A(n23544), .Y(n23717) ); sky130_fd_sc_hd__nand2_1 U14976 ( .A(n15590), .B(n15473), .Y(n15595) ); sky130_fd_sc_hd__clkinv_1 U14977 ( .A(n20102), .Y(n20100) ); sky130_fd_sc_hd__clkinv_1 U14978 ( .A(lsu_nonblock_load_inv_r), .Y(n22510) ); sky130_fd_sc_hd__clkinv_1 U14979 ( .A(\trigger_pkt_any[1][select] ), .Y( n18983) ); sky130_fd_sc_hd__clkinv_1 U14980 ( .A(\ifu/ifc_fetch_addr_f [27]), .Y(n18748) ); sky130_fd_sc_hd__clkinv_1 U14981 ( .A(\ifu/aln/f1pc [24]), .Y(n24160) ); sky130_fd_sc_hd__clkinv_1 U14982 ( .A(n17486), .Y(n17487) ); sky130_fd_sc_hd__clkinv_1 U14983 ( .A(n17492), .Y(n17564) ); sky130_fd_sc_hd__clkinv_1 U14984 ( .A(exu_i0_pc_x[15]), .Y(n21606) ); sky130_fd_sc_hd__clkinv_1 U14985 ( .A(\ifu/aln/f1pc [7]), .Y(n24228) ); sky130_fd_sc_hd__clkinv_1 U14986 ( .A(\ifu/aln/f1pc [4]), .Y(n24192) ); sky130_fd_sc_hd__clkinv_1 U14987 ( .A(n23874), .Y(n23883) ); sky130_fd_sc_hd__fa_1 U14988 ( .A(n19354), .B(n16247), .CIN(n16246), .COUT( n16365), .SUM(n19693) ); sky130_fd_sc_hd__clkinv_1 U14989 ( .A(n23433), .Y(n23466) ); sky130_fd_sc_hd__clkinv_1 U14990 ( .A(\exu/i_div/valid_ff_x ), .Y(n17435) ); sky130_fd_sc_hd__clkinv_1 U14991 ( .A(n20247), .Y(n20255) ); sky130_fd_sc_hd__clkinv_1 U14992 ( .A(n19936), .Y(n19862) ); sky130_fd_sc_hd__clkinv_1 U14993 ( .A(n19942), .Y(n19618) ); sky130_fd_sc_hd__clkinv_1 U14994 ( .A(n24758), .Y(n24796) ); sky130_fd_sc_hd__clkinv_1 U14995 ( .A(n19874), .Y(n19934) ); sky130_fd_sc_hd__clkinv_1 U14996 ( .A(n19535), .Y(n19446) ); sky130_fd_sc_hd__clkinv_1 U14997 ( .A(n21779), .Y(n22792) ); sky130_fd_sc_hd__clkinv_1 U14998 ( .A(n18134), .Y(n24516) ); sky130_fd_sc_hd__clkinv_1 U14999 ( .A(n23021), .Y(n23017) ); sky130_fd_sc_hd__clkinv_1 U15000 ( .A(n17374), .Y(n18135) ); sky130_fd_sc_hd__clkinv_1 U15001 ( .A(n17935), .Y(n17932) ); sky130_fd_sc_hd__clkinv_1 U15002 ( .A(n22123), .Y(n22458) ); sky130_fd_sc_hd__clkinv_1 U15003 ( .A(\dec/decode/write_csr_data [10]), .Y( n24721) ); sky130_fd_sc_hd__a21o_1 U15004 ( .A1(n18067), .A2(n18066), .B1(n21776), .X( n18068) ); sky130_fd_sc_hd__clkinv_1 U15005 ( .A(n17467), .Y(n24539) ); sky130_fd_sc_hd__clkinv_1 U15006 ( .A(exu_csr_rs1_x[9]), .Y(n24733) ); sky130_fd_sc_hd__clkinv_1 U15007 ( .A(\exu/i_div/q_ff [28]), .Y(n20260) ); sky130_fd_sc_hd__clkinv_1 U15008 ( .A(\exu/i_div/q_ff [20]), .Y(n20284) ); sky130_fd_sc_hd__clkinv_1 U15009 ( .A(\exu/ghr_d [7]), .Y(n24535) ); sky130_fd_sc_hd__clkinv_1 U15010 ( .A(\exu/ghr_d [2]), .Y(n24525) ); sky130_fd_sc_hd__clkinv_1 U15011 ( .A(n19356), .Y(n24551) ); sky130_fd_sc_hd__clkinv_1 U15012 ( .A(exu_csr_rs1_x[29]), .Y(n24593) ); sky130_fd_sc_hd__clkinv_1 U15013 ( .A(dmi_reg_rdata[13]), .Y(n24400) ); sky130_fd_sc_hd__clkinv_1 U15014 ( .A(n23695), .Y(n23762) ); sky130_fd_sc_hd__clkinv_1 U15015 ( .A(n23453), .Y(n23096) ); sky130_fd_sc_hd__clkinv_1 U15016 ( .A(n23689), .Y(n23754) ); sky130_fd_sc_hd__clkinv_1 U15017 ( .A(n23449), .Y(n23094) ); sky130_fd_sc_hd__clkinv_1 U15018 ( .A(n23685), .Y(n23748) ); sky130_fd_sc_hd__clkinv_1 U15019 ( .A(n23680), .Y(n23741) ); sky130_fd_sc_hd__clkinv_1 U15020 ( .A(\intadd_4/n1 ), .Y(n22652) ); sky130_fd_sc_hd__clkinv_1 U15021 ( .A(n24567), .Y(n17070) ); sky130_fd_sc_hd__clkinv_1 U15022 ( .A(\pic_ctrl_inst/intpriority_reg[21][3] ), .Y(n22943) ); sky130_fd_sc_hd__clkinv_1 U15023 ( .A(\pic_ctrl_inst/intpriority_reg[4][3] ), .Y(n22839) ); sky130_fd_sc_hd__clkinv_1 U15024 ( .A(\pic_ctrl_inst/intpriority_reg[31][2] ), .Y(n23007) ); sky130_fd_sc_hd__clkinv_1 U15025 ( .A(\pic_ctrl_inst/intpriority_reg[25][2] ), .Y(n22966) ); sky130_fd_sc_hd__clkinv_1 U15026 ( .A(\pic_ctrl_inst/intpriority_reg[10][2] ), .Y(n22873) ); sky130_fd_sc_hd__clkinv_1 U15027 ( .A(n23673), .Y(n23733) ); sky130_fd_sc_hd__clkinv_1 U15028 ( .A(n23666), .Y(n23726) ); sky130_fd_sc_hd__clkinv_1 U15029 ( .A(\pic_ctrl_inst/intpriority_reg[30][1] ), .Y(n22997) ); sky130_fd_sc_hd__clkinv_1 U15030 ( .A(\pic_ctrl_inst/intpriority_reg[14][1] ), .Y(n22898) ); sky130_fd_sc_hd__clkinv_1 U15031 ( .A(n23569), .Y(n23542) ); sky130_fd_sc_hd__clkinv_1 U15032 ( .A(n23067), .Y(n23065) ); sky130_fd_sc_hd__clkinv_1 U15033 ( .A(\pic_ctrl_inst/intenable_reg [27]), .Y(n17345) ); sky130_fd_sc_hd__clkinv_1 U15034 ( .A(\pic_ctrl_inst/intenable_reg [17]), .Y(n17339) ); sky130_fd_sc_hd__clkinv_1 U15035 ( .A(\pic_ctrl_inst/intenable_reg [9]), .Y( n17343) ); sky130_fd_sc_hd__clkinv_1 U15036 ( .A(n22987), .Y(n22985) ); sky130_fd_sc_hd__clkinv_1 U15037 ( .A(n22950), .Y(n22948) ); sky130_fd_sc_hd__clkinv_1 U15038 ( .A(n22920), .Y(n22918) ); sky130_fd_sc_hd__clkinv_1 U15039 ( .A(n22889), .Y(n22887) ); sky130_fd_sc_hd__clkinv_1 U15040 ( .A(n22859), .Y(n22857) ); sky130_fd_sc_hd__clkinv_1 U15041 ( .A(n22827), .Y(n22825) ); sky130_fd_sc_hd__clkinv_1 U15042 ( .A(n22783), .Y(n22782) ); sky130_fd_sc_hd__clkinv_1 U15043 ( .A(n22774), .Y(n22772) ); sky130_fd_sc_hd__clkinv_1 U15044 ( .A(n22768), .Y(n22766) ); sky130_fd_sc_hd__clkinv_1 U15045 ( .A(n22728), .Y(n22727) ); sky130_fd_sc_hd__clkinv_1 U15046 ( .A(n22709), .Y(n22707) ); sky130_fd_sc_hd__clkinv_1 U15047 ( .A(n22196), .Y(n23620) ); sky130_fd_sc_hd__clkinv_1 U15048 ( .A(n23546), .Y(n23572) ); sky130_fd_sc_hd__clkinv_1 U15049 ( .A(n23434), .Y(n23088) ); sky130_fd_sc_hd__clkinv_1 U15050 ( .A(n21946), .Y(n23630) ); sky130_fd_sc_hd__o22a_1 U15051 ( .A1(n23898), .A2(n23823), .B1(n23822), .B2( n23899), .X(n23824) ); sky130_fd_sc_hd__clkinv_1 U15052 ( .A(\lsu/stbuf/stbuf_byteen[1][3] ), .Y( n21691) ); sky130_fd_sc_hd__nor2_1 U15053 ( .A(n22020), .B(n22661), .Y(n21598) ); sky130_fd_sc_hd__clkinv_1 U15054 ( .A(n23211), .Y(n23186) ); sky130_fd_sc_hd__clkinv_1 U15055 ( .A(\lsu/lsu_addr_r [9]), .Y(n22569) ); sky130_fd_sc_hd__clkinv_1 U15056 ( .A(\lsu/end_addr_r [1]), .Y(n22552) ); sky130_fd_sc_hd__clkinv_1 U15057 ( .A(\lsu/stbuf/WrPtr [1]), .Y(n22659) ); sky130_fd_sc_hd__clkinv_1 U15058 ( .A(n23704), .Y(n23698) ); sky130_fd_sc_hd__clkinv_1 U15059 ( .A(n23565), .Y(n23525) ); sky130_fd_sc_hd__clkinv_1 U15060 ( .A(exu_csr_rs1_x[27]), .Y(n24607) ); sky130_fd_sc_hd__clkinv_1 U15061 ( .A(\exu/ghr_x [3]), .Y(n24528) ); sky130_fd_sc_hd__clkinv_1 U15062 ( .A(\lsu/dccm_rdata_lo_m [31]), .Y(n23463) ); sky130_fd_sc_hd__clkinv_1 U15063 ( .A(n23657), .Y(n23659) ); sky130_fd_sc_hd__clkinv_1 U15064 ( .A( \lsu/lsu_lsc_ctl/fir_dccm_access_error_m ), .Y(n23904) ); sky130_fd_sc_hd__clkinv_1 U15065 ( .A(\exu/i_div/m_ff [0]), .Y(n20377) ); sky130_fd_sc_hd__clkinv_1 U15066 ( .A(\exu/i_div/count [5]), .Y(n20318) ); sky130_fd_sc_hd__clkinv_1 U15067 ( .A(\dec/decode/cam[3][wb] ), .Y(n17428) ); sky130_fd_sc_hd__clkinv_1 U15068 ( .A(lsu_nonblock_load_tag_m[0]), .Y(n24101) ); sky130_fd_sc_hd__clkinv_1 U15069 ( .A(\ifu/ifc_fetch_addr_f [31]), .Y(n18771) ); sky130_fd_sc_hd__clkinv_1 U15070 ( .A(\ifu/ifc_fetch_addr_f [29]), .Y(n18762) ); sky130_fd_sc_hd__a21o_1 U15071 ( .A1(n18617), .A2(n18752), .B1(n18621), .X( n18756) ); sky130_fd_sc_hd__clkinv_1 U15072 ( .A(n18505), .Y(n18641) ); sky130_fd_sc_hd__clkinv_1 U15073 ( .A(\ifu/ifc_fetch_addr_f [24]), .Y(n18737) ); sky130_fd_sc_hd__clkinv_1 U15074 ( .A(\ifu/ifc_fetch_addr_f [23]), .Y(n18733) ); sky130_fd_sc_hd__a21o_1 U15075 ( .A1(n18588), .A2(n24141), .B1(n18591), .X( n18729) ); sky130_fd_sc_hd__clkinv_1 U15076 ( .A(\ifu/ifc_fetch_addr_f [21]), .Y(n18725) ); sky130_fd_sc_hd__clkinv_1 U15077 ( .A(exu_i0_pc_x[17]), .Y(n21612) ); sky130_fd_sc_hd__clkinv_1 U15078 ( .A(n18500), .Y(n18633) ); sky130_fd_sc_hd__clkinv_1 U15079 ( .A(\dec/decode/illegal_lockout ), .Y( n19317) ); sky130_fd_sc_hd__clkinv_1 U15080 ( .A(exu_csr_rs1_x[20]), .Y(n24656) ); sky130_fd_sc_hd__clkinv_1 U15081 ( .A(n19348), .Y(n24541) ); sky130_fd_sc_hd__fa_1 U15082 ( .A(n19349), .B(n16292), .CIN(n16291), .COUT( n16307), .SUM(n19546) ); sky130_fd_sc_hd__fa_1 U15083 ( .A(n17467), .B(n16267), .CIN(n16266), .COUT( n16331), .SUM(n19463) ); sky130_fd_sc_hd__clkinv_1 U15084 ( .A(\dec/decode/x_d[i0valid] ), .Y(n21770) ); sky130_fd_sc_hd__clkinv_1 U15085 ( .A(n21961), .Y(n23656) ); sky130_fd_sc_hd__clkinv_1 U15086 ( .A(n19618), .Y(n19913) ); sky130_fd_sc_hd__clkinv_1 U15087 ( .A(n19379), .Y(n19346) ); sky130_fd_sc_hd__a21o_1 U15088 ( .A1(n18572), .A2(n24148), .B1(n18575), .X( n18713) ); sky130_fd_sc_hd__clkinv_1 U15089 ( .A(\ifu/ifc_fetch_addr_f [19]), .Y(n18717) ); sky130_fd_sc_hd__clkinv_1 U15090 ( .A(n18270), .Y(n18301) ); sky130_fd_sc_hd__clkinv_1 U15091 ( .A(n24503), .Y(n24508) ); sky130_fd_sc_hd__clkinv_1 U15092 ( .A(sb_axi_rdata[63]), .Y(n18300) ); sky130_fd_sc_hd__clkinv_1 U15093 ( .A(sb_axi_rdata[55]), .Y(n18171) ); sky130_fd_sc_hd__clkinv_1 U15094 ( .A(sb_axi_rdata[40]), .Y(n18152) ); sky130_fd_sc_hd__a21o_1 U15095 ( .A1(n24360), .A2(n18071), .B1(n21776), .X( n18072) ); sky130_fd_sc_hd__clkinv_1 U15096 ( .A(n22815), .Y(n22817) ); sky130_fd_sc_hd__clkinv_1 U15097 ( .A(dmi_reg_wdata[17]), .Y(n24509) ); sky130_fd_sc_hd__clkinv_1 U15098 ( .A(dmi_reg_wdata[2]), .Y(n18139) ); sky130_fd_sc_hd__clkinv_1 U15099 ( .A(\dec/decode/i0_pipe_en [2]), .Y(n21792) ); sky130_fd_sc_hd__clkinv_1 U15100 ( .A(dmi_reg_en), .Y(n24481) ); sky130_fd_sc_hd__clkinv_1 U15101 ( .A(\dbg/sbdata0_reg [5]), .Y(n17923) ); sky130_fd_sc_hd__clkinv_1 U15102 ( .A(\dbg/sbdata0_reg [8]), .Y(n24352) ); sky130_fd_sc_hd__clkinv_1 U15103 ( .A(\dbg/sbdata0_reg [14]), .Y(n17951) ); sky130_fd_sc_hd__clkinv_1 U15104 ( .A(\dbg/sbdata0_reg [24]), .Y(n17980) ); sky130_fd_sc_hd__clkinv_1 U15105 ( .A(\dbg/sbdata0_reg [29]), .Y(n17995) ); sky130_fd_sc_hd__clkinv_1 U15106 ( .A(n22431), .Y(n22433) ); sky130_fd_sc_hd__clkinv_1 U15107 ( .A(n22475), .Y(n22473) ); sky130_fd_sc_hd__clkinv_1 U15108 ( .A(n22169), .Y(n21940) ); sky130_fd_sc_hd__clkinv_1 U15109 ( .A(n22361), .Y(n22362) ); sky130_fd_sc_hd__clkinv_1 U15110 ( .A(dccm_rd_addr_lo[6]), .Y(n21823) ); sky130_fd_sc_hd__clkinv_1 U15111 ( .A(dccm_rd_addr_lo[11]), .Y(n21833) ); sky130_fd_sc_hd__clkinv_1 U15112 ( .A(dccm_rd_addr_lo[15]), .Y(n21841) ); sky130_fd_sc_hd__clkinv_1 U15113 ( .A(n24582), .Y(\dec/dec_csr_wrdata_r [30]) ); sky130_fd_sc_hd__clkinv_1 U15114 ( .A(n24610), .Y(\dec/dec_csr_wrdata_r [26]) ); sky130_fd_sc_hd__clkinv_1 U15115 ( .A(n24708), .Y(\dec/dec_csr_wrdata_r [12]) ); sky130_fd_sc_hd__a21o_1 U15116 ( .A1(\dbg/abstractcs_reg [8]), .A2(n18043), .B1(n18042), .X(\dbg/abstractcs_error_din [0]) ); sky130_fd_sc_hd__o22a_1 U15117 ( .A1(dmi_reg_en), .A2(dmi_reg_rdata[10]), .B1(n24372), .B2(n24371), .X(\dbg/dmi_rddata_reg/_0_net_[10] ) ); sky130_fd_sc_hd__a21o_1 U15118 ( .A1(n23891), .A2(n23890), .B1(n23889), .X( \lsu/lsu_lsc_ctl/store_data_m_in [29]) ); sky130_fd_sc_hd__a21o_1 U15119 ( .A1(n23891), .A2(n23866), .B1(n23865), .X( \lsu/lsu_lsc_ctl/store_data_m_in [23]) ); sky130_fd_sc_hd__a21o_1 U15120 ( .A1(n23891), .A2(n23791), .B1(n23790), .X( \lsu/lsu_lsc_ctl/store_data_m_in [3]) ); sky130_fd_sc_hd__a21o_1 U15121 ( .A1(n23891), .A2(n23809), .B1(n23808), .X( \lsu/lsu_lsc_ctl/store_data_m_in [8]) ); sky130_fd_sc_hd__o2bb2ai_1 U15122 ( .B1(n17365), .B2(n17364), .A1_N(n17365), .A2_N(\pic_ctrl_inst/picm_wr_data_ff [0]), .Y( \pic_ctrl_inst/SETREG[31].NON_ZERO_INT.intenable_ff/_0_net_[0] ) ); sky130_fd_sc_hd__o2bb2ai_1 U15123 ( .B1(n17336), .B2(n17335), .A1_N(n17336), .A2_N(\pic_ctrl_inst/picm_wr_data_ff [0]), .Y( \pic_ctrl_inst/SETREG[19].NON_ZERO_INT.intenable_ff/_0_net_[0] ) ); sky130_fd_sc_hd__o2bb2ai_1 U15124 ( .B1(n17342), .B2(n17341), .A1_N(n17342), .A2_N(\pic_ctrl_inst/picm_wr_data_ff [0]), .Y( \pic_ctrl_inst/SETREG[5].NON_ZERO_INT.intenable_ff/_0_net_[0] ) ); sky130_fd_sc_hd__a21o_1 U15125 ( .A1(n23891), .A2(n23779), .B1(n23778), .X( \lsu/lsu_lsc_ctl/store_data_m_in [0]) ); sky130_fd_sc_hd__clkinv_1 U15126 ( .A(n17842), .Y(\lsu/lsu_lsc_ctl/n77 ) ); sky130_fd_sc_hd__clkinv_1 U15127 ( .A(n20501), .Y( \lsu/lsu_single_ecc_error_m ) ); sky130_fd_sc_hd__inv_2 U15128 ( .A(n20385), .Y(\lsu/lsu_pkt_d[dma] ) ); sky130_fd_sc_hd__fa_1 U15129 ( .A(n17837), .B(n17839), .CIN(n17827), .COUT( n17828), .SUM(\lsu/lsu_lsc_ctl/n93 ) ); sky130_fd_sc_hd__a21o_1 U15130 ( .A1(n22690), .A2(\dec/decode/i0_x_c[load] ), .B1(\dec/decode/d_d[i0load] ), .X(\dec/decode/i0_x_c_ff/_0_net_[1] ) ); sky130_fd_sc_hd__clkinv_1 U15131 ( .A(n24306), .Y( \dec/dec_i0_icaf_type_d [1]) ); sky130_fd_sc_hd__clkinv_1 U15132 ( .A(n22494), .Y(i0_predict_fghr_d[7]) ); sky130_fd_sc_hd__and4b_1 U15133 ( .B(n20336), .C(n20337), .D(n20335), .A_N( n20334), .X(\exu/i_div/shortq_shift [2]) ); sky130_fd_sc_hd__clkinv_1 U15134 ( .A(n24496), .Y(\dbg/dmcontrol_wren ) ); sky130_fd_sc_hd__clkinv_1 U15135 ( .A(n21791), .Y( \exu/i_div/mff/genblock.genblock.clkhdr/clkhdr/enable ) ); sky130_fd_sc_hd__conb_1 U15136 ( .LO(\lsu_axi_awid[2] ), .HI( \lsu_axi_awburst[0] ) ); sky130_fd_sc_hd__a21oi_1 U15137 ( .A1(\dbg/dmcontrol_reg_1 ), .A2(n21804), .B1(n25060), .Y(n17264) ); sky130_fd_sc_hd__clkbuf_1 U15138 ( .A(n17264), .X(n10965) ); sky130_fd_sc_hd__inv_1 U15139 ( .A(n10965), .Y(n17263) ); sky130_fd_sc_hd__nor2_1 U15140 ( .A(\dbg/dbg_state [2]), .B(n23026), .Y( n22479) ); sky130_fd_sc_hd__nand2_1 U15141 ( .A(n22479), .B(\dbg/dbg_state [0]), .Y( n23029) ); sky130_fd_sc_hd__nor4_1 U15142 ( .A(\dbg/abstractcs_reg [10]), .B( \dbg/abstractcs_reg [8]), .C(\dbg/abstractcs_reg [9]), .D(n23029), .Y( n10966) ); sky130_fd_sc_hd__nor2_1 U15143 ( .A(\ifu/aln/f0val [0]), .B( \ifu/aln/f0val [1]), .Y(n24293) ); sky130_fd_sc_hd__nor2_1 U15144 ( .A(n18799), .B(\ifu/aln/rdptr [0]), .Y( n24270) ); sky130_fd_sc_hd__nor2_1 U15145 ( .A(\ifu/aln/q2off ), .B(n24300), .Y(n24272) ); sky130_fd_sc_hd__nor2_1 U15146 ( .A(n18793), .B(\ifu/aln/rdptr [1]), .Y( n24269) ); sky130_fd_sc_hd__nor2_1 U15147 ( .A(\ifu/aln/q1off ), .B(n24298), .Y(n24271) ); sky130_fd_sc_hd__nor2_1 U15148 ( .A(\ifu/aln/rdptr [1]), .B( \ifu/aln/rdptr [0]), .Y(n24268) ); sky130_fd_sc_hd__nor2_1 U15149 ( .A(\ifu/aln/q0off ), .B(n24297), .Y(n24276) ); sky130_fd_sc_hd__a22o_1 U15150 ( .A1(n24271), .A2(\ifu/aln/q1 [0]), .B1( n24276), .B2(\ifu/aln/q0 [0]), .X(n10968) ); sky130_fd_sc_hd__nor2_1 U15151 ( .A(n18789), .B(n24300), .Y(n11014) ); sky130_fd_sc_hd__nor2_1 U15152 ( .A(n18784), .B(n24298), .Y(n11015) ); sky130_fd_sc_hd__nor2_1 U15153 ( .A(n11014), .B(n11015), .Y(n19327) ); sky130_fd_sc_hd__a22oi_1 U15154 ( .A1(n24270), .A2(\ifu/aln/q2 [16]), .B1( n24269), .B2(\ifu/aln/q1 [16]), .Y(n11079) ); sky130_fd_sc_hd__nor2_1 U15155 ( .A(n24297), .B(n19324), .Y(n24267) ); sky130_fd_sc_hd__o22ai_1 U15156 ( .A1(n19327), .A2(n11079), .B1(n11074), .B2(n10988), .Y(n10967) ); sky130_fd_sc_hd__a211oi_1 U15157 ( .A1(n24272), .A2(\ifu/aln/q2 [0]), .B1( n10968), .C1(n10967), .Y(n11163) ); sky130_fd_sc_hd__nor2_1 U15158 ( .A(n24293), .B(n11163), .Y( \dec/decode/i0_inst_d [0]) ); sky130_fd_sc_hd__nand2_1 U15159 ( .A(\ifu/aln/q2 [17]), .B(n11014), .Y( n10971) ); sky130_fd_sc_hd__a22oi_1 U15160 ( .A1(n24271), .A2(\ifu/aln/q1 [1]), .B1( n24267), .B2(\ifu/aln/q0 [17]), .Y(n10970) ); sky130_fd_sc_hd__a22oi_1 U15161 ( .A1(n24272), .A2(\ifu/aln/q2 [1]), .B1( n24276), .B2(\ifu/aln/q0 [1]), .Y(n10969) ); sky130_fd_sc_hd__nand3_1 U15162 ( .A(n10971), .B(n10970), .C(n10969), .Y( n10972) ); sky130_fd_sc_hd__a21oi_1 U15163 ( .A1(n11015), .A2(\ifu/aln/q1 [17]), .B1( n10972), .Y(n11070) ); sky130_fd_sc_hd__nor2_1 U15164 ( .A(n24293), .B(n11070), .Y( \dec/decode/i0_inst_d [1]) ); sky130_fd_sc_hd__nor4_1 U15165 ( .A(\dbg/command_reg_29 ), .B( \dbg/command_reg_28 ), .C(\dbg/command_reg_27 ), .D( \dbg/command_reg_26 ), .Y(n10973) ); sky130_fd_sc_hd__nand3b_1 U15166 ( .A_N(\dbg/command_reg_24 ), .B( \dbg/command_reg_25 ), .C(n10973), .Y(n10974) ); sky130_fd_sc_hd__or3_1 U15167 ( .A(\dbg/command_reg_31 ), .B( \dbg/command_reg_30 ), .C(n10974), .X(n11258) ); sky130_fd_sc_hd__a22oi_1 U15168 ( .A1(n25110), .A2(\dbg/data1_reg [3]), .B1( n11258), .B2(\dbg/command_reg [3]), .Y(n11328) ); sky130_fd_sc_hd__nand2_1 U15169 ( .A(dbg_cmd_valid), .B(n11258), .Y(n11175) ); sky130_fd_sc_hd__a22o_1 U15170 ( .A1(n24271), .A2(\ifu/aln/q1 [4]), .B1( n24276), .B2(\ifu/aln/q0 [4]), .X(n10976) ); sky130_fd_sc_hd__a22oi_1 U15171 ( .A1(n24270), .A2(\ifu/aln/q2 [20]), .B1( n24269), .B2(\ifu/aln/q1 [20]), .Y(n11196) ); sky130_fd_sc_hd__o22ai_1 U15172 ( .A1(n19327), .A2(n11196), .B1(n11191), .B2(n10988), .Y(n10975) ); sky130_fd_sc_hd__a211oi_1 U15173 ( .A1(n24272), .A2(\ifu/aln/q2 [4]), .B1( n10976), .C1(n10975), .Y(n11169) ); sky130_fd_sc_hd__nor2_1 U15174 ( .A(n24293), .B(n11169), .Y(n23910) ); sky130_fd_sc_hd__a22oi_1 U15175 ( .A1(n24272), .A2(\ifu/aln/q2 [3]), .B1( n24276), .B2(\ifu/aln/q0 [3]), .Y(n10979) ); sky130_fd_sc_hd__a22oi_1 U15176 ( .A1(n11014), .A2(\ifu/aln/q2 [19]), .B1( n24271), .B2(\ifu/aln/q1 [3]), .Y(n10978) ); sky130_fd_sc_hd__a22oi_1 U15177 ( .A1(n11015), .A2(\ifu/aln/q1 [19]), .B1( n24267), .B2(\ifu/aln/q0 [19]), .Y(n10977) ); sky130_fd_sc_hd__a31oi_1 U15178 ( .A1(n10979), .A2(n10978), .A3(n10977), .B1(n24293), .Y(n11296) ); sky130_fd_sc_hd__a22oi_1 U15179 ( .A1(n24272), .A2(\ifu/aln/q2 [2]), .B1( n24276), .B2(\ifu/aln/q0 [2]), .Y(n10982) ); sky130_fd_sc_hd__a22oi_1 U15180 ( .A1(n11014), .A2(\ifu/aln/q2 [18]), .B1( n24267), .B2(\ifu/aln/q0 [18]), .Y(n10981) ); sky130_fd_sc_hd__a22oi_1 U15181 ( .A1(n11015), .A2(\ifu/aln/q1 [18]), .B1( n24271), .B2(\ifu/aln/q1 [2]), .Y(n10980) ); sky130_fd_sc_hd__a31oi_1 U15182 ( .A1(n10982), .A2(n10981), .A3(n10980), .B1(n24293), .Y(n11220) ); sky130_fd_sc_hd__nor3_1 U15183 ( .A(n23910), .B(n11296), .C(n11220), .Y( n11021) ); sky130_fd_sc_hd__a22o_1 U15184 ( .A1(n24271), .A2(\ifu/aln/q1 [13]), .B1( n24276), .B2(\ifu/aln/q0 [13]), .X(n10984) ); sky130_fd_sc_hd__a22oi_1 U15185 ( .A1(n24270), .A2(\ifu/aln/q2 [29]), .B1( n24269), .B2(\ifu/aln/q1 [29]), .Y(n11201) ); sky130_fd_sc_hd__o2bb2ai_1 U15186 ( .B1(n19327), .B2(n11201), .A1_N( \ifu/aln/q0 [29]), .A2_N(n24267), .Y(n10983) ); sky130_fd_sc_hd__a211oi_1 U15187 ( .A1(n24272), .A2(\ifu/aln/q2 [13]), .B1( n10984), .C1(n10983), .Y(n11139) ); sky130_fd_sc_hd__nand2_1 U15188 ( .A(n14059), .B(n11007), .Y(n23929) ); sky130_fd_sc_hd__a22oi_1 U15189 ( .A1(n24272), .A2(\ifu/aln/q2 [6]), .B1( n24276), .B2(\ifu/aln/q0 [6]), .Y(n10987) ); sky130_fd_sc_hd__a22oi_1 U15190 ( .A1(n11014), .A2(\ifu/aln/q2 [22]), .B1( n24271), .B2(\ifu/aln/q1 [6]), .Y(n10986) ); sky130_fd_sc_hd__a22oi_1 U15191 ( .A1(n11015), .A2(\ifu/aln/q1 [22]), .B1( n24267), .B2(\ifu/aln/q0 [22]), .Y(n10985) ); sky130_fd_sc_hd__and3_1 U15192 ( .A(n10987), .B(n10986), .C(n10985), .X( n11152) ); sky130_fd_sc_hd__nor2_1 U15193 ( .A(n24293), .B(n11152), .Y(n11283) ); sky130_fd_sc_hd__a22o_1 U15194 ( .A1(n24271), .A2(\ifu/aln/q1 [5]), .B1( n24276), .B2(\ifu/aln/q0 [5]), .X(n10990) ); sky130_fd_sc_hd__a22oi_1 U15195 ( .A1(n24270), .A2(\ifu/aln/q2 [21]), .B1( n24269), .B2(\ifu/aln/q1 [21]), .Y(n11294) ); sky130_fd_sc_hd__o22ai_1 U15196 ( .A1(n19327), .A2(n11294), .B1(n11298), .B2(n10988), .Y(n10989) ); sky130_fd_sc_hd__a211oi_1 U15197 ( .A1(n24272), .A2(\ifu/aln/q2 [5]), .B1( n10990), .C1(n10989), .Y(n11178) ); sky130_fd_sc_hd__nor2_1 U15198 ( .A(n24293), .B(n11178), .Y(n11222) ); sky130_fd_sc_hd__nor2_1 U15199 ( .A(n11283), .B(n11222), .Y(n11260) ); sky130_fd_sc_hd__a22oi_1 U15200 ( .A1(n24270), .A2(\ifu/aln/q2 [28]), .B1( n24269), .B2(\ifu/aln/q1 [28]), .Y(n11216) ); sky130_fd_sc_hd__a22o_1 U15201 ( .A1(n24276), .A2(\ifu/aln/q0 [12]), .B1( n24267), .B2(\ifu/aln/q0 [28]), .X(n10991) ); sky130_fd_sc_hd__a21oi_1 U15202 ( .A1(n24272), .A2(\ifu/aln/q2 [12]), .B1( n10991), .Y(n10992) ); sky130_fd_sc_hd__o21ai_1 U15203 ( .A1(n19327), .A2(n11216), .B1(n10992), .Y( n10993) ); sky130_fd_sc_hd__a21oi_1 U15204 ( .A1(n24271), .A2(\ifu/aln/q1 [12]), .B1( n10993), .Y(n11059) ); sky130_fd_sc_hd__nor2_1 U15205 ( .A(n24293), .B(n11059), .Y(n11040) ); sky130_fd_sc_hd__nand2_1 U15206 ( .A(n11260), .B(n23927), .Y(n11019) ); sky130_fd_sc_hd__a22oi_1 U15207 ( .A1(n11015), .A2(\ifu/aln/q1 [31]), .B1( n24272), .B2(\ifu/aln/q2 [15]), .Y(n10996) ); sky130_fd_sc_hd__a22oi_1 U15208 ( .A1(n11014), .A2(\ifu/aln/q2 [31]), .B1( n24271), .B2(\ifu/aln/q1 [15]), .Y(n10995) ); sky130_fd_sc_hd__a22oi_1 U15209 ( .A1(n24276), .A2(\ifu/aln/q0 [15]), .B1( n24267), .B2(\ifu/aln/q0 [31]), .Y(n10994) ); sky130_fd_sc_hd__nand3_1 U15210 ( .A(n10996), .B(n10995), .C(n10994), .Y( n11144) ); sky130_fd_sc_hd__a22oi_1 U15211 ( .A1(n24270), .A2(\ifu/aln/q2 [30]), .B1( n24269), .B2(\ifu/aln/q1 [30]), .Y(n11267) ); sky130_fd_sc_hd__a22o_1 U15212 ( .A1(n24276), .A2(\ifu/aln/q0 [14]), .B1( n24267), .B2(\ifu/aln/q0 [30]), .X(n10997) ); sky130_fd_sc_hd__a21oi_1 U15213 ( .A1(n24271), .A2(\ifu/aln/q1 [14]), .B1( n10997), .Y(n10998) ); sky130_fd_sc_hd__o21ai_1 U15214 ( .A1(n19327), .A2(n11267), .B1(n10998), .Y( n10999) ); sky130_fd_sc_hd__a21oi_1 U15215 ( .A1(n24272), .A2(\ifu/aln/q2 [14]), .B1( n10999), .Y(n23932) ); sky130_fd_sc_hd__nor2_1 U15216 ( .A(n11144), .B(n23932), .Y(n11170) ); sky130_fd_sc_hd__a22oi_1 U15217 ( .A1(n24271), .A2(\ifu/aln/q1 [11]), .B1( n24276), .B2(\ifu/aln/q0 [11]), .Y(n11002) ); sky130_fd_sc_hd__a22oi_1 U15218 ( .A1(n24272), .A2(\ifu/aln/q2 [11]), .B1( n24267), .B2(\ifu/aln/q0 [27]), .Y(n11001) ); sky130_fd_sc_hd__a22oi_1 U15219 ( .A1(n11014), .A2(\ifu/aln/q2 [27]), .B1( n11015), .B2(\ifu/aln/q1 [27]), .Y(n11000) ); sky130_fd_sc_hd__and3_1 U15220 ( .A(n11002), .B(n11001), .C(n11000), .X( n11259) ); sky130_fd_sc_hd__a22oi_1 U15221 ( .A1(n11014), .A2(\ifu/aln/q2 [26]), .B1( n11015), .B2(\ifu/aln/q1 [26]), .Y(n11005) ); sky130_fd_sc_hd__a22oi_1 U15222 ( .A1(n24272), .A2(\ifu/aln/q2 [10]), .B1( n24276), .B2(\ifu/aln/q0 [10]), .Y(n11004) ); sky130_fd_sc_hd__a22oi_1 U15223 ( .A1(n24271), .A2(\ifu/aln/q1 [10]), .B1( n24267), .B2(\ifu/aln/q0 [26]), .Y(n11003) ); sky130_fd_sc_hd__nand3_1 U15224 ( .A(n11005), .B(n11004), .C(n11003), .Y( n11185) ); sky130_fd_sc_hd__o21ai_1 U15225 ( .A1(n11259), .A2(n11185), .B1(n11040), .Y( n11006) ); sky130_fd_sc_hd__o22ai_1 U15226 ( .A1(n11019), .A2(n11162), .B1(n11007), .B2(n11006), .Y(n11008) ); sky130_fd_sc_hd__o21ai_1 U15227 ( .A1(n11021), .A2(n23929), .B1(n11008), .Y( n11020) ); sky130_fd_sc_hd__nor2_1 U15228 ( .A(n24293), .B(n11035), .Y(n11136) ); sky130_fd_sc_hd__nand2_1 U15229 ( .A(n23934), .B(n23929), .Y(n11174) ); sky130_fd_sc_hd__a22oi_1 U15230 ( .A1(n24270), .A2(\ifu/aln/q2 [24]), .B1( n24269), .B2(\ifu/aln/q1 [24]), .Y(n11120) ); sky130_fd_sc_hd__a22oi_1 U15231 ( .A1(n24271), .A2(\ifu/aln/q1 [8]), .B1( n24276), .B2(\ifu/aln/q0 [8]), .Y(n11010) ); sky130_fd_sc_hd__a22oi_1 U15232 ( .A1(n24272), .A2(\ifu/aln/q2 [8]), .B1( n24267), .B2(\ifu/aln/q0 [24]), .Y(n11009) ); sky130_fd_sc_hd__o211ai_1 U15233 ( .A1(n19327), .A2(n11120), .B1(n11010), .C1(n11009), .Y(n11270) ); sky130_fd_sc_hd__nand2_1 U15234 ( .A(n14059), .B(n11270), .Y(n23919) ); sky130_fd_sc_hd__nand2_1 U15235 ( .A(n14059), .B(n11185), .Y(n23923) ); sky130_fd_sc_hd__a22oi_1 U15236 ( .A1(n24272), .A2(\ifu/aln/q2 [9]), .B1( n24276), .B2(\ifu/aln/q0 [9]), .Y(n11013) ); sky130_fd_sc_hd__a22oi_1 U15237 ( .A1(n11014), .A2(\ifu/aln/q2 [25]), .B1( n24267), .B2(\ifu/aln/q0 [25]), .Y(n11012) ); sky130_fd_sc_hd__a22oi_1 U15238 ( .A1(n11015), .A2(\ifu/aln/q1 [25]), .B1( n24271), .B2(\ifu/aln/q1 [9]), .Y(n11011) ); sky130_fd_sc_hd__a31oi_1 U15239 ( .A1(n11013), .A2(n11012), .A3(n11011), .B1(n24293), .Y(n11210) ); sky130_fd_sc_hd__nor2_1 U15240 ( .A(n24293), .B(n11259), .Y(n11114) ); sky130_fd_sc_hd__a22oi_1 U15241 ( .A1(n11014), .A2(\ifu/aln/q2 [23]), .B1( n24267), .B2(\ifu/aln/q0 [23]), .Y(n11018) ); sky130_fd_sc_hd__a22oi_1 U15242 ( .A1(n24272), .A2(\ifu/aln/q2 [7]), .B1( n24276), .B2(\ifu/aln/q0 [7]), .Y(n11017) ); sky130_fd_sc_hd__a22oi_1 U15243 ( .A1(n11015), .A2(\ifu/aln/q1 [23]), .B1( n24271), .B2(\ifu/aln/q1 [7]), .Y(n11016) ); sky130_fd_sc_hd__a31oi_1 U15244 ( .A1(n11018), .A2(n11017), .A3(n11016), .B1(n24293), .Y(n11225) ); sky130_fd_sc_hd__nand4_1 U15245 ( .A(n23923), .B(n23921), .C(n23925), .D( n23917), .Y(n11071) ); sky130_fd_sc_hd__nor2_1 U15246 ( .A(n11252), .B(n11071), .Y(n11190) ); sky130_fd_sc_hd__nor2_1 U15247 ( .A(n11019), .B(n11160), .Y(n11023) ); sky130_fd_sc_hd__a2bb2oi_1 U15248 ( .B1(\dec/decode/i0_inst_d [0]), .B2( n11020), .A1_N(n11174), .A2_N(n11023), .Y(n11026) ); sky130_fd_sc_hd__nand2_1 U15249 ( .A(n14059), .B(n24119), .Y(n23931) ); sky130_fd_sc_hd__nor2_1 U15250 ( .A(n23932), .B(n23931), .Y(n11025) ); sky130_fd_sc_hd__nand2_1 U15251 ( .A(n11260), .B(n11021), .Y(n11058) ); sky130_fd_sc_hd__nor2_1 U15252 ( .A(n23934), .B(n11058), .Y(n11161) ); sky130_fd_sc_hd__o211ai_1 U15253 ( .A1(n11059), .A2(n11144), .B1( \dec/decode/i0_inst_d [1]), .C1(n11163), .Y(n11022) ); sky130_fd_sc_hd__a21oi_1 U15254 ( .A1(n11161), .A2(n11023), .B1(n11022), .Y( n11024) ); sky130_fd_sc_hd__o21ai_1 U15255 ( .A1(n11025), .A2(n11024), .B1(n23929), .Y( n11046) ); sky130_fd_sc_hd__o21ai_1 U15256 ( .A1(\dec/decode/i0_inst_d [1]), .A2(n11026), .B1(n11046), .Y(n11173) ); sky130_fd_sc_hd__nand2_1 U15257 ( .A(n11175), .B(n11173), .Y(n11299) ); sky130_fd_sc_hd__nand2_1 U15258 ( .A(\dec/decode/i0_inst_d [0]), .B(n11139), .Y(n11029) ); sky130_fd_sc_hd__nand2b_1 U15259 ( .A_N(n11029), .B(n11035), .Y(n11112) ); sky130_fd_sc_hd__o21ai_1 U15260 ( .A1(n11144), .A2(n11113), .B1(n11112), .Y( n11061) ); sky130_fd_sc_hd__nor2_1 U15261 ( .A(n11135), .B(n11113), .Y(n11057) ); sky130_fd_sc_hd__o2bb2ai_1 U15262 ( .B1(n11163), .B2(n11162), .A1_N(n11058), .A2_N(n11057), .Y(n11027) ); sky130_fd_sc_hd__nor2_1 U15263 ( .A(n11061), .B(n11027), .Y(n11033) ); sky130_fd_sc_hd__o21ai_1 U15264 ( .A1(n23932), .A2(n23934), .B1(n11033), .Y( n11028) ); sky130_fd_sc_hd__nand2_1 U15265 ( .A(n11175), .B(\exu/i0_predict_p_d[pc4] ), .Y(n11149) ); sky130_fd_sc_hd__a21oi_1 U15266 ( .A1(n11317), .A2(n11028), .B1(n11179), .Y( n11032) ); sky130_fd_sc_hd__nor4_1 U15267 ( .A(\dbg/command_reg [15]), .B( \dbg/command_reg [14]), .C(\dbg/command_reg [13]), .D( \dbg/command_reg [12]), .Y(n11146) ); sky130_fd_sc_hd__nand2b_1 U15268 ( .A_N(n11146), .B(n14057), .Y(n11134) ); sky130_fd_sc_hd__nor2_1 U15269 ( .A(n11134), .B(n18004), .Y(n11043) ); sky130_fd_sc_hd__nor2_1 U15270 ( .A(n11135), .B(n11029), .Y(n11111) ); sky130_fd_sc_hd__nand2_1 U15271 ( .A(n11136), .B(n11111), .Y(n11186) ); sky130_fd_sc_hd__nor2_1 U15272 ( .A(\dec/decode/i0_inst_d [0]), .B( \dec/decode/i0_inst_d [1]), .Y(n11282) ); sky130_fd_sc_hd__nand2_1 U15273 ( .A(n11282), .B(n23934), .Y(n11036) ); sky130_fd_sc_hd__a21oi_1 U15274 ( .A1(n11186), .A2(n11036), .B1(n11299), .Y( n11030) ); sky130_fd_sc_hd__a21oi_1 U15275 ( .A1(n11043), .A2(dbg_cmd_addr[3]), .B1( n11030), .Y(n11031) ); sky130_fd_sc_hd__o21ai_1 U15276 ( .A1(n11032), .A2(n23923), .B1(n11031), .Y( \dec/dec_i0_instr_d [10]) ); sky130_fd_sc_hd__a22oi_1 U15277 ( .A1(n25110), .A2(\dbg/data1_reg [4]), .B1( n11258), .B2(\dbg/command_reg [4]), .Y(n11329) ); sky130_fd_sc_hd__o22ai_1 U15278 ( .A1(n11032), .A2(n23925), .B1(n11329), .B2(n11048), .Y(\dec/dec_i0_instr_d [11]) ); sky130_fd_sc_hd__nor2_1 U15279 ( .A(n25110), .B(n24323), .Y(dbg_cmd_addr[1]) ); sky130_fd_sc_hd__nand2_1 U15280 ( .A(n11033), .B(n11186), .Y(n11038) ); sky130_fd_sc_hd__a21oi_1 U15281 ( .A1(n11317), .A2(n11038), .B1(n11179), .Y( n11045) ); sky130_fd_sc_hd__nor2_1 U15282 ( .A(n11163), .B(n23934), .Y(n11069) ); sky130_fd_sc_hd__nand2_1 U15283 ( .A(n11069), .B(n11135), .Y(n11219) ); sky130_fd_sc_hd__a21oi_1 U15284 ( .A1(n11219), .A2(n11036), .B1(n11299), .Y( n11050) ); sky130_fd_sc_hd__a22oi_1 U15285 ( .A1(n11296), .A2(n11050), .B1(n11043), .B2(dbg_cmd_addr[1]), .Y(n11034) ); sky130_fd_sc_hd__o21ai_1 U15286 ( .A1(n11045), .A2(n23919), .B1(n11034), .Y( \dec/dec_i0_instr_d [8]) ); sky130_fd_sc_hd__nand2_1 U15287 ( .A(n11258), .B(\dbg/command_reg [0]), .Y( n11327) ); sky130_fd_sc_hd__nand2_1 U15288 ( .A(n11035), .B(n11151), .Y(n11103) ); sky130_fd_sc_hd__o22ai_1 U15289 ( .A1(n11135), .A2(n11103), .B1(n23907), .B2(n11036), .Y(n11037) ); sky130_fd_sc_hd__a21oi_1 U15290 ( .A1(n11225), .A2(n11038), .B1(n11037), .Y( n11041) ); sky130_fd_sc_hd__nand2_1 U15291 ( .A(n11057), .B(n11101), .Y(n11039) ); sky130_fd_sc_hd__nor2_1 U15292 ( .A(n11058), .B(n11039), .Y(n11189) ); sky130_fd_sc_hd__nand2_1 U15293 ( .A(n11189), .B(n11160), .Y(n11124) ); sky130_fd_sc_hd__nand2_1 U15294 ( .A(n11040), .B(n11127), .Y(n11211) ); sky130_fd_sc_hd__a31oi_1 U15295 ( .A1(n11041), .A2(n11124), .A3(n11211), .B1(n11299), .Y(n11042) ); sky130_fd_sc_hd__a21oi_1 U15296 ( .A1(n11043), .A2(dbg_cmd_addr[0]), .B1( n11042), .Y(n11044) ); sky130_fd_sc_hd__o21ai_1 U15297 ( .A1(n23917), .A2(n11149), .B1(n11044), .Y( \dec/dec_i0_instr_d [7]) ); sky130_fd_sc_hd__nand2_1 U15298 ( .A(n11136), .B(n11282), .Y(n11218) ); sky130_fd_sc_hd__a22oi_1 U15299 ( .A1(n25110), .A2(\dbg/data1_reg [2]), .B1( n11258), .B2(\dbg/command_reg [2]), .Y(n11325) ); sky130_fd_sc_hd__or3_1 U15300 ( .A(n23934), .B(n23932), .C(n11070), .X( n11224) ); sky130_fd_sc_hd__o31a_1 U15301 ( .A1(n14057), .A2(n11046), .A3(n11224), .B1( n11045), .X(n11047) ); sky130_fd_sc_hd__o22ai_1 U15302 ( .A1(n11325), .A2(n11048), .B1(n11047), .B2(n23921), .Y(n11049) ); sky130_fd_sc_hd__a21oi_1 U15303 ( .A1(n23910), .A2(n11050), .B1(n11049), .Y( n11051) ); sky130_fd_sc_hd__o31ai_1 U15304 ( .A1(n11152), .A2(n11299), .A3(n11218), .B1(n11051), .Y(\dec/dec_i0_instr_d [9]) ); sky130_fd_sc_hd__nor3_1 U15305 ( .A(n24267), .B(n24266), .C(n24258), .Y( n24289) ); sky130_fd_sc_hd__nand2_1 U15306 ( .A(n11179), .B(n24289), .Y(n11293) ); sky130_fd_sc_hd__nand2_1 U15307 ( .A(n24258), .B(\exu/i0_predict_p_d[pc4] ), .Y(n19319) ); sky130_fd_sc_hd__nand2_1 U15308 ( .A(n24295), .B(n11175), .Y(n11305) ); sky130_fd_sc_hd__nor2_1 U15309 ( .A(n18784), .B(n24297), .Y(n24281) ); sky130_fd_sc_hd__o22ai_1 U15310 ( .A1(n24298), .A2(n11293), .B1(n11305), .B2(n11052), .Y(n11311) ); sky130_fd_sc_hd__nor2_1 U15311 ( .A(dbg_cmd_write), .B(n11134), .Y(n11089) ); sky130_fd_sc_hd__nor2_1 U15312 ( .A(\ifu/aln/q2off ), .B(n24298), .Y(n24283) ); sky130_fd_sc_hd__nor2_1 U15313 ( .A(\ifu/aln/q0off ), .B(n24300), .Y(n24280) ); sky130_fd_sc_hd__nor2_1 U15314 ( .A(\ifu/aln/q1off ), .B(n24297), .Y(n24282) ); sky130_fd_sc_hd__a222oi_1 U15315 ( .A1(\ifu/aln/q2 [3]), .A2(n24283), .B1( \ifu/aln/q0 [3]), .B2(n24280), .C1(\ifu/aln/q1 [3]), .C2(n24282), .Y( n11053) ); sky130_fd_sc_hd__o22ai_1 U15316 ( .A1(n11329), .A2(n11094), .B1(n11053), .B2(n11305), .Y(n11054) ); sky130_fd_sc_hd__a21oi_1 U15317 ( .A1(\ifu/aln/q1 [19]), .A2(n11311), .B1( n11054), .Y(n11065) ); sky130_fd_sc_hd__nor2_1 U15318 ( .A(n24300), .B(n19324), .Y(n24279) ); sky130_fd_sc_hd__nor2_1 U15319 ( .A(n24297), .B(n11293), .Y(n11265) ); sky130_fd_sc_hd__o21ai_1 U15320 ( .A1(n11305), .A2(n11055), .B1(n11297), .Y( n11310) ); sky130_fd_sc_hd__nor2_1 U15321 ( .A(n18789), .B(n24298), .Y(n24278) ); sky130_fd_sc_hd__o22ai_1 U15322 ( .A1(n24300), .A2(n11293), .B1(n11305), .B2(n11056), .Y(n11309) ); sky130_fd_sc_hd__a22oi_1 U15323 ( .A1(\ifu/aln/q0 [19]), .A2(n11310), .B1( \ifu/aln/q2 [19]), .B2(n11309), .Y(n11064) ); sky130_fd_sc_hd__nor2_1 U15324 ( .A(n11135), .B(n23929), .Y(n11226) ); sky130_fd_sc_hd__nand2_1 U15325 ( .A(n11226), .B(n11101), .Y(n11142) ); sky130_fd_sc_hd__nor2_1 U15326 ( .A(n23919), .B(n11071), .Y(n11171) ); sky130_fd_sc_hd__nor2_1 U15327 ( .A(n11171), .B(n11103), .Y(n11122) ); sky130_fd_sc_hd__nand3_1 U15328 ( .A(n11122), .B(n11135), .C(n11101), .Y( n11278) ); sky130_fd_sc_hd__nand2_1 U15329 ( .A(n11142), .B(n11278), .Y(n11187) ); sky130_fd_sc_hd__a21oi_1 U15330 ( .A1(n11059), .A2(n11058), .B1(n11145), .Y( n11060) ); sky130_fd_sc_hd__a21oi_1 U15331 ( .A1(n23932), .A2(n11061), .B1(n11060), .Y( n11083) ); sky130_fd_sc_hd__nor2_1 U15332 ( .A(n23925), .B(n11083), .Y(n11062) ); sky130_fd_sc_hd__o21ai_1 U15333 ( .A1(n11187), .A2(n11062), .B1(n11317), .Y( n11063) ); sky130_fd_sc_hd__nand3_1 U15334 ( .A(n11065), .B(n11064), .C(n11063), .Y( \dec/dec_i0_rs1_d [4]) ); sky130_fd_sc_hd__a22oi_1 U15335 ( .A1(\ifu/aln/q2 [16]), .A2(n24278), .B1( \ifu/aln/q0 [16]), .B2(n24279), .Y(n11068) ); sky130_fd_sc_hd__a22oi_1 U15336 ( .A1(\ifu/aln/q2 [0]), .A2(n24283), .B1( \ifu/aln/q0 [0]), .B2(n24280), .Y(n11067) ); sky130_fd_sc_hd__a22oi_1 U15337 ( .A1(\ifu/aln/q1 [16]), .A2(n24281), .B1( \ifu/aln/q1 [0]), .B2(n24282), .Y(n11066) ); sky130_fd_sc_hd__a31oi_1 U15338 ( .A1(n11068), .A2(n11067), .A3(n11066), .B1(n11305), .Y(n11077) ); sky130_fd_sc_hd__nor2b_1 U15339 ( .B_N(n11163), .A(n23932), .Y(n11156) ); sky130_fd_sc_hd__a22oi_1 U15340 ( .A1(n11070), .A2(n11156), .B1(n11069), .B2(n11276), .Y(n11082) ); sky130_fd_sc_hd__nand2_1 U15341 ( .A(n11082), .B(n11083), .Y(n11092) ); sky130_fd_sc_hd__nand2_1 U15342 ( .A(n11122), .B(n11135), .Y(n11154) ); sky130_fd_sc_hd__nor4_1 U15343 ( .A(n11139), .B(n11071), .C(n23919), .D( n11162), .Y(n11246) ); sky130_fd_sc_hd__a21oi_1 U15344 ( .A1(n11141), .A2(n11283), .B1(n11246), .Y( n11072) ); sky130_fd_sc_hd__nand2_1 U15345 ( .A(\dec/decode/i0_inst_d [1]), .B(n11135), .Y(n11235) ); sky130_fd_sc_hd__nand2_1 U15346 ( .A(n23932), .B(n11282), .Y(n11223) ); sky130_fd_sc_hd__nand4_1 U15347 ( .A(n11072), .B(n11142), .C(n11235), .D( n11223), .Y(n11073) ); sky130_fd_sc_hd__a21oi_1 U15348 ( .A1(n11252), .A2(n11092), .B1(n11073), .Y( n11075) ); sky130_fd_sc_hd__o22ai_1 U15349 ( .A1(n11075), .A2(n11299), .B1(n11074), .B2(n11297), .Y(n11076) ); sky130_fd_sc_hd__a211oi_1 U15350 ( .A1(dbg_cmd_addr[1]), .A2(n11089), .B1( n11077), .C1(n11076), .Y(n11078) ); sky130_fd_sc_hd__o21ai_1 U15351 ( .A1(n11079), .A2(n11293), .B1(n11078), .Y( \dec/dec_i0_rs1_d [1]) ); sky130_fd_sc_hd__a222oi_1 U15352 ( .A1(\ifu/aln/q1 [2]), .A2(n24282), .B1( \ifu/aln/q2 [2]), .B2(n24283), .C1(\ifu/aln/q0 [2]), .C2(n24280), .Y( n11080) ); sky130_fd_sc_hd__o22ai_1 U15353 ( .A1(n11328), .A2(n11094), .B1(n11080), .B2(n11305), .Y(n11081) ); sky130_fd_sc_hd__a21oi_1 U15354 ( .A1(\ifu/aln/q2 [18]), .A2(n11309), .B1( n11081), .Y(n11087) ); sky130_fd_sc_hd__a22oi_1 U15355 ( .A1(\ifu/aln/q1 [18]), .A2(n11311), .B1( \ifu/aln/q0 [18]), .B2(n11310), .Y(n11086) ); sky130_fd_sc_hd__o21ai_1 U15356 ( .A1(n11083), .A2(n23923), .B1(n11082), .Y( n11084) ); sky130_fd_sc_hd__o21ai_1 U15357 ( .A1(n11187), .A2(n11084), .B1(n11317), .Y( n11085) ); sky130_fd_sc_hd__nand3_1 U15358 ( .A(n11087), .B(n11086), .C(n11085), .Y( \dec/dec_i0_rs1_d [3]) ); sky130_fd_sc_hd__o21ai_1 U15359 ( .A1(n11154), .A2(n23912), .B1(n11142), .Y( n11088) ); sky130_fd_sc_hd__a21oi_1 U15360 ( .A1(n11225), .A2(n11092), .B1(n11088), .Y( n11091) ); sky130_fd_sc_hd__a22oi_1 U15361 ( .A1(n11136), .A2(n11179), .B1( dbg_cmd_addr[0]), .B2(n11089), .Y(n11090) ); sky130_fd_sc_hd__o21ai_1 U15362 ( .A1(n11091), .A2(n11299), .B1(n11090), .Y( \dec/dec_i0_rs1_d [0]) ); sky130_fd_sc_hd__a21oi_1 U15363 ( .A1(n11210), .A2(n11092), .B1(n11187), .Y( n11098) ); sky130_fd_sc_hd__a222oi_1 U15364 ( .A1(\ifu/aln/q1 [1]), .A2(n24282), .B1( \ifu/aln/q0 [1]), .B2(n24280), .C1(\ifu/aln/q2 [1]), .C2(n24283), .Y( n11093) ); sky130_fd_sc_hd__o22ai_1 U15365 ( .A1(n11325), .A2(n11094), .B1(n11093), .B2(n11305), .Y(n11095) ); sky130_fd_sc_hd__a21oi_1 U15366 ( .A1(\ifu/aln/q1 [17]), .A2(n11311), .B1( n11095), .Y(n11097) ); sky130_fd_sc_hd__a22oi_1 U15367 ( .A1(\ifu/aln/q2 [17]), .A2(n11309), .B1( \ifu/aln/q0 [17]), .B2(n11310), .Y(n11096) ); sky130_fd_sc_hd__o211ai_1 U15368 ( .A1(n11098), .A2(n11299), .B1(n11097), .C1(n11096), .Y(\dec/dec_i0_rs1_d [2]) ); sky130_fd_sc_hd__a22oi_1 U15369 ( .A1(\ifu/aln/q0 [31]), .A2(n11310), .B1( \ifu/aln/q1 [31]), .B2(n11311), .Y(n11106) ); sky130_fd_sc_hd__a22oi_1 U15370 ( .A1(n25110), .A2(\dbg/data1_reg [11]), .B1(n11258), .B2(\dbg/command_reg [11]), .Y(n24920) ); sky130_fd_sc_hd__nand2_1 U15371 ( .A(n14057), .B(n11146), .Y(n11307) ); sky130_fd_sc_hd__a222oi_1 U15372 ( .A1(\ifu/aln/q0 [15]), .A2(n24280), .B1( \ifu/aln/q2 [15]), .B2(n24283), .C1(\ifu/aln/q1 [15]), .C2(n24282), .Y(n11099) ); sky130_fd_sc_hd__o22ai_1 U15373 ( .A1(n24920), .A2(n11307), .B1(n11099), .B2(n11305), .Y(n11100) ); sky130_fd_sc_hd__a21oi_1 U15374 ( .A1(\ifu/aln/q2 [31]), .A2(n11309), .B1( n11100), .Y(n11105) ); sky130_fd_sc_hd__nor2_1 U15375 ( .A(n11299), .B(n11276), .Y(n11271) ); sky130_fd_sc_hd__nand2_1 U15376 ( .A(n11271), .B(n11101), .Y(n11133) ); sky130_fd_sc_hd__a21oi_1 U15377 ( .A1(n11167), .A2(n11112), .B1(n23927), .Y( n11239) ); sky130_fd_sc_hd__nand2_1 U15378 ( .A(n11135), .B(n11101), .Y(n11102) ); sky130_fd_sc_hd__o21ai_1 U15379 ( .A1(n11103), .A2(n11102), .B1(n11211), .Y( n11104) ); sky130_fd_sc_hd__o21ai_1 U15380 ( .A1(n11239), .A2(n11104), .B1(n11317), .Y( n11272) ); sky130_fd_sc_hd__nand4_1 U15381 ( .A(n11106), .B(n11105), .C(n11133), .D( n11272), .Y(\dec/dec_csr_rdaddr_d[11] ) ); sky130_fd_sc_hd__a22oi_1 U15382 ( .A1(\ifu/aln/q1 [24]), .A2(n24281), .B1( \ifu/aln/q0 [8]), .B2(n24280), .Y(n11109) ); sky130_fd_sc_hd__a22oi_1 U15383 ( .A1(\ifu/aln/q0 [24]), .A2(n24279), .B1( \ifu/aln/q2 [8]), .B2(n24283), .Y(n11108) ); sky130_fd_sc_hd__a22oi_1 U15384 ( .A1(\ifu/aln/q2 [24]), .A2(n24278), .B1( \ifu/aln/q1 [8]), .B2(n24282), .Y(n11107) ); sky130_fd_sc_hd__a31oi_1 U15385 ( .A1(n11109), .A2(n11108), .A3(n11107), .B1(n11305), .Y(n11110) ); sky130_fd_sc_hd__a21oi_1 U15386 ( .A1(n11264), .A2(dbg_cmd_addr[4]), .B1( n11110), .Y(n11119) ); sky130_fd_sc_hd__nor2_1 U15387 ( .A(n11144), .B(n11235), .Y(n11314) ); sky130_fd_sc_hd__nand2_1 U15388 ( .A(n11114), .B(n11185), .Y(n11130) ); sky130_fd_sc_hd__nand2_1 U15389 ( .A(n11111), .B(n11130), .Y(n11172) ); sky130_fd_sc_hd__o211ai_1 U15390 ( .A1(n11170), .A2(n11113), .B1(n11172), .C1(n11112), .Y(n11313) ); sky130_fd_sc_hd__o31ai_1 U15391 ( .A1(n11246), .A2(n11314), .A3(n11313), .B1(n11283), .Y(n11116) ); sky130_fd_sc_hd__nor2_1 U15392 ( .A(n11162), .B(n11236), .Y(n11217) ); sky130_fd_sc_hd__nand2_1 U15393 ( .A(n11276), .B(n11223), .Y(n11312) ); sky130_fd_sc_hd__o21ai_1 U15394 ( .A1(n11217), .A2(n11312), .B1(n11114), .Y( n11115) ); sky130_fd_sc_hd__a31oi_1 U15395 ( .A1(n11116), .A2(n11278), .A3(n11115), .B1(n11299), .Y(n11117) ); sky130_fd_sc_hd__a21oi_1 U15396 ( .A1(n11265), .A2(\ifu/aln/q0 [24]), .B1( n11117), .Y(n11118) ); sky130_fd_sc_hd__o211ai_1 U15397 ( .A1(n11120), .A2(n11293), .B1(n11119), .C1(n11118), .Y(n11121) ); sky130_fd_sc_hd__nor2_1 U15398 ( .A(n11135), .B(\dec/decode/i0_inst_d [0]), .Y(n11165) ); sky130_fd_sc_hd__nand2_1 U15399 ( .A(n11161), .B(n11165), .Y(n11128) ); sky130_fd_sc_hd__a21oi_1 U15400 ( .A1(n11123), .A2(n23927), .B1(n11122), .Y( n11125) ); sky130_fd_sc_hd__a31oi_1 U15401 ( .A1(n11125), .A2(n11276), .A3(n11124), .B1(n11299), .Y(n11126) ); sky130_fd_sc_hd__a21oi_1 U15402 ( .A1(n11220), .A2(n11179), .B1(n11126), .Y( n23906) ); sky130_fd_sc_hd__nor2_1 U15403 ( .A(n11226), .B(n11127), .Y(n11248) ); sky130_fd_sc_hd__a21oi_1 U15404 ( .A1(n11248), .A2(n11128), .B1(n11299), .Y( n11129) ); sky130_fd_sc_hd__a211oi_1 U15405 ( .A1(n11179), .A2(n11283), .B1(n11264), .C1(n11129), .Y(n23914) ); sky130_fd_sc_hd__nor2_1 U15406 ( .A(n23906), .B(n23914), .Y(n17072) ); sky130_fd_sc_hd__a21oi_1 U15407 ( .A1(n11260), .A2(n11137), .B1(n11186), .Y( n11131) ); sky130_fd_sc_hd__a21oi_1 U15408 ( .A1(n11141), .A2(n23910), .B1(n11131), .Y( n11132) ); sky130_fd_sc_hd__o22ai_1 U15409 ( .A1(n23932), .A2(n11149), .B1(n11132), .B2(n11299), .Y(n15762) ); sky130_fd_sc_hd__nand2_1 U15410 ( .A(n11134), .B(n11133), .Y(n11150) ); sky130_fd_sc_hd__nor2_1 U15411 ( .A(n15762), .B(n11150), .Y(n23930) ); sky130_fd_sc_hd__nand2_1 U15412 ( .A(n11136), .B(n11135), .Y(n11281) ); sky130_fd_sc_hd__o21a_1 U15413 ( .A1(n23915), .A2(n11178), .B1(n11137), .X( n11138) ); sky130_fd_sc_hd__o22ai_1 U15414 ( .A1(n11139), .A2(n11281), .B1(n11138), .B2(n11186), .Y(n11140) ); sky130_fd_sc_hd__a21oi_1 U15415 ( .A1(n11220), .A2(n11141), .B1(n11140), .Y( n11143) ); sky130_fd_sc_hd__o211ai_1 U15416 ( .A1(n11145), .A2(n11144), .B1(n11143), .C1(n11142), .Y(n11147) ); sky130_fd_sc_hd__nor2_1 U15417 ( .A(n11175), .B(n18004), .Y(n16185) ); sky130_fd_sc_hd__a22oi_1 U15418 ( .A1(n11317), .A2(n11147), .B1(n11146), .B2(n16185), .Y(n11148) ); sky130_fd_sc_hd__o21ai_1 U15419 ( .A1(n23927), .A2(n11149), .B1(n11148), .Y( n19313) ); sky130_fd_sc_hd__a21oi_1 U15420 ( .A1(n11151), .A2(n11179), .B1(n11150), .Y( n11158) ); sky130_fd_sc_hd__o22ai_1 U15421 ( .A1(n23909), .A2(n11154), .B1(n11186), .B2(n11153), .Y(n11155) ); sky130_fd_sc_hd__o21ai_1 U15422 ( .A1(n11156), .A2(n11155), .B1(n11317), .Y( n11157) ); sky130_fd_sc_hd__o211ai_1 U15423 ( .A1(dbg_cmd_write), .A2(n11307), .B1( n11158), .C1(n11157), .Y(n24110) ); sky130_fd_sc_hd__nand2_1 U15424 ( .A(n19313), .B(n24110), .Y(n19314) ); sky130_fd_sc_hd__nor4_1 U15425 ( .A(n23930), .B(n23933), .C(n23935), .D( n19314), .Y(n11159) ); sky130_fd_sc_hd__nand4_1 U15426 ( .A(n11159), .B(\dec/dec_i0_rs1_d [4]), .C( \dec/dec_i0_rs1_d [3]), .D(\dec/dec_i0_rs1_d [2]), .Y(n11181) ); sky130_fd_sc_hd__nand2_1 U15427 ( .A(n11161), .B(n11160), .Y(n11166) ); sky130_fd_sc_hd__nor2_1 U15428 ( .A(n11163), .B(n11162), .Y(n11164) ); sky130_fd_sc_hd__a21oi_1 U15429 ( .A1(n11166), .A2(n11165), .B1(n11164), .Y( n11168) ); sky130_fd_sc_hd__nor2_1 U15430 ( .A(n14057), .B(n11173), .Y(n15796) ); sky130_fd_sc_hd__a31oi_1 U15431 ( .A1(n11168), .A2(n11175), .A3(n11167), .B1(n15796), .Y(n23911) ); sky130_fd_sc_hd__o21bai_1 U15432 ( .A1(n24119), .A2(n11169), .B1_N(n23911), .Y(n16151) ); sky130_fd_sc_hd__nor2_1 U15433 ( .A(n16148), .B(n23906), .Y(n17438) ); sky130_fd_sc_hd__nand3_1 U15434 ( .A(n11174), .B(n11173), .C(n11172), .Y( n11176) ); sky130_fd_sc_hd__o21a_1 U15435 ( .A1(n11177), .A2(n11176), .B1(n11175), .X( n23913) ); sky130_fd_sc_hd__o21ai_1 U15436 ( .A1(n24119), .A2(n11178), .B1(n23913), .Y( n17450) ); sky130_fd_sc_hd__a21oi_1 U15437 ( .A1(n11179), .A2(n11296), .B1(n11271), .Y( n23908) ); sky130_fd_sc_hd__nor2_1 U15438 ( .A(n19304), .B(n23908), .Y(n16146) ); sky130_fd_sc_hd__nor2_1 U15439 ( .A(n17438), .B(n16146), .Y(n15993) ); sky130_fd_sc_hd__nor3_1 U15440 ( .A(\dec/dec_i0_rs1_d [4]), .B( \dec/dec_i0_rs1_d [1]), .C(\dec/dec_i0_rs1_d [3]), .Y(n11338) ); sky130_fd_sc_hd__nand3_1 U15441 ( .A(n23933), .B(n11338), .C(n23936), .Y( n15780) ); sky130_fd_sc_hd__nand2_1 U15442 ( .A(n23926), .B(n23928), .Y(n24042) ); sky130_fd_sc_hd__nor2_1 U15443 ( .A(n15762), .B(n24042), .Y(n17454) ); sky130_fd_sc_hd__a21oi_1 U15444 ( .A1(n24033), .A2(n17454), .B1( \dec/dec_csr_rdaddr_d[11] ), .Y(n11180) ); sky130_fd_sc_hd__a211oi_1 U15445 ( .A1(n11181), .A2( \dec/dec_csr_rdaddr_d[11] ), .B1(n15993), .C1(n11180), .Y(n16218) ); sky130_fd_sc_hd__nand2_1 U15446 ( .A(n17072), .B(n16218), .Y(n19966) ); sky130_fd_sc_hd__o22ai_1 U15447 ( .A1(n11357), .A2(n23924), .B1(n19966), .B2(n23942), .Y(\exu/i0_predict_p_d[toffset][3] ) ); sky130_fd_sc_hd__a22oi_1 U15448 ( .A1(\ifu/aln/q2 [20]), .A2(n24278), .B1( \ifu/aln/q0 [4]), .B2(n24280), .Y(n11184) ); sky130_fd_sc_hd__a22oi_1 U15449 ( .A1(\ifu/aln/q2 [4]), .A2(n24283), .B1( \ifu/aln/q1 [4]), .B2(n24282), .Y(n11183) ); sky130_fd_sc_hd__a22oi_1 U15450 ( .A1(\ifu/aln/q1 [20]), .A2(n24281), .B1( \ifu/aln/q0 [20]), .B2(n24279), .Y(n11182) ); sky130_fd_sc_hd__a31oi_1 U15451 ( .A1(n11184), .A2(n11183), .A3(n11182), .B1(n11305), .Y(n11194) ); sky130_fd_sc_hd__nand2b_1 U15452 ( .A_N(n11186), .B(n11185), .Y(n11275) ); sky130_fd_sc_hd__o21ai_1 U15453 ( .A1(n11259), .A2(n11275), .B1(n11218), .Y( n11319) ); sky130_fd_sc_hd__nor2_1 U15454 ( .A(n11313), .B(n11319), .Y(n11277) ); sky130_fd_sc_hd__o21bai_1 U15455 ( .A1(n11277), .A2(n23907), .B1_N(n11187), .Y(n11188) ); sky130_fd_sc_hd__a21oi_1 U15456 ( .A1(n11190), .A2(n11189), .B1(n11188), .Y( n11192) ); sky130_fd_sc_hd__o22ai_1 U15457 ( .A1(n11192), .A2(n11299), .B1(n11191), .B2(n11297), .Y(n11193) ); sky130_fd_sc_hd__a211oi_1 U15458 ( .A1(\dbg/command_reg [0]), .A2(n11264), .B1(n11194), .C1(n11193), .Y(n11195) ); sky130_fd_sc_hd__o21a_1 U15459 ( .A1(n11196), .A2(n11293), .B1(n11195), .X( n23939) ); sky130_fd_sc_hd__o22ai_1 U15460 ( .A1(n11357), .A2(n23916), .B1(n19966), .B2(n23939), .Y(\exu/i0_predict_p_d[toffset][10] ) ); sky130_fd_sc_hd__a22oi_1 U15461 ( .A1(\ifu/aln/q2 [29]), .A2(n24278), .B1( \ifu/aln/q0 [13]), .B2(n24280), .Y(n11199) ); sky130_fd_sc_hd__a22oi_1 U15462 ( .A1(\ifu/aln/q2 [13]), .A2(n24283), .B1( \ifu/aln/q1 [13]), .B2(n24282), .Y(n11198) ); sky130_fd_sc_hd__a22oi_1 U15463 ( .A1(\ifu/aln/q1 [29]), .A2(n24281), .B1( \ifu/aln/q0 [29]), .B2(n24279), .Y(n11197) ); sky130_fd_sc_hd__a31oi_1 U15464 ( .A1(n11199), .A2(n11198), .A3(n11197), .B1(n11305), .Y(n11200) ); sky130_fd_sc_hd__a21oi_1 U15465 ( .A1(n11265), .A2(\ifu/aln/q0 [29]), .B1( n11200), .Y(n11205) ); sky130_fd_sc_hd__a22oi_1 U15466 ( .A1(n25110), .A2(\dbg/data1_reg [9]), .B1( n11258), .B2(\dbg/command_reg [9]), .Y(n11326) ); sky130_fd_sc_hd__o22ai_1 U15467 ( .A1(n11201), .A2(n11293), .B1(n11326), .B2(n11307), .Y(n11202) ); sky130_fd_sc_hd__a31oi_1 U15468 ( .A1(n11203), .A2(n11317), .A3(n11312), .B1(n11202), .Y(n11204) ); sky130_fd_sc_hd__nand3_1 U15469 ( .A(n11205), .B(n11204), .C(n11272), .Y( \exu/i0_predict_p_d[toffset][8] ) ); sky130_fd_sc_hd__a22o_1 U15470 ( .A1(n25110), .A2(\dbg/data1_reg [8]), .B1( n11258), .B2(\dbg/command_reg [8]), .X(dbg_cmd_addr[8]) ); sky130_fd_sc_hd__a22oi_1 U15471 ( .A1(\ifu/aln/q1 [28]), .A2(n24281), .B1( \ifu/aln/q0 [12]), .B2(n24280), .Y(n11208) ); sky130_fd_sc_hd__a22oi_1 U15472 ( .A1(\ifu/aln/q2 [12]), .A2(n24283), .B1( \ifu/aln/q0 [28]), .B2(n24279), .Y(n11207) ); sky130_fd_sc_hd__a22oi_1 U15473 ( .A1(\ifu/aln/q2 [28]), .A2(n24278), .B1( \ifu/aln/q1 [12]), .B2(n24282), .Y(n11206) ); sky130_fd_sc_hd__a31oi_1 U15474 ( .A1(n11208), .A2(n11207), .A3(n11206), .B1(n11305), .Y(n11209) ); sky130_fd_sc_hd__a21oi_1 U15475 ( .A1(n11264), .A2(dbg_cmd_addr[8]), .B1( n11209), .Y(n11215) ); sky130_fd_sc_hd__nor2_1 U15476 ( .A(n11320), .B(n11239), .Y(n11245) ); sky130_fd_sc_hd__a22oi_1 U15477 ( .A1(n23910), .A2(n11246), .B1(n11210), .B2(n11312), .Y(n11212) ); sky130_fd_sc_hd__a31oi_1 U15478 ( .A1(n11245), .A2(n11212), .A3(n11211), .B1(n11299), .Y(n11213) ); sky130_fd_sc_hd__a21oi_1 U15479 ( .A1(n11265), .A2(\ifu/aln/q0 [28]), .B1( n11213), .Y(n11214) ); sky130_fd_sc_hd__o211ai_1 U15480 ( .A1(n11216), .A2(n11293), .B1(n11215), .C1(n11214), .Y(\exu/i0_predict_p_d[toffset][7] ) ); sky130_fd_sc_hd__a22o_1 U15481 ( .A1(n25110), .A2(\dbg/data1_reg [6]), .B1( n11258), .B2(\dbg/command_reg [6]), .X(dbg_cmd_addr[6]) ); sky130_fd_sc_hd__a22oi_1 U15482 ( .A1(\ifu/aln/q2 [26]), .A2(n11309), .B1( \ifu/aln/q1 [26]), .B2(n11311), .Y(n11233) ); sky130_fd_sc_hd__nand4_1 U15483 ( .A(n11219), .B(n11218), .C(n11234), .D( n11315), .Y(n11221) ); sky130_fd_sc_hd__a22oi_1 U15484 ( .A1(n11222), .A2(n11221), .B1(n11220), .B2(n11314), .Y(n11228) ); sky130_fd_sc_hd__nand2_1 U15485 ( .A(n11224), .B(n11223), .Y(n11251) ); sky130_fd_sc_hd__o21ai_1 U15486 ( .A1(n11226), .A2(n11251), .B1(n11225), .Y( n11227) ); sky130_fd_sc_hd__a31oi_1 U15487 ( .A1(n11228), .A2(n11245), .A3(n11227), .B1(n11299), .Y(n11231) ); sky130_fd_sc_hd__a222oi_1 U15488 ( .A1(\ifu/aln/q1 [10]), .A2(n24282), .B1( \ifu/aln/q0 [10]), .B2(n24280), .C1(\ifu/aln/q2 [10]), .C2(n24283), .Y(n11229) ); sky130_fd_sc_hd__nand2_1 U15489 ( .A(n11264), .B(dbg_cmd_addr[6]), .Y(n11333) ); sky130_fd_sc_hd__o21ai_1 U15490 ( .A1(n11229), .A2(n11305), .B1(n11333), .Y( n11230) ); sky130_fd_sc_hd__a211oi_1 U15491 ( .A1(\ifu/aln/q0 [26]), .A2(n11310), .B1( n11231), .C1(n11230), .Y(n11232) ); sky130_fd_sc_hd__nand2_1 U15492 ( .A(n11233), .B(n11232), .Y( \exu/i0_predict_p_d[toffset][5] ) ); sky130_fd_sc_hd__a21oi_1 U15493 ( .A1(n11248), .A2(n11234), .B1(n23907), .Y( n11238) ); sky130_fd_sc_hd__a21oi_1 U15494 ( .A1(n11236), .A2(n11235), .B1(n23927), .Y( n11237) ); sky130_fd_sc_hd__nor4_1 U15495 ( .A(n11320), .B(n11239), .C(n11238), .D( n11237), .Y(n11244) ); sky130_fd_sc_hd__a222oi_1 U15496 ( .A1(\ifu/aln/q1 [9]), .A2(n24282), .B1( \ifu/aln/q2 [9]), .B2(n24283), .C1(\ifu/aln/q0 [9]), .C2(n24280), .Y( n11240) ); sky130_fd_sc_hd__a22oi_1 U15497 ( .A1(n25110), .A2(\dbg/data1_reg [5]), .B1( n11258), .B2(\dbg/command_reg [5]), .Y(n25065) ); sky130_fd_sc_hd__o22ai_1 U15498 ( .A1(n11240), .A2(n11305), .B1(n25065), .B2(n11307), .Y(n11241) ); sky130_fd_sc_hd__a21oi_1 U15499 ( .A1(\ifu/aln/q1 [25]), .A2(n11311), .B1( n11241), .Y(n11243) ); sky130_fd_sc_hd__a22oi_1 U15500 ( .A1(\ifu/aln/q0 [25]), .A2(n11310), .B1( \ifu/aln/q2 [25]), .B2(n11309), .Y(n11242) ); sky130_fd_sc_hd__o211ai_1 U15501 ( .A1(n11244), .A2(n11299), .B1(n11243), .C1(n11242), .Y(\exu/i0_predict_p_d[toffset][4] ) ); sky130_fd_sc_hd__nor2_1 U15502 ( .A(n11246), .B(n11314), .Y(n11247) ); sky130_fd_sc_hd__o22ai_1 U15503 ( .A1(n11248), .A2(n23915), .B1(n11247), .B2(n23909), .Y(n11249) ); sky130_fd_sc_hd__a211oi_1 U15504 ( .A1(n11252), .A2(n11251), .B1(n11250), .C1(n11249), .Y(n11257) ); sky130_fd_sc_hd__a22oi_1 U15505 ( .A1(n25110), .A2(\dbg/data1_reg [7]), .B1( n11258), .B2(\dbg/command_reg [7]), .Y(n11324) ); sky130_fd_sc_hd__a222oi_1 U15506 ( .A1(\ifu/aln/q1 [11]), .A2(n24282), .B1( \ifu/aln/q0 [11]), .B2(n24280), .C1(\ifu/aln/q2 [11]), .C2(n24283), .Y(n11253) ); sky130_fd_sc_hd__o22ai_1 U15507 ( .A1(n11324), .A2(n11307), .B1(n11253), .B2(n11305), .Y(n11254) ); sky130_fd_sc_hd__a21oi_1 U15508 ( .A1(\ifu/aln/q0 [27]), .A2(n11310), .B1( n11254), .Y(n11256) ); sky130_fd_sc_hd__a22oi_1 U15509 ( .A1(\ifu/aln/q2 [27]), .A2(n11309), .B1( \ifu/aln/q1 [27]), .B2(n11311), .Y(n11255) ); sky130_fd_sc_hd__o211ai_1 U15510 ( .A1(n11257), .A2(n11299), .B1(n11256), .C1(n11255), .Y(\exu/i0_predict_p_d[toffset][6] ) ); sky130_fd_sc_hd__a22o_1 U15511 ( .A1(n25110), .A2(\dbg/data1_reg [10]), .B1( n11258), .B2(\dbg/command_reg [10]), .X(dbg_cmd_addr[10]) ); sky130_fd_sc_hd__o21ai_1 U15512 ( .A1(n11260), .A2(n11259), .B1(n11317), .Y( n11274) ); sky130_fd_sc_hd__a22oi_1 U15513 ( .A1(\ifu/aln/q1 [30]), .A2(n24281), .B1( \ifu/aln/q0 [14]), .B2(n24280), .Y(n11263) ); sky130_fd_sc_hd__a22oi_1 U15514 ( .A1(\ifu/aln/q2 [30]), .A2(n24278), .B1( \ifu/aln/q1 [14]), .B2(n24282), .Y(n11262) ); sky130_fd_sc_hd__a22oi_1 U15515 ( .A1(\ifu/aln/q2 [14]), .A2(n24283), .B1( \ifu/aln/q0 [30]), .B2(n24279), .Y(n11261) ); sky130_fd_sc_hd__a31oi_1 U15516 ( .A1(n11263), .A2(n11262), .A3(n11261), .B1(n11305), .Y(n11269) ); sky130_fd_sc_hd__a22oi_1 U15517 ( .A1(\ifu/aln/q0 [30]), .A2(n11265), .B1( n11264), .B2(dbg_cmd_addr[10]), .Y(n11266) ); sky130_fd_sc_hd__o21ai_1 U15518 ( .A1(n11267), .A2(n11293), .B1(n11266), .Y( n11268) ); sky130_fd_sc_hd__a211oi_1 U15519 ( .A1(n11271), .A2(n11270), .B1(n11269), .C1(n11268), .Y(n11273) ); sky130_fd_sc_hd__o211ai_1 U15520 ( .A1(n11275), .A2(n11274), .B1(n11273), .C1(n11272), .Y(\exu/i0_predict_p_d[toffset][9] ) ); sky130_fd_sc_hd__nand2_1 U15521 ( .A(n11277), .B(n11276), .Y(n11295) ); sky130_fd_sc_hd__o21ai_1 U15522 ( .A1(n11295), .A2(n11314), .B1(n23910), .Y( n11279) ); sky130_fd_sc_hd__nand2_1 U15523 ( .A(n11279), .B(n11278), .Y(n11280) ); sky130_fd_sc_hd__a31oi_1 U15524 ( .A1(n11283), .A2(n11282), .A3(n11281), .B1(n11280), .Y(n11288) ); sky130_fd_sc_hd__a222oi_1 U15525 ( .A1(\ifu/aln/q2 [6]), .A2(n24283), .B1( \ifu/aln/q0 [6]), .B2(n24280), .C1(\ifu/aln/q1 [6]), .C2(n24282), .Y( n11284) ); sky130_fd_sc_hd__o22ai_1 U15526 ( .A1(n11325), .A2(n11307), .B1(n11284), .B2(n11305), .Y(n11285) ); sky130_fd_sc_hd__a21oi_1 U15527 ( .A1(\ifu/aln/q1 [22]), .A2(n11311), .B1( n11285), .Y(n11287) ); sky130_fd_sc_hd__a22oi_1 U15528 ( .A1(\ifu/aln/q0 [22]), .A2(n11310), .B1( \ifu/aln/q2 [22]), .B2(n11309), .Y(n11286) ); sky130_fd_sc_hd__o211ai_1 U15529 ( .A1(n11288), .A2(n11299), .B1(n11287), .C1(n11286), .Y(n11289) ); sky130_fd_sc_hd__o22ai_1 U15530 ( .A1(n11357), .A2(n23920), .B1(n19966), .B2(n24054), .Y(\exu/i0_predict_p_d[toffset][1] ) ); sky130_fd_sc_hd__a22oi_1 U15531 ( .A1(\ifu/aln/q0 [5]), .A2(n24280), .B1( \ifu/aln/q1 [5]), .B2(n24282), .Y(n11292) ); sky130_fd_sc_hd__a22oi_1 U15532 ( .A1(\ifu/aln/q1 [21]), .A2(n24281), .B1( \ifu/aln/q0 [21]), .B2(n24279), .Y(n11291) ); sky130_fd_sc_hd__a22oi_1 U15533 ( .A1(\ifu/aln/q2 [21]), .A2(n24278), .B1( \ifu/aln/q2 [5]), .B2(n24283), .Y(n11290) ); sky130_fd_sc_hd__a31oi_1 U15534 ( .A1(n11292), .A2(n11291), .A3(n11290), .B1(n11305), .Y(n11303) ); sky130_fd_sc_hd__o22ai_1 U15535 ( .A1(n11294), .A2(n11293), .B1(n24323), .B2(n11307), .Y(n11302) ); sky130_fd_sc_hd__a21oi_1 U15536 ( .A1(n11296), .A2(n11295), .B1(n11320), .Y( n11300) ); sky130_fd_sc_hd__o22ai_1 U15537 ( .A1(n11300), .A2(n11299), .B1(n11298), .B2(n11297), .Y(n11301) ); sky130_fd_sc_hd__nor3_1 U15538 ( .A(n11303), .B(n11302), .C(n11301), .Y( n23940) ); sky130_fd_sc_hd__nand2_1 U15539 ( .A(\dec/dec_i0_instr_d [8]), .B(n19966), .Y(n11304) ); sky130_fd_sc_hd__o21ai_1 U15540 ( .A1(n23940), .A2(n19966), .B1(n11304), .Y( \exu/i0_predict_p_d[toffset][0] ) ); sky130_fd_sc_hd__a222oi_1 U15541 ( .A1(\ifu/aln/q1 [7]), .A2(n24282), .B1( \ifu/aln/q0 [7]), .B2(n24280), .C1(\ifu/aln/q2 [7]), .C2(n24283), .Y( n11306) ); sky130_fd_sc_hd__o22ai_1 U15542 ( .A1(n11328), .A2(n11307), .B1(n11306), .B2(n11305), .Y(n11308) ); sky130_fd_sc_hd__a21oi_1 U15543 ( .A1(\ifu/aln/q2 [23]), .A2(n11309), .B1( n11308), .Y(n11323) ); sky130_fd_sc_hd__a22oi_1 U15544 ( .A1(\ifu/aln/q1 [23]), .A2(n11311), .B1( \ifu/aln/q0 [23]), .B2(n11310), .Y(n11322) ); sky130_fd_sc_hd__nor3_1 U15545 ( .A(n11314), .B(n11313), .C(n11312), .Y( n11316) ); sky130_fd_sc_hd__o22ai_1 U15546 ( .A1(n11316), .A2(n23912), .B1(n23923), .B2(n11315), .Y(n11318) ); sky130_fd_sc_hd__o31ai_1 U15547 ( .A1(n11320), .A2(n11319), .A3(n11318), .B1(n11317), .Y(n11321) ); sky130_fd_sc_hd__nand3_1 U15548 ( .A(n11323), .B(n11322), .C(n11321), .Y( \dec/dec_i0_rs2_d [3]) ); sky130_fd_sc_hd__o22ai_1 U15549 ( .A1(n11357), .A2(n23922), .B1(n19966), .B2(n23941), .Y(\exu/i0_predict_p_d[toffset][2] ) ); sky130_fd_sc_hd__nand4_1 U15550 ( .A(dbg_cmd_write), .B(n24920), .C(n24323), .D(n11327), .Y(n11332) ); sky130_fd_sc_hd__nand4_1 U15551 ( .A(n11329), .B(n11328), .C( dbg_cmd_addr[10]), .D(dbg_cmd_addr[7]), .Y(n11331) ); sky130_fd_sc_hd__nand4_1 U15552 ( .A(n25065), .B(dbg_cmd_addr[2]), .C( dbg_cmd_addr[9]), .D(dbg_cmd_addr[8]), .Y(n11330) ); sky130_fd_sc_hd__nor4_1 U15553 ( .A(n11333), .B(n11332), .C(n11331), .D( n11330), .Y(n21757) ); sky130_fd_sc_hd__nand2_1 U15554 ( .A(n24295), .B(n18482), .Y(n14058) ); sky130_fd_sc_hd__a22oi_1 U15555 ( .A1(n24270), .A2(\ifu/aln/brdata2 [7]), .B1(n24269), .B2(\ifu/aln/brdata1 [7]), .Y(n11339) ); sky130_fd_sc_hd__a22oi_1 U15556 ( .A1(n24276), .A2(\ifu/aln/brdata0 [1]), .B1(n24267), .B2(\ifu/aln/brdata0 [7]), .Y(n11335) ); sky130_fd_sc_hd__a22oi_1 U15557 ( .A1(n24272), .A2(\ifu/aln/brdata2 [1]), .B1(n24271), .B2(\ifu/aln/brdata1 [1]), .Y(n11334) ); sky130_fd_sc_hd__o211ai_1 U15558 ( .A1(n19327), .A2(n11339), .B1(n11335), .C1(n11334), .Y(n24118) ); sky130_fd_sc_hd__nand3_1 U15559 ( .A(n21744), .B(n14058), .C(n24118), .Y( n19315) ); sky130_fd_sc_hd__nor2_1 U15560 ( .A(n24119), .B(n19315), .Y(n11423) ); sky130_fd_sc_hd__nor2_1 U15561 ( .A(n23906), .B(n19303), .Y(n11337) ); sky130_fd_sc_hd__nand2_1 U15562 ( .A(n23928), .B(n19313), .Y(n17462) ); sky130_fd_sc_hd__nand2_1 U15563 ( .A(n19304), .B(n17462), .Y(n15768) ); sky130_fd_sc_hd__nor2_1 U15564 ( .A(n24046), .B(n16148), .Y(n15763) ); sky130_fd_sc_hd__nor2_1 U15565 ( .A(n16148), .B(n23914), .Y(n16002) ); sky130_fd_sc_hd__nand2b_1 U15566 ( .A_N(n24042), .B(n16002), .Y(n24026) ); sky130_fd_sc_hd__o21ai_1 U15567 ( .A1(n15768), .A2(n17463), .B1(n24026), .Y( n11336) ); sky130_fd_sc_hd__a21oi_1 U15568 ( .A1(n16148), .A2(n11337), .B1(n11336), .Y( n15759) ); sky130_fd_sc_hd__nand3_1 U15569 ( .A(n23922), .B(n23924), .C(n23918), .Y( n19965) ); sky130_fd_sc_hd__nor3_1 U15570 ( .A(n19965), .B(\dec/dec_i0_instr_d [7]), .C(\dec/dec_i0_instr_d [9]), .Y(n18807) ); sky130_fd_sc_hd__nand4_1 U15571 ( .A(n18807), .B(n11338), .C(n17072), .D( \dec/dec_i0_rs1_d [0]), .Y(n16217) ); sky130_fd_sc_hd__nor2_1 U15572 ( .A(n15759), .B(n16217), .Y(n16219) ); sky130_fd_sc_hd__nand2_1 U15573 ( .A(\exu/i0_predict_p_d[pc4] ), .B(n24289), .Y(n19323) ); sky130_fd_sc_hd__o21ai_1 U15574 ( .A1(n24297), .A2(n11340), .B1(n11339), .Y( n11345) ); sky130_fd_sc_hd__a22oi_1 U15575 ( .A1(n24280), .A2(\ifu/aln/brdata0 [1]), .B1(n24282), .B2(\ifu/aln/brdata1 [1]), .Y(n11343) ); sky130_fd_sc_hd__a22oi_1 U15576 ( .A1(n24278), .A2(\ifu/aln/brdata2 [7]), .B1(n24281), .B2(\ifu/aln/brdata1 [7]), .Y(n11342) ); sky130_fd_sc_hd__a22oi_1 U15577 ( .A1(n24279), .A2(\ifu/aln/brdata0 [7]), .B1(n24283), .B2(\ifu/aln/brdata2 [1]), .Y(n11341) ); sky130_fd_sc_hd__a31oi_1 U15578 ( .A1(n11343), .A2(n11342), .A3(n11341), .B1(n19319), .Y(n11344) ); sky130_fd_sc_hd__a21oi_1 U15579 ( .A1(n11346), .A2(n11345), .B1(n11344), .Y( n11347) ); sky130_fd_sc_hd__o22ai_1 U15580 ( .A1(\dec/decode/leak1_i1_stall ), .A2( n11347), .B1(n24293), .B2(n19315), .Y(n11400) ); sky130_fd_sc_hd__a22oi_1 U15581 ( .A1(n24270), .A2(\ifu/aln/brdata2 [6]), .B1(n24269), .B2(\ifu/aln/brdata1 [6]), .Y(n11352) ); sky130_fd_sc_hd__nand2_1 U15582 ( .A(n24268), .B(\ifu/aln/brdata0 [6]), .Y( n11351) ); sky130_fd_sc_hd__o2bb2ai_1 U15583 ( .B1(n19324), .B2(n11351), .A1_N(n24271), .A2_N(\ifu/aln/brdata1 [0]), .Y(n11348) ); sky130_fd_sc_hd__a21oi_1 U15584 ( .A1(n24272), .A2(\ifu/aln/brdata2 [0]), .B1(n11348), .Y(n11349) ); sky130_fd_sc_hd__o21ai_1 U15585 ( .A1(n19327), .A2(n11352), .B1(n11349), .Y( n11350) ); sky130_fd_sc_hd__a21oi_1 U15586 ( .A1(n24276), .A2(\ifu/aln/brdata0 [0]), .B1(n11350), .Y(n11361) ); sky130_fd_sc_hd__a21oi_1 U15587 ( .A1(n11352), .A2(n11351), .B1(n19323), .Y( n11359) ); sky130_fd_sc_hd__a22oi_1 U15588 ( .A1(n24278), .A2(\ifu/aln/brdata2 [6]), .B1(n24280), .B2(\ifu/aln/brdata0 [0]), .Y(n11355) ); sky130_fd_sc_hd__a22oi_1 U15589 ( .A1(n24279), .A2(\ifu/aln/brdata0 [6]), .B1(n24282), .B2(\ifu/aln/brdata1 [0]), .Y(n11354) ); sky130_fd_sc_hd__a22oi_1 U15590 ( .A1(n24281), .A2(\ifu/aln/brdata1 [6]), .B1(n24283), .B2(\ifu/aln/brdata2 [0]), .Y(n11353) ); sky130_fd_sc_hd__a31oi_1 U15591 ( .A1(n11355), .A2(n11354), .A3(n11353), .B1(n19319), .Y(n11358) ); sky130_fd_sc_hd__nand2_1 U15592 ( .A(n16148), .B(n23906), .Y(n16149) ); sky130_fd_sc_hd__nor2_1 U15593 ( .A(n23914), .B(n16149), .Y(n11356) ); sky130_fd_sc_hd__nor2_1 U15594 ( .A(n11357), .B(n11356), .Y(n15987) ); sky130_fd_sc_hd__nor3_1 U15595 ( .A(n11359), .B(n11358), .C(n15987), .Y( n11360) ); sky130_fd_sc_hd__o21ai_1 U15596 ( .A1(n11361), .A2(n23931), .B1(n11360), .Y( n11399) ); sky130_fd_sc_hd__o22ai_1 U15597 ( .A1(n24295), .A2(n24298), .B1(n19319), .B2(n24297), .Y(n22493) ); sky130_fd_sc_hd__clkbuf_1 U15598 ( .A(n22493), .X(n22487) ); sky130_fd_sc_hd__o22ai_1 U15599 ( .A1(n24295), .A2(n24300), .B1(n19319), .B2(n24298), .Y(n22492) ); sky130_fd_sc_hd__clkbuf_1 U15600 ( .A(n10867), .X(n22486) ); sky130_fd_sc_hd__nand2_1 U15601 ( .A(n24270), .B(n24295), .Y(n18779) ); sky130_fd_sc_hd__a222oi_1 U15602 ( .A1(n22487), .A2(\ifu/aln/misc1 [11]), .B1(n22486), .B2(\ifu/aln/misc2 [11]), .C1(n22491), .C2( \ifu/aln/misc0 [11]), .Y(n11364) ); sky130_fd_sc_hd__a222oi_1 U15603 ( .A1(n22487), .A2(\ifu/aln/misc1 [18]), .B1(n22486), .B2(\ifu/aln/misc2 [18]), .C1(n22491), .C2( \ifu/aln/misc0 [18]), .Y(n11363) ); sky130_fd_sc_hd__o22ai_1 U15604 ( .A1(n11364), .A2( \exu/i0_predict_p_d[toffset][3] ), .B1(n11363), .B2( \exu/i0_predict_p_d[toffset][10] ), .Y(n11362) ); sky130_fd_sc_hd__a221oi_1 U15605 ( .A1(n11364), .A2( \exu/i0_predict_p_d[toffset][3] ), .B1( \exu/i0_predict_p_d[toffset][10] ), .B2(n11363), .C1(n11362), .Y( n11397) ); sky130_fd_sc_hd__a222oi_1 U15606 ( .A1(n22487), .A2(\ifu/aln/misc1 [19]), .B1(n22486), .B2(\ifu/aln/misc2 [19]), .C1(n22491), .C2( \ifu/aln/misc0 [19]), .Y(n11367) ); sky130_fd_sc_hd__a222oi_1 U15607 ( .A1(n22487), .A2(\ifu/aln/misc1 [16]), .B1(n22486), .B2(\ifu/aln/misc2 [16]), .C1(n22491), .C2( \ifu/aln/misc0 [16]), .Y(n11366) ); sky130_fd_sc_hd__o22ai_1 U15608 ( .A1(\dec/dec_csr_rdaddr_d[11] ), .A2( n11367), .B1(\exu/i0_predict_p_d[toffset][8] ), .B2(n11366), .Y(n11365) ); sky130_fd_sc_hd__a221oi_1 U15609 ( .A1(\dec/dec_csr_rdaddr_d[11] ), .A2( n11367), .B1(n11366), .B2(\exu/i0_predict_p_d[toffset][8] ), .C1( n11365), .Y(n11380) ); sky130_fd_sc_hd__a222oi_1 U15610 ( .A1(n22487), .A2(\ifu/aln/misc1 [15]), .B1(n22486), .B2(\ifu/aln/misc2 [15]), .C1(n22491), .C2( \ifu/aln/misc0 [15]), .Y(n11370) ); sky130_fd_sc_hd__a222oi_1 U15611 ( .A1(n22487), .A2(\ifu/aln/misc1 [13]), .B1(n22486), .B2(\ifu/aln/misc2 [13]), .C1(n22491), .C2( \ifu/aln/misc0 [13]), .Y(n11369) ); sky130_fd_sc_hd__o22ai_1 U15612 ( .A1(\exu/i0_predict_p_d[toffset][7] ), .A2(n11370), .B1(\exu/i0_predict_p_d[toffset][5] ), .B2(n11369), .Y( n11368) ); sky130_fd_sc_hd__a221oi_1 U15613 ( .A1(\exu/i0_predict_p_d[toffset][7] ), .A2(n11370), .B1(n11369), .B2(\exu/i0_predict_p_d[toffset][5] ), .C1( n11368), .Y(n11379) ); sky130_fd_sc_hd__a222oi_1 U15614 ( .A1(n22487), .A2(\ifu/aln/misc1 [12]), .B1(n22486), .B2(\ifu/aln/misc2 [12]), .C1(n22491), .C2( \ifu/aln/misc0 [12]), .Y(n11373) ); sky130_fd_sc_hd__a222oi_1 U15615 ( .A1(n22487), .A2(\ifu/aln/misc1 [14]), .B1(n22486), .B2(\ifu/aln/misc2 [14]), .C1(n22491), .C2( \ifu/aln/misc0 [14]), .Y(n11372) ); sky130_fd_sc_hd__o22ai_1 U15616 ( .A1(\exu/i0_predict_p_d[toffset][4] ), .A2(n11373), .B1(\exu/i0_predict_p_d[toffset][6] ), .B2(n11372), .Y( n11371) ); sky130_fd_sc_hd__a221oi_1 U15617 ( .A1(\exu/i0_predict_p_d[toffset][4] ), .A2(n11373), .B1(n11372), .B2(\exu/i0_predict_p_d[toffset][6] ), .C1( n11371), .Y(n11378) ); sky130_fd_sc_hd__a222oi_1 U15618 ( .A1(n22487), .A2(\ifu/aln/misc1 [17]), .B1(n22486), .B2(\ifu/aln/misc2 [17]), .C1(n22491), .C2( \ifu/aln/misc0 [17]), .Y(n11376) ); sky130_fd_sc_hd__a222oi_1 U15619 ( .A1(n22487), .A2(\ifu/aln/misc1 [9]), .B1(n22486), .B2(\ifu/aln/misc2 [9]), .C1(n22491), .C2( \ifu/aln/misc0 [9]), .Y(n11375) ); sky130_fd_sc_hd__o22ai_1 U15620 ( .A1(\exu/i0_predict_p_d[toffset][9] ), .A2(n11376), .B1(n11375), .B2(\exu/i0_predict_p_d[toffset][1] ), .Y( n11374) ); sky130_fd_sc_hd__a221oi_1 U15621 ( .A1(\exu/i0_predict_p_d[toffset][9] ), .A2(n11376), .B1(\exu/i0_predict_p_d[toffset][1] ), .B2(n11375), .C1( n11374), .Y(n11377) ); sky130_fd_sc_hd__and4_1 U15622 ( .A(n11380), .B(n11379), .C(n11378), .D( n11377), .X(n11396) ); sky130_fd_sc_hd__a222oi_1 U15623 ( .A1(n22487), .A2(\ifu/aln/misc1 [8]), .B1(n22486), .B2(\ifu/aln/misc2 [8]), .C1(n22491), .C2( \ifu/aln/misc0 [8]), .Y(n11383) ); sky130_fd_sc_hd__a222oi_1 U15624 ( .A1(n22487), .A2(\ifu/aln/misc1 [10]), .B1(n22486), .B2(\ifu/aln/misc2 [10]), .C1(n22491), .C2( \ifu/aln/misc0 [10]), .Y(n11382) ); sky130_fd_sc_hd__o22ai_1 U15625 ( .A1(n11383), .A2( \exu/i0_predict_p_d[toffset][0] ), .B1(n11382), .B2( \exu/i0_predict_p_d[toffset][2] ), .Y(n11381) ); sky130_fd_sc_hd__a221oi_1 U15626 ( .A1(n11383), .A2( \exu/i0_predict_p_d[toffset][0] ), .B1( \exu/i0_predict_p_d[toffset][2] ), .B2(n11382), .C1(n11381), .Y(n11395) ); sky130_fd_sc_hd__a22oi_1 U15627 ( .A1(n24279), .A2(\ifu/aln/brdata0 [11]), .B1(n24278), .B2(\ifu/aln/brdata2 [11]), .Y(n11386) ); sky130_fd_sc_hd__a22oi_1 U15628 ( .A1(n24280), .A2(\ifu/aln/brdata0 [5]), .B1(n24282), .B2(\ifu/aln/brdata1 [5]), .Y(n11385) ); sky130_fd_sc_hd__a22oi_1 U15629 ( .A1(n24281), .A2(\ifu/aln/brdata1 [11]), .B1(n24283), .B2(\ifu/aln/brdata2 [5]), .Y(n11384) ); sky130_fd_sc_hd__a31oi_1 U15630 ( .A1(n11386), .A2(n11385), .A3(n11384), .B1(n19319), .Y(n11394) ); sky130_fd_sc_hd__o221ai_1 U15631 ( .A1(\ifu/aln/q0off ), .A2( \ifu/aln/brdata0 [5]), .B1(n19324), .B2(\ifu/aln/brdata0 [11]), .C1( n24268), .Y(n11389) ); sky130_fd_sc_hd__a22oi_1 U15632 ( .A1(n24272), .A2(\ifu/aln/brdata2 [5]), .B1(n24271), .B2(\ifu/aln/brdata1 [5]), .Y(n11388) ); sky130_fd_sc_hd__a22oi_1 U15633 ( .A1(n24270), .A2(\ifu/aln/brdata2 [11]), .B1(n24269), .B2(\ifu/aln/brdata1 [11]), .Y(n11391) ); sky130_fd_sc_hd__nand2b_1 U15634 ( .A_N(n11391), .B(n24266), .Y(n11387) ); sky130_fd_sc_hd__a31oi_1 U15635 ( .A1(n11389), .A2(n11388), .A3(n11387), .B1(n23931), .Y(n11393) ); sky130_fd_sc_hd__nand2_1 U15636 ( .A(n24268), .B(\ifu/aln/brdata0 [11]), .Y( n11390) ); sky130_fd_sc_hd__a21oi_1 U15637 ( .A1(n11391), .A2(n11390), .B1(n19323), .Y( n11392) ); sky130_fd_sc_hd__nor3_1 U15638 ( .A(n11394), .B(n11393), .C(n11392), .Y( n19318) ); sky130_fd_sc_hd__nand2_1 U15639 ( .A(n11400), .B(n19334), .Y(n16220) ); sky130_fd_sc_hd__a31oi_1 U15640 ( .A1(n11397), .A2(n11396), .A3(n11395), .B1(n16220), .Y(n11398) ); sky130_fd_sc_hd__a21oi_1 U15641 ( .A1(n11400), .A2(n11399), .B1(n11398), .Y( n11413) ); sky130_fd_sc_hd__a22o_1 U15642 ( .A1(n24270), .A2(\ifu/aln/brdata2 [9]), .B1(n24269), .B2(\ifu/aln/brdata1 [9]), .X(n11406) ); sky130_fd_sc_hd__a22oi_1 U15643 ( .A1(n24283), .A2(\ifu/aln/brdata2 [3]), .B1(n24282), .B2(\ifu/aln/brdata1 [3]), .Y(n11403) ); sky130_fd_sc_hd__a22oi_1 U15644 ( .A1(n24281), .A2(\ifu/aln/brdata1 [9]), .B1(n24280), .B2(\ifu/aln/brdata0 [3]), .Y(n11402) ); sky130_fd_sc_hd__a22oi_1 U15645 ( .A1(n24279), .A2(\ifu/aln/brdata0 [9]), .B1(n24278), .B2(\ifu/aln/brdata2 [9]), .Y(n11401) ); sky130_fd_sc_hd__a31oi_1 U15646 ( .A1(n11403), .A2(n11402), .A3(n11401), .B1(\ifu/aln/f0val [1]), .Y(n11404) ); sky130_fd_sc_hd__a21oi_1 U15647 ( .A1(n24289), .A2(n11405), .B1(n11404), .Y( n11411) ); sky130_fd_sc_hd__a22oi_1 U15648 ( .A1(n24271), .A2(\ifu/aln/brdata1 [3]), .B1(n24267), .B2(\ifu/aln/brdata0 [9]), .Y(n11409) ); sky130_fd_sc_hd__a22oi_1 U15649 ( .A1(n24272), .A2(\ifu/aln/brdata2 [3]), .B1(n24276), .B2(\ifu/aln/brdata0 [3]), .Y(n11408) ); sky130_fd_sc_hd__nand2_1 U15650 ( .A(n24266), .B(n11406), .Y(n11407) ); sky130_fd_sc_hd__a31oi_1 U15651 ( .A1(n11409), .A2(n11408), .A3(n11407), .B1(n23931), .Y(n11410) ); sky130_fd_sc_hd__a21oi_1 U15652 ( .A1(\exu/i0_predict_p_d[pc4] ), .A2(n11411), .B1(n11410), .Y(n11412) ); sky130_fd_sc_hd__o22ai_1 U15653 ( .A1(n16219), .A2(n11413), .B1(n15800), .B2(n11412), .Y(n17790) ); sky130_fd_sc_hd__nor2_1 U15654 ( .A(\ifu/aln/misc2 [53]), .B( \ifu/aln/misc2 [54]), .Y(n11417) ); sky130_fd_sc_hd__nor2_1 U15655 ( .A(\ifu/aln/misc0 [54]), .B( \ifu/aln/misc0 [53]), .Y(n11419) ); sky130_fd_sc_hd__nor2_1 U15656 ( .A(\ifu/aln/misc1 [54]), .B( \ifu/aln/misc1 [53]), .Y(n11418) ); sky130_fd_sc_hd__nand2_1 U15657 ( .A(n24268), .B(n24295), .Y(n11414) ); sky130_fd_sc_hd__o22ai_1 U15658 ( .A1(n11419), .A2(n18779), .B1(n11418), .B2(n11414), .Y(n11415) ); sky130_fd_sc_hd__a31oi_1 U15659 ( .A1(n24269), .A2(n24295), .A3(n11416), .B1(n11415), .Y(n19306) ); sky130_fd_sc_hd__nor2_1 U15660 ( .A(n11417), .B(n24300), .Y(n11421) ); sky130_fd_sc_hd__o22ai_1 U15661 ( .A1(n11419), .A2(n24297), .B1(n11418), .B2(n24298), .Y(n11420) ); sky130_fd_sc_hd__o21ai_1 U15662 ( .A1(n11421), .A2(n11420), .B1(n14059), .Y( n24296) ); sky130_fd_sc_hd__nand2_1 U15663 ( .A(n19306), .B(n24296), .Y(n11422) ); sky130_fd_sc_hd__nand2_1 U15664 ( .A(n19304), .B(n24052), .Y(n16144) ); sky130_fd_sc_hd__nor2_1 U15665 ( .A(n23908), .B(n16144), .Y(n24050) ); sky130_fd_sc_hd__a221oi_1 U15666 ( .A1(dbg_cmd_wrdata[1]), .A2(n21757), .B1( dbg_cmd_wrdata[0]), .B2(n21757), .C1(n24050), .Y(n14153) ); sky130_fd_sc_hd__nand2_1 U15667 ( .A(n23928), .B(n18807), .Y(n16001) ); sky130_fd_sc_hd__o21ai_1 U15668 ( .A1(n23928), .A2(n15780), .B1(n16002), .Y( n15723) ); sky130_fd_sc_hd__nor2_1 U15669 ( .A(n13754), .B(n15723), .Y(n21756) ); sky130_fd_sc_hd__a211oi_1 U15670 ( .A1(n21756), .A2(n24052), .B1( \dec/dec_tlu_pipelining_disable ), .C1(\dec/dec_tlu_presync_d ), .Y( n11425) ); sky130_fd_sc_hd__nand2_1 U15671 ( .A(n23906), .B(n23914), .Y(n17451) ); sky130_fd_sc_hd__nor2_1 U15672 ( .A(n19304), .B(n24017), .Y(n24041) ); sky130_fd_sc_hd__nor3_1 U15673 ( .A(n24025), .B(n17451), .C(n24109), .Y( n22689) ); sky130_fd_sc_hd__nand2_1 U15674 ( .A(n22689), .B(n17458), .Y(n16189) ); sky130_fd_sc_hd__a21oi_1 U15675 ( .A1(\dec/decode/r_d[i0valid] ), .A2(n16189), .B1(\dec/decode/x_d[i0valid] ), .Y(n11424) ); sky130_fd_sc_hd__a21oi_1 U15676 ( .A1(n14153), .A2(n11425), .B1(n11424), .Y( \dec/dec_pmu_presync_stall ) ); sky130_fd_sc_hd__o22ai_1 U15677 ( .A1(n25079), .A2(\lsu/store_data_lo_r [29]), .B1(n22551), .B2(\lsu/store_data_hi_r [5]), .Y(n11430) ); sky130_fd_sc_hd__nand2_1 U15678 ( .A(\lsu/lsu_pkt_r[word] ), .B( \lsu/lsu_pkt_r[store] ), .Y(n23150) ); sky130_fd_sc_hd__nor2_1 U15679 ( .A(\lsu/lsu_addr_r [1]), .B(n23150), .Y( n14661) ); sky130_fd_sc_hd__nor2_1 U15680 ( .A(n22553), .B(n17211), .Y(n21535) ); sky130_fd_sc_hd__nand2_1 U15681 ( .A(n25079), .B(n21535), .Y(n13995) ); sky130_fd_sc_hd__nor2_1 U15682 ( .A(n21554), .B(n13995), .Y(n14662) ); sky130_fd_sc_hd__nor2_1 U15683 ( .A(n21554), .B(n13838), .Y(n23124) ); sky130_fd_sc_hd__nand2_1 U15684 ( .A(n23124), .B(n22551), .Y(n25063) ); sky130_fd_sc_hd__a22oi_1 U15685 ( .A1(n14662), .A2(\lsu/store_data_hi_r [21]), .B1(n14556), .B2(\lsu/store_data_hi_r [13]), .Y(n11426) ); sky130_fd_sc_hd__o21ai_1 U15686 ( .A1(n11430), .A2(n22524), .B1(n11426), .Y( \lsu/store_data_r [29]) ); sky130_fd_sc_hd__nor2_1 U15687 ( .A(\lsu/lsu_addr_m [0]), .B( \lsu/lsu_addr_m [1]), .Y(n25053) ); sky130_fd_sc_hd__nor2_1 U15688 ( .A(\lsu/lsu_addr_m [1]), .B( \lsu/lsu_pkt_m[word] ), .Y(n11427) ); sky130_fd_sc_hd__a21oi_1 U15689 ( .A1(\lsu/lsu_pkt_m[half] ), .A2( \lsu/lsu_addr_m [0]), .B1(\lsu/lsu_pkt_m[word] ), .Y(n18338) ); sky130_fd_sc_hd__nor3_1 U15690 ( .A(n25053), .B(n11427), .C(n18338), .Y( \lsu/bus_intf/ldst_byteen_ext_m [4]) ); sky130_fd_sc_hd__nor2_1 U15691 ( .A(\lsu/lsu_pkt_m[load] ), .B( \lsu/lsu_pkt_m[store] ), .Y(n15826) ); sky130_fd_sc_hd__nor2_1 U15692 ( .A(\lsu/lsu_lsc_ctl/access_fault_m ), .B( \lsu/lsu_lsc_ctl/misaligned_fault_m ), .Y(n20389) ); sky130_fd_sc_hd__nor2_1 U15693 ( .A(\lsu/lsu_pkt_m[fast_int] ), .B(n25080), .Y(n20387) ); sky130_fd_sc_hd__nand2_1 U15694 ( .A(n20389), .B(n20387), .Y(n21229) ); sky130_fd_sc_hd__nand2_1 U15695 ( .A(\lsu/addr_external_m ), .B( \lsu/lsu_pkt_m[valid] ), .Y(n25068) ); sky130_fd_sc_hd__nor3_1 U15696 ( .A(n15826), .B(n21229), .C(n25068), .Y( \lsu/lsu_busreq_m ) ); sky130_fd_sc_hd__o22ai_1 U15697 ( .A1(n25079), .A2(n22532), .B1(n22551), .B2(n24860), .Y(n11429) ); sky130_fd_sc_hd__nand2_1 U15698 ( .A(n22553), .B(n25079), .Y(n14446) ); sky130_fd_sc_hd__nor2_1 U15699 ( .A(n25079), .B(\lsu/lsu_addr_r [1]), .Y( n17657) ); sky130_fd_sc_hd__a222oi_1 U15700 ( .A1(n11429), .A2(\lsu/lsu_addr_r [1]), .B1(n14664), .B2(\lsu/store_data_lo_r [13]), .C1(n17657), .C2( \lsu/store_data_lo_r [5]), .Y(n11428) ); sky130_fd_sc_hd__nand2_1 U15701 ( .A(n17211), .B(n13875), .Y(n16390) ); sky130_fd_sc_hd__nand2_1 U15702 ( .A(n17662), .B(n16391), .Y(n17073) ); sky130_fd_sc_hd__nand2_1 U15703 ( .A(\lsu/lsu_pkt_r[store] ), .B(n17073), .Y(n17661) ); sky130_fd_sc_hd__nor2_1 U15704 ( .A(n11428), .B(n17661), .Y( \lsu/store_data_r [5]) ); sky130_fd_sc_hd__nor2_1 U15705 ( .A(\lsu/lsu_pkt_m[half] ), .B( \lsu/lsu_pkt_m[word] ), .Y(n20625) ); sky130_fd_sc_hd__a21oi_1 U15706 ( .A1(\lsu/lsu_pkt_m[by] ), .A2( \lsu/lsu_addr_m [0]), .B1(\lsu/bus_intf/ldst_byteen_m [1]), .Y(n11583) ); sky130_fd_sc_hd__a21oi_1 U15707 ( .A1(n25056), .A2(n20672), .B1(n11583), .Y( \lsu/bus_intf/ldst_byteen_ext_m [3]) ); sky130_fd_sc_hd__nand2_1 U15708 ( .A(n14556), .B(\lsu/store_data_hi_r [5]), .Y(n11432) ); sky130_fd_sc_hd__nand2_1 U15709 ( .A(n14661), .B(n11429), .Y(n11571) ); sky130_fd_sc_hd__o211ai_1 U15710 ( .A1(n23135), .A2(n23107), .B1(n11432), .C1(n11571), .Y(\lsu/store_data_r [21]) ); sky130_fd_sc_hd__a222oi_1 U15711 ( .A1(n11431), .A2(\lsu/lsu_addr_r [1]), .B1(n14664), .B2(\lsu/store_data_lo_r [21]), .C1(n17657), .C2( \lsu/store_data_lo_r [13]), .Y(n11434) ); sky130_fd_sc_hd__nor2_1 U15712 ( .A(n21554), .B(n17662), .Y(n11584) ); sky130_fd_sc_hd__nor2_1 U15713 ( .A(n11434), .B(n14659), .Y( \lsu/store_data_r [13]) ); sky130_fd_sc_hd__nor2_1 U15714 ( .A(n25056), .B(n20672), .Y( \lsu/bus_intf/ldst_byteen_ext_m [5]) ); sky130_fd_sc_hd__nor2b_1 U15715 ( .B_N(\lsu/bus_intf/ldst_byteen_ext_m [5]), .A(n23539), .Y(\lsu/bus_intf/ldst_byteen_ext_m [6]) ); sky130_fd_sc_hd__nand2_1 U15716 ( .A(n25079), .B(\lsu/lsu_addr_r [1]), .Y( n13876) ); sky130_fd_sc_hd__nand2_1 U15717 ( .A(n11584), .B(n14663), .Y(n23149) ); sky130_fd_sc_hd__o21ai_1 U15718 ( .A1(n11434), .A2(n23149), .B1(n11433), .Y( n14971) ); sky130_fd_sc_hd__nor2_1 U15719 ( .A(n17657), .B(n14663), .Y(n11569) ); sky130_fd_sc_hd__a22oi_1 U15720 ( .A1(\lsu/lsu_pkt_r[word] ), .A2(n11569), .B1(\lsu/bus_intf/ldst_byteen_r [1]), .B2(n14663), .Y(n11646) ); sky130_fd_sc_hd__nand3_1 U15721 ( .A(\lsu/lsu_pkt_r[store] ), .B( \lsu/lsu_pkt_r[valid] ), .C(\lsu/lsu_busreq_m ), .Y(n11630) ); sky130_fd_sc_hd__o22ai_1 U15722 ( .A1(n22568), .A2(\lsu/end_addr_m [9]), .B1(n13813), .B2(\lsu/end_addr_r [11]), .Y(n11435) ); sky130_fd_sc_hd__a221oi_1 U15723 ( .A1(n22568), .A2(\lsu/end_addr_m [9]), .B1(\lsu/end_addr_r [11]), .B2(n13813), .C1(n11435), .Y(n11449) ); sky130_fd_sc_hd__o22ai_1 U15724 ( .A1(n22556), .A2(\lsu/end_addr_m [3]), .B1(n22554), .B2(\lsu/end_addr_m [2]), .Y(n11436) ); sky130_fd_sc_hd__a221oi_1 U15725 ( .A1(n22556), .A2(\lsu/end_addr_m [3]), .B1(\lsu/end_addr_m [2]), .B2(n22554), .C1(n11436), .Y(n11448) ); sky130_fd_sc_hd__o22ai_1 U15726 ( .A1(n22560), .A2(\lsu/end_addr_m [5]), .B1(n22576), .B2(\lsu/end_addr_m [13]), .Y(n11437) ); sky130_fd_sc_hd__a221oi_1 U15727 ( .A1(n22560), .A2(\lsu/end_addr_m [5]), .B1(\lsu/end_addr_m [13]), .B2(n22576), .C1(n11437), .Y(n11447) ); sky130_fd_sc_hd__o22ai_1 U15728 ( .A1(\lsu/end_addr_r [10]), .A2(n13815), .B1(n22570), .B2(\lsu/end_addr_m [10]), .Y(n11445) ); sky130_fd_sc_hd__o22ai_1 U15729 ( .A1(\lsu/end_addr_r [15]), .A2(n13814), .B1(n22580), .B2(\lsu/end_addr_m [15]), .Y(n11444) ); sky130_fd_sc_hd__o22ai_1 U15730 ( .A1(n22574), .A2(\lsu/end_addr_m [12]), .B1(n22562), .B2(\lsu/end_addr_m [6]), .Y(n11438) ); sky130_fd_sc_hd__a221oi_1 U15731 ( .A1(n22574), .A2(\lsu/end_addr_m [12]), .B1(\lsu/end_addr_m [6]), .B2(n22562), .C1(n11438), .Y(n11439) ); sky130_fd_sc_hd__o221ai_1 U15732 ( .A1(\lsu/end_addr_r [8]), .A2(n13816), .B1(n22566), .B2(\lsu/end_addr_m [8]), .C1(n11439), .Y(n11443) ); sky130_fd_sc_hd__o22ai_1 U15733 ( .A1(n22558), .A2(\lsu/end_addr_m [4]), .B1(n22578), .B2(\lsu/end_addr_m [14]), .Y(n11440) ); sky130_fd_sc_hd__a221oi_1 U15734 ( .A1(n22558), .A2(\lsu/end_addr_m [4]), .B1(\lsu/end_addr_m [14]), .B2(n22578), .C1(n11440), .Y(n11441) ); sky130_fd_sc_hd__o221ai_1 U15735 ( .A1(\lsu/end_addr_r [7]), .A2(n13812), .B1(n22564), .B2(\lsu/end_addr_m [7]), .C1(n11441), .Y(n11442) ); sky130_fd_sc_hd__nor4_1 U15736 ( .A(n11445), .B(n11444), .C(n11443), .D( n11442), .Y(n11446) ); sky130_fd_sc_hd__nand4_1 U15737 ( .A(n11449), .B(n11448), .C(n11447), .D( n11446), .Y(n20406) ); sky130_fd_sc_hd__o22ai_1 U15738 ( .A1(n11452), .A2(\lsu/end_addr_m [29]), .B1(n11451), .B2(\lsu/end_addr_r [19]), .Y(n11450) ); sky130_fd_sc_hd__a221oi_1 U15739 ( .A1(n11452), .A2(\lsu/end_addr_m [29]), .B1(\lsu/end_addr_r [19]), .B2(n11451), .C1(n11450), .Y(n11465) ); sky130_fd_sc_hd__o22ai_1 U15740 ( .A1(n11455), .A2(\lsu/end_addr_m [23]), .B1(n11454), .B2(\lsu/end_addr_m [27]), .Y(n11453) ); sky130_fd_sc_hd__a221oi_1 U15741 ( .A1(n11455), .A2(\lsu/end_addr_m [23]), .B1(\lsu/end_addr_m [27]), .B2(n11454), .C1(n11453), .Y(n11464) ); sky130_fd_sc_hd__o22ai_1 U15742 ( .A1(n11458), .A2(\lsu/end_addr_m [26]), .B1(n11457), .B2(\lsu/end_addr_r [17]), .Y(n11456) ); sky130_fd_sc_hd__a221oi_1 U15743 ( .A1(n11458), .A2(\lsu/end_addr_m [26]), .B1(\lsu/end_addr_r [17]), .B2(n11457), .C1(n11456), .Y(n11463) ); sky130_fd_sc_hd__o22ai_1 U15744 ( .A1(n11461), .A2(\lsu/end_addr_m [31]), .B1(n11460), .B2(\lsu/end_addr_r [24]), .Y(n11459) ); sky130_fd_sc_hd__a221oi_1 U15745 ( .A1(n11461), .A2(\lsu/end_addr_m [31]), .B1(\lsu/end_addr_r [24]), .B2(n11460), .C1(n11459), .Y(n11462) ); sky130_fd_sc_hd__nand4_1 U15746 ( .A(n11465), .B(n11464), .C(n11463), .D( n11462), .Y(n11482) ); sky130_fd_sc_hd__o22ai_1 U15747 ( .A1(n11468), .A2(\lsu/end_addr_m [20]), .B1(n11467), .B2(\lsu/end_addr_r [18]), .Y(n11466) ); sky130_fd_sc_hd__a221oi_1 U15748 ( .A1(n11468), .A2(\lsu/end_addr_m [20]), .B1(\lsu/end_addr_r [18]), .B2(n11467), .C1(n11466), .Y(n11480) ); sky130_fd_sc_hd__o22ai_1 U15749 ( .A1(n11470), .A2(\lsu/end_addr_m [21]), .B1(n11621), .B2(\lsu/end_addr_m [30]), .Y(n11469) ); sky130_fd_sc_hd__a221oi_1 U15750 ( .A1(n11470), .A2(\lsu/end_addr_m [21]), .B1(\lsu/end_addr_m [30]), .B2(n11621), .C1(n11469), .Y(n11479) ); sky130_fd_sc_hd__o22ai_1 U15751 ( .A1(n11473), .A2(\lsu/end_addr_m [25]), .B1(n11472), .B2(\lsu/end_addr_r [28]), .Y(n11471) ); sky130_fd_sc_hd__a221oi_1 U15752 ( .A1(n11473), .A2(\lsu/end_addr_m [25]), .B1(\lsu/end_addr_r [28]), .B2(n11472), .C1(n11471), .Y(n11478) ); sky130_fd_sc_hd__o22ai_1 U15753 ( .A1(n11476), .A2(\lsu/end_addr_m [22]), .B1(n11475), .B2(\lsu/end_addr_m [16]), .Y(n11474) ); sky130_fd_sc_hd__a221oi_1 U15754 ( .A1(n11476), .A2(\lsu/end_addr_m [22]), .B1(\lsu/end_addr_m [16]), .B2(n11475), .C1(n11474), .Y(n11477) ); sky130_fd_sc_hd__nand4_1 U15755 ( .A(n11480), .B(n11479), .C(n11478), .D( n11477), .Y(n11481) ); sky130_fd_sc_hd__nor3_1 U15756 ( .A(n20406), .B(n11482), .C(n11481), .Y( n13837) ); sky130_fd_sc_hd__nand2_1 U15757 ( .A(n11568), .B(n13837), .Y(n11483) ); sky130_fd_sc_hd__nor3_1 U15758 ( .A(n11646), .B(n23710), .C(n11483), .Y( n14666) ); sky130_fd_sc_hd__o22ai_1 U15759 ( .A1(n22573), .A2(\lsu/end_addr_m [11]), .B1(n22559), .B2(\lsu/end_addr_m [4]), .Y(n11484) ); sky130_fd_sc_hd__a221oi_1 U15760 ( .A1(n22573), .A2(\lsu/end_addr_m [11]), .B1(\lsu/end_addr_m [4]), .B2(n22559), .C1(n11484), .Y(n11498) ); sky130_fd_sc_hd__o22ai_1 U15761 ( .A1(n22571), .A2(\lsu/end_addr_m [10]), .B1(n15919), .B2(\lsu/lsu_addr_r [9]), .Y(n11485) ); sky130_fd_sc_hd__a221oi_1 U15762 ( .A1(n22571), .A2(\lsu/end_addr_m [10]), .B1(\lsu/lsu_addr_r [9]), .B2(n15919), .C1(n11485), .Y(n11497) ); sky130_fd_sc_hd__o22ai_1 U15763 ( .A1(n22561), .A2(\lsu/end_addr_m [5]), .B1(n22577), .B2(\lsu/end_addr_m [13]), .Y(n11486) ); sky130_fd_sc_hd__a221oi_1 U15764 ( .A1(n22561), .A2(\lsu/end_addr_m [5]), .B1(\lsu/end_addr_m [13]), .B2(n22577), .C1(n11486), .Y(n11496) ); sky130_fd_sc_hd__o22ai_1 U15765 ( .A1(\lsu/lsu_addr_r [6]), .A2(n13796), .B1(n22563), .B2(\lsu/end_addr_m [6]), .Y(n11494) ); sky130_fd_sc_hd__o22ai_1 U15766 ( .A1(\lsu/lsu_addr_r [15]), .A2(n13814), .B1(n22581), .B2(\lsu/end_addr_m [15]), .Y(n11493) ); sky130_fd_sc_hd__o22ai_1 U15767 ( .A1(n22579), .A2(\lsu/end_addr_m [14]), .B1(n22557), .B2(\lsu/end_addr_m [3]), .Y(n11487) ); sky130_fd_sc_hd__a221oi_1 U15768 ( .A1(n22579), .A2(\lsu/end_addr_m [14]), .B1(\lsu/end_addr_m [3]), .B2(n22557), .C1(n11487), .Y(n11488) ); sky130_fd_sc_hd__o221ai_1 U15769 ( .A1(\lsu/lsu_addr_r [8]), .A2(n13816), .B1(n22567), .B2(\lsu/end_addr_m [8]), .C1(n11488), .Y(n11492) ); sky130_fd_sc_hd__o22ai_1 U15770 ( .A1(n22575), .A2(\lsu/end_addr_m [12]), .B1(n22565), .B2(\lsu/end_addr_m [7]), .Y(n11489) ); sky130_fd_sc_hd__a221oi_1 U15771 ( .A1(n22575), .A2(\lsu/end_addr_m [12]), .B1(\lsu/end_addr_m [7]), .B2(n22565), .C1(n11489), .Y(n11490) ); sky130_fd_sc_hd__o221ai_1 U15772 ( .A1(\lsu/lsu_addr_r [2]), .A2(n15825), .B1(n22555), .B2(\lsu/end_addr_m [2]), .C1(n11490), .Y(n11491) ); sky130_fd_sc_hd__nor4_1 U15773 ( .A(n11494), .B(n11493), .C(n11492), .D( n11491), .Y(n11495) ); sky130_fd_sc_hd__nand4_1 U15774 ( .A(n11498), .B(n11497), .C(n11496), .D( n11495), .Y(n20446) ); sky130_fd_sc_hd__o22ai_1 U15775 ( .A1(n11529), .A2(\lsu/end_addr_m [20]), .B1(n11536), .B2(\lsu/end_addr_m [19]), .Y(n11499) ); sky130_fd_sc_hd__a221oi_1 U15776 ( .A1(n11529), .A2(\lsu/end_addr_m [20]), .B1(\lsu/end_addr_m [19]), .B2(n11536), .C1(n11499), .Y(n11510) ); sky130_fd_sc_hd__o22ai_1 U15777 ( .A1(n11535), .A2(\lsu/end_addr_m [23]), .B1(n11501), .B2(\lsu/lsu_addr_r [21]), .Y(n11500) ); sky130_fd_sc_hd__a221oi_1 U15778 ( .A1(n11535), .A2(\lsu/end_addr_m [23]), .B1(\lsu/lsu_addr_r [21]), .B2(n11501), .C1(n11500), .Y(n11509) ); sky130_fd_sc_hd__o22ai_1 U15779 ( .A1(n11543), .A2(\lsu/end_addr_m [27]), .B1(n11503), .B2(\lsu/lsu_addr_r [22]), .Y(n11502) ); sky130_fd_sc_hd__a221oi_1 U15780 ( .A1(n11543), .A2(\lsu/end_addr_m [27]), .B1(\lsu/lsu_addr_r [22]), .B2(n11503), .C1(n11502), .Y(n11508) ); sky130_fd_sc_hd__o22ai_1 U15781 ( .A1(n11506), .A2(\lsu/end_addr_m [28]), .B1(n11505), .B2(\lsu/lsu_addr_r [16]), .Y(n11504) ); sky130_fd_sc_hd__a221oi_1 U15782 ( .A1(n11506), .A2(\lsu/end_addr_m [28]), .B1(\lsu/lsu_addr_r [16]), .B2(n11505), .C1(n11504), .Y(n11507) ); sky130_fd_sc_hd__nand4_1 U15783 ( .A(n11510), .B(n11509), .C(n11508), .D( n11507), .Y(n11523) ); sky130_fd_sc_hd__o22ai_1 U15784 ( .A1(n11541), .A2(\lsu/end_addr_m [18]), .B1(n11527), .B2(\lsu/end_addr_m [17]), .Y(n11511) ); sky130_fd_sc_hd__a221oi_1 U15785 ( .A1(n11541), .A2(\lsu/end_addr_m [18]), .B1(\lsu/end_addr_m [17]), .B2(n11527), .C1(n11511), .Y(n11521) ); sky130_fd_sc_hd__o22ai_1 U15786 ( .A1(n11531), .A2(\lsu/end_addr_m [26]), .B1(n11513), .B2(\lsu/end_addr_m [24]), .Y(n11512) ); sky130_fd_sc_hd__a221oi_1 U15787 ( .A1(n11531), .A2(\lsu/end_addr_m [26]), .B1(\lsu/end_addr_m [24]), .B2(n11513), .C1(n11512), .Y(n11520) ); sky130_fd_sc_hd__o22ai_1 U15788 ( .A1(n11533), .A2(\lsu/end_addr_m [25]), .B1(n11515), .B2(\lsu/end_addr_m [31]), .Y(n11514) ); sky130_fd_sc_hd__a221oi_1 U15789 ( .A1(n11533), .A2(\lsu/end_addr_m [25]), .B1(\lsu/end_addr_m [31]), .B2(n11515), .C1(n11514), .Y(n11519) ); sky130_fd_sc_hd__o22ai_1 U15790 ( .A1(n11538), .A2(\lsu/end_addr_m [30]), .B1(n11517), .B2(\lsu/end_addr_m [29]), .Y(n11516) ); sky130_fd_sc_hd__a221oi_1 U15791 ( .A1(n11538), .A2(\lsu/end_addr_m [30]), .B1(\lsu/end_addr_m [29]), .B2(n11517), .C1(n11516), .Y(n11518) ); sky130_fd_sc_hd__nand4_1 U15792 ( .A(n11521), .B(n11520), .C(n11519), .D( n11518), .Y(n11522) ); sky130_fd_sc_hd__nor3_1 U15793 ( .A(n20446), .B(n11523), .C(n11522), .Y( n13839) ); sky130_fd_sc_hd__and4_1 U15794 ( .A(n17657), .B( \lsu/bus_intf/ldst_byteen_r [0]), .C( \lsu/bus_intf/ldst_byteen_ext_m [4]), .D(n11576), .X(n14563) ); sky130_fd_sc_hd__nor2_1 U15795 ( .A(n14666), .B(n14563), .Y(n21226) ); sky130_fd_sc_hd__a222oi_1 U15796 ( .A1(n14971), .A2(n14666), .B1(n21226), .B2(\lsu/bus_intf/ld_fwddata_buf_hi [5]), .C1(n14563), .C2( \lsu/store_data_r [5]), .Y(n15311) ); sky130_fd_sc_hd__o22ai_1 U15797 ( .A1(n25079), .A2( \lsu/bus_intf/ldst_byteen_r [1]), .B1(n22551), .B2( \lsu/bus_intf/ldst_byteen_r [0]), .Y(n11575) ); sky130_fd_sc_hd__o22ai_1 U15798 ( .A1(n21054), .A2(\lsu/lsu_addr_r [10]), .B1(n21096), .B2(\lsu/lsu_addr_r [12]), .Y(n11524) ); sky130_fd_sc_hd__a221oi_1 U15799 ( .A1(n21054), .A2(\lsu/lsu_addr_r [10]), .B1(\lsu/lsu_addr_r [12]), .B2(n21096), .C1(n11524), .Y(n20450) ); sky130_fd_sc_hd__o22ai_1 U15800 ( .A1(n11527), .A2(\lsu/lsu_addr_m [17]), .B1(n11526), .B2(\lsu/lsu_addr_m [16]), .Y(n11525) ); sky130_fd_sc_hd__a221oi_1 U15801 ( .A1(n11527), .A2(\lsu/lsu_addr_m [17]), .B1(\lsu/lsu_addr_m [16]), .B2(n11526), .C1(n11525), .Y(n11553) ); sky130_fd_sc_hd__o22ai_1 U15802 ( .A1(n21028), .A2(\lsu/lsu_addr_r [24]), .B1(n11623), .B2(\lsu/lsu_addr_r [21]), .Y(n11528) ); sky130_fd_sc_hd__a221oi_1 U15803 ( .A1(n21028), .A2(\lsu/lsu_addr_r [24]), .B1(\lsu/lsu_addr_r [21]), .B2(n11623), .C1(n11528), .Y(n11552) ); sky130_fd_sc_hd__o22ai_1 U15804 ( .A1(\lsu/lsu_addr_r [20]), .A2(n21183), .B1(n11529), .B2(\lsu/lsu_addr_m [20]), .Y(n11550) ); sky130_fd_sc_hd__o22ai_1 U15805 ( .A1(n11531), .A2(\lsu/lsu_addr_m [26]), .B1(n21001), .B2(\lsu/lsu_addr_r [31]), .Y(n11530) ); sky130_fd_sc_hd__a221oi_1 U15806 ( .A1(n11531), .A2(\lsu/lsu_addr_m [26]), .B1(\lsu/lsu_addr_r [31]), .B2(n21001), .C1(n11530), .Y(n11532) ); sky130_fd_sc_hd__o221ai_1 U15807 ( .A1(\lsu/lsu_addr_r [25]), .A2(n21172), .B1(n11533), .B2(\lsu/lsu_addr_m [25]), .C1(n11532), .Y(n11549) ); sky130_fd_sc_hd__o22ai_1 U15808 ( .A1(n11536), .A2(\lsu/lsu_addr_m [19]), .B1(n11535), .B2(\lsu/lsu_addr_m [23]), .Y(n11534) ); sky130_fd_sc_hd__a221oi_1 U15809 ( .A1(n11536), .A2(\lsu/lsu_addr_m [19]), .B1(\lsu/lsu_addr_m [23]), .B2(n11535), .C1(n11534), .Y(n11547) ); sky130_fd_sc_hd__o22ai_1 U15810 ( .A1(n11538), .A2(\lsu/lsu_addr_m [30]), .B1(n11606), .B2(\lsu/lsu_addr_r [28]), .Y(n11537) ); sky130_fd_sc_hd__a221oi_1 U15811 ( .A1(n11538), .A2(\lsu/lsu_addr_m [30]), .B1(\lsu/lsu_addr_r [28]), .B2(n11606), .C1(n11537), .Y(n11546) ); sky130_fd_sc_hd__o22ai_1 U15812 ( .A1(n11541), .A2(\lsu/lsu_addr_m [18]), .B1(n11540), .B2(\lsu/lsu_addr_m [22]), .Y(n11539) ); sky130_fd_sc_hd__a221oi_1 U15813 ( .A1(n11541), .A2(\lsu/lsu_addr_m [18]), .B1(\lsu/lsu_addr_m [22]), .B2(n11540), .C1(n11539), .Y(n11545) ); sky130_fd_sc_hd__o22ai_1 U15814 ( .A1(n11543), .A2(\lsu/lsu_addr_m [27]), .B1(n21023), .B2(\lsu/lsu_addr_r [29]), .Y(n11542) ); sky130_fd_sc_hd__a221oi_1 U15815 ( .A1(n11543), .A2(\lsu/lsu_addr_m [27]), .B1(\lsu/lsu_addr_r [29]), .B2(n21023), .C1(n11542), .Y(n11544) ); sky130_fd_sc_hd__nand4_1 U15816 ( .A(n11547), .B(n11546), .C(n11545), .D( n11544), .Y(n11548) ); sky130_fd_sc_hd__nor3_1 U15817 ( .A(n11550), .B(n11549), .C(n11548), .Y( n11551) ); sky130_fd_sc_hd__nand4_1 U15818 ( .A(n20450), .B(n11553), .C(n11552), .D( n11551), .Y(n15996) ); sky130_fd_sc_hd__o22ai_1 U15819 ( .A1(\lsu/lsu_addr_m [6]), .A2(n22563), .B1(n21049), .B2(\lsu/lsu_addr_r [6]), .Y(n11565) ); sky130_fd_sc_hd__o22ai_1 U15820 ( .A1(\lsu/lsu_addr_m [14]), .A2(n22579), .B1(n21061), .B2(\lsu/lsu_addr_r [14]), .Y(n11564) ); sky130_fd_sc_hd__o22ai_1 U15821 ( .A1(\lsu/lsu_addr_m [4]), .A2(n22559), .B1(n21104), .B2(\lsu/lsu_addr_r [4]), .Y(n11563) ); sky130_fd_sc_hd__o22ai_1 U15822 ( .A1(n13946), .A2(\lsu/lsu_addr_r [11]), .B1(n20930), .B2(\lsu/lsu_addr_r [7]), .Y(n11554) ); sky130_fd_sc_hd__a221oi_1 U15823 ( .A1(n13946), .A2(\lsu/lsu_addr_r [11]), .B1(\lsu/lsu_addr_r [7]), .B2(n20930), .C1(n11554), .Y(n11561) ); sky130_fd_sc_hd__o22ai_1 U15824 ( .A1(n21100), .A2(\lsu/lsu_addr_r [5]), .B1(n21045), .B2(\lsu/lsu_addr_r [13]), .Y(n11555) ); sky130_fd_sc_hd__a221oi_1 U15825 ( .A1(n21100), .A2(\lsu/lsu_addr_r [5]), .B1(\lsu/lsu_addr_r [13]), .B2(n21045), .C1(n11555), .Y(n11560) ); sky130_fd_sc_hd__o22ai_1 U15826 ( .A1(n13945), .A2(\lsu/lsu_addr_r [8]), .B1(n13940), .B2(\lsu/lsu_addr_r [15]), .Y(n11556) ); sky130_fd_sc_hd__a221oi_1 U15827 ( .A1(n13945), .A2(\lsu/lsu_addr_r [8]), .B1(\lsu/lsu_addr_r [15]), .B2(n13940), .C1(n11556), .Y(n11559) ); sky130_fd_sc_hd__o22ai_1 U15828 ( .A1(n21073), .A2(\lsu/lsu_addr_r [3]), .B1(n21091), .B2(\lsu/lsu_addr_r [9]), .Y(n11557) ); sky130_fd_sc_hd__a221oi_1 U15829 ( .A1(n21073), .A2(\lsu/lsu_addr_r [3]), .B1(\lsu/lsu_addr_r [9]), .B2(n21091), .C1(n11557), .Y(n11558) ); sky130_fd_sc_hd__nand4_1 U15830 ( .A(n11561), .B(n11560), .C(n11559), .D( n11558), .Y(n11562) ); sky130_fd_sc_hd__nor4_1 U15831 ( .A(n11565), .B(n11564), .C(n11563), .D( n11562), .Y(n20449) ); sky130_fd_sc_hd__o22ai_1 U15832 ( .A1(\lsu/lsu_addr_m [2]), .A2( \lsu/lsu_addr_r [2]), .B1(n21089), .B2(n22555), .Y(n20448) ); sky130_fd_sc_hd__nand2_1 U15833 ( .A(n20449), .B(n20448), .Y(n13999) ); sky130_fd_sc_hd__nor2_1 U15834 ( .A(n15996), .B(n13999), .Y(n13984) ); sky130_fd_sc_hd__nor2b_1 U15835 ( .B_N(n13984), .A(n11630), .Y(n11645) ); sky130_fd_sc_hd__nand2_1 U15836 ( .A(n22553), .B(n17211), .Y(n17676) ); sky130_fd_sc_hd__nand3_1 U15837 ( .A(n11645), .B( \lsu/bus_intf/ldst_byteen_ext_m [3]), .C(n17676), .Y(n11566) ); sky130_fd_sc_hd__a21oi_1 U15838 ( .A1(\lsu/lsu_addr_r [1]), .A2(n11575), .B1(n11566), .Y(n21217) ); sky130_fd_sc_hd__o22ai_1 U15839 ( .A1(n25079), .A2(\lsu/store_data_r [29]), .B1(n22551), .B2(\lsu/store_data_r [21]), .Y(n11578) ); sky130_fd_sc_hd__o22ai_1 U15840 ( .A1(n25079), .A2(\lsu/store_data_r [13]), .B1(n22551), .B2(\lsu/store_data_r [5]), .Y(n11574) ); sky130_fd_sc_hd__o22ai_1 U15841 ( .A1(\lsu/lsu_addr_r [1]), .A2(n11578), .B1(n22553), .B2(n11574), .Y(n11567) ); sky130_fd_sc_hd__o22ai_1 U15842 ( .A1(n21217), .A2( \lsu/bus_intf/ld_fwddata_buf_lo [29]), .B1(n14672), .B2(n11567), .Y( n14969) ); sky130_fd_sc_hd__a22o_1 U15843 ( .A1(\lsu/lsu_addr_m [0]), .A2(n15311), .B1( n23539), .B2(n14969), .X(n14492) ); sky130_fd_sc_hd__nand3_1 U15844 ( .A(n11568), .B(n13837), .C( \lsu/bus_intf/ldst_byteen_ext_m [5]), .Y(n11577) ); sky130_fd_sc_hd__nor2_1 U15845 ( .A(n13995), .B(n11577), .Y(n15498) ); sky130_fd_sc_hd__o22ai_1 U15846 ( .A1(\lsu/store_data_r [5]), .A2(n22553), .B1(\lsu/store_data_r [13]), .B2(n22551), .Y(n11570) ); sky130_fd_sc_hd__a21oi_1 U15847 ( .A1(n23151), .A2(n11571), .B1(n11570), .Y( n14491) ); sky130_fd_sc_hd__o21ai_1 U15848 ( .A1(\lsu/bus_intf/ldst_byteen_r [0]), .A2( n22553), .B1(n22551), .Y(n11572) ); sky130_fd_sc_hd__o2bb2ai_1 U15849 ( .B1(n11573), .B2(n11572), .A1_N(n14664), .A2_N(\lsu/bus_intf/ldst_byteen_r [1]), .Y(n11587) ); sky130_fd_sc_hd__and3_1 U15850 ( .A(n11576), .B( \lsu/bus_intf/ldst_byteen_ext_m [6]), .C(n11587), .X(n15537) ); sky130_fd_sc_hd__nor2_1 U15851 ( .A(n15537), .B(n15498), .Y(n21223) ); sky130_fd_sc_hd__a222oi_1 U15852 ( .A1(\lsu/store_data_r [29]), .A2(n15498), .B1(n14491), .B2(n15537), .C1(n21223), .C2( \lsu/bus_intf/ld_fwddata_buf_hi [21]), .Y(n11579) ); sky130_fd_sc_hd__nor2_1 U15853 ( .A(n23539), .B(n25056), .Y(n25035) ); sky130_fd_sc_hd__nor2_1 U15854 ( .A(\lsu/lsu_addr_r [1]), .B(n11575), .Y( n11641) ); sky130_fd_sc_hd__nand3_1 U15855 ( .A(n11641), .B(n11576), .C( \lsu/bus_intf/ldst_byteen_ext_m [5]), .Y(n15185) ); sky130_fd_sc_hd__nand2_1 U15856 ( .A(n21535), .B(n15535), .Y(n14171) ); sky130_fd_sc_hd__nor2_1 U15857 ( .A(n15281), .B(n15280), .Y(n21222) ); sky130_fd_sc_hd__a222oi_1 U15858 ( .A1(n14490), .A2(n15281), .B1(n21222), .B2(\lsu/bus_intf/ld_fwddata_buf_hi [13]), .C1(n15280), .C2(n14489), .Y(n15310) ); sky130_fd_sc_hd__nor2_1 U15859 ( .A(n25056), .B(\lsu/lsu_addr_m [0]), .Y( n25036) ); sky130_fd_sc_hd__o21ai_1 U15860 ( .A1(\lsu/lsu_addr_m [1]), .A2(n14492), .B1(n11580), .Y(\lsu/bus_read_data_m [29]) ); sky130_fd_sc_hd__o22ai_1 U15861 ( .A1(n25079), .A2(n22536), .B1(n22551), .B2(n24881), .Y(n11581) ); sky130_fd_sc_hd__a22oi_1 U15862 ( .A1(\lsu/store_data_hi_r [15]), .A2(n14662), .B1(n14661), .B2(n11581), .Y(n11633) ); sky130_fd_sc_hd__o21ai_1 U15863 ( .A1(n23167), .A2(n25063), .B1(n11633), .Y( \lsu/store_data_r [23]) ); sky130_fd_sc_hd__a222oi_1 U15864 ( .A1(n11581), .A2(\lsu/lsu_addr_r [1]), .B1(\lsu/store_data_lo_r [15]), .B2(n14664), .C1( \lsu/store_data_lo_r [7]), .C2(n17657), .Y(n11636) ); sky130_fd_sc_hd__nor2_1 U15865 ( .A(n11636), .B(n17661), .Y( \lsu/store_data_r [7]) ); sky130_fd_sc_hd__nor2_1 U15866 ( .A(\lsu/bus_intf/ldst_byteen_m [1]), .B( \lsu/lsu_pkt_m[by] ), .Y(n15035) ); sky130_fd_sc_hd__o22ai_1 U15867 ( .A1(n15035), .A2(n23512), .B1( \lsu/lsu_addr_m [1]), .B2(n18338), .Y( \lsu/bus_intf/ldst_byteen_ext_m [2]) ); sky130_fd_sc_hd__o22ai_1 U15868 ( .A1(n25079), .A2(n24881), .B1(n22551), .B2(n23167), .Y(n11582) ); sky130_fd_sc_hd__a222oi_1 U15869 ( .A1(n11582), .A2(\lsu/lsu_addr_r [1]), .B1(n14664), .B2(\lsu/store_data_lo_r [23]), .C1(n17657), .C2( \lsu/store_data_lo_r [15]), .Y(n11586) ); sky130_fd_sc_hd__nor2_1 U15870 ( .A(n11586), .B(n14659), .Y( \lsu/store_data_r [15]) ); sky130_fd_sc_hd__a22oi_1 U15871 ( .A1(\lsu/store_data_hi_r [15]), .A2(n14556), .B1(n14661), .B2(n11582), .Y(n11634) ); sky130_fd_sc_hd__nand2_1 U15872 ( .A(n14662), .B(\lsu/store_data_hi_r [23]), .Y(n11632) ); sky130_fd_sc_hd__nand2_1 U15873 ( .A(n11634), .B(n11632), .Y( \lsu/store_data_r [31]) ); sky130_fd_sc_hd__nor2_1 U15874 ( .A(\lsu/lsu_addr_m [1]), .B(n11583), .Y( \lsu/bus_intf/ldst_byteen_ext_m [1]) ); sky130_fd_sc_hd__nand2_1 U15875 ( .A(n25056), .B(\lsu/lsu_addr_m [0]), .Y( n23768) ); sky130_fd_sc_hd__nand2_1 U15876 ( .A(n14664), .B(n11584), .Y(n22523) ); sky130_fd_sc_hd__nor2_1 U15877 ( .A(n25079), .B(n22553), .Y(n14673) ); sky130_fd_sc_hd__a22oi_1 U15878 ( .A1(n17657), .A2(\lsu/store_data_r [23]), .B1(\lsu/store_data_r [7]), .B2(n14673), .Y(n11585) ); sky130_fd_sc_hd__o21ai_1 U15879 ( .A1(n11586), .A2(n22523), .B1(n11585), .Y( n15538) ); sky130_fd_sc_hd__and3_1 U15880 ( .A(n11645), .B( \lsu/bus_intf/ldst_byteen_ext_m [2]), .C(n11587), .X(n14677) ); sky130_fd_sc_hd__o22ai_1 U15881 ( .A1(n20930), .A2(\lsu/end_addr_r [7]), .B1(n22560), .B2(\lsu/lsu_addr_m [5]), .Y(n11588) ); sky130_fd_sc_hd__a221oi_1 U15882 ( .A1(n20930), .A2(\lsu/end_addr_r [7]), .B1(\lsu/lsu_addr_m [5]), .B2(n22560), .C1(n11588), .Y(n11604) ); sky130_fd_sc_hd__o22ai_1 U15883 ( .A1(\lsu/lsu_addr_m [12]), .A2(n22574), .B1(n21096), .B2(\lsu/end_addr_r [12]), .Y(n11600) ); sky130_fd_sc_hd__o22ai_1 U15884 ( .A1(\lsu/lsu_addr_m [9]), .A2(n22568), .B1(n21091), .B2(\lsu/end_addr_r [9]), .Y(n11599) ); sky130_fd_sc_hd__o22ai_1 U15885 ( .A1(\lsu/lsu_addr_m [13]), .A2( \lsu/end_addr_r [13]), .B1(n21045), .B2(n22576), .Y(n11592) ); sky130_fd_sc_hd__o22ai_1 U15886 ( .A1(\lsu/lsu_addr_m [14]), .A2( \lsu/end_addr_r [14]), .B1(n21061), .B2(n22578), .Y(n11591) ); sky130_fd_sc_hd__o22ai_1 U15887 ( .A1(\lsu/lsu_addr_m [6]), .A2( \lsu/end_addr_r [6]), .B1(n21049), .B2(n22562), .Y(n11590) ); sky130_fd_sc_hd__o22ai_1 U15888 ( .A1(\lsu/lsu_addr_m [15]), .A2( \lsu/end_addr_r [15]), .B1(n13940), .B2(n22580), .Y(n11589) ); sky130_fd_sc_hd__nand4_1 U15889 ( .A(n11592), .B(n11591), .C(n11590), .D( n11589), .Y(n11598) ); sky130_fd_sc_hd__o22ai_1 U15890 ( .A1(\lsu/lsu_addr_m [2]), .A2( \lsu/end_addr_r [2]), .B1(n21089), .B2(n22554), .Y(n11596) ); sky130_fd_sc_hd__o22ai_1 U15891 ( .A1(\lsu/lsu_addr_m [8]), .A2( \lsu/end_addr_r [8]), .B1(n13945), .B2(n22566), .Y(n11595) ); sky130_fd_sc_hd__o22ai_1 U15892 ( .A1(\lsu/lsu_addr_m [10]), .A2( \lsu/end_addr_r [10]), .B1(n21054), .B2(n22570), .Y(n11594) ); sky130_fd_sc_hd__o22ai_1 U15893 ( .A1(\lsu/lsu_addr_m [11]), .A2( \lsu/end_addr_r [11]), .B1(n13946), .B2(n22572), .Y(n11593) ); sky130_fd_sc_hd__nand4_1 U15894 ( .A(n11596), .B(n11595), .C(n11594), .D( n11593), .Y(n11597) ); sky130_fd_sc_hd__nor4_1 U15895 ( .A(n11600), .B(n11599), .C(n11598), .D( n11597), .Y(n11603) ); sky130_fd_sc_hd__o22ai_1 U15896 ( .A1(\lsu/lsu_addr_m [3]), .A2( \lsu/end_addr_r [3]), .B1(n21073), .B2(n22556), .Y(n11602) ); sky130_fd_sc_hd__o22ai_1 U15897 ( .A1(\lsu/lsu_addr_m [4]), .A2( \lsu/end_addr_r [4]), .B1(n21104), .B2(n22558), .Y(n11601) ); sky130_fd_sc_hd__nand4_1 U15898 ( .A(n11604), .B(n11603), .C(n11602), .D( n11601), .Y(n20407) ); sky130_fd_sc_hd__o22ai_1 U15899 ( .A1(n21001), .A2(\lsu/end_addr_r [31]), .B1(n11606), .B2(\lsu/end_addr_r [28]), .Y(n11605) ); sky130_fd_sc_hd__a221oi_1 U15900 ( .A1(n21001), .A2(\lsu/end_addr_r [31]), .B1(\lsu/end_addr_r [28]), .B2(n11606), .C1(n11605), .Y(n11617) ); sky130_fd_sc_hd__o22ai_1 U15901 ( .A1(n21201), .A2(\lsu/end_addr_r [27]), .B1(n21084), .B2(\lsu/end_addr_r [16]), .Y(n11607) ); sky130_fd_sc_hd__a221oi_1 U15902 ( .A1(n21201), .A2(\lsu/end_addr_r [27]), .B1(\lsu/end_addr_r [16]), .B2(n21084), .C1(n11607), .Y(n11616) ); sky130_fd_sc_hd__o22ai_1 U15903 ( .A1(n11610), .A2(\lsu/end_addr_r [26]), .B1(n11609), .B2(\lsu/lsu_addr_m [24]), .Y(n11608) ); sky130_fd_sc_hd__a221oi_1 U15904 ( .A1(n11610), .A2(\lsu/end_addr_r [26]), .B1(\lsu/lsu_addr_m [24]), .B2(n11609), .C1(n11608), .Y(n11615) ); sky130_fd_sc_hd__o22ai_1 U15905 ( .A1(n11613), .A2(\lsu/end_addr_r [19]), .B1(n11612), .B2(\lsu/lsu_addr_m [17]), .Y(n11611) ); sky130_fd_sc_hd__a221oi_1 U15906 ( .A1(n11613), .A2(\lsu/end_addr_r [19]), .B1(\lsu/lsu_addr_m [17]), .B2(n11612), .C1(n11611), .Y(n11614) ); sky130_fd_sc_hd__nand4_1 U15907 ( .A(n11617), .B(n11616), .C(n11615), .D( n11614), .Y(n11629) ); sky130_fd_sc_hd__o22ai_1 U15908 ( .A1(n21183), .A2(\lsu/end_addr_r [20]), .B1(n20973), .B2(\lsu/end_addr_r [18]), .Y(n11618) ); sky130_fd_sc_hd__a221oi_1 U15909 ( .A1(n21183), .A2(\lsu/end_addr_r [20]), .B1(\lsu/end_addr_r [18]), .B2(n20973), .C1(n11618), .Y(n11627) ); sky130_fd_sc_hd__o22ai_1 U15910 ( .A1(n21167), .A2(\lsu/end_addr_r [23]), .B1(n21023), .B2(\lsu/end_addr_r [29]), .Y(n11619) ); sky130_fd_sc_hd__a221oi_1 U15911 ( .A1(n21167), .A2(\lsu/end_addr_r [23]), .B1(\lsu/end_addr_r [29]), .B2(n21023), .C1(n11619), .Y(n11626) ); sky130_fd_sc_hd__o22ai_1 U15912 ( .A1(n21172), .A2(\lsu/end_addr_r [25]), .B1(n11621), .B2(\lsu/lsu_addr_m [30]), .Y(n11620) ); sky130_fd_sc_hd__a221oi_1 U15913 ( .A1(n21172), .A2(\lsu/end_addr_r [25]), .B1(\lsu/lsu_addr_m [30]), .B2(n11621), .C1(n11620), .Y(n11625) ); sky130_fd_sc_hd__o22ai_1 U15914 ( .A1(n21033), .A2(\lsu/end_addr_r [22]), .B1(n11623), .B2(\lsu/end_addr_r [21]), .Y(n11622) ); sky130_fd_sc_hd__a221oi_1 U15915 ( .A1(n21033), .A2(\lsu/end_addr_r [22]), .B1(\lsu/end_addr_r [21]), .B2(n11623), .C1(n11622), .Y(n11624) ); sky130_fd_sc_hd__nand4_1 U15916 ( .A(n11627), .B(n11626), .C(n11625), .D( n11624), .Y(n11628) ); sky130_fd_sc_hd__nor3_1 U15917 ( .A(n20407), .B(n11629), .C(n11628), .Y( n13997) ); sky130_fd_sc_hd__nor2_1 U15918 ( .A(n13961), .B(n11630), .Y(n11647) ); sky130_fd_sc_hd__nand2_1 U15919 ( .A(n11647), .B( \lsu/bus_intf/ldst_byteen_ext_m [2]), .Y(n11631) ); sky130_fd_sc_hd__nor2_1 U15920 ( .A(n13995), .B(n11631), .Y(n14676) ); sky130_fd_sc_hd__nor2_1 U15921 ( .A(n14677), .B(n14676), .Y(n21218) ); sky130_fd_sc_hd__a222oi_1 U15922 ( .A1(n15538), .A2(n14677), .B1(n21218), .B2(\lsu/bus_intf/ld_fwddata_buf_lo [23]), .C1(n14558), .C2(n15536), .Y(n11650) ); sky130_fd_sc_hd__o22ai_1 U15923 ( .A1(n25079), .A2(\lsu/store_data_r [15]), .B1(n22551), .B2(\lsu/store_data_r [7]), .Y(n14356) ); sky130_fd_sc_hd__a22oi_1 U15924 ( .A1(n22551), .A2(n11634), .B1(n25079), .B2(n11633), .Y(n14354) ); sky130_fd_sc_hd__o2bb2ai_1 U15925 ( .B1(n22553), .B2(n14356), .A1_N(n22553), .A2_N(n14354), .Y(n11635) ); sky130_fd_sc_hd__o22ai_1 U15926 ( .A1(n21217), .A2( \lsu/bus_intf/ld_fwddata_buf_lo [31]), .B1(n14672), .B2(n11635), .Y( n11649) ); sky130_fd_sc_hd__nand2_1 U15927 ( .A(n17656), .B(n14563), .Y(n14668) ); sky130_fd_sc_hd__nand2_1 U15928 ( .A(\lsu/store_data_hi_r [7]), .B(n14556), .Y(n11638) ); sky130_fd_sc_hd__o22ai_1 U15929 ( .A1(\lsu/store_data_r [15]), .A2(n13876), .B1(\lsu/lsu_addr_r [1]), .B2(\lsu/store_data_r [31]), .Y(n11637) ); sky130_fd_sc_hd__a21oi_1 U15930 ( .A1(n22551), .A2(n11638), .B1(n11637), .Y( n11648) ); sky130_fd_sc_hd__a222oi_1 U15931 ( .A1(n11640), .A2(n11639), .B1(n11648), .B2(n14666), .C1(n21226), .C2(\lsu/bus_intf/ld_fwddata_buf_hi [7]), .Y(n14359) ); sky130_fd_sc_hd__o22ai_1 U15932 ( .A1(\lsu/lsu_addr_m [0]), .A2(n11649), .B1(n25027), .B2(n14359), .Y(n15539) ); sky130_fd_sc_hd__nand3_1 U15933 ( .A(n11645), .B( \lsu/bus_intf/ldst_byteen_ext_m [1]), .C(n11641), .Y(n14565) ); sky130_fd_sc_hd__nand3_1 U15934 ( .A(n21535), .B(n11647), .C( \lsu/bus_intf/ldst_byteen_ext_m [1]), .Y(n14522) ); sky130_fd_sc_hd__nor2_1 U15935 ( .A(n14679), .B(n14678), .Y(n21219) ); sky130_fd_sc_hd__a22oi_1 U15936 ( .A1(n14354), .A2(n14678), .B1(n21219), .B2(\lsu/bus_intf/ld_fwddata_buf_lo [15]), .Y(n11642) ); sky130_fd_sc_hd__o21ai_1 U15937 ( .A1(n14356), .A2(n14565), .B1(n11642), .Y( n11651) ); sky130_fd_sc_hd__o22ai_1 U15938 ( .A1(n25056), .A2(n15539), .B1(n15122), .B2(n11651), .Y(n11643) ); sky130_fd_sc_hd__a21oi_1 U15939 ( .A1(n25052), .A2(n11650), .B1(n11643), .Y( \lsu/bus_read_data_m [15]) ); sky130_fd_sc_hd__nand2_1 U15940 ( .A(\lsu/bus_intf/ldst_byteen_m [0]), .B( n25053), .Y(n25066) ); sky130_fd_sc_hd__nand2_1 U15941 ( .A(n17657), .B( \lsu/bus_intf/ldst_byteen_r [0]), .Y(n11644) ); sky130_fd_sc_hd__nor3b_1 U15942 ( .C_N(n11645), .A(n25066), .B(n11644), .Y( n15119) ); sky130_fd_sc_hd__nor3b_1 U15943 ( .C_N(n11647), .A(n11646), .B(n25066), .Y( n15120) ); sky130_fd_sc_hd__nor2_1 U15944 ( .A(n15120), .B(n15119), .Y(n21216) ); sky130_fd_sc_hd__a222oi_1 U15945 ( .A1(\lsu/store_data_r [7]), .A2(n15119), .B1(n15120), .B2(n11648), .C1(n21216), .C2( \lsu/bus_intf/ld_fwddata_buf_lo [7]), .Y(n11653) ); sky130_fd_sc_hd__o22ai_1 U15946 ( .A1(\lsu/lsu_addr_m [0]), .A2(n11650), .B1(n25027), .B2(n11649), .Y(n14357) ); sky130_fd_sc_hd__a22oi_1 U15947 ( .A1(\lsu/lsu_addr_m [1]), .A2(n14357), .B1(n25052), .B2(n11651), .Y(n11652) ); sky130_fd_sc_hd__o21ai_1 U15948 ( .A1(n11653), .A2(n15122), .B1(n11652), .Y( \lsu/bus_read_data_m [7]) ); sky130_fd_sc_hd__o22ai_1 U15949 ( .A1(n11658), .A2( \dec/decode/cam[2][tag][0] ), .B1(\dec/decode/cam[2][tag][1] ), .B2( n10896), .Y(n11654) ); sky130_fd_sc_hd__a221oi_1 U15950 ( .A1(n11658), .A2( \dec/decode/cam[2][tag][0] ), .B1(n10896), .B2( \dec/decode/cam[2][tag][1] ), .C1(n11654), .Y(n14070) ); sky130_fd_sc_hd__nand2_1 U15951 ( .A(\dec/decode/cam_raw[2][valid] ), .B( n14070), .Y(n11673) ); sky130_fd_sc_hd__o22ai_1 U15952 ( .A1(n11658), .A2( \dec/decode/cam[1][tag][0] ), .B1(\dec/decode/cam[1][tag][1] ), .B2( n10896), .Y(n11655) ); sky130_fd_sc_hd__a221oi_1 U15953 ( .A1(n11658), .A2( \dec/decode/cam[1][tag][0] ), .B1(n10896), .B2( \dec/decode/cam[1][tag][1] ), .C1(n11655), .Y(n14078) ); sky130_fd_sc_hd__o22ai_1 U15954 ( .A1(n11658), .A2( \dec/decode/cam[0][tag][0] ), .B1(n10896), .B2( \dec/decode/cam[0][tag][1] ), .Y(n11656) ); sky130_fd_sc_hd__a221oi_1 U15955 ( .A1(n11658), .A2( \dec/decode/cam[0][tag][0] ), .B1(\dec/decode/cam[0][tag][1] ), .B2( n10896), .C1(n11656), .Y(n14061) ); sky130_fd_sc_hd__nand2_1 U15956 ( .A(\dec/decode/cam_raw[0][valid] ), .B( n14061), .Y(n11671) ); sky130_fd_sc_hd__o22ai_1 U15957 ( .A1(n11658), .A2( \dec/decode/cam[3][tag][0] ), .B1(\dec/decode/cam[3][tag][1] ), .B2( n10896), .Y(n11657) ); sky130_fd_sc_hd__a221oi_1 U15958 ( .A1(n11658), .A2( \dec/decode/cam[3][tag][0] ), .B1(n10896), .B2( \dec/decode/cam[3][tag][1] ), .C1(n11657), .Y(n14084) ); sky130_fd_sc_hd__nand2_1 U15959 ( .A(\dec/decode/cam_raw[3][valid] ), .B( n14084), .Y(n11672) ); sky130_fd_sc_hd__o22ai_1 U15960 ( .A1(n24098), .A2(n11671), .B1(n24061), .B2(n11672), .Y(n11659) ); sky130_fd_sc_hd__a21oi_1 U15961 ( .A1(\dec/decode/cam[1][rd][3] ), .A2( n11675), .B1(n11659), .Y(n11660) ); sky130_fd_sc_hd__o21ai_1 U15962 ( .A1(n24076), .A2(n11673), .B1(n11660), .Y( \dec/dec_nonblock_load_waddr [3]) ); sky130_fd_sc_hd__o22ai_1 U15963 ( .A1(n24062), .A2(n11672), .B1(n24077), .B2(n11673), .Y(n11661) ); sky130_fd_sc_hd__a21oi_1 U15964 ( .A1(\dec/decode/cam[1][rd][4] ), .A2( n11675), .B1(n11661), .Y(n11662) ); sky130_fd_sc_hd__o21ai_1 U15965 ( .A1(n24100), .A2(n11671), .B1(n11662), .Y( \dec/dec_nonblock_load_waddr [4]) ); sky130_fd_sc_hd__o22ai_1 U15966 ( .A1(n24096), .A2(n11671), .B1(n24075), .B2(n11673), .Y(n11663) ); sky130_fd_sc_hd__a21oi_1 U15967 ( .A1(\dec/decode/cam[1][rd][2] ), .A2( n11675), .B1(n11663), .Y(n11664) ); sky130_fd_sc_hd__o21ai_1 U15968 ( .A1(n24060), .A2(n11672), .B1(n11664), .Y( \dec/dec_nonblock_load_waddr [2]) ); sky130_fd_sc_hd__o22ai_1 U15969 ( .A1(n24059), .A2(n11672), .B1(n24074), .B2(n11673), .Y(n11665) ); sky130_fd_sc_hd__a21oi_1 U15970 ( .A1(\dec/decode/cam[1][rd][1] ), .A2( n11675), .B1(n11665), .Y(n11666) ); sky130_fd_sc_hd__o21ai_1 U15971 ( .A1(n24094), .A2(n11671), .B1(n11666), .Y( \dec/dec_nonblock_load_waddr [1]) ); sky130_fd_sc_hd__o22ai_1 U15972 ( .A1(n24092), .A2(n11671), .B1(n24058), .B2(n11672), .Y(n11667) ); sky130_fd_sc_hd__a21oi_1 U15973 ( .A1(\dec/decode/cam[1][rd][0] ), .A2( n11675), .B1(n11667), .Y(n11668) ); sky130_fd_sc_hd__o21ai_1 U15974 ( .A1(n24073), .A2(n11673), .B1(n11668), .Y( \dec/dec_nonblock_load_waddr [0]) ); sky130_fd_sc_hd__buf_2 U15975 ( .A(\dec/dec_tlu_flush_lower_wb ), .X(n24012) ); sky130_fd_sc_hd__nand3b_1 U15976 ( .A_N(dec_tlu_i0_kill_writeb_r), .B( \dec/decode/r_d[i0v] ), .C(n18805), .Y(n22512) ); sky130_fd_sc_hd__a221oi_1 U15977 ( .A1(\dec/dec_i0_waddr_r [3]), .A2(n15742), .B1(n17418), .B2(\dec/dec_nonblock_load_waddr [3]), .C1(n22512), .Y( n11679) ); sky130_fd_sc_hd__o22ai_1 U15978 ( .A1(\dec/dec_i0_waddr_r [4]), .A2(n15750), .B1(n15744), .B2(\dec/dec_i0_waddr_r [2]), .Y(n11669) ); sky130_fd_sc_hd__a221oi_1 U15979 ( .A1(\dec/dec_i0_waddr_r [4]), .A2(n15750), .B1(\dec/dec_i0_waddr_r [2]), .B2(n15744), .C1(n11669), .Y(n11678) ); sky130_fd_sc_hd__o22ai_1 U15980 ( .A1(\dec/dec_i0_waddr_r [1]), .A2(n15743), .B1(n15745), .B2(\dec/dec_i0_waddr_r [0]), .Y(n11670) ); sky130_fd_sc_hd__a221oi_1 U15981 ( .A1(\dec/dec_i0_waddr_r [1]), .A2(n15743), .B1(\dec/dec_i0_waddr_r [0]), .B2(n15745), .C1(n11670), .Y(n11677) ); sky130_fd_sc_hd__nand3_1 U15982 ( .A(n11673), .B(n11672), .C(n11671), .Y( n11674) ); sky130_fd_sc_hd__o21ai_1 U15983 ( .A1(n11675), .A2(n11674), .B1( lsu_nonblock_load_data_valid), .Y(n11676) ); sky130_fd_sc_hd__a31oi_1 U15984 ( .A1(n11679), .A2(n11678), .A3(n11677), .B1(n11676), .Y(\dec/dec_nonblock_load_wen ) ); sky130_fd_sc_hd__xor2_1 U15985 ( .A(\exu/i_mul/rs1_x [2]), .B( \exu/i_mul/rs1_x [1]), .X(n11708) ); sky130_fd_sc_hd__nand2b_1 U15986 ( .A_N(n11706), .B(n11708), .Y(n13622) ); sky130_fd_sc_hd__nor2_1 U15987 ( .A(\exu/i_mul/rs2_x [5]), .B( \exu/i_mul/rs2_x [4]), .Y(n11769) ); sky130_fd_sc_hd__nor2_1 U15988 ( .A(\exu/i_mul/rs2_x [4]), .B( \exu/i_mul/rs2_x [3]), .Y(n11767) ); sky130_fd_sc_hd__nor2_1 U15989 ( .A(n11769), .B(n11767), .Y(n11813) ); sky130_fd_sc_hd__nor2_1 U15990 ( .A(\exu/i_mul/rs2_x [7]), .B( \exu/i_mul/rs2_x [6]), .Y(n11863) ); sky130_fd_sc_hd__nor2_1 U15991 ( .A(\exu/i_mul/rs2_x [6]), .B( \exu/i_mul/rs2_x [5]), .Y(n11868) ); sky130_fd_sc_hd__nor2_1 U15992 ( .A(n11863), .B(n11868), .Y(n11683) ); sky130_fd_sc_hd__nand2_1 U15993 ( .A(n11813), .B(n11683), .Y(n11685) ); sky130_fd_sc_hd__nand2_1 U15994 ( .A(\exu/i_mul/rs2_x [0]), .B( \exu/i_mul/rs2_x [1]), .Y(n11821) ); sky130_fd_sc_hd__nand2_1 U15995 ( .A(\exu/i_mul/rs2_x [3]), .B( \exu/i_mul/rs2_x [2]), .Y(n11803) ); sky130_fd_sc_hd__nand2_1 U15996 ( .A(\exu/i_mul/rs2_x [2]), .B( \exu/i_mul/rs2_x [1]), .Y(n11820) ); sky130_fd_sc_hd__nand2_1 U15997 ( .A(n11803), .B(n11820), .Y(n11680) ); sky130_fd_sc_hd__a21oi_1 U15998 ( .A1(n10922), .A2(n11681), .B1(n11680), .Y( n11766) ); sky130_fd_sc_hd__nand2_1 U15999 ( .A(\exu/i_mul/rs2_x [4]), .B( \exu/i_mul/rs2_x [5]), .Y(n11770) ); sky130_fd_sc_hd__nand2_1 U16000 ( .A(\exu/i_mul/rs2_x [3]), .B( \exu/i_mul/rs2_x [4]), .Y(n11843) ); sky130_fd_sc_hd__nand2_1 U16001 ( .A(n11770), .B(n11843), .Y(n11812) ); sky130_fd_sc_hd__nand2_1 U16002 ( .A(\exu/i_mul/rs2_x [6]), .B( \exu/i_mul/rs2_x [7]), .Y(n11864) ); sky130_fd_sc_hd__nand2_1 U16003 ( .A(\exu/i_mul/rs2_x [5]), .B( \exu/i_mul/rs2_x [6]), .Y(n11866) ); sky130_fd_sc_hd__nand2_1 U16004 ( .A(n11864), .B(n11866), .Y(n11682) ); sky130_fd_sc_hd__a21oi_1 U16005 ( .A1(n11683), .A2(n11812), .B1(n11682), .Y( n11684) ); sky130_fd_sc_hd__o21ai_1 U16006 ( .A1(n11685), .A2(n11766), .B1(n11684), .Y( n11758) ); sky130_fd_sc_hd__nor2_1 U16007 ( .A(\exu/i_mul/rs2_x [13]), .B( \exu/i_mul/rs2_x [12]), .Y(n11881) ); sky130_fd_sc_hd__nor2_1 U16008 ( .A(\exu/i_mul/rs2_x [12]), .B( \exu/i_mul/rs2_x [11]), .Y(n11834) ); sky130_fd_sc_hd__nor2_1 U16009 ( .A(n11881), .B(n11834), .Y(n11782) ); sky130_fd_sc_hd__nor2_1 U16010 ( .A(\exu/i_mul/rs2_x [15]), .B( \exu/i_mul/rs2_x [14]), .Y(n11971) ); sky130_fd_sc_hd__nor2_1 U16011 ( .A(\exu/i_mul/rs2_x [14]), .B( \exu/i_mul/rs2_x [13]), .Y(n11779) ); sky130_fd_sc_hd__nor2_1 U16012 ( .A(n11971), .B(n11779), .Y(n11689) ); sky130_fd_sc_hd__nand2_1 U16013 ( .A(n11782), .B(n11689), .Y(n11691) ); sky130_fd_sc_hd__nor2_1 U16014 ( .A(\exu/i_mul/rs2_x [9]), .B( \exu/i_mul/rs2_x [8]), .Y(n11825) ); sky130_fd_sc_hd__nor2_1 U16015 ( .A(\exu/i_mul/rs2_x [8]), .B( \exu/i_mul/rs2_x [7]), .Y(n11829) ); sky130_fd_sc_hd__nor2_1 U16016 ( .A(n11825), .B(n11829), .Y(n11852) ); sky130_fd_sc_hd__nor2_1 U16017 ( .A(\exu/i_mul/rs2_x [10]), .B( \exu/i_mul/rs2_x [11]), .Y(n11900) ); sky130_fd_sc_hd__nor2_1 U16018 ( .A(\exu/i_mul/rs2_x [10]), .B( \exu/i_mul/rs2_x [9]), .Y(n11851) ); sky130_fd_sc_hd__nor2_1 U16019 ( .A(n11900), .B(n11851), .Y(n11687) ); sky130_fd_sc_hd__nand2_1 U16020 ( .A(n11852), .B(n11687), .Y(n11836) ); sky130_fd_sc_hd__nor2_1 U16021 ( .A(n11691), .B(n11836), .Y(n11693) ); sky130_fd_sc_hd__nand2_1 U16022 ( .A(\exu/i_mul/rs2_x [8]), .B( \exu/i_mul/rs2_x [9]), .Y(n11826) ); sky130_fd_sc_hd__nand2_1 U16023 ( .A(\exu/i_mul/rs2_x [7]), .B( \exu/i_mul/rs2_x [8]), .Y(n11828) ); sky130_fd_sc_hd__nand2_1 U16024 ( .A(n11826), .B(n11828), .Y(n11853) ); sky130_fd_sc_hd__nand2_1 U16025 ( .A(\exu/i_mul/rs2_x [11]), .B( \exu/i_mul/rs2_x [10]), .Y(n11901) ); sky130_fd_sc_hd__nand2_1 U16026 ( .A(\exu/i_mul/rs2_x [9]), .B( \exu/i_mul/rs2_x [10]), .Y(n11896) ); sky130_fd_sc_hd__nand2_1 U16027 ( .A(n11901), .B(n11896), .Y(n11686) ); sky130_fd_sc_hd__a21oi_1 U16028 ( .A1(n11687), .A2(n11853), .B1(n11686), .Y( n11835) ); sky130_fd_sc_hd__nand2_1 U16029 ( .A(\exu/i_mul/rs2_x [12]), .B( \exu/i_mul/rs2_x [13]), .Y(n11882) ); sky130_fd_sc_hd__nand2_1 U16030 ( .A(\exu/i_mul/rs2_x [11]), .B( \exu/i_mul/rs2_x [12]), .Y(n11877) ); sky130_fd_sc_hd__nand2_1 U16031 ( .A(n11882), .B(n11877), .Y(n11781) ); sky130_fd_sc_hd__nand2_1 U16032 ( .A(\exu/i_mul/rs2_x [14]), .B( \exu/i_mul/rs2_x [15]), .Y(n11972) ); sky130_fd_sc_hd__nand2_1 U16033 ( .A(\exu/i_mul/rs2_x [13]), .B( \exu/i_mul/rs2_x [14]), .Y(n11967) ); sky130_fd_sc_hd__nand2_1 U16034 ( .A(n11972), .B(n11967), .Y(n11688) ); sky130_fd_sc_hd__a21oi_1 U16035 ( .A1(n11689), .A2(n11781), .B1(n11688), .Y( n11690) ); sky130_fd_sc_hd__o21ai_1 U16036 ( .A1(n11691), .A2(n11835), .B1(n11690), .Y( n11692) ); sky130_fd_sc_hd__a21oi_1 U16037 ( .A1(n11758), .A2(n11693), .B1(n11692), .Y( n12655) ); sky130_fd_sc_hd__nor2_1 U16038 ( .A(\exu/i_mul/rs2_x [24]), .B( \exu/i_mul/rs2_x [25]), .Y(n11796) ); sky130_fd_sc_hd__nor2_1 U16039 ( .A(\exu/i_mul/rs2_x [24]), .B( \exu/i_mul/rs2_x [23]), .Y(n11793) ); sky130_fd_sc_hd__nor2_1 U16040 ( .A(n11796), .B(n11793), .Y(n11926) ); sky130_fd_sc_hd__nor2_1 U16041 ( .A(\exu/i_mul/rs2_x [26]), .B( \exu/i_mul/rs2_x [27]), .Y(n11743) ); sky130_fd_sc_hd__nor2_1 U16042 ( .A(\exu/i_mul/rs2_x [26]), .B( \exu/i_mul/rs2_x [25]), .Y(n11737) ); sky130_fd_sc_hd__nor2_1 U16043 ( .A(n11743), .B(n11737), .Y(n11701) ); sky130_fd_sc_hd__nand2_1 U16044 ( .A(n11926), .B(n11701), .Y(n12644) ); sky130_fd_sc_hd__nor2_1 U16045 ( .A(\exu/i_mul/rs2_x [17]), .B( \exu/i_mul/rs2_x [16]), .Y(n11910) ); sky130_fd_sc_hd__nor2_1 U16046 ( .A(\exu/i_mul/rs2_x [16]), .B( \exu/i_mul/rs2_x [15]), .Y(n11873) ); sky130_fd_sc_hd__nor2_1 U16047 ( .A(n11910), .B(n11873), .Y(n11979) ); sky130_fd_sc_hd__nor2_1 U16048 ( .A(\exu/i_mul/rs2_x [17]), .B( \exu/i_mul/rs2_x [18]), .Y(n11980) ); sky130_fd_sc_hd__nor2_1 U16049 ( .A(\exu/i_mul/rs2_x [19]), .B( \exu/i_mul/rs2_x [18]), .Y(n11715) ); sky130_fd_sc_hd__nor2_1 U16050 ( .A(n11980), .B(n11715), .Y(n11695) ); sky130_fd_sc_hd__nand2_1 U16051 ( .A(n11979), .B(n11695), .Y(n11987) ); sky130_fd_sc_hd__nor2_1 U16052 ( .A(\exu/i_mul/rs2_x [21]), .B( \exu/i_mul/rs2_x [20]), .Y(n11993) ); sky130_fd_sc_hd__nor2_1 U16053 ( .A(\exu/i_mul/rs2_x [20]), .B( \exu/i_mul/rs2_x [19]), .Y(n11990) ); sky130_fd_sc_hd__nor2_1 U16054 ( .A(n11993), .B(n11990), .Y(n11726) ); sky130_fd_sc_hd__nor2_1 U16055 ( .A(\exu/i_mul/rs2_x [23]), .B( \exu/i_mul/rs2_x [22]), .Y(n11951) ); sky130_fd_sc_hd__nor2_1 U16056 ( .A(\exu/i_mul/rs2_x [21]), .B( \exu/i_mul/rs2_x [22]), .Y(n11948) ); sky130_fd_sc_hd__nor2_1 U16057 ( .A(n11951), .B(n11948), .Y(n11697) ); sky130_fd_sc_hd__nand2_1 U16058 ( .A(n11726), .B(n11697), .Y(n11699) ); sky130_fd_sc_hd__nor2_1 U16059 ( .A(n11987), .B(n11699), .Y(n12645) ); sky130_fd_sc_hd__nor2_1 U16060 ( .A(n12644), .B(n12671), .Y(n11703) ); sky130_fd_sc_hd__nand2_1 U16061 ( .A(\exu/i_mul/rs2_x [16]), .B( \exu/i_mul/rs2_x [17]), .Y(n11911) ); sky130_fd_sc_hd__nand2_1 U16062 ( .A(\exu/i_mul/rs2_x [15]), .B( \exu/i_mul/rs2_x [16]), .Y(n11907) ); sky130_fd_sc_hd__nand2_1 U16063 ( .A(n11911), .B(n11907), .Y(n11978) ); sky130_fd_sc_hd__nand2_1 U16064 ( .A(\exu/i_mul/rs2_x [18]), .B( \exu/i_mul/rs2_x [19]), .Y(n11716) ); sky130_fd_sc_hd__nand2_1 U16065 ( .A(\exu/i_mul/rs2_x [18]), .B( \exu/i_mul/rs2_x [17]), .Y(n11981) ); sky130_fd_sc_hd__nand2_1 U16066 ( .A(n11716), .B(n11981), .Y(n11694) ); sky130_fd_sc_hd__a21oi_1 U16067 ( .A1(n11695), .A2(n11978), .B1(n11694), .Y( n11989) ); sky130_fd_sc_hd__nand2_1 U16068 ( .A(\exu/i_mul/rs2_x [20]), .B( \exu/i_mul/rs2_x [21]), .Y(n11994) ); sky130_fd_sc_hd__nand2_1 U16069 ( .A(\exu/i_mul/rs2_x [19]), .B( \exu/i_mul/rs2_x [20]), .Y(n11988) ); sky130_fd_sc_hd__nand2_1 U16070 ( .A(n11994), .B(n11988), .Y(n11725) ); sky130_fd_sc_hd__nand2_1 U16071 ( .A(\exu/i_mul/rs2_x [22]), .B( \exu/i_mul/rs2_x [23]), .Y(n11952) ); sky130_fd_sc_hd__nand2_1 U16072 ( .A(\exu/i_mul/rs2_x [22]), .B( \exu/i_mul/rs2_x [21]), .Y(n11946) ); sky130_fd_sc_hd__nand2_1 U16073 ( .A(n11952), .B(n11946), .Y(n11696) ); sky130_fd_sc_hd__a21oi_1 U16074 ( .A1(n11697), .A2(n11725), .B1(n11696), .Y( n11698) ); sky130_fd_sc_hd__o21ai_1 U16075 ( .A1(n11699), .A2(n11989), .B1(n11698), .Y( n12652) ); sky130_fd_sc_hd__nand2_1 U16076 ( .A(\exu/i_mul/rs2_x [25]), .B( \exu/i_mul/rs2_x [24]), .Y(n11797) ); sky130_fd_sc_hd__nand2_1 U16077 ( .A(\exu/i_mul/rs2_x [23]), .B( \exu/i_mul/rs2_x [24]), .Y(n11792) ); sky130_fd_sc_hd__nand2_1 U16078 ( .A(n11797), .B(n11792), .Y(n11927) ); sky130_fd_sc_hd__nand2_1 U16079 ( .A(\exu/i_mul/rs2_x [27]), .B( \exu/i_mul/rs2_x [26]), .Y(n11744) ); sky130_fd_sc_hd__nand2_1 U16080 ( .A(\exu/i_mul/rs2_x [25]), .B( \exu/i_mul/rs2_x [26]), .Y(n11932) ); sky130_fd_sc_hd__nand2_1 U16081 ( .A(n11744), .B(n11932), .Y(n11700) ); sky130_fd_sc_hd__a21oi_1 U16082 ( .A1(n11701), .A2(n11927), .B1(n11700), .Y( n12650) ); sky130_fd_sc_hd__o21ai_1 U16083 ( .A1(n12644), .A2(n12680), .B1(n12650), .Y( n11702) ); sky130_fd_sc_hd__a21oi_1 U16084 ( .A1(n12684), .A2(n11703), .B1(n11702), .Y( n11705) ); sky130_fd_sc_hd__nor2_1 U16085 ( .A(\exu/i_mul/rs2_x [28]), .B( \exu/i_mul/rs2_x [27]), .Y(n12628) ); sky130_fd_sc_hd__nand2_1 U16086 ( .A(\exu/i_mul/rs2_x [27]), .B( \exu/i_mul/rs2_x [28]), .Y(n12630) ); sky130_fd_sc_hd__nand2_1 U16087 ( .A(n12016), .B(n12630), .Y(n11704) ); sky130_fd_sc_hd__nor2_1 U16088 ( .A(n11706), .B(n11708), .Y(n13620) ); sky130_fd_sc_hd__nor2b_1 U16089 ( .B_N(n11706), .A(n11707), .Y(n13619) ); sky130_fd_sc_hd__and3_1 U16090 ( .A(n11708), .B(n11707), .C(n11706), .X( n13618) ); sky130_fd_sc_hd__a222oi_1 U16091 ( .A1(n13620), .A2(\exu/i_mul/rs2_x [28]), .B1(n13619), .B2(\exu/i_mul/rs2_x [27]), .C1(n13618), .C2( \exu/i_mul/rs2_x [26]), .Y(n11709) ); sky130_fd_sc_hd__o21ai_1 U16092 ( .A1(n13622), .A2(n10919), .B1(n11709), .Y( n11710) ); sky130_fd_sc_hd__xor2_1 U16093 ( .A(n11710), .B(\exu/i_mul/rs1_x [2]), .X( n12055) ); sky130_fd_sc_hd__xnor2_1 U16094 ( .A(\exu/i_mul/rs1_x [9]), .B( \exu/i_mul/rs1_x [8]), .Y(n11721) ); sky130_fd_sc_hd__xor2_1 U16095 ( .A(\exu/i_mul/rs1_x [11]), .B( \exu/i_mul/rs1_x [10]), .X(n11722) ); sky130_fd_sc_hd__nand2b_1 U16096 ( .A_N(n11721), .B(n11722), .Y(n13598) ); sky130_fd_sc_hd__nor2_1 U16097 ( .A(n11980), .B(n11711), .Y(n11714) ); sky130_fd_sc_hd__o21ai_1 U16098 ( .A1(n11980), .A2(n11712), .B1(n11981), .Y( n11713) ); sky130_fd_sc_hd__a21oi_1 U16099 ( .A1(n12684), .A2(n11714), .B1(n11713), .Y( n11719) ); sky130_fd_sc_hd__nand2_1 U16100 ( .A(n11717), .B(n11716), .Y(n11718) ); sky130_fd_sc_hd__nor2_1 U16101 ( .A(n11721), .B(n11722), .Y(n13596) ); sky130_fd_sc_hd__xnor2_1 U16102 ( .A(\exu/i_mul/rs1_x [9]), .B( \exu/i_mul/rs1_x [10]), .Y(n11720) ); sky130_fd_sc_hd__nor2b_1 U16103 ( .B_N(n11721), .A(n11720), .Y(n13595) ); sky130_fd_sc_hd__and3_1 U16104 ( .A(n11722), .B(n11721), .C(n11720), .X( n13594) ); sky130_fd_sc_hd__a222oi_1 U16105 ( .A1(n13596), .A2(\exu/i_mul/rs2_x [19]), .B1(n13595), .B2(\exu/i_mul/rs2_x [18]), .C1(n13594), .C2( \exu/i_mul/rs2_x [17]), .Y(n11723) ); sky130_fd_sc_hd__o21ai_1 U16106 ( .A1(n13598), .A2(n10918), .B1(n11723), .Y( n11724) ); sky130_fd_sc_hd__xor2_1 U16107 ( .A(n11724), .B(\exu/i_mul/rs1_x [11]), .X( n12054) ); sky130_fd_sc_hd__xnor2_1 U16108 ( .A(\exu/i_mul/rs1_x [6]), .B( \exu/i_mul/rs1_x [5]), .Y(n11733) ); sky130_fd_sc_hd__xor2_1 U16109 ( .A(\exu/i_mul/rs1_x [8]), .B( \exu/i_mul/rs1_x [7]), .X(n11734) ); sky130_fd_sc_hd__nand2b_1 U16110 ( .A_N(n11733), .B(n11734), .Y(n13628) ); sky130_fd_sc_hd__nand2_1 U16111 ( .A(n11939), .B(n11726), .Y(n11945) ); sky130_fd_sc_hd__a21oi_1 U16112 ( .A1(n11938), .A2(n11726), .B1(n11725), .Y( n11947) ); sky130_fd_sc_hd__a21oi_1 U16113 ( .A1(n12684), .A2(n11728), .B1(n11727), .Y( n11731) ); sky130_fd_sc_hd__nand2_1 U16114 ( .A(n11729), .B(n11946), .Y(n11730) ); sky130_fd_sc_hd__nor2_1 U16115 ( .A(n11733), .B(n11734), .Y(n13626) ); sky130_fd_sc_hd__xnor2_1 U16116 ( .A(\exu/i_mul/rs1_x [6]), .B( \exu/i_mul/rs1_x [7]), .Y(n11732) ); sky130_fd_sc_hd__nor2b_1 U16117 ( .B_N(n11733), .A(n11732), .Y(n13625) ); sky130_fd_sc_hd__and3_1 U16118 ( .A(n11734), .B(n11733), .C(n11732), .X( n13624) ); sky130_fd_sc_hd__a222oi_1 U16119 ( .A1(n13626), .A2(\exu/i_mul/rs2_x [22]), .B1(n13625), .B2(\exu/i_mul/rs2_x [21]), .C1(n13624), .C2( \exu/i_mul/rs2_x [20]), .Y(n11735) ); sky130_fd_sc_hd__o21ai_1 U16120 ( .A1(n13628), .A2(n10917), .B1(n11735), .Y( n11736) ); sky130_fd_sc_hd__xor2_1 U16121 ( .A(n11736), .B(\exu/i_mul/rs1_x [8]), .X( n12053) ); sky130_fd_sc_hd__nand2_1 U16122 ( .A(n11926), .B(n11933), .Y(n11740) ); sky130_fd_sc_hd__nor2_1 U16123 ( .A(n11740), .B(n12671), .Y(n11742) ); sky130_fd_sc_hd__a21oi_1 U16124 ( .A1(n11927), .A2(n11933), .B1(n11738), .Y( n11739) ); sky130_fd_sc_hd__o21ai_1 U16125 ( .A1(n11740), .A2(n12680), .B1(n11739), .Y( n11741) ); sky130_fd_sc_hd__a21oi_1 U16126 ( .A1(n12684), .A2(n11742), .B1(n11741), .Y( n11747) ); sky130_fd_sc_hd__nand2_1 U16127 ( .A(n11745), .B(n11744), .Y(n11746) ); sky130_fd_sc_hd__a222oi_1 U16128 ( .A1(n13620), .A2(\exu/i_mul/rs2_x [27]), .B1(n13619), .B2(\exu/i_mul/rs2_x [26]), .C1(n13618), .C2( \exu/i_mul/rs2_x [25]), .Y(n11748) ); sky130_fd_sc_hd__o21ai_1 U16129 ( .A1(n13622), .A2(n10916), .B1(n11748), .Y( n11749) ); sky130_fd_sc_hd__xor2_1 U16130 ( .A(n11749), .B(\exu/i_mul/rs1_x [2]), .X( n12014) ); sky130_fd_sc_hd__xnor2_1 U16131 ( .A(\exu/i_mul/rs1_x [3]), .B( \exu/i_mul/rs1_x [2]), .Y(n11754) ); sky130_fd_sc_hd__xor2_1 U16132 ( .A(\exu/i_mul/rs1_x [5]), .B( \exu/i_mul/rs1_x [4]), .X(n11755) ); sky130_fd_sc_hd__nand2b_1 U16133 ( .A_N(n11754), .B(n11755), .Y(n13634) ); sky130_fd_sc_hd__a21oi_1 U16134 ( .A1(n12684), .A2(n12645), .B1(n12652), .Y( n11752) ); sky130_fd_sc_hd__nand2_1 U16135 ( .A(n11750), .B(n11792), .Y(n11751) ); sky130_fd_sc_hd__nor2_1 U16136 ( .A(n11754), .B(n11755), .Y(n13632) ); sky130_fd_sc_hd__xnor2_1 U16137 ( .A(\exu/i_mul/rs1_x [3]), .B( \exu/i_mul/rs1_x [4]), .Y(n11753) ); sky130_fd_sc_hd__nor2b_1 U16138 ( .B_N(n11754), .A(n11753), .Y(n13631) ); sky130_fd_sc_hd__and3_1 U16139 ( .A(n11755), .B(n11754), .C(n11753), .X( n13630) ); sky130_fd_sc_hd__a222oi_1 U16140 ( .A1(n13632), .A2(\exu/i_mul/rs2_x [24]), .B1(n13631), .B2(\exu/i_mul/rs2_x [23]), .C1(n13630), .C2( \exu/i_mul/rs2_x [22]), .Y(n11756) ); sky130_fd_sc_hd__o21ai_1 U16141 ( .A1(n13634), .A2(n10915), .B1(n11756), .Y( n11757) ); sky130_fd_sc_hd__xor2_1 U16142 ( .A(n11757), .B(\exu/i_mul/rs1_x [5]), .X( n12013) ); sky130_fd_sc_hd__xnor2_1 U16143 ( .A(\exu/i_mul/rs1_x [18]), .B( \exu/i_mul/rs1_x [17]), .Y(n11762) ); sky130_fd_sc_hd__xor2_1 U16144 ( .A(\exu/i_mul/rs1_x [20]), .B( \exu/i_mul/rs1_x [19]), .X(n11763) ); sky130_fd_sc_hd__nand2b_1 U16145 ( .A_N(n11762), .B(n11763), .Y(n13568) ); sky130_fd_sc_hd__nand2_1 U16146 ( .A(n11759), .B(n11828), .Y(n11760) ); sky130_fd_sc_hd__nor2_1 U16147 ( .A(n11762), .B(n11763), .Y(n13566) ); sky130_fd_sc_hd__xnor2_1 U16148 ( .A(\exu/i_mul/rs1_x [18]), .B( \exu/i_mul/rs1_x [19]), .Y(n11761) ); sky130_fd_sc_hd__nor2b_1 U16149 ( .B_N(n11762), .A(n11761), .Y(n13565) ); sky130_fd_sc_hd__and3_1 U16150 ( .A(n11763), .B(n11762), .C(n11761), .X( n13564) ); sky130_fd_sc_hd__a222oi_1 U16151 ( .A1(n13566), .A2(\exu/i_mul/rs2_x [8]), .B1(n13565), .B2(\exu/i_mul/rs2_x [7]), .C1(n13564), .C2( \exu/i_mul/rs2_x [6]), .Y(n11764) ); sky130_fd_sc_hd__o21ai_1 U16152 ( .A1(n13568), .A2(n10899), .B1(n11764), .Y( n11765) ); sky130_fd_sc_hd__xor2_1 U16153 ( .A(n11765), .B(\exu/i_mul/rs1_x [20]), .X( n11862) ); sky130_fd_sc_hd__xnor2_1 U16154 ( .A(\exu/i_mul/rs1_x [21]), .B( \exu/i_mul/rs1_x [20]), .Y(n11775) ); sky130_fd_sc_hd__xor2_1 U16155 ( .A(\exu/i_mul/rs1_x [23]), .B( \exu/i_mul/rs1_x [22]), .X(n11776) ); sky130_fd_sc_hd__nand2b_1 U16156 ( .A_N(n11775), .B(n11776), .Y(n13574) ); sky130_fd_sc_hd__a21oi_1 U16157 ( .A1(n11845), .A2(n11844), .B1(n11768), .Y( n11773) ); sky130_fd_sc_hd__nand2_1 U16158 ( .A(n11771), .B(n11770), .Y(n11772) ); sky130_fd_sc_hd__nor2_1 U16159 ( .A(n11775), .B(n11776), .Y(n13572) ); sky130_fd_sc_hd__xnor2_1 U16160 ( .A(\exu/i_mul/rs1_x [21]), .B( \exu/i_mul/rs1_x [22]), .Y(n11774) ); sky130_fd_sc_hd__nor2b_1 U16161 ( .B_N(n11775), .A(n11774), .Y(n13571) ); sky130_fd_sc_hd__and3_1 U16162 ( .A(n11776), .B(n11775), .C(n11774), .X( n13570) ); sky130_fd_sc_hd__a222oi_1 U16163 ( .A1(n13572), .A2(\exu/i_mul/rs2_x [5]), .B1(n13571), .B2(\exu/i_mul/rs2_x [4]), .C1(n13570), .C2( \exu/i_mul/rs2_x [3]), .Y(n11777) ); sky130_fd_sc_hd__o21ai_1 U16164 ( .A1(n13574), .A2(n10921), .B1(n11777), .Y( n11778) ); sky130_fd_sc_hd__xor2_1 U16165 ( .A(n11778), .B(\exu/i_mul/rs1_x [23]), .X( n11861) ); sky130_fd_sc_hd__xnor2_1 U16166 ( .A(\exu/i_mul/rs1_x [12]), .B( \exu/i_mul/rs1_x [11]), .Y(n11788) ); sky130_fd_sc_hd__xor2_1 U16167 ( .A(\exu/i_mul/rs1_x [14]), .B( \exu/i_mul/rs1_x [13]), .X(n11789) ); sky130_fd_sc_hd__nand2b_1 U16168 ( .A_N(n11788), .B(n11789), .Y(n13592) ); sky130_fd_sc_hd__nand2_1 U16169 ( .A(n11969), .B(n11967), .Y(n11786) ); sky130_fd_sc_hd__nand2_1 U16170 ( .A(n11780), .B(n11782), .Y(n11785) ); sky130_fd_sc_hd__a21oi_1 U16171 ( .A1(n11783), .A2(n11782), .B1(n11781), .Y( n11784) ); sky130_fd_sc_hd__o21ai_1 U16172 ( .A1(n11785), .A2(n11855), .B1(n11784), .Y( n11970) ); sky130_fd_sc_hd__nor2_1 U16173 ( .A(n11788), .B(n11789), .Y(n13590) ); sky130_fd_sc_hd__xnor2_1 U16174 ( .A(\exu/i_mul/rs1_x [12]), .B( \exu/i_mul/rs1_x [13]), .Y(n11787) ); sky130_fd_sc_hd__nor2b_1 U16175 ( .B_N(n11788), .A(n11787), .Y(n13589) ); sky130_fd_sc_hd__and3_1 U16176 ( .A(n11789), .B(n11788), .C(n11787), .X( n13588) ); sky130_fd_sc_hd__a222oi_1 U16177 ( .A1(n13590), .A2(\exu/i_mul/rs2_x [14]), .B1(n13589), .B2(\exu/i_mul/rs2_x [13]), .C1(n13588), .C2( \exu/i_mul/rs2_x [12]), .Y(n11790) ); sky130_fd_sc_hd__o21ai_1 U16178 ( .A1(n13592), .A2(n10868), .B1(n11790), .Y( n11791) ); sky130_fd_sc_hd__xor2_1 U16179 ( .A(n11791), .B(\exu/i_mul/rs1_x [14]), .X( n11860) ); sky130_fd_sc_hd__nor2_1 U16180 ( .A(n11793), .B(n12671), .Y(n11795) ); sky130_fd_sc_hd__o21ai_1 U16181 ( .A1(n11793), .A2(n12680), .B1(n11792), .Y( n11794) ); sky130_fd_sc_hd__a21oi_1 U16182 ( .A1(n12684), .A2(n11795), .B1(n11794), .Y( n11800) ); sky130_fd_sc_hd__nand2_1 U16183 ( .A(n11798), .B(n11797), .Y(n11799) ); sky130_fd_sc_hd__a222oi_1 U16184 ( .A1(n13632), .A2(\exu/i_mul/rs2_x [25]), .B1(n13631), .B2(\exu/i_mul/rs2_x [24]), .C1(n13630), .C2( \exu/i_mul/rs2_x [23]), .Y(n11801) ); sky130_fd_sc_hd__o21ai_1 U16185 ( .A1(n13634), .A2(n10914), .B1(n11801), .Y( n11802) ); sky130_fd_sc_hd__xor2_1 U16186 ( .A(n11802), .B(\exu/i_mul/rs1_x [5]), .X( n12032) ); sky130_fd_sc_hd__xnor2_1 U16187 ( .A(\exu/i_mul/rs1_x [24]), .B( \exu/i_mul/rs1_x [23]), .Y(n11807) ); sky130_fd_sc_hd__xor2_1 U16188 ( .A(\exu/i_mul/rs1_x [26]), .B( \exu/i_mul/rs1_x [25]), .X(n11808) ); sky130_fd_sc_hd__nand2b_1 U16189 ( .A_N(n11807), .B(n11808), .Y(n13530) ); sky130_fd_sc_hd__nand2_1 U16190 ( .A(n10922), .B(n11803), .Y(n11805) ); sky130_fd_sc_hd__nand2_1 U16191 ( .A(n11820), .B(n11821), .Y(n11804) ); sky130_fd_sc_hd__nor2_1 U16192 ( .A(n11807), .B(n11808), .Y(n13528) ); sky130_fd_sc_hd__xnor2_1 U16193 ( .A(\exu/i_mul/rs1_x [24]), .B( \exu/i_mul/rs1_x [25]), .Y(n11806) ); sky130_fd_sc_hd__nor2b_1 U16194 ( .B_N(n11807), .A(n11806), .Y(n13527) ); sky130_fd_sc_hd__and3_1 U16195 ( .A(n11808), .B(n11807), .C(n11806), .X( n13526) ); sky130_fd_sc_hd__a222oi_1 U16196 ( .A1(n13528), .A2(\exu/i_mul/rs2_x [3]), .B1(n13527), .B2(\exu/i_mul/rs2_x [2]), .C1(n13526), .C2( \exu/i_mul/rs2_x [1]), .Y(n11809) ); sky130_fd_sc_hd__o21ai_1 U16197 ( .A1(n13530), .A2(n10870), .B1(n11809), .Y( n11810) ); sky130_fd_sc_hd__xor2_1 U16198 ( .A(n11810), .B(\exu/i_mul/rs1_x [26]), .X( n11890) ); sky130_fd_sc_hd__xnor2_1 U16199 ( .A(\exu/i_mul/rs1_x [27]), .B( \exu/i_mul/rs1_x [26]), .Y(n12059) ); sky130_fd_sc_hd__xor2_1 U16200 ( .A(\exu/i_mul/rs1_x [29]), .B( \exu/i_mul/rs1_x [28]), .X(n12060) ); sky130_fd_sc_hd__nand2b_1 U16201 ( .A_N(n12059), .B(n12060), .Y(n13523) ); sky130_fd_sc_hd__nor2_1 U16202 ( .A(n12059), .B(n12060), .Y(n13521) ); sky130_fd_sc_hd__o21ai_1 U16203 ( .A1(n13385), .A2(n13523), .B1(n10932), .Y( n11811) ); sky130_fd_sc_hd__xor2_1 U16204 ( .A(n11811), .B(\exu/i_mul/rs1_x [29]), .X( n11963) ); sky130_fd_sc_hd__a21oi_1 U16205 ( .A1(n11845), .A2(n11813), .B1(n11812), .Y( n11867) ); sky130_fd_sc_hd__nand2_1 U16206 ( .A(n11814), .B(n11866), .Y(n11815) ); sky130_fd_sc_hd__a222oi_1 U16207 ( .A1(n13572), .A2(\exu/i_mul/rs2_x [6]), .B1(n13571), .B2(\exu/i_mul/rs2_x [5]), .C1(n13570), .C2( \exu/i_mul/rs2_x [4]), .Y(n11816) ); sky130_fd_sc_hd__o21ai_1 U16208 ( .A1(n13574), .A2(n10947), .B1(n11816), .Y( n11817) ); sky130_fd_sc_hd__xor2_1 U16209 ( .A(n11817), .B(\exu/i_mul/rs1_x [23]), .X( n11888) ); sky130_fd_sc_hd__o21ai_1 U16210 ( .A1(n13385), .A2(n13530), .B1(n10934), .Y( n11818) ); sky130_fd_sc_hd__xor2_1 U16211 ( .A(n11818), .B(\exu/i_mul/rs1_x [26]), .X( n12077) ); sky130_fd_sc_hd__nand2_1 U16212 ( .A(n10925), .B(n11821), .Y(n13387) ); sky130_fd_sc_hd__o21ai_1 U16213 ( .A1(n13387), .A2(n13530), .B1(n10933), .Y( n11819) ); sky130_fd_sc_hd__xor2_1 U16214 ( .A(n11819), .B(\exu/i_mul/rs1_x [26]), .X( n11849) ); sky130_fd_sc_hd__nand2_1 U16215 ( .A(n10923), .B(n11820), .Y(n11822) ); sky130_fd_sc_hd__a222oi_1 U16216 ( .A1(n13528), .A2(\exu/i_mul/rs2_x [2]), .B1(n13527), .B2(\exu/i_mul/rs2_x [1]), .C1(n13526), .C2( \exu/i_mul/rs2_x [0]), .Y(n11823) ); sky130_fd_sc_hd__o21ai_1 U16217 ( .A1(n13530), .A2(n10924), .B1(n11823), .Y( n11824) ); sky130_fd_sc_hd__xor2_1 U16218 ( .A(n11824), .B(\exu/i_mul/rs1_x [26]), .X( n11894) ); sky130_fd_sc_hd__nand2_1 U16219 ( .A(n11827), .B(n11826), .Y(n11831) ); sky130_fd_sc_hd__o21ai_1 U16220 ( .A1(n11829), .A2(n11855), .B1(n11828), .Y( n11830) ); sky130_fd_sc_hd__a222oi_1 U16221 ( .A1(n13566), .A2(\exu/i_mul/rs2_x [9]), .B1(n13565), .B2(\exu/i_mul/rs2_x [8]), .C1(n13564), .C2( \exu/i_mul/rs2_x [7]), .Y(n11832) ); sky130_fd_sc_hd__o21ai_1 U16222 ( .A1(n13568), .A2(n10958), .B1(n11832), .Y( n11833) ); sky130_fd_sc_hd__xor2_1 U16223 ( .A(n11833), .B(\exu/i_mul/rs1_x [20]), .X( n11892) ); sky130_fd_sc_hd__xnor2_1 U16224 ( .A(\exu/i_mul/rs1_x [15]), .B( \exu/i_mul/rs1_x [14]), .Y(n11839) ); sky130_fd_sc_hd__xor2_1 U16225 ( .A(\exu/i_mul/rs1_x [17]), .B( \exu/i_mul/rs1_x [16]), .X(n11840) ); sky130_fd_sc_hd__nand2b_1 U16226 ( .A_N(n11839), .B(n11840), .Y(n13586) ); sky130_fd_sc_hd__nand2_1 U16227 ( .A(n11879), .B(n11877), .Y(n11837) ); sky130_fd_sc_hd__o21ai_1 U16228 ( .A1(n11836), .A2(n11855), .B1(n11835), .Y( n11880) ); sky130_fd_sc_hd__nor2_1 U16229 ( .A(n11839), .B(n11840), .Y(n13584) ); sky130_fd_sc_hd__xnor2_1 U16230 ( .A(\exu/i_mul/rs1_x [15]), .B( \exu/i_mul/rs1_x [16]), .Y(n11838) ); sky130_fd_sc_hd__nor2b_1 U16231 ( .B_N(n11839), .A(n11838), .Y(n13583) ); sky130_fd_sc_hd__and3_1 U16232 ( .A(n11840), .B(n11839), .C(n11838), .X( n13582) ); sky130_fd_sc_hd__a222oi_1 U16233 ( .A1(n13584), .A2(\exu/i_mul/rs2_x [12]), .B1(n13583), .B2(\exu/i_mul/rs2_x [11]), .C1(n13582), .C2( \exu/i_mul/rs2_x [10]), .Y(n11841) ); sky130_fd_sc_hd__o21ai_1 U16234 ( .A1(n13586), .A2(n10960), .B1(n11841), .Y( n11842) ); sky130_fd_sc_hd__xor2_1 U16235 ( .A(n11842), .B(\exu/i_mul/rs1_x [17]), .X( n11891) ); sky130_fd_sc_hd__nand2_1 U16236 ( .A(n11844), .B(n11843), .Y(n11846) ); sky130_fd_sc_hd__a222oi_1 U16237 ( .A1(n13572), .A2(\exu/i_mul/rs2_x [4]), .B1(n13571), .B2(\exu/i_mul/rs2_x [3]), .C1(n13570), .C2( \exu/i_mul/rs2_x [2]), .Y(n11847) ); sky130_fd_sc_hd__o21ai_1 U16238 ( .A1(n13574), .A2(n10869), .B1(n11847), .Y( n11848) ); sky130_fd_sc_hd__xor2_1 U16239 ( .A(n11848), .B(\exu/i_mul/rs1_x [23]), .X( n12090) ); sky130_fd_sc_hd__nand2_1 U16240 ( .A(n11898), .B(n11896), .Y(n11857) ); sky130_fd_sc_hd__o21ai_1 U16241 ( .A1(n11856), .A2(n11855), .B1(n11854), .Y( n11899) ); sky130_fd_sc_hd__a222oi_1 U16242 ( .A1(n13584), .A2(\exu/i_mul/rs2_x [10]), .B1(n13583), .B2(\exu/i_mul/rs2_x [9]), .C1(n13582), .C2( \exu/i_mul/rs2_x [8]), .Y(n11858) ); sky130_fd_sc_hd__o21ai_1 U16243 ( .A1(n13586), .A2(n10903), .B1(n11858), .Y( n11859) ); sky130_fd_sc_hd__xor2_1 U16244 ( .A(n11859), .B(\exu/i_mul/rs1_x [17]), .X( n12088) ); sky130_fd_sc_hd__fa_1 U16245 ( .A(n11862), .B(n11861), .CIN(n11860), .COUT( n12012), .SUM(n12092) ); sky130_fd_sc_hd__nand2_1 U16246 ( .A(n11865), .B(n11864), .Y(n11870) ); sky130_fd_sc_hd__o21ai_1 U16247 ( .A1(n11868), .A2(n11867), .B1(n11866), .Y( n11869) ); sky130_fd_sc_hd__a222oi_1 U16248 ( .A1(n13566), .A2(\exu/i_mul/rs2_x [7]), .B1(n13565), .B2(\exu/i_mul/rs2_x [6]), .C1(n13564), .C2( \exu/i_mul/rs2_x [5]), .Y(n11871) ); sky130_fd_sc_hd__o21ai_1 U16249 ( .A1(n13568), .A2(n10871), .B1(n11871), .Y( n11872) ); sky130_fd_sc_hd__xor2_1 U16250 ( .A(n11872), .B(\exu/i_mul/rs1_x [20]), .X( n12102) ); sky130_fd_sc_hd__nand2_1 U16251 ( .A(n11909), .B(n11907), .Y(n11874) ); sky130_fd_sc_hd__a222oi_1 U16252 ( .A1(n13596), .A2(\exu/i_mul/rs2_x [16]), .B1(n13595), .B2(\exu/i_mul/rs2_x [15]), .C1(n13594), .C2( \exu/i_mul/rs2_x [14]), .Y(n11875) ); sky130_fd_sc_hd__o21ai_1 U16253 ( .A1(n13598), .A2(n10913), .B1(n11875), .Y( n11876) ); sky130_fd_sc_hd__xor2_1 U16254 ( .A(n11876), .B(\exu/i_mul/rs1_x [11]), .X( n12101) ); sky130_fd_sc_hd__a21oi_1 U16255 ( .A1(n11880), .A2(n11879), .B1(n11878), .Y( n11885) ); sky130_fd_sc_hd__nand2_1 U16256 ( .A(n11883), .B(n11882), .Y(n11884) ); sky130_fd_sc_hd__a222oi_1 U16257 ( .A1(n13590), .A2(\exu/i_mul/rs2_x [13]), .B1(n13589), .B2(\exu/i_mul/rs2_x [12]), .C1(n13588), .C2( \exu/i_mul/rs2_x [11]), .Y(n11886) ); sky130_fd_sc_hd__o21ai_1 U16258 ( .A1(n13592), .A2(n10959), .B1(n11886), .Y( n11887) ); sky130_fd_sc_hd__xor2_1 U16259 ( .A(n11887), .B(\exu/i_mul/rs1_x [14]), .X( n12100) ); sky130_fd_sc_hd__fa_1 U16260 ( .A(n11890), .B(n11889), .CIN(n11888), .COUT( n12031), .SUM(n11960) ); sky130_fd_sc_hd__fa_1 U16261 ( .A(n11893), .B(n11892), .CIN(n11891), .COUT( n12030), .SUM(n11959) ); sky130_fd_sc_hd__a21oi_1 U16262 ( .A1(n11899), .A2(n11898), .B1(n11897), .Y( n11904) ); sky130_fd_sc_hd__nand2_1 U16263 ( .A(n11902), .B(n11901), .Y(n11903) ); sky130_fd_sc_hd__a222oi_1 U16264 ( .A1(n13584), .A2(\exu/i_mul/rs2_x [11]), .B1(n13583), .B2(\exu/i_mul/rs2_x [10]), .C1(n13582), .C2( \exu/i_mul/rs2_x [9]), .Y(n11905) ); sky130_fd_sc_hd__o21ai_1 U16265 ( .A1(n13586), .A2(n10900), .B1(n11905), .Y( n11906) ); sky130_fd_sc_hd__xor2_1 U16266 ( .A(n11906), .B(\exu/i_mul/rs1_x [17]), .X( n11924) ); sky130_fd_sc_hd__a21oi_1 U16267 ( .A1(n12684), .A2(n11909), .B1(n11908), .Y( n11914) ); sky130_fd_sc_hd__nand2_1 U16268 ( .A(n11912), .B(n11911), .Y(n11913) ); sky130_fd_sc_hd__a222oi_1 U16269 ( .A1(n13596), .A2(\exu/i_mul/rs2_x [17]), .B1(n13595), .B2(\exu/i_mul/rs2_x [16]), .C1(n13594), .C2( \exu/i_mul/rs2_x [15]), .Y(n11915) ); sky130_fd_sc_hd__o21ai_1 U16270 ( .A1(n13598), .A2(n10912), .B1(n11915), .Y( n11916) ); sky130_fd_sc_hd__xor2_1 U16271 ( .A(n11916), .B(\exu/i_mul/rs1_x [11]), .X( n11923) ); sky130_fd_sc_hd__a222oi_1 U16272 ( .A1(n13620), .A2(\exu/i_mul/rs2_x [25]), .B1(n13619), .B2(\exu/i_mul/rs2_x [24]), .C1(n13618), .C2( \exu/i_mul/rs2_x [23]), .Y(n11917) ); sky130_fd_sc_hd__o21ai_1 U16273 ( .A1(n13622), .A2(n10914), .B1(n11917), .Y( n11918) ); sky130_fd_sc_hd__xor2_1 U16274 ( .A(n11918), .B(\exu/i_mul/rs1_x [2]), .X( n12105) ); sky130_fd_sc_hd__a222oi_1 U16275 ( .A1(n13626), .A2(\exu/i_mul/rs2_x [19]), .B1(n13625), .B2(\exu/i_mul/rs2_x [18]), .C1(n13624), .C2( \exu/i_mul/rs2_x [17]), .Y(n11919) ); sky130_fd_sc_hd__o21ai_1 U16276 ( .A1(n13628), .A2(n10918), .B1(n11919), .Y( n11920) ); sky130_fd_sc_hd__xor2_1 U16277 ( .A(n11920), .B(\exu/i_mul/rs1_x [8]), .X( n12104) ); sky130_fd_sc_hd__a222oi_1 U16278 ( .A1(n13632), .A2(\exu/i_mul/rs2_x [22]), .B1(n13631), .B2(\exu/i_mul/rs2_x [21]), .C1(n13630), .C2( \exu/i_mul/rs2_x [20]), .Y(n11921) ); sky130_fd_sc_hd__o21ai_1 U16279 ( .A1(n13634), .A2(n10917), .B1(n11921), .Y( n11922) ); sky130_fd_sc_hd__xor2_1 U16280 ( .A(n11922), .B(\exu/i_mul/rs1_x [5]), .X( n12103) ); sky130_fd_sc_hd__fa_1 U16281 ( .A(n11925), .B(n11924), .CIN(n11923), .COUT( n11958), .SUM(n12113) ); sky130_fd_sc_hd__nor2_1 U16282 ( .A(n11929), .B(n12671), .Y(n11931) ); sky130_fd_sc_hd__o21ai_1 U16283 ( .A1(n11929), .A2(n12680), .B1(n11928), .Y( n11930) ); sky130_fd_sc_hd__a21oi_1 U16284 ( .A1(n12684), .A2(n11931), .B1(n11930), .Y( n11935) ); sky130_fd_sc_hd__nand2_1 U16285 ( .A(n11933), .B(n11932), .Y(n11934) ); sky130_fd_sc_hd__a222oi_1 U16286 ( .A1(n13620), .A2(\exu/i_mul/rs2_x [26]), .B1(n13619), .B2(\exu/i_mul/rs2_x [25]), .C1(n13618), .C2( \exu/i_mul/rs2_x [24]), .Y(n11936) ); sky130_fd_sc_hd__o21ai_1 U16287 ( .A1(n13622), .A2(n10910), .B1(n11936), .Y( n11937) ); sky130_fd_sc_hd__xor2_1 U16288 ( .A(n11937), .B(\exu/i_mul/rs1_x [2]), .X( n12008) ); sky130_fd_sc_hd__a21oi_1 U16289 ( .A1(n12684), .A2(n11939), .B1(n11938), .Y( n11942) ); sky130_fd_sc_hd__nand2_1 U16290 ( .A(n11940), .B(n11988), .Y(n11941) ); sky130_fd_sc_hd__a222oi_1 U16291 ( .A1(n13626), .A2(\exu/i_mul/rs2_x [20]), .B1(n13625), .B2(\exu/i_mul/rs2_x [19]), .C1(n13624), .C2( \exu/i_mul/rs2_x [18]), .Y(n11943) ); sky130_fd_sc_hd__o21ai_1 U16292 ( .A1(n13628), .A2(n10911), .B1(n11943), .Y( n11944) ); sky130_fd_sc_hd__xor2_1 U16293 ( .A(n11944), .B(\exu/i_mul/rs1_x [8]), .X( n12007) ); sky130_fd_sc_hd__nor2_1 U16294 ( .A(n11948), .B(n11945), .Y(n11950) ); sky130_fd_sc_hd__o21ai_1 U16295 ( .A1(n11948), .A2(n11947), .B1(n11946), .Y( n11949) ); sky130_fd_sc_hd__a21oi_1 U16296 ( .A1(n12684), .A2(n11950), .B1(n11949), .Y( n11955) ); sky130_fd_sc_hd__nand2_1 U16297 ( .A(n11953), .B(n11952), .Y(n11954) ); sky130_fd_sc_hd__a222oi_1 U16298 ( .A1(n13632), .A2(\exu/i_mul/rs2_x [23]), .B1(n13631), .B2(\exu/i_mul/rs2_x [22]), .C1(n13630), .C2( \exu/i_mul/rs2_x [21]), .Y(n11956) ); sky130_fd_sc_hd__o21ai_1 U16299 ( .A1(n13634), .A2(n10909), .B1(n11956), .Y( n11957) ); sky130_fd_sc_hd__xor2_1 U16300 ( .A(n11957), .B(\exu/i_mul/rs1_x [5]), .X( n12006) ); sky130_fd_sc_hd__fa_1 U16301 ( .A(n11960), .B(n11959), .CIN(n11958), .COUT( n12046), .SUM(n12107) ); sky130_fd_sc_hd__a222oi_1 U16302 ( .A1(n13528), .A2(\exu/i_mul/rs2_x [4]), .B1(n13527), .B2(\exu/i_mul/rs2_x [3]), .C1(n13526), .C2( \exu/i_mul/rs2_x [2]), .Y(n11961) ); sky130_fd_sc_hd__o21ai_1 U16303 ( .A1(n13530), .A2(n10869), .B1(n11961), .Y( n11962) ); sky130_fd_sc_hd__xor2_1 U16304 ( .A(n11962), .B(\exu/i_mul/rs1_x [26]), .X( n12037) ); sky130_fd_sc_hd__xnor2_1 U16305 ( .A(\exu/i_mul/rs1_x [27]), .B( \exu/i_mul/rs1_x [28]), .Y(n12058) ); sky130_fd_sc_hd__nor2b_1 U16306 ( .B_N(n12059), .A(n12058), .Y(n13520) ); sky130_fd_sc_hd__o21ai_1 U16307 ( .A1(n13387), .A2(n13523), .B1(n10931), .Y( n11964) ); sky130_fd_sc_hd__xor2_1 U16308 ( .A(n11964), .B(\exu/i_mul/rs1_x [29]), .X( n12056) ); sky130_fd_sc_hd__a222oi_1 U16309 ( .A1(n13566), .A2(\exu/i_mul/rs2_x [10]), .B1(n13565), .B2(\exu/i_mul/rs2_x [9]), .C1(n13564), .C2( \exu/i_mul/rs2_x [8]), .Y(n11965) ); sky130_fd_sc_hd__o21ai_1 U16310 ( .A1(n13568), .A2(n10903), .B1(n11965), .Y( n11966) ); sky130_fd_sc_hd__xor2_1 U16311 ( .A(n11966), .B(\exu/i_mul/rs1_x [20]), .X( n12035) ); sky130_fd_sc_hd__a21oi_1 U16312 ( .A1(n11970), .A2(n11969), .B1(n11968), .Y( n11975) ); sky130_fd_sc_hd__nand2_1 U16313 ( .A(n11973), .B(n11972), .Y(n11974) ); sky130_fd_sc_hd__a222oi_1 U16314 ( .A1(n13590), .A2(\exu/i_mul/rs2_x [15]), .B1(n13589), .B2(\exu/i_mul/rs2_x [14]), .C1(n13588), .C2( \exu/i_mul/rs2_x [13]), .Y(n11976) ); sky130_fd_sc_hd__o21ai_1 U16315 ( .A1(n13592), .A2(n10904), .B1(n11976), .Y( n11977) ); sky130_fd_sc_hd__xor2_1 U16316 ( .A(n11977), .B(\exu/i_mul/rs1_x [14]), .X( n12011) ); sky130_fd_sc_hd__a21oi_1 U16317 ( .A1(n12684), .A2(n11979), .B1(n11978), .Y( n11984) ); sky130_fd_sc_hd__nand2_1 U16318 ( .A(n11982), .B(n11981), .Y(n11983) ); sky130_fd_sc_hd__a222oi_1 U16319 ( .A1(n13596), .A2(\exu/i_mul/rs2_x [18]), .B1(n13595), .B2(\exu/i_mul/rs2_x [17]), .C1(n13594), .C2( \exu/i_mul/rs2_x [16]), .Y(n11985) ); sky130_fd_sc_hd__o21ai_1 U16320 ( .A1(n13598), .A2(n10908), .B1(n11985), .Y( n11986) ); sky130_fd_sc_hd__xor2_1 U16321 ( .A(n11986), .B(\exu/i_mul/rs1_x [11]), .X( n12010) ); sky130_fd_sc_hd__nor2_1 U16322 ( .A(n11990), .B(n11987), .Y(n11992) ); sky130_fd_sc_hd__o21ai_1 U16323 ( .A1(n11990), .A2(n11989), .B1(n11988), .Y( n11991) ); sky130_fd_sc_hd__a21oi_1 U16324 ( .A1(n12684), .A2(n11992), .B1(n11991), .Y( n11997) ); sky130_fd_sc_hd__nand2_1 U16325 ( .A(n11995), .B(n11994), .Y(n11996) ); sky130_fd_sc_hd__a222oi_1 U16326 ( .A1(n13626), .A2(\exu/i_mul/rs2_x [21]), .B1(n13625), .B2(\exu/i_mul/rs2_x [20]), .C1(n13624), .C2( \exu/i_mul/rs2_x [19]), .Y(n11998) ); sky130_fd_sc_hd__o21ai_1 U16327 ( .A1(n13628), .A2(n10907), .B1(n11998), .Y( n11999) ); sky130_fd_sc_hd__xor2_1 U16328 ( .A(n11999), .B(\exu/i_mul/rs1_x [8]), .X( n12009) ); sky130_fd_sc_hd__a222oi_1 U16329 ( .A1(n13572), .A2(\exu/i_mul/rs2_x [7]), .B1(n13571), .B2(\exu/i_mul/rs2_x [6]), .C1(n13570), .C2( \exu/i_mul/rs2_x [5]), .Y(n12000) ); sky130_fd_sc_hd__o21ai_1 U16330 ( .A1(n13574), .A2(n10871), .B1(n12000), .Y( n12001) ); sky130_fd_sc_hd__xor2_1 U16331 ( .A(n12001), .B(\exu/i_mul/rs1_x [23]), .X( n12052) ); sky130_fd_sc_hd__a222oi_1 U16332 ( .A1(n13590), .A2(\exu/i_mul/rs2_x [16]), .B1(n13589), .B2(\exu/i_mul/rs2_x [15]), .C1(n13588), .C2( \exu/i_mul/rs2_x [14]), .Y(n12002) ); sky130_fd_sc_hd__o21ai_1 U16333 ( .A1(n13592), .A2(n10913), .B1(n12002), .Y( n12003) ); sky130_fd_sc_hd__xor2_1 U16334 ( .A(n12003), .B(\exu/i_mul/rs1_x [14]), .X( n12051) ); sky130_fd_sc_hd__a222oi_1 U16335 ( .A1(n13584), .A2(\exu/i_mul/rs2_x [13]), .B1(n13583), .B2(\exu/i_mul/rs2_x [12]), .C1(n13582), .C2( \exu/i_mul/rs2_x [11]), .Y(n12004) ); sky130_fd_sc_hd__o21ai_1 U16336 ( .A1(n13586), .A2(n10959), .B1(n12004), .Y( n12005) ); sky130_fd_sc_hd__xor2_1 U16337 ( .A(n12005), .B(\exu/i_mul/rs1_x [17]), .X( n12050) ); sky130_fd_sc_hd__fa_1 U16338 ( .A(n12008), .B(n12007), .CIN(n12006), .COUT( n12074), .SUM(n12112) ); sky130_fd_sc_hd__fa_1 U16339 ( .A(n12011), .B(n12010), .CIN(n12009), .COUT( n12048), .SUM(n12073) ); sky130_fd_sc_hd__fa_1 U16340 ( .A(n12014), .B(n12013), .CIN(n12012), .COUT( n12068), .SUM(n12072) ); sky130_fd_sc_hd__nand2_1 U16341 ( .A(n12670), .B(n12016), .Y(n12018) ); sky130_fd_sc_hd__nor2_1 U16342 ( .A(n12018), .B(n12671), .Y(n12020) ); sky130_fd_sc_hd__a21oi_1 U16343 ( .A1(n12678), .A2(n12016), .B1(n12015), .Y( n12017) ); sky130_fd_sc_hd__o21ai_1 U16344 ( .A1(n12018), .A2(n12680), .B1(n12017), .Y( n12019) ); sky130_fd_sc_hd__a21oi_1 U16345 ( .A1(n12684), .A2(n12020), .B1(n12019), .Y( n12023) ); sky130_fd_sc_hd__nor2_1 U16346 ( .A(\exu/i_mul/rs2_x [29]), .B( \exu/i_mul/rs2_x [28]), .Y(n12629) ); sky130_fd_sc_hd__nand2_1 U16347 ( .A(\exu/i_mul/rs2_x [28]), .B( \exu/i_mul/rs2_x [29]), .Y(n12631) ); sky130_fd_sc_hd__nand2_1 U16348 ( .A(n12021), .B(n12631), .Y(n12022) ); sky130_fd_sc_hd__a222oi_1 U16349 ( .A1(n13620), .A2(\exu/i_mul/rs2_x [29]), .B1(n13619), .B2(\exu/i_mul/rs2_x [28]), .C1(n13618), .C2( \exu/i_mul/rs2_x [27]), .Y(n12024) ); sky130_fd_sc_hd__o21ai_1 U16350 ( .A1(n13622), .A2(n10906), .B1(n12024), .Y( n12025) ); sky130_fd_sc_hd__xor2_1 U16351 ( .A(n12025), .B(\exu/i_mul/rs1_x [2]), .X( n13581) ); sky130_fd_sc_hd__a222oi_1 U16352 ( .A1(n13590), .A2(\exu/i_mul/rs2_x [17]), .B1(n13589), .B2(\exu/i_mul/rs2_x [16]), .C1(n13588), .C2( \exu/i_mul/rs2_x [15]), .Y(n12026) ); sky130_fd_sc_hd__o21ai_1 U16353 ( .A1(n13592), .A2(n10912), .B1(n12026), .Y( n12027) ); sky130_fd_sc_hd__xor2_1 U16354 ( .A(n12027), .B(\exu/i_mul/rs1_x [14]), .X( n13580) ); sky130_fd_sc_hd__a222oi_1 U16355 ( .A1(n13626), .A2(\exu/i_mul/rs2_x [23]), .B1(n13625), .B2(\exu/i_mul/rs2_x [22]), .C1(n13624), .C2( \exu/i_mul/rs2_x [21]), .Y(n12028) ); sky130_fd_sc_hd__o21ai_1 U16356 ( .A1(n13628), .A2(n10909), .B1(n12028), .Y( n12029) ); sky130_fd_sc_hd__xor2_1 U16357 ( .A(n12029), .B(\exu/i_mul/rs1_x [8]), .X( n13579) ); sky130_fd_sc_hd__fa_1 U16358 ( .A(n12032), .B(n12031), .CIN(n12030), .COUT( n13685), .SUM(n12067) ); sky130_fd_sc_hd__a222oi_1 U16359 ( .A1(n13632), .A2(\exu/i_mul/rs2_x [26]), .B1(n13631), .B2(\exu/i_mul/rs2_x [25]), .C1(n13630), .C2( \exu/i_mul/rs2_x [24]), .Y(n12033) ); sky130_fd_sc_hd__o21ai_1 U16360 ( .A1(n13634), .A2(n10910), .B1(n12033), .Y( n12034) ); sky130_fd_sc_hd__xor2_1 U16361 ( .A(n12034), .B(\exu/i_mul/rs1_x [5]), .X( n13665) ); sky130_fd_sc_hd__fa_1 U16362 ( .A(n12037), .B(n12036), .CIN(n12035), .COUT( n13664), .SUM(n12049) ); sky130_fd_sc_hd__a222oi_1 U16363 ( .A1(n13572), .A2(\exu/i_mul/rs2_x [8]), .B1(n13571), .B2(\exu/i_mul/rs2_x [7]), .C1(n13570), .C2( \exu/i_mul/rs2_x [6]), .Y(n12038) ); sky130_fd_sc_hd__o21ai_1 U16364 ( .A1(n13574), .A2(n10899), .B1(n12038), .Y( n12039) ); sky130_fd_sc_hd__xor2_1 U16365 ( .A(n12039), .B(\exu/i_mul/rs1_x [23]), .X( n13558) ); sky130_fd_sc_hd__a222oi_1 U16366 ( .A1(n13528), .A2(\exu/i_mul/rs2_x [5]), .B1(n13527), .B2(\exu/i_mul/rs2_x [4]), .C1(n13526), .C2( \exu/i_mul/rs2_x [3]), .Y(n12040) ); sky130_fd_sc_hd__o21ai_1 U16367 ( .A1(n13530), .A2(n10921), .B1(n12040), .Y( n12041) ); sky130_fd_sc_hd__xor2_1 U16368 ( .A(n12041), .B(\exu/i_mul/rs1_x [26]), .X( n13557) ); sky130_fd_sc_hd__a222oi_1 U16369 ( .A1(n13584), .A2(\exu/i_mul/rs2_x [14]), .B1(n13583), .B2(\exu/i_mul/rs2_x [13]), .C1(n13582), .C2( \exu/i_mul/rs2_x [12]), .Y(n12042) ); sky130_fd_sc_hd__o21ai_1 U16370 ( .A1(n13586), .A2(n10868), .B1(n12042), .Y( n12043) ); sky130_fd_sc_hd__xor2_1 U16371 ( .A(n12043), .B(\exu/i_mul/rs1_x [17]), .X( n13556) ); sky130_fd_sc_hd__fa_1 U16372 ( .A(n12046), .B(n12045), .CIN(n12044), .COUT( n13706), .SUM(n12109) ); sky130_fd_sc_hd__fa_1 U16373 ( .A(n12049), .B(n12048), .CIN(n12047), .COUT( n13698), .SUM(n12045) ); sky130_fd_sc_hd__fa_1 U16374 ( .A(n12052), .B(n12051), .CIN(n12050), .COUT( n13680), .SUM(n12047) ); sky130_fd_sc_hd__fa_1 U16375 ( .A(n12055), .B(n12054), .CIN(n12053), .COUT( n13679), .SUM(n12069) ); sky130_fd_sc_hd__and3_1 U16376 ( .A(n12060), .B(n12059), .C(n12058), .X( n13519) ); sky130_fd_sc_hd__a222oi_1 U16377 ( .A1(n13521), .A2(\exu/i_mul/rs2_x [2]), .B1(n13520), .B2(\exu/i_mul/rs2_x [1]), .C1(n13519), .C2( \exu/i_mul/rs2_x [0]), .Y(n12061) ); sky130_fd_sc_hd__o21ai_1 U16378 ( .A1(n13523), .A2(n10924), .B1(n12061), .Y( n12062) ); sky130_fd_sc_hd__xor2_1 U16379 ( .A(n12062), .B(\exu/i_mul/rs1_x [29]), .X( n13562) ); sky130_fd_sc_hd__a222oi_1 U16380 ( .A1(n13566), .A2(\exu/i_mul/rs2_x [11]), .B1(n13565), .B2(\exu/i_mul/rs2_x [10]), .C1(n13564), .C2( \exu/i_mul/rs2_x [9]), .Y(n12063) ); sky130_fd_sc_hd__o21ai_1 U16381 ( .A1(n13568), .A2(n10900), .B1(n12063), .Y( n12064) ); sky130_fd_sc_hd__xor2_1 U16382 ( .A(n12064), .B(\exu/i_mul/rs1_x [20]), .X( n13577) ); sky130_fd_sc_hd__a222oi_1 U16383 ( .A1(n13596), .A2(\exu/i_mul/rs2_x [20]), .B1(n13595), .B2(\exu/i_mul/rs2_x [19]), .C1(n13594), .C2( \exu/i_mul/rs2_x [18]), .Y(n12065) ); sky130_fd_sc_hd__o21ai_1 U16384 ( .A1(n13598), .A2(n10911), .B1(n12065), .Y( n12066) ); sky130_fd_sc_hd__xor2_1 U16385 ( .A(n12066), .B(\exu/i_mul/rs1_x [11]), .X( n13576) ); sky130_fd_sc_hd__fa_1 U16386 ( .A(n12069), .B(n12068), .CIN(n12067), .COUT( n13696), .SUM(n12111) ); sky130_fd_sc_hd__nand2_1 U16387 ( .A(n12071), .B(n12070), .Y(n13711) ); sky130_fd_sc_hd__nand2_1 U16388 ( .A(n10962), .B(n13711), .Y(n12627) ); sky130_fd_sc_hd__fa_1 U16389 ( .A(n12074), .B(n12073), .CIN(n12072), .COUT( n12044), .SUM(n12146) ); sky130_fd_sc_hd__a222oi_1 U16390 ( .A1(n13572), .A2(\exu/i_mul/rs2_x [3]), .B1(n13571), .B2(\exu/i_mul/rs2_x [2]), .C1(n13570), .C2( \exu/i_mul/rs2_x [1]), .Y(n12075) ); sky130_fd_sc_hd__o21ai_1 U16391 ( .A1(n13574), .A2(n10870), .B1(n12075), .Y( n12076) ); sky130_fd_sc_hd__xor2_1 U16392 ( .A(n12076), .B(\exu/i_mul/rs1_x [23]), .X( n12125) ); sky130_fd_sc_hd__a222oi_1 U16393 ( .A1(n13566), .A2(\exu/i_mul/rs2_x [6]), .B1(n13565), .B2(\exu/i_mul/rs2_x [5]), .C1(n13564), .C2( \exu/i_mul/rs2_x [4]), .Y(n12078) ); sky130_fd_sc_hd__o21ai_1 U16394 ( .A1(n13568), .A2(n10947), .B1(n12078), .Y( n12079) ); sky130_fd_sc_hd__xor2_1 U16395 ( .A(n12079), .B(\exu/i_mul/rs1_x [20]), .X( n12123) ); sky130_fd_sc_hd__o21ai_1 U16396 ( .A1(n13385), .A2(n13574), .B1(n10936), .Y( n12080) ); sky130_fd_sc_hd__xor2_1 U16397 ( .A(n12080), .B(\exu/i_mul/rs1_x [23]), .X( n12178) ); sky130_fd_sc_hd__o21ai_1 U16398 ( .A1(n13387), .A2(n13574), .B1(n10935), .Y( n12081) ); sky130_fd_sc_hd__xor2_1 U16399 ( .A(n12081), .B(\exu/i_mul/rs1_x [23]), .X( n12156) ); sky130_fd_sc_hd__a222oi_1 U16400 ( .A1(n13572), .A2(\exu/i_mul/rs2_x [2]), .B1(n13571), .B2(\exu/i_mul/rs2_x [1]), .C1(n13570), .C2( \exu/i_mul/rs2_x [0]), .Y(n12082) ); sky130_fd_sc_hd__o21ai_1 U16401 ( .A1(n13574), .A2(n10924), .B1(n12082), .Y( n12083) ); sky130_fd_sc_hd__xor2_1 U16402 ( .A(n12083), .B(\exu/i_mul/rs1_x [23]), .X( n12132) ); sky130_fd_sc_hd__a222oi_1 U16403 ( .A1(n13590), .A2(\exu/i_mul/rs2_x [12]), .B1(n13589), .B2(\exu/i_mul/rs2_x [11]), .C1(n13588), .C2( \exu/i_mul/rs2_x [10]), .Y(n12084) ); sky130_fd_sc_hd__o21ai_1 U16404 ( .A1(n13592), .A2(n10960), .B1(n12084), .Y( n12085) ); sky130_fd_sc_hd__xor2_1 U16405 ( .A(n12085), .B(\exu/i_mul/rs1_x [14]), .X( n12130) ); sky130_fd_sc_hd__a222oi_1 U16406 ( .A1(n13584), .A2(\exu/i_mul/rs2_x [9]), .B1(n13583), .B2(\exu/i_mul/rs2_x [8]), .C1(n13582), .C2( \exu/i_mul/rs2_x [7]), .Y(n12086) ); sky130_fd_sc_hd__o21ai_1 U16407 ( .A1(n13586), .A2(n10958), .B1(n12086), .Y( n12087) ); sky130_fd_sc_hd__xor2_1 U16408 ( .A(n12087), .B(\exu/i_mul/rs1_x [17]), .X( n12129) ); sky130_fd_sc_hd__fa_1 U16409 ( .A(n12090), .B(n12089), .CIN(n12088), .COUT( n12093), .SUM(n12126) ); sky130_fd_sc_hd__fa_1 U16410 ( .A(n12093), .B(n12092), .CIN(n12091), .COUT( n12108), .SUM(n12142) ); sky130_fd_sc_hd__a222oi_1 U16411 ( .A1(n13596), .A2(\exu/i_mul/rs2_x [15]), .B1(n13595), .B2(\exu/i_mul/rs2_x [14]), .C1(n13594), .C2( \exu/i_mul/rs2_x [13]), .Y(n12094) ); sky130_fd_sc_hd__o21ai_1 U16412 ( .A1(n13598), .A2(n10904), .B1(n12094), .Y( n12095) ); sky130_fd_sc_hd__xor2_1 U16413 ( .A(n12095), .B(\exu/i_mul/rs1_x [11]), .X( n12140) ); sky130_fd_sc_hd__a222oi_1 U16414 ( .A1(n13626), .A2(\exu/i_mul/rs2_x [18]), .B1(n13625), .B2(\exu/i_mul/rs2_x [17]), .C1(n13624), .C2( \exu/i_mul/rs2_x [16]), .Y(n12096) ); sky130_fd_sc_hd__o21ai_1 U16415 ( .A1(n13628), .A2(n10908), .B1(n12096), .Y( n12097) ); sky130_fd_sc_hd__xor2_1 U16416 ( .A(n12097), .B(\exu/i_mul/rs1_x [8]), .X( n12139) ); sky130_fd_sc_hd__a222oi_1 U16417 ( .A1(n13632), .A2(\exu/i_mul/rs2_x [21]), .B1(n13631), .B2(\exu/i_mul/rs2_x [20]), .C1(n13630), .C2( \exu/i_mul/rs2_x [19]), .Y(n12098) ); sky130_fd_sc_hd__o21ai_1 U16418 ( .A1(n13634), .A2(n10907), .B1(n12098), .Y( n12099) ); sky130_fd_sc_hd__xor2_1 U16419 ( .A(n12099), .B(\exu/i_mul/rs1_x [5]), .X( n12138) ); sky130_fd_sc_hd__fa_1 U16420 ( .A(n12102), .B(n12101), .CIN(n12100), .COUT( n12091), .SUM(n12148) ); sky130_fd_sc_hd__fa_1 U16421 ( .A(n12105), .B(n12104), .CIN(n12103), .COUT( n12114), .SUM(n12147) ); sky130_fd_sc_hd__fa_1 U16422 ( .A(n12108), .B(n12107), .CIN(n12106), .COUT( n12110), .SUM(n12144) ); sky130_fd_sc_hd__fa_1 U16423 ( .A(n12111), .B(n12110), .CIN(n12109), .COUT( n12070), .SUM(n15396) ); sky130_fd_sc_hd__fa_1 U16424 ( .A(n12114), .B(n12113), .CIN(n12112), .COUT( n12106), .SUM(n12186) ); sky130_fd_sc_hd__a222oi_1 U16425 ( .A1(n13620), .A2(\exu/i_mul/rs2_x [24]), .B1(n13619), .B2(\exu/i_mul/rs2_x [23]), .C1(n13618), .C2( \exu/i_mul/rs2_x [22]), .Y(n12115) ); sky130_fd_sc_hd__o21ai_1 U16426 ( .A1(n13622), .A2(n10915), .B1(n12115), .Y( n12116) ); sky130_fd_sc_hd__xor2_1 U16427 ( .A(n12116), .B(\exu/i_mul/rs1_x [2]), .X( n12162) ); sky130_fd_sc_hd__a222oi_1 U16428 ( .A1(n13584), .A2(\exu/i_mul/rs2_x [8]), .B1(n13583), .B2(\exu/i_mul/rs2_x [7]), .C1(n13582), .C2( \exu/i_mul/rs2_x [6]), .Y(n12117) ); sky130_fd_sc_hd__o21ai_1 U16429 ( .A1(n13586), .A2(n10899), .B1(n12117), .Y( n12118) ); sky130_fd_sc_hd__xor2_1 U16430 ( .A(n12118), .B(\exu/i_mul/rs1_x [17]), .X( n12165) ); sky130_fd_sc_hd__a222oi_1 U16431 ( .A1(n13566), .A2(\exu/i_mul/rs2_x [5]), .B1(n13565), .B2(\exu/i_mul/rs2_x [4]), .C1(n13564), .C2( \exu/i_mul/rs2_x [3]), .Y(n12119) ); sky130_fd_sc_hd__o21ai_1 U16432 ( .A1(n13568), .A2(n10921), .B1(n12119), .Y( n12120) ); sky130_fd_sc_hd__xor2_1 U16433 ( .A(n12120), .B(\exu/i_mul/rs1_x [20]), .X( n12164) ); sky130_fd_sc_hd__a222oi_1 U16434 ( .A1(n13596), .A2(\exu/i_mul/rs2_x [14]), .B1(n13595), .B2(\exu/i_mul/rs2_x [13]), .C1(n13594), .C2( \exu/i_mul/rs2_x [12]), .Y(n12121) ); sky130_fd_sc_hd__o21ai_1 U16435 ( .A1(n13598), .A2(n10868), .B1(n12121), .Y( n12122) ); sky130_fd_sc_hd__xor2_1 U16436 ( .A(n12122), .B(\exu/i_mul/rs1_x [11]), .X( n12163) ); sky130_fd_sc_hd__fa_1 U16437 ( .A(n12125), .B(n12124), .CIN(n12123), .COUT( n12128), .SUM(n12160) ); sky130_fd_sc_hd__fa_1 U16438 ( .A(n12128), .B(n12127), .CIN(n12126), .COUT( n12143), .SUM(n12182) ); sky130_fd_sc_hd__fa_1 U16439 ( .A(n12131), .B(n12130), .CIN(n12129), .COUT( n12127), .SUM(n12189) ); sky130_fd_sc_hd__a222oi_1 U16440 ( .A1(n13590), .A2(\exu/i_mul/rs2_x [11]), .B1(n13589), .B2(\exu/i_mul/rs2_x [10]), .C1(n13588), .C2( \exu/i_mul/rs2_x [9]), .Y(n12134) ); sky130_fd_sc_hd__o21ai_1 U16441 ( .A1(n13592), .A2(n10900), .B1(n12134), .Y( n12135) ); sky130_fd_sc_hd__xor2_1 U16442 ( .A(n12135), .B(\exu/i_mul/rs1_x [14]), .X( n12191) ); sky130_fd_sc_hd__a222oi_1 U16443 ( .A1(n13626), .A2(\exu/i_mul/rs2_x [17]), .B1(n13625), .B2(\exu/i_mul/rs2_x [16]), .C1(n13624), .C2( \exu/i_mul/rs2_x [15]), .Y(n12136) ); sky130_fd_sc_hd__o21ai_1 U16444 ( .A1(n13628), .A2(n10912), .B1(n12136), .Y( n12137) ); sky130_fd_sc_hd__xor2_1 U16445 ( .A(n12137), .B(\exu/i_mul/rs1_x [8]), .X( n12190) ); sky130_fd_sc_hd__fa_1 U16446 ( .A(n12140), .B(n12139), .CIN(n12138), .COUT( n12149), .SUM(n12187) ); sky130_fd_sc_hd__fa_1 U16447 ( .A(n12143), .B(n12142), .CIN(n12141), .COUT( n12145), .SUM(n12184) ); sky130_fd_sc_hd__fa_1 U16448 ( .A(n12146), .B(n12145), .CIN(n12144), .COUT( n15397), .SUM(n14178) ); sky130_fd_sc_hd__fa_1 U16449 ( .A(n12149), .B(n12148), .CIN(n12147), .COUT( n12141), .SUM(n12218) ); sky130_fd_sc_hd__a222oi_1 U16450 ( .A1(n13620), .A2(\exu/i_mul/rs2_x [23]), .B1(n13619), .B2(\exu/i_mul/rs2_x [22]), .C1(n13618), .C2( \exu/i_mul/rs2_x [21]), .Y(n12150) ); sky130_fd_sc_hd__o21ai_1 U16451 ( .A1(n13622), .A2(n10909), .B1(n12150), .Y( n12151) ); sky130_fd_sc_hd__xor2_1 U16452 ( .A(n12151), .B(\exu/i_mul/rs1_x [2]), .X( n12195) ); sky130_fd_sc_hd__a222oi_1 U16453 ( .A1(n13632), .A2(\exu/i_mul/rs2_x [20]), .B1(n13631), .B2(\exu/i_mul/rs2_x [19]), .C1(n13630), .C2( \exu/i_mul/rs2_x [18]), .Y(n12152) ); sky130_fd_sc_hd__o21ai_1 U16454 ( .A1(n13634), .A2(n10911), .B1(n12152), .Y( n12153) ); sky130_fd_sc_hd__xor2_1 U16455 ( .A(n12153), .B(\exu/i_mul/rs1_x [5]), .X( n12194) ); sky130_fd_sc_hd__a222oi_1 U16456 ( .A1(n13566), .A2(\exu/i_mul/rs2_x [4]), .B1(n13565), .B2(\exu/i_mul/rs2_x [3]), .C1(n13564), .C2( \exu/i_mul/rs2_x [2]), .Y(n12154) ); sky130_fd_sc_hd__o21ai_1 U16457 ( .A1(n13568), .A2(n10869), .B1(n12154), .Y( n12155) ); sky130_fd_sc_hd__xor2_1 U16458 ( .A(n12155), .B(\exu/i_mul/rs1_x [20]), .X( n12206) ); sky130_fd_sc_hd__a222oi_1 U16459 ( .A1(n13590), .A2(\exu/i_mul/rs2_x [10]), .B1(n13589), .B2(\exu/i_mul/rs2_x [9]), .C1(n13588), .C2( \exu/i_mul/rs2_x [8]), .Y(n12158) ); sky130_fd_sc_hd__o21ai_1 U16460 ( .A1(n13592), .A2(n10903), .B1(n12158), .Y( n12159) ); sky130_fd_sc_hd__xor2_1 U16461 ( .A(n12159), .B(\exu/i_mul/rs1_x [14]), .X( n12204) ); sky130_fd_sc_hd__fa_1 U16462 ( .A(n12162), .B(n12161), .CIN(n12160), .COUT( n12183), .SUM(n12214) ); sky130_fd_sc_hd__fa_1 U16463 ( .A(n12165), .B(n12164), .CIN(n12163), .COUT( n12161), .SUM(n12221) ); sky130_fd_sc_hd__a222oi_1 U16464 ( .A1(n13584), .A2(\exu/i_mul/rs2_x [7]), .B1(n13583), .B2(\exu/i_mul/rs2_x [6]), .C1(n13582), .C2( \exu/i_mul/rs2_x [5]), .Y(n12166) ); sky130_fd_sc_hd__o21ai_1 U16465 ( .A1(n13586), .A2(n10871), .B1(n12166), .Y( n12167) ); sky130_fd_sc_hd__xor2_1 U16466 ( .A(n12167), .B(\exu/i_mul/rs1_x [17]), .X( n12224) ); sky130_fd_sc_hd__a222oi_1 U16467 ( .A1(n13626), .A2(\exu/i_mul/rs2_x [16]), .B1(n13625), .B2(\exu/i_mul/rs2_x [15]), .C1(n13624), .C2( \exu/i_mul/rs2_x [14]), .Y(n12168) ); sky130_fd_sc_hd__o21ai_1 U16468 ( .A1(n13628), .A2(n10913), .B1(n12168), .Y( n12169) ); sky130_fd_sc_hd__xor2_1 U16469 ( .A(n12169), .B(\exu/i_mul/rs1_x [8]), .X( n12223) ); sky130_fd_sc_hd__a222oi_1 U16470 ( .A1(n13596), .A2(\exu/i_mul/rs2_x [13]), .B1(n13595), .B2(\exu/i_mul/rs2_x [12]), .C1(n13594), .C2( \exu/i_mul/rs2_x [11]), .Y(n12170) ); sky130_fd_sc_hd__o21ai_1 U16471 ( .A1(n13598), .A2(n10959), .B1(n12170), .Y( n12171) ); sky130_fd_sc_hd__xor2_1 U16472 ( .A(n12171), .B(\exu/i_mul/rs1_x [11]), .X( n12222) ); sky130_fd_sc_hd__a222oi_1 U16473 ( .A1(n13620), .A2(\exu/i_mul/rs2_x [22]), .B1(n13619), .B2(\exu/i_mul/rs2_x [21]), .C1(n13618), .C2( \exu/i_mul/rs2_x [20]), .Y(n12172) ); sky130_fd_sc_hd__o21ai_1 U16474 ( .A1(n13622), .A2(n10917), .B1(n12172), .Y( n12173) ); sky130_fd_sc_hd__xor2_1 U16475 ( .A(n12173), .B(\exu/i_mul/rs1_x [2]), .X( n12227) ); sky130_fd_sc_hd__a222oi_1 U16476 ( .A1(n13632), .A2(\exu/i_mul/rs2_x [19]), .B1(n13631), .B2(\exu/i_mul/rs2_x [18]), .C1(n13630), .C2( \exu/i_mul/rs2_x [17]), .Y(n12174) ); sky130_fd_sc_hd__o21ai_1 U16477 ( .A1(n13634), .A2(n10918), .B1(n12174), .Y( n12175) ); sky130_fd_sc_hd__xor2_1 U16478 ( .A(n12175), .B(\exu/i_mul/rs1_x [5]), .X( n12226) ); sky130_fd_sc_hd__a222oi_1 U16479 ( .A1(n13566), .A2(\exu/i_mul/rs2_x [3]), .B1(n13565), .B2(\exu/i_mul/rs2_x [2]), .C1(n13564), .C2( \exu/i_mul/rs2_x [1]), .Y(n12176) ); sky130_fd_sc_hd__o21ai_1 U16480 ( .A1(n13568), .A2(n10870), .B1(n12176), .Y( n12177) ); sky130_fd_sc_hd__xor2_1 U16481 ( .A(n12177), .B(\exu/i_mul/rs1_x [20]), .X( n12236) ); sky130_fd_sc_hd__a222oi_1 U16482 ( .A1(n13584), .A2(\exu/i_mul/rs2_x [6]), .B1(n13583), .B2(\exu/i_mul/rs2_x [5]), .C1(n13582), .C2( \exu/i_mul/rs2_x [4]), .Y(n12179) ); sky130_fd_sc_hd__o21ai_1 U16483 ( .A1(n13586), .A2(n10947), .B1(n12179), .Y( n12180) ); sky130_fd_sc_hd__xor2_1 U16484 ( .A(n12180), .B(\exu/i_mul/rs1_x [17]), .X( n12234) ); sky130_fd_sc_hd__fa_1 U16485 ( .A(n12183), .B(n12182), .CIN(n12181), .COUT( n12185), .SUM(n12216) ); sky130_fd_sc_hd__fa_1 U16486 ( .A(n12186), .B(n12185), .CIN(n12184), .COUT( n14179), .SUM(n15380) ); sky130_fd_sc_hd__fa_1 U16487 ( .A(n12189), .B(n12188), .CIN(n12187), .COUT( n12181), .SUM(n12245) ); sky130_fd_sc_hd__fa_1 U16488 ( .A(n12192), .B(n12191), .CIN(n12190), .COUT( n12188), .SUM(n12242) ); sky130_fd_sc_hd__fa_1 U16489 ( .A(n12195), .B(n12194), .CIN(n12193), .COUT( n12215), .SUM(n12241) ); sky130_fd_sc_hd__o21ai_1 U16490 ( .A1(n13385), .A2(n13568), .B1(n10937), .Y( n12196) ); sky130_fd_sc_hd__xor2_1 U16491 ( .A(n12196), .B(\exu/i_mul/rs1_x [20]), .X( n12291) ); sky130_fd_sc_hd__o21ai_1 U16492 ( .A1(n13387), .A2(n13568), .B1(n10938), .Y( n12197) ); sky130_fd_sc_hd__xor2_1 U16493 ( .A(n12197), .B(\exu/i_mul/rs1_x [20]), .X( n12262) ); sky130_fd_sc_hd__a222oi_1 U16494 ( .A1(n13566), .A2(\exu/i_mul/rs2_x [2]), .B1(n13565), .B2(\exu/i_mul/rs2_x [1]), .C1(n13564), .C2( \exu/i_mul/rs2_x [0]), .Y(n12198) ); sky130_fd_sc_hd__o21ai_1 U16495 ( .A1(n13568), .A2(n10924), .B1(n12198), .Y( n12199) ); sky130_fd_sc_hd__xor2_1 U16496 ( .A(n12199), .B(\exu/i_mul/rs1_x [20]), .X( n12249) ); sky130_fd_sc_hd__a222oi_1 U16497 ( .A1(n13590), .A2(\exu/i_mul/rs2_x [9]), .B1(n13589), .B2(\exu/i_mul/rs2_x [8]), .C1(n13588), .C2( \exu/i_mul/rs2_x [7]), .Y(n12200) ); sky130_fd_sc_hd__o21ai_1 U16498 ( .A1(n13592), .A2(n10958), .B1(n12200), .Y( n12201) ); sky130_fd_sc_hd__xor2_1 U16499 ( .A(n12201), .B(\exu/i_mul/rs1_x [14]), .X( n12238) ); sky130_fd_sc_hd__a222oi_1 U16500 ( .A1(n13596), .A2(\exu/i_mul/rs2_x [12]), .B1(n13595), .B2(\exu/i_mul/rs2_x [11]), .C1(n13594), .C2( \exu/i_mul/rs2_x [10]), .Y(n12202) ); sky130_fd_sc_hd__o21ai_1 U16501 ( .A1(n13598), .A2(n10960), .B1(n12202), .Y( n12203) ); sky130_fd_sc_hd__xor2_1 U16502 ( .A(n12203), .B(\exu/i_mul/rs1_x [11]), .X( n12237) ); sky130_fd_sc_hd__fa_1 U16503 ( .A(n12206), .B(n12205), .CIN(n12204), .COUT( n12193), .SUM(n12247) ); sky130_fd_sc_hd__a222oi_1 U16504 ( .A1(n13626), .A2(\exu/i_mul/rs2_x [15]), .B1(n13625), .B2(\exu/i_mul/rs2_x [14]), .C1(n13624), .C2( \exu/i_mul/rs2_x [13]), .Y(n12207) ); sky130_fd_sc_hd__o21ai_1 U16505 ( .A1(n13628), .A2(n10904), .B1(n12207), .Y( n12208) ); sky130_fd_sc_hd__xor2_1 U16506 ( .A(n12208), .B(\exu/i_mul/rs1_x [8]), .X( n12257) ); sky130_fd_sc_hd__a222oi_1 U16507 ( .A1(n13632), .A2(\exu/i_mul/rs2_x [18]), .B1(n13631), .B2(\exu/i_mul/rs2_x [17]), .C1(n13630), .C2( \exu/i_mul/rs2_x [16]), .Y(n12209) ); sky130_fd_sc_hd__o21ai_1 U16508 ( .A1(n13634), .A2(n10908), .B1(n12209), .Y( n12210) ); sky130_fd_sc_hd__xor2_1 U16509 ( .A(n12210), .B(\exu/i_mul/rs1_x [5]), .X( n12256) ); sky130_fd_sc_hd__a222oi_1 U16510 ( .A1(n13620), .A2(\exu/i_mul/rs2_x [21]), .B1(n13619), .B2(\exu/i_mul/rs2_x [20]), .C1(n13618), .C2( \exu/i_mul/rs2_x [19]), .Y(n12211) ); sky130_fd_sc_hd__o21ai_1 U16511 ( .A1(n13622), .A2(n10907), .B1(n12211), .Y( n12212) ); sky130_fd_sc_hd__xor2_1 U16512 ( .A(n12212), .B(\exu/i_mul/rs1_x [2]), .X( n12255) ); sky130_fd_sc_hd__fa_1 U16513 ( .A(n12215), .B(n12214), .CIN(n12213), .COUT( n12217), .SUM(n12243) ); sky130_fd_sc_hd__fa_1 U16514 ( .A(n12218), .B(n12217), .CIN(n12216), .COUT( n15381), .SUM(n14344) ); sky130_fd_sc_hd__fa_1 U16515 ( .A(n12221), .B(n12220), .CIN(n12219), .COUT( n12213), .SUM(n12274) ); sky130_fd_sc_hd__fa_1 U16516 ( .A(n12224), .B(n12223), .CIN(n12222), .COUT( n12220), .SUM(n12271) ); sky130_fd_sc_hd__fa_1 U16517 ( .A(n12227), .B(n12226), .CIN(n12225), .COUT( n12219), .SUM(n12270) ); sky130_fd_sc_hd__a222oi_1 U16518 ( .A1(n13590), .A2(\exu/i_mul/rs2_x [8]), .B1(n13589), .B2(\exu/i_mul/rs2_x [7]), .C1(n13588), .C2( \exu/i_mul/rs2_x [6]), .Y(n12228) ); sky130_fd_sc_hd__o21ai_1 U16519 ( .A1(n13592), .A2(n10899), .B1(n12228), .Y( n12229) ); sky130_fd_sc_hd__xor2_1 U16520 ( .A(n12229), .B(\exu/i_mul/rs1_x [14]), .X( n12268) ); sky130_fd_sc_hd__a222oi_1 U16521 ( .A1(n13584), .A2(\exu/i_mul/rs2_x [5]), .B1(n13583), .B2(\exu/i_mul/rs2_x [4]), .C1(n13582), .C2( \exu/i_mul/rs2_x [3]), .Y(n12230) ); sky130_fd_sc_hd__o21ai_1 U16522 ( .A1(n13586), .A2(n10921), .B1(n12230), .Y( n12231) ); sky130_fd_sc_hd__xor2_1 U16523 ( .A(n12231), .B(\exu/i_mul/rs1_x [17]), .X( n12267) ); sky130_fd_sc_hd__a222oi_1 U16524 ( .A1(n13626), .A2(\exu/i_mul/rs2_x [14]), .B1(n13625), .B2(\exu/i_mul/rs2_x [13]), .C1(n13624), .C2( \exu/i_mul/rs2_x [12]), .Y(n12232) ); sky130_fd_sc_hd__o21ai_1 U16525 ( .A1(n13628), .A2(n10868), .B1(n12232), .Y( n12233) ); sky130_fd_sc_hd__xor2_1 U16526 ( .A(n12233), .B(\exu/i_mul/rs1_x [8]), .X( n12266) ); sky130_fd_sc_hd__fa_1 U16527 ( .A(n12236), .B(n12235), .CIN(n12234), .COUT( n12225), .SUM(n12276) ); sky130_fd_sc_hd__fa_1 U16528 ( .A(n12239), .B(n12238), .CIN(n12237), .COUT( n12248), .SUM(n12275) ); sky130_fd_sc_hd__fa_1 U16529 ( .A(n12242), .B(n12241), .CIN(n12240), .COUT( n12244), .SUM(n12272) ); sky130_fd_sc_hd__fa_1 U16530 ( .A(n12245), .B(n12244), .CIN(n12243), .COUT( n14345), .SUM(n12625) ); sky130_fd_sc_hd__nor2_1 U16531 ( .A(n12624), .B(n12625), .Y(n15336) ); sky130_fd_sc_hd__fa_1 U16532 ( .A(n12248), .B(n12247), .CIN(n12246), .COUT( n12240), .SUM(n12307) ); sky130_fd_sc_hd__a222oi_1 U16533 ( .A1(n13596), .A2(\exu/i_mul/rs2_x [11]), .B1(n13595), .B2(\exu/i_mul/rs2_x [10]), .C1(n13594), .C2( \exu/i_mul/rs2_x [9]), .Y(n12251) ); sky130_fd_sc_hd__o21ai_1 U16534 ( .A1(n13598), .A2(n10900), .B1(n12251), .Y( n12252) ); sky130_fd_sc_hd__xor2_1 U16535 ( .A(n12252), .B(\exu/i_mul/rs1_x [11]), .X( n12285) ); sky130_fd_sc_hd__a222oi_1 U16536 ( .A1(n13620), .A2(\exu/i_mul/rs2_x [20]), .B1(n13619), .B2(\exu/i_mul/rs2_x [19]), .C1(n13618), .C2( \exu/i_mul/rs2_x [18]), .Y(n12253) ); sky130_fd_sc_hd__o21ai_1 U16537 ( .A1(n13622), .A2(n10911), .B1(n12253), .Y( n12254) ); sky130_fd_sc_hd__xor2_1 U16538 ( .A(n12254), .B(\exu/i_mul/rs1_x [2]), .X( n12284) ); sky130_fd_sc_hd__fa_1 U16539 ( .A(n12257), .B(n12256), .CIN(n12255), .COUT( n12246), .SUM(n12303) ); sky130_fd_sc_hd__a222oi_1 U16540 ( .A1(n13632), .A2(\exu/i_mul/rs2_x [17]), .B1(n13631), .B2(\exu/i_mul/rs2_x [16]), .C1(n13630), .C2( \exu/i_mul/rs2_x [15]), .Y(n12258) ); sky130_fd_sc_hd__o21ai_1 U16541 ( .A1(n13634), .A2(n10912), .B1(n12258), .Y( n12259) ); sky130_fd_sc_hd__xor2_1 U16542 ( .A(n12259), .B(\exu/i_mul/rs1_x [5]), .X( n12310) ); sky130_fd_sc_hd__a222oi_1 U16543 ( .A1(n13584), .A2(\exu/i_mul/rs2_x [4]), .B1(n13583), .B2(\exu/i_mul/rs2_x [3]), .C1(n13582), .C2( \exu/i_mul/rs2_x [2]), .Y(n12260) ); sky130_fd_sc_hd__o21ai_1 U16544 ( .A1(n13586), .A2(n10869), .B1(n12260), .Y( n12261) ); sky130_fd_sc_hd__xor2_1 U16545 ( .A(n12261), .B(\exu/i_mul/rs1_x [17]), .X( n12313) ); sky130_fd_sc_hd__a222oi_1 U16546 ( .A1(n13596), .A2(\exu/i_mul/rs2_x [10]), .B1(n13595), .B2(\exu/i_mul/rs2_x [9]), .C1(n13594), .C2( \exu/i_mul/rs2_x [8]), .Y(n12264) ); sky130_fd_sc_hd__o21ai_1 U16547 ( .A1(n13598), .A2(n10903), .B1(n12264), .Y( n12265) ); sky130_fd_sc_hd__xor2_1 U16548 ( .A(n12265), .B(\exu/i_mul/rs1_x [11]), .X( n12311) ); sky130_fd_sc_hd__fa_1 U16549 ( .A(n12268), .B(n12267), .CIN(n12266), .COUT( n12277), .SUM(n12308) ); sky130_fd_sc_hd__fa_1 U16550 ( .A(n12271), .B(n12270), .CIN(n12269), .COUT( n12273), .SUM(n12305) ); sky130_fd_sc_hd__fa_1 U16551 ( .A(n12274), .B(n12273), .CIN(n12272), .COUT( n12624), .SUM(n14371) ); sky130_fd_sc_hd__fa_1 U16552 ( .A(n12277), .B(n12276), .CIN(n12275), .COUT( n12269), .SUM(n12332) ); sky130_fd_sc_hd__a222oi_1 U16553 ( .A1(n13590), .A2(\exu/i_mul/rs2_x [7]), .B1(n13589), .B2(\exu/i_mul/rs2_x [6]), .C1(n13588), .C2( \exu/i_mul/rs2_x [5]), .Y(n12278) ); sky130_fd_sc_hd__o21ai_1 U16554 ( .A1(n13592), .A2(n10871), .B1(n12278), .Y( n12279) ); sky130_fd_sc_hd__xor2_1 U16555 ( .A(n12279), .B(\exu/i_mul/rs1_x [14]), .X( n12316) ); sky130_fd_sc_hd__a222oi_1 U16556 ( .A1(n13632), .A2(\exu/i_mul/rs2_x [16]), .B1(n13631), .B2(\exu/i_mul/rs2_x [15]), .C1(n13630), .C2( \exu/i_mul/rs2_x [14]), .Y(n12280) ); sky130_fd_sc_hd__o21ai_1 U16557 ( .A1(n13634), .A2(n10913), .B1(n12280), .Y( n12281) ); sky130_fd_sc_hd__xor2_1 U16558 ( .A(n12281), .B(\exu/i_mul/rs1_x [5]), .X( n12315) ); sky130_fd_sc_hd__a222oi_1 U16559 ( .A1(n13626), .A2(\exu/i_mul/rs2_x [13]), .B1(n13625), .B2(\exu/i_mul/rs2_x [12]), .C1(n13624), .C2( \exu/i_mul/rs2_x [11]), .Y(n12282) ); sky130_fd_sc_hd__o21ai_1 U16560 ( .A1(n13628), .A2(n10959), .B1(n12282), .Y( n12283) ); sky130_fd_sc_hd__xor2_1 U16561 ( .A(n12283), .B(\exu/i_mul/rs1_x [8]), .X( n12314) ); sky130_fd_sc_hd__fa_1 U16562 ( .A(n12286), .B(n12285), .CIN(n12284), .COUT( n12304), .SUM(n12328) ); sky130_fd_sc_hd__a222oi_1 U16563 ( .A1(n13620), .A2(\exu/i_mul/rs2_x [19]), .B1(n13619), .B2(\exu/i_mul/rs2_x [18]), .C1(n13618), .C2( \exu/i_mul/rs2_x [17]), .Y(n12287) ); sky130_fd_sc_hd__o21ai_1 U16564 ( .A1(n13622), .A2(n10918), .B1(n12287), .Y( n12288) ); sky130_fd_sc_hd__xor2_1 U16565 ( .A(n12288), .B(\exu/i_mul/rs1_x [2]), .X( n12335) ); sky130_fd_sc_hd__a222oi_1 U16566 ( .A1(n13584), .A2(\exu/i_mul/rs2_x [3]), .B1(n13583), .B2(\exu/i_mul/rs2_x [2]), .C1(n13582), .C2( \exu/i_mul/rs2_x [1]), .Y(n12289) ); sky130_fd_sc_hd__o21ai_1 U16567 ( .A1(n13586), .A2(n10870), .B1(n12289), .Y( n12290) ); sky130_fd_sc_hd__xor2_1 U16568 ( .A(n12290), .B(\exu/i_mul/rs1_x [17]), .X( n12338) ); sky130_fd_sc_hd__a222oi_1 U16569 ( .A1(n13590), .A2(\exu/i_mul/rs2_x [6]), .B1(n13589), .B2(\exu/i_mul/rs2_x [5]), .C1(n13588), .C2( \exu/i_mul/rs2_x [4]), .Y(n12292) ); sky130_fd_sc_hd__o21ai_1 U16570 ( .A1(n13592), .A2(n10947), .B1(n12292), .Y( n12293) ); sky130_fd_sc_hd__xor2_1 U16571 ( .A(n12293), .B(\exu/i_mul/rs1_x [14]), .X( n12336) ); sky130_fd_sc_hd__o21ai_1 U16572 ( .A1(n13385), .A2(n13586), .B1(n10940), .Y( n12294) ); sky130_fd_sc_hd__xor2_1 U16573 ( .A(n12294), .B(\exu/i_mul/rs1_x [17]), .X( n12383) ); sky130_fd_sc_hd__o21ai_1 U16574 ( .A1(n13387), .A2(n13586), .B1(n10939), .Y( n12295) ); sky130_fd_sc_hd__xor2_1 U16575 ( .A(n12295), .B(\exu/i_mul/rs1_x [17]), .X( n12359) ); sky130_fd_sc_hd__a222oi_1 U16576 ( .A1(n13584), .A2(\exu/i_mul/rs2_x [2]), .B1(n13583), .B2(\exu/i_mul/rs2_x [1]), .C1(n13582), .C2( \exu/i_mul/rs2_x [0]), .Y(n12296) ); sky130_fd_sc_hd__o21ai_1 U16577 ( .A1(n13586), .A2(n10924), .B1(n12296), .Y( n12297) ); sky130_fd_sc_hd__xor2_1 U16578 ( .A(n12297), .B(\exu/i_mul/rs1_x [17]), .X( n12342) ); sky130_fd_sc_hd__a222oi_1 U16579 ( .A1(n13626), .A2(\exu/i_mul/rs2_x [12]), .B1(n13625), .B2(\exu/i_mul/rs2_x [11]), .C1(n13624), .C2( \exu/i_mul/rs2_x [10]), .Y(n12298) ); sky130_fd_sc_hd__o21ai_1 U16580 ( .A1(n13628), .A2(n10960), .B1(n12298), .Y( n12299) ); sky130_fd_sc_hd__xor2_1 U16581 ( .A(n12299), .B(\exu/i_mul/rs1_x [8]), .X( n12340) ); sky130_fd_sc_hd__a222oi_1 U16582 ( .A1(n13596), .A2(\exu/i_mul/rs2_x [9]), .B1(n13595), .B2(\exu/i_mul/rs2_x [8]), .C1(n13594), .C2( \exu/i_mul/rs2_x [7]), .Y(n12300) ); sky130_fd_sc_hd__o21ai_1 U16583 ( .A1(n13598), .A2(n10958), .B1(n12300), .Y( n12301) ); sky130_fd_sc_hd__xor2_1 U16584 ( .A(n12301), .B(\exu/i_mul/rs1_x [11]), .X( n12339) ); sky130_fd_sc_hd__fa_1 U16585 ( .A(n12304), .B(n12303), .CIN(n12302), .COUT( n12306), .SUM(n12330) ); sky130_fd_sc_hd__fa_1 U16586 ( .A(n12307), .B(n12306), .CIN(n12305), .COUT( n14372), .SUM(n15287) ); sky130_fd_sc_hd__fa_1 U16587 ( .A(n12310), .B(n12309), .CIN(n12308), .COUT( n12302), .SUM(n12353) ); sky130_fd_sc_hd__fa_1 U16588 ( .A(n12313), .B(n12312), .CIN(n12311), .COUT( n12309), .SUM(n12350) ); sky130_fd_sc_hd__fa_1 U16589 ( .A(n12316), .B(n12315), .CIN(n12314), .COUT( n12329), .SUM(n12349) ); sky130_fd_sc_hd__a222oi_1 U16590 ( .A1(n13632), .A2(\exu/i_mul/rs2_x [15]), .B1(n13631), .B2(\exu/i_mul/rs2_x [14]), .C1(n13630), .C2( \exu/i_mul/rs2_x [13]), .Y(n12317) ); sky130_fd_sc_hd__o21ai_1 U16591 ( .A1(n13634), .A2(n10904), .B1(n12317), .Y( n12318) ); sky130_fd_sc_hd__xor2_1 U16592 ( .A(n12318), .B(\exu/i_mul/rs1_x [5]), .X( n12356) ); sky130_fd_sc_hd__a222oi_1 U16593 ( .A1(n13620), .A2(\exu/i_mul/rs2_x [18]), .B1(n13619), .B2(\exu/i_mul/rs2_x [17]), .C1(n13618), .C2( \exu/i_mul/rs2_x [16]), .Y(n12319) ); sky130_fd_sc_hd__o21ai_1 U16594 ( .A1(n13622), .A2(n10908), .B1(n12319), .Y( n12320) ); sky130_fd_sc_hd__xor2_1 U16595 ( .A(n12320), .B(\exu/i_mul/rs1_x [2]), .X( n12355) ); sky130_fd_sc_hd__a222oi_1 U16596 ( .A1(n13596), .A2(\exu/i_mul/rs2_x [8]), .B1(n13595), .B2(\exu/i_mul/rs2_x [7]), .C1(n13594), .C2( \exu/i_mul/rs2_x [6]), .Y(n12321) ); sky130_fd_sc_hd__o21ai_1 U16597 ( .A1(n13598), .A2(n10899), .B1(n12321), .Y( n12322) ); sky130_fd_sc_hd__xor2_1 U16598 ( .A(n12322), .B(\exu/i_mul/rs1_x [11]), .X( n12365) ); sky130_fd_sc_hd__a222oi_1 U16599 ( .A1(n13590), .A2(\exu/i_mul/rs2_x [5]), .B1(n13589), .B2(\exu/i_mul/rs2_x [4]), .C1(n13588), .C2( \exu/i_mul/rs2_x [3]), .Y(n12323) ); sky130_fd_sc_hd__o21ai_1 U16600 ( .A1(n13592), .A2(n10921), .B1(n12323), .Y( n12324) ); sky130_fd_sc_hd__xor2_1 U16601 ( .A(n12324), .B(\exu/i_mul/rs1_x [14]), .X( n12364) ); sky130_fd_sc_hd__a222oi_1 U16602 ( .A1(n13632), .A2(\exu/i_mul/rs2_x [14]), .B1(n13631), .B2(\exu/i_mul/rs2_x [13]), .C1(n13630), .C2( \exu/i_mul/rs2_x [12]), .Y(n12325) ); sky130_fd_sc_hd__o21ai_1 U16603 ( .A1(n13634), .A2(n10868), .B1(n12325), .Y( n12326) ); sky130_fd_sc_hd__xor2_1 U16604 ( .A(n12326), .B(\exu/i_mul/rs1_x [5]), .X( n12363) ); sky130_fd_sc_hd__fa_1 U16605 ( .A(n12329), .B(n12328), .CIN(n12327), .COUT( n12331), .SUM(n12351) ); sky130_fd_sc_hd__fa_1 U16606 ( .A(n12332), .B(n12331), .CIN(n12330), .COUT( n15288), .SUM(n15320) ); sky130_fd_sc_hd__fa_1 U16607 ( .A(n12335), .B(n12334), .CIN(n12333), .COUT( n12327), .SUM(n12377) ); sky130_fd_sc_hd__fa_1 U16608 ( .A(n12338), .B(n12337), .CIN(n12336), .COUT( n12334), .SUM(n12374) ); sky130_fd_sc_hd__fa_1 U16609 ( .A(n12341), .B(n12340), .CIN(n12339), .COUT( n12333), .SUM(n12373) ); sky130_fd_sc_hd__a222oi_1 U16610 ( .A1(n13626), .A2(\exu/i_mul/rs2_x [11]), .B1(n13625), .B2(\exu/i_mul/rs2_x [10]), .C1(n13624), .C2( \exu/i_mul/rs2_x [9]), .Y(n12344) ); sky130_fd_sc_hd__o21ai_1 U16611 ( .A1(n13628), .A2(n10900), .B1(n12344), .Y( n12345) ); sky130_fd_sc_hd__xor2_1 U16612 ( .A(n12345), .B(\exu/i_mul/rs1_x [8]), .X( n12379) ); sky130_fd_sc_hd__a222oi_1 U16613 ( .A1(n13620), .A2(\exu/i_mul/rs2_x [17]), .B1(n13619), .B2(\exu/i_mul/rs2_x [16]), .C1(n13618), .C2( \exu/i_mul/rs2_x [15]), .Y(n12346) ); sky130_fd_sc_hd__o21ai_1 U16614 ( .A1(n13622), .A2(n10912), .B1(n12346), .Y( n12347) ); sky130_fd_sc_hd__xor2_1 U16615 ( .A(n12347), .B(\exu/i_mul/rs1_x [2]), .X( n12378) ); sky130_fd_sc_hd__fa_1 U16616 ( .A(n12350), .B(n12349), .CIN(n12348), .COUT( n12352), .SUM(n12375) ); sky130_fd_sc_hd__fa_1 U16617 ( .A(n12353), .B(n12352), .CIN(n12351), .COUT( n15321), .SUM(n15259) ); sky130_fd_sc_hd__fa_1 U16618 ( .A(n12356), .B(n12355), .CIN(n12354), .COUT( n12348), .SUM(n12402) ); sky130_fd_sc_hd__a222oi_1 U16619 ( .A1(n13590), .A2(\exu/i_mul/rs2_x [4]), .B1(n13589), .B2(\exu/i_mul/rs2_x [3]), .C1(n13588), .C2( \exu/i_mul/rs2_x [2]), .Y(n12357) ); sky130_fd_sc_hd__o21ai_1 U16620 ( .A1(n13592), .A2(n10869), .B1(n12357), .Y( n12358) ); sky130_fd_sc_hd__xor2_1 U16621 ( .A(n12358), .B(\exu/i_mul/rs1_x [14]), .X( n12396) ); sky130_fd_sc_hd__a222oi_1 U16622 ( .A1(n13626), .A2(\exu/i_mul/rs2_x [10]), .B1(n13625), .B2(\exu/i_mul/rs2_x [9]), .C1(n13624), .C2( \exu/i_mul/rs2_x [8]), .Y(n12361) ); sky130_fd_sc_hd__o21ai_1 U16623 ( .A1(n13628), .A2(n10903), .B1(n12361), .Y( n12362) ); sky130_fd_sc_hd__xor2_1 U16624 ( .A(n12362), .B(\exu/i_mul/rs1_x [8]), .X( n12394) ); sky130_fd_sc_hd__fa_1 U16625 ( .A(n12365), .B(n12364), .CIN(n12363), .COUT( n12354), .SUM(n12398) ); sky130_fd_sc_hd__a222oi_1 U16626 ( .A1(n13596), .A2(\exu/i_mul/rs2_x [7]), .B1(n13595), .B2(\exu/i_mul/rs2_x [6]), .C1(n13594), .C2( \exu/i_mul/rs2_x [5]), .Y(n12366) ); sky130_fd_sc_hd__o21ai_1 U16627 ( .A1(n13598), .A2(n10871), .B1(n12366), .Y( n12367) ); sky130_fd_sc_hd__xor2_1 U16628 ( .A(n12367), .B(\exu/i_mul/rs1_x [11]), .X( n12429) ); sky130_fd_sc_hd__a222oi_1 U16629 ( .A1(n13620), .A2(\exu/i_mul/rs2_x [16]), .B1(n13619), .B2(\exu/i_mul/rs2_x [15]), .C1(n13618), .C2( \exu/i_mul/rs2_x [14]), .Y(n12368) ); sky130_fd_sc_hd__o21ai_1 U16630 ( .A1(n13622), .A2(n10913), .B1(n12368), .Y( n12369) ); sky130_fd_sc_hd__xor2_1 U16631 ( .A(n12369), .B(\exu/i_mul/rs1_x [2]), .X( n12428) ); sky130_fd_sc_hd__a222oi_1 U16632 ( .A1(n13632), .A2(\exu/i_mul/rs2_x [13]), .B1(n13631), .B2(\exu/i_mul/rs2_x [12]), .C1(n13630), .C2( \exu/i_mul/rs2_x [11]), .Y(n12370) ); sky130_fd_sc_hd__o21ai_1 U16633 ( .A1(n13634), .A2(n10959), .B1(n12370), .Y( n12371) ); sky130_fd_sc_hd__xor2_1 U16634 ( .A(n12371), .B(\exu/i_mul/rs1_x [5]), .X( n12427) ); sky130_fd_sc_hd__fa_1 U16635 ( .A(n12374), .B(n12373), .CIN(n12372), .COUT( n12376), .SUM(n12400) ); sky130_fd_sc_hd__fa_1 U16636 ( .A(n12377), .B(n12376), .CIN(n12375), .COUT( n15260), .SUM(n15238) ); sky130_fd_sc_hd__fa_1 U16637 ( .A(n12380), .B(n12379), .CIN(n12378), .COUT( n12372), .SUM(n12618) ); sky130_fd_sc_hd__a222oi_1 U16638 ( .A1(n13590), .A2(\exu/i_mul/rs2_x [3]), .B1(n13589), .B2(\exu/i_mul/rs2_x [2]), .C1(n13588), .C2( \exu/i_mul/rs2_x [1]), .Y(n12381) ); sky130_fd_sc_hd__o21ai_1 U16639 ( .A1(n13592), .A2(n10870), .B1(n12381), .Y( n12382) ); sky130_fd_sc_hd__xor2_1 U16640 ( .A(n12382), .B(\exu/i_mul/rs1_x [14]), .X( n12426) ); sky130_fd_sc_hd__a222oi_1 U16641 ( .A1(n13596), .A2(\exu/i_mul/rs2_x [6]), .B1(n13595), .B2(\exu/i_mul/rs2_x [5]), .C1(n13594), .C2( \exu/i_mul/rs2_x [4]), .Y(n12384) ); sky130_fd_sc_hd__o21ai_1 U16642 ( .A1(n13598), .A2(n10947), .B1(n12384), .Y( n12385) ); sky130_fd_sc_hd__xor2_1 U16643 ( .A(n12385), .B(\exu/i_mul/rs1_x [11]), .X( n12424) ); sky130_fd_sc_hd__o21ai_1 U16644 ( .A1(n13385), .A2(n13592), .B1(n10942), .Y( n12386) ); sky130_fd_sc_hd__xor2_1 U16645 ( .A(n12386), .B(\exu/i_mul/rs1_x [14]), .X( n12453) ); sky130_fd_sc_hd__o21ai_1 U16646 ( .A1(n13387), .A2(n13592), .B1(n10941), .Y( n12387) ); sky130_fd_sc_hd__xor2_1 U16647 ( .A(n12387), .B(\exu/i_mul/rs1_x [14]), .X( n12412) ); sky130_fd_sc_hd__a222oi_1 U16648 ( .A1(n13590), .A2(\exu/i_mul/rs2_x [2]), .B1(n13589), .B2(\exu/i_mul/rs2_x [1]), .C1(n13588), .C2( \exu/i_mul/rs2_x [0]), .Y(n12388) ); sky130_fd_sc_hd__o21ai_1 U16649 ( .A1(n13592), .A2(n10924), .B1(n12388), .Y( n12389) ); sky130_fd_sc_hd__xor2_1 U16650 ( .A(n12389), .B(\exu/i_mul/rs1_x [14]), .X( n12406) ); sky130_fd_sc_hd__a222oi_1 U16651 ( .A1(n13632), .A2(\exu/i_mul/rs2_x [12]), .B1(n13631), .B2(\exu/i_mul/rs2_x [11]), .C1(n13630), .C2( \exu/i_mul/rs2_x [10]), .Y(n12390) ); sky130_fd_sc_hd__o21ai_1 U16652 ( .A1(n13634), .A2(n10960), .B1(n12390), .Y( n12391) ); sky130_fd_sc_hd__xor2_1 U16653 ( .A(n12391), .B(\exu/i_mul/rs1_x [5]), .X( n12404) ); sky130_fd_sc_hd__a222oi_1 U16654 ( .A1(n13626), .A2(\exu/i_mul/rs2_x [9]), .B1(n13625), .B2(\exu/i_mul/rs2_x [8]), .C1(n13624), .C2( \exu/i_mul/rs2_x [7]), .Y(n12392) ); sky130_fd_sc_hd__o21ai_1 U16655 ( .A1(n13628), .A2(n10958), .B1(n12392), .Y( n12393) ); sky130_fd_sc_hd__xor2_1 U16656 ( .A(n12393), .B(\exu/i_mul/rs1_x [8]), .X( n12403) ); sky130_fd_sc_hd__fa_1 U16657 ( .A(n12396), .B(n12395), .CIN(n12394), .COUT( n12399), .SUM(n12433) ); sky130_fd_sc_hd__fa_1 U16658 ( .A(n12399), .B(n12398), .CIN(n12397), .COUT( n12401), .SUM(n12616) ); sky130_fd_sc_hd__fa_1 U16659 ( .A(n12402), .B(n12401), .CIN(n12400), .COUT( n15239), .SUM(n12623) ); sky130_fd_sc_hd__nor2_1 U16660 ( .A(n12622), .B(n12623), .Y(n15190) ); sky130_fd_sc_hd__fa_1 U16661 ( .A(n12405), .B(n12404), .CIN(n12403), .COUT( n12434), .SUM(n12607) ); sky130_fd_sc_hd__a222oi_1 U16662 ( .A1(n13632), .A2(\exu/i_mul/rs2_x [11]), .B1(n13631), .B2(\exu/i_mul/rs2_x [10]), .C1(n13630), .C2( \exu/i_mul/rs2_x [9]), .Y(n12408) ); sky130_fd_sc_hd__o21ai_1 U16663 ( .A1(n13634), .A2(n10900), .B1(n12408), .Y( n12409) ); sky130_fd_sc_hd__xor2_1 U16664 ( .A(n12409), .B(\exu/i_mul/rs1_x [5]), .X( n12463) ); sky130_fd_sc_hd__a222oi_1 U16665 ( .A1(n13596), .A2(\exu/i_mul/rs2_x [4]), .B1(n13595), .B2(\exu/i_mul/rs2_x [3]), .C1(n13594), .C2( \exu/i_mul/rs2_x [2]), .Y(n12410) ); sky130_fd_sc_hd__o21ai_1 U16666 ( .A1(n13598), .A2(n10869), .B1(n12410), .Y( n12411) ); sky130_fd_sc_hd__xor2_1 U16667 ( .A(n12411), .B(\exu/i_mul/rs1_x [11]), .X( n12446) ); sky130_fd_sc_hd__a222oi_1 U16668 ( .A1(n13632), .A2(\exu/i_mul/rs2_x [10]), .B1(n13631), .B2(\exu/i_mul/rs2_x [9]), .C1(n13630), .C2( \exu/i_mul/rs2_x [8]), .Y(n12414) ); sky130_fd_sc_hd__o21ai_1 U16669 ( .A1(n13634), .A2(n10903), .B1(n12414), .Y( n12415) ); sky130_fd_sc_hd__xor2_1 U16670 ( .A(n12415), .B(\exu/i_mul/rs1_x [5]), .X( n12444) ); sky130_fd_sc_hd__a222oi_1 U16671 ( .A1(n13620), .A2(\exu/i_mul/rs2_x [15]), .B1(n13619), .B2(\exu/i_mul/rs2_x [14]), .C1(n13618), .C2( \exu/i_mul/rs2_x [13]), .Y(n12416) ); sky130_fd_sc_hd__o21ai_1 U16672 ( .A1(n13622), .A2(n10904), .B1(n12416), .Y( n12417) ); sky130_fd_sc_hd__xor2_1 U16673 ( .A(n12417), .B(\exu/i_mul/rs1_x [2]), .X( n12432) ); sky130_fd_sc_hd__a222oi_1 U16674 ( .A1(n13626), .A2(\exu/i_mul/rs2_x [8]), .B1(n13625), .B2(\exu/i_mul/rs2_x [7]), .C1(n13624), .C2( \exu/i_mul/rs2_x [6]), .Y(n12418) ); sky130_fd_sc_hd__o21ai_1 U16675 ( .A1(n13628), .A2(n10899), .B1(n12418), .Y( n12419) ); sky130_fd_sc_hd__xor2_1 U16676 ( .A(n12419), .B(\exu/i_mul/rs1_x [8]), .X( n12458) ); sky130_fd_sc_hd__a222oi_1 U16677 ( .A1(n13596), .A2(\exu/i_mul/rs2_x [5]), .B1(n13595), .B2(\exu/i_mul/rs2_x [4]), .C1(n13594), .C2( \exu/i_mul/rs2_x [3]), .Y(n12420) ); sky130_fd_sc_hd__o21ai_1 U16678 ( .A1(n13598), .A2(n10921), .B1(n12420), .Y( n12421) ); sky130_fd_sc_hd__xor2_1 U16679 ( .A(n12421), .B(\exu/i_mul/rs1_x [11]), .X( n12457) ); sky130_fd_sc_hd__a222oi_1 U16680 ( .A1(n13620), .A2(\exu/i_mul/rs2_x [14]), .B1(n13619), .B2(\exu/i_mul/rs2_x [13]), .C1(n13618), .C2( \exu/i_mul/rs2_x [12]), .Y(n12422) ); sky130_fd_sc_hd__o21ai_1 U16681 ( .A1(n13622), .A2(n10868), .B1(n12422), .Y( n12423) ); sky130_fd_sc_hd__xor2_1 U16682 ( .A(n12423), .B(\exu/i_mul/rs1_x [2]), .X( n12456) ); sky130_fd_sc_hd__fa_1 U16683 ( .A(n12426), .B(n12425), .CIN(n12424), .COUT( n12435), .SUM(n12430) ); sky130_fd_sc_hd__fa_1 U16684 ( .A(n12429), .B(n12428), .CIN(n12427), .COUT( n12397), .SUM(n12615) ); sky130_fd_sc_hd__fa_1 U16685 ( .A(n12432), .B(n12431), .CIN(n12430), .COUT( n12614), .SUM(n12605) ); sky130_fd_sc_hd__fa_1 U16686 ( .A(n12435), .B(n12434), .CIN(n12433), .COUT( n12617), .SUM(n12613) ); sky130_fd_sc_hd__nor2_1 U16687 ( .A(n12611), .B(n12612), .Y(n15161) ); sky130_fd_sc_hd__o21ai_1 U16688 ( .A1(n13385), .A2(n13598), .B1(n10944), .Y( n12436) ); sky130_fd_sc_hd__xor2_1 U16689 ( .A(n12436), .B(\exu/i_mul/rs1_x [11]), .X( n12496) ); sky130_fd_sc_hd__o21ai_1 U16690 ( .A1(n13387), .A2(n13598), .B1(n10943), .Y( n12437) ); sky130_fd_sc_hd__xor2_1 U16691 ( .A(n12437), .B(\exu/i_mul/rs1_x [11]), .X( n12469) ); sky130_fd_sc_hd__a222oi_1 U16692 ( .A1(n13596), .A2(\exu/i_mul/rs2_x [2]), .B1(n13595), .B2(\exu/i_mul/rs2_x [1]), .C1(n13594), .C2( \exu/i_mul/rs2_x [0]), .Y(n12438) ); sky130_fd_sc_hd__o21ai_1 U16693 ( .A1(n13598), .A2(n10924), .B1(n12438), .Y( n12439) ); sky130_fd_sc_hd__xor2_1 U16694 ( .A(n12439), .B(\exu/i_mul/rs1_x [11]), .X( n12477) ); sky130_fd_sc_hd__a222oi_1 U16695 ( .A1(n13620), .A2(\exu/i_mul/rs2_x [12]), .B1(n13619), .B2(\exu/i_mul/rs2_x [11]), .C1(n13618), .C2( \exu/i_mul/rs2_x [10]), .Y(n12440) ); sky130_fd_sc_hd__o21ai_1 U16696 ( .A1(n13622), .A2(n10960), .B1(n12440), .Y( n12441) ); sky130_fd_sc_hd__xor2_1 U16697 ( .A(n12441), .B(\exu/i_mul/rs1_x [2]), .X( n12486) ); sky130_fd_sc_hd__a222oi_1 U16698 ( .A1(n13632), .A2(\exu/i_mul/rs2_x [9]), .B1(n13631), .B2(\exu/i_mul/rs2_x [8]), .C1(n13630), .C2( \exu/i_mul/rs2_x [7]), .Y(n12442) ); sky130_fd_sc_hd__o21ai_1 U16699 ( .A1(n13634), .A2(n10958), .B1(n12442), .Y( n12443) ); sky130_fd_sc_hd__xor2_1 U16700 ( .A(n12443), .B(\exu/i_mul/rs1_x [5]), .X( n12485) ); sky130_fd_sc_hd__fa_1 U16701 ( .A(n12446), .B(n12445), .CIN(n12444), .COUT( n12462), .SUM(n12595) ); sky130_fd_sc_hd__a222oi_1 U16702 ( .A1(n13626), .A2(\exu/i_mul/rs2_x [7]), .B1(n13625), .B2(\exu/i_mul/rs2_x [6]), .C1(n13624), .C2( \exu/i_mul/rs2_x [5]), .Y(n12447) ); sky130_fd_sc_hd__o21ai_1 U16703 ( .A1(n13628), .A2(n10871), .B1(n12447), .Y( n12448) ); sky130_fd_sc_hd__xor2_1 U16704 ( .A(n12448), .B(\exu/i_mul/rs1_x [8]), .X( n12461) ); sky130_fd_sc_hd__a222oi_1 U16705 ( .A1(n13620), .A2(\exu/i_mul/rs2_x [13]), .B1(n13619), .B2(\exu/i_mul/rs2_x [12]), .C1(n13618), .C2( \exu/i_mul/rs2_x [11]), .Y(n12449) ); sky130_fd_sc_hd__o21ai_1 U16706 ( .A1(n13622), .A2(n10959), .B1(n12449), .Y( n12450) ); sky130_fd_sc_hd__xor2_1 U16707 ( .A(n12450), .B(\exu/i_mul/rs1_x [2]), .X( n12460) ); sky130_fd_sc_hd__a222oi_1 U16708 ( .A1(n13596), .A2(\exu/i_mul/rs2_x [3]), .B1(n13595), .B2(\exu/i_mul/rs2_x [2]), .C1(n13594), .C2( \exu/i_mul/rs2_x [1]), .Y(n12451) ); sky130_fd_sc_hd__o21ai_1 U16709 ( .A1(n13598), .A2(n10870), .B1(n12451), .Y( n12452) ); sky130_fd_sc_hd__xor2_1 U16710 ( .A(n12452), .B(\exu/i_mul/rs1_x [11]), .X( n12481) ); sky130_fd_sc_hd__a222oi_1 U16711 ( .A1(n13626), .A2(\exu/i_mul/rs2_x [6]), .B1(n13625), .B2(\exu/i_mul/rs2_x [5]), .C1(n13624), .C2( \exu/i_mul/rs2_x [4]), .Y(n12454) ); sky130_fd_sc_hd__o21ai_1 U16712 ( .A1(n13628), .A2(n10947), .B1(n12454), .Y( n12455) ); sky130_fd_sc_hd__xor2_1 U16713 ( .A(n12455), .B(\exu/i_mul/rs1_x [8]), .X( n12479) ); sky130_fd_sc_hd__fa_1 U16714 ( .A(n12458), .B(n12457), .CIN(n12456), .COUT( n12431), .SUM(n12604) ); sky130_fd_sc_hd__fa_1 U16715 ( .A(n12461), .B(n12460), .CIN(n12459), .COUT( n12603), .SUM(n12594) ); sky130_fd_sc_hd__fa_1 U16716 ( .A(n12464), .B(n12463), .CIN(n12462), .COUT( n12606), .SUM(n12602) ); sky130_fd_sc_hd__nor2_1 U16717 ( .A(n12600), .B(n12601), .Y(n14427) ); sky130_fd_sc_hd__a222oi_1 U16718 ( .A1(n13620), .A2(\exu/i_mul/rs2_x [11]), .B1(n13619), .B2(\exu/i_mul/rs2_x [10]), .C1(n13618), .C2( \exu/i_mul/rs2_x [9]), .Y(n12465) ); sky130_fd_sc_hd__o21ai_1 U16719 ( .A1(n13622), .A2(n10900), .B1(n12465), .Y( n12466) ); sky130_fd_sc_hd__xor2_1 U16720 ( .A(n12466), .B(\exu/i_mul/rs1_x [2]), .X( n12584) ); sky130_fd_sc_hd__a222oi_1 U16721 ( .A1(n13626), .A2(\exu/i_mul/rs2_x [4]), .B1(n13625), .B2(\exu/i_mul/rs2_x [3]), .C1(n13624), .C2( \exu/i_mul/rs2_x [2]), .Y(n12467) ); sky130_fd_sc_hd__o21ai_1 U16722 ( .A1(n13628), .A2(n10869), .B1(n12467), .Y( n12468) ); sky130_fd_sc_hd__xor2_1 U16723 ( .A(n12468), .B(\exu/i_mul/rs1_x [8]), .X( n12506) ); sky130_fd_sc_hd__a222oi_1 U16724 ( .A1(n13620), .A2(\exu/i_mul/rs2_x [10]), .B1(n13619), .B2(\exu/i_mul/rs2_x [9]), .C1(n13618), .C2( \exu/i_mul/rs2_x [8]), .Y(n12471) ); sky130_fd_sc_hd__o21ai_1 U16725 ( .A1(n13622), .A2(n10903), .B1(n12471), .Y( n12472) ); sky130_fd_sc_hd__xor2_1 U16726 ( .A(n12472), .B(\exu/i_mul/rs1_x [2]), .X( n12504) ); sky130_fd_sc_hd__a222oi_1 U16727 ( .A1(n13632), .A2(\exu/i_mul/rs2_x [8]), .B1(n13631), .B2(\exu/i_mul/rs2_x [7]), .C1(n13630), .C2( \exu/i_mul/rs2_x [6]), .Y(n12473) ); sky130_fd_sc_hd__o21ai_1 U16728 ( .A1(n13634), .A2(n10899), .B1(n12473), .Y( n12474) ); sky130_fd_sc_hd__xor2_1 U16729 ( .A(n12474), .B(\exu/i_mul/rs1_x [5]), .X( n12484) ); sky130_fd_sc_hd__a222oi_1 U16730 ( .A1(n13626), .A2(\exu/i_mul/rs2_x [5]), .B1(n13625), .B2(\exu/i_mul/rs2_x [4]), .C1(n13624), .C2( \exu/i_mul/rs2_x [3]), .Y(n12475) ); sky130_fd_sc_hd__o21ai_1 U16731 ( .A1(n13628), .A2(n10921), .B1(n12475), .Y( n12476) ); sky130_fd_sc_hd__xor2_1 U16732 ( .A(n12476), .B(\exu/i_mul/rs1_x [8]), .X( n12483) ); sky130_fd_sc_hd__fa_1 U16733 ( .A(n12481), .B(n12480), .CIN(n12479), .COUT( n12459), .SUM(n12593) ); sky130_fd_sc_hd__fa_1 U16734 ( .A(n12484), .B(n12483), .CIN(n12482), .COUT( n12592), .SUM(n12582) ); sky130_fd_sc_hd__fa_1 U16735 ( .A(n12487), .B(n12486), .CIN(n12485), .COUT( n12596), .SUM(n12591) ); sky130_fd_sc_hd__nor2_1 U16736 ( .A(n12589), .B(n12590), .Y(n14453) ); sky130_fd_sc_hd__o21ai_1 U16737 ( .A1(n13385), .A2(n13628), .B1(n10946), .Y( n12488) ); sky130_fd_sc_hd__xor2_1 U16738 ( .A(n12488), .B(\exu/i_mul/rs1_x [8]), .X( n12557) ); sky130_fd_sc_hd__o21ai_1 U16739 ( .A1(n13387), .A2(n13628), .B1(n10945), .Y( n12489) ); sky130_fd_sc_hd__xor2_1 U16740 ( .A(n12489), .B(\exu/i_mul/rs1_x [8]), .X( n12519) ); sky130_fd_sc_hd__a222oi_1 U16741 ( .A1(n13626), .A2(\exu/i_mul/rs2_x [2]), .B1(n13625), .B2(\exu/i_mul/rs2_x [1]), .C1(n13624), .C2( \exu/i_mul/rs2_x [0]), .Y(n12490) ); sky130_fd_sc_hd__o21ai_1 U16742 ( .A1(n13628), .A2(n10924), .B1(n12490), .Y( n12491) ); sky130_fd_sc_hd__xor2_1 U16743 ( .A(n12491), .B(\exu/i_mul/rs1_x [8]), .X( n12511) ); sky130_fd_sc_hd__a222oi_1 U16744 ( .A1(n13620), .A2(\exu/i_mul/rs2_x [9]), .B1(n13619), .B2(\exu/i_mul/rs2_x [8]), .C1(n13618), .C2( \exu/i_mul/rs2_x [7]), .Y(n12492) ); sky130_fd_sc_hd__o21ai_1 U16745 ( .A1(n13622), .A2(n10958), .B1(n12492), .Y( n12493) ); sky130_fd_sc_hd__xor2_1 U16746 ( .A(n12493), .B(\exu/i_mul/rs1_x [2]), .X( n12514) ); sky130_fd_sc_hd__a222oi_1 U16747 ( .A1(n13626), .A2(\exu/i_mul/rs2_x [3]), .B1(n13625), .B2(\exu/i_mul/rs2_x [2]), .C1(n13624), .C2( \exu/i_mul/rs2_x [1]), .Y(n12494) ); sky130_fd_sc_hd__o21ai_1 U16748 ( .A1(n13628), .A2(n10870), .B1(n12494), .Y( n12495) ); sky130_fd_sc_hd__xor2_1 U16749 ( .A(n12495), .B(\exu/i_mul/rs1_x [8]), .X( n12503) ); sky130_fd_sc_hd__a222oi_1 U16750 ( .A1(n13632), .A2(\exu/i_mul/rs2_x [6]), .B1(n13631), .B2(\exu/i_mul/rs2_x [5]), .C1(n13630), .C2( \exu/i_mul/rs2_x [4]), .Y(n12497) ); sky130_fd_sc_hd__o21ai_1 U16751 ( .A1(n13634), .A2(n10947), .B1(n12497), .Y( n12498) ); sky130_fd_sc_hd__xor2_1 U16752 ( .A(n12498), .B(\exu/i_mul/rs1_x [5]), .X( n12501) ); sky130_fd_sc_hd__a222oi_1 U16753 ( .A1(n13632), .A2(\exu/i_mul/rs2_x [7]), .B1(n13631), .B2(\exu/i_mul/rs2_x [6]), .C1(n13630), .C2( \exu/i_mul/rs2_x [5]), .Y(n12499) ); sky130_fd_sc_hd__o21ai_1 U16754 ( .A1(n13634), .A2(n10871), .B1(n12499), .Y( n12500) ); sky130_fd_sc_hd__xor2_1 U16755 ( .A(n12500), .B(\exu/i_mul/rs1_x [5]), .X( n12581) ); sky130_fd_sc_hd__fa_1 U16756 ( .A(n12503), .B(n12502), .CIN(n12501), .COUT( n12580), .SUM(n12513) ); sky130_fd_sc_hd__fa_1 U16757 ( .A(n12506), .B(n12505), .CIN(n12504), .COUT( n12583), .SUM(n12579) ); sky130_fd_sc_hd__nor2_1 U16758 ( .A(n12577), .B(n12578), .Y(n14567) ); sky130_fd_sc_hd__a222oi_1 U16759 ( .A1(n13620), .A2(\exu/i_mul/rs2_x [8]), .B1(n13619), .B2(\exu/i_mul/rs2_x [7]), .C1(n13618), .C2( \exu/i_mul/rs2_x [6]), .Y(n12507) ); sky130_fd_sc_hd__o21ai_1 U16760 ( .A1(n13622), .A2(n10899), .B1(n12507), .Y( n12508) ); sky130_fd_sc_hd__xor2_1 U16761 ( .A(n12508), .B(\exu/i_mul/rs1_x [2]), .X( n12525) ); sky130_fd_sc_hd__a222oi_1 U16762 ( .A1(n13632), .A2(\exu/i_mul/rs2_x [5]), .B1(n13631), .B2(\exu/i_mul/rs2_x [4]), .C1(n13630), .C2( \exu/i_mul/rs2_x [3]), .Y(n12509) ); sky130_fd_sc_hd__o21ai_1 U16763 ( .A1(n13634), .A2(n10921), .B1(n12509), .Y( n12510) ); sky130_fd_sc_hd__xor2_1 U16764 ( .A(n12510), .B(\exu/i_mul/rs1_x [5]), .X( n12524) ); sky130_fd_sc_hd__fa_1 U16765 ( .A(n12515), .B(n12514), .CIN(n12513), .COUT( n12577), .SUM(n12575) ); sky130_fd_sc_hd__a222oi_1 U16766 ( .A1(n13632), .A2(\exu/i_mul/rs2_x [4]), .B1(n13631), .B2(\exu/i_mul/rs2_x [3]), .C1(n13630), .C2( \exu/i_mul/rs2_x [2]), .Y(n12517) ); sky130_fd_sc_hd__o21ai_1 U16767 ( .A1(n13634), .A2(n10869), .B1(n12517), .Y( n12518) ); sky130_fd_sc_hd__xor2_1 U16768 ( .A(n12518), .B(\exu/i_mul/rs1_x [5]), .X( n12567) ); sky130_fd_sc_hd__a222oi_1 U16769 ( .A1(n13620), .A2(\exu/i_mul/rs2_x [7]), .B1(n13619), .B2(\exu/i_mul/rs2_x [6]), .C1(n13618), .C2( \exu/i_mul/rs2_x [5]), .Y(n12521) ); sky130_fd_sc_hd__o21ai_1 U16770 ( .A1(n13622), .A2(n10871), .B1(n12521), .Y( n12522) ); sky130_fd_sc_hd__xor2_1 U16771 ( .A(n12522), .B(\exu/i_mul/rs1_x [2]), .X( n12565) ); sky130_fd_sc_hd__fa_1 U16772 ( .A(n12525), .B(n12524), .CIN(n12523), .COUT( n12574), .SUM(n12573) ); sky130_fd_sc_hd__nor2_1 U16773 ( .A(n12572), .B(n12573), .Y(n14680) ); sky130_fd_sc_hd__a222oi_1 U16774 ( .A1(n13620), .A2(\exu/i_mul/rs2_x [5]), .B1(n13619), .B2(\exu/i_mul/rs2_x [4]), .C1(n13618), .C2( \exu/i_mul/rs2_x [3]), .Y(n12526) ); sky130_fd_sc_hd__o21ai_1 U16775 ( .A1(n13622), .A2(n10921), .B1(n12526), .Y( n12527) ); sky130_fd_sc_hd__xor2_1 U16776 ( .A(n12527), .B(\exu/i_mul/rs1_x [2]), .X( n12550) ); sky130_fd_sc_hd__o21ai_1 U16777 ( .A1(n13385), .A2(n13634), .B1(n10952), .Y( n12528) ); sky130_fd_sc_hd__xor2_1 U16778 ( .A(n12528), .B(\exu/i_mul/rs1_x [5]), .X( n12535) ); sky130_fd_sc_hd__o21ai_1 U16779 ( .A1(n13387), .A2(n13634), .B1(n10951), .Y( n12529) ); sky130_fd_sc_hd__xor2_1 U16780 ( .A(n12529), .B(\exu/i_mul/rs1_x [5]), .X( n12546) ); sky130_fd_sc_hd__a222oi_1 U16781 ( .A1(n13632), .A2(\exu/i_mul/rs2_x [2]), .B1(n13631), .B2(\exu/i_mul/rs2_x [1]), .C1(n13630), .C2( \exu/i_mul/rs2_x [0]), .Y(n12530) ); sky130_fd_sc_hd__o21ai_1 U16782 ( .A1(n13634), .A2(n10924), .B1(n12530), .Y( n12531) ); sky130_fd_sc_hd__xor2_1 U16783 ( .A(n12531), .B(\exu/i_mul/rs1_x [5]), .X( n12553) ); sky130_fd_sc_hd__a222oi_1 U16784 ( .A1(n13620), .A2(\exu/i_mul/rs2_x [3]), .B1(n13619), .B2(\exu/i_mul/rs2_x [2]), .C1(n13618), .C2( \exu/i_mul/rs2_x [1]), .Y(n12533) ); sky130_fd_sc_hd__o21ai_1 U16785 ( .A1(n13622), .A2(n10870), .B1(n12533), .Y( n12534) ); sky130_fd_sc_hd__xor2_1 U16786 ( .A(n12534), .B(\exu/i_mul/rs1_x [2]), .X( n12541) ); sky130_fd_sc_hd__o21ai_1 U16787 ( .A1(n13622), .A2(n13387), .B1(n10949), .Y( n12537) ); sky130_fd_sc_hd__xor2_1 U16788 ( .A(n12537), .B(\exu/i_mul/rs1_x [2]), .X( n15107) ); sky130_fd_sc_hd__o21ai_1 U16789 ( .A1(n13385), .A2(n13622), .B1(n10948), .Y( n15147) ); sky130_fd_sc_hd__nand2_1 U16790 ( .A(n15107), .B(n10902), .Y(n15065) ); sky130_fd_sc_hd__a222oi_1 U16791 ( .A1(n13620), .A2(\exu/i_mul/rs2_x [2]), .B1(n13619), .B2(\exu/i_mul/rs2_x [1]), .C1(n13618), .C2( \exu/i_mul/rs2_x [0]), .Y(n12539) ); sky130_fd_sc_hd__o21ai_1 U16792 ( .A1(n13622), .A2(n10924), .B1(n12539), .Y( n12540) ); sky130_fd_sc_hd__nor2_1 U16793 ( .A(n15065), .B(n10950), .Y(n15050) ); sky130_fd_sc_hd__nand2_1 U16794 ( .A(n12542), .B(n12541), .Y(n15048) ); sky130_fd_sc_hd__a21oi_1 U16795 ( .A1(n12536), .A2(n15050), .B1(n12543), .Y( n15008) ); sky130_fd_sc_hd__a222oi_1 U16796 ( .A1(n13620), .A2(\exu/i_mul/rs2_x [4]), .B1(n13619), .B2(\exu/i_mul/rs2_x [3]), .C1(n13618), .C2( \exu/i_mul/rs2_x [2]), .Y(n12544) ); sky130_fd_sc_hd__o21ai_1 U16797 ( .A1(n13622), .A2(n10869), .B1(n12544), .Y( n12545) ); sky130_fd_sc_hd__xor2_1 U16798 ( .A(n12545), .B(\exu/i_mul/rs1_x [2]), .X( n12548) ); sky130_fd_sc_hd__nor2_1 U16799 ( .A(n12548), .B(n12549), .Y(n15005) ); sky130_fd_sc_hd__nand2_1 U16800 ( .A(n12549), .B(n12548), .Y(n15006) ); sky130_fd_sc_hd__o21ai_1 U16801 ( .A1(n15008), .A2(n15005), .B1(n15006), .Y( n14977) ); sky130_fd_sc_hd__nand2_1 U16802 ( .A(n12551), .B(n12550), .Y(n14975) ); sky130_fd_sc_hd__a21oi_1 U16803 ( .A1(n12532), .A2(n14977), .B1(n12552), .Y( n14946) ); sky130_fd_sc_hd__a222oi_1 U16804 ( .A1(n13632), .A2(\exu/i_mul/rs2_x [3]), .B1(n13631), .B2(\exu/i_mul/rs2_x [2]), .C1(n13630), .C2( \exu/i_mul/rs2_x [1]), .Y(n12555) ); sky130_fd_sc_hd__o21ai_1 U16805 ( .A1(n13634), .A2(n10870), .B1(n12555), .Y( n12556) ); sky130_fd_sc_hd__xor2_1 U16806 ( .A(n12556), .B(\exu/i_mul/rs1_x [5]), .X( n12564) ); sky130_fd_sc_hd__a222oi_1 U16807 ( .A1(n13620), .A2(\exu/i_mul/rs2_x [6]), .B1(n13619), .B2(\exu/i_mul/rs2_x [5]), .C1(n13618), .C2( \exu/i_mul/rs2_x [4]), .Y(n12558) ); sky130_fd_sc_hd__o21ai_1 U16808 ( .A1(n13622), .A2(n10947), .B1(n12558), .Y( n12559) ); sky130_fd_sc_hd__xor2_1 U16809 ( .A(n12559), .B(\exu/i_mul/rs1_x [2]), .X( n12562) ); sky130_fd_sc_hd__nor2_1 U16810 ( .A(n12560), .B(n12561), .Y(n14943) ); sky130_fd_sc_hd__nand2_1 U16811 ( .A(n12561), .B(n12560), .Y(n14944) ); sky130_fd_sc_hd__o21ai_1 U16812 ( .A1(n14946), .A2(n14943), .B1(n14944), .Y( n14928) ); sky130_fd_sc_hd__fa_1 U16813 ( .A(n12564), .B(n12563), .CIN(n12562), .COUT( n12569), .SUM(n12561) ); sky130_fd_sc_hd__fa_1 U16814 ( .A(n12567), .B(n12566), .CIN(n12565), .COUT( n12572), .SUM(n12570) ); sky130_fd_sc_hd__nand2_1 U16815 ( .A(n12570), .B(n12569), .Y(n14926) ); sky130_fd_sc_hd__a21oi_1 U16816 ( .A1(n14928), .A2(n12568), .B1(n12571), .Y( n14684) ); sky130_fd_sc_hd__nand2_1 U16817 ( .A(n12573), .B(n12572), .Y(n14681) ); sky130_fd_sc_hd__o21ai_1 U16818 ( .A1(n14680), .A2(n14684), .B1(n14681), .Y( n14633) ); sky130_fd_sc_hd__nand2_1 U16819 ( .A(n12575), .B(n12574), .Y(n14631) ); sky130_fd_sc_hd__a21oi_1 U16820 ( .A1(n12516), .A2(n14633), .B1(n12576), .Y( n14570) ); sky130_fd_sc_hd__nand2_1 U16821 ( .A(n12578), .B(n12577), .Y(n14568) ); sky130_fd_sc_hd__o21ai_1 U16822 ( .A1(n14567), .A2(n14570), .B1(n14568), .Y( n14527) ); sky130_fd_sc_hd__fa_1 U16823 ( .A(n12581), .B(n12580), .CIN(n12579), .COUT( n12586), .SUM(n12578) ); sky130_fd_sc_hd__fa_1 U16824 ( .A(n12584), .B(n12583), .CIN(n12582), .COUT( n12589), .SUM(n12587) ); sky130_fd_sc_hd__nand2_1 U16825 ( .A(n12587), .B(n12586), .Y(n14526) ); sky130_fd_sc_hd__a21oi_1 U16826 ( .A1(n14527), .A2(n12585), .B1(n12588), .Y( n14457) ); sky130_fd_sc_hd__nand2_1 U16827 ( .A(n12590), .B(n12589), .Y(n14454) ); sky130_fd_sc_hd__o21ai_1 U16828 ( .A1(n14453), .A2(n14457), .B1(n14454), .Y( n14494) ); sky130_fd_sc_hd__fa_1 U16829 ( .A(n12593), .B(n12592), .CIN(n12591), .COUT( n12597), .SUM(n12590) ); sky130_fd_sc_hd__fa_1 U16830 ( .A(n12596), .B(n12595), .CIN(n12594), .COUT( n12600), .SUM(n12598) ); sky130_fd_sc_hd__nand2_1 U16831 ( .A(n12598), .B(n12597), .Y(n14493) ); sky130_fd_sc_hd__a21oi_1 U16832 ( .A1(n14494), .A2(n10954), .B1(n12599), .Y( n14431) ); sky130_fd_sc_hd__nand2_1 U16833 ( .A(n12601), .B(n12600), .Y(n14428) ); sky130_fd_sc_hd__o21ai_1 U16834 ( .A1(n14427), .A2(n14431), .B1(n14428), .Y( n14382) ); sky130_fd_sc_hd__fa_1 U16835 ( .A(n12604), .B(n12603), .CIN(n12602), .COUT( n12608), .SUM(n12601) ); sky130_fd_sc_hd__fa_1 U16836 ( .A(n12607), .B(n12606), .CIN(n12605), .COUT( n12611), .SUM(n12609) ); sky130_fd_sc_hd__nand2_1 U16837 ( .A(n12609), .B(n12608), .Y(n14381) ); sky130_fd_sc_hd__a21oi_1 U16838 ( .A1(n14382), .A2(n10955), .B1(n12610), .Y( n15165) ); sky130_fd_sc_hd__nand2_1 U16839 ( .A(n12612), .B(n12611), .Y(n15162) ); sky130_fd_sc_hd__o21ai_1 U16840 ( .A1(n15161), .A2(n15165), .B1(n15162), .Y( n15218) ); sky130_fd_sc_hd__fa_1 U16841 ( .A(n12615), .B(n12614), .CIN(n12613), .COUT( n12619), .SUM(n12612) ); sky130_fd_sc_hd__fa_1 U16842 ( .A(n12618), .B(n12617), .CIN(n12616), .COUT( n12622), .SUM(n12620) ); sky130_fd_sc_hd__nand2_1 U16843 ( .A(n12620), .B(n12619), .Y(n15217) ); sky130_fd_sc_hd__a21oi_1 U16844 ( .A1(n15218), .A2(n10956), .B1(n12621), .Y( n15194) ); sky130_fd_sc_hd__nand2_1 U16845 ( .A(n12623), .B(n12622), .Y(n15191) ); sky130_fd_sc_hd__o21ai_1 U16846 ( .A1(n15190), .A2(n15194), .B1(n15191), .Y( n15237) ); sky130_fd_sc_hd__nand2_1 U16847 ( .A(n12625), .B(n12624), .Y(n15337) ); sky130_fd_sc_hd__o21ai_1 U16848 ( .A1(n15336), .A2(n12626), .B1(n15337), .Y( n14343) ); sky130_fd_sc_hd__xnor2_1 U16849 ( .A(n12627), .B(n13713), .Y(n13752) ); sky130_fd_sc_hd__xnor2_1 U16850 ( .A(\exu/i_mul/rs1_x [30]), .B( \exu/i_mul/rs1_x [29]), .Y(n12641) ); sky130_fd_sc_hd__xor2_1 U16851 ( .A(\exu/i_mul/rs1_x [32]), .B( \exu/i_mul/rs1_x [31]), .X(n12640) ); sky130_fd_sc_hd__nand2b_1 U16852 ( .A_N(n12641), .B(n12640), .Y(n15553) ); sky130_fd_sc_hd__nor2_1 U16853 ( .A(n12629), .B(n12628), .Y(n12668) ); sky130_fd_sc_hd__nand2_1 U16854 ( .A(n12670), .B(n12668), .Y(n12633) ); sky130_fd_sc_hd__nor2_1 U16855 ( .A(n12633), .B(n12671), .Y(n12635) ); sky130_fd_sc_hd__nand2_1 U16856 ( .A(n12631), .B(n12630), .Y(n12672) ); sky130_fd_sc_hd__a21oi_1 U16857 ( .A1(n12678), .A2(n12668), .B1(n12672), .Y( n12632) ); sky130_fd_sc_hd__o21ai_1 U16858 ( .A1(n12633), .A2(n12680), .B1(n12632), .Y( n12634) ); sky130_fd_sc_hd__a21oi_1 U16859 ( .A1(n12684), .A2(n12635), .B1(n12634), .Y( n12638) ); sky130_fd_sc_hd__nor2_1 U16860 ( .A(\exu/i_mul/rs2_x [29]), .B( \exu/i_mul/rs2_x [30]), .Y(n12675) ); sky130_fd_sc_hd__nand2_1 U16861 ( .A(\exu/i_mul/rs2_x [30]), .B( \exu/i_mul/rs2_x [29]), .Y(n12673) ); sky130_fd_sc_hd__nand2_1 U16862 ( .A(n12636), .B(n12673), .Y(n12637) ); sky130_fd_sc_hd__nor2_1 U16863 ( .A(n12640), .B(n12641), .Y(n15548) ); sky130_fd_sc_hd__xnor2_1 U16864 ( .A(\exu/i_mul/rs1_x [30]), .B( \exu/i_mul/rs1_x [31]), .Y(n12639) ); sky130_fd_sc_hd__nor2b_1 U16865 ( .B_N(n12641), .A(n12639), .Y(n15547) ); sky130_fd_sc_hd__and3_1 U16866 ( .A(n12641), .B(n12640), .C(n12639), .X( n15550) ); sky130_fd_sc_hd__a222oi_1 U16867 ( .A1(n15548), .A2(\exu/i_mul/rs2_x [30]), .B1(n15547), .B2(\exu/i_mul/rs2_x [29]), .C1(n15550), .C2( \exu/i_mul/rs2_x [28]), .Y(n12642) ); sky130_fd_sc_hd__o21ai_1 U16868 ( .A1(n15553), .A2(n10905), .B1(n12642), .Y( n12643) ); sky130_fd_sc_hd__xor2_1 U16869 ( .A(n12643), .B(\exu/i_mul/rs1_x [32]), .X( n12693) ); sky130_fd_sc_hd__nor2_1 U16870 ( .A(\exu/i_mul/rs2_x [30]), .B( \exu/i_mul/rs2_x [31]), .Y(n12685) ); sky130_fd_sc_hd__nor2_1 U16871 ( .A(n12675), .B(n12685), .Y(n12647) ); sky130_fd_sc_hd__nand2_1 U16872 ( .A(n12647), .B(n12668), .Y(n12649) ); sky130_fd_sc_hd__nor2_1 U16873 ( .A(n12644), .B(n12649), .Y(n12653) ); sky130_fd_sc_hd__nand2_1 U16874 ( .A(n12653), .B(n12645), .Y(n12656) ); sky130_fd_sc_hd__nand2_1 U16875 ( .A(\exu/i_mul/rs2_x [31]), .B( \exu/i_mul/rs2_x [30]), .Y(n12686) ); sky130_fd_sc_hd__nand2_1 U16876 ( .A(n12686), .B(n12673), .Y(n12646) ); sky130_fd_sc_hd__a21oi_1 U16877 ( .A1(n12647), .A2(n12672), .B1(n12646), .Y( n12648) ); sky130_fd_sc_hd__o21ai_1 U16878 ( .A1(n12650), .A2(n12649), .B1(n12648), .Y( n12651) ); sky130_fd_sc_hd__a21oi_1 U16879 ( .A1(n12653), .A2(n12652), .B1(n12651), .Y( n12654) ); sky130_fd_sc_hd__o21ai_1 U16880 ( .A1(n12656), .A2(n12655), .B1(n12654), .Y( n12661) ); sky130_fd_sc_hd__nand2_1 U16881 ( .A(\exu/i_mul/rs2_x [31]), .B( \exu/i_mul/rs2_x [32]), .Y(n12660) ); sky130_fd_sc_hd__a21oi_1 U16882 ( .A1(n12661), .A2(n10927), .B1(n12657), .Y( n15552) ); sky130_fd_sc_hd__o21a_1 U16883 ( .A1(n13521), .A2(n13520), .B1( \exu/i_mul/rs2_x [32]), .X(n12665) ); sky130_fd_sc_hd__a21oi_1 U16884 ( .A1(n13519), .A2(\exu/i_mul/rs2_x [31]), .B1(n12665), .Y(n12658) ); sky130_fd_sc_hd__o21ai_1 U16885 ( .A1(n13523), .A2(n15552), .B1(n12658), .Y( n12659) ); sky130_fd_sc_hd__xor2_1 U16886 ( .A(n12659), .B(\exu/i_mul/rs1_x [29]), .X( n12692) ); sky130_fd_sc_hd__nand2_1 U16887 ( .A(n10927), .B(n12660), .Y(n12662) ); sky130_fd_sc_hd__a222oi_1 U16888 ( .A1(n13521), .A2(\exu/i_mul/rs2_x [32]), .B1(n13520), .B2(\exu/i_mul/rs2_x [31]), .C1(n13519), .C2( \exu/i_mul/rs2_x [30]), .Y(n12663) ); sky130_fd_sc_hd__o21ai_1 U16889 ( .A1(n13523), .A2(n10926), .B1(n12663), .Y( n12664) ); sky130_fd_sc_hd__xor2_1 U16890 ( .A(n12664), .B(\exu/i_mul/rs1_x [29]), .X( n15521) ); sky130_fd_sc_hd__a21oi_1 U16891 ( .A1(n13519), .A2(\exu/i_mul/rs2_x [32]), .B1(n12665), .Y(n12666) ); sky130_fd_sc_hd__o21ai_1 U16892 ( .A1(n13285), .A2(n13523), .B1(n12666), .Y( n12667) ); sky130_fd_sc_hd__xnor2_1 U16893 ( .A(\exu/i_mul/rs1_x [29]), .B(n12667), .Y( n15522) ); sky130_fd_sc_hd__nor2_1 U16894 ( .A(n12675), .B(n12669), .Y(n12677) ); sky130_fd_sc_hd__nand2_1 U16895 ( .A(n12677), .B(n12670), .Y(n12681) ); sky130_fd_sc_hd__nor2_1 U16896 ( .A(n12681), .B(n12671), .Y(n12683) ); sky130_fd_sc_hd__o21ai_1 U16897 ( .A1(n12675), .A2(n12674), .B1(n12673), .Y( n12676) ); sky130_fd_sc_hd__a21oi_1 U16898 ( .A1(n12678), .A2(n12677), .B1(n12676), .Y( n12679) ); sky130_fd_sc_hd__o21ai_1 U16899 ( .A1(n12681), .A2(n12680), .B1(n12679), .Y( n12682) ); sky130_fd_sc_hd__a21oi_1 U16900 ( .A1(n12684), .A2(n12683), .B1(n12682), .Y( n12689) ); sky130_fd_sc_hd__nand2_1 U16901 ( .A(n12687), .B(n12686), .Y(n12688) ); sky130_fd_sc_hd__a222oi_1 U16902 ( .A1(n15548), .A2(\exu/i_mul/rs2_x [31]), .B1(n15547), .B2(\exu/i_mul/rs2_x [30]), .C1(n15550), .C2( \exu/i_mul/rs2_x [29]), .Y(n12690) ); sky130_fd_sc_hd__o21ai_1 U16903 ( .A1(n15553), .A2(n10920), .B1(n12690), .Y( n12691) ); sky130_fd_sc_hd__xor2_1 U16904 ( .A(n12691), .B(\exu/i_mul/rs1_x [32]), .X( n15520) ); sky130_fd_sc_hd__fa_1 U16905 ( .A(n12693), .B(n12692), .CIN(n12713), .COUT( n15527), .SUM(n15400) ); sky130_fd_sc_hd__a222oi_1 U16906 ( .A1(n15548), .A2(\exu/i_mul/rs2_x [29]), .B1(n15547), .B2(\exu/i_mul/rs2_x [28]), .C1(n15550), .C2( \exu/i_mul/rs2_x [27]), .Y(n12694) ); sky130_fd_sc_hd__o21ai_1 U16907 ( .A1(n15553), .A2(n10906), .B1(n12694), .Y( n12695) ); sky130_fd_sc_hd__xor2_1 U16908 ( .A(n12695), .B(\exu/i_mul/rs1_x [32]), .X( n12714) ); sky130_fd_sc_hd__o21a_1 U16909 ( .A1(n13528), .A2(n13527), .B1( \exu/i_mul/rs2_x [32]), .X(n12706) ); sky130_fd_sc_hd__a21oi_1 U16910 ( .A1(n13526), .A2(\exu/i_mul/rs2_x [32]), .B1(n12706), .Y(n12696) ); sky130_fd_sc_hd__o21ai_1 U16911 ( .A1(n13285), .A2(n13530), .B1(n12696), .Y( n12697) ); sky130_fd_sc_hd__xnor2_1 U16912 ( .A(\exu/i_mul/rs1_x [26]), .B(n12697), .Y( n12711) ); sky130_fd_sc_hd__a222oi_1 U16913 ( .A1(n13528), .A2(\exu/i_mul/rs2_x [32]), .B1(n13527), .B2(\exu/i_mul/rs2_x [31]), .C1(n13526), .C2( \exu/i_mul/rs2_x [30]), .Y(n12698) ); sky130_fd_sc_hd__o21ai_1 U16914 ( .A1(n13530), .A2(n10926), .B1(n12698), .Y( n12699) ); sky130_fd_sc_hd__xor2_1 U16915 ( .A(n12699), .B(\exu/i_mul/rs1_x [26]), .X( n12710) ); sky130_fd_sc_hd__a222oi_1 U16916 ( .A1(n13521), .A2(\exu/i_mul/rs2_x [31]), .B1(n13520), .B2(\exu/i_mul/rs2_x [30]), .C1(n13519), .C2( \exu/i_mul/rs2_x [29]), .Y(n12700) ); sky130_fd_sc_hd__o21ai_1 U16917 ( .A1(n13523), .A2(n10920), .B1(n12700), .Y( n12701) ); sky130_fd_sc_hd__xor2_1 U16918 ( .A(n12701), .B(\exu/i_mul/rs1_x [29]), .X( n12709) ); sky130_fd_sc_hd__a222oi_1 U16919 ( .A1(n15548), .A2(\exu/i_mul/rs2_x [28]), .B1(n15547), .B2(\exu/i_mul/rs2_x [27]), .C1(n15550), .C2( \exu/i_mul/rs2_x [26]), .Y(n12702) ); sky130_fd_sc_hd__o21ai_1 U16920 ( .A1(n15553), .A2(n10919), .B1(n12702), .Y( n12703) ); sky130_fd_sc_hd__xor2_1 U16921 ( .A(n12703), .B(\exu/i_mul/rs1_x [32]), .X( n12725) ); sky130_fd_sc_hd__a222oi_1 U16922 ( .A1(n13521), .A2(\exu/i_mul/rs2_x [30]), .B1(n13520), .B2(\exu/i_mul/rs2_x [29]), .C1(n13519), .C2( \exu/i_mul/rs2_x [28]), .Y(n12704) ); sky130_fd_sc_hd__o21ai_1 U16923 ( .A1(n13523), .A2(n10905), .B1(n12704), .Y( n12705) ); sky130_fd_sc_hd__xor2_1 U16924 ( .A(n12705), .B(\exu/i_mul/rs1_x [29]), .X( n12722) ); sky130_fd_sc_hd__a21oi_1 U16925 ( .A1(n13526), .A2(\exu/i_mul/rs2_x [31]), .B1(n12706), .Y(n12707) ); sky130_fd_sc_hd__o21ai_1 U16926 ( .A1(n13530), .A2(n15552), .B1(n12707), .Y( n12708) ); sky130_fd_sc_hd__xor2_1 U16927 ( .A(n12708), .B(\exu/i_mul/rs1_x [26]), .X( n12721) ); sky130_fd_sc_hd__fa_1 U16928 ( .A(n12711), .B(n12710), .CIN(n12709), .COUT( n12712), .SUM(n12723) ); sky130_fd_sc_hd__fa_1 U16929 ( .A(n12714), .B(n12713), .CIN(n12712), .COUT( n15399), .SUM(n14181) ); sky130_fd_sc_hd__a222oi_1 U16930 ( .A1(n15548), .A2(\exu/i_mul/rs2_x [27]), .B1(n15547), .B2(\exu/i_mul/rs2_x [26]), .C1(n15550), .C2( \exu/i_mul/rs2_x [25]), .Y(n12715) ); sky130_fd_sc_hd__o21ai_1 U16931 ( .A1(n15553), .A2(n10916), .B1(n12715), .Y( n12716) ); sky130_fd_sc_hd__xor2_1 U16932 ( .A(n12716), .B(\exu/i_mul/rs1_x [32]), .X( n12728) ); sky130_fd_sc_hd__a222oi_1 U16933 ( .A1(n13521), .A2(\exu/i_mul/rs2_x [29]), .B1(n13520), .B2(\exu/i_mul/rs2_x [28]), .C1(n13519), .C2( \exu/i_mul/rs2_x [27]), .Y(n12717) ); sky130_fd_sc_hd__o21ai_1 U16934 ( .A1(n13523), .A2(n10906), .B1(n12717), .Y( n12718) ); sky130_fd_sc_hd__xor2_1 U16935 ( .A(n12718), .B(\exu/i_mul/rs1_x [29]), .X( n12737) ); sky130_fd_sc_hd__a222oi_1 U16936 ( .A1(n15548), .A2(\exu/i_mul/rs2_x [26]), .B1(n15547), .B2(\exu/i_mul/rs2_x [25]), .C1(n15550), .C2( \exu/i_mul/rs2_x [24]), .Y(n12719) ); sky130_fd_sc_hd__o21ai_1 U16937 ( .A1(n15553), .A2(n10910), .B1(n12719), .Y( n12720) ); sky130_fd_sc_hd__xor2_1 U16938 ( .A(n12720), .B(\exu/i_mul/rs1_x [32]), .X( n12736) ); sky130_fd_sc_hd__fa_1 U16939 ( .A(n12722), .B(n12721), .CIN(n12735), .COUT( n12724), .SUM(n12726) ); sky130_fd_sc_hd__fa_1 U16940 ( .A(n12725), .B(n12724), .CIN(n12723), .COUT( n14182), .SUM(n15383) ); sky130_fd_sc_hd__fa_1 U16941 ( .A(n12728), .B(n12727), .CIN(n12726), .COUT( n15384), .SUM(n14348) ); sky130_fd_sc_hd__o21a_1 U16942 ( .A1(n13572), .A2(n13571), .B1( \exu/i_mul/rs2_x [32]), .X(n12744) ); sky130_fd_sc_hd__a21oi_1 U16943 ( .A1(n13570), .A2(\exu/i_mul/rs2_x [32]), .B1(n12744), .Y(n12729) ); sky130_fd_sc_hd__o21ai_1 U16944 ( .A1(n13285), .A2(n13574), .B1(n12729), .Y( n12730) ); sky130_fd_sc_hd__xnor2_1 U16945 ( .A(\exu/i_mul/rs1_x [23]), .B(n12730), .Y( n12749) ); sky130_fd_sc_hd__a222oi_1 U16946 ( .A1(n13572), .A2(\exu/i_mul/rs2_x [32]), .B1(n13571), .B2(\exu/i_mul/rs2_x [31]), .C1(n13570), .C2( \exu/i_mul/rs2_x [30]), .Y(n12731) ); sky130_fd_sc_hd__o21ai_1 U16947 ( .A1(n13574), .A2(n10926), .B1(n12731), .Y( n12732) ); sky130_fd_sc_hd__xor2_1 U16948 ( .A(n12732), .B(\exu/i_mul/rs1_x [23]), .X( n12748) ); sky130_fd_sc_hd__a222oi_1 U16949 ( .A1(n13528), .A2(\exu/i_mul/rs2_x [31]), .B1(n13527), .B2(\exu/i_mul/rs2_x [30]), .C1(n13526), .C2( \exu/i_mul/rs2_x [29]), .Y(n12733) ); sky130_fd_sc_hd__o21ai_1 U16950 ( .A1(n13530), .A2(n10920), .B1(n12733), .Y( n12734) ); sky130_fd_sc_hd__xor2_1 U16951 ( .A(n12734), .B(\exu/i_mul/rs1_x [26]), .X( n12747) ); sky130_fd_sc_hd__fa_1 U16952 ( .A(n12737), .B(n12736), .CIN(n12735), .COUT( n12727), .SUM(n12762) ); sky130_fd_sc_hd__a222oi_1 U16953 ( .A1(n13521), .A2(\exu/i_mul/rs2_x [28]), .B1(n13520), .B2(\exu/i_mul/rs2_x [27]), .C1(n13519), .C2( \exu/i_mul/rs2_x [26]), .Y(n12738) ); sky130_fd_sc_hd__o21ai_1 U16954 ( .A1(n13523), .A2(n10919), .B1(n12738), .Y( n12739) ); sky130_fd_sc_hd__xor2_1 U16955 ( .A(n12739), .B(\exu/i_mul/rs1_x [29]), .X( n12760) ); sky130_fd_sc_hd__a222oi_1 U16956 ( .A1(n15548), .A2(\exu/i_mul/rs2_x [25]), .B1(n15547), .B2(\exu/i_mul/rs2_x [24]), .C1(n15550), .C2( \exu/i_mul/rs2_x [23]), .Y(n12740) ); sky130_fd_sc_hd__o21ai_1 U16957 ( .A1(n15553), .A2(n10914), .B1(n12740), .Y( n12741) ); sky130_fd_sc_hd__xor2_1 U16958 ( .A(n12741), .B(\exu/i_mul/rs1_x [32]), .X( n12759) ); sky130_fd_sc_hd__a222oi_1 U16959 ( .A1(n13521), .A2(\exu/i_mul/rs2_x [27]), .B1(n13520), .B2(\exu/i_mul/rs2_x [26]), .C1(n13519), .C2( \exu/i_mul/rs2_x [25]), .Y(n12742) ); sky130_fd_sc_hd__o21ai_1 U16960 ( .A1(n13523), .A2(n10916), .B1(n12742), .Y( n12743) ); sky130_fd_sc_hd__xor2_1 U16961 ( .A(n12743), .B(\exu/i_mul/rs1_x [29]), .X( n12765) ); sky130_fd_sc_hd__a21oi_1 U16962 ( .A1(n13570), .A2(\exu/i_mul/rs2_x [31]), .B1(n12744), .Y(n12745) ); sky130_fd_sc_hd__o21ai_1 U16963 ( .A1(n13574), .A2(n15552), .B1(n12745), .Y( n12746) ); sky130_fd_sc_hd__xor2_1 U16964 ( .A(n12746), .B(\exu/i_mul/rs1_x [23]), .X( n12764) ); sky130_fd_sc_hd__fa_1 U16965 ( .A(n12749), .B(n12748), .CIN(n12747), .COUT( n12763), .SUM(n12785) ); sky130_fd_sc_hd__a222oi_1 U16966 ( .A1(n13528), .A2(\exu/i_mul/rs2_x [30]), .B1(n13527), .B2(\exu/i_mul/rs2_x [29]), .C1(n13526), .C2( \exu/i_mul/rs2_x [28]), .Y(n12750) ); sky130_fd_sc_hd__o21ai_1 U16967 ( .A1(n13530), .A2(n10905), .B1(n12750), .Y( n12751) ); sky130_fd_sc_hd__xor2_1 U16968 ( .A(n12751), .B(\exu/i_mul/rs1_x [26]), .X( n12782) ); sky130_fd_sc_hd__a222oi_1 U16969 ( .A1(n15548), .A2(\exu/i_mul/rs2_x [24]), .B1(n15547), .B2(\exu/i_mul/rs2_x [23]), .C1(n15550), .C2( \exu/i_mul/rs2_x [22]), .Y(n12752) ); sky130_fd_sc_hd__o21ai_1 U16970 ( .A1(n15553), .A2(n10915), .B1(n12752), .Y( n12753) ); sky130_fd_sc_hd__xor2_1 U16971 ( .A(n12753), .B(\exu/i_mul/rs1_x [32]), .X( n12781) ); sky130_fd_sc_hd__a222oi_1 U16972 ( .A1(n15548), .A2(\exu/i_mul/rs2_x [23]), .B1(n15547), .B2(\exu/i_mul/rs2_x [22]), .C1(n15550), .C2( \exu/i_mul/rs2_x [21]), .Y(n12754) ); sky130_fd_sc_hd__o21ai_1 U16973 ( .A1(n15553), .A2(n10909), .B1(n12754), .Y( n12755) ); sky130_fd_sc_hd__xor2_1 U16974 ( .A(n12755), .B(\exu/i_mul/rs1_x [32]), .X( n12788) ); sky130_fd_sc_hd__a222oi_1 U16975 ( .A1(n13521), .A2(\exu/i_mul/rs2_x [26]), .B1(n13520), .B2(\exu/i_mul/rs2_x [25]), .C1(n13519), .C2( \exu/i_mul/rs2_x [24]), .Y(n12756) ); sky130_fd_sc_hd__o21ai_1 U16976 ( .A1(n13523), .A2(n10910), .B1(n12756), .Y( n12757) ); sky130_fd_sc_hd__xor2_1 U16977 ( .A(n12757), .B(\exu/i_mul/rs1_x [29]), .X( n12787) ); sky130_fd_sc_hd__fa_1 U16978 ( .A(n12760), .B(n12759), .CIN(n12758), .COUT( n12761), .SUM(n12783) ); sky130_fd_sc_hd__fa_1 U16979 ( .A(n12763), .B(n12762), .CIN(n12761), .COUT( n14347), .SUM(n13749) ); sky130_fd_sc_hd__nor2_1 U16980 ( .A(n13748), .B(n13749), .Y(n15341) ); sky130_fd_sc_hd__fa_1 U16981 ( .A(n12765), .B(n12764), .CIN(n12786), .COUT( n12758), .SUM(n12808) ); sky130_fd_sc_hd__a222oi_1 U16982 ( .A1(n13528), .A2(\exu/i_mul/rs2_x [29]), .B1(n13527), .B2(\exu/i_mul/rs2_x [28]), .C1(n13526), .C2( \exu/i_mul/rs2_x [27]), .Y(n12766) ); sky130_fd_sc_hd__o21ai_1 U16983 ( .A1(n13530), .A2(n10906), .B1(n12766), .Y( n12767) ); sky130_fd_sc_hd__xor2_1 U16984 ( .A(n12767), .B(\exu/i_mul/rs1_x [26]), .X( n12805) ); sky130_fd_sc_hd__o21a_1 U16985 ( .A1(n13566), .A2(n13565), .B1( \exu/i_mul/rs2_x [32]), .X(n12791) ); sky130_fd_sc_hd__a21oi_1 U16986 ( .A1(n13564), .A2(\exu/i_mul/rs2_x [32]), .B1(n12791), .Y(n12768) ); sky130_fd_sc_hd__o21ai_1 U16987 ( .A1(n13285), .A2(n13568), .B1(n12768), .Y( n12769) ); sky130_fd_sc_hd__xnor2_1 U16988 ( .A(\exu/i_mul/rs1_x [20]), .B(n12769), .Y( n12802) ); sky130_fd_sc_hd__a222oi_1 U16989 ( .A1(n13566), .A2(\exu/i_mul/rs2_x [32]), .B1(n13565), .B2(\exu/i_mul/rs2_x [31]), .C1(n13564), .C2( \exu/i_mul/rs2_x [30]), .Y(n12770) ); sky130_fd_sc_hd__o21ai_1 U16990 ( .A1(n13568), .A2(n10926), .B1(n12770), .Y( n12771) ); sky130_fd_sc_hd__xor2_1 U16991 ( .A(n12771), .B(\exu/i_mul/rs1_x [20]), .X( n12801) ); sky130_fd_sc_hd__a222oi_1 U16992 ( .A1(n13572), .A2(\exu/i_mul/rs2_x [31]), .B1(n13571), .B2(\exu/i_mul/rs2_x [30]), .C1(n13570), .C2( \exu/i_mul/rs2_x [29]), .Y(n12772) ); sky130_fd_sc_hd__o21ai_1 U16993 ( .A1(n13574), .A2(n10920), .B1(n12772), .Y( n12773) ); sky130_fd_sc_hd__xor2_1 U16994 ( .A(n12773), .B(\exu/i_mul/rs1_x [23]), .X( n12800) ); sky130_fd_sc_hd__a222oi_1 U16995 ( .A1(n13528), .A2(\exu/i_mul/rs2_x [28]), .B1(n13527), .B2(\exu/i_mul/rs2_x [27]), .C1(n13526), .C2( \exu/i_mul/rs2_x [26]), .Y(n12774) ); sky130_fd_sc_hd__o21ai_1 U16996 ( .A1(n13530), .A2(n10919), .B1(n12774), .Y( n12775) ); sky130_fd_sc_hd__xor2_1 U16997 ( .A(n12775), .B(\exu/i_mul/rs1_x [26]), .X( n12811) ); sky130_fd_sc_hd__a222oi_1 U16998 ( .A1(n15548), .A2(\exu/i_mul/rs2_x [22]), .B1(n15547), .B2(\exu/i_mul/rs2_x [21]), .C1(n15550), .C2( \exu/i_mul/rs2_x [20]), .Y(n12776) ); sky130_fd_sc_hd__o21ai_1 U16999 ( .A1(n15553), .A2(n10917), .B1(n12776), .Y( n12777) ); sky130_fd_sc_hd__xor2_1 U17000 ( .A(n12777), .B(\exu/i_mul/rs1_x [32]), .X( n12810) ); sky130_fd_sc_hd__a222oi_1 U17001 ( .A1(n13521), .A2(\exu/i_mul/rs2_x [25]), .B1(n13520), .B2(\exu/i_mul/rs2_x [24]), .C1(n13519), .C2( \exu/i_mul/rs2_x [23]), .Y(n12778) ); sky130_fd_sc_hd__o21ai_1 U17002 ( .A1(n13523), .A2(n10914), .B1(n12778), .Y( n12779) ); sky130_fd_sc_hd__xor2_1 U17003 ( .A(n12779), .B(\exu/i_mul/rs1_x [29]), .X( n12809) ); sky130_fd_sc_hd__fa_1 U17004 ( .A(n12782), .B(n12781), .CIN(n12780), .COUT( n12784), .SUM(n12806) ); sky130_fd_sc_hd__fa_1 U17005 ( .A(n12785), .B(n12784), .CIN(n12783), .COUT( n13748), .SUM(n14374) ); sky130_fd_sc_hd__fa_1 U17006 ( .A(n12788), .B(n12787), .CIN(n12786), .COUT( n12780), .SUM(n12826) ); sky130_fd_sc_hd__a222oi_1 U17007 ( .A1(n13528), .A2(\exu/i_mul/rs2_x [27]), .B1(n13527), .B2(\exu/i_mul/rs2_x [26]), .C1(n13526), .C2( \exu/i_mul/rs2_x [25]), .Y(n12789) ); sky130_fd_sc_hd__o21ai_1 U17008 ( .A1(n13530), .A2(n10916), .B1(n12789), .Y( n12790) ); sky130_fd_sc_hd__xor2_1 U17009 ( .A(n12790), .B(\exu/i_mul/rs1_x [26]), .X( n12820) ); sky130_fd_sc_hd__a21oi_1 U17010 ( .A1(n13564), .A2(\exu/i_mul/rs2_x [31]), .B1(n12791), .Y(n12792) ); sky130_fd_sc_hd__o21ai_1 U17011 ( .A1(n13568), .A2(n15552), .B1(n12792), .Y( n12793) ); sky130_fd_sc_hd__xor2_1 U17012 ( .A(n12793), .B(\exu/i_mul/rs1_x [20]), .X( n12819) ); sky130_fd_sc_hd__a222oi_1 U17013 ( .A1(n15548), .A2(\exu/i_mul/rs2_x [21]), .B1(n15547), .B2(\exu/i_mul/rs2_x [20]), .C1(n15550), .C2( \exu/i_mul/rs2_x [19]), .Y(n12794) ); sky130_fd_sc_hd__o21ai_1 U17014 ( .A1(n15553), .A2(n10907), .B1(n12794), .Y( n12795) ); sky130_fd_sc_hd__xor2_1 U17015 ( .A(n12795), .B(\exu/i_mul/rs1_x [32]), .X( n12818) ); sky130_fd_sc_hd__a222oi_1 U17016 ( .A1(n13521), .A2(\exu/i_mul/rs2_x [24]), .B1(n13520), .B2(\exu/i_mul/rs2_x [23]), .C1(n13519), .C2( \exu/i_mul/rs2_x [22]), .Y(n12796) ); sky130_fd_sc_hd__o21ai_1 U17017 ( .A1(n13523), .A2(n10915), .B1(n12796), .Y( n12797) ); sky130_fd_sc_hd__xor2_1 U17018 ( .A(n12797), .B(\exu/i_mul/rs1_x [29]), .X( n12817) ); sky130_fd_sc_hd__a222oi_1 U17019 ( .A1(n13572), .A2(\exu/i_mul/rs2_x [30]), .B1(n13571), .B2(\exu/i_mul/rs2_x [29]), .C1(n13570), .C2( \exu/i_mul/rs2_x [28]), .Y(n12798) ); sky130_fd_sc_hd__o21ai_1 U17020 ( .A1(n13574), .A2(n10905), .B1(n12798), .Y( n12799) ); sky130_fd_sc_hd__xor2_1 U17021 ( .A(n12799), .B(\exu/i_mul/rs1_x [23]), .X( n12816) ); sky130_fd_sc_hd__fa_1 U17022 ( .A(n12802), .B(n12801), .CIN(n12800), .COUT( n12804), .SUM(n12821) ); sky130_fd_sc_hd__fa_1 U17023 ( .A(n12805), .B(n12804), .CIN(n12803), .COUT( n12807), .SUM(n12824) ); sky130_fd_sc_hd__fa_1 U17024 ( .A(n12808), .B(n12807), .CIN(n12806), .COUT( n14375), .SUM(n15290) ); sky130_fd_sc_hd__fa_1 U17025 ( .A(n12811), .B(n12810), .CIN(n12809), .COUT( n12803), .SUM(n12829) ); sky130_fd_sc_hd__a222oi_1 U17026 ( .A1(n13521), .A2(\exu/i_mul/rs2_x [23]), .B1(n13520), .B2(\exu/i_mul/rs2_x [22]), .C1(n13519), .C2( \exu/i_mul/rs2_x [21]), .Y(n12812) ); sky130_fd_sc_hd__o21ai_1 U17027 ( .A1(n13523), .A2(n10909), .B1(n12812), .Y( n12813) ); sky130_fd_sc_hd__xor2_1 U17028 ( .A(n12813), .B(\exu/i_mul/rs1_x [29]), .X( n12851) ); sky130_fd_sc_hd__a222oi_1 U17029 ( .A1(n13572), .A2(\exu/i_mul/rs2_x [29]), .B1(n13571), .B2(\exu/i_mul/rs2_x [28]), .C1(n13570), .C2( \exu/i_mul/rs2_x [27]), .Y(n12814) ); sky130_fd_sc_hd__o21ai_1 U17030 ( .A1(n13574), .A2(n10906), .B1(n12814), .Y( n12815) ); sky130_fd_sc_hd__xor2_1 U17031 ( .A(n12815), .B(\exu/i_mul/rs1_x [23]), .X( n12850) ); sky130_fd_sc_hd__fa_1 U17032 ( .A(n12818), .B(n12817), .CIN(n12816), .COUT( n12822), .SUM(n12841) ); sky130_fd_sc_hd__fa_1 U17033 ( .A(n12820), .B(n12819), .CIN(n12849), .COUT( n12823), .SUM(n12840) ); sky130_fd_sc_hd__fa_1 U17034 ( .A(n12823), .B(n12822), .CIN(n12821), .COUT( n12825), .SUM(n12827) ); sky130_fd_sc_hd__fa_1 U17035 ( .A(n12826), .B(n12825), .CIN(n12824), .COUT( n15291), .SUM(n15323) ); sky130_fd_sc_hd__fa_1 U17036 ( .A(n12829), .B(n12828), .CIN(n12827), .COUT( n15324), .SUM(n15262) ); sky130_fd_sc_hd__a222oi_1 U17037 ( .A1(n13528), .A2(\exu/i_mul/rs2_x [26]), .B1(n13527), .B2(\exu/i_mul/rs2_x [25]), .C1(n13526), .C2( \exu/i_mul/rs2_x [24]), .Y(n12830) ); sky130_fd_sc_hd__o21ai_1 U17038 ( .A1(n13530), .A2(n10910), .B1(n12830), .Y( n12831) ); sky130_fd_sc_hd__xor2_1 U17039 ( .A(n12831), .B(\exu/i_mul/rs1_x [26]), .X( n12908) ); sky130_fd_sc_hd__a222oi_1 U17040 ( .A1(n15548), .A2(\exu/i_mul/rs2_x [20]), .B1(n15547), .B2(\exu/i_mul/rs2_x [19]), .C1(n15550), .C2( \exu/i_mul/rs2_x [18]), .Y(n12832) ); sky130_fd_sc_hd__o21ai_1 U17041 ( .A1(n15553), .A2(n10911), .B1(n12832), .Y( n12833) ); sky130_fd_sc_hd__xor2_1 U17042 ( .A(n12833), .B(\exu/i_mul/rs1_x [32]), .X( n12907) ); sky130_fd_sc_hd__o21a_1 U17043 ( .A1(n13584), .A2(n13583), .B1( \exu/i_mul/rs2_x [32]), .X(n12856) ); sky130_fd_sc_hd__a21oi_1 U17044 ( .A1(n13582), .A2(\exu/i_mul/rs2_x [32]), .B1(n12856), .Y(n12834) ); sky130_fd_sc_hd__o21ai_1 U17045 ( .A1(n13285), .A2(n13586), .B1(n12834), .Y( n12835) ); sky130_fd_sc_hd__xnor2_1 U17046 ( .A(\exu/i_mul/rs1_x [17]), .B(n12835), .Y( n12893) ); sky130_fd_sc_hd__a222oi_1 U17047 ( .A1(n13584), .A2(\exu/i_mul/rs2_x [32]), .B1(n13583), .B2(\exu/i_mul/rs2_x [31]), .C1(n13582), .C2( \exu/i_mul/rs2_x [30]), .Y(n12836) ); sky130_fd_sc_hd__o21ai_1 U17048 ( .A1(n13586), .A2(n10926), .B1(n12836), .Y( n12837) ); sky130_fd_sc_hd__xor2_1 U17049 ( .A(n12837), .B(\exu/i_mul/rs1_x [17]), .X( n12892) ); sky130_fd_sc_hd__a222oi_1 U17050 ( .A1(n13566), .A2(\exu/i_mul/rs2_x [31]), .B1(n13565), .B2(\exu/i_mul/rs2_x [30]), .C1(n13564), .C2( \exu/i_mul/rs2_x [29]), .Y(n12838) ); sky130_fd_sc_hd__o21ai_1 U17051 ( .A1(n13568), .A2(n10920), .B1(n12838), .Y( n12839) ); sky130_fd_sc_hd__xor2_1 U17052 ( .A(n12839), .B(\exu/i_mul/rs1_x [20]), .X( n12891) ); sky130_fd_sc_hd__fa_1 U17053 ( .A(n12842), .B(n12841), .CIN(n12840), .COUT( n12828), .SUM(n13742) ); sky130_fd_sc_hd__a222oi_1 U17054 ( .A1(n13572), .A2(\exu/i_mul/rs2_x [28]), .B1(n13571), .B2(\exu/i_mul/rs2_x [27]), .C1(n13570), .C2( \exu/i_mul/rs2_x [26]), .Y(n12843) ); sky130_fd_sc_hd__o21ai_1 U17055 ( .A1(n13574), .A2(n10919), .B1(n12843), .Y( n12844) ); sky130_fd_sc_hd__xor2_1 U17056 ( .A(n12844), .B(\exu/i_mul/rs1_x [23]), .X( n12896) ); sky130_fd_sc_hd__a222oi_1 U17057 ( .A1(n15548), .A2(\exu/i_mul/rs2_x [19]), .B1(n15547), .B2(\exu/i_mul/rs2_x [18]), .C1(n15550), .C2( \exu/i_mul/rs2_x [17]), .Y(n12845) ); sky130_fd_sc_hd__o21ai_1 U17058 ( .A1(n15553), .A2(n10918), .B1(n12845), .Y( n12846) ); sky130_fd_sc_hd__xor2_1 U17059 ( .A(n12846), .B(\exu/i_mul/rs1_x [32]), .X( n12895) ); sky130_fd_sc_hd__a222oi_1 U17060 ( .A1(n13521), .A2(\exu/i_mul/rs2_x [22]), .B1(n13520), .B2(\exu/i_mul/rs2_x [21]), .C1(n13519), .C2( \exu/i_mul/rs2_x [20]), .Y(n12847) ); sky130_fd_sc_hd__o21ai_1 U17061 ( .A1(n13523), .A2(n10917), .B1(n12847), .Y( n12848) ); sky130_fd_sc_hd__xor2_1 U17062 ( .A(n12848), .B(\exu/i_mul/rs1_x [29]), .X( n12894) ); sky130_fd_sc_hd__fa_1 U17063 ( .A(n12851), .B(n12850), .CIN(n12849), .COUT( n12842), .SUM(n12913) ); sky130_fd_sc_hd__a222oi_1 U17064 ( .A1(n13528), .A2(\exu/i_mul/rs2_x [25]), .B1(n13527), .B2(\exu/i_mul/rs2_x [24]), .C1(n13526), .C2( \exu/i_mul/rs2_x [23]), .Y(n12852) ); sky130_fd_sc_hd__o21ai_1 U17065 ( .A1(n13530), .A2(n10914), .B1(n12852), .Y( n12853) ); sky130_fd_sc_hd__xor2_1 U17066 ( .A(n12853), .B(\exu/i_mul/rs1_x [26]), .X( n12867) ); sky130_fd_sc_hd__a222oi_1 U17067 ( .A1(n13528), .A2(\exu/i_mul/rs2_x [24]), .B1(n13527), .B2(\exu/i_mul/rs2_x [23]), .C1(n13526), .C2( \exu/i_mul/rs2_x [22]), .Y(n12854) ); sky130_fd_sc_hd__o21ai_1 U17068 ( .A1(n13530), .A2(n10915), .B1(n12854), .Y( n12855) ); sky130_fd_sc_hd__xor2_1 U17069 ( .A(n12855), .B(\exu/i_mul/rs1_x [26]), .X( n12872) ); sky130_fd_sc_hd__a21oi_1 U17070 ( .A1(n13582), .A2(\exu/i_mul/rs2_x [31]), .B1(n12856), .Y(n12857) ); sky130_fd_sc_hd__o21ai_1 U17071 ( .A1(n13586), .A2(n15552), .B1(n12857), .Y( n12858) ); sky130_fd_sc_hd__xor2_1 U17072 ( .A(n12858), .B(\exu/i_mul/rs1_x [17]), .X( n12871) ); sky130_fd_sc_hd__a222oi_1 U17073 ( .A1(n13566), .A2(\exu/i_mul/rs2_x [30]), .B1(n13565), .B2(\exu/i_mul/rs2_x [29]), .C1(n13564), .C2( \exu/i_mul/rs2_x [28]), .Y(n12859) ); sky130_fd_sc_hd__o21ai_1 U17074 ( .A1(n13568), .A2(n10905), .B1(n12859), .Y( n12860) ); sky130_fd_sc_hd__xor2_1 U17075 ( .A(n12860), .B(\exu/i_mul/rs1_x [20]), .X( n12870) ); sky130_fd_sc_hd__a222oi_1 U17076 ( .A1(n15548), .A2(\exu/i_mul/rs2_x [18]), .B1(n15547), .B2(\exu/i_mul/rs2_x [17]), .C1(n15550), .C2( \exu/i_mul/rs2_x [16]), .Y(n12861) ); sky130_fd_sc_hd__o21ai_1 U17077 ( .A1(n15553), .A2(n10908), .B1(n12861), .Y( n12862) ); sky130_fd_sc_hd__xor2_1 U17078 ( .A(n12862), .B(\exu/i_mul/rs1_x [32]), .X( n12869) ); sky130_fd_sc_hd__a222oi_1 U17079 ( .A1(n13521), .A2(\exu/i_mul/rs2_x [21]), .B1(n13520), .B2(\exu/i_mul/rs2_x [20]), .C1(n13519), .C2( \exu/i_mul/rs2_x [19]), .Y(n12863) ); sky130_fd_sc_hd__o21ai_1 U17080 ( .A1(n13523), .A2(n10907), .B1(n12863), .Y( n12864) ); sky130_fd_sc_hd__xor2_1 U17081 ( .A(n12864), .B(\exu/i_mul/rs1_x [29]), .X( n12868) ); sky130_fd_sc_hd__fa_1 U17082 ( .A(n12867), .B(n12866), .CIN(n12865), .COUT( n12912), .SUM(n12947) ); sky130_fd_sc_hd__fa_1 U17083 ( .A(n12870), .B(n12869), .CIN(n12868), .COUT( n12865), .SUM(n12944) ); sky130_fd_sc_hd__fa_1 U17084 ( .A(n12872), .B(n12871), .CIN(n12918), .COUT( n12866), .SUM(n12943) ); sky130_fd_sc_hd__o21a_1 U17085 ( .A1(n13590), .A2(n13589), .B1( \exu/i_mul/rs2_x [32]), .X(n12936) ); sky130_fd_sc_hd__a21oi_1 U17086 ( .A1(n13588), .A2(\exu/i_mul/rs2_x [32]), .B1(n12936), .Y(n12873) ); sky130_fd_sc_hd__o21ai_1 U17087 ( .A1(n13285), .A2(n13592), .B1(n12873), .Y( n12874) ); sky130_fd_sc_hd__xnor2_1 U17088 ( .A(\exu/i_mul/rs1_x [14]), .B(n12874), .Y( n12926) ); sky130_fd_sc_hd__a222oi_1 U17089 ( .A1(n15548), .A2(\exu/i_mul/rs2_x [14]), .B1(n15547), .B2(\exu/i_mul/rs2_x [13]), .C1(n15550), .C2( \exu/i_mul/rs2_x [12]), .Y(n12875) ); sky130_fd_sc_hd__o21ai_1 U17090 ( .A1(n15553), .A2(n10868), .B1(n12875), .Y( n12876) ); sky130_fd_sc_hd__xor2_1 U17091 ( .A(n12876), .B(\exu/i_mul/rs1_x [32]), .X( n12927) ); sky130_fd_sc_hd__a222oi_1 U17092 ( .A1(n15548), .A2(\exu/i_mul/rs2_x [16]), .B1(n15547), .B2(\exu/i_mul/rs2_x [15]), .C1(n15550), .C2( \exu/i_mul/rs2_x [14]), .Y(n12877) ); sky130_fd_sc_hd__o21ai_1 U17093 ( .A1(n15553), .A2(n10913), .B1(n12877), .Y( n12878) ); sky130_fd_sc_hd__xor2_1 U17094 ( .A(n12878), .B(\exu/i_mul/rs1_x [32]), .X( n12925) ); sky130_fd_sc_hd__a222oi_1 U17095 ( .A1(n13528), .A2(\exu/i_mul/rs2_x [22]), .B1(n13527), .B2(\exu/i_mul/rs2_x [21]), .C1(n13526), .C2( \exu/i_mul/rs2_x [20]), .Y(n12879) ); sky130_fd_sc_hd__o21ai_1 U17096 ( .A1(n13530), .A2(n10917), .B1(n12879), .Y( n12880) ); sky130_fd_sc_hd__xor2_1 U17097 ( .A(n12880), .B(\exu/i_mul/rs1_x [26]), .X( n12941) ); sky130_fd_sc_hd__a222oi_1 U17098 ( .A1(n13584), .A2(\exu/i_mul/rs2_x [31]), .B1(n13583), .B2(\exu/i_mul/rs2_x [30]), .C1(n13582), .C2( \exu/i_mul/rs2_x [29]), .Y(n12881) ); sky130_fd_sc_hd__o21ai_1 U17099 ( .A1(n13586), .A2(n10920), .B1(n12881), .Y( n12882) ); sky130_fd_sc_hd__xor2_1 U17100 ( .A(n12882), .B(\exu/i_mul/rs1_x [17]), .X( n12940) ); sky130_fd_sc_hd__a222oi_1 U17101 ( .A1(n13566), .A2(\exu/i_mul/rs2_x [28]), .B1(n13565), .B2(\exu/i_mul/rs2_x [27]), .C1(n13564), .C2( \exu/i_mul/rs2_x [26]), .Y(n12883) ); sky130_fd_sc_hd__o21ai_1 U17102 ( .A1(n13568), .A2(n10919), .B1(n12883), .Y( n12884) ); sky130_fd_sc_hd__xor2_1 U17103 ( .A(n12884), .B(\exu/i_mul/rs1_x [20]), .X( n12939) ); sky130_fd_sc_hd__a222oi_1 U17104 ( .A1(n15548), .A2(\exu/i_mul/rs2_x [17]), .B1(n15547), .B2(\exu/i_mul/rs2_x [16]), .C1(n15550), .C2( \exu/i_mul/rs2_x [15]), .Y(n12885) ); sky130_fd_sc_hd__o21ai_1 U17105 ( .A1(n15553), .A2(n10912), .B1(n12885), .Y( n12886) ); sky130_fd_sc_hd__xor2_1 U17106 ( .A(n12886), .B(\exu/i_mul/rs1_x [32]), .X( n12905) ); sky130_fd_sc_hd__a222oi_1 U17107 ( .A1(n13528), .A2(\exu/i_mul/rs2_x [23]), .B1(n13527), .B2(\exu/i_mul/rs2_x [22]), .C1(n13526), .C2( \exu/i_mul/rs2_x [21]), .Y(n12887) ); sky130_fd_sc_hd__o21ai_1 U17108 ( .A1(n13530), .A2(n10909), .B1(n12887), .Y( n12888) ); sky130_fd_sc_hd__xor2_1 U17109 ( .A(n12888), .B(\exu/i_mul/rs1_x [26]), .X( n12904) ); sky130_fd_sc_hd__a222oi_1 U17110 ( .A1(n13572), .A2(\exu/i_mul/rs2_x [26]), .B1(n13571), .B2(\exu/i_mul/rs2_x [25]), .C1(n13570), .C2( \exu/i_mul/rs2_x [24]), .Y(n12889) ); sky130_fd_sc_hd__o21ai_1 U17111 ( .A1(n13574), .A2(n10910), .B1(n12889), .Y( n12890) ); sky130_fd_sc_hd__xor2_1 U17112 ( .A(n12890), .B(\exu/i_mul/rs1_x [23]), .X( n12903) ); sky130_fd_sc_hd__fa_1 U17113 ( .A(n12893), .B(n12892), .CIN(n12891), .COUT( n12906), .SUM(n12911) ); sky130_fd_sc_hd__fa_1 U17114 ( .A(n12896), .B(n12895), .CIN(n12894), .COUT( n12914), .SUM(n12910) ); sky130_fd_sc_hd__a222oi_1 U17115 ( .A1(n13572), .A2(\exu/i_mul/rs2_x [27]), .B1(n13571), .B2(\exu/i_mul/rs2_x [26]), .C1(n13570), .C2( \exu/i_mul/rs2_x [25]), .Y(n12897) ); sky130_fd_sc_hd__o21ai_1 U17116 ( .A1(n13574), .A2(n10916), .B1(n12897), .Y( n12898) ); sky130_fd_sc_hd__xor2_1 U17117 ( .A(n12898), .B(\exu/i_mul/rs1_x [23]), .X( n12917) ); sky130_fd_sc_hd__a222oi_1 U17118 ( .A1(n13521), .A2(\exu/i_mul/rs2_x [20]), .B1(n13520), .B2(\exu/i_mul/rs2_x [19]), .C1(n13519), .C2( \exu/i_mul/rs2_x [18]), .Y(n12899) ); sky130_fd_sc_hd__o21ai_1 U17119 ( .A1(n13523), .A2(n10911), .B1(n12899), .Y( n12900) ); sky130_fd_sc_hd__xor2_1 U17120 ( .A(n12900), .B(\exu/i_mul/rs1_x [29]), .X( n12920) ); sky130_fd_sc_hd__a222oi_1 U17121 ( .A1(n13566), .A2(\exu/i_mul/rs2_x [29]), .B1(n13565), .B2(\exu/i_mul/rs2_x [28]), .C1(n13564), .C2( \exu/i_mul/rs2_x [27]), .Y(n12901) ); sky130_fd_sc_hd__o21ai_1 U17122 ( .A1(n13568), .A2(n10906), .B1(n12901), .Y( n12902) ); sky130_fd_sc_hd__xor2_1 U17123 ( .A(n12902), .B(\exu/i_mul/rs1_x [20]), .X( n12919) ); sky130_fd_sc_hd__fa_1 U17124 ( .A(n12905), .B(n12904), .CIN(n12903), .COUT( n12915), .SUM(n12948) ); sky130_fd_sc_hd__fa_1 U17125 ( .A(n12908), .B(n12907), .CIN(n12906), .COUT( n13743), .SUM(n13740) ); sky130_fd_sc_hd__fa_1 U17126 ( .A(n12911), .B(n12910), .CIN(n12909), .COUT( n13739), .SUM(n12945) ); sky130_fd_sc_hd__fa_1 U17127 ( .A(n12914), .B(n12913), .CIN(n12912), .COUT( n13741), .SUM(n13738) ); sky130_fd_sc_hd__fa_1 U17128 ( .A(n12917), .B(n12916), .CIN(n12915), .COUT( n12909), .SUM(n12978) ); sky130_fd_sc_hd__fa_1 U17129 ( .A(n12920), .B(n12919), .CIN(n12918), .COUT( n12916), .SUM(n12975) ); sky130_fd_sc_hd__a222oi_1 U17130 ( .A1(n13572), .A2(\exu/i_mul/rs2_x [25]), .B1(n13571), .B2(\exu/i_mul/rs2_x [24]), .C1(n13570), .C2( \exu/i_mul/rs2_x [23]), .Y(n12921) ); sky130_fd_sc_hd__o21ai_1 U17131 ( .A1(n13574), .A2(n10914), .B1(n12921), .Y( n12922) ); sky130_fd_sc_hd__xor2_1 U17132 ( .A(n12922), .B(\exu/i_mul/rs1_x [23]), .X( n12961) ); sky130_fd_sc_hd__a222oi_1 U17133 ( .A1(n13521), .A2(\exu/i_mul/rs2_x [19]), .B1(n13520), .B2(\exu/i_mul/rs2_x [18]), .C1(n13519), .C2( \exu/i_mul/rs2_x [17]), .Y(n12923) ); sky130_fd_sc_hd__o21ai_1 U17134 ( .A1(n13523), .A2(n10918), .B1(n12923), .Y( n12924) ); sky130_fd_sc_hd__xor2_1 U17135 ( .A(n12924), .B(\exu/i_mul/rs1_x [29]), .X( n12960) ); sky130_fd_sc_hd__fa_1 U17136 ( .A(n12926), .B(n12927), .CIN(n12925), .COUT( n12950), .SUM(n12959) ); sky130_fd_sc_hd__a222oi_1 U17137 ( .A1(n15548), .A2(\exu/i_mul/rs2_x [15]), .B1(n15547), .B2(\exu/i_mul/rs2_x [14]), .C1(n15550), .C2( \exu/i_mul/rs2_x [13]), .Y(n12928) ); sky130_fd_sc_hd__o21ai_1 U17138 ( .A1(n15553), .A2(n10904), .B1(n12928), .Y( n12929) ); sky130_fd_sc_hd__xor2_1 U17139 ( .A(n12929), .B(\exu/i_mul/rs1_x [32]), .X( n12969) ); sky130_fd_sc_hd__a222oi_1 U17140 ( .A1(n13566), .A2(\exu/i_mul/rs2_x [27]), .B1(n13565), .B2(\exu/i_mul/rs2_x [26]), .C1(n13564), .C2( \exu/i_mul/rs2_x [25]), .Y(n12930) ); sky130_fd_sc_hd__o21ai_1 U17141 ( .A1(n13568), .A2(n10916), .B1(n12930), .Y( n12931) ); sky130_fd_sc_hd__xor2_1 U17142 ( .A(n12931), .B(\exu/i_mul/rs1_x [20]), .X( n12968) ); sky130_fd_sc_hd__a222oi_1 U17143 ( .A1(n13572), .A2(\exu/i_mul/rs2_x [24]), .B1(n13571), .B2(\exu/i_mul/rs2_x [23]), .C1(n13570), .C2( \exu/i_mul/rs2_x [22]), .Y(n12932) ); sky130_fd_sc_hd__o21ai_1 U17144 ( .A1(n13574), .A2(n10915), .B1(n12932), .Y( n12933) ); sky130_fd_sc_hd__xor2_1 U17145 ( .A(n12933), .B(\exu/i_mul/rs1_x [23]), .X( n12972) ); sky130_fd_sc_hd__a222oi_1 U17146 ( .A1(n13584), .A2(\exu/i_mul/rs2_x [30]), .B1(n13583), .B2(\exu/i_mul/rs2_x [29]), .C1(n13582), .C2( \exu/i_mul/rs2_x [28]), .Y(n12934) ); sky130_fd_sc_hd__o21ai_1 U17147 ( .A1(n13586), .A2(n10905), .B1(n12934), .Y( n12935) ); sky130_fd_sc_hd__xor2_1 U17148 ( .A(n12935), .B(\exu/i_mul/rs1_x [17]), .X( n12971) ); sky130_fd_sc_hd__a21oi_1 U17149 ( .A1(n13588), .A2(\exu/i_mul/rs2_x [31]), .B1(n12936), .Y(n12937) ); sky130_fd_sc_hd__o21ai_1 U17150 ( .A1(n13592), .A2(n15552), .B1(n12937), .Y( n12938) ); sky130_fd_sc_hd__xor2_1 U17151 ( .A(n12938), .B(\exu/i_mul/rs1_x [14]), .X( n12970) ); sky130_fd_sc_hd__fa_1 U17152 ( .A(n12941), .B(n12940), .CIN(n12939), .COUT( n12949), .SUM(n12979) ); sky130_fd_sc_hd__fa_1 U17153 ( .A(n12944), .B(n12943), .CIN(n12942), .COUT( n12946), .SUM(n12976) ); sky130_fd_sc_hd__fa_1 U17154 ( .A(n12947), .B(n12946), .CIN(n12945), .COUT( n15197), .SUM(n15221) ); sky130_fd_sc_hd__fa_1 U17155 ( .A(n12950), .B(n12949), .CIN(n12948), .COUT( n12942), .SUM(n13016) ); sky130_fd_sc_hd__a222oi_1 U17156 ( .A1(n13528), .A2(\exu/i_mul/rs2_x [21]), .B1(n13527), .B2(\exu/i_mul/rs2_x [20]), .C1(n13526), .C2( \exu/i_mul/rs2_x [19]), .Y(n12951) ); sky130_fd_sc_hd__o21ai_1 U17157 ( .A1(n13530), .A2(n10907), .B1(n12951), .Y( n12952) ); sky130_fd_sc_hd__xor2_1 U17158 ( .A(n12952), .B(\exu/i_mul/rs1_x [26]), .X( n12998) ); sky130_fd_sc_hd__a222oi_1 U17159 ( .A1(n13521), .A2(\exu/i_mul/rs2_x [18]), .B1(n13520), .B2(\exu/i_mul/rs2_x [17]), .C1(n13519), .C2( \exu/i_mul/rs2_x [16]), .Y(n12953) ); sky130_fd_sc_hd__o21ai_1 U17160 ( .A1(n13523), .A2(n10908), .B1(n12953), .Y( n12954) ); sky130_fd_sc_hd__xor2_1 U17161 ( .A(n12954), .B(\exu/i_mul/rs1_x [29]), .X( n12997) ); sky130_fd_sc_hd__a222oi_1 U17162 ( .A1(n13590), .A2(\exu/i_mul/rs2_x [32]), .B1(n13589), .B2(\exu/i_mul/rs2_x [31]), .C1(n13588), .C2( \exu/i_mul/rs2_x [30]), .Y(n12955) ); sky130_fd_sc_hd__o21ai_1 U17163 ( .A1(n13592), .A2(n10926), .B1(n12955), .Y( n12956) ); sky130_fd_sc_hd__xor2_1 U17164 ( .A(n12956), .B(\exu/i_mul/rs1_x [14]), .X( n13006) ); sky130_fd_sc_hd__a222oi_1 U17165 ( .A1(n13584), .A2(\exu/i_mul/rs2_x [29]), .B1(n13583), .B2(\exu/i_mul/rs2_x [28]), .C1(n13582), .C2( \exu/i_mul/rs2_x [27]), .Y(n12957) ); sky130_fd_sc_hd__o21ai_1 U17166 ( .A1(n13586), .A2(n10906), .B1(n12957), .Y( n12958) ); sky130_fd_sc_hd__xor2_1 U17167 ( .A(n12958), .B(\exu/i_mul/rs1_x [17]), .X( n13005) ); sky130_fd_sc_hd__fa_1 U17168 ( .A(n12961), .B(n12960), .CIN(n12959), .COUT( n12974), .SUM(n13012) ); sky130_fd_sc_hd__a222oi_1 U17169 ( .A1(n13566), .A2(\exu/i_mul/rs2_x [26]), .B1(n13565), .B2(\exu/i_mul/rs2_x [25]), .C1(n13564), .C2( \exu/i_mul/rs2_x [24]), .Y(n12962) ); sky130_fd_sc_hd__o21ai_1 U17170 ( .A1(n13568), .A2(n10910), .B1(n12962), .Y( n12963) ); sky130_fd_sc_hd__xor2_1 U17171 ( .A(n12963), .B(\exu/i_mul/rs1_x [20]), .X( n13010) ); sky130_fd_sc_hd__a222oi_1 U17172 ( .A1(n13521), .A2(\exu/i_mul/rs2_x [17]), .B1(n13520), .B2(\exu/i_mul/rs2_x [16]), .C1(n13519), .C2( \exu/i_mul/rs2_x [15]), .Y(n12964) ); sky130_fd_sc_hd__o21ai_1 U17173 ( .A1(n13523), .A2(n10912), .B1(n12964), .Y( n12965) ); sky130_fd_sc_hd__xor2_1 U17174 ( .A(n12965), .B(\exu/i_mul/rs1_x [29]), .X( n13009) ); sky130_fd_sc_hd__a222oi_1 U17175 ( .A1(n13572), .A2(\exu/i_mul/rs2_x [23]), .B1(n13571), .B2(\exu/i_mul/rs2_x [22]), .C1(n13570), .C2( \exu/i_mul/rs2_x [21]), .Y(n12966) ); sky130_fd_sc_hd__o21ai_1 U17176 ( .A1(n13574), .A2(n10909), .B1(n12966), .Y( n12967) ); sky130_fd_sc_hd__xor2_1 U17177 ( .A(n12967), .B(\exu/i_mul/rs1_x [23]), .X( n13008) ); sky130_fd_sc_hd__fa_1 U17178 ( .A(n13007), .B(n12969), .CIN(n12968), .COUT( n12981), .SUM(n13018) ); sky130_fd_sc_hd__fa_1 U17179 ( .A(n12972), .B(n12971), .CIN(n12970), .COUT( n12980), .SUM(n13017) ); sky130_fd_sc_hd__fa_1 U17180 ( .A(n12975), .B(n12974), .CIN(n12973), .COUT( n12977), .SUM(n13014) ); sky130_fd_sc_hd__fa_1 U17181 ( .A(n12978), .B(n12977), .CIN(n12976), .COUT( n15222), .SUM(n15167) ); sky130_fd_sc_hd__fa_1 U17182 ( .A(n12981), .B(n12980), .CIN(n12979), .COUT( n12973), .SUM(n13054) ); sky130_fd_sc_hd__a222oi_1 U17183 ( .A1(n13528), .A2(\exu/i_mul/rs2_x [20]), .B1(n13527), .B2(\exu/i_mul/rs2_x [19]), .C1(n13526), .C2( \exu/i_mul/rs2_x [18]), .Y(n12982) ); sky130_fd_sc_hd__o21ai_1 U17184 ( .A1(n13530), .A2(n10911), .B1(n12982), .Y( n12983) ); sky130_fd_sc_hd__xor2_1 U17185 ( .A(n12983), .B(\exu/i_mul/rs1_x [26]), .X( n13036) ); sky130_fd_sc_hd__o21a_1 U17186 ( .A1(n13596), .A2(n13595), .B1( \exu/i_mul/rs2_x [32]), .X(n13031) ); sky130_fd_sc_hd__a21oi_1 U17187 ( .A1(n13594), .A2(\exu/i_mul/rs2_x [32]), .B1(n13031), .Y(n12984) ); sky130_fd_sc_hd__o21ai_1 U17188 ( .A1(n13285), .A2(n13598), .B1(n12984), .Y( n12985) ); sky130_fd_sc_hd__xnor2_1 U17189 ( .A(\exu/i_mul/rs1_x [11]), .B(n12985), .Y( n13021) ); sky130_fd_sc_hd__a222oi_1 U17190 ( .A1(n13521), .A2(\exu/i_mul/rs2_x [14]), .B1(n13520), .B2(\exu/i_mul/rs2_x [13]), .C1(n13519), .C2( \exu/i_mul/rs2_x [12]), .Y(n12986) ); sky130_fd_sc_hd__o21ai_1 U17191 ( .A1(n13523), .A2(n10868), .B1(n12986), .Y( n12987) ); sky130_fd_sc_hd__xor2_1 U17192 ( .A(n12987), .B(\exu/i_mul/rs1_x [29]), .X( n13024) ); sky130_fd_sc_hd__a222oi_1 U17193 ( .A1(n13521), .A2(\exu/i_mul/rs2_x [16]), .B1(n13520), .B2(\exu/i_mul/rs2_x [15]), .C1(n13519), .C2( \exu/i_mul/rs2_x [14]), .Y(n12988) ); sky130_fd_sc_hd__o21ai_1 U17194 ( .A1(n13523), .A2(n10913), .B1(n12988), .Y( n12989) ); sky130_fd_sc_hd__xor2_1 U17195 ( .A(n12989), .B(\exu/i_mul/rs1_x [29]), .X( n13020) ); sky130_fd_sc_hd__a222oi_1 U17196 ( .A1(n15548), .A2(\exu/i_mul/rs2_x [13]), .B1(n15547), .B2(\exu/i_mul/rs2_x [12]), .C1(n15550), .C2( \exu/i_mul/rs2_x [11]), .Y(n12990) ); sky130_fd_sc_hd__o21ai_1 U17197 ( .A1(n15553), .A2(n10959), .B1(n12990), .Y( n12991) ); sky130_fd_sc_hd__xor2_1 U17198 ( .A(n12991), .B(\exu/i_mul/rs1_x [32]), .X( n13045) ); sky130_fd_sc_hd__a222oi_1 U17199 ( .A1(n13590), .A2(\exu/i_mul/rs2_x [31]), .B1(n13589), .B2(\exu/i_mul/rs2_x [30]), .C1(n13588), .C2( \exu/i_mul/rs2_x [29]), .Y(n12992) ); sky130_fd_sc_hd__o21ai_1 U17200 ( .A1(n13592), .A2(n10920), .B1(n12992), .Y( n12993) ); sky130_fd_sc_hd__xor2_1 U17201 ( .A(n12993), .B(\exu/i_mul/rs1_x [14]), .X( n13044) ); sky130_fd_sc_hd__a222oi_1 U17202 ( .A1(n13528), .A2(\exu/i_mul/rs2_x [19]), .B1(n13527), .B2(\exu/i_mul/rs2_x [18]), .C1(n13526), .C2( \exu/i_mul/rs2_x [17]), .Y(n12994) ); sky130_fd_sc_hd__o21ai_1 U17203 ( .A1(n13530), .A2(n10918), .B1(n12994), .Y( n12995) ); sky130_fd_sc_hd__xor2_1 U17204 ( .A(n12995), .B(\exu/i_mul/rs1_x [26]), .X( n13043) ); sky130_fd_sc_hd__fa_1 U17205 ( .A(n12998), .B(n12997), .CIN(n12996), .COUT( n13013), .SUM(n13050) ); sky130_fd_sc_hd__a222oi_1 U17206 ( .A1(n13584), .A2(\exu/i_mul/rs2_x [28]), .B1(n13583), .B2(\exu/i_mul/rs2_x [27]), .C1(n13582), .C2( \exu/i_mul/rs2_x [26]), .Y(n12999) ); sky130_fd_sc_hd__o21ai_1 U17207 ( .A1(n13586), .A2(n10919), .B1(n12999), .Y( n13000) ); sky130_fd_sc_hd__xor2_1 U17208 ( .A(n13000), .B(\exu/i_mul/rs1_x [17]), .X( n13048) ); sky130_fd_sc_hd__a222oi_1 U17209 ( .A1(n13572), .A2(\exu/i_mul/rs2_x [22]), .B1(n13571), .B2(\exu/i_mul/rs2_x [21]), .C1(n13570), .C2( \exu/i_mul/rs2_x [20]), .Y(n13001) ); sky130_fd_sc_hd__o21ai_1 U17210 ( .A1(n13574), .A2(n10917), .B1(n13001), .Y( n13002) ); sky130_fd_sc_hd__xor2_1 U17211 ( .A(n13002), .B(\exu/i_mul/rs1_x [23]), .X( n13047) ); sky130_fd_sc_hd__a222oi_1 U17212 ( .A1(n13566), .A2(\exu/i_mul/rs2_x [25]), .B1(n13565), .B2(\exu/i_mul/rs2_x [24]), .C1(n13564), .C2( \exu/i_mul/rs2_x [23]), .Y(n13003) ); sky130_fd_sc_hd__o21ai_1 U17213 ( .A1(n13568), .A2(n10914), .B1(n13003), .Y( n13004) ); sky130_fd_sc_hd__xor2_1 U17214 ( .A(n13004), .B(\exu/i_mul/rs1_x [20]), .X( n13046) ); sky130_fd_sc_hd__fa_1 U17215 ( .A(n13007), .B(n13006), .CIN(n13005), .COUT( n12996), .SUM(n13123) ); sky130_fd_sc_hd__fa_1 U17216 ( .A(n13010), .B(n13009), .CIN(n13008), .COUT( n13019), .SUM(n13122) ); sky130_fd_sc_hd__fa_1 U17217 ( .A(n13013), .B(n13012), .CIN(n13011), .COUT( n13015), .SUM(n13052) ); sky130_fd_sc_hd__fa_1 U17218 ( .A(n13016), .B(n13015), .CIN(n13014), .COUT( n15168), .SUM(n14385) ); sky130_fd_sc_hd__fa_1 U17219 ( .A(n13019), .B(n13018), .CIN(n13017), .COUT( n13011), .SUM(n13733) ); sky130_fd_sc_hd__fa_1 U17220 ( .A(n13021), .B(n13024), .CIN(n13020), .COUT( n13035), .SUM(n13112) ); sky130_fd_sc_hd__a222oi_1 U17221 ( .A1(n15548), .A2(\exu/i_mul/rs2_x [12]), .B1(n15547), .B2(\exu/i_mul/rs2_x [11]), .C1(n15550), .C2( \exu/i_mul/rs2_x [10]), .Y(n13022) ); sky130_fd_sc_hd__o21ai_1 U17222 ( .A1(n15553), .A2(n10960), .B1(n13022), .Y( n13023) ); sky130_fd_sc_hd__xor2_1 U17223 ( .A(n13023), .B(\exu/i_mul/rs1_x [32]), .X( n13084) ); sky130_fd_sc_hd__a222oi_1 U17224 ( .A1(n13521), .A2(\exu/i_mul/rs2_x [15]), .B1(n13520), .B2(\exu/i_mul/rs2_x [14]), .C1(n13519), .C2( \exu/i_mul/rs2_x [13]), .Y(n13025) ); sky130_fd_sc_hd__o21ai_1 U17225 ( .A1(n13523), .A2(n10904), .B1(n13025), .Y( n13026) ); sky130_fd_sc_hd__xor2_1 U17226 ( .A(n13026), .B(\exu/i_mul/rs1_x [29]), .X( n13082) ); sky130_fd_sc_hd__a222oi_1 U17227 ( .A1(n13566), .A2(\exu/i_mul/rs2_x [24]), .B1(n13565), .B2(\exu/i_mul/rs2_x [23]), .C1(n13564), .C2( \exu/i_mul/rs2_x [22]), .Y(n13027) ); sky130_fd_sc_hd__o21ai_1 U17228 ( .A1(n13568), .A2(n10915), .B1(n13027), .Y( n13028) ); sky130_fd_sc_hd__xor2_1 U17229 ( .A(n13028), .B(\exu/i_mul/rs1_x [20]), .X( n13118) ); sky130_fd_sc_hd__a222oi_1 U17230 ( .A1(n13590), .A2(\exu/i_mul/rs2_x [30]), .B1(n13589), .B2(\exu/i_mul/rs2_x [29]), .C1(n13588), .C2( \exu/i_mul/rs2_x [28]), .Y(n13029) ); sky130_fd_sc_hd__o21ai_1 U17231 ( .A1(n13592), .A2(n10905), .B1(n13029), .Y( n13030) ); sky130_fd_sc_hd__xor2_1 U17232 ( .A(n13030), .B(\exu/i_mul/rs1_x [14]), .X( n13117) ); sky130_fd_sc_hd__a21oi_1 U17233 ( .A1(n13594), .A2(\exu/i_mul/rs2_x [31]), .B1(n13031), .Y(n13032) ); sky130_fd_sc_hd__o21ai_1 U17234 ( .A1(n13598), .A2(n15552), .B1(n13032), .Y( n13033) ); sky130_fd_sc_hd__xor2_1 U17235 ( .A(n13033), .B(\exu/i_mul/rs1_x [11]), .X( n13116) ); sky130_fd_sc_hd__fa_1 U17236 ( .A(n13036), .B(n13035), .CIN(n13034), .COUT( n13051), .SUM(n13129) ); sky130_fd_sc_hd__a222oi_1 U17237 ( .A1(n13584), .A2(\exu/i_mul/rs2_x [27]), .B1(n13583), .B2(\exu/i_mul/rs2_x [26]), .C1(n13582), .C2( \exu/i_mul/rs2_x [25]), .Y(n13037) ); sky130_fd_sc_hd__o21ai_1 U17238 ( .A1(n13586), .A2(n10916), .B1(n13037), .Y( n13038) ); sky130_fd_sc_hd__xor2_1 U17239 ( .A(n13038), .B(\exu/i_mul/rs1_x [17]), .X( n13115) ); sky130_fd_sc_hd__a222oi_1 U17240 ( .A1(n13528), .A2(\exu/i_mul/rs2_x [18]), .B1(n13527), .B2(\exu/i_mul/rs2_x [17]), .C1(n13526), .C2( \exu/i_mul/rs2_x [16]), .Y(n13039) ); sky130_fd_sc_hd__o21ai_1 U17241 ( .A1(n13530), .A2(n10908), .B1(n13039), .Y( n13040) ); sky130_fd_sc_hd__xor2_1 U17242 ( .A(n13040), .B(\exu/i_mul/rs1_x [26]), .X( n13114) ); sky130_fd_sc_hd__a222oi_1 U17243 ( .A1(n13572), .A2(\exu/i_mul/rs2_x [21]), .B1(n13571), .B2(\exu/i_mul/rs2_x [20]), .C1(n13570), .C2( \exu/i_mul/rs2_x [19]), .Y(n13041) ); sky130_fd_sc_hd__o21ai_1 U17244 ( .A1(n13574), .A2(n10907), .B1(n13041), .Y( n13042) ); sky130_fd_sc_hd__xor2_1 U17245 ( .A(n13042), .B(\exu/i_mul/rs1_x [23]), .X( n13113) ); sky130_fd_sc_hd__fa_1 U17246 ( .A(n13045), .B(n13044), .CIN(n13043), .COUT( n13034), .SUM(n13056) ); sky130_fd_sc_hd__fa_1 U17247 ( .A(n13048), .B(n13047), .CIN(n13046), .COUT( n13124), .SUM(n13055) ); sky130_fd_sc_hd__fa_1 U17248 ( .A(n13051), .B(n13050), .CIN(n13049), .COUT( n13053), .SUM(n13731) ); sky130_fd_sc_hd__fa_1 U17249 ( .A(n13054), .B(n13053), .CIN(n13052), .COUT( n14386), .SUM(n14432) ); sky130_fd_sc_hd__fa_1 U17250 ( .A(n13057), .B(n13056), .CIN(n13055), .COUT( n13128), .SUM(n13172) ); sky130_fd_sc_hd__a222oi_1 U17251 ( .A1(n13528), .A2(\exu/i_mul/rs2_x [16]), .B1(n13527), .B2(\exu/i_mul/rs2_x [15]), .C1(n13526), .C2( \exu/i_mul/rs2_x [14]), .Y(n13058) ); sky130_fd_sc_hd__o21ai_1 U17252 ( .A1(n13530), .A2(n10913), .B1(n13058), .Y( n13059) ); sky130_fd_sc_hd__xor2_1 U17253 ( .A(n13059), .B(\exu/i_mul/rs1_x [26]), .X( n13149) ); sky130_fd_sc_hd__a222oi_1 U17254 ( .A1(n13521), .A2(\exu/i_mul/rs2_x [13]), .B1(n13520), .B2(\exu/i_mul/rs2_x [12]), .C1(n13519), .C2( \exu/i_mul/rs2_x [11]), .Y(n13060) ); sky130_fd_sc_hd__o21ai_1 U17255 ( .A1(n13523), .A2(n10959), .B1(n13060), .Y( n13061) ); sky130_fd_sc_hd__xor2_1 U17256 ( .A(n13061), .B(\exu/i_mul/rs1_x [29]), .X( n13148) ); sky130_fd_sc_hd__a222oi_1 U17257 ( .A1(n13566), .A2(\exu/i_mul/rs2_x [22]), .B1(n13565), .B2(\exu/i_mul/rs2_x [21]), .C1(n13564), .C2( \exu/i_mul/rs2_x [20]), .Y(n13062) ); sky130_fd_sc_hd__o21ai_1 U17258 ( .A1(n13568), .A2(n10917), .B1(n13062), .Y( n13063) ); sky130_fd_sc_hd__xor2_1 U17259 ( .A(n13063), .B(\exu/i_mul/rs1_x [20]), .X( n13147) ); sky130_fd_sc_hd__a222oi_1 U17260 ( .A1(n13596), .A2(\exu/i_mul/rs2_x [31]), .B1(n13595), .B2(\exu/i_mul/rs2_x [30]), .C1(n13594), .C2( \exu/i_mul/rs2_x [29]), .Y(n13064) ); sky130_fd_sc_hd__o21ai_1 U17261 ( .A1(n13598), .A2(n10920), .B1(n13064), .Y( n13065) ); sky130_fd_sc_hd__xor2_1 U17262 ( .A(n13065), .B(\exu/i_mul/rs1_x [11]), .X( n13155) ); sky130_fd_sc_hd__a222oi_1 U17263 ( .A1(n13572), .A2(\exu/i_mul/rs2_x [19]), .B1(n13571), .B2(\exu/i_mul/rs2_x [18]), .C1(n13570), .C2( \exu/i_mul/rs2_x [17]), .Y(n13066) ); sky130_fd_sc_hd__o21ai_1 U17264 ( .A1(n13574), .A2(n10918), .B1(n13066), .Y( n13067) ); sky130_fd_sc_hd__xor2_1 U17265 ( .A(n13067), .B(\exu/i_mul/rs1_x [23]), .X( n13154) ); sky130_fd_sc_hd__a222oi_1 U17266 ( .A1(n13584), .A2(\exu/i_mul/rs2_x [25]), .B1(n13583), .B2(\exu/i_mul/rs2_x [24]), .C1(n13582), .C2( \exu/i_mul/rs2_x [23]), .Y(n13068) ); sky130_fd_sc_hd__o21ai_1 U17267 ( .A1(n13586), .A2(n10914), .B1(n13068), .Y( n13069) ); sky130_fd_sc_hd__xor2_1 U17268 ( .A(n13069), .B(\exu/i_mul/rs1_x [17]), .X( n13153) ); sky130_fd_sc_hd__a222oi_1 U17269 ( .A1(n13596), .A2(\exu/i_mul/rs2_x [32]), .B1(n13595), .B2(\exu/i_mul/rs2_x [31]), .C1(n13594), .C2( \exu/i_mul/rs2_x [30]), .Y(n13070) ); sky130_fd_sc_hd__o21ai_1 U17270 ( .A1(n13598), .A2(n10926), .B1(n13070), .Y( n13071) ); sky130_fd_sc_hd__xor2_1 U17271 ( .A(n13071), .B(\exu/i_mul/rs1_x [11]), .X( n13075) ); sky130_fd_sc_hd__a222oi_1 U17272 ( .A1(n15548), .A2(\exu/i_mul/rs2_x [11]), .B1(n15547), .B2(\exu/i_mul/rs2_x [10]), .C1(n15550), .C2( \exu/i_mul/rs2_x [9]), .Y(n13072) ); sky130_fd_sc_hd__o21ai_1 U17273 ( .A1(n15553), .A2(n10900), .B1(n13072), .Y( n13073) ); sky130_fd_sc_hd__xor2_1 U17274 ( .A(n13073), .B(\exu/i_mul/rs1_x [32]), .X( n13074) ); sky130_fd_sc_hd__fa_1 U17275 ( .A(n13083), .B(n13075), .CIN(n13074), .COUT( n13109), .SUM(n13150) ); sky130_fd_sc_hd__a222oi_1 U17276 ( .A1(n13590), .A2(\exu/i_mul/rs2_x [29]), .B1(n13589), .B2(\exu/i_mul/rs2_x [28]), .C1(n13588), .C2( \exu/i_mul/rs2_x [27]), .Y(n13076) ); sky130_fd_sc_hd__o21ai_1 U17277 ( .A1(n13592), .A2(n10906), .B1(n13076), .Y( n13077) ); sky130_fd_sc_hd__xor2_1 U17278 ( .A(n13077), .B(\exu/i_mul/rs1_x [14]), .X( n13087) ); sky130_fd_sc_hd__a222oi_1 U17279 ( .A1(n13528), .A2(\exu/i_mul/rs2_x [17]), .B1(n13527), .B2(\exu/i_mul/rs2_x [16]), .C1(n13526), .C2( \exu/i_mul/rs2_x [15]), .Y(n13078) ); sky130_fd_sc_hd__o21ai_1 U17280 ( .A1(n13530), .A2(n10912), .B1(n13078), .Y( n13079) ); sky130_fd_sc_hd__xor2_1 U17281 ( .A(n13079), .B(\exu/i_mul/rs1_x [26]), .X( n13086) ); sky130_fd_sc_hd__a222oi_1 U17282 ( .A1(n13572), .A2(\exu/i_mul/rs2_x [20]), .B1(n13571), .B2(\exu/i_mul/rs2_x [19]), .C1(n13570), .C2( \exu/i_mul/rs2_x [18]), .Y(n13080) ); sky130_fd_sc_hd__o21ai_1 U17283 ( .A1(n13574), .A2(n10911), .B1(n13080), .Y( n13081) ); sky130_fd_sc_hd__xor2_1 U17284 ( .A(n13081), .B(\exu/i_mul/rs1_x [23]), .X( n13085) ); sky130_fd_sc_hd__fa_1 U17285 ( .A(n13084), .B(n13083), .CIN(n13082), .COUT( n13111), .SUM(n13107) ); sky130_fd_sc_hd__fa_1 U17286 ( .A(n13087), .B(n13086), .CIN(n13085), .COUT( n13108), .SUM(n13175) ); sky130_fd_sc_hd__a222oi_1 U17287 ( .A1(n13590), .A2(\exu/i_mul/rs2_x [28]), .B1(n13589), .B2(\exu/i_mul/rs2_x [27]), .C1(n13588), .C2( \exu/i_mul/rs2_x [26]), .Y(n13088) ); sky130_fd_sc_hd__o21ai_1 U17288 ( .A1(n13592), .A2(n10919), .B1(n13088), .Y( n13089) ); sky130_fd_sc_hd__xor2_1 U17289 ( .A(n13089), .B(\exu/i_mul/rs1_x [14]), .X( n13166) ); sky130_fd_sc_hd__a222oi_1 U17290 ( .A1(n15548), .A2(\exu/i_mul/rs2_x [8]), .B1(n15547), .B2(\exu/i_mul/rs2_x [7]), .C1(n15550), .C2( \exu/i_mul/rs2_x [6]), .Y(n13090) ); sky130_fd_sc_hd__o21ai_1 U17291 ( .A1(n15553), .A2(n10899), .B1(n13090), .Y( n13091) ); sky130_fd_sc_hd__xor2_1 U17292 ( .A(n13091), .B(\exu/i_mul/rs1_x [32]), .X( n13105) ); sky130_fd_sc_hd__a222oi_1 U17293 ( .A1(n15548), .A2(\exu/i_mul/rs2_x [9]), .B1(n15547), .B2(\exu/i_mul/rs2_x [8]), .C1(n15550), .C2( \exu/i_mul/rs2_x [7]), .Y(n13092) ); sky130_fd_sc_hd__o21ai_1 U17294 ( .A1(n15553), .A2(n10958), .B1(n13092), .Y( n13093) ); sky130_fd_sc_hd__xor2_1 U17295 ( .A(n13093), .B(\exu/i_mul/rs1_x [32]), .X( n13159) ); sky130_fd_sc_hd__a222oi_1 U17296 ( .A1(n13521), .A2(\exu/i_mul/rs2_x [12]), .B1(n13520), .B2(\exu/i_mul/rs2_x [11]), .C1(n13519), .C2( \exu/i_mul/rs2_x [10]), .Y(n13094) ); sky130_fd_sc_hd__o21ai_1 U17297 ( .A1(n13523), .A2(n10960), .B1(n13094), .Y( n13095) ); sky130_fd_sc_hd__xor2_1 U17298 ( .A(n13095), .B(\exu/i_mul/rs1_x [29]), .X( n13158) ); sky130_fd_sc_hd__o21a_1 U17299 ( .A1(n13626), .A2(n13625), .B1( \exu/i_mul/rs2_x [32]), .X(n13138) ); sky130_fd_sc_hd__a21oi_1 U17300 ( .A1(n13624), .A2(\exu/i_mul/rs2_x [32]), .B1(n13138), .Y(n13096) ); sky130_fd_sc_hd__o21ai_1 U17301 ( .A1(n13285), .A2(n13628), .B1(n13096), .Y( n13097) ); sky130_fd_sc_hd__xnor2_1 U17302 ( .A(\exu/i_mul/rs1_x [8]), .B(n13097), .Y( n13106) ); sky130_fd_sc_hd__a222oi_1 U17303 ( .A1(n15548), .A2(\exu/i_mul/rs2_x [10]), .B1(n15547), .B2(\exu/i_mul/rs2_x [9]), .C1(n15550), .C2( \exu/i_mul/rs2_x [8]), .Y(n13098) ); sky130_fd_sc_hd__o21ai_1 U17304 ( .A1(n15553), .A2(n10903), .B1(n13098), .Y( n13099) ); sky130_fd_sc_hd__xor2_1 U17305 ( .A(n13099), .B(\exu/i_mul/rs1_x [32]), .X( n13104) ); sky130_fd_sc_hd__a222oi_1 U17306 ( .A1(n13584), .A2(\exu/i_mul/rs2_x [26]), .B1(n13583), .B2(\exu/i_mul/rs2_x [25]), .C1(n13582), .C2( \exu/i_mul/rs2_x [24]), .Y(n13100) ); sky130_fd_sc_hd__o21ai_1 U17307 ( .A1(n13586), .A2(n10910), .B1(n13100), .Y( n13101) ); sky130_fd_sc_hd__xor2_1 U17308 ( .A(n13101), .B(\exu/i_mul/rs1_x [17]), .X( n13121) ); sky130_fd_sc_hd__a222oi_1 U17309 ( .A1(n13566), .A2(\exu/i_mul/rs2_x [23]), .B1(n13565), .B2(\exu/i_mul/rs2_x [22]), .C1(n13564), .C2( \exu/i_mul/rs2_x [21]), .Y(n13102) ); sky130_fd_sc_hd__o21ai_1 U17310 ( .A1(n13568), .A2(n10909), .B1(n13102), .Y( n13103) ); sky130_fd_sc_hd__xor2_1 U17311 ( .A(n13103), .B(\exu/i_mul/rs1_x [20]), .X( n13120) ); sky130_fd_sc_hd__fa_1 U17312 ( .A(n13106), .B(n13105), .CIN(n13104), .COUT( n13119), .SUM(n13164) ); sky130_fd_sc_hd__fa_1 U17313 ( .A(n13109), .B(n13108), .CIN(n13107), .COUT( n13127), .SUM(n13168) ); sky130_fd_sc_hd__fa_1 U17314 ( .A(n13112), .B(n13111), .CIN(n13110), .COUT( n13130), .SUM(n13126) ); sky130_fd_sc_hd__fa_1 U17315 ( .A(n13115), .B(n13114), .CIN(n13113), .COUT( n13057), .SUM(n13133) ); sky130_fd_sc_hd__fa_1 U17316 ( .A(n13118), .B(n13117), .CIN(n13116), .COUT( n13110), .SUM(n13132) ); sky130_fd_sc_hd__fa_1 U17317 ( .A(n13121), .B(n13120), .CIN(n13119), .COUT( n13131), .SUM(n13173) ); sky130_fd_sc_hd__fa_1 U17318 ( .A(n13124), .B(n13123), .CIN(n13122), .COUT( n13049), .SUM(n13730) ); sky130_fd_sc_hd__fa_1 U17319 ( .A(n13127), .B(n13126), .CIN(n13125), .COUT( n13729), .SUM(n13170) ); sky130_fd_sc_hd__fa_1 U17320 ( .A(n13130), .B(n13129), .CIN(n13128), .COUT( n13732), .SUM(n13728) ); sky130_fd_sc_hd__fa_1 U17321 ( .A(n13133), .B(n13132), .CIN(n13131), .COUT( n13125), .SUM(n13223) ); sky130_fd_sc_hd__a222oi_1 U17322 ( .A1(n13528), .A2(\exu/i_mul/rs2_x [15]), .B1(n13527), .B2(\exu/i_mul/rs2_x [14]), .C1(n13526), .C2( \exu/i_mul/rs2_x [13]), .Y(n13134) ); sky130_fd_sc_hd__o21ai_1 U17323 ( .A1(n13530), .A2(n10904), .B1(n13134), .Y( n13135) ); sky130_fd_sc_hd__xor2_1 U17324 ( .A(n13135), .B(\exu/i_mul/rs1_x [26]), .X( n13190) ); sky130_fd_sc_hd__a222oi_1 U17325 ( .A1(n13596), .A2(\exu/i_mul/rs2_x [30]), .B1(n13595), .B2(\exu/i_mul/rs2_x [29]), .C1(n13594), .C2( \exu/i_mul/rs2_x [28]), .Y(n13136) ); sky130_fd_sc_hd__o21ai_1 U17326 ( .A1(n13598), .A2(n10905), .B1(n13136), .Y( n13137) ); sky130_fd_sc_hd__xor2_1 U17327 ( .A(n13137), .B(\exu/i_mul/rs1_x [11]), .X( n13189) ); sky130_fd_sc_hd__a21oi_1 U17328 ( .A1(n13624), .A2(\exu/i_mul/rs2_x [31]), .B1(n13138), .Y(n13139) ); sky130_fd_sc_hd__o21ai_1 U17329 ( .A1(n13628), .A2(n15552), .B1(n13139), .Y( n13140) ); sky130_fd_sc_hd__xor2_1 U17330 ( .A(n13140), .B(\exu/i_mul/rs1_x [8]), .X( n13188) ); sky130_fd_sc_hd__a222oi_1 U17331 ( .A1(n13590), .A2(\exu/i_mul/rs2_x [27]), .B1(n13589), .B2(\exu/i_mul/rs2_x [26]), .C1(n13588), .C2( \exu/i_mul/rs2_x [25]), .Y(n13141) ); sky130_fd_sc_hd__o21ai_1 U17332 ( .A1(n13592), .A2(n10916), .B1(n13141), .Y( n13142) ); sky130_fd_sc_hd__xor2_1 U17333 ( .A(n13142), .B(\exu/i_mul/rs1_x [14]), .X( n13196) ); sky130_fd_sc_hd__a222oi_1 U17334 ( .A1(n13572), .A2(\exu/i_mul/rs2_x [18]), .B1(n13571), .B2(\exu/i_mul/rs2_x [17]), .C1(n13570), .C2( \exu/i_mul/rs2_x [16]), .Y(n13143) ); sky130_fd_sc_hd__o21ai_1 U17335 ( .A1(n13574), .A2(n10908), .B1(n13143), .Y( n13144) ); sky130_fd_sc_hd__xor2_1 U17336 ( .A(n13144), .B(\exu/i_mul/rs1_x [23]), .X( n13195) ); sky130_fd_sc_hd__a222oi_1 U17337 ( .A1(n13566), .A2(\exu/i_mul/rs2_x [21]), .B1(n13565), .B2(\exu/i_mul/rs2_x [20]), .C1(n13564), .C2( \exu/i_mul/rs2_x [19]), .Y(n13145) ); sky130_fd_sc_hd__o21ai_1 U17338 ( .A1(n13568), .A2(n10907), .B1(n13145), .Y( n13146) ); sky130_fd_sc_hd__xor2_1 U17339 ( .A(n13146), .B(\exu/i_mul/rs1_x [20]), .X( n13194) ); sky130_fd_sc_hd__fa_1 U17340 ( .A(n13149), .B(n13148), .CIN(n13147), .COUT( n13152), .SUM(n13191) ); sky130_fd_sc_hd__fa_1 U17341 ( .A(n13152), .B(n13151), .CIN(n13150), .COUT( n13169), .SUM(n13219) ); sky130_fd_sc_hd__fa_1 U17342 ( .A(n13155), .B(n13154), .CIN(n13153), .COUT( n13151), .SUM(n13226) ); sky130_fd_sc_hd__a222oi_1 U17343 ( .A1(n13584), .A2(\exu/i_mul/rs2_x [24]), .B1(n13583), .B2(\exu/i_mul/rs2_x [23]), .C1(n13582), .C2( \exu/i_mul/rs2_x [22]), .Y(n13156) ); sky130_fd_sc_hd__o21ai_1 U17344 ( .A1(n13586), .A2(n10915), .B1(n13156), .Y( n13157) ); sky130_fd_sc_hd__xor2_1 U17345 ( .A(n13157), .B(\exu/i_mul/rs1_x [17]), .X( n13217) ); sky130_fd_sc_hd__fa_1 U17346 ( .A(n13229), .B(n13159), .CIN(n13158), .COUT( n13165), .SUM(n13216) ); sky130_fd_sc_hd__a222oi_1 U17347 ( .A1(n13528), .A2(\exu/i_mul/rs2_x [14]), .B1(n13527), .B2(\exu/i_mul/rs2_x [13]), .C1(n13526), .C2( \exu/i_mul/rs2_x [12]), .Y(n13160) ); sky130_fd_sc_hd__o21ai_1 U17348 ( .A1(n13530), .A2(n10868), .B1(n13160), .Y( n13161) ); sky130_fd_sc_hd__xor2_1 U17349 ( .A(n13161), .B(\exu/i_mul/rs1_x [26]), .X( n13228) ); sky130_fd_sc_hd__a222oi_1 U17350 ( .A1(n13626), .A2(\exu/i_mul/rs2_x [32]), .B1(n13625), .B2(\exu/i_mul/rs2_x [31]), .C1(n13624), .C2( \exu/i_mul/rs2_x [30]), .Y(n13162) ); sky130_fd_sc_hd__o21ai_1 U17351 ( .A1(n13628), .A2(n10926), .B1(n13162), .Y( n13163) ); sky130_fd_sc_hd__xor2_1 U17352 ( .A(n13163), .B(\exu/i_mul/rs1_x [8]), .X( n13227) ); sky130_fd_sc_hd__fa_1 U17353 ( .A(n13166), .B(n13165), .CIN(n13164), .COUT( n13174), .SUM(n13224) ); sky130_fd_sc_hd__fa_1 U17354 ( .A(n13169), .B(n13168), .CIN(n13167), .COUT( n13171), .SUM(n13221) ); sky130_fd_sc_hd__fa_1 U17355 ( .A(n13172), .B(n13171), .CIN(n13170), .COUT( n14460), .SUM(n14530) ); sky130_fd_sc_hd__fa_1 U17356 ( .A(n13175), .B(n13174), .CIN(n13173), .COUT( n13167), .SUM(n13271) ); sky130_fd_sc_hd__a222oi_1 U17357 ( .A1(n13521), .A2(\exu/i_mul/rs2_x [11]), .B1(n13520), .B2(\exu/i_mul/rs2_x [10]), .C1(n13519), .C2( \exu/i_mul/rs2_x [9]), .Y(n13176) ); sky130_fd_sc_hd__o21ai_1 U17358 ( .A1(n13523), .A2(n10900), .B1(n13176), .Y( n13177) ); sky130_fd_sc_hd__xor2_1 U17359 ( .A(n13177), .B(\exu/i_mul/rs1_x [29]), .X( n13232) ); sky130_fd_sc_hd__a222oi_1 U17360 ( .A1(n13572), .A2(\exu/i_mul/rs2_x [17]), .B1(n13571), .B2(\exu/i_mul/rs2_x [16]), .C1(n13570), .C2( \exu/i_mul/rs2_x [15]), .Y(n13178) ); sky130_fd_sc_hd__o21ai_1 U17361 ( .A1(n13574), .A2(n10912), .B1(n13178), .Y( n13179) ); sky130_fd_sc_hd__xor2_1 U17362 ( .A(n13179), .B(\exu/i_mul/rs1_x [23]), .X( n13231) ); sky130_fd_sc_hd__a222oi_1 U17363 ( .A1(n13596), .A2(\exu/i_mul/rs2_x [29]), .B1(n13595), .B2(\exu/i_mul/rs2_x [28]), .C1(n13594), .C2( \exu/i_mul/rs2_x [27]), .Y(n13180) ); sky130_fd_sc_hd__o21ai_1 U17364 ( .A1(n13598), .A2(n10906), .B1(n13180), .Y( n13181) ); sky130_fd_sc_hd__xor2_1 U17365 ( .A(n13181), .B(\exu/i_mul/rs1_x [11]), .X( n13230) ); sky130_fd_sc_hd__a222oi_1 U17366 ( .A1(n13590), .A2(\exu/i_mul/rs2_x [26]), .B1(n13589), .B2(\exu/i_mul/rs2_x [25]), .C1(n13588), .C2( \exu/i_mul/rs2_x [24]), .Y(n13182) ); sky130_fd_sc_hd__o21ai_1 U17367 ( .A1(n13592), .A2(n10910), .B1(n13182), .Y( n13183) ); sky130_fd_sc_hd__xor2_1 U17368 ( .A(n13183), .B(\exu/i_mul/rs1_x [14]), .X( n13235) ); sky130_fd_sc_hd__a222oi_1 U17369 ( .A1(n13566), .A2(\exu/i_mul/rs2_x [20]), .B1(n13565), .B2(\exu/i_mul/rs2_x [19]), .C1(n13564), .C2( \exu/i_mul/rs2_x [18]), .Y(n13184) ); sky130_fd_sc_hd__o21ai_1 U17370 ( .A1(n13568), .A2(n10911), .B1(n13184), .Y( n13185) ); sky130_fd_sc_hd__xor2_1 U17371 ( .A(n13185), .B(\exu/i_mul/rs1_x [20]), .X( n13234) ); sky130_fd_sc_hd__a222oi_1 U17372 ( .A1(n13584), .A2(\exu/i_mul/rs2_x [23]), .B1(n13583), .B2(\exu/i_mul/rs2_x [22]), .C1(n13582), .C2( \exu/i_mul/rs2_x [21]), .Y(n13186) ); sky130_fd_sc_hd__o21ai_1 U17373 ( .A1(n13586), .A2(n10909), .B1(n13186), .Y( n13187) ); sky130_fd_sc_hd__xor2_1 U17374 ( .A(n13187), .B(\exu/i_mul/rs1_x [17]), .X( n13233) ); sky130_fd_sc_hd__fa_1 U17375 ( .A(n13190), .B(n13189), .CIN(n13188), .COUT( n13193), .SUM(n13236) ); sky130_fd_sc_hd__fa_1 U17376 ( .A(n13193), .B(n13192), .CIN(n13191), .COUT( n13220), .SUM(n13267) ); sky130_fd_sc_hd__fa_1 U17377 ( .A(n13196), .B(n13195), .CIN(n13194), .COUT( n13192), .SUM(n13274) ); sky130_fd_sc_hd__o21a_1 U17378 ( .A1(n13632), .A2(n13631), .B1( \exu/i_mul/rs2_x [32]), .X(n13260) ); sky130_fd_sc_hd__a21oi_1 U17379 ( .A1(n13630), .A2(\exu/i_mul/rs2_x [32]), .B1(n13260), .Y(n13197) ); sky130_fd_sc_hd__o21ai_1 U17380 ( .A1(n13285), .A2(n13634), .B1(n13197), .Y( n13198) ); sky130_fd_sc_hd__xnor2_1 U17381 ( .A(\exu/i_mul/rs1_x [5]), .B(n13198), .Y( n13249) ); sky130_fd_sc_hd__a222oi_1 U17382 ( .A1(n13521), .A2(\exu/i_mul/rs2_x [8]), .B1(n13520), .B2(\exu/i_mul/rs2_x [7]), .C1(n13519), .C2( \exu/i_mul/rs2_x [6]), .Y(n13199) ); sky130_fd_sc_hd__o21ai_1 U17383 ( .A1(n13523), .A2(n10899), .B1(n13199), .Y( n13200) ); sky130_fd_sc_hd__xor2_1 U17384 ( .A(n13200), .B(\exu/i_mul/rs1_x [29]), .X( n13248) ); sky130_fd_sc_hd__a222oi_1 U17385 ( .A1(n13521), .A2(\exu/i_mul/rs2_x [10]), .B1(n13520), .B2(\exu/i_mul/rs2_x [9]), .C1(n13519), .C2( \exu/i_mul/rs2_x [8]), .Y(n13201) ); sky130_fd_sc_hd__o21ai_1 U17386 ( .A1(n13523), .A2(n10903), .B1(n13201), .Y( n13202) ); sky130_fd_sc_hd__xor2_1 U17387 ( .A(n13202), .B(\exu/i_mul/rs1_x [29]), .X( n13247) ); sky130_fd_sc_hd__a222oi_1 U17388 ( .A1(n15548), .A2(\exu/i_mul/rs2_x [7]), .B1(n15547), .B2(\exu/i_mul/rs2_x [6]), .C1(n15550), .C2( \exu/i_mul/rs2_x [5]), .Y(n13203) ); sky130_fd_sc_hd__o21ai_1 U17389 ( .A1(n15553), .A2(n10871), .B1(n13203), .Y( n13204) ); sky130_fd_sc_hd__xor2_1 U17390 ( .A(n13204), .B(\exu/i_mul/rs1_x [32]), .X( n13277) ); sky130_fd_sc_hd__a222oi_1 U17391 ( .A1(n13572), .A2(\exu/i_mul/rs2_x [16]), .B1(n13571), .B2(\exu/i_mul/rs2_x [15]), .C1(n13570), .C2( \exu/i_mul/rs2_x [14]), .Y(n13205) ); sky130_fd_sc_hd__o21ai_1 U17392 ( .A1(n13574), .A2(n10913), .B1(n13205), .Y( n13206) ); sky130_fd_sc_hd__xor2_1 U17393 ( .A(n13206), .B(\exu/i_mul/rs1_x [23]), .X( n13276) ); sky130_fd_sc_hd__a222oi_1 U17394 ( .A1(n13528), .A2(\exu/i_mul/rs2_x [13]), .B1(n13527), .B2(\exu/i_mul/rs2_x [12]), .C1(n13526), .C2( \exu/i_mul/rs2_x [11]), .Y(n13207) ); sky130_fd_sc_hd__o21ai_1 U17395 ( .A1(n13530), .A2(n10959), .B1(n13207), .Y( n13208) ); sky130_fd_sc_hd__xor2_1 U17396 ( .A(n13208), .B(\exu/i_mul/rs1_x [26]), .X( n13275) ); sky130_fd_sc_hd__a222oi_1 U17397 ( .A1(n13566), .A2(\exu/i_mul/rs2_x [19]), .B1(n13565), .B2(\exu/i_mul/rs2_x [18]), .C1(n13564), .C2( \exu/i_mul/rs2_x [17]), .Y(n13209) ); sky130_fd_sc_hd__o21ai_1 U17398 ( .A1(n13568), .A2(n10918), .B1(n13209), .Y( n13210) ); sky130_fd_sc_hd__xor2_1 U17399 ( .A(n13210), .B(\exu/i_mul/rs1_x [20]), .X( n13291) ); sky130_fd_sc_hd__a222oi_1 U17400 ( .A1(n13584), .A2(\exu/i_mul/rs2_x [22]), .B1(n13583), .B2(\exu/i_mul/rs2_x [21]), .C1(n13582), .C2( \exu/i_mul/rs2_x [20]), .Y(n13211) ); sky130_fd_sc_hd__o21ai_1 U17401 ( .A1(n13586), .A2(n10917), .B1(n13211), .Y( n13212) ); sky130_fd_sc_hd__xor2_1 U17402 ( .A(n13212), .B(\exu/i_mul/rs1_x [17]), .X( n13290) ); sky130_fd_sc_hd__a222oi_1 U17403 ( .A1(n13590), .A2(\exu/i_mul/rs2_x [25]), .B1(n13589), .B2(\exu/i_mul/rs2_x [24]), .C1(n13588), .C2( \exu/i_mul/rs2_x [23]), .Y(n13213) ); sky130_fd_sc_hd__o21ai_1 U17404 ( .A1(n13592), .A2(n10914), .B1(n13213), .Y( n13214) ); sky130_fd_sc_hd__xor2_1 U17405 ( .A(n13214), .B(\exu/i_mul/rs1_x [14]), .X( n13289) ); sky130_fd_sc_hd__fa_1 U17406 ( .A(n13217), .B(n13216), .CIN(n13215), .COUT( n13225), .SUM(n13272) ); sky130_fd_sc_hd__fa_1 U17407 ( .A(n13220), .B(n13219), .CIN(n13218), .COUT( n13222), .SUM(n13269) ); sky130_fd_sc_hd__fa_1 U17408 ( .A(n13223), .B(n13222), .CIN(n13221), .COUT( n14531), .SUM(n14573) ); sky130_fd_sc_hd__fa_1 U17409 ( .A(n13226), .B(n13225), .CIN(n13224), .COUT( n13218), .SUM(n13320) ); sky130_fd_sc_hd__fa_1 U17410 ( .A(n13229), .B(n13228), .CIN(n13227), .COUT( n13215), .SUM(n13294) ); sky130_fd_sc_hd__fa_1 U17411 ( .A(n13232), .B(n13231), .CIN(n13230), .COUT( n13238), .SUM(n13293) ); sky130_fd_sc_hd__fa_1 U17412 ( .A(n13235), .B(n13234), .CIN(n13233), .COUT( n13237), .SUM(n13292) ); sky130_fd_sc_hd__fa_1 U17413 ( .A(n13238), .B(n13237), .CIN(n13236), .COUT( n13268), .SUM(n13316) ); sky130_fd_sc_hd__a222oi_1 U17414 ( .A1(n13626), .A2(\exu/i_mul/rs2_x [31]), .B1(n13625), .B2(\exu/i_mul/rs2_x [30]), .C1(n13624), .C2( \exu/i_mul/rs2_x [29]), .Y(n13239) ); sky130_fd_sc_hd__o21ai_1 U17415 ( .A1(n13628), .A2(n10920), .B1(n13239), .Y( n13240) ); sky130_fd_sc_hd__xor2_1 U17416 ( .A(n13240), .B(\exu/i_mul/rs1_x [8]), .X( n13297) ); sky130_fd_sc_hd__a222oi_1 U17417 ( .A1(n13596), .A2(\exu/i_mul/rs2_x [28]), .B1(n13595), .B2(\exu/i_mul/rs2_x [27]), .C1(n13594), .C2( \exu/i_mul/rs2_x [26]), .Y(n13241) ); sky130_fd_sc_hd__o21ai_1 U17418 ( .A1(n13598), .A2(n10919), .B1(n13241), .Y( n13242) ); sky130_fd_sc_hd__xor2_1 U17419 ( .A(n13242), .B(\exu/i_mul/rs1_x [11]), .X( n13296) ); sky130_fd_sc_hd__a222oi_1 U17420 ( .A1(n15548), .A2(\exu/i_mul/rs2_x [6]), .B1(n15547), .B2(\exu/i_mul/rs2_x [5]), .C1(n15550), .C2( \exu/i_mul/rs2_x [4]), .Y(n13243) ); sky130_fd_sc_hd__o21ai_1 U17421 ( .A1(n15553), .A2(n10947), .B1(n13243), .Y( n13244) ); sky130_fd_sc_hd__xor2_1 U17422 ( .A(n13244), .B(\exu/i_mul/rs1_x [32]), .X( n13299) ); sky130_fd_sc_hd__a222oi_1 U17423 ( .A1(n13521), .A2(\exu/i_mul/rs2_x [9]), .B1(n13520), .B2(\exu/i_mul/rs2_x [8]), .C1(n13519), .C2( \exu/i_mul/rs2_x [7]), .Y(n13245) ); sky130_fd_sc_hd__o21ai_1 U17424 ( .A1(n13523), .A2(n10958), .B1(n13245), .Y( n13246) ); sky130_fd_sc_hd__xor2_1 U17425 ( .A(n13246), .B(\exu/i_mul/rs1_x [29]), .X( n13298) ); sky130_fd_sc_hd__fa_1 U17426 ( .A(n13249), .B(n13248), .CIN(n13247), .COUT( n13265), .SUM(n13314) ); sky130_fd_sc_hd__a222oi_1 U17427 ( .A1(n13528), .A2(\exu/i_mul/rs2_x [12]), .B1(n13527), .B2(\exu/i_mul/rs2_x [11]), .C1(n13526), .C2( \exu/i_mul/rs2_x [10]), .Y(n13250) ); sky130_fd_sc_hd__o21ai_1 U17428 ( .A1(n13530), .A2(n10960), .B1(n13250), .Y( n13251) ); sky130_fd_sc_hd__xor2_1 U17429 ( .A(n13251), .B(\exu/i_mul/rs1_x [26]), .X( n13326) ); sky130_fd_sc_hd__a222oi_1 U17430 ( .A1(n13572), .A2(\exu/i_mul/rs2_x [15]), .B1(n13571), .B2(\exu/i_mul/rs2_x [14]), .C1(n13570), .C2( \exu/i_mul/rs2_x [13]), .Y(n13252) ); sky130_fd_sc_hd__o21ai_1 U17431 ( .A1(n13574), .A2(n10904), .B1(n13252), .Y( n13253) ); sky130_fd_sc_hd__xor2_1 U17432 ( .A(n13253), .B(\exu/i_mul/rs1_x [23]), .X( n13325) ); sky130_fd_sc_hd__a222oi_1 U17433 ( .A1(n13584), .A2(\exu/i_mul/rs2_x [21]), .B1(n13583), .B2(\exu/i_mul/rs2_x [20]), .C1(n13582), .C2( \exu/i_mul/rs2_x [19]), .Y(n13254) ); sky130_fd_sc_hd__o21ai_1 U17434 ( .A1(n13586), .A2(n10907), .B1(n13254), .Y( n13255) ); sky130_fd_sc_hd__xor2_1 U17435 ( .A(n13255), .B(\exu/i_mul/rs1_x [17]), .X( n13324) ); sky130_fd_sc_hd__a222oi_1 U17436 ( .A1(n13566), .A2(\exu/i_mul/rs2_x [18]), .B1(n13565), .B2(\exu/i_mul/rs2_x [17]), .C1(n13564), .C2( \exu/i_mul/rs2_x [16]), .Y(n13256) ); sky130_fd_sc_hd__o21ai_1 U17437 ( .A1(n13568), .A2(n10908), .B1(n13256), .Y( n13257) ); sky130_fd_sc_hd__xor2_1 U17438 ( .A(n13257), .B(\exu/i_mul/rs1_x [20]), .X( n13329) ); sky130_fd_sc_hd__a222oi_1 U17439 ( .A1(n13590), .A2(\exu/i_mul/rs2_x [24]), .B1(n13589), .B2(\exu/i_mul/rs2_x [23]), .C1(n13588), .C2( \exu/i_mul/rs2_x [22]), .Y(n13258) ); sky130_fd_sc_hd__o21ai_1 U17440 ( .A1(n13592), .A2(n10915), .B1(n13258), .Y( n13259) ); sky130_fd_sc_hd__xor2_1 U17441 ( .A(n13259), .B(\exu/i_mul/rs1_x [14]), .X( n13328) ); sky130_fd_sc_hd__a21oi_1 U17442 ( .A1(n13630), .A2(\exu/i_mul/rs2_x [31]), .B1(n13260), .Y(n13261) ); sky130_fd_sc_hd__o21ai_1 U17443 ( .A1(n13634), .A2(n15552), .B1(n13261), .Y( n13262) ); sky130_fd_sc_hd__xor2_1 U17444 ( .A(n13262), .B(\exu/i_mul/rs1_x [5]), .X( n13327) ); sky130_fd_sc_hd__fa_1 U17445 ( .A(n13265), .B(n13264), .CIN(n13263), .COUT( n13273), .SUM(n13321) ); sky130_fd_sc_hd__fa_1 U17446 ( .A(n13268), .B(n13267), .CIN(n13266), .COUT( n13270), .SUM(n13318) ); sky130_fd_sc_hd__fa_1 U17447 ( .A(n13271), .B(n13270), .CIN(n13269), .COUT( n14574), .SUM(n14635) ); sky130_fd_sc_hd__fa_1 U17448 ( .A(n13274), .B(n13273), .CIN(n13272), .COUT( n13266), .SUM(n13371) ); sky130_fd_sc_hd__fa_1 U17449 ( .A(n13277), .B(n13276), .CIN(n13275), .COUT( n13264), .SUM(n13344) ); sky130_fd_sc_hd__a222oi_1 U17450 ( .A1(n13626), .A2(\exu/i_mul/rs2_x [30]), .B1(n13625), .B2(\exu/i_mul/rs2_x [29]), .C1(n13624), .C2( \exu/i_mul/rs2_x [28]), .Y(n13278) ); sky130_fd_sc_hd__o21ai_1 U17451 ( .A1(n13628), .A2(n10905), .B1(n13278), .Y( n13279) ); sky130_fd_sc_hd__xor2_1 U17452 ( .A(n13279), .B(\exu/i_mul/rs1_x [8]), .X( n13347) ); sky130_fd_sc_hd__a222oi_1 U17453 ( .A1(n13596), .A2(\exu/i_mul/rs2_x [27]), .B1(n13595), .B2(\exu/i_mul/rs2_x [26]), .C1(n13594), .C2( \exu/i_mul/rs2_x [25]), .Y(n13280) ); sky130_fd_sc_hd__o21ai_1 U17454 ( .A1(n13598), .A2(n10916), .B1(n13280), .Y( n13281) ); sky130_fd_sc_hd__xor2_1 U17455 ( .A(n13281), .B(\exu/i_mul/rs1_x [11]), .X( n13346) ); sky130_fd_sc_hd__a222oi_1 U17456 ( .A1(n15548), .A2(\exu/i_mul/rs2_x [5]), .B1(n15547), .B2(\exu/i_mul/rs2_x [4]), .C1(n15550), .C2( \exu/i_mul/rs2_x [3]), .Y(n13282) ); sky130_fd_sc_hd__o21ai_1 U17457 ( .A1(n15553), .A2(n10921), .B1(n13282), .Y( n13283) ); sky130_fd_sc_hd__xor2_1 U17458 ( .A(n13283), .B(\exu/i_mul/rs1_x [32]), .X( n13350) ); sky130_fd_sc_hd__o21a_1 U17459 ( .A1(n13619), .A2(n13620), .B1( \exu/i_mul/rs2_x [32]), .X(n13408) ); sky130_fd_sc_hd__a21oi_1 U17460 ( .A1(n13618), .A2(\exu/i_mul/rs2_x [32]), .B1(n13408), .Y(n13284) ); sky130_fd_sc_hd__o21ai_1 U17461 ( .A1(n13285), .A2(n13622), .B1(n13284), .Y( n13286) ); sky130_fd_sc_hd__xnor2_1 U17462 ( .A(\exu/i_mul/rs1_x [2]), .B(n13286), .Y( n13354) ); sky130_fd_sc_hd__a222oi_1 U17463 ( .A1(n15548), .A2(\exu/i_mul/rs2_x [4]), .B1(n15547), .B2(\exu/i_mul/rs2_x [3]), .C1(n15550), .C2( \exu/i_mul/rs2_x [2]), .Y(n13287) ); sky130_fd_sc_hd__o21ai_1 U17464 ( .A1(n15553), .A2(n10869), .B1(n13287), .Y( n13288) ); sky130_fd_sc_hd__xor2_1 U17465 ( .A(n13288), .B(\exu/i_mul/rs1_x [32]), .X( n13353) ); sky130_fd_sc_hd__fa_1 U17466 ( .A(n13291), .B(n13290), .CIN(n13289), .COUT( n13263), .SUM(n13342) ); sky130_fd_sc_hd__fa_1 U17467 ( .A(n13294), .B(n13293), .CIN(n13292), .COUT( n13317), .SUM(n13367) ); sky130_fd_sc_hd__fa_1 U17468 ( .A(n13297), .B(n13296), .CIN(n13295), .COUT( n13323), .SUM(n13374) ); sky130_fd_sc_hd__fa_1 U17469 ( .A(n13299), .B(n13348), .CIN(n13298), .COUT( n13295), .SUM(n13365) ); sky130_fd_sc_hd__a222oi_1 U17470 ( .A1(n13572), .A2(\exu/i_mul/rs2_x [14]), .B1(n13571), .B2(\exu/i_mul/rs2_x [13]), .C1(n13570), .C2( \exu/i_mul/rs2_x [12]), .Y(n13300) ); sky130_fd_sc_hd__o21ai_1 U17471 ( .A1(n13574), .A2(n10868), .B1(n13300), .Y( n13301) ); sky130_fd_sc_hd__xor2_1 U17472 ( .A(n13301), .B(\exu/i_mul/rs1_x [23]), .X( n13377) ); sky130_fd_sc_hd__a222oi_1 U17473 ( .A1(n13632), .A2(\exu/i_mul/rs2_x [32]), .B1(n13631), .B2(\exu/i_mul/rs2_x [31]), .C1(n13630), .C2( \exu/i_mul/rs2_x [30]), .Y(n13302) ); sky130_fd_sc_hd__o21ai_1 U17474 ( .A1(n13634), .A2(n10926), .B1(n13302), .Y( n13303) ); sky130_fd_sc_hd__xor2_1 U17475 ( .A(n13303), .B(\exu/i_mul/rs1_x [5]), .X( n13376) ); sky130_fd_sc_hd__a222oi_1 U17476 ( .A1(n13528), .A2(\exu/i_mul/rs2_x [11]), .B1(n13527), .B2(\exu/i_mul/rs2_x [10]), .C1(n13526), .C2( \exu/i_mul/rs2_x [9]), .Y(n13304) ); sky130_fd_sc_hd__o21ai_1 U17477 ( .A1(n13530), .A2(n10900), .B1(n13304), .Y( n13305) ); sky130_fd_sc_hd__xor2_1 U17478 ( .A(n13305), .B(\exu/i_mul/rs1_x [26]), .X( n13375) ); sky130_fd_sc_hd__a222oi_1 U17479 ( .A1(n13566), .A2(\exu/i_mul/rs2_x [17]), .B1(n13565), .B2(\exu/i_mul/rs2_x [16]), .C1(n13564), .C2( \exu/i_mul/rs2_x [15]), .Y(n13306) ); sky130_fd_sc_hd__o21ai_1 U17480 ( .A1(n13568), .A2(n10912), .B1(n13306), .Y( n13307) ); sky130_fd_sc_hd__xor2_1 U17481 ( .A(n13307), .B(\exu/i_mul/rs1_x [20]), .X( n13380) ); sky130_fd_sc_hd__a222oi_1 U17482 ( .A1(n13584), .A2(\exu/i_mul/rs2_x [20]), .B1(n13583), .B2(\exu/i_mul/rs2_x [19]), .C1(n13582), .C2( \exu/i_mul/rs2_x [18]), .Y(n13308) ); sky130_fd_sc_hd__o21ai_1 U17483 ( .A1(n13586), .A2(n10911), .B1(n13308), .Y( n13309) ); sky130_fd_sc_hd__xor2_1 U17484 ( .A(n13309), .B(\exu/i_mul/rs1_x [17]), .X( n13379) ); sky130_fd_sc_hd__a222oi_1 U17485 ( .A1(n13590), .A2(\exu/i_mul/rs2_x [23]), .B1(n13589), .B2(\exu/i_mul/rs2_x [22]), .C1(n13588), .C2( \exu/i_mul/rs2_x [21]), .Y(n13310) ); sky130_fd_sc_hd__o21ai_1 U17486 ( .A1(n13592), .A2(n10909), .B1(n13310), .Y( n13311) ); sky130_fd_sc_hd__xor2_1 U17487 ( .A(n13311), .B(\exu/i_mul/rs1_x [14]), .X( n13378) ); sky130_fd_sc_hd__fa_1 U17488 ( .A(n13314), .B(n13313), .CIN(n13312), .COUT( n13322), .SUM(n13372) ); sky130_fd_sc_hd__fa_1 U17489 ( .A(n13317), .B(n13316), .CIN(n13315), .COUT( n13319), .SUM(n13369) ); sky130_fd_sc_hd__fa_1 U17490 ( .A(n13320), .B(n13319), .CIN(n13318), .COUT( n14636), .SUM(n13726) ); sky130_fd_sc_hd__nor2_1 U17491 ( .A(n13725), .B(n13726), .Y(n14685) ); sky130_fd_sc_hd__fa_1 U17492 ( .A(n13323), .B(n13322), .CIN(n13321), .COUT( n13315), .SUM(n13425) ); sky130_fd_sc_hd__fa_1 U17493 ( .A(n13326), .B(n13325), .CIN(n13324), .COUT( n13313), .SUM(n13397) ); sky130_fd_sc_hd__fa_1 U17494 ( .A(n13329), .B(n13328), .CIN(n13327), .COUT( n13312), .SUM(n13396) ); sky130_fd_sc_hd__a222oi_1 U17495 ( .A1(n13626), .A2(\exu/i_mul/rs2_x [29]), .B1(n13625), .B2(\exu/i_mul/rs2_x [28]), .C1(n13624), .C2( \exu/i_mul/rs2_x [27]), .Y(n13330) ); sky130_fd_sc_hd__o21ai_1 U17496 ( .A1(n13628), .A2(n10906), .B1(n13330), .Y( n13331) ); sky130_fd_sc_hd__xor2_1 U17497 ( .A(n13331), .B(\exu/i_mul/rs1_x [8]), .X( n13400) ); sky130_fd_sc_hd__a222oi_1 U17498 ( .A1(n13596), .A2(\exu/i_mul/rs2_x [26]), .B1(n13595), .B2(\exu/i_mul/rs2_x [25]), .C1(n13594), .C2( \exu/i_mul/rs2_x [24]), .Y(n13332) ); sky130_fd_sc_hd__o21ai_1 U17499 ( .A1(n13598), .A2(n10910), .B1(n13332), .Y( n13333) ); sky130_fd_sc_hd__xor2_1 U17500 ( .A(n13333), .B(\exu/i_mul/rs1_x [11]), .X( n13399) ); sky130_fd_sc_hd__a222oi_1 U17501 ( .A1(n13528), .A2(\exu/i_mul/rs2_x [10]), .B1(n13527), .B2(\exu/i_mul/rs2_x [9]), .C1(n13526), .C2( \exu/i_mul/rs2_x [8]), .Y(n13334) ); sky130_fd_sc_hd__o21ai_1 U17502 ( .A1(n13530), .A2(n10903), .B1(n13334), .Y( n13335) ); sky130_fd_sc_hd__xor2_1 U17503 ( .A(n13335), .B(\exu/i_mul/rs1_x [26]), .X( n13403) ); sky130_fd_sc_hd__a222oi_1 U17504 ( .A1(n13566), .A2(\exu/i_mul/rs2_x [16]), .B1(n13565), .B2(\exu/i_mul/rs2_x [15]), .C1(n13564), .C2( \exu/i_mul/rs2_x [14]), .Y(n13336) ); sky130_fd_sc_hd__o21ai_1 U17505 ( .A1(n13568), .A2(n10913), .B1(n13336), .Y( n13337) ); sky130_fd_sc_hd__xor2_1 U17506 ( .A(n13337), .B(\exu/i_mul/rs1_x [20]), .X( n13402) ); sky130_fd_sc_hd__a222oi_1 U17507 ( .A1(n13521), .A2(\exu/i_mul/rs2_x [6]), .B1(n13520), .B2(\exu/i_mul/rs2_x [5]), .C1(n13519), .C2( \exu/i_mul/rs2_x [4]), .Y(n13338) ); sky130_fd_sc_hd__o21ai_1 U17508 ( .A1(n13523), .A2(n10947), .B1(n13338), .Y( n13339) ); sky130_fd_sc_hd__xor2_1 U17509 ( .A(n13339), .B(\exu/i_mul/rs1_x [29]), .X( n13405) ); sky130_fd_sc_hd__a222oi_1 U17510 ( .A1(n15548), .A2(\exu/i_mul/rs2_x [3]), .B1(n15547), .B2(\exu/i_mul/rs2_x [2]), .C1(n15550), .C2( \exu/i_mul/rs2_x [1]), .Y(n13340) ); sky130_fd_sc_hd__o21ai_1 U17511 ( .A1(n15553), .A2(n10870), .B1(n13340), .Y( n13341) ); sky130_fd_sc_hd__xor2_1 U17512 ( .A(n13341), .B(\exu/i_mul/rs1_x [32]), .X( n13404) ); sky130_fd_sc_hd__fa_1 U17513 ( .A(n13344), .B(n13343), .CIN(n13342), .COUT( n13368), .SUM(n13421) ); sky130_fd_sc_hd__fa_1 U17514 ( .A(n13347), .B(n13346), .CIN(n13345), .COUT( n13343), .SUM(n13428) ); sky130_fd_sc_hd__fa_1 U17515 ( .A(n13350), .B(n13349), .CIN(n13348), .COUT( n13345), .SUM(n13419) ); sky130_fd_sc_hd__a222oi_1 U17516 ( .A1(n13521), .A2(\exu/i_mul/rs2_x [7]), .B1(n13520), .B2(\exu/i_mul/rs2_x [6]), .C1(n13519), .C2( \exu/i_mul/rs2_x [5]), .Y(n13351) ); sky130_fd_sc_hd__o21ai_1 U17517 ( .A1(n13523), .A2(n10871), .B1(n13351), .Y( n13352) ); sky130_fd_sc_hd__xor2_1 U17518 ( .A(n13352), .B(\exu/i_mul/rs1_x [29]), .X( n13431) ); sky130_fd_sc_hd__xnor2_1 U17519 ( .A(n13354), .B(n13353), .Y(n13430) ); sky130_fd_sc_hd__a222oi_1 U17520 ( .A1(n13572), .A2(\exu/i_mul/rs2_x [13]), .B1(n13571), .B2(\exu/i_mul/rs2_x [12]), .C1(n13570), .C2( \exu/i_mul/rs2_x [11]), .Y(n13355) ); sky130_fd_sc_hd__o21ai_1 U17521 ( .A1(n13574), .A2(n10959), .B1(n13355), .Y( n13356) ); sky130_fd_sc_hd__xor2_1 U17522 ( .A(n13356), .B(\exu/i_mul/rs1_x [23]), .X( n13429) ); sky130_fd_sc_hd__a222oi_1 U17523 ( .A1(n13584), .A2(\exu/i_mul/rs2_x [19]), .B1(n13583), .B2(\exu/i_mul/rs2_x [18]), .C1(n13582), .C2( \exu/i_mul/rs2_x [17]), .Y(n13357) ); sky130_fd_sc_hd__o21ai_1 U17524 ( .A1(n13586), .A2(n10918), .B1(n13357), .Y( n13358) ); sky130_fd_sc_hd__xor2_1 U17525 ( .A(n13358), .B(\exu/i_mul/rs1_x [17]), .X( n13434) ); sky130_fd_sc_hd__a222oi_1 U17526 ( .A1(n13590), .A2(\exu/i_mul/rs2_x [22]), .B1(n13589), .B2(\exu/i_mul/rs2_x [21]), .C1(n13588), .C2( \exu/i_mul/rs2_x [20]), .Y(n13359) ); sky130_fd_sc_hd__o21ai_1 U17527 ( .A1(n13592), .A2(n10917), .B1(n13359), .Y( n13360) ); sky130_fd_sc_hd__xor2_1 U17528 ( .A(n13360), .B(\exu/i_mul/rs1_x [14]), .X( n13433) ); sky130_fd_sc_hd__a222oi_1 U17529 ( .A1(n13596), .A2(\exu/i_mul/rs2_x [25]), .B1(n13595), .B2(\exu/i_mul/rs2_x [24]), .C1(n13594), .C2( \exu/i_mul/rs2_x [23]), .Y(n13361) ); sky130_fd_sc_hd__o21ai_1 U17530 ( .A1(n13598), .A2(n10914), .B1(n13361), .Y( n13362) ); sky130_fd_sc_hd__xor2_1 U17531 ( .A(n13362), .B(\exu/i_mul/rs1_x [11]), .X( n13432) ); sky130_fd_sc_hd__fa_1 U17532 ( .A(n13365), .B(n13364), .CIN(n13363), .COUT( n13373), .SUM(n13426) ); sky130_fd_sc_hd__fa_1 U17533 ( .A(n13368), .B(n13367), .CIN(n13366), .COUT( n13370), .SUM(n13423) ); sky130_fd_sc_hd__fa_1 U17534 ( .A(n13371), .B(n13370), .CIN(n13369), .COUT( n13725), .SUM(n14930) ); sky130_fd_sc_hd__fa_1 U17535 ( .A(n13374), .B(n13373), .CIN(n13372), .COUT( n13366), .SUM(n13474) ); sky130_fd_sc_hd__fa_1 U17536 ( .A(n13377), .B(n13376), .CIN(n13375), .COUT( n13364), .SUM(n13447) ); sky130_fd_sc_hd__fa_1 U17537 ( .A(n13380), .B(n13379), .CIN(n13378), .COUT( n13363), .SUM(n13446) ); sky130_fd_sc_hd__a222oi_1 U17538 ( .A1(n13632), .A2(\exu/i_mul/rs2_x [31]), .B1(n13631), .B2(\exu/i_mul/rs2_x [30]), .C1(n13630), .C2( \exu/i_mul/rs2_x [29]), .Y(n13381) ); sky130_fd_sc_hd__o21ai_1 U17539 ( .A1(n13634), .A2(n10920), .B1(n13381), .Y( n13382) ); sky130_fd_sc_hd__xor2_1 U17540 ( .A(n13382), .B(\exu/i_mul/rs1_x [5]), .X( n13450) ); sky130_fd_sc_hd__a222oi_1 U17541 ( .A1(n13626), .A2(\exu/i_mul/rs2_x [28]), .B1(n13625), .B2(\exu/i_mul/rs2_x [27]), .C1(n13624), .C2( \exu/i_mul/rs2_x [26]), .Y(n13383) ); sky130_fd_sc_hd__o21ai_1 U17542 ( .A1(n13628), .A2(n10919), .B1(n13383), .Y( n13384) ); sky130_fd_sc_hd__xor2_1 U17543 ( .A(n13384), .B(\exu/i_mul/rs1_x [8]), .X( n13449) ); sky130_fd_sc_hd__o21ai_1 U17544 ( .A1(n13385), .A2(n15553), .B1(n10929), .Y( n13386) ); sky130_fd_sc_hd__xor2_1 U17545 ( .A(n13386), .B(\exu/i_mul/rs1_x [32]), .X( n13525) ); sky130_fd_sc_hd__o21ai_1 U17546 ( .A1(n13387), .A2(n15553), .B1(n10928), .Y( n13388) ); sky130_fd_sc_hd__xor2_1 U17547 ( .A(n13388), .B(\exu/i_mul/rs1_x [32]), .X( n13490) ); sky130_fd_sc_hd__a222oi_1 U17548 ( .A1(n15548), .A2(\exu/i_mul/rs2_x [2]), .B1(n15547), .B2(\exu/i_mul/rs2_x [1]), .C1(n15550), .C2( \exu/i_mul/rs2_x [0]), .Y(n13389) ); sky130_fd_sc_hd__o21ai_1 U17549 ( .A1(n15553), .A2(n10924), .B1(n13389), .Y( n13390) ); sky130_fd_sc_hd__xor2_1 U17550 ( .A(n13390), .B(\exu/i_mul/rs1_x [32]), .X( n13454) ); sky130_fd_sc_hd__a222oi_1 U17551 ( .A1(n13528), .A2(\exu/i_mul/rs2_x [9]), .B1(n13527), .B2(\exu/i_mul/rs2_x [8]), .C1(n13526), .C2( \exu/i_mul/rs2_x [7]), .Y(n13391) ); sky130_fd_sc_hd__o21ai_1 U17552 ( .A1(n13530), .A2(n10958), .B1(n13391), .Y( n13392) ); sky130_fd_sc_hd__xor2_1 U17553 ( .A(n13392), .B(\exu/i_mul/rs1_x [26]), .X( n13452) ); sky130_fd_sc_hd__a222oi_1 U17554 ( .A1(n13572), .A2(\exu/i_mul/rs2_x [12]), .B1(n13571), .B2(\exu/i_mul/rs2_x [11]), .C1(n13570), .C2( \exu/i_mul/rs2_x [10]), .Y(n13393) ); sky130_fd_sc_hd__o21ai_1 U17555 ( .A1(n13574), .A2(n10960), .B1(n13393), .Y( n13394) ); sky130_fd_sc_hd__xor2_1 U17556 ( .A(n13394), .B(\exu/i_mul/rs1_x [23]), .X( n13451) ); sky130_fd_sc_hd__fa_1 U17557 ( .A(n13397), .B(n13396), .CIN(n13395), .COUT( n13422), .SUM(n13470) ); sky130_fd_sc_hd__fa_1 U17558 ( .A(n13400), .B(n13399), .CIN(n13398), .COUT( n13395), .SUM(n13477) ); sky130_fd_sc_hd__fa_1 U17559 ( .A(n13403), .B(n13402), .CIN(n13401), .COUT( n13398), .SUM(n13468) ); sky130_fd_sc_hd__a222oi_1 U17560 ( .A1(n13566), .A2(\exu/i_mul/rs2_x [15]), .B1(n13565), .B2(\exu/i_mul/rs2_x [14]), .C1(n13564), .C2( \exu/i_mul/rs2_x [13]), .Y(n13406) ); sky130_fd_sc_hd__o21ai_1 U17561 ( .A1(n13568), .A2(n10904), .B1(n13406), .Y( n13407) ); sky130_fd_sc_hd__xor2_1 U17562 ( .A(n13407), .B(\exu/i_mul/rs1_x [20]), .X( n13479) ); sky130_fd_sc_hd__a21oi_1 U17563 ( .A1(n13618), .A2(\exu/i_mul/rs2_x [31]), .B1(n13408), .Y(n13409) ); sky130_fd_sc_hd__o21ai_1 U17564 ( .A1(n13622), .A2(n15552), .B1(n13409), .Y( n13410) ); sky130_fd_sc_hd__xor2_1 U17565 ( .A(n13410), .B(\exu/i_mul/rs1_x [2]), .X( n13478) ); sky130_fd_sc_hd__a222oi_1 U17566 ( .A1(n13584), .A2(\exu/i_mul/rs2_x [18]), .B1(n13583), .B2(\exu/i_mul/rs2_x [17]), .C1(n13582), .C2( \exu/i_mul/rs2_x [16]), .Y(n13411) ); sky130_fd_sc_hd__o21ai_1 U17567 ( .A1(n13586), .A2(n10908), .B1(n13411), .Y( n13412) ); sky130_fd_sc_hd__xor2_1 U17568 ( .A(n13412), .B(\exu/i_mul/rs1_x [17]), .X( n13483) ); sky130_fd_sc_hd__a222oi_1 U17569 ( .A1(n13590), .A2(\exu/i_mul/rs2_x [21]), .B1(n13589), .B2(\exu/i_mul/rs2_x [20]), .C1(n13588), .C2( \exu/i_mul/rs2_x [19]), .Y(n13413) ); sky130_fd_sc_hd__o21ai_1 U17570 ( .A1(n13592), .A2(n10907), .B1(n13413), .Y( n13414) ); sky130_fd_sc_hd__xor2_1 U17571 ( .A(n13414), .B(\exu/i_mul/rs1_x [14]), .X( n13482) ); sky130_fd_sc_hd__a222oi_1 U17572 ( .A1(n13596), .A2(\exu/i_mul/rs2_x [24]), .B1(n13595), .B2(\exu/i_mul/rs2_x [23]), .C1(n13594), .C2( \exu/i_mul/rs2_x [22]), .Y(n13415) ); sky130_fd_sc_hd__o21ai_1 U17573 ( .A1(n13598), .A2(n10915), .B1(n13415), .Y( n13416) ); sky130_fd_sc_hd__xor2_1 U17574 ( .A(n13416), .B(\exu/i_mul/rs1_x [11]), .X( n13481) ); sky130_fd_sc_hd__fa_1 U17575 ( .A(n13419), .B(n13418), .CIN(n13417), .COUT( n13427), .SUM(n13475) ); sky130_fd_sc_hd__fa_1 U17576 ( .A(n13422), .B(n13421), .CIN(n13420), .COUT( n13424), .SUM(n13472) ); sky130_fd_sc_hd__fa_1 U17577 ( .A(n13425), .B(n13424), .CIN(n13423), .COUT( n14931), .SUM(n14949) ); sky130_fd_sc_hd__fa_1 U17578 ( .A(n13428), .B(n13427), .CIN(n13426), .COUT( n13420), .SUM(n13543) ); sky130_fd_sc_hd__fa_1 U17579 ( .A(n13431), .B(n13430), .CIN(n13429), .COUT( n13418), .SUM(n13496) ); sky130_fd_sc_hd__fa_1 U17580 ( .A(n13434), .B(n13433), .CIN(n13432), .COUT( n13417), .SUM(n13495) ); sky130_fd_sc_hd__a222oi_1 U17581 ( .A1(n13632), .A2(\exu/i_mul/rs2_x [30]), .B1(n13631), .B2(\exu/i_mul/rs2_x [29]), .C1(n13630), .C2( \exu/i_mul/rs2_x [28]), .Y(n13435) ); sky130_fd_sc_hd__o21ai_1 U17582 ( .A1(n13634), .A2(n10905), .B1(n13435), .Y( n13436) ); sky130_fd_sc_hd__xor2_1 U17583 ( .A(n13436), .B(\exu/i_mul/rs1_x [5]), .X( n13499) ); sky130_fd_sc_hd__a222oi_1 U17584 ( .A1(n13626), .A2(\exu/i_mul/rs2_x [27]), .B1(n13625), .B2(\exu/i_mul/rs2_x [26]), .C1(n13624), .C2( \exu/i_mul/rs2_x [25]), .Y(n13437) ); sky130_fd_sc_hd__o21ai_1 U17585 ( .A1(n13628), .A2(n10916), .B1(n13437), .Y( n13438) ); sky130_fd_sc_hd__xor2_1 U17586 ( .A(n13438), .B(\exu/i_mul/rs1_x [8]), .X( n13498) ); sky130_fd_sc_hd__a222oi_1 U17587 ( .A1(n13528), .A2(\exu/i_mul/rs2_x [8]), .B1(n13527), .B2(\exu/i_mul/rs2_x [7]), .C1(n13526), .C2( \exu/i_mul/rs2_x [6]), .Y(n13439) ); sky130_fd_sc_hd__o21ai_1 U17588 ( .A1(n13530), .A2(n10899), .B1(n13439), .Y( n13440) ); sky130_fd_sc_hd__xor2_1 U17589 ( .A(n13440), .B(\exu/i_mul/rs1_x [26]), .X( n13502) ); sky130_fd_sc_hd__a222oi_1 U17590 ( .A1(n13521), .A2(\exu/i_mul/rs2_x [5]), .B1(n13520), .B2(\exu/i_mul/rs2_x [4]), .C1(n13519), .C2( \exu/i_mul/rs2_x [3]), .Y(n13441) ); sky130_fd_sc_hd__o21ai_1 U17591 ( .A1(n13523), .A2(n10921), .B1(n13441), .Y( n13442) ); sky130_fd_sc_hd__xor2_1 U17592 ( .A(n13442), .B(\exu/i_mul/rs1_x [29]), .X( n13501) ); sky130_fd_sc_hd__a222oi_1 U17593 ( .A1(n13566), .A2(\exu/i_mul/rs2_x [14]), .B1(n13565), .B2(\exu/i_mul/rs2_x [13]), .C1(n13564), .C2( \exu/i_mul/rs2_x [12]), .Y(n13443) ); sky130_fd_sc_hd__o21ai_1 U17594 ( .A1(n13568), .A2(n10868), .B1(n13443), .Y( n13444) ); sky130_fd_sc_hd__xor2_1 U17595 ( .A(n13444), .B(\exu/i_mul/rs1_x [20]), .X( n13500) ); sky130_fd_sc_hd__fa_1 U17596 ( .A(n13447), .B(n13446), .CIN(n13445), .COUT( n13471), .SUM(n13539) ); sky130_fd_sc_hd__fa_1 U17597 ( .A(n13450), .B(n13449), .CIN(n13448), .COUT( n13445), .SUM(n13644) ); sky130_fd_sc_hd__fa_1 U17598 ( .A(n13453), .B(n13452), .CIN(n13451), .COUT( n13448), .SUM(n13602) ); sky130_fd_sc_hd__a222oi_1 U17599 ( .A1(n13620), .A2(\exu/i_mul/rs2_x [32]), .B1(n13619), .B2(\exu/i_mul/rs2_x [31]), .C1(n13618), .C2( \exu/i_mul/rs2_x [30]), .Y(n13456) ); sky130_fd_sc_hd__o21ai_1 U17600 ( .A1(n13622), .A2(n10926), .B1(n13456), .Y( n13457) ); sky130_fd_sc_hd__xor2_1 U17601 ( .A(n13457), .B(\exu/i_mul/rs1_x [2]), .X( n13533) ); sky130_fd_sc_hd__a222oi_1 U17602 ( .A1(n13572), .A2(\exu/i_mul/rs2_x [11]), .B1(n13571), .B2(\exu/i_mul/rs2_x [10]), .C1(n13570), .C2( \exu/i_mul/rs2_x [9]), .Y(n13458) ); sky130_fd_sc_hd__o21ai_1 U17603 ( .A1(n13574), .A2(n10900), .B1(n13458), .Y( n13459) ); sky130_fd_sc_hd__xor2_1 U17604 ( .A(n13459), .B(\exu/i_mul/rs1_x [23]), .X( n13532) ); sky130_fd_sc_hd__a222oi_1 U17605 ( .A1(n13584), .A2(\exu/i_mul/rs2_x [17]), .B1(n13583), .B2(\exu/i_mul/rs2_x [16]), .C1(n13582), .C2( \exu/i_mul/rs2_x [15]), .Y(n13460) ); sky130_fd_sc_hd__o21ai_1 U17606 ( .A1(n13586), .A2(n10912), .B1(n13460), .Y( n13461) ); sky130_fd_sc_hd__xor2_1 U17607 ( .A(n13461), .B(\exu/i_mul/rs1_x [17]), .X( n13537) ); sky130_fd_sc_hd__a222oi_1 U17608 ( .A1(n13632), .A2(\exu/i_mul/rs2_x [29]), .B1(n13631), .B2(\exu/i_mul/rs2_x [28]), .C1(n13630), .C2( \exu/i_mul/rs2_x [27]), .Y(n13462) ); sky130_fd_sc_hd__o21ai_1 U17609 ( .A1(n13634), .A2(n10906), .B1(n13462), .Y( n13463) ); sky130_fd_sc_hd__xor2_1 U17610 ( .A(n13463), .B(\exu/i_mul/rs1_x [5]), .X( n13536) ); sky130_fd_sc_hd__a222oi_1 U17611 ( .A1(n13590), .A2(\exu/i_mul/rs2_x [20]), .B1(n13589), .B2(\exu/i_mul/rs2_x [19]), .C1(n13588), .C2( \exu/i_mul/rs2_x [18]), .Y(n13464) ); sky130_fd_sc_hd__o21ai_1 U17612 ( .A1(n13592), .A2(n10911), .B1(n13464), .Y( n13465) ); sky130_fd_sc_hd__xor2_1 U17613 ( .A(n13465), .B(\exu/i_mul/rs1_x [14]), .X( n13535) ); sky130_fd_sc_hd__fa_1 U17614 ( .A(n13468), .B(n13467), .CIN(n13466), .COUT( n13476), .SUM(n13642) ); sky130_fd_sc_hd__fa_1 U17615 ( .A(n13471), .B(n13470), .CIN(n13469), .COUT( n13473), .SUM(n13541) ); sky130_fd_sc_hd__fa_1 U17616 ( .A(n13474), .B(n13473), .CIN(n13472), .COUT( n14950), .SUM(n14979) ); sky130_fd_sc_hd__fa_1 U17617 ( .A(n13477), .B(n13476), .CIN(n13475), .COUT( n13469), .SUM(n13720) ); sky130_fd_sc_hd__fa_1 U17618 ( .A(n13480), .B(n13479), .CIN(n13478), .COUT( n13467), .SUM(n13605) ); sky130_fd_sc_hd__fa_1 U17619 ( .A(n13483), .B(n13482), .CIN(n13481), .COUT( n13466), .SUM(n13604) ); sky130_fd_sc_hd__a222oi_1 U17620 ( .A1(n13626), .A2(\exu/i_mul/rs2_x [26]), .B1(n13625), .B2(\exu/i_mul/rs2_x [25]), .C1(n13624), .C2( \exu/i_mul/rs2_x [24]), .Y(n13484) ); sky130_fd_sc_hd__o21ai_1 U17621 ( .A1(n13628), .A2(n10910), .B1(n13484), .Y( n13485) ); sky130_fd_sc_hd__xor2_1 U17622 ( .A(n13485), .B(\exu/i_mul/rs1_x [8]), .X( n13608) ); sky130_fd_sc_hd__a222oi_1 U17623 ( .A1(n13596), .A2(\exu/i_mul/rs2_x [23]), .B1(n13595), .B2(\exu/i_mul/rs2_x [22]), .C1(n13594), .C2( \exu/i_mul/rs2_x [21]), .Y(n13486) ); sky130_fd_sc_hd__o21ai_1 U17624 ( .A1(n13598), .A2(n10909), .B1(n13486), .Y( n13487) ); sky130_fd_sc_hd__xor2_1 U17625 ( .A(n13487), .B(\exu/i_mul/rs1_x [11]), .X( n13607) ); sky130_fd_sc_hd__a222oi_1 U17626 ( .A1(n13521), .A2(\exu/i_mul/rs2_x [4]), .B1(n13520), .B2(\exu/i_mul/rs2_x [3]), .C1(n13519), .C2( \exu/i_mul/rs2_x [2]), .Y(n13488) ); sky130_fd_sc_hd__o21ai_1 U17627 ( .A1(n13523), .A2(n10869), .B1(n13488), .Y( n13489) ); sky130_fd_sc_hd__xor2_1 U17628 ( .A(n13489), .B(\exu/i_mul/rs1_x [29]), .X( n13614) ); sky130_fd_sc_hd__a222oi_1 U17629 ( .A1(n13572), .A2(\exu/i_mul/rs2_x [10]), .B1(n13571), .B2(\exu/i_mul/rs2_x [9]), .C1(n13570), .C2( \exu/i_mul/rs2_x [8]), .Y(n13492) ); sky130_fd_sc_hd__o21ai_1 U17630 ( .A1(n13574), .A2(n10903), .B1(n13492), .Y( n13493) ); sky130_fd_sc_hd__xor2_1 U17631 ( .A(n13493), .B(\exu/i_mul/rs1_x [23]), .X( n13612) ); sky130_fd_sc_hd__fa_1 U17632 ( .A(n13496), .B(n13495), .CIN(n13494), .COUT( n13540), .SUM(n13649) ); sky130_fd_sc_hd__fa_1 U17633 ( .A(n13499), .B(n13498), .CIN(n13497), .COUT( n13494), .SUM(n13546) ); sky130_fd_sc_hd__fa_1 U17634 ( .A(n13502), .B(n13501), .CIN(n13500), .COUT( n13497), .SUM(n13549) ); sky130_fd_sc_hd__a222oi_1 U17635 ( .A1(n13528), .A2(\exu/i_mul/rs2_x [7]), .B1(n13527), .B2(\exu/i_mul/rs2_x [6]), .C1(n13526), .C2( \exu/i_mul/rs2_x [5]), .Y(n13503) ); sky130_fd_sc_hd__o21ai_1 U17636 ( .A1(n13530), .A2(n10871), .B1(n13503), .Y( n13504) ); sky130_fd_sc_hd__xor2_1 U17637 ( .A(n13504), .B(\exu/i_mul/rs1_x [26]), .X( n13638) ); sky130_fd_sc_hd__a222oi_1 U17638 ( .A1(n13584), .A2(\exu/i_mul/rs2_x [16]), .B1(n13583), .B2(\exu/i_mul/rs2_x [15]), .C1(n13582), .C2( \exu/i_mul/rs2_x [14]), .Y(n13505) ); sky130_fd_sc_hd__o21ai_1 U17639 ( .A1(n13586), .A2(n10913), .B1(n13505), .Y( n13506) ); sky130_fd_sc_hd__xor2_1 U17640 ( .A(n13506), .B(\exu/i_mul/rs1_x [17]), .X( n13637) ); sky130_fd_sc_hd__a222oi_1 U17641 ( .A1(n13566), .A2(\exu/i_mul/rs2_x [13]), .B1(n13565), .B2(\exu/i_mul/rs2_x [12]), .C1(n13564), .C2( \exu/i_mul/rs2_x [11]), .Y(n13507) ); sky130_fd_sc_hd__o21ai_1 U17642 ( .A1(n13568), .A2(n10959), .B1(n13507), .Y( n13508) ); sky130_fd_sc_hd__xor2_1 U17643 ( .A(n13508), .B(\exu/i_mul/rs1_x [20]), .X( n13636) ); sky130_fd_sc_hd__a222oi_1 U17644 ( .A1(n13590), .A2(\exu/i_mul/rs2_x [19]), .B1(n13589), .B2(\exu/i_mul/rs2_x [18]), .C1(n13588), .C2( \exu/i_mul/rs2_x [17]), .Y(n13509) ); sky130_fd_sc_hd__o21ai_1 U17645 ( .A1(n13592), .A2(n10918), .B1(n13509), .Y( n13510) ); sky130_fd_sc_hd__xor2_1 U17646 ( .A(n13510), .B(\exu/i_mul/rs1_x [14]), .X( n13641) ); sky130_fd_sc_hd__a222oi_1 U17647 ( .A1(n13620), .A2(\exu/i_mul/rs2_x [31]), .B1(n13619), .B2(\exu/i_mul/rs2_x [30]), .C1(n13618), .C2( \exu/i_mul/rs2_x [29]), .Y(n13511) ); sky130_fd_sc_hd__o21ai_1 U17648 ( .A1(n13622), .A2(n10920), .B1(n13511), .Y( n13512) ); sky130_fd_sc_hd__xor2_1 U17649 ( .A(n13512), .B(\exu/i_mul/rs1_x [2]), .X( n13640) ); sky130_fd_sc_hd__a222oi_1 U17650 ( .A1(n13596), .A2(\exu/i_mul/rs2_x [22]), .B1(n13595), .B2(\exu/i_mul/rs2_x [21]), .C1(n13594), .C2( \exu/i_mul/rs2_x [20]), .Y(n13513) ); sky130_fd_sc_hd__o21ai_1 U17651 ( .A1(n13598), .A2(n10917), .B1(n13513), .Y( n13514) ); sky130_fd_sc_hd__xor2_1 U17652 ( .A(n13514), .B(\exu/i_mul/rs1_x [11]), .X( n13639) ); sky130_fd_sc_hd__a222oi_1 U17653 ( .A1(n13632), .A2(\exu/i_mul/rs2_x [28]), .B1(n13631), .B2(\exu/i_mul/rs2_x [27]), .C1(n13630), .C2( \exu/i_mul/rs2_x [26]), .Y(n13515) ); sky130_fd_sc_hd__o21ai_1 U17654 ( .A1(n13634), .A2(n10919), .B1(n13515), .Y( n13516) ); sky130_fd_sc_hd__xor2_1 U17655 ( .A(n13516), .B(\exu/i_mul/rs1_x [5]), .X( n13555) ); sky130_fd_sc_hd__a222oi_1 U17656 ( .A1(n13626), .A2(\exu/i_mul/rs2_x [25]), .B1(n13625), .B2(\exu/i_mul/rs2_x [24]), .C1(n13624), .C2( \exu/i_mul/rs2_x [23]), .Y(n13517) ); sky130_fd_sc_hd__o21ai_1 U17657 ( .A1(n13628), .A2(n10914), .B1(n13517), .Y( n13518) ); sky130_fd_sc_hd__xor2_1 U17658 ( .A(n13518), .B(\exu/i_mul/rs1_x [8]), .X( n13554) ); sky130_fd_sc_hd__a222oi_1 U17659 ( .A1(n13521), .A2(\exu/i_mul/rs2_x [3]), .B1(n13520), .B2(\exu/i_mul/rs2_x [2]), .C1(n13519), .C2( \exu/i_mul/rs2_x [1]), .Y(n13522) ); sky130_fd_sc_hd__o21ai_1 U17660 ( .A1(n13523), .A2(n10870), .B1(n13522), .Y( n13524) ); sky130_fd_sc_hd__xor2_1 U17661 ( .A(n13524), .B(\exu/i_mul/rs1_x [29]), .X( n13561) ); sky130_fd_sc_hd__a222oi_1 U17662 ( .A1(n13528), .A2(\exu/i_mul/rs2_x [6]), .B1(n13527), .B2(\exu/i_mul/rs2_x [5]), .C1(n13526), .C2( \exu/i_mul/rs2_x [4]), .Y(n13529) ); sky130_fd_sc_hd__o21ai_1 U17663 ( .A1(n13530), .A2(n10947), .B1(n13529), .Y( n13531) ); sky130_fd_sc_hd__xor2_1 U17664 ( .A(n13531), .B(\exu/i_mul/rs1_x [26]), .X( n13559) ); sky130_fd_sc_hd__fa_1 U17665 ( .A(n13534), .B(n13533), .CIN(n13532), .COUT( n13601), .SUM(n13551) ); sky130_fd_sc_hd__fa_1 U17666 ( .A(n13537), .B(n13536), .CIN(n13535), .COUT( n13600), .SUM(n13550) ); sky130_fd_sc_hd__fa_1 U17667 ( .A(n13540), .B(n13539), .CIN(n13538), .COUT( n13542), .SUM(n13718) ); sky130_fd_sc_hd__fa_1 U17668 ( .A(n13543), .B(n13542), .CIN(n13541), .COUT( n14980), .SUM(n15010) ); sky130_fd_sc_hd__fa_1 U17669 ( .A(n13546), .B(n13545), .CIN(n13544), .COUT( n13648), .SUM(n13674) ); sky130_fd_sc_hd__fa_1 U17670 ( .A(n13549), .B(n13548), .CIN(n13547), .COUT( n13545), .SUM(n13671) ); sky130_fd_sc_hd__fa_1 U17671 ( .A(n13552), .B(n13551), .CIN(n13550), .COUT( n13544), .SUM(n13670) ); sky130_fd_sc_hd__fa_1 U17672 ( .A(n13555), .B(n13554), .CIN(n13553), .COUT( n13552), .SUM(n13677) ); sky130_fd_sc_hd__fa_1 U17673 ( .A(n13558), .B(n13557), .CIN(n13556), .COUT( n13668), .SUM(n13663) ); sky130_fd_sc_hd__fa_1 U17674 ( .A(n13561), .B(n13560), .CIN(n13559), .COUT( n13553), .SUM(n13667) ); sky130_fd_sc_hd__a222oi_1 U17675 ( .A1(n13566), .A2(\exu/i_mul/rs2_x [12]), .B1(n13565), .B2(\exu/i_mul/rs2_x [11]), .C1(n13564), .C2( \exu/i_mul/rs2_x [10]), .Y(n13567) ); sky130_fd_sc_hd__o21ai_1 U17676 ( .A1(n13568), .A2(n10960), .B1(n13567), .Y( n13569) ); sky130_fd_sc_hd__xor2_1 U17677 ( .A(n13569), .B(\exu/i_mul/rs1_x [20]), .X( n13610) ); sky130_fd_sc_hd__a222oi_1 U17678 ( .A1(n13572), .A2(\exu/i_mul/rs2_x [9]), .B1(n13571), .B2(\exu/i_mul/rs2_x [8]), .C1(n13570), .C2( \exu/i_mul/rs2_x [7]), .Y(n13573) ); sky130_fd_sc_hd__o21ai_1 U17679 ( .A1(n13574), .A2(n10958), .B1(n13573), .Y( n13575) ); sky130_fd_sc_hd__xor2_1 U17680 ( .A(n13575), .B(\exu/i_mul/rs1_x [23]), .X( n13609) ); sky130_fd_sc_hd__fa_1 U17681 ( .A(n13578), .B(n13577), .CIN(n13576), .COUT( n13683), .SUM(n13678) ); sky130_fd_sc_hd__fa_1 U17682 ( .A(n13581), .B(n13580), .CIN(n13579), .COUT( n13682), .SUM(n13686) ); sky130_fd_sc_hd__a222oi_1 U17683 ( .A1(n13584), .A2(\exu/i_mul/rs2_x [15]), .B1(n13583), .B2(\exu/i_mul/rs2_x [14]), .C1(n13582), .C2( \exu/i_mul/rs2_x [13]), .Y(n13585) ); sky130_fd_sc_hd__o21ai_1 U17684 ( .A1(n13586), .A2(n10904), .B1(n13585), .Y( n13587) ); sky130_fd_sc_hd__xor2_1 U17685 ( .A(n13587), .B(\exu/i_mul/rs1_x [17]), .X( n13617) ); sky130_fd_sc_hd__a222oi_1 U17686 ( .A1(n13590), .A2(\exu/i_mul/rs2_x [18]), .B1(n13589), .B2(\exu/i_mul/rs2_x [17]), .C1(n13588), .C2( \exu/i_mul/rs2_x [16]), .Y(n13591) ); sky130_fd_sc_hd__o21ai_1 U17687 ( .A1(n13592), .A2(n10908), .B1(n13591), .Y( n13593) ); sky130_fd_sc_hd__xor2_1 U17688 ( .A(n13593), .B(\exu/i_mul/rs1_x [14]), .X( n13616) ); sky130_fd_sc_hd__a222oi_1 U17689 ( .A1(n13596), .A2(\exu/i_mul/rs2_x [21]), .B1(n13595), .B2(\exu/i_mul/rs2_x [20]), .C1(n13594), .C2( \exu/i_mul/rs2_x [19]), .Y(n13597) ); sky130_fd_sc_hd__o21ai_1 U17690 ( .A1(n13598), .A2(n10907), .B1(n13597), .Y( n13599) ); sky130_fd_sc_hd__xor2_1 U17691 ( .A(n13599), .B(\exu/i_mul/rs1_x [11]), .X( n13615) ); sky130_fd_sc_hd__fa_1 U17692 ( .A(n13602), .B(n13601), .CIN(n13600), .COUT( n13643), .SUM(n13647) ); sky130_fd_sc_hd__fa_1 U17693 ( .A(n13605), .B(n13604), .CIN(n13603), .COUT( n13650), .SUM(n13646) ); sky130_fd_sc_hd__fa_1 U17694 ( .A(n13608), .B(n13607), .CIN(n13606), .COUT( n13603), .SUM(n13653) ); sky130_fd_sc_hd__fa_1 U17695 ( .A(n13611), .B(n13610), .CIN(n13609), .COUT( n13656), .SUM(n13666) ); sky130_fd_sc_hd__fa_1 U17696 ( .A(n13614), .B(n13613), .CIN(n13612), .COUT( n13606), .SUM(n13655) ); sky130_fd_sc_hd__fa_1 U17697 ( .A(n13617), .B(n13616), .CIN(n13615), .COUT( n13654), .SUM(n13681) ); sky130_fd_sc_hd__a222oi_1 U17698 ( .A1(n13620), .A2(\exu/i_mul/rs2_x [30]), .B1(n13619), .B2(\exu/i_mul/rs2_x [29]), .C1(n13618), .C2( \exu/i_mul/rs2_x [28]), .Y(n13621) ); sky130_fd_sc_hd__o21ai_1 U17699 ( .A1(n13622), .A2(n10905), .B1(n13621), .Y( n13623) ); sky130_fd_sc_hd__xor2_1 U17700 ( .A(n13623), .B(\exu/i_mul/rs1_x [2]), .X( n13662) ); sky130_fd_sc_hd__a222oi_1 U17701 ( .A1(n13626), .A2(\exu/i_mul/rs2_x [24]), .B1(n13625), .B2(\exu/i_mul/rs2_x [23]), .C1(n13624), .C2( \exu/i_mul/rs2_x [22]), .Y(n13627) ); sky130_fd_sc_hd__o21ai_1 U17702 ( .A1(n13628), .A2(n10915), .B1(n13627), .Y( n13629) ); sky130_fd_sc_hd__xor2_1 U17703 ( .A(n13629), .B(\exu/i_mul/rs1_x [8]), .X( n13661) ); sky130_fd_sc_hd__a222oi_1 U17704 ( .A1(n13632), .A2(\exu/i_mul/rs2_x [27]), .B1(n13631), .B2(\exu/i_mul/rs2_x [26]), .C1(n13630), .C2( \exu/i_mul/rs2_x [25]), .Y(n13633) ); sky130_fd_sc_hd__o21ai_1 U17705 ( .A1(n13634), .A2(n10916), .B1(n13633), .Y( n13635) ); sky130_fd_sc_hd__xor2_1 U17706 ( .A(n13635), .B(\exu/i_mul/rs1_x [5]), .X( n13660) ); sky130_fd_sc_hd__fa_1 U17707 ( .A(n13638), .B(n13637), .CIN(n13636), .COUT( n13548), .SUM(n13658) ); sky130_fd_sc_hd__fa_1 U17708 ( .A(n13641), .B(n13640), .CIN(n13639), .COUT( n13547), .SUM(n13657) ); sky130_fd_sc_hd__fa_1 U17709 ( .A(n13644), .B(n13643), .CIN(n13642), .COUT( n13538), .SUM(n13717) ); sky130_fd_sc_hd__fa_1 U17710 ( .A(n13647), .B(n13646), .CIN(n13645), .COUT( n13716), .SUM(n13672) ); sky130_fd_sc_hd__fa_1 U17711 ( .A(n13650), .B(n13649), .CIN(n13648), .COUT( n13719), .SUM(n13715) ); sky130_fd_sc_hd__fa_1 U17712 ( .A(n13653), .B(n13652), .CIN(n13651), .COUT( n13645), .SUM(n13692) ); sky130_fd_sc_hd__fa_1 U17713 ( .A(n13656), .B(n13655), .CIN(n13654), .COUT( n13652), .SUM(n13689) ); sky130_fd_sc_hd__fa_1 U17714 ( .A(n13659), .B(n13658), .CIN(n13657), .COUT( n13651), .SUM(n13688) ); sky130_fd_sc_hd__fa_1 U17715 ( .A(n13662), .B(n13661), .CIN(n13660), .COUT( n13659), .SUM(n13695) ); sky130_fd_sc_hd__fa_1 U17716 ( .A(n13665), .B(n13664), .CIN(n13663), .COUT( n13694), .SUM(n13684) ); sky130_fd_sc_hd__fa_1 U17717 ( .A(n13668), .B(n13667), .CIN(n13666), .COUT( n13676), .SUM(n13693) ); sky130_fd_sc_hd__fa_1 U17718 ( .A(n13671), .B(n13670), .CIN(n13669), .COUT( n13673), .SUM(n13690) ); sky130_fd_sc_hd__fa_1 U17719 ( .A(n13674), .B(n13673), .CIN(n13672), .COUT( n15068), .SUM(n15110) ); sky130_fd_sc_hd__fa_1 U17720 ( .A(n13677), .B(n13676), .CIN(n13675), .COUT( n13669), .SUM(n13704) ); sky130_fd_sc_hd__fa_1 U17721 ( .A(n13680), .B(n13679), .CIN(n13678), .COUT( n13701), .SUM(n13697) ); sky130_fd_sc_hd__fa_1 U17722 ( .A(n13683), .B(n13682), .CIN(n13681), .COUT( n13675), .SUM(n13700) ); sky130_fd_sc_hd__fa_1 U17723 ( .A(n13686), .B(n13685), .CIN(n13684), .COUT( n13699), .SUM(n13707) ); sky130_fd_sc_hd__fa_1 U17724 ( .A(n13689), .B(n13688), .CIN(n13687), .COUT( n13691), .SUM(n13702) ); sky130_fd_sc_hd__fa_1 U17725 ( .A(n13692), .B(n13691), .CIN(n13690), .COUT( n15111), .SUM(n15149) ); sky130_fd_sc_hd__fa_1 U17726 ( .A(n13695), .B(n13694), .CIN(n13693), .COUT( n13687), .SUM(n13710) ); sky130_fd_sc_hd__fa_1 U17727 ( .A(n13698), .B(n13697), .CIN(n13696), .COUT( n13709), .SUM(n13705) ); sky130_fd_sc_hd__fa_1 U17728 ( .A(n13701), .B(n13700), .CIN(n13699), .COUT( n13703), .SUM(n13708) ); sky130_fd_sc_hd__fa_1 U17729 ( .A(n13704), .B(n13703), .CIN(n13702), .COUT( n15150), .SUM(n15545) ); sky130_fd_sc_hd__fa_1 U17730 ( .A(n13707), .B(n13706), .CIN(n13705), .COUT( n15517), .SUM(n12071) ); sky130_fd_sc_hd__fa_1 U17731 ( .A(n13710), .B(n13709), .CIN(n13708), .COUT( n15546), .SUM(n15516) ); sky130_fd_sc_hd__fa_1 U17732 ( .A(n13717), .B(n13716), .CIN(n13715), .COUT( n13721), .SUM(n15067) ); sky130_fd_sc_hd__fa_1 U17733 ( .A(n13720), .B(n13719), .CIN(n13718), .COUT( n15011), .SUM(n13722) ); sky130_fd_sc_hd__nand2_1 U17734 ( .A(n13722), .B(n13721), .Y(n15051) ); sky130_fd_sc_hd__nand2_1 U17735 ( .A(n13726), .B(n13725), .Y(n14686) ); sky130_fd_sc_hd__o21ai_1 U17736 ( .A1(n14685), .A2(n13727), .B1(n14686), .Y( n14634) ); sky130_fd_sc_hd__fa_1 U17737 ( .A(n13730), .B(n13729), .CIN(n13728), .COUT( n13734), .SUM(n14459) ); sky130_fd_sc_hd__fa_1 U17738 ( .A(n13733), .B(n13732), .CIN(n13731), .COUT( n14433), .SUM(n13735) ); sky130_fd_sc_hd__nand2_1 U17739 ( .A(n13735), .B(n13734), .Y(n14496) ); sky130_fd_sc_hd__fa_1 U17740 ( .A(n13740), .B(n13739), .CIN(n13738), .COUT( n13744), .SUM(n15196) ); sky130_fd_sc_hd__fa_1 U17741 ( .A(n13743), .B(n13742), .CIN(n13741), .COUT( n15261), .SUM(n13745) ); sky130_fd_sc_hd__nand2_1 U17742 ( .A(n13745), .B(n13744), .Y(n15240) ); sky130_fd_sc_hd__nand2_1 U17743 ( .A(n13749), .B(n13748), .Y(n15342) ); sky130_fd_sc_hd__o21ai_1 U17744 ( .A1(n15341), .A2(n13750), .B1(n15342), .Y( n14346) ); sky130_fd_sc_hd__a22o_1 U17745 ( .A1(\exu/i_mul/low_x ), .A2(n13752), .B1( n15563), .B2(n13751), .X(n13753) ); sky130_fd_sc_hd__o22ai_1 U17746 ( .A1(\exu/mul_valid_x ), .A2( \exu/alu_result_x [29]), .B1(n21710), .B2(n13753), .Y(n17437) ); sky130_fd_sc_hd__a31oi_1 U17747 ( .A1(n13754), .A2(n17458), .A3(n17442), .B1(n24046), .Y(n13756) ); sky130_fd_sc_hd__o21ai_1 U17748 ( .A1(n16151), .A2(n19303), .B1(n24052), .Y( n13755) ); sky130_fd_sc_hd__o21ai_1 U17749 ( .A1(n13756), .A2(n13755), .B1(n15780), .Y( n14152) ); sky130_fd_sc_hd__a222oi_1 U17750 ( .A1(n23936), .A2( \dec/decode/x_d[i0rd][2] ), .B1(n23938), .B2(\dec/decode/x_d[i0rd][4] ), .C1(\dec/dec_i0_rs1_d [2]), .C2(n15724), .Y(n13757) ); sky130_fd_sc_hd__o21ai_1 U17751 ( .A1(n23933), .A2(\dec/decode/x_d[i0rd][0] ), .B1(n13757), .Y(n13758) ); sky130_fd_sc_hd__a21oi_1 U17752 ( .A1(n23933), .A2(\dec/decode/x_d[i0rd][0] ), .B1(n13758), .Y(n14077) ); sky130_fd_sc_hd__o22ai_1 U17753 ( .A1(n23935), .A2(\dec/decode/x_d[i0rd][1] ), .B1(\dec/decode/x_d[i0rd][3] ), .B2(n23937), .Y(n13759) ); sky130_fd_sc_hd__a221oi_1 U17754 ( .A1(n23935), .A2( \dec/decode/x_d[i0rd][1] ), .B1(n23937), .B2(\dec/decode/x_d[i0rd][3] ), .C1(n13759), .Y(n13760) ); sky130_fd_sc_hd__nand2_1 U17755 ( .A(\dec/dec_i0_rs1_d [4]), .B(n16405), .Y( n14075) ); sky130_fd_sc_hd__nand4_1 U17756 ( .A(n14077), .B(\dec/decode/x_d[i0v] ), .C( n13760), .D(n14075), .Y(n14041) ); sky130_fd_sc_hd__nor2_1 U17757 ( .A(n14152), .B(n14041), .Y(n13761) ); sky130_fd_sc_hd__o21ai_1 U17758 ( .A1(\dec/decode/i0_x_c[mul] ), .A2( \dec/decode/i0_x_c[alu] ), .B1(n13761), .Y(n15418) ); sky130_fd_sc_hd__nand2_1 U17759 ( .A(\dec/decode/i0_x_c[load] ), .B(n13761), .Y(n15534) ); sky130_fd_sc_hd__nor2_1 U17760 ( .A(n15142), .B(n20672), .Y(n15584) ); sky130_fd_sc_hd__o22ai_1 U17761 ( .A1(n21355), .A2(\lsu/end_addr_m [2]), .B1(n13816), .B2(\lsu/stbuf/stbuf_addr[0][8] ), .Y(n13762) ); sky130_fd_sc_hd__a221oi_1 U17762 ( .A1(n21355), .A2(\lsu/end_addr_m [2]), .B1(\lsu/stbuf/stbuf_addr[0][8] ), .B2(n13816), .C1(n13762), .Y(n13769) ); sky130_fd_sc_hd__o22ai_1 U17763 ( .A1(n21363), .A2(\lsu/end_addr_m [7]), .B1(n13815), .B2(\lsu/stbuf/stbuf_addr[0][10] ), .Y(n13763) ); sky130_fd_sc_hd__a221oi_1 U17764 ( .A1(n21363), .A2(\lsu/end_addr_m [7]), .B1(\lsu/stbuf/stbuf_addr[0][10] ), .B2(n13815), .C1(n13763), .Y( n13768) ); sky130_fd_sc_hd__o22ai_1 U17765 ( .A1(n21362), .A2(\lsu/end_addr_m [5]), .B1(n13813), .B2(\lsu/stbuf/stbuf_addr[0][11] ), .Y(n13764) ); sky130_fd_sc_hd__a221oi_1 U17766 ( .A1(n21362), .A2(\lsu/end_addr_m [5]), .B1(\lsu/stbuf/stbuf_addr[0][11] ), .B2(n13813), .C1(n13764), .Y( n13767) ); sky130_fd_sc_hd__o22ai_1 U17767 ( .A1(n21368), .A2(\lsu/end_addr_m [3]), .B1(n13796), .B2(\lsu/stbuf/stbuf_addr[0][6] ), .Y(n13765) ); sky130_fd_sc_hd__a221oi_1 U17768 ( .A1(n21368), .A2(\lsu/end_addr_m [3]), .B1(\lsu/stbuf/stbuf_addr[0][6] ), .B2(n13796), .C1(n13765), .Y(n13766) ); sky130_fd_sc_hd__nand4_1 U17769 ( .A(n13769), .B(n13768), .C(n13767), .D( n13766), .Y(n13777) ); sky130_fd_sc_hd__nor2_1 U17770 ( .A(\lsu/stbuf/stbuf_dma_kill [0]), .B( n21599), .Y(n21337) ); sky130_fd_sc_hd__nand2_1 U17771 ( .A(\lsu/addr_in_dccm_m ), .B(n21337), .Y( n13952) ); sky130_fd_sc_hd__o22ai_1 U17772 ( .A1(n21357), .A2(\lsu/end_addr_m [14]), .B1(n15919), .B2(\lsu/stbuf/stbuf_addr[0][9] ), .Y(n13770) ); sky130_fd_sc_hd__a221oi_1 U17773 ( .A1(n21357), .A2(\lsu/end_addr_m [14]), .B1(\lsu/stbuf/stbuf_addr[0][9] ), .B2(n15919), .C1(n13770), .Y(n13775) ); sky130_fd_sc_hd__o22ai_1 U17774 ( .A1(n21365), .A2(\lsu/end_addr_m [13]), .B1(n13814), .B2(\lsu/stbuf/stbuf_addr[0][15] ), .Y(n13771) ); sky130_fd_sc_hd__a221oi_1 U17775 ( .A1(n21365), .A2(\lsu/end_addr_m [13]), .B1(\lsu/stbuf/stbuf_addr[0][15] ), .B2(n13814), .C1(n13771), .Y( n13774) ); sky130_fd_sc_hd__o22ai_1 U17776 ( .A1(n15908), .A2(\lsu/end_addr_m [4]), .B1(n13802), .B2(\lsu/stbuf/stbuf_addr[0][12] ), .Y(n13772) ); sky130_fd_sc_hd__a221oi_1 U17777 ( .A1(n15908), .A2(\lsu/end_addr_m [4]), .B1(\lsu/stbuf/stbuf_addr[0][12] ), .B2(n13802), .C1(n13772), .Y( n13773) ); sky130_fd_sc_hd__nand4b_1 U17778 ( .A_N(n13952), .B(n13775), .C(n13774), .D( n13773), .Y(n13776) ); sky130_fd_sc_hd__o22ai_1 U17779 ( .A1(n21498), .A2(\lsu/end_addr_m [3]), .B1(n13802), .B2(\lsu/stbuf/stbuf_addr[1][12] ), .Y(n13778) ); sky130_fd_sc_hd__a221oi_1 U17780 ( .A1(n21498), .A2(\lsu/end_addr_m [3]), .B1(\lsu/stbuf/stbuf_addr[1][12] ), .B2(n13802), .C1(n13778), .Y( n13793) ); sky130_fd_sc_hd__o22ai_1 U17781 ( .A1(\lsu/stbuf/stbuf_addr[1][11] ), .A2( n13813), .B1(n21509), .B2(\lsu/end_addr_m [11]), .Y(n13789) ); sky130_fd_sc_hd__o22ai_1 U17782 ( .A1(\lsu/stbuf/stbuf_addr[1][8] ), .A2( n13816), .B1(n21507), .B2(\lsu/end_addr_m [8]), .Y(n13788) ); sky130_fd_sc_hd__nor2_1 U17783 ( .A(\lsu/stbuf/stbuf_dma_kill [1]), .B( n21595), .Y(n21406) ); sky130_fd_sc_hd__nand2_1 U17784 ( .A(\lsu/addr_in_dccm_m ), .B(n21406), .Y( n13898) ); sky130_fd_sc_hd__o22ai_1 U17785 ( .A1(n21497), .A2(\lsu/end_addr_m [5]), .B1(n13812), .B2(\lsu/stbuf/stbuf_addr[1][7] ), .Y(n13779) ); sky130_fd_sc_hd__a221oi_1 U17786 ( .A1(n21497), .A2(\lsu/end_addr_m [5]), .B1(\lsu/stbuf/stbuf_addr[1][7] ), .B2(n13812), .C1(n13779), .Y(n13786) ); sky130_fd_sc_hd__o22ai_1 U17787 ( .A1(n21510), .A2(\lsu/end_addr_m [6]), .B1(n21496), .B2(\lsu/end_addr_m [4]), .Y(n13780) ); sky130_fd_sc_hd__a221oi_1 U17788 ( .A1(n21510), .A2(\lsu/end_addr_m [6]), .B1(\lsu/end_addr_m [4]), .B2(n21496), .C1(n13780), .Y(n13785) ); sky130_fd_sc_hd__o22ai_1 U17789 ( .A1(n21505), .A2(\lsu/end_addr_m [14]), .B1(n13815), .B2(\lsu/stbuf/stbuf_addr[1][10] ), .Y(n13781) ); sky130_fd_sc_hd__a221oi_1 U17790 ( .A1(n21505), .A2(\lsu/end_addr_m [14]), .B1(\lsu/stbuf/stbuf_addr[1][10] ), .B2(n13815), .C1(n13781), .Y( n13784) ); sky130_fd_sc_hd__o22ai_1 U17791 ( .A1(n21408), .A2(\lsu/end_addr_m [15]), .B1(n21511), .B2(\lsu/end_addr_m [13]), .Y(n13782) ); sky130_fd_sc_hd__a221oi_1 U17792 ( .A1(n21408), .A2(\lsu/end_addr_m [15]), .B1(\lsu/end_addr_m [13]), .B2(n21511), .C1(n13782), .Y(n13783) ); sky130_fd_sc_hd__nand4_1 U17793 ( .A(n13786), .B(n13785), .C(n13784), .D( n13783), .Y(n13787) ); sky130_fd_sc_hd__nor4_1 U17794 ( .A(n13789), .B(n13788), .C(n13898), .D( n13787), .Y(n13792) ); sky130_fd_sc_hd__o22ai_1 U17795 ( .A1(\lsu/stbuf/stbuf_addr[1][2] ), .A2( \lsu/end_addr_m [2]), .B1(n21504), .B2(n15825), .Y(n13791) ); sky130_fd_sc_hd__o22ai_1 U17796 ( .A1(\lsu/stbuf/stbuf_addr[1][9] ), .A2( \lsu/end_addr_m [9]), .B1(n21495), .B2(n15919), .Y(n13790) ); sky130_fd_sc_hd__nand4_1 U17797 ( .A(n13793), .B(n13792), .C(n13791), .D( n13790), .Y(n24889) ); sky130_fd_sc_hd__o22ai_1 U17798 ( .A1(\lsu/stbuf/stbuf_addr[3][14] ), .A2( n13822), .B1(n21470), .B2(\lsu/end_addr_m [14]), .Y(n13811) ); sky130_fd_sc_hd__o22ai_1 U17799 ( .A1(n21476), .A2(\lsu/end_addr_m [3]), .B1(n13824), .B2(\lsu/stbuf/stbuf_addr[3][13] ), .Y(n13794) ); sky130_fd_sc_hd__a221oi_1 U17800 ( .A1(n21476), .A2(\lsu/end_addr_m [3]), .B1(\lsu/stbuf/stbuf_addr[3][13] ), .B2(n13824), .C1(n13794), .Y( n13795) ); sky130_fd_sc_hd__o221ai_1 U17801 ( .A1(\lsu/stbuf/stbuf_addr[3][8] ), .A2( n13816), .B1(n21484), .B2(\lsu/end_addr_m [8]), .C1(n13795), .Y(n13810) ); sky130_fd_sc_hd__o22ai_1 U17802 ( .A1(\lsu/stbuf/stbuf_addr[3][2] ), .A2( \lsu/end_addr_m [2]), .B1(n21469), .B2(n15825), .Y(n13800) ); sky130_fd_sc_hd__o22ai_1 U17803 ( .A1(\lsu/stbuf/stbuf_addr[3][6] ), .A2( \lsu/end_addr_m [6]), .B1(n21385), .B2(n13796), .Y(n13799) ); sky130_fd_sc_hd__o22ai_1 U17804 ( .A1(\lsu/stbuf/stbuf_addr[3][9] ), .A2( \lsu/end_addr_m [9]), .B1(n21383), .B2(n15919), .Y(n13798) ); sky130_fd_sc_hd__o22ai_1 U17805 ( .A1(\lsu/stbuf/stbuf_addr[3][10] ), .A2( \lsu/end_addr_m [10]), .B1(n21384), .B2(n13815), .Y(n13797) ); sky130_fd_sc_hd__nand4_1 U17806 ( .A(n13800), .B(n13799), .C(n13798), .D( n13797), .Y(n13809) ); sky130_fd_sc_hd__nor3_1 U17807 ( .A(\lsu/stbuf/stbuf_dma_kill [3]), .B( n23427), .C(n21582), .Y(n13936) ); sky130_fd_sc_hd__o22ai_1 U17808 ( .A1(n21485), .A2(\lsu/end_addr_m [5]), .B1(n13802), .B2(\lsu/stbuf/stbuf_addr[3][12] ), .Y(n13801) ); sky130_fd_sc_hd__a221oi_1 U17809 ( .A1(n21485), .A2(\lsu/end_addr_m [5]), .B1(\lsu/stbuf/stbuf_addr[3][12] ), .B2(n13802), .C1(n13801), .Y( n13807) ); sky130_fd_sc_hd__o22ai_1 U17810 ( .A1(n21477), .A2(\lsu/end_addr_m [4]), .B1(n13813), .B2(\lsu/stbuf/stbuf_addr[3][11] ), .Y(n13803) ); sky130_fd_sc_hd__a221oi_1 U17811 ( .A1(n21477), .A2(\lsu/end_addr_m [4]), .B1(\lsu/stbuf/stbuf_addr[3][11] ), .B2(n13813), .C1(n13803), .Y( n13806) ); sky130_fd_sc_hd__o22ai_1 U17812 ( .A1(n21471), .A2(\lsu/end_addr_m [7]), .B1(n13814), .B2(\lsu/stbuf/stbuf_addr[3][15] ), .Y(n13804) ); sky130_fd_sc_hd__a221oi_1 U17813 ( .A1(n21471), .A2(\lsu/end_addr_m [7]), .B1(\lsu/stbuf/stbuf_addr[3][15] ), .B2(n13814), .C1(n13804), .Y( n13805) ); sky130_fd_sc_hd__nand4_1 U17814 ( .A(n13936), .B(n13807), .C(n13806), .D( n13805), .Y(n13808) ); sky130_fd_sc_hd__nor4_1 U17815 ( .A(n13811), .B(n13810), .C(n13809), .D( n13808), .Y(n24832) ); sky130_fd_sc_hd__clkbuf_1 U17816 ( .A(n24832), .X(n24884) ); sky130_fd_sc_hd__o22ai_1 U17817 ( .A1(\lsu/stbuf/stbuf_addr[2][7] ), .A2( n13812), .B1(n21448), .B2(\lsu/end_addr_m [7]), .Y(n13834) ); sky130_fd_sc_hd__o22ai_1 U17818 ( .A1(\lsu/stbuf/stbuf_addr[2][11] ), .A2( \lsu/end_addr_m [11]), .B1(n21459), .B2(n13813), .Y(n13820) ); sky130_fd_sc_hd__o22ai_1 U17819 ( .A1(\lsu/stbuf/stbuf_addr[2][15] ), .A2( \lsu/end_addr_m [15]), .B1(n21457), .B2(n13814), .Y(n13819) ); sky130_fd_sc_hd__o22ai_1 U17820 ( .A1(\lsu/stbuf/stbuf_addr[2][10] ), .A2( \lsu/end_addr_m [10]), .B1(n21438), .B2(n13815), .Y(n13818) ); sky130_fd_sc_hd__o22ai_1 U17821 ( .A1(\lsu/stbuf/stbuf_addr[2][8] ), .A2( \lsu/end_addr_m [8]), .B1(n21449), .B2(n13816), .Y(n13817) ); sky130_fd_sc_hd__nand4_1 U17822 ( .A(n13820), .B(n13819), .C(n13818), .D( n13817), .Y(n13833) ); sky130_fd_sc_hd__o22ai_1 U17823 ( .A1(n21440), .A2(\lsu/end_addr_m [5]), .B1(n13822), .B2(\lsu/stbuf/stbuf_addr[2][14] ), .Y(n13821) ); sky130_fd_sc_hd__a221oi_1 U17824 ( .A1(n21440), .A2(\lsu/end_addr_m [5]), .B1(\lsu/stbuf/stbuf_addr[2][14] ), .B2(n13822), .C1(n13821), .Y( n13823) ); sky130_fd_sc_hd__o221ai_1 U17825 ( .A1(\lsu/stbuf/stbuf_addr[2][13] ), .A2( n13824), .B1(n21441), .B2(\lsu/end_addr_m [13]), .C1(n13823), .Y( n13832) ); sky130_fd_sc_hd__nor2_1 U17826 ( .A(\lsu/stbuf/stbuf_dma_kill [2]), .B( n21588), .Y(n21425) ); sky130_fd_sc_hd__nand2_1 U17827 ( .A(\lsu/addr_in_dccm_m ), .B(n21425), .Y( n13916) ); sky130_fd_sc_hd__o22ai_1 U17828 ( .A1(n21455), .A2(\lsu/end_addr_m [6]), .B1(n15919), .B2(\lsu/stbuf/stbuf_addr[2][9] ), .Y(n13825) ); sky130_fd_sc_hd__a221oi_1 U17829 ( .A1(n21455), .A2(\lsu/end_addr_m [6]), .B1(\lsu/stbuf/stbuf_addr[2][9] ), .B2(n15919), .C1(n13825), .Y(n13830) ); sky130_fd_sc_hd__o22ai_1 U17830 ( .A1(n21446), .A2(\lsu/end_addr_m [2]), .B1(n15914), .B2(\lsu/stbuf/stbuf_addr[2][3] ), .Y(n13826) ); sky130_fd_sc_hd__a221oi_1 U17831 ( .A1(n21446), .A2(\lsu/end_addr_m [2]), .B1(\lsu/stbuf/stbuf_addr[2][3] ), .B2(n15914), .C1(n13826), .Y(n13829) ); sky130_fd_sc_hd__o22ai_1 U17832 ( .A1(n21447), .A2(\lsu/end_addr_m [12]), .B1(n21439), .B2(\lsu/end_addr_m [4]), .Y(n13827) ); sky130_fd_sc_hd__a221oi_1 U17833 ( .A1(n21447), .A2(\lsu/end_addr_m [12]), .B1(\lsu/end_addr_m [4]), .B2(n21439), .C1(n13827), .Y(n13828) ); sky130_fd_sc_hd__nand4b_1 U17834 ( .A_N(n13916), .B(n13830), .C(n13829), .D( n13828), .Y(n13831) ); sky130_fd_sc_hd__nor4_1 U17835 ( .A(n13834), .B(n13833), .C(n13832), .D( n13831), .Y(n24846) ); sky130_fd_sc_hd__a22oi_1 U17836 ( .A1(\lsu/stbuf/stbuf_byteen[3][1] ), .A2( n24884), .B1(\lsu/stbuf/stbuf_byteen[2][1] ), .B2(n24833), .Y(n13835) ); sky130_fd_sc_hd__o21ai_1 U17837 ( .A1(n23248), .A2(n24889), .B1(n13835), .Y( n13836) ); sky130_fd_sc_hd__a21oi_1 U17838 ( .A1(\lsu/stbuf/stbuf_byteen[0][1] ), .A2( n24847), .B1(n13836), .Y(n13869) ); sky130_fd_sc_hd__nand2b_1 U17839 ( .A_N(\lsu/lsu_pkt_r[dma] ), .B( \lsu/lsu_pkt_r[valid] ), .Y(n21555) ); sky130_fd_sc_hd__nor2_1 U17840 ( .A(n21554), .B(n21555), .Y(n13983) ); sky130_fd_sc_hd__nand2_1 U17841 ( .A(n13983), .B(\lsu/addr_in_dccm_r ), .Y( n15699) ); sky130_fd_sc_hd__nor2_1 U17842 ( .A(dec_tlu_i0_kill_writeb_r), .B(n15699), .Y(n21699) ); sky130_fd_sc_hd__nand2_1 U17843 ( .A(n21699), .B(\lsu/stbuf/ldst_dual_r ), .Y(n21492) ); sky130_fd_sc_hd__nand2_1 U17844 ( .A(n21523), .B(n13837), .Y(n18339) ); sky130_fd_sc_hd__nand2_1 U17845 ( .A(n21538), .B(n13838), .Y(n13982) ); sky130_fd_sc_hd__nand2b_1 U17846 ( .A_N(n18339), .B(n13982), .Y(n15303) ); sky130_fd_sc_hd__o21ai_1 U17847 ( .A1(n16391), .A2(n22551), .B1(n17662), .Y( n13962) ); sky130_fd_sc_hd__o21ai_1 U17848 ( .A1(\lsu/stbuf/store_byteen_ext_r[7] ), .A2(n13962), .B1(n22553), .Y(n21536) ); sky130_fd_sc_hd__nand2_1 U17849 ( .A(n13983), .B(n13839), .Y(n18342) ); sky130_fd_sc_hd__nor2_1 U17850 ( .A(n21536), .B(n18342), .Y(n15301) ); sky130_fd_sc_hd__nor2b_1 U17851 ( .B_N(n15303), .A(n15301), .Y(n13868) ); sky130_fd_sc_hd__nand2_1 U17852 ( .A(n20671), .B(n18344), .Y(n24973) ); sky130_fd_sc_hd__xnor2_1 U17853 ( .A(\pic_ctrl_inst/gw_config_reg[13][0] ), .B(\pic_ctrl_inst/extintsrc_req_sync [13]), .Y(n21291) ); sky130_fd_sc_hd__nor4_1 U17854 ( .A(\pic_ctrl_inst/picm_raddr_ff [10]), .B( \pic_ctrl_inst/picm_raddr_ff [8]), .C(\pic_ctrl_inst/picm_raddr_ff [9]), .D(\pic_ctrl_inst/picm_raddr_ff [7]), .Y(n13840) ); sky130_fd_sc_hd__nand2_1 U17855 ( .A(n13840), .B(n13854), .Y(n14216) ); sky130_fd_sc_hd__nand4_1 U17856 ( .A(n14194), .B(n14193), .C(n14195), .D( n14196), .Y(n14214) ); sky130_fd_sc_hd__nor2_1 U17857 ( .A(n14214), .B( \pic_ctrl_inst/picm_raddr_ff [6]), .Y(n14215) ); sky130_fd_sc_hd__nand3_1 U17858 ( .A(\pic_ctrl_inst/picm_raddr_ff [31]), .B( \pic_ctrl_inst/picm_rden_ff ), .C(n14720), .Y(n14722) ); sky130_fd_sc_hd__nor4_1 U17859 ( .A(\pic_ctrl_inst/picm_raddr_ff [13]), .B( \pic_ctrl_inst/picm_raddr_ff [14]), .C(n14216), .D(n14722), .Y(n14213) ); sky130_fd_sc_hd__xor2_1 U17860 ( .A(\pic_ctrl_inst/picm_raddr_ff [7]), .B( \pic_ctrl_inst/picm_waddr_ff [7]), .X(n13865) ); sky130_fd_sc_hd__o22ai_1 U17861 ( .A1(n13842), .A2( \pic_ctrl_inst/picm_waddr_ff [9]), .B1(n14196), .B2( \pic_ctrl_inst/picm_waddr_ff [5]), .Y(n13841) ); sky130_fd_sc_hd__a221oi_1 U17862 ( .A1(n13842), .A2( \pic_ctrl_inst/picm_waddr_ff [9]), .B1( \pic_ctrl_inst/picm_waddr_ff [5]), .B2(n14196), .C1(n13841), .Y(n13845) ); sky130_fd_sc_hd__o22ai_1 U17863 ( .A1(\pic_ctrl_inst/picm_raddr_ff [2]), .A2(\pic_ctrl_inst/picm_waddr_ff [2]), .B1(n14195), .B2(n21235), .Y( n13844) ); sky130_fd_sc_hd__xnor2_1 U17864 ( .A(\pic_ctrl_inst/picm_waddr_ff [0]), .B( \pic_ctrl_inst/picm_raddr_ff [0]), .Y(n13843) ); sky130_fd_sc_hd__nand3_1 U17865 ( .A(n13845), .B(n13844), .C(n13843), .Y( n13864) ); sky130_fd_sc_hd__o22ai_1 U17866 ( .A1(n14864), .A2( \pic_ctrl_inst/picm_waddr_ff [6]), .B1(n14861), .B2( \pic_ctrl_inst/picm_waddr_ff [14]), .Y(n13846) ); sky130_fd_sc_hd__a221oi_1 U17867 ( .A1(n14864), .A2( \pic_ctrl_inst/picm_waddr_ff [6]), .B1( \pic_ctrl_inst/picm_waddr_ff [14]), .B2(n14861), .C1(n13846), .Y( n13848) ); sky130_fd_sc_hd__nand4_1 U17868 ( .A(\pic_ctrl_inst/picm_wren_ff ), .B( \pic_ctrl_inst/picm_rden_ff ), .C(n13848), .D(n13847), .Y(n13863) ); sky130_fd_sc_hd__o22ai_1 U17869 ( .A1(n14718), .A2( \pic_ctrl_inst/picm_waddr_ff [13]), .B1(n13850), .B2( \pic_ctrl_inst/picm_waddr_ff [1]), .Y(n13849) ); sky130_fd_sc_hd__a221oi_1 U17870 ( .A1(n14718), .A2( \pic_ctrl_inst/picm_waddr_ff [13]), .B1( \pic_ctrl_inst/picm_waddr_ff [1]), .B2(n13850), .C1(n13849), .Y(n13861) ); sky130_fd_sc_hd__o22ai_1 U17871 ( .A1(n13852), .A2( \pic_ctrl_inst/picm_waddr_ff [10]), .B1(n14194), .B2( \pic_ctrl_inst/picm_waddr_ff [3]), .Y(n13851) ); sky130_fd_sc_hd__a221oi_1 U17872 ( .A1(n13852), .A2( \pic_ctrl_inst/picm_waddr_ff [10]), .B1( \pic_ctrl_inst/picm_waddr_ff [3]), .B2(n14194), .C1(n13851), .Y(n13860) ); sky130_fd_sc_hd__o22ai_1 U17873 ( .A1(n14193), .A2( \pic_ctrl_inst/picm_waddr_ff [4]), .B1(n13854), .B2( \pic_ctrl_inst/picm_waddr_ff [11]), .Y(n13853) ); sky130_fd_sc_hd__a221oi_1 U17874 ( .A1(n14193), .A2( \pic_ctrl_inst/picm_waddr_ff [4]), .B1( \pic_ctrl_inst/picm_waddr_ff [11]), .B2(n13854), .C1(n13853), .Y( n13859) ); sky130_fd_sc_hd__o22ai_1 U17875 ( .A1(n13857), .A2( \pic_ctrl_inst/picm_waddr_ff [8]), .B1(n13856), .B2( \pic_ctrl_inst/picm_waddr_ff [31]), .Y(n13855) ); sky130_fd_sc_hd__a221oi_1 U17876 ( .A1(n13857), .A2( \pic_ctrl_inst/picm_waddr_ff [8]), .B1( \pic_ctrl_inst/picm_waddr_ff [31]), .B2(n13856), .C1(n13855), .Y( n13858) ); sky130_fd_sc_hd__nand4_1 U17877 ( .A(n13861), .B(n13860), .C(n13859), .D( n13858), .Y(n13862) ); sky130_fd_sc_hd__or4_1 U17878 ( .A(n13865), .B(n13864), .C(n13863), .D( n13862), .X(n14899) ); sky130_fd_sc_hd__nand2_1 U17879 ( .A(n14213), .B(n14899), .Y(n14909) ); sky130_fd_sc_hd__o2bb2ai_1 U17880 ( .B1(n16505), .B2(n14909), .A1_N(n14910), .A2_N(\pic_ctrl_inst/picm_wr_data_ff [13]), .Y(n20562) ); sky130_fd_sc_hd__nand2_1 U17881 ( .A(\lsu/addr_in_pic_m ), .B(n18344), .Y( n15299) ); sky130_fd_sc_hd__a22oi_1 U17882 ( .A1(n24847), .A2( \lsu/stbuf/stbuf_data[0][13] ), .B1(n24832), .B2( \lsu/stbuf/stbuf_data[3][13] ), .Y(n13866) ); sky130_fd_sc_hd__o21ai_1 U17883 ( .A1(n24889), .A2(n17669), .B1(n13866), .Y( n13867) ); sky130_fd_sc_hd__a21oi_1 U17884 ( .A1(n24833), .A2( \lsu/stbuf/stbuf_data[2][13] ), .B1(n13867), .Y(n13870) ); sky130_fd_sc_hd__nand2b_1 U17885 ( .A_N(n13869), .B(n13868), .Y(n15297) ); sky130_fd_sc_hd__o22ai_1 U17886 ( .A1(n14512), .A2(n15299), .B1(n13870), .B2(n15297), .Y(n13871) ); sky130_fd_sc_hd__a21oi_1 U17887 ( .A1(n15301), .A2(\lsu/store_data_lo_r [13]), .B1(n13871), .Y(n13872) ); sky130_fd_sc_hd__o21ai_1 U17888 ( .A1(n15303), .A2(n23135), .B1(n13872), .Y( n24992) ); sky130_fd_sc_hd__a21oi_1 U17889 ( .A1(n25013), .A2(\lsu/dccm_rdata_hi_m [13]), .B1(n24992), .Y(n15314) ); sky130_fd_sc_hd__nand2_1 U17890 ( .A(n15142), .B(\lsu/lsu_pkt_m[word] ), .Y( n14367) ); sky130_fd_sc_hd__nor2_1 U17891 ( .A(n23512), .B(n14367), .Y(n15583) ); sky130_fd_sc_hd__a22oi_1 U17892 ( .A1(\lsu/stbuf/stbuf_byteen[1][2] ), .A2( n24845), .B1(\lsu/stbuf/stbuf_byteen[0][2] ), .B2(n24847), .Y(n13873) ); sky130_fd_sc_hd__o21ai_1 U17893 ( .A1(n23185), .A2(n24885), .B1(n13873), .Y( n13874) ); sky130_fd_sc_hd__a21oi_1 U17894 ( .A1(\lsu/stbuf/stbuf_byteen[3][2] ), .A2( n24832), .B1(n13874), .Y(n13882) ); sky130_fd_sc_hd__a21oi_1 U17895 ( .A1(n21538), .A2(n13995), .B1(n18339), .Y( n15578) ); sky130_fd_sc_hd__o22ai_1 U17896 ( .A1(n17657), .A2(n13875), .B1(n22553), .B2(n16391), .Y(n13877) ); sky130_fd_sc_hd__o31ai_1 U17897 ( .A1(\lsu/lsu_pkt_r[word] ), .A2( \lsu/stbuf/store_byteen_ext_r[7] ), .A3(n13877), .B1(n13876), .Y( n21539) ); sky130_fd_sc_hd__nor2_1 U17898 ( .A(n21539), .B(n18342), .Y(n13878) ); sky130_fd_sc_hd__nor2_1 U17899 ( .A(n15578), .B(n13878), .Y(n13881) ); sky130_fd_sc_hd__nand2_1 U17900 ( .A(n20671), .B(n18343), .Y(n24976) ); sky130_fd_sc_hd__xnor2_1 U17901 ( .A(\pic_ctrl_inst/gw_config_reg[21][0] ), .B(\pic_ctrl_inst/extintsrc_req_sync [21]), .Y(n21271) ); sky130_fd_sc_hd__o2bb2ai_1 U17902 ( .B1(n16860), .B2(n14909), .A1_N(n14910), .A2_N(\pic_ctrl_inst/picm_wr_data_ff [21]), .Y(n20670) ); sky130_fd_sc_hd__nand2_1 U17903 ( .A(\lsu/addr_in_pic_m ), .B(n18343), .Y( n15574) ); sky130_fd_sc_hd__a22oi_1 U17904 ( .A1(n24833), .A2( \lsu/stbuf/stbuf_data[2][21] ), .B1(n24845), .B2( \lsu/stbuf/stbuf_data[1][21] ), .Y(n13879) ); sky130_fd_sc_hd__o21ai_1 U17905 ( .A1(n24887), .A2(n22008), .B1(n13879), .Y( n13880) ); sky130_fd_sc_hd__a21oi_1 U17906 ( .A1(n24832), .A2( \lsu/stbuf/stbuf_data[3][21] ), .B1(n13880), .Y(n13883) ); sky130_fd_sc_hd__nand2b_1 U17907 ( .A_N(n13882), .B(n13881), .Y(n15570) ); sky130_fd_sc_hd__o22ai_1 U17908 ( .A1(n14504), .A2(n15574), .B1(n13883), .B2(n15570), .Y(n13884) ); sky130_fd_sc_hd__a21oi_1 U17909 ( .A1(\lsu/store_data_hi_r [21]), .A2(n15578), .B1(n13884), .Y(n13885) ); sky130_fd_sc_hd__o21ai_1 U17910 ( .A1(n22532), .A2(n15573), .B1(n13885), .Y( n24993) ); sky130_fd_sc_hd__a21oi_1 U17911 ( .A1(\lsu/dccm_rdata_hi_m [21]), .A2(n25015), .B1(n24993), .Y(n13886) ); sky130_fd_sc_hd__nand2_1 U17912 ( .A(\lsu/bus_intf/ldst_byteen_ext_m [6]), .B(n15142), .Y(n15579) ); sky130_fd_sc_hd__o22ai_1 U17913 ( .A1(n15314), .A2(n15510), .B1(n13886), .B2(n15579), .Y(n13887) ); sky130_fd_sc_hd__a21oi_1 U17914 ( .A1(n15584), .A2(\lsu/bus_read_data_m [29]), .B1(n13887), .Y(n14039) ); sky130_fd_sc_hd__o22ai_1 U17915 ( .A1(n21061), .A2( \lsu/stbuf/stbuf_addr[1][14] ), .B1(n21496), .B2(\lsu/lsu_addr_m [4]), .Y(n13888) ); sky130_fd_sc_hd__a221oi_1 U17916 ( .A1(n21061), .A2( \lsu/stbuf/stbuf_addr[1][14] ), .B1(\lsu/lsu_addr_m [4]), .B2(n21496), .C1(n13888), .Y(n13904) ); sky130_fd_sc_hd__o22ai_1 U17917 ( .A1(\lsu/lsu_addr_m [6]), .A2(n21510), .B1(n21049), .B2(\lsu/stbuf/stbuf_addr[1][6] ), .Y(n13900) ); sky130_fd_sc_hd__o22ai_1 U17918 ( .A1(\lsu/lsu_addr_m [5]), .A2(n21497), .B1(n21100), .B2(\lsu/stbuf/stbuf_addr[1][5] ), .Y(n13899) ); sky130_fd_sc_hd__o22ai_1 U17919 ( .A1(n13946), .A2( \lsu/stbuf/stbuf_addr[1][11] ), .B1(n21498), .B2(\lsu/lsu_addr_m [3]), .Y(n13889) ); sky130_fd_sc_hd__a221oi_1 U17920 ( .A1(n13946), .A2( \lsu/stbuf/stbuf_addr[1][11] ), .B1(\lsu/lsu_addr_m [3]), .B2(n21498), .C1(n13889), .Y(n13896) ); sky130_fd_sc_hd__o22ai_1 U17921 ( .A1(n21089), .A2( \lsu/stbuf/stbuf_addr[1][2] ), .B1(n13945), .B2( \lsu/stbuf/stbuf_addr[1][8] ), .Y(n13890) ); sky130_fd_sc_hd__a221oi_1 U17922 ( .A1(n21089), .A2( \lsu/stbuf/stbuf_addr[1][2] ), .B1(\lsu/stbuf/stbuf_addr[1][8] ), .B2( n13945), .C1(n13890), .Y(n13895) ); sky130_fd_sc_hd__o22ai_1 U17923 ( .A1(n21054), .A2( \lsu/stbuf/stbuf_addr[1][10] ), .B1(n20930), .B2( \lsu/stbuf/stbuf_addr[1][7] ), .Y(n13891) ); sky130_fd_sc_hd__a221oi_1 U17924 ( .A1(n21054), .A2( \lsu/stbuf/stbuf_addr[1][10] ), .B1(\lsu/stbuf/stbuf_addr[1][7] ), .B2(n20930), .C1(n13891), .Y(n13894) ); sky130_fd_sc_hd__o22ai_1 U17925 ( .A1(n21096), .A2( \lsu/stbuf/stbuf_addr[1][12] ), .B1(n21045), .B2( \lsu/stbuf/stbuf_addr[1][13] ), .Y(n13892) ); sky130_fd_sc_hd__a221oi_1 U17926 ( .A1(n21096), .A2( \lsu/stbuf/stbuf_addr[1][12] ), .B1(\lsu/stbuf/stbuf_addr[1][13] ), .B2(n21045), .C1(n13892), .Y(n13893) ); sky130_fd_sc_hd__nand4_1 U17927 ( .A(n13896), .B(n13895), .C(n13894), .D( n13893), .Y(n13897) ); sky130_fd_sc_hd__nor4_1 U17928 ( .A(n13900), .B(n13899), .C(n13898), .D( n13897), .Y(n13903) ); sky130_fd_sc_hd__o22ai_1 U17929 ( .A1(\lsu/lsu_addr_m [15]), .A2( \lsu/stbuf/stbuf_addr[1][15] ), .B1(n13940), .B2(n21408), .Y(n13902) ); sky130_fd_sc_hd__o22ai_1 U17930 ( .A1(\lsu/lsu_addr_m [9]), .A2( \lsu/stbuf/stbuf_addr[1][9] ), .B1(n21091), .B2(n21495), .Y(n13901) ); sky130_fd_sc_hd__nand4_1 U17931 ( .A(n13904), .B(n13903), .C(n13902), .D( n13901), .Y(n15899) ); sky130_fd_sc_hd__o22ai_1 U17932 ( .A1(n13946), .A2( \lsu/stbuf/stbuf_addr[2][11] ), .B1(n21045), .B2( \lsu/stbuf/stbuf_addr[2][13] ), .Y(n13905) ); sky130_fd_sc_hd__a221oi_1 U17933 ( .A1(n13946), .A2( \lsu/stbuf/stbuf_addr[2][11] ), .B1(\lsu/stbuf/stbuf_addr[2][13] ), .B2(n21045), .C1(n13905), .Y(n13921) ); sky130_fd_sc_hd__o22ai_1 U17934 ( .A1(n21100), .A2( \lsu/stbuf/stbuf_addr[2][5] ), .B1(n13940), .B2( \lsu/stbuf/stbuf_addr[2][15] ), .Y(n13906) ); sky130_fd_sc_hd__a221oi_1 U17935 ( .A1(n21100), .A2( \lsu/stbuf/stbuf_addr[2][5] ), .B1(\lsu/stbuf/stbuf_addr[2][15] ), .B2(n13940), .C1(n13906), .Y(n13920) ); sky130_fd_sc_hd__o22ai_1 U17936 ( .A1(\lsu/lsu_addr_m [6]), .A2(n21455), .B1(n21049), .B2(\lsu/stbuf/stbuf_addr[2][6] ), .Y(n13918) ); sky130_fd_sc_hd__o22ai_1 U17937 ( .A1(\lsu/lsu_addr_m [7]), .A2(n21448), .B1(n20930), .B2(\lsu/stbuf/stbuf_addr[2][7] ), .Y(n13917) ); sky130_fd_sc_hd__o22ai_1 U17938 ( .A1(n21096), .A2( \lsu/stbuf/stbuf_addr[2][12] ), .B1(n21089), .B2( \lsu/stbuf/stbuf_addr[2][2] ), .Y(n13907) ); sky130_fd_sc_hd__a221oi_1 U17939 ( .A1(n21096), .A2( \lsu/stbuf/stbuf_addr[2][12] ), .B1(\lsu/stbuf/stbuf_addr[2][2] ), .B2(n21089), .C1(n13907), .Y(n13914) ); sky130_fd_sc_hd__o22ai_1 U17940 ( .A1(n21061), .A2( \lsu/stbuf/stbuf_addr[2][14] ), .B1(n21104), .B2( \lsu/stbuf/stbuf_addr[2][4] ), .Y(n13908) ); sky130_fd_sc_hd__a221oi_1 U17941 ( .A1(n21061), .A2( \lsu/stbuf/stbuf_addr[2][14] ), .B1(\lsu/stbuf/stbuf_addr[2][4] ), .B2(n21104), .C1(n13908), .Y(n13913) ); sky130_fd_sc_hd__o22ai_1 U17942 ( .A1(n21054), .A2( \lsu/stbuf/stbuf_addr[2][10] ), .B1(n21073), .B2( \lsu/stbuf/stbuf_addr[2][3] ), .Y(n13909) ); sky130_fd_sc_hd__a221oi_1 U17943 ( .A1(n21054), .A2( \lsu/stbuf/stbuf_addr[2][10] ), .B1(\lsu/stbuf/stbuf_addr[2][3] ), .B2(n21073), .C1(n13909), .Y(n13912) ); sky130_fd_sc_hd__o22ai_1 U17944 ( .A1(n21091), .A2( \lsu/stbuf/stbuf_addr[2][9] ), .B1(n13945), .B2( \lsu/stbuf/stbuf_addr[2][8] ), .Y(n13910) ); sky130_fd_sc_hd__a221oi_1 U17945 ( .A1(n21091), .A2( \lsu/stbuf/stbuf_addr[2][9] ), .B1(\lsu/stbuf/stbuf_addr[2][8] ), .B2( n13945), .C1(n13910), .Y(n13911) ); sky130_fd_sc_hd__nand4_1 U17946 ( .A(n13914), .B(n13913), .C(n13912), .D( n13911), .Y(n13915) ); sky130_fd_sc_hd__nor4_1 U17947 ( .A(n13918), .B(n13917), .C(n13916), .D( n13915), .Y(n13919) ); sky130_fd_sc_hd__nand3_1 U17948 ( .A(n13921), .B(n13920), .C(n13919), .Y( n15898) ); sky130_fd_sc_hd__o22ai_1 U17949 ( .A1(n21089), .A2( \lsu/stbuf/stbuf_addr[3][2] ), .B1(n21045), .B2( \lsu/stbuf/stbuf_addr[3][13] ), .Y(n13922) ); sky130_fd_sc_hd__a221oi_1 U17950 ( .A1(n21089), .A2( \lsu/stbuf/stbuf_addr[3][2] ), .B1(\lsu/stbuf/stbuf_addr[3][13] ), .B2(n21045), .C1(n13922), .Y(n13929) ); sky130_fd_sc_hd__o22ai_1 U17951 ( .A1(n21061), .A2( \lsu/stbuf/stbuf_addr[3][14] ), .B1(n21383), .B2(\lsu/lsu_addr_m [9]), .Y(n13923) ); sky130_fd_sc_hd__a221oi_1 U17952 ( .A1(n21061), .A2( \lsu/stbuf/stbuf_addr[3][14] ), .B1(\lsu/lsu_addr_m [9]), .B2(n21383), .C1(n13923), .Y(n13928) ); sky130_fd_sc_hd__o22ai_1 U17953 ( .A1(n13946), .A2( \lsu/stbuf/stbuf_addr[3][11] ), .B1(n21049), .B2( \lsu/stbuf/stbuf_addr[3][6] ), .Y(n13924) ); sky130_fd_sc_hd__a221oi_1 U17954 ( .A1(n13946), .A2( \lsu/stbuf/stbuf_addr[3][11] ), .B1(\lsu/stbuf/stbuf_addr[3][6] ), .B2(n21049), .C1(n13924), .Y(n13927) ); sky130_fd_sc_hd__o22ai_1 U17955 ( .A1(n21096), .A2( \lsu/stbuf/stbuf_addr[3][12] ), .B1(n21468), .B2(\lsu/lsu_addr_m [15]), .Y(n13925) ); sky130_fd_sc_hd__a221oi_1 U17956 ( .A1(n21096), .A2( \lsu/stbuf/stbuf_addr[3][12] ), .B1(\lsu/lsu_addr_m [15]), .B2(n21468), .C1(n13925), .Y(n13926) ); sky130_fd_sc_hd__nand4_1 U17957 ( .A(n13929), .B(n13928), .C(n13927), .D( n13926), .Y(n13938) ); sky130_fd_sc_hd__o22ai_1 U17958 ( .A1(n20930), .A2( \lsu/stbuf/stbuf_addr[3][7] ), .B1(n13945), .B2( \lsu/stbuf/stbuf_addr[3][8] ), .Y(n13930) ); sky130_fd_sc_hd__a221oi_1 U17959 ( .A1(n20930), .A2( \lsu/stbuf/stbuf_addr[3][7] ), .B1(\lsu/stbuf/stbuf_addr[3][8] ), .B2( n13945), .C1(n13930), .Y(n13935) ); sky130_fd_sc_hd__o22ai_1 U17960 ( .A1(n21104), .A2( \lsu/stbuf/stbuf_addr[3][4] ), .B1(n21073), .B2( \lsu/stbuf/stbuf_addr[3][3] ), .Y(n13931) ); sky130_fd_sc_hd__a221oi_1 U17961 ( .A1(n21104), .A2( \lsu/stbuf/stbuf_addr[3][4] ), .B1(\lsu/stbuf/stbuf_addr[3][3] ), .B2( n21073), .C1(n13931), .Y(n13934) ); sky130_fd_sc_hd__o22ai_1 U17962 ( .A1(n21054), .A2( \lsu/stbuf/stbuf_addr[3][10] ), .B1(n21100), .B2( \lsu/stbuf/stbuf_addr[3][5] ), .Y(n13932) ); sky130_fd_sc_hd__a221oi_1 U17963 ( .A1(n21054), .A2( \lsu/stbuf/stbuf_addr[3][10] ), .B1(\lsu/stbuf/stbuf_addr[3][5] ), .B2(n21100), .C1(n13932), .Y(n13933) ); sky130_fd_sc_hd__nand4_1 U17964 ( .A(n13936), .B(n13935), .C(n13934), .D( n13933), .Y(n13937) ); sky130_fd_sc_hd__nor2_1 U17965 ( .A(n13938), .B(n13937), .Y(n15902) ); sky130_fd_sc_hd__o22ai_1 U17966 ( .A1(n21104), .A2( \lsu/stbuf/stbuf_addr[0][4] ), .B1(n13940), .B2( \lsu/stbuf/stbuf_addr[0][15] ), .Y(n13939) ); sky130_fd_sc_hd__a221oi_1 U17967 ( .A1(n21104), .A2( \lsu/stbuf/stbuf_addr[0][4] ), .B1(\lsu/stbuf/stbuf_addr[0][15] ), .B2(n13940), .C1(n13939), .Y(n13958) ); sky130_fd_sc_hd__o22ai_1 U17968 ( .A1(\lsu/lsu_addr_m [7]), .A2(n21363), .B1(n20930), .B2(\lsu/stbuf/stbuf_addr[0][7] ), .Y(n13954) ); sky130_fd_sc_hd__o22ai_1 U17969 ( .A1(\lsu/lsu_addr_m [13]), .A2(n21365), .B1(n21045), .B2(\lsu/stbuf/stbuf_addr[0][13] ), .Y(n13953) ); sky130_fd_sc_hd__o22ai_1 U17970 ( .A1(n21100), .A2( \lsu/stbuf/stbuf_addr[0][5] ), .B1(n21357), .B2(\lsu/lsu_addr_m [14]), .Y(n13941) ); sky130_fd_sc_hd__a221oi_1 U17971 ( .A1(n21100), .A2( \lsu/stbuf/stbuf_addr[0][5] ), .B1(\lsu/lsu_addr_m [14]), .B2(n21357), .C1(n13941), .Y(n13950) ); sky130_fd_sc_hd__o22ai_1 U17972 ( .A1(n21073), .A2( \lsu/stbuf/stbuf_addr[0][3] ), .B1(n21091), .B2( \lsu/stbuf/stbuf_addr[0][9] ), .Y(n13942) ); sky130_fd_sc_hd__a221oi_1 U17973 ( .A1(n21073), .A2( \lsu/stbuf/stbuf_addr[0][3] ), .B1(\lsu/stbuf/stbuf_addr[0][9] ), .B2( n21091), .C1(n13942), .Y(n13949) ); sky130_fd_sc_hd__o22ai_1 U17974 ( .A1(n21096), .A2( \lsu/stbuf/stbuf_addr[0][12] ), .B1(n21049), .B2( \lsu/stbuf/stbuf_addr[0][6] ), .Y(n13943) ); sky130_fd_sc_hd__a221oi_1 U17975 ( .A1(n21096), .A2( \lsu/stbuf/stbuf_addr[0][12] ), .B1(\lsu/stbuf/stbuf_addr[0][6] ), .B2(n21049), .C1(n13943), .Y(n13948) ); sky130_fd_sc_hd__o22ai_1 U17976 ( .A1(n13946), .A2( \lsu/stbuf/stbuf_addr[0][11] ), .B1(n13945), .B2( \lsu/stbuf/stbuf_addr[0][8] ), .Y(n13944) ); sky130_fd_sc_hd__a221oi_1 U17977 ( .A1(n13946), .A2( \lsu/stbuf/stbuf_addr[0][11] ), .B1(\lsu/stbuf/stbuf_addr[0][8] ), .B2(n13945), .C1(n13944), .Y(n13947) ); sky130_fd_sc_hd__nand4_1 U17978 ( .A(n13950), .B(n13949), .C(n13948), .D( n13947), .Y(n13951) ); sky130_fd_sc_hd__nor4_1 U17979 ( .A(n13954), .B(n13953), .C(n13952), .D( n13951), .Y(n13957) ); sky130_fd_sc_hd__o22ai_1 U17980 ( .A1(\lsu/lsu_addr_m [2]), .A2( \lsu/stbuf/stbuf_addr[0][2] ), .B1(n21089), .B2(n21355), .Y(n13956) ); sky130_fd_sc_hd__o22ai_1 U17981 ( .A1(\lsu/lsu_addr_m [10]), .A2( \lsu/stbuf/stbuf_addr[0][10] ), .B1(n21054), .B2(n21349), .Y(n13955) ); sky130_fd_sc_hd__nand4_1 U17982 ( .A(n13958), .B(n13957), .C(n13956), .D( n13955), .Y(n15901) ); sky130_fd_sc_hd__a22oi_1 U17983 ( .A1(n15902), .A2( \lsu/stbuf/stbuf_byteen[3][3] ), .B1(n15127), .B2( \lsu/stbuf/stbuf_byteen[0][3] ), .Y(n13959) ); sky130_fd_sc_hd__o21ai_1 U17984 ( .A1(n15898), .A2(n21677), .B1(n13959), .Y( n13960) ); sky130_fd_sc_hd__a21oi_1 U17985 ( .A1(n15073), .A2( \lsu/stbuf/stbuf_byteen[1][3] ), .B1(n13960), .Y(n13967) ); sky130_fd_sc_hd__nor3_1 U17986 ( .A(n21538), .B(n13961), .C(n21492), .Y( n14915) ); sky130_fd_sc_hd__a21oi_1 U17987 ( .A1(n13962), .A2(n17676), .B1( \lsu/stbuf/store_byteen_ext_r[7] ), .Y(n21673) ); sky130_fd_sc_hd__nor4_1 U17988 ( .A(n21673), .B(n13999), .C(n15996), .D( n13998), .Y(n13963) ); sky130_fd_sc_hd__nor2_1 U17989 ( .A(n14915), .B(n13963), .Y(n13966) ); sky130_fd_sc_hd__nand2_1 U17990 ( .A(n20671), .B(n18347), .Y(n24965) ); sky130_fd_sc_hd__a22oi_1 U17991 ( .A1(n15902), .A2( \lsu/stbuf/stbuf_data[3][29] ), .B1(n15072), .B2( \lsu/stbuf/stbuf_data[2][29] ), .Y(n13964) ); sky130_fd_sc_hd__o21ai_1 U17992 ( .A1(n15899), .A2(n24853), .B1(n13964), .Y( n13965) ); sky130_fd_sc_hd__a21oi_1 U17993 ( .A1(n15127), .A2( \lsu/stbuf/stbuf_data[0][29] ), .B1(n13965), .Y(n13968) ); sky130_fd_sc_hd__nand2b_1 U17994 ( .A_N(n13967), .B(n13966), .Y(n14912) ); sky130_fd_sc_hd__xnor2_1 U17995 ( .A(\pic_ctrl_inst/gw_config_reg[29][0] ), .B(\pic_ctrl_inst/extintsrc_req_sync [29]), .Y(n21245) ); sky130_fd_sc_hd__a2bb2oi_1 U17996 ( .B1(n14910), .B2( \pic_ctrl_inst/picm_wr_data_ff [29]), .A1_N(n16500), .A2_N(n14909), .Y(n20507) ); sky130_fd_sc_hd__nand2_1 U17997 ( .A(\lsu/addr_in_pic_m ), .B(n18347), .Y( n14911) ); sky130_fd_sc_hd__o22ai_1 U17998 ( .A1(n13968), .A2(n14912), .B1(n20507), .B2(n14911), .Y(n13969) ); sky130_fd_sc_hd__a21oi_1 U17999 ( .A1(n14915), .A2(\lsu/store_data_hi_r [29]), .B1(n13969), .Y(n13970) ); sky130_fd_sc_hd__o21ai_1 U18000 ( .A1(n14917), .A2(n24860), .B1(n13970), .Y( n24949) ); sky130_fd_sc_hd__a21oi_1 U18001 ( .A1(n24985), .A2(\lsu/dccm_rdata_lo_m [29]), .B1(n24949), .Y(n14990) ); sky130_fd_sc_hd__a22oi_1 U18002 ( .A1(\lsu/stbuf/stbuf_byteen[3][0] ), .A2( n24884), .B1(\lsu/stbuf/stbuf_byteen[0][0] ), .B2(n24847), .Y(n13971) ); sky130_fd_sc_hd__o21ai_1 U18003 ( .A1(n23260), .A2(n24889), .B1(n13971), .Y( n13972) ); sky130_fd_sc_hd__a21oi_1 U18004 ( .A1(\lsu/stbuf/stbuf_byteen[2][0] ), .A2( n24833), .B1(n13972), .Y(n13977) ); sky130_fd_sc_hd__o21ai_1 U18005 ( .A1(\lsu/stbuf/store_byteen_ext_r[7] ), .A2(n17073), .B1(n17657), .Y(n21533) ); sky130_fd_sc_hd__nor2_1 U18006 ( .A(n21533), .B(n18342), .Y(n14904) ); sky130_fd_sc_hd__a31oi_1 U18007 ( .A1(n25079), .A2(n16390), .A3(n17676), .B1(n13982), .Y(n21333) ); sky130_fd_sc_hd__nor2_1 U18008 ( .A(n21333), .B(n18339), .Y(n13973) ); sky130_fd_sc_hd__nor2_1 U18009 ( .A(n14904), .B(n13973), .Y(n13976) ); sky130_fd_sc_hd__nand2_1 U18010 ( .A(n13977), .B(n13976), .Y(n13978) ); sky130_fd_sc_hd__nor2_1 U18011 ( .A(\lsu/addr_in_pic_m ), .B(n13978), .Y( n25004) ); sky130_fd_sc_hd__a22oi_1 U18012 ( .A1(n24833), .A2( \lsu/stbuf/stbuf_data[2][5] ), .B1(n24847), .B2( \lsu/stbuf/stbuf_data[0][5] ), .Y(n13974) ); sky130_fd_sc_hd__o21ai_1 U18013 ( .A1(n24889), .A2(n17699), .B1(n13974), .Y( n13975) ); sky130_fd_sc_hd__a21oi_1 U18014 ( .A1(n24884), .A2( \lsu/stbuf/stbuf_data[3][5] ), .B1(n13975), .Y(n13979) ); sky130_fd_sc_hd__nand2b_1 U18015 ( .A_N(n13977), .B(n13976), .Y(n14901) ); sky130_fd_sc_hd__xnor2_1 U18016 ( .A(\pic_ctrl_inst/gw_config_reg[5][0] ), .B(\pic_ctrl_inst/extintsrc_req_sync [5]), .Y(n21314) ); sky130_fd_sc_hd__o2bb2ai_1 U18017 ( .B1(n16518), .B2(n14909), .A1_N(n14910), .A2_N(\pic_ctrl_inst/picm_wr_data_ff [5]), .Y(n20593) ); sky130_fd_sc_hd__nand2_1 U18018 ( .A(\lsu/addr_in_pic_m ), .B(n18345), .Y( n14900) ); sky130_fd_sc_hd__o22ai_1 U18019 ( .A1(n13979), .A2(n14901), .B1(n14987), .B2(n14900), .Y(n13980) ); sky130_fd_sc_hd__a21oi_1 U18020 ( .A1(n14904), .A2(\lsu/store_data_lo_r [5]), .B1(n13980), .Y(n13981) ); sky130_fd_sc_hd__o21ai_1 U18021 ( .A1(n14906), .A2(n23163), .B1(n13981), .Y( n24991) ); sky130_fd_sc_hd__a21oi_1 U18022 ( .A1(n25004), .A2(\lsu/dccm_rdata_hi_m [5]), .B1(n24991), .Y(n15315) ); sky130_fd_sc_hd__o22ai_1 U18023 ( .A1(\lsu/lsu_addr_m [0]), .A2(n14990), .B1(n23539), .B2(n15315), .Y(n14509) ); sky130_fd_sc_hd__nor2_1 U18024 ( .A(n14367), .B(\lsu/lsu_addr_m [1]), .Y( n15585) ); sky130_fd_sc_hd__nand2_1 U18025 ( .A(n15142), .B(n25053), .Y(n15137) ); sky130_fd_sc_hd__nand2_1 U18026 ( .A(n13997), .B(n21523), .Y(n14021) ); sky130_fd_sc_hd__nand2b_1 U18027 ( .A_N(n14021), .B(n13982), .Y(n14697) ); sky130_fd_sc_hd__nand2_1 U18028 ( .A(n13984), .B(n13983), .Y(n14020) ); sky130_fd_sc_hd__nor2_1 U18029 ( .A(n14020), .B(n21536), .Y(n14701) ); sky130_fd_sc_hd__nor2b_1 U18030 ( .B_N(n14697), .A(n14701), .Y(n13988) ); sky130_fd_sc_hd__o22ai_1 U18031 ( .A1(n15898), .A2(n23198), .B1(n15901), .B2(n23334), .Y(n13985) ); sky130_fd_sc_hd__a21oi_1 U18032 ( .A1(n15902), .A2( \lsu/stbuf/stbuf_byteen[3][1] ), .B1(n13985), .Y(n13986) ); sky130_fd_sc_hd__o21ai_1 U18033 ( .A1(n15899), .A2(n23248), .B1(n13986), .Y( n13987) ); sky130_fd_sc_hd__nor2b_1 U18034 ( .B_N(n13988), .A(n13987), .Y(n18348) ); sky130_fd_sc_hd__nand2_1 U18035 ( .A(n18348), .B(n20671), .Y(n24989) ); sky130_fd_sc_hd__a22oi_1 U18036 ( .A1(n15902), .A2( \lsu/stbuf/stbuf_data[3][15] ), .B1(n15072), .B2( \lsu/stbuf/stbuf_data[2][15] ), .Y(n13990) ); sky130_fd_sc_hd__a22oi_1 U18037 ( .A1(n15073), .A2( \lsu/stbuf/stbuf_data[1][15] ), .B1(n15127), .B2( \lsu/stbuf/stbuf_data[0][15] ), .Y(n13989) ); sky130_fd_sc_hd__nand2_1 U18038 ( .A(n13988), .B(n13987), .Y(n14694) ); sky130_fd_sc_hd__a21oi_1 U18039 ( .A1(n13990), .A2(n13989), .B1(n14694), .Y( n13992) ); sky130_fd_sc_hd__xnor2_1 U18040 ( .A(\pic_ctrl_inst/gw_config_reg[15][0] ), .B(\pic_ctrl_inst/extintsrc_req_sync [15]), .Y(n21287) ); sky130_fd_sc_hd__o2bb2ai_1 U18041 ( .B1(n16502), .B2(n14909), .A1_N(n14910), .A2_N(\pic_ctrl_inst/picm_wr_data_ff [15]), .Y(n20624) ); sky130_fd_sc_hd__nand2_1 U18042 ( .A(\lsu/addr_in_pic_m ), .B(n18348), .Y( n14698) ); sky130_fd_sc_hd__o22ai_1 U18043 ( .A1(n14362), .A2(n14698), .B1(n23140), .B2(n14697), .Y(n13991) ); sky130_fd_sc_hd__a211oi_1 U18044 ( .A1(n14701), .A2( \lsu/store_data_lo_r [15]), .B1(n13992), .C1(n13991), .Y(n24940) ); sky130_fd_sc_hd__o21ai_1 U18045 ( .A1(n17778), .A2(n24989), .B1(n24940), .Y( n14036) ); sky130_fd_sc_hd__a22oi_1 U18046 ( .A1(n15902), .A2( \lsu/stbuf/stbuf_byteen[3][2] ), .B1(n15127), .B2( \lsu/stbuf/stbuf_byteen[0][2] ), .Y(n13993) ); sky130_fd_sc_hd__o21ai_1 U18047 ( .A1(n15898), .A2(n23185), .B1(n13993), .Y( n13994) ); sky130_fd_sc_hd__a21oi_1 U18048 ( .A1(n15073), .A2( \lsu/stbuf/stbuf_byteen[1][2] ), .B1(n13994), .Y(n14004) ); sky130_fd_sc_hd__nand2_1 U18049 ( .A(n21538), .B(n13995), .Y(n13996) ); sky130_fd_sc_hd__and3_1 U18050 ( .A(n21523), .B(n13997), .C(n13996), .X( n14708) ); sky130_fd_sc_hd__nor4_1 U18051 ( .A(n15996), .B(n13999), .C(n13998), .D( n21539), .Y(n14000) ); sky130_fd_sc_hd__nor2_1 U18052 ( .A(n14708), .B(n14000), .Y(n14003) ); sky130_fd_sc_hd__nand2_1 U18053 ( .A(n20671), .B(n18346), .Y(n24962) ); sky130_fd_sc_hd__a22oi_1 U18054 ( .A1(n15902), .A2( \lsu/stbuf/stbuf_data[3][23] ), .B1(n15127), .B2( \lsu/stbuf/stbuf_data[0][23] ), .Y(n14001) ); sky130_fd_sc_hd__o21ai_1 U18055 ( .A1(n15898), .A2(n22032), .B1(n14001), .Y( n14002) ); sky130_fd_sc_hd__a21oi_1 U18056 ( .A1(n15073), .A2( \lsu/stbuf/stbuf_data[1][23] ), .B1(n14002), .Y(n14005) ); sky130_fd_sc_hd__nand2b_1 U18057 ( .A_N(n14004), .B(n14003), .Y(n14705) ); sky130_fd_sc_hd__xnor2_1 U18058 ( .A(\pic_ctrl_inst/gw_config_reg[23][0] ), .B(\pic_ctrl_inst/extintsrc_req_sync [23]), .Y(n21264) ); sky130_fd_sc_hd__o2bb2ai_1 U18059 ( .B1(n16482), .B2(n14909), .A1_N(n14910), .A2_N(\pic_ctrl_inst/picm_wr_data_ff [23]), .Y(n20532) ); sky130_fd_sc_hd__nand2_1 U18060 ( .A(\lsu/addr_in_pic_m ), .B(n18346), .Y( n14704) ); sky130_fd_sc_hd__o22ai_1 U18061 ( .A1(n14005), .A2(n14705), .B1(n15575), .B2(n14704), .Y(n14006) ); sky130_fd_sc_hd__a21oi_1 U18062 ( .A1(\lsu/store_data_hi_r [23]), .A2(n14708), .B1(n14006), .Y(n14007) ); sky130_fd_sc_hd__o21ai_1 U18063 ( .A1(n22536), .A2(n14710), .B1(n14007), .Y( n24935) ); sky130_fd_sc_hd__a21oi_1 U18064 ( .A1(\lsu/dccm_rdata_lo_m [23]), .A2(n24983), .B1(n24935), .Y(n14032) ); sky130_fd_sc_hd__nor2_1 U18065 ( .A(n23768), .B(\lsu/addr_external_m ), .Y( n15037) ); sky130_fd_sc_hd__nand2_1 U18066 ( .A(n15142), .B(\lsu/lsu_addr_m [1]), .Y( n15143) ); sky130_fd_sc_hd__a22oi_1 U18067 ( .A1(n15902), .A2( \lsu/stbuf/stbuf_data[3][31] ), .B1(n15127), .B2( \lsu/stbuf/stbuf_data[0][31] ), .Y(n14008) ); sky130_fd_sc_hd__o21ai_1 U18068 ( .A1(n15898), .A2(n24886), .B1(n14008), .Y( n14009) ); sky130_fd_sc_hd__a21oi_1 U18069 ( .A1(n15073), .A2( \lsu/stbuf/stbuf_data[1][31] ), .B1(n14009), .Y(n14010) ); sky130_fd_sc_hd__xor2_1 U18070 ( .A(n22787), .B( \pic_ctrl_inst/extintsrc_req_sync [31]), .X(n21240) ); sky130_fd_sc_hd__o2bb2ai_1 U18071 ( .B1(n16497), .B2(n14909), .A1_N(n14910), .A2_N(\pic_ctrl_inst/picm_wr_data_ff [31]), .Y(n20520) ); sky130_fd_sc_hd__o22ai_1 U18072 ( .A1(n14010), .A2(n14912), .B1(n24897), .B2(n14911), .Y(n14011) ); sky130_fd_sc_hd__a21oi_1 U18073 ( .A1(n14915), .A2(\lsu/store_data_hi_r [31]), .B1(n14011), .Y(n14012) ); sky130_fd_sc_hd__o21ai_1 U18074 ( .A1(n24881), .A2(n14917), .B1(n14012), .Y( n24936) ); sky130_fd_sc_hd__a21oi_1 U18075 ( .A1(\lsu/dccm_rdata_lo_m [31]), .A2(n24985), .B1(n24936), .Y(n14031) ); sky130_fd_sc_hd__xnor2_1 U18076 ( .A(\pic_ctrl_inst/gw_config_reg[7][0] ), .B(\pic_ctrl_inst/extintsrc_req_sync [7]), .Y(n21310) ); sky130_fd_sc_hd__a2bb2oi_1 U18077 ( .B1(n14910), .B2( \pic_ctrl_inst/picm_wr_data_ff [7]), .A1_N(n16514), .A2_N(n14909), .Y( n20597) ); sky130_fd_sc_hd__a22oi_1 U18078 ( .A1(\lsu/stbuf/stbuf_data[3][7] ), .A2( n24884), .B1(\lsu/stbuf/stbuf_data[2][7] ), .B2(n24833), .Y(n14013) ); sky130_fd_sc_hd__o21ai_1 U18079 ( .A1(n17658), .A2(n24889), .B1(n14013), .Y( n14014) ); sky130_fd_sc_hd__a21oi_1 U18080 ( .A1(\lsu/stbuf/stbuf_data[0][7] ), .A2( n24847), .B1(n14014), .Y(n14015) ); sky130_fd_sc_hd__o22ai_1 U18081 ( .A1(n20597), .A2(n14900), .B1(n14015), .B2(n14901), .Y(n14016) ); sky130_fd_sc_hd__a21oi_1 U18082 ( .A1(\lsu/store_data_lo_r [7]), .A2(n14904), .B1(n14016), .Y(n14017) ); sky130_fd_sc_hd__o21ai_1 U18083 ( .A1(n23167), .A2(n14906), .B1(n14017), .Y( n24970) ); sky130_fd_sc_hd__a21oi_1 U18084 ( .A1(\lsu/dccm_rdata_hi_m [7]), .A2(n25004), .B1(n24970), .Y(n14368) ); sky130_fd_sc_hd__o22ai_1 U18085 ( .A1(\lsu/lsu_addr_m [0]), .A2(n14031), .B1(n25027), .B2(n14368), .Y(n15586) ); sky130_fd_sc_hd__a22oi_1 U18086 ( .A1(\lsu/addr_external_m ), .A2( \lsu/bus_read_data_m [15]), .B1(n15104), .B2(n15586), .Y(n14018) ); sky130_fd_sc_hd__o21ai_1 U18087 ( .A1(n14032), .A2(n15139), .B1(n14018), .Y( n14019) ); sky130_fd_sc_hd__a21o_1 U18088 ( .A1(n15101), .A2(n14036), .B1(n14019), .X( n14390) ); sky130_fd_sc_hd__nor2_1 U18089 ( .A(n21533), .B(n14020), .Y(n15134) ); sky130_fd_sc_hd__a22oi_1 U18090 ( .A1(n15073), .A2( \lsu/stbuf/stbuf_data[1][7] ), .B1(n15072), .B2( \lsu/stbuf/stbuf_data[2][7] ), .Y(n14025) ); sky130_fd_sc_hd__a22oi_1 U18091 ( .A1(n15902), .A2( \lsu/stbuf/stbuf_data[3][7] ), .B1(n15127), .B2( \lsu/stbuf/stbuf_data[0][7] ), .Y(n14024) ); sky130_fd_sc_hd__nor2_1 U18092 ( .A(n21333), .B(n14021), .Y(n14028) ); sky130_fd_sc_hd__nor2_1 U18093 ( .A(n15134), .B(n14028), .Y(n14027) ); sky130_fd_sc_hd__o22ai_1 U18094 ( .A1(n15899), .A2(n23260), .B1(n15898), .B2(n23210), .Y(n14022) ); sky130_fd_sc_hd__a21oi_1 U18095 ( .A1(n15127), .A2( \lsu/stbuf/stbuf_byteen[0][0] ), .B1(n14022), .Y(n14023) ); sky130_fd_sc_hd__o21ai_1 U18096 ( .A1(n14640), .A2(n23146), .B1(n14023), .Y( n14026) ); sky130_fd_sc_hd__nand2_1 U18097 ( .A(n14027), .B(n14026), .Y(n15131) ); sky130_fd_sc_hd__a21oi_1 U18098 ( .A1(n14025), .A2(n14024), .B1(n15131), .Y( n14030) ); sky130_fd_sc_hd__nor2b_1 U18099 ( .B_N(n14027), .A(n14026), .Y(n18349) ); sky130_fd_sc_hd__nand2_1 U18100 ( .A(\lsu/addr_in_pic_m ), .B(n18349), .Y( n15130) ); sky130_fd_sc_hd__o22ai_1 U18101 ( .A1(n20597), .A2(n15130), .B1(n23167), .B2(n15136), .Y(n14029) ); sky130_fd_sc_hd__a211oi_1 U18102 ( .A1(n15134), .A2(\lsu/store_data_lo_r [7]), .B1(n14030), .C1(n14029), .Y(n24937) ); sky130_fd_sc_hd__nand2_1 U18103 ( .A(n20671), .B(n18349), .Y(n24939) ); sky130_fd_sc_hd__a21oi_1 U18104 ( .A1(\lsu/dccm_rdata_lo_m [7]), .A2(n25051), .B1(n15137), .Y(n14034) ); sky130_fd_sc_hd__o22ai_1 U18105 ( .A1(\lsu/lsu_addr_m [0]), .A2(n14032), .B1(n23539), .B2(n14031), .Y(n14365) ); sky130_fd_sc_hd__o22ai_1 U18106 ( .A1(n14365), .A2(n15143), .B1(n15142), .B2(\lsu/bus_read_data_m [7]), .Y(n14033) ); sky130_fd_sc_hd__a21oi_1 U18107 ( .A1(n24937), .A2(n14034), .B1(n14033), .Y( n14035) ); sky130_fd_sc_hd__o21ai_1 U18108 ( .A1(n15139), .A2(n14036), .B1(n14035), .Y( n14925) ); sky130_fd_sc_hd__nor3b_1 U18109 ( .C_N(\lsu/lsu_pkt_m[by] ), .A(n14925), .B( \lsu/lsu_lsc_ctl/n118 ), .Y(n14484) ); sky130_fd_sc_hd__a31oi_1 U18110 ( .A1(n14037), .A2(n14390), .A3( \lsu/lsu_pkt_m[half] ), .B1(n14484), .Y(n15589) ); sky130_fd_sc_hd__a21oi_1 U18111 ( .A1(n14509), .A2(n15585), .B1(n15515), .Y( n14038) ); sky130_fd_sc_hd__nand2_1 U18112 ( .A(n14039), .B(n14038), .Y(n17436) ); sky130_fd_sc_hd__o22ai_1 U18113 ( .A1(n23935), .A2(\dec/dec_i0_waddr_r [1]), .B1(\dec/dec_i0_rs1_d [1]), .B2(n22502), .Y(n14046) ); sky130_fd_sc_hd__o22ai_1 U18114 ( .A1(n23938), .A2(\dec/dec_i0_waddr_r [4]), .B1(\dec/dec_i0_rs1_d [4]), .B2(n22500), .Y(n14045) ); sky130_fd_sc_hd__o31a_1 U18115 ( .A1(\dec/decode/i0_r_c[mul] ), .A2( \dec/decode/i0_r_c[alu] ), .A3(\dec/decode/i0_r_c[load] ), .B1( \dec/decode/r_d[i0v] ), .X(n15735) ); sky130_fd_sc_hd__o22ai_1 U18116 ( .A1(n23936), .A2(n17419), .B1( \dec/dec_i0_rs1_d [2]), .B2(\dec/dec_i0_waddr_r [2]), .Y(n14043) ); sky130_fd_sc_hd__o22ai_1 U18117 ( .A1(n23933), .A2(\dec/dec_i0_waddr_r [0]), .B1(n23937), .B2(\dec/dec_i0_waddr_r [3]), .Y(n14040) ); sky130_fd_sc_hd__a221oi_1 U18118 ( .A1(n23933), .A2(\dec/dec_i0_waddr_r [0]), .B1(\dec/dec_i0_waddr_r [3]), .B2(n23937), .C1(n14040), .Y(n14042) ); sky130_fd_sc_hd__nand4_1 U18119 ( .A(n15735), .B(n14043), .C(n14042), .D( n14041), .Y(n14044) ); sky130_fd_sc_hd__nor4_1 U18120 ( .A(n14152), .B(n14046), .C(n14045), .D( n14044), .Y(n15276) ); sky130_fd_sc_hd__a22oi_1 U18121 ( .A1(n15590), .A2(n17436), .B1(n15566), .B2(\dec/decode/i0_result_r [29]), .Y(n14056) ); sky130_fd_sc_hd__nor3_1 U18122 ( .A(n15590), .B(n15567), .C(n15566), .Y( n14054) ); sky130_fd_sc_hd__o22ai_1 U18123 ( .A1(n23936), .A2( \dec/dec_nonblock_load_waddr [2]), .B1(\dec/dec_i0_rs1_d [2]), .B2( n15744), .Y(n14050) ); sky130_fd_sc_hd__o22ai_1 U18124 ( .A1(n23938), .A2( \dec/dec_nonblock_load_waddr [4]), .B1(\dec/dec_i0_rs1_d [4]), .B2( n15750), .Y(n14049) ); sky130_fd_sc_hd__o22ai_1 U18125 ( .A1(n23935), .A2( \dec/dec_nonblock_load_waddr [1]), .B1(\dec/dec_i0_rs1_d [1]), .B2( n15743), .Y(n14048) ); sky130_fd_sc_hd__o22ai_1 U18126 ( .A1(n23937), .A2( \dec/dec_nonblock_load_waddr [3]), .B1(\dec/dec_i0_rs1_d [3]), .B2( n15742), .Y(n14047) ); sky130_fd_sc_hd__nor4_1 U18127 ( .A(n14050), .B(n14049), .C(n14048), .D( n14047), .Y(n14052) ); sky130_fd_sc_hd__o22ai_1 U18128 ( .A1(n23933), .A2(n15745), .B1( \dec/dec_i0_rs1_d [0]), .B2(\dec/dec_nonblock_load_waddr [0]), .Y( n14051) ); sky130_fd_sc_hd__nand4b_1 U18129 ( .A_N(n14152), .B( \dec/dec_nonblock_load_wen ), .C(n14052), .D(n14051), .Y(n14053) ); sky130_fd_sc_hd__nor2b_1 U18130 ( .B_N(n14054), .A(n14053), .Y(n15569) ); sky130_fd_sc_hd__nand2_1 U18131 ( .A(n14054), .B(n14053), .Y(n16143) ); sky130_fd_sc_hd__nor2_1 U18132 ( .A(n14152), .B(n16143), .Y(n15568) ); sky130_fd_sc_hd__a22oi_1 U18133 ( .A1(n15569), .A2( lsu_nonblock_load_data[29]), .B1(n15568), .B2(gpr_i0_rs1_d[29]), .Y( n14055) ); sky130_fd_sc_hd__o211ai_1 U18134 ( .A1(n17437), .A2(n15418), .B1(n14056), .C1(n14055), .Y(\exu/muldiv_rs1_d [29]) ); sky130_fd_sc_hd__o21ai_1 U18135 ( .A1(n23906), .A2(n17450), .B1(n16148), .Y( n14160) ); sky130_fd_sc_hd__nor2_1 U18136 ( .A(n19304), .B(n23914), .Y(n24040) ); sky130_fd_sc_hd__a21oi_1 U18137 ( .A1(n14059), .A2(n14058), .B1(n14057), .Y( n19307) ); sky130_fd_sc_hd__nor4_1 U18138 ( .A(dec_extint_stall), .B(n19307), .C( \dec/dec_pause_state ), .D(\dec/dec_tlu_debug_stall ), .Y(n14159) ); sky130_fd_sc_hd__a211oi_1 U18139 ( .A1(\dec/dec_div_active ), .A2(n14060), .B1(\dec/dec_pmu_postsync_stall ), .C1(\dec/decode/leak1_i0_stall ), .Y(n14158) ); sky130_fd_sc_hd__o22ai_1 U18140 ( .A1(n23933), .A2(n24092), .B1( \dec/dec_i0_rs1_d [0]), .B2(\dec/decode/cam[0][rd][0] ), .Y(n14065) ); sky130_fd_sc_hd__o22ai_1 U18141 ( .A1(n23935), .A2(n24094), .B1( \dec/dec_i0_rs1_d [1]), .B2(\dec/decode/cam[0][rd][1] ), .Y(n14064) ); sky130_fd_sc_hd__o22ai_1 U18142 ( .A1(n23938), .A2( \dec/decode/cam[0][rd][4] ), .B1(n23936), .B2( \dec/decode/cam[0][rd][2] ), .Y(n14062) ); sky130_fd_sc_hd__a221oi_1 U18143 ( .A1(n23938), .A2( \dec/decode/cam[0][rd][4] ), .B1(\dec/decode/cam[0][rd][2] ), .B2( n23936), .C1(n14062), .Y(n14063) ); sky130_fd_sc_hd__nand4_1 U18144 ( .A(n14065), .B(n14064), .C(n22518), .D( n14063), .Y(n14103) ); sky130_fd_sc_hd__o22ai_1 U18145 ( .A1(n23935), .A2(\dec/div_waddr_wb [1]), .B1(\dec/div_waddr_wb [2]), .B2(n23936), .Y(n14066) ); sky130_fd_sc_hd__a221oi_1 U18146 ( .A1(n23935), .A2(\dec/div_waddr_wb [1]), .B1(n23936), .B2(\dec/div_waddr_wb [2]), .C1(n14066), .Y(n14069) ); sky130_fd_sc_hd__o22ai_1 U18147 ( .A1(n23933), .A2(\dec/div_waddr_wb [0]), .B1(n23938), .B2(\dec/div_waddr_wb [4]), .Y(n14067) ); sky130_fd_sc_hd__a221oi_1 U18148 ( .A1(n23933), .A2(\dec/div_waddr_wb [0]), .B1(\dec/div_waddr_wb [4]), .B2(n23938), .C1(n14067), .Y(n14068) ); sky130_fd_sc_hd__nand2_1 U18149 ( .A(n14069), .B(n14068), .Y(n14093) ); sky130_fd_sc_hd__nor3_1 U18150 ( .A(\dec/div_waddr_wb [3]), .B(n16408), .C( n14093), .Y(n14091) ); sky130_fd_sc_hd__o22ai_1 U18151 ( .A1(n23933), .A2(n24073), .B1( \dec/dec_i0_rs1_d [0]), .B2(\dec/decode/cam[2][rd][0] ), .Y(n14074) ); sky130_fd_sc_hd__o22ai_1 U18152 ( .A1(n23935), .A2(n24074), .B1( \dec/dec_i0_rs1_d [1]), .B2(\dec/decode/cam[2][rd][1] ), .Y(n14073) ); sky130_fd_sc_hd__o22ai_1 U18153 ( .A1(n23938), .A2( \dec/decode/cam[2][rd][4] ), .B1(\dec/decode/cam[2][rd][2] ), .B2( n23936), .Y(n14071) ); sky130_fd_sc_hd__a221oi_1 U18154 ( .A1(n23938), .A2( \dec/decode/cam[2][rd][4] ), .B1(n23936), .B2( \dec/decode/cam[2][rd][2] ), .C1(n14071), .Y(n14072) ); sky130_fd_sc_hd__nand4_1 U18155 ( .A(n14074), .B(n14073), .C(n22513), .D( n14072), .Y(n14097) ); sky130_fd_sc_hd__nand2_1 U18156 ( .A(\dec/decode/x_d[i0load] ), .B( \dec/decode/x_d[i0rd][1] ), .Y(n24093) ); sky130_fd_sc_hd__o2bb2ai_1 U18157 ( .B1(n23935), .B2(n24093), .A1_N(n23935), .A2_N(n24093), .Y(n14076) ); sky130_fd_sc_hd__nand4_1 U18158 ( .A(n14077), .B(\dec/decode/x_d[i0load] ), .C(n14076), .D(n14075), .Y(n14096) ); sky130_fd_sc_hd__nand2_1 U18159 ( .A(\dec/decode/x_d[i0rd][3] ), .B( \dec/decode/x_d[i0load] ), .Y(n24097) ); sky130_fd_sc_hd__nand2_1 U18160 ( .A(lsu_nonblock_load_valid_m), .B(n24097), .Y(n14139) ); sky130_fd_sc_hd__o22ai_1 U18161 ( .A1(\dec/decode/cam[2][rd][3] ), .A2( n14097), .B1(n14096), .B2(n14139), .Y(n14090) ); sky130_fd_sc_hd__o22ai_1 U18162 ( .A1(n23935), .A2(n24083), .B1( \dec/dec_i0_rs1_d [1]), .B2(\dec/decode/cam[1][rd][1] ), .Y(n14082) ); sky130_fd_sc_hd__o22ai_1 U18163 ( .A1(n23936), .A2(n24084), .B1( \dec/dec_i0_rs1_d [2]), .B2(\dec/decode/cam[1][rd][2] ), .Y(n14081) ); sky130_fd_sc_hd__o22ai_1 U18164 ( .A1(n23933), .A2( \dec/decode/cam[1][rd][0] ), .B1(\dec/decode/cam[1][rd][4] ), .B2( n23938), .Y(n14079) ); sky130_fd_sc_hd__a221oi_1 U18165 ( .A1(n23933), .A2( \dec/decode/cam[1][rd][0] ), .B1(n23938), .B2( \dec/decode/cam[1][rd][4] ), .C1(n14079), .Y(n14080) ); sky130_fd_sc_hd__nand4_1 U18166 ( .A(n14082), .B(n14081), .C(n22514), .D( n14080), .Y(n14098) ); sky130_fd_sc_hd__o22ai_1 U18167 ( .A1(n23935), .A2(n24059), .B1( \dec/dec_i0_rs1_d [1]), .B2(\dec/decode/cam[3][rd][1] ), .Y(n14088) ); sky130_fd_sc_hd__o22ai_1 U18168 ( .A1(n23938), .A2(n24062), .B1( \dec/dec_i0_rs1_d [4]), .B2(\dec/decode/cam[3][rd][4] ), .Y(n14087) ); sky130_fd_sc_hd__o22ai_1 U18169 ( .A1(n23933), .A2( \dec/decode/cam[3][rd][0] ), .B1(n23936), .B2( \dec/decode/cam[3][rd][2] ), .Y(n14085) ); sky130_fd_sc_hd__a221oi_1 U18170 ( .A1(n23933), .A2( \dec/decode/cam[3][rd][0] ), .B1(\dec/decode/cam[3][rd][2] ), .B2( n23936), .C1(n14085), .Y(n14086) ); sky130_fd_sc_hd__nand4_1 U18171 ( .A(n14088), .B(n14087), .C(n22496), .D( n14086), .Y(n14095) ); sky130_fd_sc_hd__o22ai_1 U18172 ( .A1(\dec/decode/cam[1][rd][3] ), .A2( n14098), .B1(\dec/decode/cam[3][rd][3] ), .B2(n14095), .Y(n14089) ); sky130_fd_sc_hd__nor4_1 U18173 ( .A(n14091), .B(\dec/dec_i0_rs1_d [3]), .C( n14090), .D(n14089), .Y(n14092) ); sky130_fd_sc_hd__o21ai_1 U18174 ( .A1(\dec/decode/cam[0][rd][3] ), .A2( n14103), .B1(n14092), .Y(n14105) ); sky130_fd_sc_hd__nand2_1 U18175 ( .A(\dec/dec_div_active ), .B( \dec/div_waddr_wb [3]), .Y(n14094) ); sky130_fd_sc_hd__o21ai_1 U18176 ( .A1(n14094), .A2(n14093), .B1( \dec/dec_i0_rs1_d [3]), .Y(n14101) ); sky130_fd_sc_hd__or2_0 U18177 ( .A(n24097), .B(n22698), .X(n14133) ); sky130_fd_sc_hd__o22ai_1 U18178 ( .A1(n14133), .A2(n14096), .B1(n24061), .B2(n14095), .Y(n14100) ); sky130_fd_sc_hd__o22ai_1 U18179 ( .A1(n24085), .A2(n14098), .B1(n24076), .B2(n14097), .Y(n14099) ); sky130_fd_sc_hd__nor3_1 U18180 ( .A(n14101), .B(n14100), .C(n14099), .Y( n14102) ); sky130_fd_sc_hd__o21ai_1 U18181 ( .A1(n14103), .A2(n24098), .B1(n14102), .Y( n14104) ); sky130_fd_sc_hd__nand2_1 U18182 ( .A(n14105), .B(n14104), .Y(n14151) ); sky130_fd_sc_hd__nand2_1 U18183 ( .A(n23906), .B(n17450), .Y(n15787) ); sky130_fd_sc_hd__o21ai_1 U18184 ( .A1(n15787), .A2(n16002), .B1(n24052), .Y( n14106) ); sky130_fd_sc_hd__nor2_1 U18185 ( .A(\dec/dec_i0_rs2_d [3]), .B(n11121), .Y( n21752) ); sky130_fd_sc_hd__nand4_1 U18186 ( .A(n23940), .B(n23939), .C(n24054), .D( n21752), .Y(n15777) ); sky130_fd_sc_hd__nand2_1 U18187 ( .A(n14106), .B(n15777), .Y(n15756) ); sky130_fd_sc_hd__o22ai_1 U18188 ( .A1(n24054), .A2(n24060), .B1(n11289), .B2(\dec/decode/cam[3][rd][2] ), .Y(n14110) ); sky130_fd_sc_hd__o22ai_1 U18189 ( .A1(n23939), .A2(n24058), .B1(n25081), .B2(\dec/decode/cam[3][rd][0] ), .Y(n14109) ); sky130_fd_sc_hd__o22ai_1 U18190 ( .A1(n23940), .A2( \dec/decode/cam[3][rd][1] ), .B1(n23942), .B2( \dec/decode/cam[3][rd][4] ), .Y(n14107) ); sky130_fd_sc_hd__a221oi_1 U18191 ( .A1(n23940), .A2( \dec/decode/cam[3][rd][1] ), .B1(\dec/decode/cam[3][rd][4] ), .B2( n23942), .C1(n14107), .Y(n14108) ); sky130_fd_sc_hd__nand4_1 U18192 ( .A(n22496), .B(n14110), .C(n14109), .D( n14108), .Y(n14141) ); sky130_fd_sc_hd__o22ai_1 U18193 ( .A1(n24054), .A2(n24084), .B1(n11289), .B2(\dec/decode/cam[1][rd][2] ), .Y(n14114) ); sky130_fd_sc_hd__o22ai_1 U18194 ( .A1(n23939), .A2(n24082), .B1(n25081), .B2(\dec/decode/cam[1][rd][0] ), .Y(n14113) ); sky130_fd_sc_hd__o22ai_1 U18195 ( .A1(n23940), .A2( \dec/decode/cam[1][rd][1] ), .B1(n23942), .B2( \dec/decode/cam[1][rd][4] ), .Y(n14111) ); sky130_fd_sc_hd__a221oi_1 U18196 ( .A1(n23940), .A2( \dec/decode/cam[1][rd][1] ), .B1(\dec/decode/cam[1][rd][4] ), .B2( n23942), .C1(n14111), .Y(n14112) ); sky130_fd_sc_hd__nand4_1 U18197 ( .A(n22514), .B(n14114), .C(n14113), .D( n14112), .Y(n14137) ); sky130_fd_sc_hd__o22ai_1 U18198 ( .A1(n24061), .A2(n14141), .B1(n24085), .B2(n14137), .Y(n14149) ); sky130_fd_sc_hd__a22oi_1 U18199 ( .A1(n23940), .A2(\dec/decode/x_d[i0rd][1] ), .B1(n23942), .B2(\dec/decode/x_d[i0rd][4] ), .Y(n15726) ); sky130_fd_sc_hd__nand2_1 U18200 ( .A(\dec/decode/x_d[i0load] ), .B( \dec/decode/x_d[i0rd][4] ), .Y(n24099) ); sky130_fd_sc_hd__a22oi_1 U18201 ( .A1(\dec/dec_i0_rs2_d [1]), .A2(n24093), .B1(n11121), .B2(n24099), .Y(n14119) ); sky130_fd_sc_hd__nand2_1 U18202 ( .A(\dec/decode/x_d[i0load] ), .B( \dec/decode/x_d[i0rd][0] ), .Y(n24091) ); sky130_fd_sc_hd__nand2_1 U18203 ( .A(\dec/decode/x_d[i0load] ), .B( \dec/decode/x_d[i0rd][2] ), .Y(n24095) ); sky130_fd_sc_hd__o22ai_1 U18204 ( .A1(n23939), .A2(n14117), .B1(n14116), .B2(n24054), .Y(n14115) ); sky130_fd_sc_hd__a221oi_1 U18205 ( .A1(n23939), .A2(n14117), .B1(n24054), .B2(n14116), .C1(n14115), .Y(n14118) ); sky130_fd_sc_hd__nand3_1 U18206 ( .A(n15726), .B(n14119), .C(n14118), .Y( n14140) ); sky130_fd_sc_hd__o22ai_1 U18207 ( .A1(n23939), .A2(\dec/div_waddr_wb [0]), .B1(n24054), .B2(\dec/div_waddr_wb [2]), .Y(n14120) ); sky130_fd_sc_hd__a221oi_1 U18208 ( .A1(n23939), .A2(\dec/div_waddr_wb [0]), .B1(\dec/div_waddr_wb [2]), .B2(n24054), .C1(n14120), .Y(n14121) ); sky130_fd_sc_hd__o221ai_1 U18209 ( .A1(n23940), .A2(\dec/div_waddr_wb [1]), .B1(\dec/dec_i0_rs2_d [1]), .B2(n22692), .C1(n14121), .Y(n14122) ); sky130_fd_sc_hd__a221oi_1 U18210 ( .A1(n23942), .A2(\dec/div_waddr_wb [4]), .B1(n11121), .B2(n22696), .C1(n14122), .Y(n14134) ); sky130_fd_sc_hd__o22ai_1 U18211 ( .A1(n24054), .A2(n24096), .B1(n11289), .B2(\dec/decode/cam[0][rd][2] ), .Y(n14126) ); sky130_fd_sc_hd__o22ai_1 U18212 ( .A1(n23939), .A2(n24092), .B1(n25081), .B2(\dec/decode/cam[0][rd][0] ), .Y(n14125) ); sky130_fd_sc_hd__o22ai_1 U18213 ( .A1(n23940), .A2( \dec/decode/cam[0][rd][1] ), .B1(\dec/decode/cam[0][rd][4] ), .B2( n23942), .Y(n14123) ); sky130_fd_sc_hd__a221oi_1 U18214 ( .A1(n23940), .A2( \dec/decode/cam[0][rd][1] ), .B1(n23942), .B2( \dec/decode/cam[0][rd][4] ), .C1(n14123), .Y(n14124) ); sky130_fd_sc_hd__nand4_1 U18215 ( .A(n22518), .B(n14126), .C(n14125), .D( n14124), .Y(n14138) ); sky130_fd_sc_hd__o22ai_1 U18216 ( .A1(n23939), .A2(n24073), .B1(n25081), .B2(\dec/decode/cam[2][rd][0] ), .Y(n14130) ); sky130_fd_sc_hd__o22ai_1 U18217 ( .A1(n23940), .A2(n24074), .B1( \dec/dec_i0_rs2_d [1]), .B2(\dec/decode/cam[2][rd][1] ), .Y(n14129) ); sky130_fd_sc_hd__o22ai_1 U18218 ( .A1(n23942), .A2( \dec/decode/cam[2][rd][4] ), .B1(\dec/decode/cam[2][rd][2] ), .B2( n24054), .Y(n14127) ); sky130_fd_sc_hd__a221oi_1 U18219 ( .A1(n23942), .A2( \dec/decode/cam[2][rd][4] ), .B1(n24054), .B2( \dec/decode/cam[2][rd][2] ), .C1(n14127), .Y(n14128) ); sky130_fd_sc_hd__nand4_1 U18220 ( .A(n22513), .B(n14130), .C(n14129), .D( n14128), .Y(n14145) ); sky130_fd_sc_hd__o22ai_1 U18221 ( .A1(n24098), .A2(n14138), .B1(n24076), .B2(n14145), .Y(n14131) ); sky130_fd_sc_hd__a31oi_1 U18222 ( .A1(\dec/dec_div_active ), .A2( \dec/div_waddr_wb [3]), .A3(n14134), .B1(n14131), .Y(n14132) ); sky130_fd_sc_hd__o211ai_1 U18223 ( .A1(n14133), .A2(n14140), .B1(n14132), .C1(\dec/dec_i0_rs2_d [3]), .Y(n14148) ); sky130_fd_sc_hd__nand2_1 U18224 ( .A(\dec/dec_div_active ), .B(n22694), .Y( n14135) ); sky130_fd_sc_hd__o22ai_1 U18225 ( .A1(\dec/decode/cam[1][rd][3] ), .A2( n14137), .B1(n14136), .B2(n14135), .Y(n14147) ); sky130_fd_sc_hd__o22ai_1 U18226 ( .A1(\dec/decode/cam[3][rd][3] ), .A2( n14141), .B1(n14140), .B2(n14139), .Y(n14142) ); sky130_fd_sc_hd__a21oi_1 U18227 ( .A1(n14143), .A2(n24098), .B1(n14142), .Y( n14144) ); sky130_fd_sc_hd__o211ai_1 U18228 ( .A1(\dec/decode/cam[2][rd][3] ), .A2( n14145), .B1(n23941), .C1(n14144), .Y(n14146) ); sky130_fd_sc_hd__o22ai_1 U18229 ( .A1(n14149), .A2(n14148), .B1(n14147), .B2(n14146), .Y(n14150) ); sky130_fd_sc_hd__o22ai_1 U18230 ( .A1(n14152), .A2(n14151), .B1(n15756), .B2(n14150), .Y(n14156) ); sky130_fd_sc_hd__nor3_1 U18231 ( .A(\dec/decode/x_d[csrwonly] ), .B( \dec/decode/r_d[csrwonly] ), .C(\dec/decode/wbd[csrwonly] ), .Y(n14154) ); sky130_fd_sc_hd__nand3_1 U18232 ( .A(n24052), .B(n16002), .C(n16001), .Y( n24562) ); sky130_fd_sc_hd__o22ai_1 U18233 ( .A1(n14154), .A2(n24562), .B1(n14153), .B2(\dec/decode/lsu_idle ), .Y(n14155) ); sky130_fd_sc_hd__nor3_1 U18234 ( .A(\dec/dec_pmu_presync_stall ), .B(n14156), .C(n14155), .Y(n14157) ); sky130_fd_sc_hd__nand3_1 U18235 ( .A(n14159), .B(n14158), .C(n14157), .Y( n15716) ); sky130_fd_sc_hd__nor4_1 U18236 ( .A(dma_dccm_stall_any), .B(n14160), .C( n24040), .D(n15716), .Y(n15880) ); sky130_fd_sc_hd__clkinv_1 U18237 ( .A(n15880), .Y(n22521) ); sky130_fd_sc_hd__nand2_2 U18238 ( .A(n21742), .B(n22521), .Y(n20385) ); sky130_fd_sc_hd__o22ai_1 U18239 ( .A1(n25079), .A2(n24837), .B1(n22551), .B2(n23159), .Y(n14162) ); sky130_fd_sc_hd__a22oi_1 U18240 ( .A1(n14662), .A2(\lsu/store_data_hi_r [19]), .B1(n14661), .B2(n14162), .Y(n14161) ); sky130_fd_sc_hd__o21ai_1 U18241 ( .A1(n25063), .A2(n23131), .B1(n14161), .Y( \lsu/store_data_r [27]) ); sky130_fd_sc_hd__a222oi_1 U18242 ( .A1(n14162), .A2(\lsu/lsu_addr_r [1]), .B1(n14664), .B2(\lsu/store_data_lo_r [19]), .C1(n17657), .C2( \lsu/store_data_lo_r [11]), .Y(n14163) ); sky130_fd_sc_hd__nor2_1 U18243 ( .A(n14163), .B(n14659), .Y( \lsu/store_data_r [11]) ); sky130_fd_sc_hd__o22ai_1 U18244 ( .A1(n25079), .A2(n22530), .B1(n22551), .B2(n24837), .Y(n14164) ); sky130_fd_sc_hd__nor2_1 U18245 ( .A(n25063), .B(n23159), .Y(n14165) ); sky130_fd_sc_hd__a21oi_1 U18246 ( .A1(n14661), .A2(n14164), .B1(n14165), .Y( n14174) ); sky130_fd_sc_hd__o21ai_1 U18247 ( .A1(n23107), .A2(n23131), .B1(n14174), .Y( \lsu/store_data_r [19]) ); sky130_fd_sc_hd__a222oi_1 U18248 ( .A1(n14164), .A2(\lsu/lsu_addr_r [1]), .B1(n14664), .B2(\lsu/store_data_lo_r [11]), .C1(n17657), .C2( \lsu/store_data_lo_r [3]), .Y(n14169) ); sky130_fd_sc_hd__nor2_1 U18249 ( .A(n14169), .B(n17661), .Y( \lsu/store_data_r [3]) ); sky130_fd_sc_hd__a21oi_1 U18250 ( .A1(\lsu/store_data_r [11]), .A2(n14663), .B1(n14165), .Y(n14166) ); sky130_fd_sc_hd__o21ai_1 U18251 ( .A1(n14167), .A2(n14446), .B1(n14166), .Y( n15031) ); sky130_fd_sc_hd__a22oi_1 U18252 ( .A1(n14666), .A2(n15031), .B1(n21226), .B2(\lsu/bus_intf/ld_fwddata_buf_hi [3]), .Y(n14168) ); sky130_fd_sc_hd__o21ai_1 U18253 ( .A1(n14169), .A2(n14668), .B1(n14168), .Y( n15234) ); sky130_fd_sc_hd__o22ai_1 U18254 ( .A1(n25079), .A2(\lsu/store_data_r [27]), .B1(n22551), .B2(\lsu/store_data_r [19]), .Y(n14521) ); sky130_fd_sc_hd__o22ai_1 U18255 ( .A1(n25079), .A2(\lsu/store_data_r [11]), .B1(n22551), .B2(\lsu/store_data_r [3]), .Y(n14523) ); sky130_fd_sc_hd__o22ai_1 U18256 ( .A1(\lsu/lsu_addr_r [1]), .A2(n14521), .B1(n22553), .B2(n14523), .Y(n14170) ); sky130_fd_sc_hd__o22ai_1 U18257 ( .A1(n21217), .A2( \lsu/bus_intf/ld_fwddata_buf_lo [27]), .B1(n14672), .B2(n14170), .Y( n15029) ); sky130_fd_sc_hd__o2bb2ai_1 U18258 ( .B1(n25027), .B2(n15234), .A1_N(n23539), .A2_N(n15029), .Y(n14525) ); sky130_fd_sc_hd__o22ai_1 U18259 ( .A1(n14523), .A2(n15185), .B1(n14171), .B2(n14521), .Y(n14172) ); sky130_fd_sc_hd__a21oi_1 U18260 ( .A1(n21222), .A2( \lsu/bus_intf/ld_fwddata_buf_hi [11]), .B1(n14172), .Y(n15236) ); sky130_fd_sc_hd__o22ai_1 U18261 ( .A1(\lsu/store_data_r [3]), .A2(n22553), .B1(\lsu/store_data_r [11]), .B2(n22551), .Y(n14173) ); sky130_fd_sc_hd__a21oi_1 U18262 ( .A1(n14174), .A2(n23151), .B1(n14173), .Y( n14520) ); sky130_fd_sc_hd__a222oi_1 U18263 ( .A1(\lsu/store_data_r [27]), .A2(n15498), .B1(n14520), .B2(n15537), .C1(n21223), .C2( \lsu/bus_intf/ld_fwddata_buf_hi [19]), .Y(n14175) ); sky130_fd_sc_hd__o22a_1 U18264 ( .A1(n15236), .A2(n23512), .B1(n14175), .B2( n15542), .X(n14176) ); sky130_fd_sc_hd__o21ai_1 U18265 ( .A1(\lsu/lsu_addr_m [1]), .A2(n14525), .B1(n14176), .Y(\lsu/bus_read_data_m [27]) ); sky130_fd_sc_hd__fa_2 U18266 ( .A(n14179), .B(n14178), .CIN(n14177), .COUT( n15395), .SUM(n14184) ); sky130_fd_sc_hd__fa_2 U18267 ( .A(n14182), .B(n14181), .CIN(n14180), .COUT( n15398), .SUM(n14183) ); sky130_fd_sc_hd__a22o_1 U18268 ( .A1(\exu/i_mul/low_x ), .A2(n14184), .B1( n15563), .B2(n14183), .X(n14185) ); sky130_fd_sc_hd__o22ai_1 U18269 ( .A1(\exu/mul_valid_x ), .A2( \exu/alu_result_x [27]), .B1(n21710), .B2(n14185), .Y(n23998) ); sky130_fd_sc_hd__a22oi_1 U18270 ( .A1(n15073), .A2( \lsu/stbuf/stbuf_data[1][27] ), .B1(n15127), .B2( \lsu/stbuf/stbuf_data[0][27] ), .Y(n14187) ); sky130_fd_sc_hd__a22oi_1 U18271 ( .A1(n15902), .A2( \lsu/stbuf/stbuf_data[3][27] ), .B1(n15072), .B2( \lsu/stbuf/stbuf_data[2][27] ), .Y(n14186) ); sky130_fd_sc_hd__a21oi_1 U18272 ( .A1(n14187), .A2(n14186), .B1(n14912), .Y( n14189) ); sky130_fd_sc_hd__xnor2_1 U18273 ( .A(\pic_ctrl_inst/gw_config_reg[27][0] ), .B(\pic_ctrl_inst/extintsrc_req_sync [27]), .Y(n21249) ); sky130_fd_sc_hd__o2bb2ai_1 U18274 ( .B1(n16490), .B2(n14909), .A1_N(n14910), .A2_N(\pic_ctrl_inst/picm_wr_data_ff [27]), .Y(n20513) ); sky130_fd_sc_hd__o22ai_1 U18275 ( .A1(n24841), .A2(n14911), .B1(n14917), .B2(n24837), .Y(n14188) ); sky130_fd_sc_hd__a211oi_1 U18276 ( .A1(\lsu/store_data_hi_r [27]), .A2( n14915), .B1(n14189), .C1(n14188), .Y(n24963) ); sky130_fd_sc_hd__o21ai_1 U18277 ( .A1(n24965), .A2(n17278), .B1(n24963), .Y( n15038) ); sky130_fd_sc_hd__a22oi_1 U18278 ( .A1(n24833), .A2( \lsu/stbuf/stbuf_data[2][3] ), .B1(n24884), .B2( \lsu/stbuf/stbuf_data[3][3] ), .Y(n14190) ); sky130_fd_sc_hd__o21ai_1 U18279 ( .A1(n24889), .A2(n17696), .B1(n14190), .Y( n14191) ); sky130_fd_sc_hd__a21oi_1 U18280 ( .A1(n24847), .A2( \lsu/stbuf/stbuf_data[0][3] ), .B1(n14191), .Y(n14231) ); sky130_fd_sc_hd__nor2_1 U18281 ( .A(\pic_ctrl_inst/picm_raddr_ff [5]), .B( n14193), .Y(n14218) ); sky130_fd_sc_hd__nand3_1 U18282 ( .A(\pic_ctrl_inst/picm_raddr_ff [3]), .B( \pic_ctrl_inst/picm_raddr_ff [2]), .C(n14218), .Y(n14838) ); sky130_fd_sc_hd__nand2_1 U18283 ( .A(\pic_ctrl_inst/picm_raddr_ff [31]), .B( \pic_ctrl_inst/picm_rden_ff ), .Y(n14192) ); sky130_fd_sc_hd__nor3_1 U18284 ( .A(\pic_ctrl_inst/picm_raddr_ff [12]), .B( n14216), .C(n14192), .Y(n14862) ); sky130_fd_sc_hd__nand2_1 U18285 ( .A(n14862), .B(n14718), .Y(n14279) ); sky130_fd_sc_hd__nor2_1 U18286 ( .A(\pic_ctrl_inst/picm_raddr_ff [14]), .B( n14279), .Y(n21551) ); sky130_fd_sc_hd__nand2_1 U18287 ( .A(\pic_ctrl_inst/picm_raddr_ff [6]), .B( n21551), .Y(n14221) ); sky130_fd_sc_hd__nor2_1 U18288 ( .A(n14838), .B(n14221), .Y(n14867) ); sky130_fd_sc_hd__nor4_1 U18289 ( .A(n14194), .B(n14193), .C(n14195), .D( n14196), .Y(n14860) ); sky130_fd_sc_hd__nand2_1 U18290 ( .A(n21551), .B(n14864), .Y(n14220) ); sky130_fd_sc_hd__nor2_1 U18291 ( .A(n14858), .B(n14220), .Y(n14738) ); sky130_fd_sc_hd__a22oi_1 U18292 ( .A1(n14867), .A2( \pic_ctrl_inst/intpriority_reg[23][3] ), .B1(n14738), .B2( \pic_ctrl_inst/intpriority_reg[15][3] ), .Y(n14200) ); sky130_fd_sc_hd__nor2_1 U18293 ( .A(\pic_ctrl_inst/picm_raddr_ff [4]), .B( \pic_ctrl_inst/picm_raddr_ff [5]), .Y(n14207) ); sky130_fd_sc_hd__nor2_1 U18294 ( .A(\pic_ctrl_inst/picm_raddr_ff [2]), .B( n14194), .Y(n14201) ); sky130_fd_sc_hd__nand2_1 U18295 ( .A(n14207), .B(n14201), .Y(n14828) ); sky130_fd_sc_hd__nor2_1 U18296 ( .A(n14220), .B(n14828), .Y(n14883) ); sky130_fd_sc_hd__nor2_1 U18297 ( .A(\pic_ctrl_inst/picm_raddr_ff [3]), .B( \pic_ctrl_inst/picm_raddr_ff [2]), .Y(n14219) ); sky130_fd_sc_hd__nand3_1 U18298 ( .A(\pic_ctrl_inst/picm_raddr_ff [4]), .B( \pic_ctrl_inst/picm_raddr_ff [5]), .C(n14219), .Y(n14852) ); sky130_fd_sc_hd__nor2_1 U18299 ( .A(n14220), .B(n14852), .Y(n14714) ); sky130_fd_sc_hd__a22oi_1 U18300 ( .A1(n14883), .A2( \pic_ctrl_inst/intpriority_reg[2][3] ), .B1(n14714), .B2( \pic_ctrl_inst/intpriority_reg[12][3] ), .Y(n14199) ); sky130_fd_sc_hd__nor2_1 U18301 ( .A(n14221), .B(n14828), .Y(n14873) ); sky130_fd_sc_hd__nor2_1 U18302 ( .A(\pic_ctrl_inst/picm_raddr_ff [3]), .B( n14195), .Y(n14202) ); sky130_fd_sc_hd__nand3_1 U18303 ( .A(\pic_ctrl_inst/picm_raddr_ff [4]), .B( \pic_ctrl_inst/picm_raddr_ff [5]), .C(n14202), .Y(n14853) ); sky130_fd_sc_hd__nor2_1 U18304 ( .A(n14220), .B(n14853), .Y(n14879) ); sky130_fd_sc_hd__a22oi_1 U18305 ( .A1(n14873), .A2( \pic_ctrl_inst/intpriority_reg[18][3] ), .B1(n14879), .B2( \pic_ctrl_inst/intpriority_reg[13][3] ), .Y(n14198) ); sky130_fd_sc_hd__nor2_1 U18306 ( .A(\pic_ctrl_inst/picm_raddr_ff [4]), .B( n14196), .Y(n14208) ); sky130_fd_sc_hd__nand2_1 U18307 ( .A(n14201), .B(n14208), .Y(n14847) ); sky130_fd_sc_hd__nor2_1 U18308 ( .A(n14221), .B(n14847), .Y(n14736) ); sky130_fd_sc_hd__nand3_1 U18309 ( .A(\pic_ctrl_inst/picm_raddr_ff [3]), .B( \pic_ctrl_inst/picm_raddr_ff [2]), .C(n14208), .Y(n14848) ); sky130_fd_sc_hd__nor2_1 U18310 ( .A(n14220), .B(n14848), .Y(n14737) ); sky130_fd_sc_hd__a22oi_1 U18311 ( .A1(n14736), .A2( \pic_ctrl_inst/intpriority_reg[26][3] ), .B1(n14737), .B2( \pic_ctrl_inst/intpriority_reg[11][3] ), .Y(n14197) ); sky130_fd_sc_hd__nand4_1 U18312 ( .A(n14200), .B(n14199), .C(n14198), .D( n14197), .Y(n14230) ); sky130_fd_sc_hd__nand3_1 U18313 ( .A(\pic_ctrl_inst/picm_raddr_ff [4]), .B( \pic_ctrl_inst/picm_raddr_ff [5]), .C(n14201), .Y(n14857) ); sky130_fd_sc_hd__nor2_1 U18314 ( .A(n14221), .B(n14857), .Y(n14734) ); sky130_fd_sc_hd__nand2_1 U18315 ( .A(n14218), .B(n14202), .Y(n14833) ); sky130_fd_sc_hd__nor2_1 U18316 ( .A(n14220), .B(n14833), .Y(n14871) ); sky130_fd_sc_hd__a22oi_1 U18317 ( .A1(n14734), .A2( \pic_ctrl_inst/intpriority_reg[30][3] ), .B1(n14871), .B2( \pic_ctrl_inst/intpriority_reg[5][3] ), .Y(n14206) ); sky130_fd_sc_hd__nand2_1 U18318 ( .A(n14202), .B(n14208), .Y(n14843) ); sky130_fd_sc_hd__nor2_1 U18319 ( .A(n14221), .B(n14843), .Y(n14866) ); sky130_fd_sc_hd__nand2_1 U18320 ( .A(n14218), .B(n14201), .Y(n14837) ); sky130_fd_sc_hd__nor2_1 U18321 ( .A(n14221), .B(n14837), .Y(n14882) ); sky130_fd_sc_hd__a22oi_1 U18322 ( .A1(n14866), .A2( \pic_ctrl_inst/intpriority_reg[25][3] ), .B1(n14882), .B2( \pic_ctrl_inst/intpriority_reg[22][3] ), .Y(n14205) ); sky130_fd_sc_hd__nor2_1 U18323 ( .A(n14221), .B(n14852), .Y(n14745) ); sky130_fd_sc_hd__nand2_1 U18324 ( .A(n14207), .B(n14202), .Y(n14827) ); sky130_fd_sc_hd__nor2_1 U18325 ( .A(n14221), .B(n14827), .Y(n14881) ); sky130_fd_sc_hd__a22oi_1 U18326 ( .A1(n14745), .A2( \pic_ctrl_inst/intpriority_reg[28][3] ), .B1(n14881), .B2( \pic_ctrl_inst/intpriority_reg[17][3] ), .Y(n14204) ); sky130_fd_sc_hd__nor2_1 U18327 ( .A(n14220), .B(n14837), .Y(n14717) ); sky130_fd_sc_hd__nor2_1 U18328 ( .A(n14220), .B(n14857), .Y(n14880) ); sky130_fd_sc_hd__a22oi_1 U18329 ( .A1(n14717), .A2( \pic_ctrl_inst/intpriority_reg[6][3] ), .B1(n14880), .B2( \pic_ctrl_inst/intpriority_reg[14][3] ), .Y(n14203) ); sky130_fd_sc_hd__nand4_1 U18330 ( .A(n14206), .B(n14205), .C(n14204), .D( n14203), .Y(n14228) ); sky130_fd_sc_hd__nor2_1 U18331 ( .A(n14221), .B(n14848), .Y(n14885) ); sky130_fd_sc_hd__nor2_1 U18332 ( .A(n14221), .B(n14833), .Y(n14884) ); sky130_fd_sc_hd__a22oi_1 U18333 ( .A1(n14885), .A2( \pic_ctrl_inst/intpriority_reg[27][3] ), .B1(n14884), .B2( \pic_ctrl_inst/intpriority_reg[21][3] ), .Y(n14212) ); sky130_fd_sc_hd__nor2_1 U18334 ( .A(n14221), .B(n14858), .Y(n14732) ); sky130_fd_sc_hd__nor2_1 U18335 ( .A(n14838), .B(n14220), .Y(n14716) ); sky130_fd_sc_hd__a22oi_1 U18336 ( .A1(n14732), .A2( \pic_ctrl_inst/intpriority_reg[31][3] ), .B1(n14716), .B2( \pic_ctrl_inst/intpriority_reg[7][3] ), .Y(n14211) ); sky130_fd_sc_hd__nand3_1 U18337 ( .A(\pic_ctrl_inst/picm_raddr_ff [3]), .B( \pic_ctrl_inst/picm_raddr_ff [2]), .C(n14207), .Y(n14807) ); sky130_fd_sc_hd__nor2_1 U18338 ( .A(n14221), .B(n14807), .Y(n14735) ); sky130_fd_sc_hd__nor2_1 U18339 ( .A(n14220), .B(n14847), .Y(n14869) ); sky130_fd_sc_hd__a22oi_1 U18340 ( .A1(n14735), .A2( \pic_ctrl_inst/intpriority_reg[19][3] ), .B1(n14869), .B2( \pic_ctrl_inst/intpriority_reg[10][3] ), .Y(n14210) ); sky130_fd_sc_hd__nor2_1 U18341 ( .A(n14220), .B(n14827), .Y(n14713) ); sky130_fd_sc_hd__nand2_1 U18342 ( .A(n14219), .B(n14208), .Y(n14842) ); sky130_fd_sc_hd__nor2_1 U18343 ( .A(n14221), .B(n14842), .Y(n14872) ); sky130_fd_sc_hd__a22oi_1 U18344 ( .A1(n14713), .A2( \pic_ctrl_inst/intpriority_reg[1][3] ), .B1(n14872), .B2( \pic_ctrl_inst/intpriority_reg[24][3] ), .Y(n14209) ); sky130_fd_sc_hd__nand4_1 U18345 ( .A(n14212), .B(n14211), .C(n14210), .D( n14209), .Y(n14227) ); sky130_fd_sc_hd__xnor2_1 U18346 ( .A(\pic_ctrl_inst/gw_config_reg[3][0] ), .B(\pic_ctrl_inst/extintsrc_req_sync [3]), .Y(n21319) ); sky130_fd_sc_hd__nor2_1 U18347 ( .A(n14214), .B(n14221), .Y(n14733) ); sky130_fd_sc_hd__nor2_1 U18348 ( .A(\pic_ctrl_inst/picm_raddr_ff [12]), .B( n14215), .Y(n14719) ); sky130_fd_sc_hd__nor3_1 U18349 ( .A(\pic_ctrl_inst/picm_raddr_ff [1]), .B( \pic_ctrl_inst/picm_raddr_ff [0]), .C(n14216), .Y(n14726) ); sky130_fd_sc_hd__nand4_1 U18350 ( .A(n14719), .B( \pic_ctrl_inst/picm_mken_ff ), .C(n14726), .D(n14718), .Y(n14318) ); sky130_fd_sc_hd__o21ai_1 U18351 ( .A1(\pic_ctrl_inst/picm_raddr_ff [14]), .A2(n14318), .B1(n14899), .Y(n14597) ); sky130_fd_sc_hd__a21oi_1 U18352 ( .A1(n14733), .A2( \pic_ctrl_inst/intpriority_reg[16][3] ), .B1(n14597), .Y(n14217) ); sky130_fd_sc_hd__o21ai_1 U18353 ( .A1(n16522), .A2(n14599), .B1(n14217), .Y( n14226) ); sky130_fd_sc_hd__nor2_1 U18354 ( .A(n14220), .B(n14842), .Y(n14727) ); sky130_fd_sc_hd__nand2_1 U18355 ( .A(n14219), .B(n14218), .Y(n14832) ); sky130_fd_sc_hd__nor2_1 U18356 ( .A(n14220), .B(n14832), .Y(n14878) ); sky130_fd_sc_hd__a22oi_1 U18357 ( .A1(n14727), .A2( \pic_ctrl_inst/intpriority_reg[8][3] ), .B1(n14878), .B2( \pic_ctrl_inst/intpriority_reg[4][3] ), .Y(n14224) ); sky130_fd_sc_hd__nor2_1 U18358 ( .A(n14220), .B(n14843), .Y(n14715) ); sky130_fd_sc_hd__nor2_1 U18359 ( .A(n14220), .B(n14807), .Y(n14868) ); sky130_fd_sc_hd__a22oi_1 U18360 ( .A1(n14715), .A2( \pic_ctrl_inst/intpriority_reg[9][3] ), .B1(n14868), .B2( \pic_ctrl_inst/intpriority_reg[3][3] ), .Y(n14223) ); sky130_fd_sc_hd__nor2_1 U18361 ( .A(n14221), .B(n14832), .Y(n14731) ); sky130_fd_sc_hd__nor2_1 U18362 ( .A(n14221), .B(n14853), .Y(n14870) ); sky130_fd_sc_hd__a22oi_1 U18363 ( .A1(n14731), .A2( \pic_ctrl_inst/intpriority_reg[20][3] ), .B1(n14870), .B2( \pic_ctrl_inst/intpriority_reg[29][3] ), .Y(n14222) ); sky130_fd_sc_hd__nand3_1 U18364 ( .A(n14224), .B(n14223), .C(n14222), .Y( n14225) ); sky130_fd_sc_hd__or4_1 U18365 ( .A(n14228), .B(n14227), .C(n14226), .D( n14225), .X(n14229) ); sky130_fd_sc_hd__o22ai_1 U18366 ( .A1(\pic_ctrl_inst/picm_wr_data_ff [3]), .A2(n14899), .B1(n14230), .B2(n14229), .Y(n20635) ); sky130_fd_sc_hd__o22ai_1 U18367 ( .A1(n14231), .A2(n14901), .B1(n14900), .B2(n20635), .Y(n14232) ); sky130_fd_sc_hd__a21oi_1 U18368 ( .A1(n14904), .A2(\lsu/store_data_lo_r [3]), .B1(n14232), .Y(n14233) ); sky130_fd_sc_hd__o21ai_1 U18369 ( .A1(n14906), .A2(n23159), .B1(n14233), .Y( n24999) ); sky130_fd_sc_hd__a21oi_1 U18370 ( .A1(n25004), .A2(\lsu/dccm_rdata_hi_m [3]), .B1(n24999), .Y(n15248) ); sky130_fd_sc_hd__a22oi_1 U18371 ( .A1(n24847), .A2( \lsu/stbuf/stbuf_data[0][11] ), .B1(n24832), .B2( \lsu/stbuf/stbuf_data[3][11] ), .Y(n14234) ); sky130_fd_sc_hd__o21ai_1 U18372 ( .A1(n24889), .A2(n17702), .B1(n14234), .Y( n14235) ); sky130_fd_sc_hd__a21oi_1 U18373 ( .A1(n24846), .A2( \lsu/stbuf/stbuf_data[2][11] ), .B1(n14235), .Y(n14236) ); sky130_fd_sc_hd__xnor2_1 U18374 ( .A(\pic_ctrl_inst/gw_config_reg[11][0] ), .B(\pic_ctrl_inst/extintsrc_req_sync [11]), .Y(n21298) ); sky130_fd_sc_hd__o2bb2ai_1 U18375 ( .B1(n16511), .B2(n14909), .A1_N(n14910), .A2_N(\pic_ctrl_inst/picm_wr_data_ff [11]), .Y(n20556) ); sky130_fd_sc_hd__o22ai_1 U18376 ( .A1(n14236), .A2(n15297), .B1(n14543), .B2(n15299), .Y(n14237) ); sky130_fd_sc_hd__a21oi_1 U18377 ( .A1(\lsu/store_data_lo_r [11]), .A2(n15301), .B1(n14237), .Y(n14238) ); sky130_fd_sc_hd__o21ai_1 U18378 ( .A1(n23131), .A2(n15303), .B1(n14238), .Y( n25000) ); sky130_fd_sc_hd__a21oi_1 U18379 ( .A1(\lsu/dccm_rdata_hi_m [11]), .A2(n25013), .B1(n25000), .Y(n15246) ); sky130_fd_sc_hd__xnor2_1 U18380 ( .A(\pic_ctrl_inst/gw_config_reg[19][0] ), .B(\pic_ctrl_inst/extintsrc_req_sync [19]), .Y(n21277) ); sky130_fd_sc_hd__o2bb2ai_1 U18381 ( .B1(n16779), .B2(n14909), .A1_N(n14910), .A2_N(\pic_ctrl_inst/picm_wr_data_ff [19]), .Y(n20542) ); sky130_fd_sc_hd__a22oi_1 U18382 ( .A1(n24832), .A2( \lsu/stbuf/stbuf_data[3][19] ), .B1(n24845), .B2( \lsu/stbuf/stbuf_data[1][19] ), .Y(n14239) ); sky130_fd_sc_hd__o21ai_1 U18383 ( .A1(n24887), .A2(n21991), .B1(n14239), .Y( n14240) ); sky130_fd_sc_hd__a21oi_1 U18384 ( .A1(n24833), .A2( \lsu/stbuf/stbuf_data[2][19] ), .B1(n14240), .Y(n14241) ); sky130_fd_sc_hd__o22ai_1 U18385 ( .A1(n14537), .A2(n15574), .B1(n14241), .B2(n15570), .Y(n14242) ); sky130_fd_sc_hd__a21oi_1 U18386 ( .A1(\lsu/store_data_hi_r [19]), .A2(n15578), .B1(n14242), .Y(n14243) ); sky130_fd_sc_hd__o21ai_1 U18387 ( .A1(n22530), .A2(n15573), .B1(n14243), .Y( n25001) ); sky130_fd_sc_hd__a21oi_1 U18388 ( .A1(n25015), .A2(\lsu/dccm_rdata_hi_m [19]), .B1(n25001), .Y(n14244) ); sky130_fd_sc_hd__o22ai_1 U18389 ( .A1(n15246), .A2(n15510), .B1(n14244), .B2(n15579), .Y(n14245) ); sky130_fd_sc_hd__a211oi_1 U18390 ( .A1(n15584), .A2( \lsu/bus_read_data_m [27]), .B1(n15515), .C1(n14245), .Y(n14246) ); sky130_fd_sc_hd__o21ai_1 U18391 ( .A1(n15359), .A2(n14546), .B1(n14246), .Y( n16017) ); sky130_fd_sc_hd__a22oi_1 U18392 ( .A1(n15590), .A2(n16017), .B1(n15566), .B2(\dec/decode/i0_result_r [27]), .Y(n14248) ); sky130_fd_sc_hd__a22oi_1 U18393 ( .A1(n15569), .A2( lsu_nonblock_load_data[27]), .B1(n15568), .B2(gpr_i0_rs1_d[27]), .Y( n14247) ); sky130_fd_sc_hd__o211ai_1 U18394 ( .A1(n23998), .A2(n15418), .B1(n14248), .C1(n14247), .Y(\exu/muldiv_rs1_d [27]) ); sky130_fd_sc_hd__o22ai_1 U18395 ( .A1(n25079), .A2(n24821), .B1(n22551), .B2(n25062), .Y(n14250) ); sky130_fd_sc_hd__a22oi_1 U18396 ( .A1(n14661), .A2(n14250), .B1(n14556), .B2(\lsu/store_data_hi_r [9]), .Y(n14255) ); sky130_fd_sc_hd__o21ai_1 U18397 ( .A1(n23107), .A2(n14249), .B1(n14255), .Y( \lsu/store_data_r [25]) ); sky130_fd_sc_hd__a222oi_1 U18398 ( .A1(n14250), .A2(\lsu/lsu_addr_r [1]), .B1(n14664), .B2(\lsu/store_data_lo_r [17]), .C1(n17657), .C2( \lsu/store_data_lo_r [9]), .Y(n14251) ); sky130_fd_sc_hd__nor2_1 U18399 ( .A(n14251), .B(n14659), .Y( \lsu/store_data_r [9]) ); sky130_fd_sc_hd__o22ai_1 U18400 ( .A1(n25079), .A2(n22528), .B1(n22551), .B2(n24821), .Y(n14257) ); sky130_fd_sc_hd__a222oi_1 U18401 ( .A1(n14257), .A2(\lsu/lsu_addr_r [1]), .B1(n14664), .B2(\lsu/store_data_lo_r [9]), .C1(n17657), .C2( \lsu/store_data_lo_r [1]), .Y(n14254) ); sky130_fd_sc_hd__nor2_1 U18402 ( .A(n14254), .B(n17661), .Y( \lsu/store_data_r [1]) ); sky130_fd_sc_hd__a22oi_1 U18403 ( .A1(n14664), .A2(\lsu/store_data_r [25]), .B1(n14663), .B2(\lsu/store_data_r [9]), .Y(n14252) ); sky130_fd_sc_hd__o21ai_1 U18404 ( .A1(n25063), .A2(n25062), .B1(n14252), .Y( n15089) ); sky130_fd_sc_hd__a22oi_1 U18405 ( .A1(n14666), .A2(n15089), .B1(n21226), .B2(\lsu/bus_intf/ld_fwddata_buf_hi [1]), .Y(n14253) ); sky130_fd_sc_hd__o21ai_1 U18406 ( .A1(n14254), .A2(n14668), .B1(n14253), .Y( n15214) ); sky130_fd_sc_hd__a22o_1 U18407 ( .A1(n22551), .A2(\lsu/store_data_r [9]), .B1(n25079), .B2(\lsu/store_data_r [1]), .X(n14629) ); sky130_fd_sc_hd__a22oi_1 U18408 ( .A1(n14662), .A2(\lsu/store_data_hi_r [9]), .B1(n14661), .B2(n14257), .Y(n25061) ); sky130_fd_sc_hd__o22ai_1 U18409 ( .A1(n25079), .A2(n14255), .B1(n22551), .B2(n25061), .Y(n14628) ); sky130_fd_sc_hd__a22o_1 U18410 ( .A1(\lsu/lsu_addr_r [1]), .A2(n14629), .B1( n22553), .B2(n14628), .X(n14256) ); sky130_fd_sc_hd__o22ai_1 U18411 ( .A1(n21217), .A2( \lsu/bus_intf/ld_fwddata_buf_lo [25]), .B1(n14672), .B2(n14256), .Y( n15087) ); sky130_fd_sc_hd__o2bb2ai_1 U18412 ( .B1(n25027), .B2(n15214), .A1_N(n25027), .A2_N(n15087), .Y(n14630) ); sky130_fd_sc_hd__a222oi_1 U18413 ( .A1(n14629), .A2(n15281), .B1(n21222), .B2(\lsu/bus_intf/ld_fwddata_buf_hi [9]), .C1(n15280), .C2(n14628), .Y(n15216) ); sky130_fd_sc_hd__nand2_1 U18414 ( .A(n14661), .B(n14257), .Y(n14259) ); sky130_fd_sc_hd__o22ai_1 U18415 ( .A1(\lsu/store_data_r [1]), .A2(n22553), .B1(\lsu/store_data_r [9]), .B2(n22551), .Y(n14258) ); sky130_fd_sc_hd__a21oi_1 U18416 ( .A1(n23151), .A2(n14259), .B1(n14258), .Y( n14627) ); sky130_fd_sc_hd__a222oi_1 U18417 ( .A1(\lsu/store_data_r [25]), .A2(n15498), .B1(n21223), .B2(\lsu/bus_intf/ld_fwddata_buf_hi [17]), .C1(n14627), .C2(n15537), .Y(n14260) ); sky130_fd_sc_hd__o22a_1 U18418 ( .A1(n15216), .A2(n23512), .B1(n14260), .B2( n15542), .X(n14261) ); sky130_fd_sc_hd__o21ai_1 U18419 ( .A1(\lsu/lsu_addr_m [1]), .A2(n14630), .B1(n14261), .Y(\lsu/bus_read_data_m [25]) ); sky130_fd_sc_hd__a22oi_1 U18420 ( .A1(n24847), .A2( \lsu/stbuf/stbuf_data[0][9] ), .B1(n24832), .B2( \lsu/stbuf/stbuf_data[3][9] ), .Y(n14262) ); sky130_fd_sc_hd__o21ai_1 U18421 ( .A1(n24889), .A2(n17707), .B1(n14262), .Y( n14263) ); sky130_fd_sc_hd__a21oi_1 U18422 ( .A1(n24833), .A2( \lsu/stbuf/stbuf_data[2][9] ), .B1(n14263), .Y(n14264) ); sky130_fd_sc_hd__xnor2_1 U18423 ( .A(\pic_ctrl_inst/gw_config_reg[9][0] ), .B(\pic_ctrl_inst/extintsrc_req_sync [9]), .Y(n21304) ); sky130_fd_sc_hd__a2bb2oi_1 U18424 ( .B1(n14910), .B2( \pic_ctrl_inst/picm_wr_data_ff [9]), .A1_N(n16509), .A2_N(n14909), .Y( n20576) ); sky130_fd_sc_hd__o22ai_1 U18425 ( .A1(n14264), .A2(n15297), .B1(n20576), .B2(n15299), .Y(n14265) ); sky130_fd_sc_hd__a21oi_1 U18426 ( .A1(n15301), .A2(\lsu/store_data_lo_r [9]), .B1(n14265), .Y(n14266) ); sky130_fd_sc_hd__o21ai_1 U18427 ( .A1(n15303), .A2(n23127), .B1(n14266), .Y( n25008) ); sky130_fd_sc_hd__a21oi_1 U18428 ( .A1(n25013), .A2(\lsu/dccm_rdata_hi_m [9]), .B1(n25008), .Y(n15226) ); sky130_fd_sc_hd__xnor2_1 U18429 ( .A(\pic_ctrl_inst/gw_config_reg[17][0] ), .B(\pic_ctrl_inst/extintsrc_req_sync [17]), .Y(n21282) ); sky130_fd_sc_hd__o2bb2ai_1 U18430 ( .B1(n16803), .B2(n14909), .A1_N(n14910), .A2_N(\pic_ctrl_inst/picm_wr_data_ff [17]), .Y(n20645) ); sky130_fd_sc_hd__a22oi_1 U18431 ( .A1(n24847), .A2( \lsu/stbuf/stbuf_data[0][17] ), .B1(n24832), .B2( \lsu/stbuf/stbuf_data[3][17] ), .Y(n14267) ); sky130_fd_sc_hd__o21ai_1 U18432 ( .A1(n24889), .A2(n21975), .B1(n14267), .Y( n14268) ); sky130_fd_sc_hd__a21oi_1 U18433 ( .A1(n24846), .A2( \lsu/stbuf/stbuf_data[2][17] ), .B1(n14268), .Y(n14269) ); sky130_fd_sc_hd__o22ai_1 U18434 ( .A1(n14648), .A2(n15574), .B1(n14269), .B2(n15570), .Y(n14270) ); sky130_fd_sc_hd__a21oi_1 U18435 ( .A1(\lsu/store_data_hi_r [17]), .A2(n15578), .B1(n14270), .Y(n14271) ); sky130_fd_sc_hd__o21ai_1 U18436 ( .A1(n22528), .A2(n15573), .B1(n14271), .Y( n25009) ); sky130_fd_sc_hd__a21oi_1 U18437 ( .A1(n25015), .A2(\lsu/dccm_rdata_hi_m [17]), .B1(n25009), .Y(n14272) ); sky130_fd_sc_hd__o22ai_1 U18438 ( .A1(n15226), .A2(n15510), .B1(n14272), .B2(n15579), .Y(n14342) ); sky130_fd_sc_hd__a22oi_1 U18439 ( .A1(n15073), .A2( \lsu/stbuf/stbuf_data[1][25] ), .B1(n15127), .B2( \lsu/stbuf/stbuf_data[0][25] ), .Y(n14274) ); sky130_fd_sc_hd__a22oi_1 U18440 ( .A1(n15902), .A2( \lsu/stbuf/stbuf_data[3][25] ), .B1(n15072), .B2( \lsu/stbuf/stbuf_data[2][25] ), .Y(n14273) ); sky130_fd_sc_hd__a21oi_1 U18441 ( .A1(n14274), .A2(n14273), .B1(n14912), .Y( n14276) ); sky130_fd_sc_hd__xnor2_1 U18442 ( .A(\pic_ctrl_inst/gw_config_reg[25][0] ), .B(\pic_ctrl_inst/extintsrc_req_sync [25]), .Y(n21257) ); sky130_fd_sc_hd__a2bb2oi_1 U18443 ( .B1(n14910), .B2( \pic_ctrl_inst/picm_wr_data_ff [25]), .A1_N(n16493), .A2_N(n14909), .Y(n20528) ); sky130_fd_sc_hd__o22ai_1 U18444 ( .A1(n20528), .A2(n14911), .B1(n14917), .B2(n24821), .Y(n14275) ); sky130_fd_sc_hd__a211oi_1 U18445 ( .A1(\lsu/store_data_hi_r [25]), .A2( n14915), .B1(n14276), .C1(n14275), .Y(n24921) ); sky130_fd_sc_hd__o21ai_1 U18446 ( .A1(n24965), .A2(n17729), .B1(n24921), .Y( n15093) ); sky130_fd_sc_hd__a22oi_1 U18447 ( .A1(n24833), .A2( \lsu/stbuf/stbuf_data[2][1] ), .B1(n24847), .B2( \lsu/stbuf/stbuf_data[0][1] ), .Y(n14277) ); sky130_fd_sc_hd__o21ai_1 U18448 ( .A1(n24889), .A2(n15095), .B1(n14277), .Y( n14278) ); sky130_fd_sc_hd__a21oi_1 U18449 ( .A1(n24884), .A2( \lsu/stbuf/stbuf_data[3][1] ), .B1(n14278), .Y(n14338) ); sky130_fd_sc_hd__nor2_1 U18450 ( .A(n14861), .B(n14279), .Y(n14280) ); sky130_fd_sc_hd__nor2_1 U18451 ( .A(n14864), .B(n21545), .Y(n14772) ); sky130_fd_sc_hd__nand2_1 U18452 ( .A(n14825), .B(n14772), .Y(n14803) ); sky130_fd_sc_hd__nand2_1 U18453 ( .A(n14855), .B(n14772), .Y(n14797) ); sky130_fd_sc_hd__nand2_1 U18454 ( .A(n14822), .B(n14772), .Y(n14794) ); sky130_fd_sc_hd__nand2_1 U18455 ( .A(n14280), .B(n14864), .Y(n14766) ); sky130_fd_sc_hd__nor2_1 U18456 ( .A(n14858), .B(n14766), .Y(n14753) ); sky130_fd_sc_hd__nand2_1 U18457 ( .A(n14850), .B(n14772), .Y(n14791) ); sky130_fd_sc_hd__nand2_1 U18458 ( .A(n14819), .B(n14772), .Y(n14788) ); sky130_fd_sc_hd__nand2_1 U18459 ( .A(n14845), .B(n14772), .Y(n14785) ); sky130_fd_sc_hd__nand2_1 U18460 ( .A(n14816), .B(n14772), .Y(n14782) ); sky130_fd_sc_hd__nand2_1 U18461 ( .A(n14840), .B(n14772), .Y(n14779) ); sky130_fd_sc_hd__nand2_1 U18462 ( .A(n14813), .B(n14772), .Y(n14776) ); sky130_fd_sc_hd__nand2_1 U18463 ( .A(n14835), .B(n14772), .Y(n14773) ); sky130_fd_sc_hd__nand2_1 U18464 ( .A(n14772), .B(n14832), .Y(n14284) ); sky130_fd_sc_hd__o22ai_1 U18465 ( .A1(n14804), .A2( \pic_ctrl_inst/gw_config_reg[16][1] ), .B1(n14827), .B2( \pic_ctrl_inst/gw_config_reg[17][1] ), .Y(n14281) ); sky130_fd_sc_hd__o22ai_1 U18466 ( .A1(n14806), .A2(n14281), .B1(n14828), .B2(n22750), .Y(n14282) ); sky130_fd_sc_hd__o22ai_1 U18467 ( .A1(n14830), .A2(n14282), .B1(n14807), .B2(\pic_ctrl_inst/gw_config_reg[19][1] ), .Y(n14283) ); sky130_fd_sc_hd__nand2_1 U18468 ( .A(n14810), .B(n14772), .Y(n14769) ); sky130_fd_sc_hd__o22ai_1 U18469 ( .A1(n14284), .A2(n14283), .B1(n14769), .B2(n22755), .Y(n14285) ); sky130_fd_sc_hd__o22ai_1 U18470 ( .A1(n14775), .A2(n14285), .B1(n14773), .B2(\pic_ctrl_inst/gw_config_reg[21][1] ), .Y(n14286) ); sky130_fd_sc_hd__o22ai_1 U18471 ( .A1(n14778), .A2(n14286), .B1(n14776), .B2(n22761), .Y(n14287) ); sky130_fd_sc_hd__o22ai_1 U18472 ( .A1(n14781), .A2(n14287), .B1(n14779), .B2(\pic_ctrl_inst/gw_config_reg[23][1] ), .Y(n14288) ); sky130_fd_sc_hd__o22ai_1 U18473 ( .A1(n14784), .A2(n14288), .B1(n14782), .B2(n22767), .Y(n14289) ); sky130_fd_sc_hd__o22ai_1 U18474 ( .A1(n14787), .A2(n14289), .B1(n14785), .B2(\pic_ctrl_inst/gw_config_reg[25][1] ), .Y(n14290) ); sky130_fd_sc_hd__o22ai_1 U18475 ( .A1(n14790), .A2(n14290), .B1(n14788), .B2(n22773), .Y(n14291) ); sky130_fd_sc_hd__o22ai_1 U18476 ( .A1(n14793), .A2(n14291), .B1(n14791), .B2(\pic_ctrl_inst/gw_config_reg[27][1] ), .Y(n14308) ); sky130_fd_sc_hd__nor2_1 U18477 ( .A(n14857), .B(n14766), .Y(n14749) ); sky130_fd_sc_hd__nor2_1 U18478 ( .A(n14853), .B(n14766), .Y(n14752) ); sky130_fd_sc_hd__nand2_1 U18479 ( .A(n14752), .B( \pic_ctrl_inst/gw_config_reg[13][1] ), .Y(n14305) ); sky130_fd_sc_hd__nor2_1 U18480 ( .A(n14852), .B(n14766), .Y(n14751) ); sky130_fd_sc_hd__nor2_1 U18481 ( .A(n14848), .B(n14766), .Y(n14763) ); sky130_fd_sc_hd__nand2_1 U18482 ( .A(n14763), .B( \pic_ctrl_inst/gw_config_reg[11][1] ), .Y(n14302) ); sky130_fd_sc_hd__nor2_1 U18483 ( .A(n14847), .B(n14766), .Y(n14762) ); sky130_fd_sc_hd__nor2_1 U18484 ( .A(n14843), .B(n14766), .Y(n14750) ); sky130_fd_sc_hd__nand2_1 U18485 ( .A(n14750), .B( \pic_ctrl_inst/gw_config_reg[9][1] ), .Y(n14299) ); sky130_fd_sc_hd__nor2_1 U18486 ( .A(n14842), .B(n14766), .Y(n14748) ); sky130_fd_sc_hd__a22oi_1 U18487 ( .A1(n14806), .A2( \pic_ctrl_inst/gw_config_reg[2][1] ), .B1(n14804), .B2( \pic_ctrl_inst/gw_config_reg[1][1] ), .Y(n14292) ); sky130_fd_sc_hd__o21ai_1 U18488 ( .A1(n14807), .A2(n22711), .B1(n14292), .Y( n14293) ); sky130_fd_sc_hd__o22ai_1 U18489 ( .A1(n14810), .A2(n14293), .B1(n14832), .B2(\pic_ctrl_inst/gw_config_reg[4][1] ), .Y(n14294) ); sky130_fd_sc_hd__o21ai_1 U18490 ( .A1(n14833), .A2(n22717), .B1(n14294), .Y( n14295) ); sky130_fd_sc_hd__o22ai_1 U18491 ( .A1(n14813), .A2(n14295), .B1(n14837), .B2(\pic_ctrl_inst/gw_config_reg[6][1] ), .Y(n14296) ); sky130_fd_sc_hd__a221oi_1 U18492 ( .A1(n14840), .A2(n22723), .B1(n14838), .B2(n14296), .C1(n14766), .Y(n14297) ); sky130_fd_sc_hd__a21oi_1 U18493 ( .A1(\pic_ctrl_inst/gw_config_reg[8][1] ), .A2(n14748), .B1(n14297), .Y(n14298) ); sky130_fd_sc_hd__nand2_1 U18494 ( .A(n14299), .B(n14298), .Y(n14300) ); sky130_fd_sc_hd__o2bb2ai_1 U18495 ( .B1(n14762), .B2(n14300), .A1_N(n14762), .A2_N(n22730), .Y(n14301) ); sky130_fd_sc_hd__nand2_1 U18496 ( .A(n14302), .B(n14301), .Y(n14303) ); sky130_fd_sc_hd__o2bb2ai_1 U18497 ( .B1(n14751), .B2(n14303), .A1_N(n14751), .A2_N(n22735), .Y(n14304) ); sky130_fd_sc_hd__nand2_1 U18498 ( .A(n14305), .B(n14304), .Y(n14306) ); sky130_fd_sc_hd__o2bb2ai_1 U18499 ( .B1(n14749), .B2(n14306), .A1_N(n14749), .A2_N(n22740), .Y(n14307) ); sky130_fd_sc_hd__nand2_1 U18500 ( .A(n14308), .B(n14307), .Y(n14309) ); sky130_fd_sc_hd__a21oi_1 U18501 ( .A1(n14753), .A2( \pic_ctrl_inst/gw_config_reg[15][1] ), .B1(n14309), .Y(n14310) ); sky130_fd_sc_hd__o22ai_1 U18502 ( .A1(n14796), .A2(n14310), .B1(n14794), .B2(n22780), .Y(n14311) ); sky130_fd_sc_hd__o22ai_1 U18503 ( .A1(n14799), .A2(n14311), .B1(n14797), .B2(\pic_ctrl_inst/gw_config_reg[29][1] ), .Y(n14313) ); sky130_fd_sc_hd__nand2_1 U18504 ( .A(n14860), .B(n14772), .Y(n14800) ); sky130_fd_sc_hd__o21ai_1 U18505 ( .A1(\pic_ctrl_inst/gw_config_reg[30][1] ), .A2(n14803), .B1(n14800), .Y(n14312) ); sky130_fd_sc_hd__a21oi_1 U18506 ( .A1(n14803), .A2(n14313), .B1(n14312), .Y( n14337) ); sky130_fd_sc_hd__a22oi_1 U18507 ( .A1(n14882), .A2( \pic_ctrl_inst/intpriority_reg[22][1] ), .B1(n14878), .B2( \pic_ctrl_inst/intpriority_reg[4][1] ), .Y(n14317) ); sky130_fd_sc_hd__a22oi_1 U18508 ( .A1(n14738), .A2( \pic_ctrl_inst/intpriority_reg[15][1] ), .B1(n14879), .B2( \pic_ctrl_inst/intpriority_reg[13][1] ), .Y(n14316) ); sky130_fd_sc_hd__a22oi_1 U18509 ( .A1(n14869), .A2( \pic_ctrl_inst/intpriority_reg[10][1] ), .B1(n14872), .B2( \pic_ctrl_inst/intpriority_reg[24][1] ), .Y(n14315) ); sky130_fd_sc_hd__a22oi_1 U18510 ( .A1(n14883), .A2( \pic_ctrl_inst/intpriority_reg[2][1] ), .B1(n14870), .B2( \pic_ctrl_inst/intpriority_reg[29][1] ), .Y(n14314) ); sky130_fd_sc_hd__nand4_1 U18511 ( .A(n14317), .B(n14316), .C(n14315), .D( n14314), .Y(n14335) ); sky130_fd_sc_hd__a22oi_1 U18512 ( .A1(n14734), .A2( \pic_ctrl_inst/intpriority_reg[30][1] ), .B1(n14866), .B2( \pic_ctrl_inst/intpriority_reg[25][1] ), .Y(n14323) ); sky130_fd_sc_hd__a22oi_1 U18513 ( .A1(n14714), .A2( \pic_ctrl_inst/intpriority_reg[12][1] ), .B1(n14735), .B2( \pic_ctrl_inst/intpriority_reg[19][1] ), .Y(n14322) ); sky130_fd_sc_hd__a22oi_1 U18514 ( .A1(n14871), .A2( \pic_ctrl_inst/intpriority_reg[5][1] ), .B1(n14731), .B2( \pic_ctrl_inst/intpriority_reg[20][1] ), .Y(n14321) ); sky130_fd_sc_hd__nand2_1 U18515 ( .A(n14899), .B(n14318), .Y(n14723) ); sky130_fd_sc_hd__xor2_1 U18516 ( .A(\pic_ctrl_inst/extintsrc_req_sync [1]), .B(\pic_ctrl_inst/gw_config_reg[1][0] ), .X(n21239) ); sky130_fd_sc_hd__a21oi_1 U18517 ( .A1( \pic_ctrl_inst/SETREG[1].NON_ZERO_INT.config_gw_inst/gw_int_pending ), .A2(\pic_ctrl_inst/gw_config_reg[1][1] ), .B1(n21239), .Y(n16519) ); sky130_fd_sc_hd__o22ai_1 U18518 ( .A1(n16519), .A2(n14599), .B1(n22789), .B2(n14800), .Y(n14319) ); sky130_fd_sc_hd__a211oi_1 U18519 ( .A1(n14737), .A2( \pic_ctrl_inst/intpriority_reg[11][1] ), .B1(n14723), .C1(n14319), .Y( n14320) ); sky130_fd_sc_hd__nand4_1 U18520 ( .A(n14323), .B(n14322), .C(n14321), .D( n14320), .Y(n14334) ); sky130_fd_sc_hd__a22oi_1 U18521 ( .A1(n14867), .A2( \pic_ctrl_inst/intpriority_reg[23][1] ), .B1(n14868), .B2( \pic_ctrl_inst/intpriority_reg[3][1] ), .Y(n14327) ); sky130_fd_sc_hd__a22oi_1 U18522 ( .A1(n14873), .A2( \pic_ctrl_inst/intpriority_reg[18][1] ), .B1(n14727), .B2( \pic_ctrl_inst/intpriority_reg[8][1] ), .Y(n14326) ); sky130_fd_sc_hd__a22oi_1 U18523 ( .A1(n14736), .A2( \pic_ctrl_inst/intpriority_reg[26][1] ), .B1(n14881), .B2( \pic_ctrl_inst/intpriority_reg[17][1] ), .Y(n14325) ); sky130_fd_sc_hd__a22oi_1 U18524 ( .A1(n14717), .A2( \pic_ctrl_inst/intpriority_reg[6][1] ), .B1(n14733), .B2( \pic_ctrl_inst/intpriority_reg[16][1] ), .Y(n14324) ); sky130_fd_sc_hd__nand4_1 U18525 ( .A(n14327), .B(n14326), .C(n14325), .D( n14324), .Y(n14333) ); sky130_fd_sc_hd__a22oi_1 U18526 ( .A1(n14884), .A2( \pic_ctrl_inst/intpriority_reg[21][1] ), .B1(n14732), .B2( \pic_ctrl_inst/intpriority_reg[31][1] ), .Y(n14331) ); sky130_fd_sc_hd__a22oi_1 U18527 ( .A1(n14716), .A2( \pic_ctrl_inst/intpriority_reg[7][1] ), .B1(n14715), .B2( \pic_ctrl_inst/intpriority_reg[9][1] ), .Y(n14330) ); sky130_fd_sc_hd__a22oi_1 U18528 ( .A1(n14880), .A2( \pic_ctrl_inst/intpriority_reg[14][1] ), .B1(n14885), .B2( \pic_ctrl_inst/intpriority_reg[27][1] ), .Y(n14329) ); sky130_fd_sc_hd__a22oi_1 U18529 ( .A1(n14745), .A2( \pic_ctrl_inst/intpriority_reg[28][1] ), .B1(n14713), .B2( \pic_ctrl_inst/intpriority_reg[1][1] ), .Y(n14328) ); sky130_fd_sc_hd__nand4_1 U18530 ( .A(n14331), .B(n14330), .C(n14329), .D( n14328), .Y(n14332) ); sky130_fd_sc_hd__or4_1 U18531 ( .A(n14335), .B(n14334), .C(n14333), .D( n14332), .X(n14336) ); sky130_fd_sc_hd__o22ai_1 U18532 ( .A1(\pic_ctrl_inst/picm_wr_data_ff [1]), .A2(n14899), .B1(n14337), .B2(n14336), .Y(n20502) ); sky130_fd_sc_hd__o22ai_1 U18533 ( .A1(n14338), .A2(n14901), .B1(n14900), .B2(n20502), .Y(n14339) ); sky130_fd_sc_hd__a21oi_1 U18534 ( .A1(n14904), .A2(\lsu/store_data_lo_r [1]), .B1(n14339), .Y(n14340) ); sky130_fd_sc_hd__o21ai_1 U18535 ( .A1(n14906), .A2(n25062), .B1(n14340), .Y( n24923) ); sky130_fd_sc_hd__a21oi_1 U18536 ( .A1(n25004), .A2(\lsu/dccm_rdata_hi_m [1]), .B1(n24923), .Y(n15228) ); sky130_fd_sc_hd__o2bb2ai_1 U18537 ( .B1(\lsu/lsu_addr_m [0]), .B2(n15093), .A1_N(\lsu/lsu_addr_m [0]), .A2_N(n15228), .Y(n14652) ); sky130_fd_sc_hd__o21ai_1 U18538 ( .A1(n15359), .A2(n14652), .B1(n15589), .Y( n14341) ); sky130_fd_sc_hd__a211oi_1 U18539 ( .A1(n15584), .A2( \lsu/bus_read_data_m [25]), .B1(n14342), .C1(n14341), .Y(n23993) ); sky130_fd_sc_hd__fa_2 U18540 ( .A(n14345), .B(n14344), .CIN(n14343), .COUT( n15379), .SUM(n14350) ); sky130_fd_sc_hd__fa_2 U18541 ( .A(n14348), .B(n14347), .CIN(n14346), .COUT( n15382), .SUM(n14349) ); sky130_fd_sc_hd__a22o_1 U18542 ( .A1(\exu/i_mul/low_x ), .A2(n14350), .B1( n15563), .B2(n14349), .X(n14351) ); sky130_fd_sc_hd__a22oi_1 U18543 ( .A1(\exu/mul_valid_x ), .A2(n14351), .B1( n21710), .B2(\exu/alu_result_x [25]), .Y(n23994) ); sky130_fd_sc_hd__a22oi_1 U18544 ( .A1(n15567), .A2(n16024), .B1(n15566), .B2(\dec/decode/i0_result_r [25]), .Y(n14353) ); sky130_fd_sc_hd__a22oi_1 U18545 ( .A1(n15569), .A2( lsu_nonblock_load_data[25]), .B1(n15568), .B2(gpr_i0_rs1_d[25]), .Y( n14352) ); sky130_fd_sc_hd__o211ai_1 U18546 ( .A1(n23993), .A2(n15534), .B1(n14353), .C1(n14352), .Y(\exu/muldiv_rs1_d [25]) ); sky130_fd_sc_hd__a22oi_1 U18547 ( .A1(n14354), .A2(n15280), .B1(n21222), .B2(\lsu/bus_intf/ld_fwddata_buf_hi [15]), .Y(n14355) ); sky130_fd_sc_hd__o21ai_1 U18548 ( .A1(n14356), .A2(n15185), .B1(n14355), .Y( n15540) ); sky130_fd_sc_hd__a22oi_1 U18549 ( .A1(n25035), .A2(n15540), .B1(n25056), .B2(n14357), .Y(n14358) ); sky130_fd_sc_hd__o21ai_1 U18550 ( .A1(n14359), .A2(n23512), .B1(n14358), .Y( \lsu/bus_read_data_m [23]) ); sky130_fd_sc_hd__a22oi_1 U18551 ( .A1(\lsu/stbuf/stbuf_data[1][15] ), .A2( n24845), .B1(\lsu/stbuf/stbuf_data[2][15] ), .B2(n24833), .Y(n14361) ); sky130_fd_sc_hd__a22oi_1 U18552 ( .A1(\lsu/stbuf/stbuf_data[0][15] ), .A2( n24847), .B1(\lsu/stbuf/stbuf_data[3][15] ), .B2(n24832), .Y(n14360) ); sky130_fd_sc_hd__a21oi_1 U18553 ( .A1(n14361), .A2(n14360), .B1(n15297), .Y( n14364) ); sky130_fd_sc_hd__o22ai_1 U18554 ( .A1(n14362), .A2(n15299), .B1(n23140), .B2(n15303), .Y(n14363) ); sky130_fd_sc_hd__a211oi_1 U18555 ( .A1(n15301), .A2( \lsu/store_data_lo_r [15]), .B1(n14364), .C1(n14363), .Y(n24971) ); sky130_fd_sc_hd__o21ai_1 U18556 ( .A1(n24973), .A2(n15805), .B1(n24971), .Y( n15582) ); sky130_fd_sc_hd__o22ai_1 U18557 ( .A1(\lsu/lsu_addr_m [1]), .A2(n14365), .B1(n15582), .B2(n15542), .Y(n14366) ); sky130_fd_sc_hd__a211oi_1 U18558 ( .A1(n25036), .A2(n14368), .B1(n14367), .C1(n14366), .Y(n14369) ); sky130_fd_sc_hd__a211oi_1 U18559 ( .A1(n15584), .A2( \lsu/bus_read_data_m [23]), .B1(n14369), .C1(n15515), .Y(n23989) ); sky130_fd_sc_hd__fa_2 U18560 ( .A(n14372), .B(n14371), .CIN(n14370), .COUT( n15339), .SUM(n14377) ); sky130_fd_sc_hd__fa_2 U18561 ( .A(n14375), .B(n14374), .CIN(n14373), .COUT( n15344), .SUM(n14376) ); sky130_fd_sc_hd__a22o_1 U18562 ( .A1(\exu/i_mul/low_x ), .A2(n14377), .B1( n15563), .B2(n14376), .X(n14378) ); sky130_fd_sc_hd__a22oi_1 U18563 ( .A1(\exu/mul_valid_x ), .A2(n14378), .B1( n21710), .B2(\exu/alu_result_x [23]), .Y(n23990) ); sky130_fd_sc_hd__a22oi_1 U18564 ( .A1(n15567), .A2(n16032), .B1(n15566), .B2(\dec/decode/i0_result_r [23]), .Y(n14380) ); sky130_fd_sc_hd__a22oi_1 U18565 ( .A1(n15569), .A2( lsu_nonblock_load_data[23]), .B1(n15568), .B2(gpr_i0_rs1_d[23]), .Y( n14379) ); sky130_fd_sc_hd__o211ai_1 U18566 ( .A1(n23989), .A2(n15534), .B1(n14380), .C1(n14379), .Y(\exu/muldiv_rs1_d [23]) ); sky130_fd_sc_hd__nand2_1 U18567 ( .A(n10955), .B(n14381), .Y(n14383) ); sky130_fd_sc_hd__xnor2_1 U18568 ( .A(n14383), .B(n14382), .Y(n14388) ); sky130_fd_sc_hd__a22o_1 U18569 ( .A1(\exu/i_mul/low_x ), .A2(n14388), .B1( n15563), .B2(n14387), .X(n14389) ); sky130_fd_sc_hd__o22ai_1 U18570 ( .A1(\exu/mul_valid_x ), .A2( \exu/alu_result_x [15]), .B1(n21710), .B2(n14389), .Y(n23974) ); sky130_fd_sc_hd__a21oi_1 U18571 ( .A1(\lsu/bus_intf/ldst_byteen_m [1]), .A2( n14390), .B1(n14484), .Y(n23973) ); sky130_fd_sc_hd__nor2_1 U18572 ( .A(n23973), .B(n15534), .Y(n15427) ); sky130_fd_sc_hd__a21oi_1 U18573 ( .A1(n15276), .A2( \dec/decode/i0_result_r [15]), .B1(n15427), .Y(n14392) ); sky130_fd_sc_hd__a22oi_1 U18574 ( .A1(n15569), .A2( lsu_nonblock_load_data[15]), .B1(n15568), .B2(gpr_i0_rs1_d[15]), .Y( n14391) ); sky130_fd_sc_hd__o211ai_1 U18575 ( .A1(n23974), .A2(n15418), .B1(n14392), .C1(n14391), .Y(\exu/muldiv_rs1_d [15]) ); sky130_fd_sc_hd__o22ai_1 U18576 ( .A1(n25079), .A2(n24877), .B1(n22551), .B2(n25058), .Y(n14393) ); sky130_fd_sc_hd__a22o_1 U18577 ( .A1(n14556), .A2(\lsu/store_data_hi_r [14]), .B1(n14661), .B2(n14393), .X(n14398) ); sky130_fd_sc_hd__a21o_1 U18578 ( .A1(n14662), .A2(\lsu/store_data_hi_r [22]), .B1(n14398), .X(\lsu/store_data_r [30]) ); sky130_fd_sc_hd__a222oi_1 U18579 ( .A1(n14393), .A2(\lsu/lsu_addr_r [1]), .B1(n14664), .B2(\lsu/store_data_lo_r [22]), .C1(n17657), .C2( \lsu/store_data_lo_r [14]), .Y(n14394) ); sky130_fd_sc_hd__nor2_1 U18580 ( .A(n14394), .B(n14659), .Y( \lsu/store_data_r [14]) ); sky130_fd_sc_hd__o22ai_1 U18581 ( .A1(n25079), .A2(n22533), .B1(n22551), .B2(n24877), .Y(n14397) ); sky130_fd_sc_hd__a222oi_1 U18582 ( .A1(n14397), .A2(\lsu/lsu_addr_r [1]), .B1(n14664), .B2(\lsu/store_data_lo_r [14]), .C1(n17657), .C2( \lsu/store_data_lo_r [6]), .Y(n14395) ); sky130_fd_sc_hd__nor2_1 U18583 ( .A(n14395), .B(n17661), .Y( \lsu/store_data_r [6]) ); sky130_fd_sc_hd__a22oi_1 U18584 ( .A1(n14664), .A2(\lsu/store_data_r [30]), .B1(n14663), .B2(\lsu/store_data_r [14]), .Y(n14396) ); sky130_fd_sc_hd__o21ai_1 U18585 ( .A1(n25063), .A2(n25058), .B1(n14396), .Y( n14939) ); sky130_fd_sc_hd__a222oi_1 U18586 ( .A1(n14939), .A2(n14666), .B1(n21226), .B2(\lsu/bus_intf/ld_fwddata_buf_hi [6]), .C1(n14563), .C2( \lsu/store_data_r [6]), .Y(n15283) ); sky130_fd_sc_hd__nand2_1 U18587 ( .A(n14661), .B(n14397), .Y(n14401) ); sky130_fd_sc_hd__o21ai_1 U18588 ( .A1(n23137), .A2(n23107), .B1(n14401), .Y( n25057) ); sky130_fd_sc_hd__o22ai_1 U18589 ( .A1(n25079), .A2(n14398), .B1(n22551), .B2(n25057), .Y(n14403) ); sky130_fd_sc_hd__o22ai_1 U18590 ( .A1(n25079), .A2(\lsu/store_data_r [14]), .B1(n22551), .B2(\lsu/store_data_r [6]), .Y(n14402) ); sky130_fd_sc_hd__o22ai_1 U18591 ( .A1(\lsu/lsu_addr_r [1]), .A2(n14403), .B1(n22553), .B2(n14402), .Y(n14399) ); sky130_fd_sc_hd__o22ai_1 U18592 ( .A1(n21217), .A2( \lsu/bus_intf/ld_fwddata_buf_lo [30]), .B1(n14672), .B2(n14399), .Y( n14937) ); sky130_fd_sc_hd__a22o_1 U18593 ( .A1(\lsu/lsu_addr_m [0]), .A2(n15283), .B1( n23539), .B2(n14937), .X(n15502) ); sky130_fd_sc_hd__o22ai_1 U18594 ( .A1(\lsu/store_data_r [14]), .A2(n22551), .B1(\lsu/store_data_r [6]), .B2(n22553), .Y(n14400) ); sky130_fd_sc_hd__a21oi_1 U18595 ( .A1(n23151), .A2(n14401), .B1(n14400), .Y( n15497) ); sky130_fd_sc_hd__a222oi_1 U18596 ( .A1(\lsu/store_data_r [30]), .A2(n14676), .B1(n21218), .B2(\lsu/bus_intf/ld_fwddata_buf_lo [22]), .C1(n14677), .C2(n15497), .Y(n14938) ); sky130_fd_sc_hd__a222oi_1 U18597 ( .A1(n15282), .A2(n14679), .B1(n21219), .B2(\lsu/bus_intf/ld_fwddata_buf_lo [14]), .C1(n14678), .C2(n15279), .Y(n14941) ); sky130_fd_sc_hd__a222oi_1 U18598 ( .A1(n15502), .A2(\lsu/lsu_addr_m [1]), .B1(n25052), .B2(n14938), .C1(n25053), .C2(n14941), .Y( \lsu/bus_read_data_m [14]) ); sky130_fd_sc_hd__a22oi_1 U18599 ( .A1(n15073), .A2( \lsu/stbuf/stbuf_data[1][22] ), .B1(n15072), .B2( \lsu/stbuf/stbuf_data[2][22] ), .Y(n14404) ); sky130_fd_sc_hd__o21ai_1 U18600 ( .A1(n15901), .A2(n22019), .B1(n14404), .Y( n14405) ); sky130_fd_sc_hd__a21oi_1 U18601 ( .A1(n15902), .A2( \lsu/stbuf/stbuf_data[3][22] ), .B1(n14405), .Y(n14406) ); sky130_fd_sc_hd__xor2_1 U18602 ( .A(\pic_ctrl_inst/extintsrc_req_sync [22]), .B(\pic_ctrl_inst/gw_config_reg[22][0] ), .X(n21269) ); sky130_fd_sc_hd__a21oi_1 U18603 ( .A1(\pic_ctrl_inst/gw_config_reg[22][1] ), .A2( \pic_ctrl_inst/SETREG[22].NON_ZERO_INT.config_gw_inst/gw_int_pending ), .B1(n21269), .Y(n16481) ); sky130_fd_sc_hd__o2bb2ai_1 U18604 ( .B1(n16481), .B2(n14909), .A1_N(n14910), .A2_N(\pic_ctrl_inst/picm_wr_data_ff [22]), .Y(n20533) ); sky130_fd_sc_hd__o22ai_1 U18605 ( .A1(n14406), .A2(n14705), .B1(n15506), .B2(n14704), .Y(n14407) ); sky130_fd_sc_hd__a21oi_1 U18606 ( .A1(n14708), .A2(\lsu/store_data_hi_r [22]), .B1(n14407), .Y(n14408) ); sky130_fd_sc_hd__o21ai_1 U18607 ( .A1(n14710), .A2(n22533), .B1(n14408), .Y( n24943) ); sky130_fd_sc_hd__a21oi_1 U18608 ( .A1(n24983), .A2(\lsu/dccm_rdata_lo_m [22]), .B1(n24943), .Y(n14961) ); sky130_fd_sc_hd__a21oi_1 U18609 ( .A1(n15037), .A2(n14961), .B1(n20625), .Y( n14426) ); sky130_fd_sc_hd__a22oi_1 U18610 ( .A1(n15902), .A2( \lsu/stbuf/stbuf_data[3][14] ), .B1(n15073), .B2( \lsu/stbuf/stbuf_data[1][14] ), .Y(n14409) ); sky130_fd_sc_hd__o21ai_1 U18611 ( .A1(n15898), .A2(n17663), .B1(n14409), .Y( n14410) ); sky130_fd_sc_hd__a21oi_1 U18612 ( .A1(n15127), .A2( \lsu/stbuf/stbuf_data[0][14] ), .B1(n14410), .Y(n14411) ); sky130_fd_sc_hd__xnor2_1 U18613 ( .A(\pic_ctrl_inst/gw_config_reg[14][0] ), .B(\pic_ctrl_inst/extintsrc_req_sync [14]), .Y(n21289) ); sky130_fd_sc_hd__a2bb2oi_1 U18614 ( .B1(n14910), .B2( \pic_ctrl_inst/picm_wr_data_ff [14]), .A1_N(n16501), .A2_N(n14909), .Y(n20623) ); sky130_fd_sc_hd__o22ai_1 U18615 ( .A1(n14411), .A2(n14694), .B1(n20623), .B2(n14698), .Y(n14412) ); sky130_fd_sc_hd__a21oi_1 U18616 ( .A1(n14701), .A2(\lsu/store_data_lo_r [14]), .B1(n14412), .Y(n14413) ); sky130_fd_sc_hd__o21ai_1 U18617 ( .A1(n14697), .A2(n23137), .B1(n14413), .Y( n24946) ); sky130_fd_sc_hd__a21oi_1 U18618 ( .A1(n24968), .A2(\lsu/dccm_rdata_lo_m [14]), .B1(n24946), .Y(n14963) ); sky130_fd_sc_hd__a22oi_1 U18619 ( .A1(n15902), .A2( \lsu/stbuf/stbuf_data[3][30] ), .B1(n15073), .B2( \lsu/stbuf/stbuf_data[1][30] ), .Y(n14414) ); sky130_fd_sc_hd__o21ai_1 U18620 ( .A1(n15898), .A2(n24866), .B1(n14414), .Y( n14415) ); sky130_fd_sc_hd__a21oi_1 U18621 ( .A1(n15127), .A2( \lsu/stbuf/stbuf_data[0][30] ), .B1(n14415), .Y(n14416) ); sky130_fd_sc_hd__xnor2_1 U18622 ( .A(\pic_ctrl_inst/gw_config_reg[30][0] ), .B(\pic_ctrl_inst/extintsrc_req_sync [30]), .Y(n21243) ); sky130_fd_sc_hd__a2bb2oi_1 U18623 ( .B1(n14910), .B2( \pic_ctrl_inst/picm_wr_data_ff [30]), .A1_N(n16496), .A2_N(n14909), .Y(n20522) ); sky130_fd_sc_hd__o22ai_1 U18624 ( .A1(n14416), .A2(n14912), .B1(n20522), .B2(n14911), .Y(n14417) ); sky130_fd_sc_hd__a21oi_1 U18625 ( .A1(n14915), .A2(\lsu/store_data_hi_r [30]), .B1(n14417), .Y(n14418) ); sky130_fd_sc_hd__o21ai_1 U18626 ( .A1(n14917), .A2(n24877), .B1(n14418), .Y( n24944) ); sky130_fd_sc_hd__a21oi_1 U18627 ( .A1(n24985), .A2(\lsu/dccm_rdata_lo_m [30]), .B1(n24944), .Y(n14962) ); sky130_fd_sc_hd__a22oi_1 U18628 ( .A1(n24847), .A2( \lsu/stbuf/stbuf_data[0][6] ), .B1(n24884), .B2( \lsu/stbuf/stbuf_data[3][6] ), .Y(n14419) ); sky130_fd_sc_hd__o21ai_1 U18629 ( .A1(n24889), .A2(n14954), .B1(n14419), .Y( n14420) ); sky130_fd_sc_hd__a21oi_1 U18630 ( .A1(n24833), .A2( \lsu/stbuf/stbuf_data[2][6] ), .B1(n14420), .Y(n14421) ); sky130_fd_sc_hd__xor2_1 U18631 ( .A(\pic_ctrl_inst/extintsrc_req_sync [6]), .B(\pic_ctrl_inst/gw_config_reg[6][0] ), .X(n21313) ); sky130_fd_sc_hd__a21oi_1 U18632 ( .A1(\pic_ctrl_inst/gw_config_reg[6][1] ), .A2( \pic_ctrl_inst/SETREG[6].NON_ZERO_INT.config_gw_inst/gw_int_pending ), .B1(n21313), .Y(n16513) ); sky130_fd_sc_hd__a2bb2oi_1 U18633 ( .B1(n14910), .B2( \pic_ctrl_inst/picm_wr_data_ff [6]), .A1_N(n16513), .A2_N(n14909), .Y( n20603) ); sky130_fd_sc_hd__o22ai_1 U18634 ( .A1(n14421), .A2(n14901), .B1(n20603), .B2(n14900), .Y(n14422) ); sky130_fd_sc_hd__a21oi_1 U18635 ( .A1(n14904), .A2(\lsu/store_data_lo_r [6]), .B1(n14422), .Y(n14423) ); sky130_fd_sc_hd__o21ai_1 U18636 ( .A1(n14906), .A2(n25058), .B1(n14423), .Y( n24978) ); sky130_fd_sc_hd__a21oi_1 U18637 ( .A1(n25004), .A2(\lsu/dccm_rdata_hi_m [6]), .B1(n24978), .Y(n15304) ); sky130_fd_sc_hd__o22ai_1 U18638 ( .A1(\lsu/lsu_addr_m [0]), .A2(n14962), .B1(n25027), .B2(n15304), .Y(n15512) ); sky130_fd_sc_hd__o22ai_1 U18639 ( .A1(\lsu/bus_read_data_m [14]), .A2(n15142), .B1(n15512), .B2(n15143), .Y(n14424) ); sky130_fd_sc_hd__a21oi_1 U18640 ( .A1(n15101), .A2(n14963), .B1(n14424), .Y( n14425) ); sky130_fd_sc_hd__a21oi_1 U18641 ( .A1(n14426), .A2(n14425), .B1(n14484), .Y( n23971) ); sky130_fd_sc_hd__nand2_1 U18642 ( .A(n14429), .B(n14428), .Y(n14430) ); sky130_fd_sc_hd__xor2_1 U18643 ( .A(n14431), .B(n14430), .X(n14435) ); sky130_fd_sc_hd__a22o_1 U18644 ( .A1(\exu/i_mul/low_x ), .A2(n14435), .B1( n15563), .B2(n14434), .X(n14436) ); sky130_fd_sc_hd__a22oi_1 U18645 ( .A1(\exu/mul_valid_x ), .A2(n14436), .B1( n21710), .B2(\exu/alu_result_x [14]), .Y(n23972) ); sky130_fd_sc_hd__a22oi_1 U18646 ( .A1(n15567), .A2(n16077), .B1(n15276), .B2(\dec/decode/i0_result_r [14]), .Y(n14438) ); sky130_fd_sc_hd__a22oi_1 U18647 ( .A1(n15569), .A2( lsu_nonblock_load_data[14]), .B1(n15568), .B2(gpr_i0_rs1_d[14]), .Y( n14437) ); sky130_fd_sc_hd__o211ai_1 U18648 ( .A1(n23971), .A2(n15534), .B1(n14438), .C1(n14437), .Y(\exu/muldiv_rs1_d [14]) ); sky130_fd_sc_hd__o22ai_1 U18649 ( .A1(n25079), .A2(\lsu/store_data_lo_r [28]), .B1(n22551), .B2(\lsu/store_data_hi_r [4]), .Y(n14440) ); sky130_fd_sc_hd__a22oi_1 U18650 ( .A1(n14662), .A2(\lsu/store_data_hi_r [20]), .B1(n14556), .B2(\lsu/store_data_hi_r [12]), .Y(n14439) ); sky130_fd_sc_hd__o21ai_1 U18651 ( .A1(n14440), .A2(n22524), .B1(n14439), .Y( \lsu/store_data_r [28]) ); sky130_fd_sc_hd__a222oi_1 U18652 ( .A1(n14441), .A2(\lsu/lsu_addr_r [1]), .B1(n14664), .B2(\lsu/store_data_lo_r [20]), .C1(n17657), .C2( \lsu/store_data_lo_r [12]), .Y(n14442) ); sky130_fd_sc_hd__nor2_1 U18653 ( .A(n14442), .B(n14659), .Y( \lsu/store_data_r [12]) ); sky130_fd_sc_hd__o22ai_1 U18654 ( .A1(n25079), .A2(n22531), .B1(n22551), .B2(n24843), .Y(n14443) ); sky130_fd_sc_hd__a222oi_1 U18655 ( .A1(n14443), .A2(\lsu/lsu_addr_r [1]), .B1(n14664), .B2(\lsu/store_data_lo_r [12]), .C1(n17657), .C2( \lsu/store_data_lo_r [4]), .Y(n14452) ); sky130_fd_sc_hd__nor2_1 U18656 ( .A(n14452), .B(n17661), .Y( \lsu/store_data_r [4]) ); sky130_fd_sc_hd__nor2_1 U18657 ( .A(n25063), .B(n23161), .Y(n14444) ); sky130_fd_sc_hd__a22oi_1 U18658 ( .A1(n14662), .A2(\lsu/store_data_hi_r [12]), .B1(n14661), .B2(n14443), .Y(n14448) ); sky130_fd_sc_hd__nand2b_1 U18659 ( .A_N(n14444), .B(n14448), .Y( \lsu/store_data_r [20]) ); sky130_fd_sc_hd__a21oi_1 U18660 ( .A1(n14663), .A2(\lsu/store_data_r [12]), .B1(n14444), .Y(n14445) ); sky130_fd_sc_hd__o21ai_1 U18661 ( .A1(n14449), .A2(n14446), .B1(n14445), .Y( n15001) ); sky130_fd_sc_hd__a22oi_1 U18662 ( .A1(n14666), .A2(n15001), .B1(n21226), .B2(\lsu/bus_intf/ld_fwddata_buf_hi [4]), .Y(n14447) ); sky130_fd_sc_hd__o21ai_1 U18663 ( .A1(n14452), .A2(n14668), .B1(n14447), .Y( n15256) ); sky130_fd_sc_hd__a22oi_1 U18664 ( .A1(n22551), .A2(n14449), .B1(n25079), .B2(n14448), .Y(n15253) ); sky130_fd_sc_hd__a22o_1 U18665 ( .A1(\lsu/lsu_addr_r [1]), .A2(n15254), .B1( n22553), .B2(n15253), .X(n14450) ); sky130_fd_sc_hd__a22oi_1 U18666 ( .A1(n21217), .A2(n14450), .B1(n14672), .B2(\lsu/bus_intf/ld_fwddata_buf_lo [28]), .Y(n14999) ); sky130_fd_sc_hd__o2bb2ai_1 U18667 ( .B1(n25027), .B2(n15256), .A1_N(n23539), .A2_N(n14999), .Y(n15394) ); sky130_fd_sc_hd__a222oi_1 U18668 ( .A1(n15254), .A2(n14679), .B1(n21219), .B2(\lsu/bus_intf/ld_fwddata_buf_lo [12]), .C1(n14678), .C2(n15253), .Y(n15003) ); sky130_fd_sc_hd__nand2_1 U18669 ( .A(n17656), .B(n14673), .Y(n22522) ); sky130_fd_sc_hd__a22oi_1 U18670 ( .A1(n17657), .A2(\lsu/store_data_r [20]), .B1(n14664), .B2(\lsu/store_data_r [12]), .Y(n14451) ); sky130_fd_sc_hd__o21ai_1 U18671 ( .A1(n14452), .A2(n22522), .B1(n14451), .Y( n15390) ); sky130_fd_sc_hd__a222oi_1 U18672 ( .A1(n15390), .A2(n14677), .B1(n21218), .B2(\lsu/bus_intf/ld_fwddata_buf_lo [20]), .C1(\lsu/store_data_r [28]), .C2(n14676), .Y(n15000) ); sky130_fd_sc_hd__a222oi_1 U18673 ( .A1(\lsu/lsu_addr_m [1]), .A2(n15394), .B1(n25053), .B2(n15003), .C1(n25052), .C2(n15000), .Y( \lsu/bus_read_data_m [12]) ); sky130_fd_sc_hd__nand2_1 U18674 ( .A(n14455), .B(n14454), .Y(n14456) ); sky130_fd_sc_hd__xor2_1 U18675 ( .A(n14457), .B(n14456), .X(n14462) ); sky130_fd_sc_hd__fa_2 U18676 ( .A(n14460), .B(n14459), .CIN(n14458), .COUT( n14497), .SUM(n14461) ); sky130_fd_sc_hd__a22o_1 U18677 ( .A1(\exu/i_mul/low_x ), .A2(n14462), .B1( n15563), .B2(n14461), .X(n14463) ); sky130_fd_sc_hd__a22o_1 U18678 ( .A1(\exu/mul_valid_x ), .A2(n14463), .B1( n21710), .B2(\exu/alu_result_x [12]), .X(n23967) ); sky130_fd_sc_hd__a22oi_1 U18679 ( .A1(n15567), .A2(n23967), .B1(n15276), .B2(\dec/decode/i0_result_r [12]), .Y(n14488) ); sky130_fd_sc_hd__a22oi_1 U18680 ( .A1(n15569), .A2( lsu_nonblock_load_data[12]), .B1(n15568), .B2(gpr_i0_rs1_d[12]), .Y( n14487) ); sky130_fd_sc_hd__a22oi_1 U18681 ( .A1(n15073), .A2( \lsu/stbuf/stbuf_data[1][12] ), .B1(n15127), .B2( \lsu/stbuf/stbuf_data[0][12] ), .Y(n14465) ); sky130_fd_sc_hd__a22oi_1 U18682 ( .A1(n15902), .A2( \lsu/stbuf/stbuf_data[3][12] ), .B1(n15072), .B2( \lsu/stbuf/stbuf_data[2][12] ), .Y(n14464) ); sky130_fd_sc_hd__a21oi_1 U18683 ( .A1(n14465), .A2(n14464), .B1(n14694), .Y( n14467) ); sky130_fd_sc_hd__xnor2_1 U18684 ( .A(\pic_ctrl_inst/gw_config_reg[12][0] ), .B(\pic_ctrl_inst/extintsrc_req_sync [12]), .Y(n21293) ); sky130_fd_sc_hd__o2bb2ai_1 U18685 ( .B1(n16504), .B2(n14909), .A1_N(n14910), .A2_N(\pic_ctrl_inst/picm_wr_data_ff [12]), .Y(n20561) ); sky130_fd_sc_hd__o22ai_1 U18686 ( .A1(n15269), .A2(n14698), .B1(n14697), .B2(n23133), .Y(n14466) ); sky130_fd_sc_hd__a211oi_1 U18687 ( .A1(\lsu/store_data_lo_r [12]), .A2( n14701), .B1(n14467), .C1(n14466), .Y(n24957) ); sky130_fd_sc_hd__o21ai_1 U18688 ( .A1(n24989), .A2(n17763), .B1(n24957), .Y( n15023) ); sky130_fd_sc_hd__a22oi_1 U18689 ( .A1(n15902), .A2( \lsu/stbuf/stbuf_data[3][20] ), .B1(n15072), .B2( \lsu/stbuf/stbuf_data[2][20] ), .Y(n14468) ); sky130_fd_sc_hd__o21ai_1 U18690 ( .A1(n15899), .A2(n22000), .B1(n14468), .Y( n14469) ); sky130_fd_sc_hd__a21oi_1 U18691 ( .A1(n15127), .A2( \lsu/stbuf/stbuf_data[0][20] ), .B1(n14469), .Y(n14470) ); sky130_fd_sc_hd__xnor2_1 U18692 ( .A(\pic_ctrl_inst/gw_config_reg[20][0] ), .B(\pic_ctrl_inst/extintsrc_req_sync [20]), .Y(n21274) ); sky130_fd_sc_hd__o2bb2ai_1 U18693 ( .B1(n16485), .B2(n14909), .A1_N(n14910), .A2_N(\pic_ctrl_inst/picm_wr_data_ff [20]), .Y(n20548) ); sky130_fd_sc_hd__o22ai_1 U18694 ( .A1(n14470), .A2(n14705), .B1(n15407), .B2(n14704), .Y(n14471) ); sky130_fd_sc_hd__a21oi_1 U18695 ( .A1(n14708), .A2(\lsu/store_data_hi_r [20]), .B1(n14471), .Y(n14472) ); sky130_fd_sc_hd__o21ai_1 U18696 ( .A1(n14710), .A2(n22531), .B1(n14472), .Y( n24954) ); sky130_fd_sc_hd__a21oi_1 U18697 ( .A1(n24983), .A2(\lsu/dccm_rdata_lo_m [20]), .B1(n24954), .Y(n15021) ); sky130_fd_sc_hd__a21oi_1 U18698 ( .A1(n15037), .A2(n15021), .B1(n20625), .Y( n14473) ); sky130_fd_sc_hd__o21ai_1 U18699 ( .A1(n15137), .A2(n15023), .B1(n14473), .Y( n14486) ); sky130_fd_sc_hd__a22oi_1 U18700 ( .A1(n15073), .A2( \lsu/stbuf/stbuf_data[1][28] ), .B1(n15127), .B2( \lsu/stbuf/stbuf_data[0][28] ), .Y(n14474) ); sky130_fd_sc_hd__o21ai_1 U18701 ( .A1(n15898), .A2(n15955), .B1(n14474), .Y( n14475) ); sky130_fd_sc_hd__a21oi_1 U18702 ( .A1(n15902), .A2( \lsu/stbuf/stbuf_data[3][28] ), .B1(n14475), .Y(n14476) ); sky130_fd_sc_hd__xor2_1 U18703 ( .A(\pic_ctrl_inst/extintsrc_req_sync [28]), .B(\pic_ctrl_inst/gw_config_reg[28][0] ), .X(n21248) ); sky130_fd_sc_hd__a21oi_1 U18704 ( .A1(\pic_ctrl_inst/gw_config_reg[28][1] ), .A2( \pic_ctrl_inst/SETREG[28].NON_ZERO_INT.config_gw_inst/gw_int_pending ), .B1(n21248), .Y(n16499) ); sky130_fd_sc_hd__o2bb2ai_1 U18705 ( .B1(n16499), .B2(n14909), .A1_N(n14910), .A2_N(\pic_ctrl_inst/picm_wr_data_ff [28]), .Y(n20512) ); sky130_fd_sc_hd__o22ai_1 U18706 ( .A1(n14476), .A2(n14912), .B1(n24852), .B2(n14911), .Y(n14477) ); sky130_fd_sc_hd__a21oi_1 U18707 ( .A1(n14915), .A2(\lsu/store_data_hi_r [28]), .B1(n14477), .Y(n14478) ); sky130_fd_sc_hd__o21ai_1 U18708 ( .A1(n14917), .A2(n24843), .B1(n14478), .Y( n24955) ); sky130_fd_sc_hd__a21oi_1 U18709 ( .A1(n24985), .A2(\lsu/dccm_rdata_lo_m [28]), .B1(n24955), .Y(n15022) ); sky130_fd_sc_hd__a22oi_1 U18710 ( .A1(n24847), .A2( \lsu/stbuf/stbuf_data[0][4] ), .B1(n24884), .B2( \lsu/stbuf/stbuf_data[3][4] ), .Y(n14479) ); sky130_fd_sc_hd__o21ai_1 U18711 ( .A1(n24889), .A2(n17681), .B1(n14479), .Y( n14480) ); sky130_fd_sc_hd__a21oi_1 U18712 ( .A1(n24833), .A2( \lsu/stbuf/stbuf_data[2][4] ), .B1(n14480), .Y(n14481) ); sky130_fd_sc_hd__xor2_1 U18713 ( .A(\pic_ctrl_inst/extintsrc_req_sync [4]), .B(\pic_ctrl_inst/gw_config_reg[4][0] ), .X(n21317) ); sky130_fd_sc_hd__a21oi_1 U18714 ( .A1(\pic_ctrl_inst/gw_config_reg[4][1] ), .A2( \pic_ctrl_inst/SETREG[4].NON_ZERO_INT.config_gw_inst/gw_int_pending ), .B1(n21317), .Y(n16517) ); sky130_fd_sc_hd__o2bb2ai_1 U18715 ( .B1(n16517), .B2(n14909), .A1_N(n14910), .A2_N(\pic_ctrl_inst/picm_wr_data_ff [4]), .Y(n20604) ); sky130_fd_sc_hd__o22ai_1 U18716 ( .A1(n14481), .A2(n14901), .B1(n15017), .B2(n14900), .Y(n14482) ); sky130_fd_sc_hd__a21oi_1 U18717 ( .A1(n14904), .A2(\lsu/store_data_lo_r [4]), .B1(n14482), .Y(n14483) ); sky130_fd_sc_hd__o21ai_1 U18718 ( .A1(n14906), .A2(n23161), .B1(n14483), .Y( n24995) ); sky130_fd_sc_hd__a21oi_1 U18719 ( .A1(n25004), .A2(\lsu/dccm_rdata_hi_m [4]), .B1(n24995), .Y(n15272) ); sky130_fd_sc_hd__o22ai_1 U18720 ( .A1(\lsu/lsu_addr_m [0]), .A2(n15022), .B1(n23539), .B2(n15272), .Y(n15413) ); sky130_fd_sc_hd__o22ai_1 U18721 ( .A1(\lsu/bus_read_data_m [12]), .A2(n15142), .B1(n15413), .B2(n15143), .Y(n14485) ); sky130_fd_sc_hd__o21ai_1 U18722 ( .A1(n14486), .A2(n14485), .B1(n14919), .Y( n23968) ); sky130_fd_sc_hd__nand2_1 U18723 ( .A(n15590), .B(n23968), .Y(n15432) ); sky130_fd_sc_hd__nand3_1 U18724 ( .A(n14488), .B(n14487), .C(n15432), .Y( \exu/muldiv_rs1_d [12]) ); sky130_fd_sc_hd__a222oi_1 U18725 ( .A1(n14490), .A2(n14679), .B1(n21219), .B2(\lsu/bus_intf/ld_fwddata_buf_lo [13]), .C1(n14678), .C2(n14489), .Y(n14973) ); sky130_fd_sc_hd__a222oi_1 U18726 ( .A1(\lsu/store_data_r [29]), .A2(n14676), .B1(n21218), .B2(\lsu/bus_intf/ld_fwddata_buf_lo [21]), .C1(n14677), .C2(n14491), .Y(n14970) ); sky130_fd_sc_hd__a222oi_1 U18727 ( .A1(\lsu/lsu_addr_m [1]), .A2(n14492), .B1(n25053), .B2(n14973), .C1(n25052), .C2(n14970), .Y( \lsu/bus_read_data_m [13]) ); sky130_fd_sc_hd__nand2_1 U18728 ( .A(n10954), .B(n14493), .Y(n14495) ); sky130_fd_sc_hd__xnor2_1 U18729 ( .A(n14495), .B(n14494), .Y(n14500) ); sky130_fd_sc_hd__nand2_1 U18730 ( .A(n10953), .B(n14496), .Y(n14498) ); sky130_fd_sc_hd__xnor2_1 U18731 ( .A(n14498), .B(n14497), .Y(n14499) ); sky130_fd_sc_hd__a22o_1 U18732 ( .A1(\exu/i_mul/low_x ), .A2(n14500), .B1( n15563), .B2(n14499), .X(n14501) ); sky130_fd_sc_hd__a22o_1 U18733 ( .A1(\exu/mul_valid_x ), .A2(n14501), .B1( n21710), .B2(\exu/alu_result_x [13]), .X(n23970) ); sky130_fd_sc_hd__a22oi_1 U18734 ( .A1(n15567), .A2(n23970), .B1(n15276), .B2(\dec/decode/i0_result_r [13]), .Y(n14519) ); sky130_fd_sc_hd__a22oi_1 U18735 ( .A1(n15569), .A2( lsu_nonblock_load_data[13]), .B1(n15568), .B2(gpr_i0_rs1_d[13]), .Y( n14518) ); sky130_fd_sc_hd__a22oi_1 U18736 ( .A1(n15902), .A2( \lsu/stbuf/stbuf_data[3][21] ), .B1(n15072), .B2( \lsu/stbuf/stbuf_data[2][21] ), .Y(n14502) ); sky130_fd_sc_hd__o21ai_1 U18737 ( .A1(n15901), .A2(n22008), .B1(n14502), .Y( n14503) ); sky130_fd_sc_hd__a21oi_1 U18738 ( .A1(n15073), .A2( \lsu/stbuf/stbuf_data[1][21] ), .B1(n14503), .Y(n14505) ); sky130_fd_sc_hd__o22ai_1 U18739 ( .A1(n14505), .A2(n14705), .B1(n14504), .B2(n14704), .Y(n14506) ); sky130_fd_sc_hd__a21oi_1 U18740 ( .A1(n14708), .A2(\lsu/store_data_hi_r [21]), .B1(n14506), .Y(n14507) ); sky130_fd_sc_hd__o21ai_1 U18741 ( .A1(n14710), .A2(n22532), .B1(n14507), .Y( n24948) ); sky130_fd_sc_hd__a21oi_1 U18742 ( .A1(n24983), .A2(\lsu/dccm_rdata_lo_m [21]), .B1(n24948), .Y(n14991) ); sky130_fd_sc_hd__a21oi_1 U18743 ( .A1(n15037), .A2(n14991), .B1(n20625), .Y( n14508) ); sky130_fd_sc_hd__o21ai_1 U18744 ( .A1(n14509), .A2(n15143), .B1(n14508), .Y( n14517) ); sky130_fd_sc_hd__a22oi_1 U18745 ( .A1(n15073), .A2( \lsu/stbuf/stbuf_data[1][13] ), .B1(n15127), .B2( \lsu/stbuf/stbuf_data[0][13] ), .Y(n14511) ); sky130_fd_sc_hd__a22oi_1 U18746 ( .A1(n15902), .A2( \lsu/stbuf/stbuf_data[3][13] ), .B1(n15072), .B2( \lsu/stbuf/stbuf_data[2][13] ), .Y(n14510) ); sky130_fd_sc_hd__a21oi_1 U18747 ( .A1(n14511), .A2(n14510), .B1(n14694), .Y( n14514) ); sky130_fd_sc_hd__o22ai_1 U18748 ( .A1(n14512), .A2(n14698), .B1(n14697), .B2(n23135), .Y(n14513) ); sky130_fd_sc_hd__a211oi_1 U18749 ( .A1(\lsu/store_data_lo_r [13]), .A2( n14701), .B1(n14514), .C1(n14513), .Y(n24951) ); sky130_fd_sc_hd__o21ai_1 U18750 ( .A1(n24989), .A2(n14515), .B1(n24951), .Y( n14995) ); sky130_fd_sc_hd__o22ai_1 U18751 ( .A1(\lsu/bus_read_data_m [13]), .A2(n15142), .B1(n15137), .B2(n14995), .Y(n14516) ); sky130_fd_sc_hd__o21ai_1 U18752 ( .A1(n14517), .A2(n14516), .B1(n14919), .Y( n23969) ); sky130_fd_sc_hd__nand2_1 U18753 ( .A(n15590), .B(n23969), .Y(n15435) ); sky130_fd_sc_hd__nand3_1 U18754 ( .A(n14519), .B(n14518), .C(n15435), .Y( \exu/muldiv_rs1_d [13]) ); sky130_fd_sc_hd__a222oi_1 U18755 ( .A1(\lsu/store_data_r [27]), .A2(n14676), .B1(n21218), .B2(\lsu/bus_intf/ld_fwddata_buf_lo [19]), .C1(n14677), .C2(n14520), .Y(n15030) ); sky130_fd_sc_hd__o22ai_1 U18756 ( .A1(n14523), .A2(n14565), .B1(n14522), .B2(n14521), .Y(n14524) ); sky130_fd_sc_hd__a21oi_1 U18757 ( .A1(n21219), .A2( \lsu/bus_intf/ld_fwddata_buf_lo [11]), .B1(n14524), .Y(n15033) ); sky130_fd_sc_hd__a222oi_1 U18758 ( .A1(n14525), .A2(\lsu/lsu_addr_m [1]), .B1(n25052), .B2(n15030), .C1(n25053), .C2(n15033), .Y( \lsu/bus_read_data_m [11]) ); sky130_fd_sc_hd__nand2_1 U18759 ( .A(n12585), .B(n14526), .Y(n14528) ); sky130_fd_sc_hd__xnor2_1 U18760 ( .A(n14528), .B(n14527), .Y(n14533) ); sky130_fd_sc_hd__fa_2 U18761 ( .A(n14531), .B(n14530), .CIN(n14529), .COUT( n14458), .SUM(n14532) ); sky130_fd_sc_hd__a22o_1 U18762 ( .A1(\exu/i_mul/low_x ), .A2(n14533), .B1( n15563), .B2(n14532), .X(n14534) ); sky130_fd_sc_hd__o22ai_1 U18763 ( .A1(\exu/mul_valid_x ), .A2( \exu/alu_result_x [11]), .B1(n21710), .B2(n14534), .Y(n23966) ); sky130_fd_sc_hd__a22oi_1 U18764 ( .A1(n15072), .A2( \lsu/stbuf/stbuf_data[2][19] ), .B1(n15127), .B2( \lsu/stbuf/stbuf_data[0][19] ), .Y(n14536) ); sky130_fd_sc_hd__a22oi_1 U18765 ( .A1(n15902), .A2( \lsu/stbuf/stbuf_data[3][19] ), .B1(n15073), .B2( \lsu/stbuf/stbuf_data[1][19] ), .Y(n14535) ); sky130_fd_sc_hd__a21oi_1 U18766 ( .A1(n14536), .A2(n14535), .B1(n14705), .Y( n14539) ); sky130_fd_sc_hd__o22ai_1 U18767 ( .A1(n14537), .A2(n14704), .B1(n14710), .B2(n22530), .Y(n14538) ); sky130_fd_sc_hd__a211oi_1 U18768 ( .A1(\lsu/store_data_hi_r [19]), .A2( n14708), .B1(n14539), .C1(n14538), .Y(n24960) ); sky130_fd_sc_hd__o21ai_1 U18769 ( .A1(n24962), .A2(n17736), .B1(n24960), .Y( n15039) ); sky130_fd_sc_hd__o21ai_1 U18770 ( .A1(n15139), .A2(n15039), .B1( \lsu/bus_intf/ldst_byteen_m [1]), .Y(n14549) ); sky130_fd_sc_hd__o22ai_1 U18771 ( .A1(n15898), .A2(n17706), .B1(n15901), .B2(n17703), .Y(n14541) ); sky130_fd_sc_hd__o2bb2ai_1 U18772 ( .B1(n15899), .B2(n17702), .A1_N(n15902), .A2_N(\lsu/stbuf/stbuf_data[3][11] ), .Y(n14540) ); sky130_fd_sc_hd__nor2_1 U18773 ( .A(n14541), .B(n14540), .Y(n14542) ); sky130_fd_sc_hd__o22ai_1 U18774 ( .A1(n14543), .A2(n14698), .B1(n14542), .B2(n14694), .Y(n14544) ); sky130_fd_sc_hd__a21oi_1 U18775 ( .A1(n14701), .A2(\lsu/store_data_lo_r [11]), .B1(n14544), .Y(n14545) ); sky130_fd_sc_hd__o21ai_1 U18776 ( .A1(n14697), .A2(n23131), .B1(n14545), .Y( n24967) ); sky130_fd_sc_hd__a21oi_1 U18777 ( .A1(n24968), .A2(\lsu/dccm_rdata_lo_m [11]), .B1(n24967), .Y(n15036) ); sky130_fd_sc_hd__a22oi_1 U18778 ( .A1(n15101), .A2(n15036), .B1(n15104), .B2(n14546), .Y(n14547) ); sky130_fd_sc_hd__o21ai_1 U18779 ( .A1(\lsu/bus_read_data_m [11]), .A2(n15142), .B1(n14547), .Y(n14548) ); sky130_fd_sc_hd__o21ai_1 U18780 ( .A1(n14549), .A2(n14548), .B1(n14919), .Y( n23818) ); sky130_fd_sc_hd__nor2_1 U18781 ( .A(n23965), .B(n15534), .Y(n15438) ); sky130_fd_sc_hd__a21oi_1 U18782 ( .A1(\dec/decode/i0_result_r [11]), .A2( n15566), .B1(n15438), .Y(n14551) ); sky130_fd_sc_hd__a22oi_1 U18783 ( .A1(n15569), .A2( lsu_nonblock_load_data[11]), .B1(n15568), .B2(gpr_i0_rs1_d[11]), .Y( n14550) ); sky130_fd_sc_hd__o211ai_1 U18784 ( .A1(n23966), .A2(n15418), .B1(n14551), .C1(n14550), .Y(\exu/muldiv_rs1_d [11]) ); sky130_fd_sc_hd__o22ai_1 U18785 ( .A1(n25079), .A2(n24827), .B1(n22551), .B2(n23157), .Y(n14554) ); sky130_fd_sc_hd__a222oi_1 U18786 ( .A1(n14554), .A2(\lsu/lsu_addr_r [1]), .B1(n14664), .B2(\lsu/store_data_lo_r [18]), .C1(n17657), .C2( \lsu/store_data_lo_r [10]), .Y(n14552) ); sky130_fd_sc_hd__nor2_1 U18787 ( .A(n14552), .B(n14659), .Y( \lsu/store_data_r [10]) ); sky130_fd_sc_hd__o22ai_1 U18788 ( .A1(n25079), .A2(n22529), .B1(n22551), .B2(n24827), .Y(n14555) ); sky130_fd_sc_hd__a222oi_1 U18789 ( .A1(n14555), .A2(\lsu/lsu_addr_r [1]), .B1(n14664), .B2(\lsu/store_data_lo_r [10]), .C1(n17657), .C2( \lsu/store_data_lo_r [2]), .Y(n14553) ); sky130_fd_sc_hd__nor2_1 U18790 ( .A(n14553), .B(n17661), .Y( \lsu/store_data_r [2]) ); sky130_fd_sc_hd__a22oi_1 U18791 ( .A1(n14661), .A2(n14554), .B1(n14556), .B2(\lsu/store_data_hi_r [10]), .Y(n14560) ); sky130_fd_sc_hd__nand2_1 U18792 ( .A(n14662), .B(\lsu/store_data_hi_r [18]), .Y(n14557) ); sky130_fd_sc_hd__nand2_1 U18793 ( .A(n14560), .B(n14557), .Y( \lsu/store_data_r [26]) ); sky130_fd_sc_hd__a22o_1 U18794 ( .A1(n14556), .A2(\lsu/store_data_hi_r [2]), .B1(n14661), .B2(n14555), .X(n14559) ); sky130_fd_sc_hd__o22ai_1 U18795 ( .A1(n25079), .A2(n14559), .B1(n22551), .B2(\lsu/store_data_r [10]), .Y(n14562) ); sky130_fd_sc_hd__o2bb2ai_1 U18796 ( .B1(\lsu/lsu_addr_r [1]), .B2(n14562), .A1_N(\lsu/store_data_r [2]), .A2_N(n14673), .Y(n15363) ); sky130_fd_sc_hd__a222oi_1 U18797 ( .A1(n15363), .A2(n14677), .B1(n21218), .B2(\lsu/bus_intf/ld_fwddata_buf_lo [18]), .C1(n14558), .C2(n15362), .Y(n15061) ); sky130_fd_sc_hd__o22ai_1 U18798 ( .A1(n25079), .A2(\lsu/store_data_r [10]), .B1(n22551), .B2(\lsu/store_data_r [2]), .Y(n15186) ); sky130_fd_sc_hd__a21oi_1 U18799 ( .A1(n14662), .A2(\lsu/store_data_hi_r [10]), .B1(n14559), .Y(n25064) ); sky130_fd_sc_hd__o22ai_1 U18800 ( .A1(n25079), .A2(n14560), .B1(n22551), .B2(n25064), .Y(n15183) ); sky130_fd_sc_hd__o2bb2ai_1 U18801 ( .B1(n22553), .B2(n15186), .A1_N(n22553), .A2_N(n15183), .Y(n14561) ); sky130_fd_sc_hd__o22ai_1 U18802 ( .A1(n21217), .A2( \lsu/bus_intf/ld_fwddata_buf_lo [26]), .B1(n14672), .B2(n14561), .Y( n15060) ); sky130_fd_sc_hd__o2bb2ai_1 U18803 ( .B1(n14562), .B2(n22553), .A1_N( \lsu/store_data_r [26]), .A2_N(n14664), .Y(n15059) ); sky130_fd_sc_hd__a222oi_1 U18804 ( .A1(n15059), .A2(n14666), .B1(n21226), .B2(\lsu/bus_intf/ld_fwddata_buf_hi [2]), .C1(n14563), .C2( \lsu/store_data_r [2]), .Y(n15189) ); sky130_fd_sc_hd__o22ai_1 U18805 ( .A1(\lsu/lsu_addr_m [0]), .A2(n15060), .B1(n25027), .B2(n15189), .Y(n15364) ); sky130_fd_sc_hd__a22oi_1 U18806 ( .A1(n14678), .A2(n15183), .B1(n21219), .B2(\lsu/bus_intf/ld_fwddata_buf_lo [10]), .Y(n14564) ); sky130_fd_sc_hd__o21ai_1 U18807 ( .A1(n15186), .A2(n14565), .B1(n14564), .Y( n15062) ); sky130_fd_sc_hd__a22oi_1 U18808 ( .A1(\lsu/lsu_addr_m [1]), .A2(n15364), .B1(n25053), .B2(n15062), .Y(n14566) ); sky130_fd_sc_hd__o21ai_1 U18809 ( .A1(n15061), .A2(n23768), .B1(n14566), .Y( \lsu/bus_read_data_m [10]) ); sky130_fd_sc_hd__nand2_1 U18810 ( .A(n14569), .B(n14568), .Y(n14571) ); sky130_fd_sc_hd__xor2_1 U18811 ( .A(n14571), .B(n14570), .X(n14576) ); sky130_fd_sc_hd__fa_2 U18812 ( .A(n14574), .B(n14573), .CIN(n14572), .COUT( n14529), .SUM(n14575) ); sky130_fd_sc_hd__a22o_1 U18813 ( .A1(\exu/i_mul/low_x ), .A2(n14576), .B1( n15563), .B2(n14575), .X(n14577) ); sky130_fd_sc_hd__o22ai_1 U18814 ( .A1(\exu/mul_valid_x ), .A2( \exu/alu_result_x [10]), .B1(n21710), .B2(n14577), .Y(n23964) ); sky130_fd_sc_hd__a22oi_1 U18815 ( .A1(n15073), .A2( \lsu/stbuf/stbuf_data[1][26] ), .B1(n15127), .B2( \lsu/stbuf/stbuf_data[0][26] ), .Y(n14578) ); sky130_fd_sc_hd__o21ai_1 U18816 ( .A1(n14640), .A2(n15983), .B1(n14578), .Y( n14579) ); sky130_fd_sc_hd__a21oi_1 U18817 ( .A1(n15072), .A2( \lsu/stbuf/stbuf_data[2][26] ), .B1(n14579), .Y(n14580) ); sky130_fd_sc_hd__xor2_1 U18818 ( .A(\pic_ctrl_inst/extintsrc_req_sync [26]), .B(\pic_ctrl_inst/gw_config_reg[26][0] ), .X(n21254) ); sky130_fd_sc_hd__a21oi_1 U18819 ( .A1(\pic_ctrl_inst/gw_config_reg[26][1] ), .A2( \pic_ctrl_inst/SETREG[26].NON_ZERO_INT.config_gw_inst/gw_int_pending ), .B1(n21254), .Y(n16489) ); sky130_fd_sc_hd__a2bb2oi_1 U18820 ( .B1(n14910), .B2( \pic_ctrl_inst/picm_wr_data_ff [26]), .A1_N(n16489), .A2_N(n14909), .Y(n20662) ); sky130_fd_sc_hd__o22ai_1 U18821 ( .A1(n14580), .A2(n14912), .B1(n20662), .B2(n14911), .Y(n14581) ); sky130_fd_sc_hd__a21oi_1 U18822 ( .A1(n14915), .A2(\lsu/store_data_hi_r [26]), .B1(n14581), .Y(n14582) ); sky130_fd_sc_hd__o21ai_1 U18823 ( .A1(n14917), .A2(n24827), .B1(n14582), .Y( n24984) ); sky130_fd_sc_hd__a21oi_1 U18824 ( .A1(n24985), .A2(\lsu/dccm_rdata_lo_m [26]), .B1(n24984), .Y(n15080) ); sky130_fd_sc_hd__a22oi_1 U18825 ( .A1(n24833), .A2( \lsu/stbuf/stbuf_data[2][2] ), .B1(n24847), .B2( \lsu/stbuf/stbuf_data[0][2] ), .Y(n14583) ); sky130_fd_sc_hd__o21ai_1 U18826 ( .A1(n24889), .A2(n17693), .B1(n14583), .Y( n14584) ); sky130_fd_sc_hd__a21oi_1 U18827 ( .A1(n24884), .A2( \lsu/stbuf/stbuf_data[3][2] ), .B1(n14584), .Y(n14609) ); sky130_fd_sc_hd__a22oi_1 U18828 ( .A1(n14737), .A2( \pic_ctrl_inst/intpriority_reg[11][2] ), .B1(n14715), .B2( \pic_ctrl_inst/intpriority_reg[9][2] ), .Y(n14588) ); sky130_fd_sc_hd__a22oi_1 U18829 ( .A1(n14714), .A2( \pic_ctrl_inst/intpriority_reg[12][2] ), .B1(n14734), .B2( \pic_ctrl_inst/intpriority_reg[30][2] ), .Y(n14587) ); sky130_fd_sc_hd__a22oi_1 U18830 ( .A1(n14736), .A2( \pic_ctrl_inst/intpriority_reg[26][2] ), .B1(n14882), .B2( \pic_ctrl_inst/intpriority_reg[22][2] ), .Y(n14586) ); sky130_fd_sc_hd__a22oi_1 U18831 ( .A1(n14869), .A2( \pic_ctrl_inst/intpriority_reg[10][2] ), .B1(n14872), .B2( \pic_ctrl_inst/intpriority_reg[24][2] ), .Y(n14585) ); sky130_fd_sc_hd__nand4_1 U18832 ( .A(n14588), .B(n14587), .C(n14586), .D( n14585), .Y(n14608) ); sky130_fd_sc_hd__a22oi_1 U18833 ( .A1(n14879), .A2( \pic_ctrl_inst/intpriority_reg[13][2] ), .B1(n14732), .B2( \pic_ctrl_inst/intpriority_reg[31][2] ), .Y(n14592) ); sky130_fd_sc_hd__a22oi_1 U18834 ( .A1(n14727), .A2( \pic_ctrl_inst/intpriority_reg[8][2] ), .B1(n14870), .B2( \pic_ctrl_inst/intpriority_reg[29][2] ), .Y(n14591) ); sky130_fd_sc_hd__a22oi_1 U18835 ( .A1(n14738), .A2( \pic_ctrl_inst/intpriority_reg[15][2] ), .B1(n14873), .B2( \pic_ctrl_inst/intpriority_reg[18][2] ), .Y(n14590) ); sky130_fd_sc_hd__a22oi_1 U18836 ( .A1(n14881), .A2( \pic_ctrl_inst/intpriority_reg[17][2] ), .B1(n14716), .B2( \pic_ctrl_inst/intpriority_reg[7][2] ), .Y(n14589) ); sky130_fd_sc_hd__nand4_1 U18837 ( .A(n14592), .B(n14591), .C(n14590), .D( n14589), .Y(n14606) ); sky130_fd_sc_hd__a22oi_1 U18838 ( .A1(n14880), .A2( \pic_ctrl_inst/intpriority_reg[14][2] ), .B1(n14733), .B2( \pic_ctrl_inst/intpriority_reg[16][2] ), .Y(n14596) ); sky130_fd_sc_hd__a22oi_1 U18839 ( .A1(n14866), .A2( \pic_ctrl_inst/intpriority_reg[25][2] ), .B1(n14731), .B2( \pic_ctrl_inst/intpriority_reg[20][2] ), .Y(n14595) ); sky130_fd_sc_hd__a22oi_1 U18840 ( .A1(n14717), .A2( \pic_ctrl_inst/intpriority_reg[6][2] ), .B1(n14735), .B2( \pic_ctrl_inst/intpriority_reg[19][2] ), .Y(n14594) ); sky130_fd_sc_hd__a22oi_1 U18841 ( .A1(n14884), .A2( \pic_ctrl_inst/intpriority_reg[21][2] ), .B1(n14713), .B2( \pic_ctrl_inst/intpriority_reg[1][2] ), .Y(n14593) ); sky130_fd_sc_hd__nand4_1 U18842 ( .A(n14596), .B(n14595), .C(n14594), .D( n14593), .Y(n14605) ); sky130_fd_sc_hd__xor2_1 U18843 ( .A(\pic_ctrl_inst/extintsrc_req_sync [2]), .B(\pic_ctrl_inst/gw_config_reg[2][0] ), .X(n21323) ); sky130_fd_sc_hd__a21oi_1 U18844 ( .A1(\pic_ctrl_inst/gw_config_reg[2][1] ), .A2( \pic_ctrl_inst/SETREG[2].NON_ZERO_INT.config_gw_inst/gw_int_pending ), .B1(n21323), .Y(n16521) ); sky130_fd_sc_hd__a21oi_1 U18845 ( .A1(n14885), .A2( \pic_ctrl_inst/intpriority_reg[27][2] ), .B1(n14597), .Y(n14598) ); sky130_fd_sc_hd__o21ai_1 U18846 ( .A1(n16521), .A2(n14599), .B1(n14598), .Y( n14604) ); sky130_fd_sc_hd__a22oi_1 U18847 ( .A1(n14867), .A2( \pic_ctrl_inst/intpriority_reg[23][2] ), .B1(n14883), .B2( \pic_ctrl_inst/intpriority_reg[2][2] ), .Y(n14602) ); sky130_fd_sc_hd__a22oi_1 U18848 ( .A1(n14871), .A2( \pic_ctrl_inst/intpriority_reg[5][2] ), .B1(n14868), .B2( \pic_ctrl_inst/intpriority_reg[3][2] ), .Y(n14601) ); sky130_fd_sc_hd__a22oi_1 U18849 ( .A1(n14745), .A2( \pic_ctrl_inst/intpriority_reg[28][2] ), .B1(n14878), .B2( \pic_ctrl_inst/intpriority_reg[4][2] ), .Y(n14600) ); sky130_fd_sc_hd__nand3_1 U18850 ( .A(n14602), .B(n14601), .C(n14600), .Y( n14603) ); sky130_fd_sc_hd__or4_1 U18851 ( .A(n14606), .B(n14605), .C(n14604), .D( n14603), .X(n14607) ); sky130_fd_sc_hd__o22ai_1 U18852 ( .A1(\pic_ctrl_inst/picm_wr_data_ff [2]), .A2(n14899), .B1(n14608), .B2(n14607), .Y(n20570) ); sky130_fd_sc_hd__o22ai_1 U18853 ( .A1(n14609), .A2(n14901), .B1(n14900), .B2(n20570), .Y(n14610) ); sky130_fd_sc_hd__a21oi_1 U18854 ( .A1(n14904), .A2(\lsu/store_data_lo_r [2]), .B1(n14610), .Y(n14611) ); sky130_fd_sc_hd__o21ai_1 U18855 ( .A1(n14906), .A2(n23157), .B1(n14611), .Y( n25003) ); sky130_fd_sc_hd__a21oi_1 U18856 ( .A1(n25004), .A2(\lsu/dccm_rdata_hi_m [2]), .B1(n25003), .Y(n15210) ); sky130_fd_sc_hd__o22ai_1 U18857 ( .A1(\lsu/lsu_addr_m [0]), .A2(n15080), .B1(n25027), .B2(n15210), .Y(n15376) ); sky130_fd_sc_hd__a22oi_1 U18858 ( .A1(n15902), .A2( \lsu/stbuf/stbuf_data[3][18] ), .B1(n15127), .B2( \lsu/stbuf/stbuf_data[0][18] ), .Y(n14612) ); sky130_fd_sc_hd__o21ai_1 U18859 ( .A1(n15899), .A2(n21983), .B1(n14612), .Y( n14613) ); sky130_fd_sc_hd__a21oi_1 U18860 ( .A1(n15072), .A2( \lsu/stbuf/stbuf_data[2][18] ), .B1(n14613), .Y(n14614) ); sky130_fd_sc_hd__xor2_1 U18861 ( .A(\pic_ctrl_inst/extintsrc_req_sync [18]), .B(\pic_ctrl_inst/gw_config_reg[18][0] ), .X(n21280) ); sky130_fd_sc_hd__a21oi_1 U18862 ( .A1(\pic_ctrl_inst/gw_config_reg[18][1] ), .A2( \pic_ctrl_inst/SETREG[18].NON_ZERO_INT.config_gw_inst/gw_int_pending ), .B1(n21280), .Y(n16476) ); sky130_fd_sc_hd__o2bb2ai_1 U18863 ( .B1(n16476), .B2(n14909), .A1_N(n14910), .A2_N(\pic_ctrl_inst/picm_wr_data_ff [18]), .Y(n20644) ); sky130_fd_sc_hd__o22ai_1 U18864 ( .A1(n14614), .A2(n14705), .B1(n15371), .B2(n14704), .Y(n14615) ); sky130_fd_sc_hd__a21oi_1 U18865 ( .A1(n14708), .A2(\lsu/store_data_hi_r [18]), .B1(n14615), .Y(n14616) ); sky130_fd_sc_hd__o21ai_1 U18866 ( .A1(n14710), .A2(n22529), .B1(n14616), .Y( n24982) ); sky130_fd_sc_hd__a21oi_1 U18867 ( .A1(n24983), .A2(\lsu/dccm_rdata_lo_m [18]), .B1(n24982), .Y(n15081) ); sky130_fd_sc_hd__a21oi_1 U18868 ( .A1(n15037), .A2(n15081), .B1(n20625), .Y( n14617) ); sky130_fd_sc_hd__o21ai_1 U18869 ( .A1(n15376), .A2(n15143), .B1(n14617), .Y( n14624) ); sky130_fd_sc_hd__o22ai_1 U18870 ( .A1(n14640), .A2(n17711), .B1(n15901), .B2(n17712), .Y(n14618) ); sky130_fd_sc_hd__a21oi_1 U18871 ( .A1(n15072), .A2( \lsu/stbuf/stbuf_data[2][10] ), .B1(n14618), .Y(n14620) ); sky130_fd_sc_hd__nand2_1 U18872 ( .A(n15073), .B( \lsu/stbuf/stbuf_data[1][10] ), .Y(n14619) ); sky130_fd_sc_hd__a21oi_1 U18873 ( .A1(n14620), .A2(n14619), .B1(n14694), .Y( n14622) ); sky130_fd_sc_hd__xnor2_1 U18874 ( .A(\pic_ctrl_inst/gw_config_reg[10][0] ), .B(\pic_ctrl_inst/extintsrc_req_sync [10]), .Y(n21301) ); sky130_fd_sc_hd__o2bb2ai_1 U18875 ( .B1(n16510), .B2(n14909), .A1_N(n14910), .A2_N(\pic_ctrl_inst/picm_wr_data_ff [10]), .Y(n20592) ); sky130_fd_sc_hd__o22ai_1 U18876 ( .A1(n15203), .A2(n14698), .B1(n14697), .B2(n23129), .Y(n14621) ); sky130_fd_sc_hd__a211oi_1 U18877 ( .A1(\lsu/store_data_lo_r [10]), .A2( n14701), .B1(n14622), .C1(n14621), .Y(n24987) ); sky130_fd_sc_hd__o21ai_1 U18878 ( .A1(n24989), .A2(n17274), .B1(n24987), .Y( n15079) ); sky130_fd_sc_hd__o22ai_1 U18879 ( .A1(n15142), .A2(\lsu/bus_read_data_m [10]), .B1(n15137), .B2(n15079), .Y(n14623) ); sky130_fd_sc_hd__o21ai_1 U18880 ( .A1(n14624), .A2(n14623), .B1(n14919), .Y( n23814) ); sky130_fd_sc_hd__a22oi_1 U18881 ( .A1(n15590), .A2(n23814), .B1(n15276), .B2(\dec/decode/i0_result_r [10]), .Y(n14626) ); sky130_fd_sc_hd__a22oi_1 U18882 ( .A1(n15569), .A2( lsu_nonblock_load_data[10]), .B1(n15568), .B2(gpr_i0_rs1_d[10]), .Y( n14625) ); sky130_fd_sc_hd__o211ai_1 U18883 ( .A1(n23964), .A2(n15418), .B1(n14626), .C1(n14625), .Y(\exu/muldiv_rs1_d [10]) ); sky130_fd_sc_hd__a222oi_1 U18884 ( .A1(\lsu/store_data_r [25]), .A2(n14676), .B1(n21218), .B2(\lsu/bus_intf/ld_fwddata_buf_lo [17]), .C1(n14677), .C2(n14627), .Y(n15088) ); sky130_fd_sc_hd__a222oi_1 U18885 ( .A1(n14629), .A2(n14679), .B1(n21219), .B2(\lsu/bus_intf/ld_fwddata_buf_lo [9]), .C1(n14678), .C2(n14628), .Y(n15090) ); sky130_fd_sc_hd__a222oi_1 U18886 ( .A1(n14630), .A2(\lsu/lsu_addr_m [1]), .B1(n25052), .B2(n15088), .C1(n25053), .C2(n15090), .Y( \lsu/bus_read_data_m [9]) ); sky130_fd_sc_hd__nand2_1 U18887 ( .A(n12516), .B(n14631), .Y(n14632) ); sky130_fd_sc_hd__xnor2_1 U18888 ( .A(n14633), .B(n14632), .Y(n14638) ); sky130_fd_sc_hd__fa_2 U18889 ( .A(n14636), .B(n14635), .CIN(n14634), .COUT( n14572), .SUM(n14637) ); sky130_fd_sc_hd__a22o_1 U18890 ( .A1(\exu/i_mul/low_x ), .A2(n14638), .B1( n15563), .B2(n14637), .X(n14639) ); sky130_fd_sc_hd__a22o_1 U18891 ( .A1(\exu/mul_valid_x ), .A2(n14639), .B1( n21710), .B2(\exu/alu_result_x [9]), .X(n23961) ); sky130_fd_sc_hd__a22oi_1 U18892 ( .A1(n15567), .A2(n23961), .B1(n15276), .B2(\dec/decode/i0_result_r [9]), .Y(n14656) ); sky130_fd_sc_hd__a22oi_1 U18893 ( .A1(n15569), .A2(lsu_nonblock_load_data[9]), .B1(n15568), .B2(gpr_i0_rs1_d[9]), .Y(n14655) ); sky130_fd_sc_hd__o22ai_1 U18894 ( .A1(n14640), .A2(n17710), .B1(n15899), .B2(n17707), .Y(n14641) ); sky130_fd_sc_hd__a21oi_1 U18895 ( .A1(n15127), .A2( \lsu/stbuf/stbuf_data[0][9] ), .B1(n14641), .Y(n14643) ); sky130_fd_sc_hd__nand2_1 U18896 ( .A(n15072), .B( \lsu/stbuf/stbuf_data[2][9] ), .Y(n14642) ); sky130_fd_sc_hd__a21oi_1 U18897 ( .A1(n14643), .A2(n14642), .B1(n14694), .Y( n14645) ); sky130_fd_sc_hd__o22ai_1 U18898 ( .A1(n20576), .A2(n14698), .B1(n14697), .B2(n23127), .Y(n14644) ); sky130_fd_sc_hd__a211oi_1 U18899 ( .A1(\lsu/store_data_lo_r [9]), .A2(n14701), .B1(n14645), .C1(n14644), .Y(n24924) ); sky130_fd_sc_hd__o21ai_1 U18900 ( .A1(n24989), .A2(n17752), .B1(n24924), .Y( n15106) ); sky130_fd_sc_hd__a22oi_1 U18901 ( .A1(n15902), .A2( \lsu/stbuf/stbuf_data[3][17] ), .B1(n15072), .B2( \lsu/stbuf/stbuf_data[2][17] ), .Y(n14647) ); sky130_fd_sc_hd__a22oi_1 U18902 ( .A1(n15073), .A2( \lsu/stbuf/stbuf_data[1][17] ), .B1(n15127), .B2( \lsu/stbuf/stbuf_data[0][17] ), .Y(n14646) ); sky130_fd_sc_hd__a21oi_1 U18903 ( .A1(n14647), .A2(n14646), .B1(n14705), .Y( n14650) ); sky130_fd_sc_hd__o22ai_1 U18904 ( .A1(n14648), .A2(n14704), .B1(n14710), .B2(n22528), .Y(n14649) ); sky130_fd_sc_hd__a211oi_1 U18905 ( .A1(\lsu/store_data_hi_r [17]), .A2( n14708), .B1(n14650), .C1(n14649), .Y(n24926) ); sky130_fd_sc_hd__o21ai_1 U18906 ( .A1(n24962), .A2(n23480), .B1(n24926), .Y( n15094) ); sky130_fd_sc_hd__o22ai_1 U18907 ( .A1(\lsu/bus_read_data_m [9]), .A2(n15142), .B1(n15139), .B2(n15094), .Y(n14651) ); sky130_fd_sc_hd__a21oi_1 U18908 ( .A1(n15104), .A2(n14652), .B1(n14651), .Y( n14653) ); sky130_fd_sc_hd__o211ai_1 U18909 ( .A1(n15137), .A2(n15106), .B1(n14653), .C1(\lsu/bus_intf/ldst_byteen_m [1]), .Y(n14654) ); sky130_fd_sc_hd__nand2_1 U18910 ( .A(n14919), .B(n14654), .Y(n23962) ); sky130_fd_sc_hd__nand2_1 U18911 ( .A(n15590), .B(n23962), .Y(n15444) ); sky130_fd_sc_hd__nand3_1 U18912 ( .A(n14656), .B(n14655), .C(n15444), .Y( \exu/muldiv_rs1_d [9]) ); sky130_fd_sc_hd__o22ai_1 U18913 ( .A1(n25079), .A2(n24802), .B1(n22551), .B2(n23154), .Y(n14658) ); sky130_fd_sc_hd__a22oi_1 U18914 ( .A1(n14662), .A2(\lsu/store_data_hi_r [16]), .B1(n14661), .B2(n14658), .Y(n14657) ); sky130_fd_sc_hd__o21ai_1 U18915 ( .A1(n25063), .A2(n23125), .B1(n14657), .Y( \lsu/store_data_r [24]) ); sky130_fd_sc_hd__a222oi_1 U18916 ( .A1(n14658), .A2(\lsu/lsu_addr_r [1]), .B1(n14664), .B2(\lsu/store_data_lo_r [16]), .C1(n17657), .C2( \lsu/store_data_lo_r [8]), .Y(n14675) ); sky130_fd_sc_hd__nor2_1 U18917 ( .A(n14675), .B(n14659), .Y( \lsu/store_data_r [8]) ); sky130_fd_sc_hd__o22ai_1 U18918 ( .A1(n25079), .A2(n22527), .B1(n22551), .B2(n24802), .Y(n14660) ); sky130_fd_sc_hd__a222oi_1 U18919 ( .A1(n14660), .A2(\lsu/lsu_addr_r [1]), .B1(n14664), .B2(\lsu/store_data_lo_r [8]), .C1(n17657), .C2( \lsu/store_data_lo_r [0]), .Y(n14669) ); sky130_fd_sc_hd__nor2_1 U18920 ( .A(n14669), .B(n17661), .Y( \lsu/store_data_r [0]) ); sky130_fd_sc_hd__a22oi_1 U18921 ( .A1(n14662), .A2(\lsu/store_data_hi_r [8]), .B1(n14661), .B2(n14660), .Y(n14670) ); sky130_fd_sc_hd__o21ai_1 U18922 ( .A1(n25063), .A2(n23154), .B1(n14670), .Y( \lsu/store_data_r [16]) ); sky130_fd_sc_hd__a22oi_1 U18923 ( .A1(n14664), .A2(\lsu/store_data_r [24]), .B1(n14663), .B2(\lsu/store_data_r [8]), .Y(n14665) ); sky130_fd_sc_hd__o21ai_1 U18924 ( .A1(n25063), .A2(n23154), .B1(n14665), .Y( n15121) ); sky130_fd_sc_hd__a22oi_1 U18925 ( .A1(n14666), .A2(n15121), .B1(n21226), .B2(\lsu/bus_intf/ld_fwddata_buf_hi [0]), .Y(n14667) ); sky130_fd_sc_hd__o21ai_1 U18926 ( .A1(n14669), .A2(n14668), .B1(n14667), .Y( n15159) ); sky130_fd_sc_hd__a22o_1 U18927 ( .A1(n22551), .A2(\lsu/store_data_r [8]), .B1(n25079), .B2(\lsu/store_data_r [0]), .X(n15157) ); sky130_fd_sc_hd__o2bb2ai_1 U18928 ( .B1(n22551), .B2(n14670), .A1_N(n22551), .A2_N(\lsu/store_data_r [24]), .Y(n15156) ); sky130_fd_sc_hd__a22o_1 U18929 ( .A1(\lsu/lsu_addr_r [1]), .A2(n15157), .B1( n22553), .B2(n15156), .X(n14671) ); sky130_fd_sc_hd__o22ai_1 U18930 ( .A1(n21217), .A2( \lsu/bus_intf/ld_fwddata_buf_lo [24]), .B1(n14672), .B2(n14671), .Y( n15117) ); sky130_fd_sc_hd__o2bb2ai_1 U18931 ( .B1(n25027), .B2(n15159), .A1_N(n25027), .A2_N(n15117), .Y(n15335) ); sky130_fd_sc_hd__a22oi_1 U18932 ( .A1(n17657), .A2(\lsu/store_data_r [16]), .B1(n14673), .B2(\lsu/store_data_r [0]), .Y(n14674) ); sky130_fd_sc_hd__o21ai_1 U18933 ( .A1(n14675), .A2(n22523), .B1(n14674), .Y( n15331) ); sky130_fd_sc_hd__a222oi_1 U18934 ( .A1(n15331), .A2(n14677), .B1(n21218), .B2(\lsu/bus_intf/ld_fwddata_buf_lo [16]), .C1(\lsu/store_data_r [24]), .C2(n14676), .Y(n15118) ); sky130_fd_sc_hd__a222oi_1 U18935 ( .A1(n15157), .A2(n14679), .B1(n21219), .B2(\lsu/bus_intf/ld_fwddata_buf_lo [8]), .C1(n14678), .C2(n15156), .Y(n15124) ); sky130_fd_sc_hd__a222oi_1 U18936 ( .A1(n15335), .A2(\lsu/lsu_addr_m [1]), .B1(n25052), .B2(n15118), .C1(n25053), .C2(n15124), .Y( \lsu/bus_read_data_m [8]) ); sky130_fd_sc_hd__nand2_1 U18937 ( .A(n14682), .B(n14681), .Y(n14683) ); sky130_fd_sc_hd__xor2_1 U18938 ( .A(n14684), .B(n14683), .X(n14691) ); sky130_fd_sc_hd__nand2_1 U18939 ( .A(n14687), .B(n14686), .Y(n14689) ); sky130_fd_sc_hd__xnor2_1 U18940 ( .A(n14689), .B(n14688), .Y(n14690) ); sky130_fd_sc_hd__a22o_1 U18941 ( .A1(\exu/i_mul/low_x ), .A2(n14691), .B1( n15563), .B2(n14690), .X(n14692) ); sky130_fd_sc_hd__a22o_1 U18942 ( .A1(\exu/mul_valid_x ), .A2(n14692), .B1( n21710), .B2(\exu/alu_result_x [8]), .X(n23960) ); sky130_fd_sc_hd__a22oi_1 U18943 ( .A1(n15567), .A2(n23960), .B1(n15276), .B2(\dec/decode/i0_result_r [8]), .Y(n14924) ); sky130_fd_sc_hd__a22oi_1 U18944 ( .A1(n15569), .A2(lsu_nonblock_load_data[8]), .B1(n15568), .B2(gpr_i0_rs1_d[8]), .Y(n14923) ); sky130_fd_sc_hd__o22ai_1 U18945 ( .A1(n15899), .A2(n17673), .B1(n15898), .B2(n17672), .Y(n14693) ); sky130_fd_sc_hd__a21oi_1 U18946 ( .A1(n15127), .A2( \lsu/stbuf/stbuf_data[0][8] ), .B1(n14693), .Y(n14696) ); sky130_fd_sc_hd__nand2_1 U18947 ( .A(n15902), .B( \lsu/stbuf/stbuf_data[3][8] ), .Y(n14695) ); sky130_fd_sc_hd__a21oi_1 U18948 ( .A1(n14696), .A2(n14695), .B1(n14694), .Y( n14700) ); sky130_fd_sc_hd__xnor2_1 U18949 ( .A(\pic_ctrl_inst/gw_config_reg[8][0] ), .B(\pic_ctrl_inst/extintsrc_req_sync [8]), .Y(n21306) ); sky130_fd_sc_hd__a2bb2oi_1 U18950 ( .B1(n14910), .B2( \pic_ctrl_inst/picm_wr_data_ff [8]), .A1_N(n16508), .A2_N(n14909), .Y( n20575) ); sky130_fd_sc_hd__o22ai_1 U18951 ( .A1(n20575), .A2(n14698), .B1(n14697), .B2(n23125), .Y(n14699) ); sky130_fd_sc_hd__a211oi_1 U18952 ( .A1(\lsu/store_data_lo_r [8]), .A2(n14701), .B1(n14700), .C1(n14699), .Y(n24931) ); sky130_fd_sc_hd__o21ai_1 U18953 ( .A1(n24989), .A2(n17286), .B1(n24931), .Y( n15138) ); sky130_fd_sc_hd__o21ai_1 U18954 ( .A1(n15137), .A2(n15138), .B1( \lsu/bus_intf/ldst_byteen_m [1]), .Y(n14921) ); sky130_fd_sc_hd__a22oi_1 U18955 ( .A1(n15902), .A2( \lsu/stbuf/stbuf_data[3][16] ), .B1(n15072), .B2( \lsu/stbuf/stbuf_data[2][16] ), .Y(n14702) ); sky130_fd_sc_hd__o21ai_1 U18956 ( .A1(n15899), .A2(n21967), .B1(n14702), .Y( n14703) ); sky130_fd_sc_hd__a21oi_1 U18957 ( .A1(n15127), .A2( \lsu/stbuf/stbuf_data[0][16] ), .B1(n14703), .Y(n14706) ); sky130_fd_sc_hd__xnor2_1 U18958 ( .A(\pic_ctrl_inst/gw_config_reg[16][0] ), .B(\pic_ctrl_inst/extintsrc_req_sync [16]), .Y(n21285) ); sky130_fd_sc_hd__a2bb2oi_1 U18959 ( .B1(n14910), .B2( \pic_ctrl_inst/picm_wr_data_ff [16]), .A1_N(n16479), .A2_N(n14909), .Y(n20547) ); sky130_fd_sc_hd__o22ai_1 U18960 ( .A1(n14706), .A2(n14705), .B1(n20547), .B2(n14704), .Y(n14707) ); sky130_fd_sc_hd__a21oi_1 U18961 ( .A1(n14708), .A2(\lsu/store_data_hi_r [16]), .B1(n14707), .Y(n14709) ); sky130_fd_sc_hd__o21ai_1 U18962 ( .A1(n14710), .A2(n22527), .B1(n14709), .Y( n24933) ); sky130_fd_sc_hd__a21oi_1 U18963 ( .A1(n24983), .A2(\lsu/dccm_rdata_lo_m [16]), .B1(n24933), .Y(n15141) ); sky130_fd_sc_hd__a22oi_1 U18964 ( .A1(n24833), .A2( \lsu/stbuf/stbuf_data[2][0] ), .B1(n24884), .B2( \lsu/stbuf/stbuf_data[3][0] ), .Y(n14711) ); sky130_fd_sc_hd__o21ai_1 U18965 ( .A1(n24889), .A2(n15126), .B1(n14711), .Y( n14712) ); sky130_fd_sc_hd__a21oi_1 U18966 ( .A1(n24847), .A2( \lsu/stbuf/stbuf_data[0][0] ), .B1(n14712), .Y(n14902) ); sky130_fd_sc_hd__a22oi_1 U18967 ( .A1(n14714), .A2( \pic_ctrl_inst/intpriority_reg[12][0] ), .B1(n14713), .B2( \pic_ctrl_inst/intpriority_reg[1][0] ), .Y(n14897) ); sky130_fd_sc_hd__a22oi_1 U18968 ( .A1(n14716), .A2( \pic_ctrl_inst/intpriority_reg[7][0] ), .B1(n14715), .B2( \pic_ctrl_inst/intpriority_reg[9][0] ), .Y(n14896) ); sky130_fd_sc_hd__nand2_1 U18969 ( .A(\pic_ctrl_inst/intpriority_reg[6][0] ), .B(n14717), .Y(n14730) ); sky130_fd_sc_hd__nor2_1 U18970 ( .A(\pic_ctrl_inst/picm_raddr_ff [14]), .B( n14718), .Y(n14725) ); sky130_fd_sc_hd__o21ai_1 U18971 ( .A1(n14720), .A2(n14719), .B1( \pic_ctrl_inst/picm_mken_ff ), .Y(n14721) ); sky130_fd_sc_hd__o21ai_1 U18972 ( .A1(n10878), .A2(n14722), .B1(n14721), .Y( n14724) ); sky130_fd_sc_hd__a31oi_1 U18973 ( .A1(n14726), .A2(n14725), .A3(n14724), .B1(n14723), .Y(n14729) ); sky130_fd_sc_hd__a2bb2oi_1 U18974 ( .B1(n14727), .B2( \pic_ctrl_inst/intpriority_reg[8][0] ), .A1_N(n22787), .A2_N(n14800), .Y(n14728) ); sky130_fd_sc_hd__nand3_1 U18975 ( .A(n14730), .B(n14729), .C(n14728), .Y( n14744) ); sky130_fd_sc_hd__a22oi_1 U18976 ( .A1(n14732), .A2( \pic_ctrl_inst/intpriority_reg[31][0] ), .B1(n14731), .B2( \pic_ctrl_inst/intpriority_reg[20][0] ), .Y(n14742) ); sky130_fd_sc_hd__a22oi_1 U18977 ( .A1(n14734), .A2( \pic_ctrl_inst/intpriority_reg[30][0] ), .B1(n14733), .B2( \pic_ctrl_inst/intpriority_reg[16][0] ), .Y(n14741) ); sky130_fd_sc_hd__a22oi_1 U18978 ( .A1(n14736), .A2( \pic_ctrl_inst/intpriority_reg[26][0] ), .B1(n14735), .B2( \pic_ctrl_inst/intpriority_reg[19][0] ), .Y(n14740) ); sky130_fd_sc_hd__a22oi_1 U18979 ( .A1(n14738), .A2( \pic_ctrl_inst/intpriority_reg[15][0] ), .B1(n14737), .B2( \pic_ctrl_inst/intpriority_reg[11][0] ), .Y(n14739) ); sky130_fd_sc_hd__nand4_1 U18980 ( .A(n14742), .B(n14741), .C(n14740), .D( n14739), .Y(n14743) ); sky130_fd_sc_hd__a211oi_1 U18981 ( .A1(n14745), .A2( \pic_ctrl_inst/intpriority_reg[28][0] ), .B1(n14744), .C1(n14743), .Y( n14895) ); sky130_fd_sc_hd__o22ai_1 U18982 ( .A1(n14804), .A2( \pic_ctrl_inst/gw_config_reg[16][0] ), .B1(n14827), .B2( \pic_ctrl_inst/gw_config_reg[17][0] ), .Y(n14746) ); sky130_fd_sc_hd__o22ai_1 U18983 ( .A1(n14806), .A2(n14746), .B1(n14828), .B2(n22748), .Y(n14747) ); sky130_fd_sc_hd__o22ai_1 U18984 ( .A1(n14830), .A2(n14747), .B1(n14807), .B2(\pic_ctrl_inst/gw_config_reg[19][0] ), .Y(n14771) ); sky130_fd_sc_hd__a22oi_1 U18985 ( .A1(n14749), .A2( \pic_ctrl_inst/gw_config_reg[14][0] ), .B1(n14748), .B2( \pic_ctrl_inst/gw_config_reg[8][0] ), .Y(n14756) ); sky130_fd_sc_hd__a22oi_1 U18986 ( .A1(n14751), .A2( \pic_ctrl_inst/gw_config_reg[12][0] ), .B1(n14750), .B2( \pic_ctrl_inst/gw_config_reg[9][0] ), .Y(n14755) ); sky130_fd_sc_hd__a22oi_1 U18987 ( .A1(\pic_ctrl_inst/gw_config_reg[15][0] ), .A2(n14753), .B1(n14752), .B2(\pic_ctrl_inst/gw_config_reg[13][0] ), .Y(n14754) ); sky130_fd_sc_hd__nand4b_1 U18988 ( .A_N(n14772), .B(n14756), .C(n14755), .D( n14754), .Y(n14768) ); sky130_fd_sc_hd__o22ai_1 U18989 ( .A1(n14828), .A2(n22708), .B1(n14827), .B2(n23066), .Y(n14757) ); sky130_fd_sc_hd__o22ai_1 U18990 ( .A1(n14830), .A2(n14757), .B1(n14807), .B2(\pic_ctrl_inst/gw_config_reg[3][0] ), .Y(n14758) ); sky130_fd_sc_hd__o21ai_1 U18991 ( .A1(n14832), .A2(n22714), .B1(n14758), .Y( n14759) ); sky130_fd_sc_hd__o22ai_1 U18992 ( .A1(n14835), .A2(n14759), .B1(n14833), .B2(\pic_ctrl_inst/gw_config_reg[5][0] ), .Y(n14760) ); sky130_fd_sc_hd__o21ai_1 U18993 ( .A1(n14837), .A2(n22720), .B1(n14760), .Y( n14761) ); sky130_fd_sc_hd__o22ai_1 U18994 ( .A1(n14840), .A2(n14761), .B1(n14838), .B2(\pic_ctrl_inst/gw_config_reg[7][0] ), .Y(n14765) ); sky130_fd_sc_hd__a22oi_1 U18995 ( .A1(\pic_ctrl_inst/gw_config_reg[11][0] ), .A2(n14763), .B1(n14762), .B2(\pic_ctrl_inst/gw_config_reg[10][0] ), .Y(n14764) ); sky130_fd_sc_hd__o21ai_1 U18996 ( .A1(n14766), .A2(n14765), .B1(n14764), .Y( n14767) ); sky130_fd_sc_hd__o22ai_1 U18997 ( .A1(\pic_ctrl_inst/gw_config_reg[20][0] ), .A2(n14769), .B1(n14768), .B2(n14767), .Y(n14770) ); sky130_fd_sc_hd__a31oi_1 U18998 ( .A1(n14772), .A2(n14771), .A3(n14832), .B1(n14770), .Y(n14774) ); sky130_fd_sc_hd__o22ai_1 U18999 ( .A1(n14775), .A2(n14774), .B1(n14773), .B2(\pic_ctrl_inst/gw_config_reg[21][0] ), .Y(n14777) ); sky130_fd_sc_hd__o22ai_1 U19000 ( .A1(n14778), .A2(n14777), .B1(n14776), .B2(n22759), .Y(n14780) ); sky130_fd_sc_hd__o22ai_1 U19001 ( .A1(n14781), .A2(n14780), .B1(n14779), .B2(\pic_ctrl_inst/gw_config_reg[23][0] ), .Y(n14783) ); sky130_fd_sc_hd__o22ai_1 U19002 ( .A1(n14784), .A2(n14783), .B1(n14782), .B2(n22765), .Y(n14786) ); sky130_fd_sc_hd__o22ai_1 U19003 ( .A1(n14787), .A2(n14786), .B1(n14785), .B2(\pic_ctrl_inst/gw_config_reg[25][0] ), .Y(n14789) ); sky130_fd_sc_hd__o22ai_1 U19004 ( .A1(n14790), .A2(n14789), .B1(n14788), .B2(n22771), .Y(n14792) ); sky130_fd_sc_hd__o22ai_1 U19005 ( .A1(n14793), .A2(n14792), .B1(n14791), .B2(\pic_ctrl_inst/gw_config_reg[27][0] ), .Y(n14795) ); sky130_fd_sc_hd__o22ai_1 U19006 ( .A1(n14796), .A2(n14795), .B1(n14794), .B2(n22778), .Y(n14798) ); sky130_fd_sc_hd__o22ai_1 U19007 ( .A1(n14799), .A2(n14798), .B1(n14797), .B2(\pic_ctrl_inst/gw_config_reg[29][0] ), .Y(n14802) ); sky130_fd_sc_hd__o21ai_1 U19008 ( .A1(\pic_ctrl_inst/gw_config_reg[30][0] ), .A2(n14803), .B1(n14800), .Y(n14801) ); sky130_fd_sc_hd__a21oi_1 U19009 ( .A1(n14803), .A2(n14802), .B1(n14801), .Y( n14893) ); sky130_fd_sc_hd__o22ai_1 U19010 ( .A1(n14804), .A2( \pic_ctrl_inst/intenable_reg [16]), .B1(n14827), .B2( \pic_ctrl_inst/intenable_reg [17]), .Y(n14805) ); sky130_fd_sc_hd__o22ai_1 U19011 ( .A1(n14806), .A2(n14805), .B1(n14828), .B2(n16475), .Y(n14808) ); sky130_fd_sc_hd__o22ai_1 U19012 ( .A1(n14830), .A2(n14808), .B1(n14807), .B2(\pic_ctrl_inst/intenable_reg [19]), .Y(n14809) ); sky130_fd_sc_hd__o22ai_1 U19013 ( .A1(n14810), .A2(n14809), .B1(n14832), .B2(n16484), .Y(n14811) ); sky130_fd_sc_hd__o22ai_1 U19014 ( .A1(n14835), .A2(n14811), .B1(n14833), .B2(\pic_ctrl_inst/intenable_reg [21]), .Y(n14812) ); sky130_fd_sc_hd__o22ai_1 U19015 ( .A1(n14813), .A2(n14812), .B1(n14837), .B2(n16480), .Y(n14814) ); sky130_fd_sc_hd__o22ai_1 U19016 ( .A1(n14840), .A2(n14814), .B1(n14838), .B2(\pic_ctrl_inst/intenable_reg [23]), .Y(n14815) ); sky130_fd_sc_hd__o22ai_1 U19017 ( .A1(n14816), .A2(n14815), .B1(n14842), .B2(n16491), .Y(n14817) ); sky130_fd_sc_hd__o22ai_1 U19018 ( .A1(n14845), .A2(n14817), .B1(n14843), .B2(\pic_ctrl_inst/intenable_reg [25]), .Y(n14818) ); sky130_fd_sc_hd__o22ai_1 U19019 ( .A1(n14819), .A2(n14818), .B1(n14847), .B2(n16488), .Y(n14820) ); sky130_fd_sc_hd__o22ai_1 U19020 ( .A1(n14850), .A2(n14820), .B1(n14848), .B2(\pic_ctrl_inst/intenable_reg [27]), .Y(n14821) ); sky130_fd_sc_hd__o22ai_1 U19021 ( .A1(n14822), .A2(n14821), .B1(n14852), .B2(n17326), .Y(n14823) ); sky130_fd_sc_hd__o22ai_1 U19022 ( .A1(n14855), .A2(n14823), .B1(n14853), .B2(\pic_ctrl_inst/intenable_reg [29]), .Y(n14824) ); sky130_fd_sc_hd__o22ai_1 U19023 ( .A1(n14825), .A2(n14824), .B1(n14857), .B2(n16495), .Y(n14826) ); sky130_fd_sc_hd__o22ai_1 U19024 ( .A1(n14860), .A2(n14826), .B1(n14858), .B2(\pic_ctrl_inst/intenable_reg [31]), .Y(n14865) ); sky130_fd_sc_hd__o22ai_1 U19025 ( .A1(n14828), .A2(n17332), .B1(n14827), .B2(n23046), .Y(n14829) ); sky130_fd_sc_hd__a21oi_1 U19026 ( .A1(n14830), .A2( \pic_ctrl_inst/intenable_reg [3]), .B1(n14829), .Y(n14831) ); sky130_fd_sc_hd__o21ai_1 U19027 ( .A1(n14832), .A2(n16516), .B1(n14831), .Y( n14834) ); sky130_fd_sc_hd__o22ai_1 U19028 ( .A1(n14835), .A2(n14834), .B1(n14833), .B2(\pic_ctrl_inst/intenable_reg [5]), .Y(n14836) ); sky130_fd_sc_hd__o21ai_1 U19029 ( .A1(n14837), .A2(n17328), .B1(n14836), .Y( n14839) ); sky130_fd_sc_hd__o22ai_1 U19030 ( .A1(n14840), .A2(n14839), .B1(n14838), .B2(\pic_ctrl_inst/intenable_reg [7]), .Y(n14841) ); sky130_fd_sc_hd__o21ai_1 U19031 ( .A1(n14842), .A2(n16507), .B1(n14841), .Y( n14844) ); sky130_fd_sc_hd__o22ai_1 U19032 ( .A1(n14845), .A2(n14844), .B1(n14843), .B2(\pic_ctrl_inst/intenable_reg [9]), .Y(n14846) ); sky130_fd_sc_hd__o21ai_1 U19033 ( .A1(n14847), .A2(n17321), .B1(n14846), .Y( n14849) ); sky130_fd_sc_hd__o22ai_1 U19034 ( .A1(n14850), .A2(n14849), .B1(n14848), .B2(\pic_ctrl_inst/intenable_reg [11]), .Y(n14851) ); sky130_fd_sc_hd__o21ai_1 U19035 ( .A1(n14852), .A2(n17330), .B1(n14851), .Y( n14854) ); sky130_fd_sc_hd__o22ai_1 U19036 ( .A1(n14855), .A2(n14854), .B1(n14853), .B2(\pic_ctrl_inst/intenable_reg [13]), .Y(n14856) ); sky130_fd_sc_hd__o21ai_1 U19037 ( .A1(n14857), .A2(n17323), .B1(n14856), .Y( n14859) ); sky130_fd_sc_hd__o22ai_1 U19038 ( .A1(n14860), .A2(n14859), .B1(n14858), .B2(\pic_ctrl_inst/intenable_reg [15]), .Y(n14863) ); sky130_fd_sc_hd__nand3_1 U19039 ( .A(\pic_ctrl_inst/picm_raddr_ff [13]), .B( n14862), .C(n14861), .Y(n21549) ); sky130_fd_sc_hd__a221oi_1 U19040 ( .A1(\pic_ctrl_inst/picm_raddr_ff [6]), .A2(n14865), .B1(n14864), .B2(n14863), .C1(n21549), .Y(n14892) ); sky130_fd_sc_hd__a22oi_1 U19041 ( .A1(n14867), .A2( \pic_ctrl_inst/intpriority_reg[23][0] ), .B1(n14866), .B2( \pic_ctrl_inst/intpriority_reg[25][0] ), .Y(n14877) ); sky130_fd_sc_hd__a22oi_1 U19042 ( .A1(n14869), .A2( \pic_ctrl_inst/intpriority_reg[10][0] ), .B1(n14868), .B2( \pic_ctrl_inst/intpriority_reg[3][0] ), .Y(n14876) ); sky130_fd_sc_hd__a22oi_1 U19043 ( .A1(n14871), .A2( \pic_ctrl_inst/intpriority_reg[5][0] ), .B1(n14870), .B2( \pic_ctrl_inst/intpriority_reg[29][0] ), .Y(n14875) ); sky130_fd_sc_hd__a22oi_1 U19044 ( .A1(n14873), .A2( \pic_ctrl_inst/intpriority_reg[18][0] ), .B1(n14872), .B2( \pic_ctrl_inst/intpriority_reg[24][0] ), .Y(n14874) ); sky130_fd_sc_hd__nand4_1 U19045 ( .A(n14877), .B(n14876), .C(n14875), .D( n14874), .Y(n14891) ); sky130_fd_sc_hd__a22oi_1 U19046 ( .A1(n14879), .A2( \pic_ctrl_inst/intpriority_reg[13][0] ), .B1(n14878), .B2( \pic_ctrl_inst/intpriority_reg[4][0] ), .Y(n14889) ); sky130_fd_sc_hd__a22oi_1 U19047 ( .A1(n14881), .A2( \pic_ctrl_inst/intpriority_reg[17][0] ), .B1(n14880), .B2( \pic_ctrl_inst/intpriority_reg[14][0] ), .Y(n14888) ); sky130_fd_sc_hd__a22oi_1 U19048 ( .A1(n14883), .A2( \pic_ctrl_inst/intpriority_reg[2][0] ), .B1(n14882), .B2( \pic_ctrl_inst/intpriority_reg[22][0] ), .Y(n14887) ); sky130_fd_sc_hd__a22oi_1 U19049 ( .A1(n14885), .A2( \pic_ctrl_inst/intpriority_reg[27][0] ), .B1(n14884), .B2( \pic_ctrl_inst/intpriority_reg[21][0] ), .Y(n14886) ); sky130_fd_sc_hd__nand4_1 U19050 ( .A(n14889), .B(n14888), .C(n14887), .D( n14886), .Y(n14890) ); sky130_fd_sc_hd__nor4_1 U19051 ( .A(n14893), .B(n14892), .C(n14891), .D( n14890), .Y(n14894) ); sky130_fd_sc_hd__nand4_1 U19052 ( .A(n14897), .B(n14896), .C(n14895), .D( n14894), .Y(n14898) ); sky130_fd_sc_hd__o21ai_1 U19053 ( .A1(\pic_ctrl_inst/picm_wr_data_ff [0]), .A2(n14899), .B1(n14898), .Y(n20689) ); sky130_fd_sc_hd__o22ai_1 U19054 ( .A1(n14902), .A2(n14901), .B1(n14900), .B2(n20689), .Y(n14903) ); sky130_fd_sc_hd__a21oi_1 U19055 ( .A1(n14904), .A2(\lsu/store_data_lo_r [0]), .B1(n14903), .Y(n14905) ); sky130_fd_sc_hd__o21ai_1 U19056 ( .A1(n14906), .A2(n23154), .B1(n14905), .Y( n24930) ); sky130_fd_sc_hd__a21oi_1 U19057 ( .A1(n25004), .A2(\lsu/dccm_rdata_hi_m [0]), .B1(n24930), .Y(n15180) ); sky130_fd_sc_hd__a22oi_1 U19058 ( .A1(n15902), .A2( \lsu/stbuf/stbuf_data[3][24] ), .B1(n15127), .B2( \lsu/stbuf/stbuf_data[0][24] ), .Y(n14907) ); sky130_fd_sc_hd__o21ai_1 U19059 ( .A1(n15899), .A2(n24804), .B1(n14907), .Y( n14908) ); sky130_fd_sc_hd__a21oi_1 U19060 ( .A1(n15072), .A2( \lsu/stbuf/stbuf_data[2][24] ), .B1(n14908), .Y(n14913) ); sky130_fd_sc_hd__xor2_1 U19061 ( .A(n22765), .B( \pic_ctrl_inst/extintsrc_req_sync [24]), .X(n21261) ); sky130_fd_sc_hd__a2bb2oi_1 U19062 ( .B1(n14910), .B2( \pic_ctrl_inst/picm_wr_data_ff [24]), .A1_N(n16492), .A2_N(n14909), .Y(n24812) ); sky130_fd_sc_hd__o22ai_1 U19063 ( .A1(n14913), .A2(n14912), .B1(n24812), .B2(n14911), .Y(n14914) ); sky130_fd_sc_hd__a21oi_1 U19064 ( .A1(n14915), .A2(\lsu/store_data_hi_r [24]), .B1(n14914), .Y(n14916) ); sky130_fd_sc_hd__o21ai_1 U19065 ( .A1(n14917), .A2(n24802), .B1(n14916), .Y( n24929) ); sky130_fd_sc_hd__a21oi_1 U19066 ( .A1(n24985), .A2(\lsu/dccm_rdata_lo_m [24]), .B1(n24929), .Y(n15140) ); sky130_fd_sc_hd__a22o_1 U19067 ( .A1(\lsu/lsu_addr_m [0]), .A2(n15180), .B1( n23539), .B2(n15140), .X(n15358) ); sky130_fd_sc_hd__a22oi_1 U19068 ( .A1(n15037), .A2(n15141), .B1(n15104), .B2(n15358), .Y(n14918) ); sky130_fd_sc_hd__o21ai_1 U19069 ( .A1(\lsu/bus_read_data_m [8]), .A2(n15142), .B1(n14918), .Y(n14920) ); sky130_fd_sc_hd__o21ai_1 U19070 ( .A1(n14921), .A2(n14920), .B1(n14919), .Y( n23959) ); sky130_fd_sc_hd__nand2_1 U19071 ( .A(n15590), .B(n23959), .Y(n14922) ); sky130_fd_sc_hd__nand3_1 U19072 ( .A(n14924), .B(n14923), .C(n14922), .Y( \exu/muldiv_rs1_d [8]) ); sky130_fd_sc_hd__nor2_1 U19073 ( .A(n14925), .B(n15035), .Y(n23803) ); sky130_fd_sc_hd__nand2_1 U19074 ( .A(n12568), .B(n14926), .Y(n14927) ); sky130_fd_sc_hd__xnor2_1 U19075 ( .A(n14928), .B(n14927), .Y(n14933) ); sky130_fd_sc_hd__fa_2 U19076 ( .A(n14931), .B(n14930), .CIN(n14929), .COUT( n14688), .SUM(n14932) ); sky130_fd_sc_hd__a22o_1 U19077 ( .A1(\exu/i_mul/low_x ), .A2(n14933), .B1( n15563), .B2(n14932), .X(n14934) ); sky130_fd_sc_hd__a22oi_1 U19078 ( .A1(\exu/mul_valid_x ), .A2(n14934), .B1( n21710), .B2(\exu/alu_result_x [7]), .Y(n23958) ); sky130_fd_sc_hd__a22oi_1 U19079 ( .A1(n15567), .A2(n16111), .B1(n15276), .B2(\dec/decode/i0_result_r [7]), .Y(n14936) ); sky130_fd_sc_hd__a22oi_1 U19080 ( .A1(n15569), .A2(lsu_nonblock_load_data[7]), .B1(n15568), .B2(gpr_i0_rs1_d[7]), .Y(n14935) ); sky130_fd_sc_hd__o211ai_1 U19081 ( .A1(n15534), .A2(n23957), .B1(n14936), .C1(n14935), .Y(\exu/muldiv_rs1_d [7]) ); sky130_fd_sc_hd__o22ai_1 U19082 ( .A1(\lsu/lsu_addr_m [0]), .A2(n14938), .B1(n25027), .B2(n14937), .Y(n15285) ); sky130_fd_sc_hd__a222oi_1 U19083 ( .A1(n14939), .A2(n15120), .B1(n21216), .B2(\lsu/bus_intf/ld_fwddata_buf_lo [6]), .C1(n15119), .C2( \lsu/store_data_r [6]), .Y(n14940) ); sky130_fd_sc_hd__o22ai_1 U19084 ( .A1(n14941), .A2(n23768), .B1(n14940), .B2(n15122), .Y(n14942) ); sky130_fd_sc_hd__a21o_1 U19085 ( .A1(\lsu/lsu_addr_m [1]), .A2(n15285), .B1( n14942), .X(\lsu/bus_read_data_m [6]) ); sky130_fd_sc_hd__nand2_1 U19086 ( .A(n14945), .B(n14944), .Y(n14947) ); sky130_fd_sc_hd__xor2_1 U19087 ( .A(n14947), .B(n14946), .X(n14952) ); sky130_fd_sc_hd__fa_2 U19088 ( .A(n14950), .B(n14949), .CIN(n14948), .COUT( n14929), .SUM(n14951) ); sky130_fd_sc_hd__a22o_1 U19089 ( .A1(\exu/i_mul/low_x ), .A2(n14952), .B1( n15563), .B2(n14951), .X(n14953) ); sky130_fd_sc_hd__a22oi_1 U19090 ( .A1(\exu/mul_valid_x ), .A2(n14953), .B1( n21710), .B2(\exu/alu_result_x [6]), .Y(n23956) ); sky130_fd_sc_hd__a22oi_1 U19091 ( .A1(n15567), .A2(n16115), .B1(n15568), .B2(gpr_i0_rs1_d[6]), .Y(n14968) ); sky130_fd_sc_hd__o22ai_1 U19092 ( .A1(n15899), .A2(n14954), .B1(n15901), .B2(n17684), .Y(n14956) ); sky130_fd_sc_hd__a22o_1 U19093 ( .A1(n15072), .A2( \lsu/stbuf/stbuf_data[2][6] ), .B1(n15902), .B2( \lsu/stbuf/stbuf_data[3][6] ), .X(n14955) ); sky130_fd_sc_hd__nor2_1 U19094 ( .A(n14956), .B(n14955), .Y(n14957) ); sky130_fd_sc_hd__o22ai_1 U19095 ( .A1(n20603), .A2(n15130), .B1(n14957), .B2(n15131), .Y(n14958) ); sky130_fd_sc_hd__a21oi_1 U19096 ( .A1(n15134), .A2(\lsu/store_data_lo_r [6]), .B1(n14958), .Y(n14959) ); sky130_fd_sc_hd__o21ai_1 U19097 ( .A1(n15136), .A2(n25058), .B1(n14959), .Y( n24945) ); sky130_fd_sc_hd__a21oi_1 U19098 ( .A1(n25051), .A2(\lsu/dccm_rdata_lo_m [6]), .B1(n24945), .Y(n14960) ); sky130_fd_sc_hd__a21oi_1 U19099 ( .A1(n15101), .A2(n14960), .B1(n15035), .Y( n14965) ); sky130_fd_sc_hd__a22o_1 U19100 ( .A1(\lsu/lsu_addr_m [0]), .A2(n14962), .B1( n23539), .B2(n14961), .X(n15307) ); sky130_fd_sc_hd__a22oi_1 U19101 ( .A1(n15037), .A2(n14963), .B1(n15104), .B2(n15307), .Y(n14964) ); sky130_fd_sc_hd__o211ai_1 U19102 ( .A1(n15142), .A2(\lsu/bus_read_data_m [6]), .B1(n14965), .C1(n14964), .Y(n23955) ); sky130_fd_sc_hd__o22ai_1 U19103 ( .A1(n15534), .A2(n23955), .B1(n15328), .B2(n17223), .Y(n14966) ); sky130_fd_sc_hd__a21oi_1 U19104 ( .A1(n15569), .A2(lsu_nonblock_load_data[6]), .B1(n14966), .Y(n14967) ); sky130_fd_sc_hd__nand2_1 U19105 ( .A(n14968), .B(n14967), .Y( \exu/muldiv_rs1_d [6]) ); sky130_fd_sc_hd__o22ai_1 U19106 ( .A1(\lsu/lsu_addr_m [0]), .A2(n14970), .B1(n25027), .B2(n14969), .Y(n15313) ); sky130_fd_sc_hd__a222oi_1 U19107 ( .A1(n14971), .A2(n15120), .B1(n21216), .B2(\lsu/bus_intf/ld_fwddata_buf_lo [5]), .C1(n15119), .C2( \lsu/store_data_r [5]), .Y(n14972) ); sky130_fd_sc_hd__o22ai_1 U19108 ( .A1(n14973), .A2(n23768), .B1(n14972), .B2(n15122), .Y(n14974) ); sky130_fd_sc_hd__a21o_1 U19109 ( .A1(\lsu/lsu_addr_m [1]), .A2(n15313), .B1( n14974), .X(\lsu/bus_read_data_m [5]) ); sky130_fd_sc_hd__nand2_1 U19110 ( .A(n12532), .B(n14975), .Y(n14976) ); sky130_fd_sc_hd__xnor2_1 U19111 ( .A(n14977), .B(n14976), .Y(n14982) ); sky130_fd_sc_hd__fa_2 U19112 ( .A(n14980), .B(n14979), .CIN(n14978), .COUT( n14948), .SUM(n14981) ); sky130_fd_sc_hd__a22o_1 U19113 ( .A1(\exu/i_mul/low_x ), .A2(n14982), .B1( n15563), .B2(n14981), .X(n14983) ); sky130_fd_sc_hd__a22oi_1 U19114 ( .A1(\exu/mul_valid_x ), .A2(n14983), .B1( n21710), .B2(\exu/alu_result_x [5]), .Y(n23954) ); sky130_fd_sc_hd__a22oi_1 U19115 ( .A1(n15567), .A2(n16119), .B1(n15568), .B2(gpr_i0_rs1_d[5]), .Y(n14998) ); sky130_fd_sc_hd__a22o_1 U19116 ( .A1(n15073), .A2( \lsu/stbuf/stbuf_data[1][5] ), .B1(n15902), .B2( \lsu/stbuf/stbuf_data[3][5] ), .X(n14985) ); sky130_fd_sc_hd__a22o_1 U19117 ( .A1(n15072), .A2( \lsu/stbuf/stbuf_data[2][5] ), .B1(n15127), .B2( \lsu/stbuf/stbuf_data[0][5] ), .X(n14984) ); sky130_fd_sc_hd__nor2_1 U19118 ( .A(n14985), .B(n14984), .Y(n14986) ); sky130_fd_sc_hd__o22ai_1 U19119 ( .A1(n14987), .A2(n15130), .B1(n14986), .B2(n15131), .Y(n14988) ); sky130_fd_sc_hd__a21oi_1 U19120 ( .A1(n15134), .A2(\lsu/store_data_lo_r [5]), .B1(n14988), .Y(n14989) ); sky130_fd_sc_hd__o21ai_1 U19121 ( .A1(n15136), .A2(n23163), .B1(n14989), .Y( n24950) ); sky130_fd_sc_hd__a211oi_1 U19122 ( .A1(n25051), .A2(\lsu/dccm_rdata_lo_m [5]), .B1(n15137), .C1(n24950), .Y(n14993) ); sky130_fd_sc_hd__o22ai_1 U19123 ( .A1(\lsu/lsu_addr_m [0]), .A2(n14991), .B1(n25027), .B2(n14990), .Y(n15316) ); sky130_fd_sc_hd__o22ai_1 U19124 ( .A1(n15316), .A2(n15143), .B1(n15142), .B2(\lsu/bus_read_data_m [5]), .Y(n14992) ); sky130_fd_sc_hd__nor3_1 U19125 ( .A(n15035), .B(n14993), .C(n14992), .Y( n14994) ); sky130_fd_sc_hd__o21ai_1 U19126 ( .A1(n15139), .A2(n14995), .B1(n14994), .Y( n23953) ); sky130_fd_sc_hd__o22ai_1 U19127 ( .A1(n15534), .A2(n23953), .B1(n15328), .B2(n17221), .Y(n14996) ); sky130_fd_sc_hd__a21oi_1 U19128 ( .A1(n15569), .A2(lsu_nonblock_load_data[5]), .B1(n14996), .Y(n14997) ); sky130_fd_sc_hd__nand2_1 U19129 ( .A(n14998), .B(n14997), .Y( \exu/muldiv_rs1_d [5]) ); sky130_fd_sc_hd__o22ai_1 U19130 ( .A1(\lsu/lsu_addr_m [0]), .A2(n15000), .B1(n25027), .B2(n14999), .Y(n15255) ); sky130_fd_sc_hd__a222oi_1 U19131 ( .A1(n15001), .A2(n15120), .B1(n21216), .B2(\lsu/bus_intf/ld_fwddata_buf_lo [4]), .C1(n15119), .C2( \lsu/store_data_r [4]), .Y(n15002) ); sky130_fd_sc_hd__o22ai_1 U19132 ( .A1(n15003), .A2(n23768), .B1(n15002), .B2(n15122), .Y(n15004) ); sky130_fd_sc_hd__a21o_1 U19133 ( .A1(\lsu/lsu_addr_m [1]), .A2(n15255), .B1( n15004), .X(\lsu/bus_read_data_m [4]) ); sky130_fd_sc_hd__nand2_1 U19134 ( .A(n15007), .B(n15006), .Y(n15009) ); sky130_fd_sc_hd__xor2_1 U19135 ( .A(n15009), .B(n15008), .X(n15013) ); sky130_fd_sc_hd__fa_2 U19136 ( .A(n15011), .B(n15010), .CIN(n13724), .COUT( n14978), .SUM(n15012) ); sky130_fd_sc_hd__a22o_1 U19137 ( .A1(\exu/i_mul/low_x ), .A2(n15013), .B1( n15563), .B2(n15012), .X(n15014) ); sky130_fd_sc_hd__o22ai_1 U19138 ( .A1(\exu/mul_valid_x ), .A2( \exu/alu_result_x [4]), .B1(n21710), .B2(n15014), .Y(n23952) ); sky130_fd_sc_hd__a22o_1 U19139 ( .A1(n15902), .A2( \lsu/stbuf/stbuf_data[3][4] ), .B1(n15072), .B2( \lsu/stbuf/stbuf_data[2][4] ), .X(n15016) ); sky130_fd_sc_hd__a22o_1 U19140 ( .A1(n15073), .A2( \lsu/stbuf/stbuf_data[1][4] ), .B1(n15127), .B2( \lsu/stbuf/stbuf_data[0][4] ), .X(n15015) ); sky130_fd_sc_hd__nor2_1 U19141 ( .A(n15016), .B(n15015), .Y(n15020) ); sky130_fd_sc_hd__o22ai_1 U19142 ( .A1(n15017), .A2(n15130), .B1(n15136), .B2(n23161), .Y(n15018) ); sky130_fd_sc_hd__a21oi_1 U19143 ( .A1(n15134), .A2(\lsu/store_data_lo_r [4]), .B1(n15018), .Y(n15019) ); sky130_fd_sc_hd__o21ai_1 U19144 ( .A1(n15131), .A2(n15020), .B1(n15019), .Y( n24956) ); sky130_fd_sc_hd__o21ai_1 U19145 ( .A1(n24939), .A2(n17737), .B1(n15101), .Y( n15026) ); sky130_fd_sc_hd__a22o_1 U19146 ( .A1(\lsu/lsu_addr_m [0]), .A2(n15022), .B1( n23539), .B2(n15021), .X(n15275) ); sky130_fd_sc_hd__o22ai_1 U19147 ( .A1(n15142), .A2(\lsu/bus_read_data_m [4]), .B1(n15139), .B2(n15023), .Y(n15024) ); sky130_fd_sc_hd__a21oi_1 U19148 ( .A1(n15104), .A2(n15275), .B1(n15024), .Y( n15025) ); sky130_fd_sc_hd__o211ai_1 U19149 ( .A1(n24956), .A2(n15026), .B1(n15025), .C1(\lsu/bus_intf/ldst_byteen_m [0]), .Y(n23951) ); sky130_fd_sc_hd__nor2_1 U19150 ( .A(n15534), .B(n23951), .Y(n15451) ); sky130_fd_sc_hd__a21oi_1 U19151 ( .A1(n15276), .A2( \dec/decode/i0_result_r [4]), .B1(n15451), .Y(n15028) ); sky130_fd_sc_hd__a22oi_1 U19152 ( .A1(n15569), .A2(lsu_nonblock_load_data[4]), .B1(n15568), .B2(gpr_i0_rs1_d[4]), .Y(n15027) ); sky130_fd_sc_hd__o211ai_1 U19153 ( .A1(n23952), .A2(n15418), .B1(n15028), .C1(n15027), .Y(\exu/muldiv_rs1_d [4]) ); sky130_fd_sc_hd__o22ai_1 U19154 ( .A1(\lsu/lsu_addr_m [0]), .A2(n15030), .B1(n25027), .B2(n15029), .Y(n15233) ); sky130_fd_sc_hd__a222oi_1 U19155 ( .A1(n15031), .A2(n15120), .B1(n21216), .B2(\lsu/bus_intf/ld_fwddata_buf_lo [3]), .C1(n15119), .C2( \lsu/store_data_r [3]), .Y(n15032) ); sky130_fd_sc_hd__o22ai_1 U19156 ( .A1(n15033), .A2(n23768), .B1(n15032), .B2(n15122), .Y(n15034) ); sky130_fd_sc_hd__a21o_1 U19157 ( .A1(\lsu/lsu_addr_m [1]), .A2(n15233), .B1( n15034), .X(\lsu/bus_read_data_m [3]) ); sky130_fd_sc_hd__a21oi_1 U19158 ( .A1(n15037), .A2(n15036), .B1(n15035), .Y( n15047) ); sky130_fd_sc_hd__o22ai_1 U19159 ( .A1(\lsu/lsu_addr_m [0]), .A2(n15039), .B1(n25027), .B2(n15038), .Y(n15247) ); sky130_fd_sc_hd__a22o_1 U19160 ( .A1(n15073), .A2( \lsu/stbuf/stbuf_data[1][3] ), .B1(n15902), .B2( \lsu/stbuf/stbuf_data[3][3] ), .X(n15041) ); sky130_fd_sc_hd__a22o_1 U19161 ( .A1(n15127), .A2( \lsu/stbuf/stbuf_data[0][3] ), .B1(n15072), .B2( \lsu/stbuf/stbuf_data[2][3] ), .X(n15040) ); sky130_fd_sc_hd__nor2_1 U19162 ( .A(n15041), .B(n15040), .Y(n15042) ); sky130_fd_sc_hd__o22ai_1 U19163 ( .A1(n15042), .A2(n15131), .B1(n15130), .B2(n20635), .Y(n15043) ); sky130_fd_sc_hd__a21oi_1 U19164 ( .A1(n15134), .A2(\lsu/store_data_lo_r [3]), .B1(n15043), .Y(n15044) ); sky130_fd_sc_hd__o21ai_1 U19165 ( .A1(n15136), .A2(n23159), .B1(n15044), .Y( n24966) ); sky130_fd_sc_hd__a211oi_1 U19166 ( .A1(n25051), .A2(\lsu/dccm_rdata_lo_m [3]), .B1(n15137), .C1(n24966), .Y(n15045) ); sky130_fd_sc_hd__a21oi_1 U19167 ( .A1(n15104), .A2(n15247), .B1(n15045), .Y( n15046) ); sky130_fd_sc_hd__o211ai_1 U19168 ( .A1(n15142), .A2(\lsu/bus_read_data_m [3]), .B1(n15047), .C1(n15046), .Y(n23949) ); sky130_fd_sc_hd__nand2_1 U19169 ( .A(n12536), .B(n15048), .Y(n15049) ); sky130_fd_sc_hd__xnor2_1 U19170 ( .A(n15050), .B(n15049), .Y(n15055) ); sky130_fd_sc_hd__nand2_1 U19171 ( .A(n10961), .B(n15051), .Y(n15053) ); sky130_fd_sc_hd__xnor2_1 U19172 ( .A(n15053), .B(n15052), .Y(n15054) ); sky130_fd_sc_hd__a22o_1 U19173 ( .A1(\exu/i_mul/low_x ), .A2(n15055), .B1( n15563), .B2(n15054), .X(n15056) ); sky130_fd_sc_hd__a22oi_1 U19174 ( .A1(\exu/mul_valid_x ), .A2(n15056), .B1( n21710), .B2(\exu/alu_result_x [3]), .Y(n23950) ); sky130_fd_sc_hd__a22oi_1 U19175 ( .A1(n15567), .A2(n16128), .B1(n15566), .B2(\dec/decode/i0_result_r [3]), .Y(n15058) ); sky130_fd_sc_hd__a22oi_1 U19176 ( .A1(n15569), .A2(lsu_nonblock_load_data[3]), .B1(n15568), .B2(gpr_i0_rs1_d[3]), .Y(n15057) ); sky130_fd_sc_hd__o211ai_1 U19177 ( .A1(n15534), .A2(n23949), .B1(n15058), .C1(n15057), .Y(\exu/muldiv_rs1_d [3]) ); sky130_fd_sc_hd__a222oi_1 U19178 ( .A1(n15059), .A2(n15120), .B1(n21216), .B2(\lsu/bus_intf/ld_fwddata_buf_lo [2]), .C1(n15119), .C2( \lsu/store_data_r [2]), .Y(n15064) ); sky130_fd_sc_hd__o22ai_1 U19179 ( .A1(\lsu/lsu_addr_m [0]), .A2(n15061), .B1(n25027), .B2(n15060), .Y(n15187) ); sky130_fd_sc_hd__a22oi_1 U19180 ( .A1(\lsu/lsu_addr_m [1]), .A2(n15187), .B1(n25052), .B2(n15062), .Y(n15063) ); sky130_fd_sc_hd__o21ai_1 U19181 ( .A1(n15064), .A2(n15122), .B1(n15063), .Y( \lsu/bus_read_data_m [2]) ); sky130_fd_sc_hd__xor2_1 U19182 ( .A(n10950), .B(n15065), .X(n15070) ); sky130_fd_sc_hd__fa_2 U19183 ( .A(n15068), .B(n15067), .CIN(n15066), .COUT( n15052), .SUM(n15069) ); sky130_fd_sc_hd__a22o_1 U19184 ( .A1(\exu/i_mul/low_x ), .A2(n15070), .B1( n15563), .B2(n15069), .X(n15071) ); sky130_fd_sc_hd__a22oi_1 U19185 ( .A1(\exu/mul_valid_x ), .A2(n15071), .B1( n21710), .B2(\exu/alu_result_x [2]), .Y(n23948) ); sky130_fd_sc_hd__a22oi_1 U19186 ( .A1(n15567), .A2(n16132), .B1(n15566), .B2(\dec/decode/i0_result_r [2]), .Y(n15086) ); sky130_fd_sc_hd__a22oi_1 U19187 ( .A1(n15569), .A2(lsu_nonblock_load_data[2]), .B1(n15568), .B2(gpr_i0_rs1_d[2]), .Y(n15085) ); sky130_fd_sc_hd__a22o_1 U19188 ( .A1(n15902), .A2( \lsu/stbuf/stbuf_data[3][2] ), .B1(n15072), .B2( \lsu/stbuf/stbuf_data[2][2] ), .X(n15075) ); sky130_fd_sc_hd__a22o_1 U19189 ( .A1(n15073), .A2( \lsu/stbuf/stbuf_data[1][2] ), .B1(n15127), .B2( \lsu/stbuf/stbuf_data[0][2] ), .X(n15074) ); sky130_fd_sc_hd__nor2_1 U19190 ( .A(n15075), .B(n15074), .Y(n15078) ); sky130_fd_sc_hd__o22ai_1 U19191 ( .A1(n15136), .A2(n23157), .B1(n15130), .B2(n20570), .Y(n15076) ); sky130_fd_sc_hd__a21oi_1 U19192 ( .A1(n15134), .A2(\lsu/store_data_lo_r [2]), .B1(n15076), .Y(n15077) ); sky130_fd_sc_hd__o21ai_1 U19193 ( .A1(n15131), .A2(n15078), .B1(n15077), .Y( n24986) ); sky130_fd_sc_hd__a211oi_1 U19194 ( .A1(n25051), .A2(\lsu/dccm_rdata_lo_m [2]), .B1(n15137), .C1(n24986), .Y(n15084) ); sky130_fd_sc_hd__o21ai_1 U19195 ( .A1(n15139), .A2(n15079), .B1( \lsu/bus_intf/ldst_byteen_m [0]), .Y(n15083) ); sky130_fd_sc_hd__o22ai_1 U19196 ( .A1(\lsu/lsu_addr_m [0]), .A2(n15081), .B1(n25027), .B2(n15080), .Y(n15208) ); sky130_fd_sc_hd__o22ai_1 U19197 ( .A1(n15208), .A2(n15143), .B1(n15142), .B2(\lsu/bus_read_data_m [2]), .Y(n15082) ); sky130_fd_sc_hd__nor3_1 U19198 ( .A(n15084), .B(n15083), .C(n15082), .Y( n23785) ); sky130_fd_sc_hd__nand2_1 U19199 ( .A(n15590), .B(n23785), .Y(n15456) ); sky130_fd_sc_hd__nand3_1 U19200 ( .A(n15086), .B(n15085), .C(n15456), .Y( \exu/muldiv_rs1_d [2]) ); sky130_fd_sc_hd__o22ai_1 U19201 ( .A1(\lsu/lsu_addr_m [0]), .A2(n15088), .B1(n25027), .B2(n15087), .Y(n15213) ); sky130_fd_sc_hd__a222oi_1 U19202 ( .A1(n15089), .A2(n15120), .B1(n21216), .B2(\lsu/bus_intf/ld_fwddata_buf_lo [1]), .C1(n15119), .C2( \lsu/store_data_r [1]), .Y(n15091) ); sky130_fd_sc_hd__o22ai_1 U19203 ( .A1(n15091), .A2(n15122), .B1(n15090), .B2(n23768), .Y(n15092) ); sky130_fd_sc_hd__o22ai_1 U19204 ( .A1(\lsu/lsu_addr_m [0]), .A2(n15094), .B1(n25027), .B2(n15093), .Y(n15227) ); sky130_fd_sc_hd__a22o_1 U19205 ( .A1(n15902), .A2( \lsu/stbuf/stbuf_data[3][1] ), .B1(n15127), .B2( \lsu/stbuf/stbuf_data[0][1] ), .X(n15097) ); sky130_fd_sc_hd__o22ai_1 U19206 ( .A1(n15899), .A2(n15095), .B1(n15898), .B2(n17690), .Y(n15096) ); sky130_fd_sc_hd__nor2_1 U19207 ( .A(n15097), .B(n15096), .Y(n15100) ); sky130_fd_sc_hd__o22ai_1 U19208 ( .A1(n15136), .A2(n25062), .B1(n15130), .B2(n20502), .Y(n15098) ); sky130_fd_sc_hd__a21oi_1 U19209 ( .A1(n15134), .A2(\lsu/store_data_lo_r [1]), .B1(n15098), .Y(n15099) ); sky130_fd_sc_hd__o21ai_1 U19210 ( .A1(n15131), .A2(n15100), .B1(n15099), .Y( n25026) ); sky130_fd_sc_hd__o21ai_1 U19211 ( .A1(n24939), .A2(n17287), .B1(n15101), .Y( n15102) ); sky130_fd_sc_hd__o22ai_1 U19212 ( .A1(n15142), .A2(\lsu/bus_read_data_m [1]), .B1(n25026), .B2(n15102), .Y(n15103) ); sky130_fd_sc_hd__a21oi_1 U19213 ( .A1(n15104), .A2(n15227), .B1(n15103), .Y( n15105) ); sky130_fd_sc_hd__o211ai_1 U19214 ( .A1(n15139), .A2(n15106), .B1(n15105), .C1(\lsu/bus_intf/ldst_byteen_m [0]), .Y(n23945) ); sky130_fd_sc_hd__xnor2_1 U19215 ( .A(n10902), .B(n15108), .Y(n15113) ); sky130_fd_sc_hd__fa_2 U19216 ( .A(n15111), .B(n15110), .CIN(n15109), .COUT( n15066), .SUM(n15112) ); sky130_fd_sc_hd__a22o_1 U19217 ( .A1(\exu/i_mul/low_x ), .A2(n15113), .B1( n15563), .B2(n15112), .X(n15114) ); sky130_fd_sc_hd__a22oi_1 U19218 ( .A1(\exu/mul_valid_x ), .A2(n15114), .B1( n21710), .B2(\exu/alu_result_x [1]), .Y(n23946) ); sky130_fd_sc_hd__a22oi_1 U19219 ( .A1(n15567), .A2(n15989), .B1(n15566), .B2(\dec/decode/i0_result_r [1]), .Y(n15116) ); sky130_fd_sc_hd__a22oi_1 U19220 ( .A1(n15569), .A2(lsu_nonblock_load_data[1]), .B1(n15568), .B2(gpr_i0_rs1_d[1]), .Y(n15115) ); sky130_fd_sc_hd__o211ai_1 U19221 ( .A1(n15534), .A2(n23945), .B1(n15116), .C1(n15115), .Y(\exu/muldiv_rs1_d [1]) ); sky130_fd_sc_hd__o22ai_1 U19222 ( .A1(\lsu/lsu_addr_m [0]), .A2(n15118), .B1(n25027), .B2(n15117), .Y(n15158) ); sky130_fd_sc_hd__a222oi_1 U19223 ( .A1(n15121), .A2(n15120), .B1(n21216), .B2(\lsu/bus_intf/ld_fwddata_buf_lo [0]), .C1(n15119), .C2( \lsu/store_data_r [0]), .Y(n15123) ); sky130_fd_sc_hd__o22ai_1 U19224 ( .A1(n15124), .A2(n23768), .B1(n15123), .B2(n15122), .Y(n15125) ); sky130_fd_sc_hd__a21o_1 U19225 ( .A1(\lsu/lsu_addr_m [1]), .A2(n15158), .B1( n15125), .X(\lsu/bus_read_data_m [0]) ); sky130_fd_sc_hd__o22ai_1 U19226 ( .A1(n15899), .A2(n15126), .B1(n15898), .B2(n17687), .Y(n15129) ); sky130_fd_sc_hd__a22o_1 U19227 ( .A1(n15902), .A2( \lsu/stbuf/stbuf_data[3][0] ), .B1(n15127), .B2( \lsu/stbuf/stbuf_data[0][0] ), .X(n15128) ); sky130_fd_sc_hd__nor2_1 U19228 ( .A(n15129), .B(n15128), .Y(n15132) ); sky130_fd_sc_hd__o22ai_1 U19229 ( .A1(n15132), .A2(n15131), .B1(n15130), .B2(n20689), .Y(n15133) ); sky130_fd_sc_hd__a21oi_1 U19230 ( .A1(n15134), .A2(\lsu/store_data_lo_r [0]), .B1(n15133), .Y(n15135) ); sky130_fd_sc_hd__o21ai_1 U19231 ( .A1(n15136), .A2(n23154), .B1(n15135), .Y( n25050) ); sky130_fd_sc_hd__a211oi_1 U19232 ( .A1(n25051), .A2(\lsu/dccm_rdata_lo_m [0]), .B1(n15137), .C1(n25050), .Y(n15146) ); sky130_fd_sc_hd__o21ai_1 U19233 ( .A1(n15139), .A2(n15138), .B1( \lsu/bus_intf/ldst_byteen_m [0]), .Y(n15145) ); sky130_fd_sc_hd__o22ai_1 U19234 ( .A1(\lsu/lsu_addr_m [0]), .A2(n15141), .B1(n25027), .B2(n15140), .Y(n15178) ); sky130_fd_sc_hd__o22ai_1 U19235 ( .A1(n15178), .A2(n15143), .B1(n15142), .B2(\lsu/bus_read_data_m [0]), .Y(n15144) ); sky130_fd_sc_hd__nor3_1 U19236 ( .A(n15146), .B(n15145), .C(n15144), .Y( n23943) ); sky130_fd_sc_hd__a22oi_1 U19237 ( .A1(n15590), .A2(n23943), .B1(n15566), .B2(\dec/decode/i0_result_r [0]), .Y(n15155) ); sky130_fd_sc_hd__fa_2 U19238 ( .A(n15150), .B(n15149), .CIN(n15148), .COUT( n15109), .SUM(n15151) ); sky130_fd_sc_hd__a22o_1 U19239 ( .A1(\exu/i_mul/low_x ), .A2(n15147), .B1( n15563), .B2(n15151), .X(n15152) ); sky130_fd_sc_hd__a22o_1 U19240 ( .A1(\exu/mul_valid_x ), .A2(n15152), .B1( n21710), .B2(\exu/alu_result_x [0]), .X(n23944) ); sky130_fd_sc_hd__a22oi_1 U19241 ( .A1(n15567), .A2(n23944), .B1(n15568), .B2(gpr_i0_rs1_d[0]), .Y(n15154) ); sky130_fd_sc_hd__nand2_1 U19242 ( .A(n15569), .B(lsu_nonblock_load_data[0]), .Y(n15153) ); sky130_fd_sc_hd__nand3_1 U19243 ( .A(n15155), .B(n15154), .C(n15153), .Y( \exu/muldiv_rs1_d [0]) ); sky130_fd_sc_hd__a222oi_1 U19244 ( .A1(n15157), .A2(n15281), .B1(n21222), .B2(\lsu/bus_intf/ld_fwddata_buf_hi [8]), .C1(n15280), .C2(n15156), .Y(n15333) ); sky130_fd_sc_hd__a22oi_1 U19245 ( .A1(n25036), .A2(n15159), .B1(n15158), .B2(n25056), .Y(n15160) ); sky130_fd_sc_hd__o21ai_1 U19246 ( .A1(n15333), .A2(n15542), .B1(n15160), .Y( \lsu/bus_read_data_m [16]) ); sky130_fd_sc_hd__nand2_1 U19247 ( .A(n15163), .B(n15162), .Y(n15164) ); sky130_fd_sc_hd__xor2_1 U19248 ( .A(n15165), .B(n15164), .X(n15170) ); sky130_fd_sc_hd__a22o_1 U19249 ( .A1(\exu/i_mul/low_x ), .A2(n15170), .B1( n15563), .B2(n15169), .X(n15171) ); sky130_fd_sc_hd__a22o_1 U19250 ( .A1(\exu/mul_valid_x ), .A2(n15171), .B1( n21710), .B2(\exu/alu_result_x [16]), .X(n23975) ); sky130_fd_sc_hd__a22oi_1 U19251 ( .A1(n15567), .A2(n23975), .B1(n15276), .B2(\dec/decode/i0_result_r [16]), .Y(n15182) ); sky130_fd_sc_hd__a22oi_1 U19252 ( .A1(n15569), .A2( lsu_nonblock_load_data[16]), .B1(n15568), .B2(gpr_i0_rs1_d[16]), .Y( n15181) ); sky130_fd_sc_hd__a22oi_1 U19253 ( .A1(n24833), .A2( \lsu/stbuf/stbuf_data[2][8] ), .B1(n24884), .B2( \lsu/stbuf/stbuf_data[3][8] ), .Y(n15172) ); sky130_fd_sc_hd__o21ai_1 U19254 ( .A1(n24889), .A2(n17673), .B1(n15172), .Y( n15173) ); sky130_fd_sc_hd__a21oi_1 U19255 ( .A1(n24847), .A2( \lsu/stbuf/stbuf_data[0][8] ), .B1(n15173), .Y(n15174) ); sky130_fd_sc_hd__o22ai_1 U19256 ( .A1(n15174), .A2(n15297), .B1(n20575), .B2(n15299), .Y(n15175) ); sky130_fd_sc_hd__a21oi_1 U19257 ( .A1(n15301), .A2(\lsu/store_data_lo_r [8]), .B1(n15175), .Y(n15176) ); sky130_fd_sc_hd__o21ai_1 U19258 ( .A1(n15303), .A2(n23125), .B1(n15176), .Y( n25012) ); sky130_fd_sc_hd__a21oi_1 U19259 ( .A1(n25013), .A2(\lsu/dccm_rdata_hi_m [8]), .B1(n25012), .Y(n15355) ); sky130_fd_sc_hd__o2bb2ai_1 U19260 ( .B1(n15355), .B2(n15579), .A1_N( \lsu/bus_read_data_m [16]), .A2_N(n15584), .Y(n15177) ); sky130_fd_sc_hd__a211oi_1 U19261 ( .A1(n15178), .A2(n15585), .B1(n15515), .C1(n15177), .Y(n15179) ); sky130_fd_sc_hd__o21ai_1 U19262 ( .A1(n15180), .A2(n15510), .B1(n15179), .Y( n23976) ); sky130_fd_sc_hd__nand2_1 U19263 ( .A(n15590), .B(n23976), .Y(n15467) ); sky130_fd_sc_hd__nand3_1 U19264 ( .A(n15182), .B(n15181), .C(n15467), .Y( \exu/muldiv_rs1_d [16]) ); sky130_fd_sc_hd__a22oi_1 U19265 ( .A1(n15280), .A2(n15183), .B1(n21222), .B2(\lsu/bus_intf/ld_fwddata_buf_hi [10]), .Y(n15184) ); sky130_fd_sc_hd__o21ai_1 U19266 ( .A1(n15186), .A2(n15185), .B1(n15184), .Y( n15365) ); sky130_fd_sc_hd__a22oi_1 U19267 ( .A1(n25035), .A2(n15365), .B1(n15187), .B2(n25056), .Y(n15188) ); sky130_fd_sc_hd__o21ai_1 U19268 ( .A1(n15189), .A2(n23512), .B1(n15188), .Y( \lsu/bus_read_data_m [18]) ); sky130_fd_sc_hd__nand2_1 U19269 ( .A(n15192), .B(n15191), .Y(n15193) ); sky130_fd_sc_hd__xor2_1 U19270 ( .A(n15194), .B(n15193), .X(n15199) ); sky130_fd_sc_hd__a22o_1 U19271 ( .A1(\exu/i_mul/low_x ), .A2(n15199), .B1( n15563), .B2(n15198), .X(n15200) ); sky130_fd_sc_hd__o22ai_1 U19272 ( .A1(\exu/mul_valid_x ), .A2( \exu/alu_result_x [18]), .B1(n21710), .B2(n15200), .Y(n23980) ); sky130_fd_sc_hd__a22oi_1 U19273 ( .A1(n24847), .A2( \lsu/stbuf/stbuf_data[0][10] ), .B1(n24832), .B2( \lsu/stbuf/stbuf_data[3][10] ), .Y(n15201) ); sky130_fd_sc_hd__o21ai_1 U19274 ( .A1(n24889), .A2(n17715), .B1(n15201), .Y( n15202) ); sky130_fd_sc_hd__a21oi_1 U19275 ( .A1(n24833), .A2( \lsu/stbuf/stbuf_data[2][10] ), .B1(n15202), .Y(n15204) ); sky130_fd_sc_hd__o22ai_1 U19276 ( .A1(n15204), .A2(n15297), .B1(n15203), .B2(n15299), .Y(n15205) ); sky130_fd_sc_hd__a21oi_1 U19277 ( .A1(n15301), .A2(\lsu/store_data_lo_r [10]), .B1(n15205), .Y(n15206) ); sky130_fd_sc_hd__o21ai_1 U19278 ( .A1(n15303), .A2(n23129), .B1(n15206), .Y( n25005) ); sky130_fd_sc_hd__a21oi_1 U19279 ( .A1(n25013), .A2(\lsu/dccm_rdata_hi_m [10]), .B1(n25005), .Y(n15375) ); sky130_fd_sc_hd__o2bb2ai_1 U19280 ( .B1(n15375), .B2(n15579), .A1_N( \lsu/bus_read_data_m [18]), .A2_N(n15584), .Y(n15207) ); sky130_fd_sc_hd__a211oi_1 U19281 ( .A1(n15208), .A2(n15585), .B1(n15515), .C1(n15207), .Y(n15209) ); sky130_fd_sc_hd__o21ai_1 U19282 ( .A1(n15210), .A2(n15510), .B1(n15209), .Y( n23846) ); sky130_fd_sc_hd__a22oi_1 U19283 ( .A1(n15590), .A2(n23846), .B1(n15276), .B2(\dec/decode/i0_result_r [18]), .Y(n15212) ); sky130_fd_sc_hd__a22oi_1 U19284 ( .A1(n15569), .A2( lsu_nonblock_load_data[18]), .B1(n15568), .B2(gpr_i0_rs1_d[18]), .Y( n15211) ); sky130_fd_sc_hd__o211ai_1 U19285 ( .A1(n23980), .A2(n15418), .B1(n15212), .C1(n15211), .Y(\exu/muldiv_rs1_d [18]) ); sky130_fd_sc_hd__a22oi_1 U19286 ( .A1(n25036), .A2(n15214), .B1(n15213), .B2(n25056), .Y(n15215) ); sky130_fd_sc_hd__o21ai_1 U19287 ( .A1(n15216), .A2(n15542), .B1(n15215), .Y( \lsu/bus_read_data_m [17]) ); sky130_fd_sc_hd__nand2_1 U19288 ( .A(n10956), .B(n15217), .Y(n15219) ); sky130_fd_sc_hd__xnor2_1 U19289 ( .A(n15219), .B(n15218), .Y(n15224) ); sky130_fd_sc_hd__a22o_1 U19290 ( .A1(\exu/i_mul/low_x ), .A2(n15224), .B1( n15563), .B2(n15223), .X(n15225) ); sky130_fd_sc_hd__o22ai_1 U19291 ( .A1(\exu/mul_valid_x ), .A2( \exu/alu_result_x [17]), .B1(n21710), .B2(n15225), .Y(n23978) ); sky130_fd_sc_hd__o2bb2ai_1 U19292 ( .B1(n15226), .B2(n15579), .A1_N( \lsu/bus_read_data_m [17]), .A2_N(n15584), .Y(n15230) ); sky130_fd_sc_hd__o22ai_1 U19293 ( .A1(n15228), .A2(n15510), .B1(n15359), .B2(n15227), .Y(n15229) ); sky130_fd_sc_hd__nor3_1 U19294 ( .A(n15515), .B(n15230), .C(n15229), .Y( n23977) ); sky130_fd_sc_hd__nor2_1 U19295 ( .A(n23977), .B(n15534), .Y(n15470) ); sky130_fd_sc_hd__a21oi_1 U19296 ( .A1(n15276), .A2( \dec/decode/i0_result_r [17]), .B1(n15470), .Y(n15232) ); sky130_fd_sc_hd__a22oi_1 U19297 ( .A1(n15569), .A2( lsu_nonblock_load_data[17]), .B1(n15568), .B2(gpr_i0_rs1_d[17]), .Y( n15231) ); sky130_fd_sc_hd__o211ai_1 U19298 ( .A1(n23978), .A2(n15418), .B1(n15232), .C1(n15231), .Y(\exu/muldiv_rs1_d [17]) ); sky130_fd_sc_hd__a22oi_1 U19299 ( .A1(n25036), .A2(n15234), .B1(n15233), .B2(n25056), .Y(n15235) ); sky130_fd_sc_hd__o21ai_1 U19300 ( .A1(n15236), .A2(n15542), .B1(n15235), .Y( \lsu/bus_read_data_m [19]) ); sky130_fd_sc_hd__nand2_1 U19301 ( .A(n10957), .B(n15240), .Y(n15242) ); sky130_fd_sc_hd__xnor2_1 U19302 ( .A(n15242), .B(n15241), .Y(n15243) ); sky130_fd_sc_hd__a22o_1 U19303 ( .A1(\exu/i_mul/low_x ), .A2(n15244), .B1( n15563), .B2(n15243), .X(n15245) ); sky130_fd_sc_hd__o22ai_1 U19304 ( .A1(\exu/mul_valid_x ), .A2( \exu/alu_result_x [19]), .B1(n21710), .B2(n15245), .Y(n23982) ); sky130_fd_sc_hd__o2bb2ai_1 U19305 ( .B1(n15246), .B2(n15579), .A1_N( \lsu/bus_read_data_m [19]), .A2_N(n15584), .Y(n15250) ); sky130_fd_sc_hd__o22ai_1 U19306 ( .A1(n15248), .A2(n15510), .B1(n15359), .B2(n15247), .Y(n15249) ); sky130_fd_sc_hd__nor3_1 U19307 ( .A(n15515), .B(n15250), .C(n15249), .Y( n23981) ); sky130_fd_sc_hd__nor2_1 U19308 ( .A(n23981), .B(n15534), .Y(n15474) ); sky130_fd_sc_hd__a21oi_1 U19309 ( .A1(n15276), .A2( \dec/decode/i0_result_r [19]), .B1(n15474), .Y(n15252) ); sky130_fd_sc_hd__a22oi_1 U19310 ( .A1(lsu_nonblock_load_data[19]), .A2( n15569), .B1(n15568), .B2(gpr_i0_rs1_d[19]), .Y(n15251) ); sky130_fd_sc_hd__o211ai_1 U19311 ( .A1(n23982), .A2(n15418), .B1(n15252), .C1(n15251), .Y(\exu/muldiv_rs1_d [19]) ); sky130_fd_sc_hd__a222oi_1 U19312 ( .A1(n15254), .A2(n15281), .B1(n21222), .B2(\lsu/bus_intf/ld_fwddata_buf_hi [12]), .C1(n15280), .C2(n15253), .Y(n15391) ); sky130_fd_sc_hd__a22oi_1 U19313 ( .A1(n25036), .A2(n15256), .B1(n25056), .B2(n15255), .Y(n15257) ); sky130_fd_sc_hd__o21ai_1 U19314 ( .A1(n15391), .A2(n15542), .B1(n15257), .Y( \lsu/bus_read_data_m [20]) ); sky130_fd_sc_hd__fa_2 U19315 ( .A(n15262), .B(n15261), .CIN(n13747), .COUT( n15322), .SUM(n15263) ); sky130_fd_sc_hd__a22o_1 U19316 ( .A1(\exu/i_mul/low_x ), .A2(n15264), .B1( n15563), .B2(n15263), .X(n15265) ); sky130_fd_sc_hd__o22ai_1 U19317 ( .A1(\exu/mul_valid_x ), .A2( \exu/alu_result_x [20]), .B1(n21710), .B2(n15265), .Y(n23984) ); sky130_fd_sc_hd__a22oi_1 U19318 ( .A1(n24884), .A2( \lsu/stbuf/stbuf_data[3][12] ), .B1(n24845), .B2( \lsu/stbuf/stbuf_data[1][12] ), .Y(n15266) ); sky130_fd_sc_hd__o21ai_1 U19319 ( .A1(n24887), .A2(n17666), .B1(n15266), .Y( n15267) ); sky130_fd_sc_hd__a21oi_1 U19320 ( .A1(n24846), .A2( \lsu/stbuf/stbuf_data[2][12] ), .B1(n15267), .Y(n15268) ); sky130_fd_sc_hd__o22ai_1 U19321 ( .A1(n15269), .A2(n15299), .B1(n15268), .B2(n15297), .Y(n15270) ); sky130_fd_sc_hd__a21oi_1 U19322 ( .A1(n15301), .A2(\lsu/store_data_lo_r [12]), .B1(n15270), .Y(n15271) ); sky130_fd_sc_hd__o21ai_1 U19323 ( .A1(n15303), .A2(n23133), .B1(n15271), .Y( n24996) ); sky130_fd_sc_hd__a21oi_1 U19324 ( .A1(n25013), .A2(\lsu/dccm_rdata_hi_m [12]), .B1(n24996), .Y(n15411) ); sky130_fd_sc_hd__o22ai_1 U19325 ( .A1(n15272), .A2(n15510), .B1(n15411), .B2(n15579), .Y(n15273) ); sky130_fd_sc_hd__a21oi_1 U19326 ( .A1(n15584), .A2(\lsu/bus_read_data_m [20]), .B1(n15273), .Y(n15274) ); sky130_fd_sc_hd__o211ai_1 U19327 ( .A1(n15275), .A2(n15359), .B1(n15589), .C1(n15274), .Y(n23853) ); sky130_fd_sc_hd__a22oi_1 U19328 ( .A1(n15590), .A2(n23853), .B1(n15276), .B2(\dec/decode/i0_result_r [20]), .Y(n15278) ); sky130_fd_sc_hd__a22oi_1 U19329 ( .A1(n15569), .A2( lsu_nonblock_load_data[20]), .B1(n15568), .B2(gpr_i0_rs1_d[20]), .Y( n15277) ); sky130_fd_sc_hd__o211ai_1 U19330 ( .A1(n23984), .A2(n15418), .B1(n15278), .C1(n15277), .Y(\exu/muldiv_rs1_d [20]) ); sky130_fd_sc_hd__a222oi_1 U19331 ( .A1(n15282), .A2(n15281), .B1(n21222), .B2(\lsu/bus_intf/ld_fwddata_buf_hi [14]), .C1(n15280), .C2(n15279), .Y(n15500) ); sky130_fd_sc_hd__o22ai_1 U19332 ( .A1(n15283), .A2(n23512), .B1(n15500), .B2(n15542), .Y(n15284) ); sky130_fd_sc_hd__fa_2 U19333 ( .A(n15291), .B(n15290), .CIN(n15289), .COUT( n14373), .SUM(n15292) ); sky130_fd_sc_hd__a22o_1 U19334 ( .A1(\exu/i_mul/low_x ), .A2(n15293), .B1( n15563), .B2(n15292), .X(n15294) ); sky130_fd_sc_hd__o22ai_1 U19335 ( .A1(\exu/mul_valid_x ), .A2( \exu/alu_result_x [22]), .B1(n21710), .B2(n15294), .Y(n23988) ); sky130_fd_sc_hd__a22oi_1 U19336 ( .A1(n24884), .A2( \lsu/stbuf/stbuf_data[3][14] ), .B1(n24845), .B2( \lsu/stbuf/stbuf_data[1][14] ), .Y(n15295) ); sky130_fd_sc_hd__o21ai_1 U19337 ( .A1(n24885), .A2(n17663), .B1(n15295), .Y( n15296) ); sky130_fd_sc_hd__a21oi_1 U19338 ( .A1(n24847), .A2( \lsu/stbuf/stbuf_data[0][14] ), .B1(n15296), .Y(n15298) ); sky130_fd_sc_hd__o22ai_1 U19339 ( .A1(n20623), .A2(n15299), .B1(n15298), .B2(n15297), .Y(n15300) ); sky130_fd_sc_hd__a21oi_1 U19340 ( .A1(n15301), .A2(\lsu/store_data_lo_r [14]), .B1(n15300), .Y(n15302) ); sky130_fd_sc_hd__o21ai_1 U19341 ( .A1(n15303), .A2(n23137), .B1(n15302), .Y( n24979) ); sky130_fd_sc_hd__a21oi_1 U19342 ( .A1(n25013), .A2(\lsu/dccm_rdata_hi_m [14]), .B1(n24979), .Y(n15511) ); sky130_fd_sc_hd__o22ai_1 U19343 ( .A1(n15304), .A2(n15510), .B1(n15511), .B2(n15579), .Y(n15305) ); sky130_fd_sc_hd__a211oi_1 U19344 ( .A1(n15584), .A2( \lsu/bus_read_data_m [22]), .B1(n15515), .C1(n15305), .Y(n15306) ); sky130_fd_sc_hd__o21ai_1 U19345 ( .A1(n15359), .A2(n15307), .B1(n15306), .Y( n23860) ); sky130_fd_sc_hd__a22oi_1 U19346 ( .A1(n15590), .A2(n23860), .B1(n15566), .B2(\dec/decode/i0_result_r [22]), .Y(n15309) ); sky130_fd_sc_hd__a22oi_1 U19347 ( .A1(n15569), .A2( lsu_nonblock_load_data[22]), .B1(n15568), .B2(gpr_i0_rs1_d[22]), .Y( n15308) ); sky130_fd_sc_hd__o211ai_1 U19348 ( .A1(n23988), .A2(n15418), .B1(n15309), .C1(n15308), .Y(\exu/muldiv_rs1_d [22]) ); sky130_fd_sc_hd__o22ai_1 U19349 ( .A1(n15311), .A2(n23512), .B1(n15310), .B2(n15542), .Y(n15312) ); sky130_fd_sc_hd__o22ai_1 U19350 ( .A1(n15315), .A2(n15510), .B1(n15314), .B2(n15579), .Y(n15318) ); sky130_fd_sc_hd__a22o_1 U19351 ( .A1(n15585), .A2(n15316), .B1(n15584), .B2( \lsu/bus_read_data_m [21]), .X(n15317) ); sky130_fd_sc_hd__nor3_1 U19352 ( .A(n15515), .B(n15318), .C(n15317), .Y( n23985) ); sky130_fd_sc_hd__fa_2 U19353 ( .A(n15324), .B(n15323), .CIN(n15322), .COUT( n15289), .SUM(n15325) ); sky130_fd_sc_hd__a22o_1 U19354 ( .A1(\exu/i_mul/low_x ), .A2(n15326), .B1( n15563), .B2(n15325), .X(n15327) ); sky130_fd_sc_hd__a22oi_1 U19355 ( .A1(\exu/mul_valid_x ), .A2(n15327), .B1( n21710), .B2(\exu/alu_result_x [21]), .Y(n23986) ); sky130_fd_sc_hd__a22oi_1 U19356 ( .A1(n15569), .A2( lsu_nonblock_load_data[21]), .B1(n15568), .B2(gpr_i0_rs1_d[21]), .Y( n15329) ); sky130_fd_sc_hd__o211ai_1 U19357 ( .A1(n23985), .A2(n15534), .B1(n15330), .C1(n15329), .Y(\exu/muldiv_rs1_d [21]) ); sky130_fd_sc_hd__a222oi_1 U19358 ( .A1(\lsu/store_data_r [24]), .A2(n15498), .B1(\lsu/bus_intf/ld_fwddata_buf_hi [16]), .B2(n21223), .C1(n15331), .C2(n15537), .Y(n15332) ); sky130_fd_sc_hd__o22a_1 U19359 ( .A1(n15333), .A2(n23512), .B1(n15332), .B2( n15542), .X(n15334) ); sky130_fd_sc_hd__o21ai_1 U19360 ( .A1(\lsu/lsu_addr_m [1]), .A2(n15335), .B1(n15334), .Y(\lsu/bus_read_data_m [24]) ); sky130_fd_sc_hd__nand2_1 U19361 ( .A(n15338), .B(n15337), .Y(n15340) ); sky130_fd_sc_hd__xnor2_1 U19362 ( .A(n15340), .B(n15339), .Y(n15347) ); sky130_fd_sc_hd__nand2_1 U19363 ( .A(n15343), .B(n15342), .Y(n15345) ); sky130_fd_sc_hd__xnor2_1 U19364 ( .A(n15345), .B(n15344), .Y(n15346) ); sky130_fd_sc_hd__a22o_1 U19365 ( .A1(\exu/i_mul/low_x ), .A2(n15347), .B1( n15563), .B2(n15346), .X(n15348) ); sky130_fd_sc_hd__a22oi_1 U19366 ( .A1(\exu/mul_valid_x ), .A2(n15348), .B1( n21710), .B2(\exu/alu_result_x [24]), .Y(n23992) ); sky130_fd_sc_hd__a22oi_1 U19367 ( .A1(n15567), .A2(n16028), .B1(n15566), .B2(\dec/decode/i0_result_r [24]), .Y(n15361) ); sky130_fd_sc_hd__a22oi_1 U19368 ( .A1(n15569), .A2( lsu_nonblock_load_data[24]), .B1(n15568), .B2(gpr_i0_rs1_d[24]), .Y( n15360) ); sky130_fd_sc_hd__a22oi_1 U19369 ( .A1(n24847), .A2( \lsu/stbuf/stbuf_data[0][16] ), .B1(n24832), .B2( \lsu/stbuf/stbuf_data[3][16] ), .Y(n15349) ); sky130_fd_sc_hd__o21ai_1 U19370 ( .A1(n24889), .A2(n21967), .B1(n15349), .Y( n15350) ); sky130_fd_sc_hd__a21oi_1 U19371 ( .A1(n24833), .A2( \lsu/stbuf/stbuf_data[2][16] ), .B1(n15350), .Y(n15351) ); sky130_fd_sc_hd__o22ai_1 U19372 ( .A1(n20547), .A2(n15574), .B1(n15351), .B2(n15570), .Y(n15352) ); sky130_fd_sc_hd__a21oi_1 U19373 ( .A1(\lsu/store_data_hi_r [16]), .A2(n15578), .B1(n15352), .Y(n15353) ); sky130_fd_sc_hd__o21ai_1 U19374 ( .A1(n22527), .A2(n15573), .B1(n15353), .Y( n25014) ); sky130_fd_sc_hd__a21oi_1 U19375 ( .A1(n25015), .A2(\lsu/dccm_rdata_hi_m [16]), .B1(n25014), .Y(n15354) ); sky130_fd_sc_hd__o22ai_1 U19376 ( .A1(n15355), .A2(n15510), .B1(n15354), .B2(n15579), .Y(n15356) ); sky130_fd_sc_hd__a211oi_1 U19377 ( .A1(n15584), .A2( \lsu/bus_read_data_m [24]), .B1(n15515), .C1(n15356), .Y(n15357) ); sky130_fd_sc_hd__o21ai_1 U19378 ( .A1(n15359), .A2(n15358), .B1(n15357), .Y( n23867) ); sky130_fd_sc_hd__nand2_1 U19379 ( .A(n15590), .B(n23867), .Y(n15485) ); sky130_fd_sc_hd__nand3_1 U19380 ( .A(n15361), .B(n15360), .C(n15485), .Y( \exu/muldiv_rs1_d [24]) ); sky130_fd_sc_hd__a222oi_1 U19381 ( .A1(n15363), .A2(n15537), .B1(n21223), .B2(\lsu/bus_intf/ld_fwddata_buf_hi [18]), .C1(n15535), .C2(n15362), .Y(n15367) ); sky130_fd_sc_hd__a22oi_1 U19382 ( .A1(n25036), .A2(n15365), .B1(n15364), .B2(n25056), .Y(n15366) ); sky130_fd_sc_hd__o21ai_1 U19383 ( .A1(n15367), .A2(n15542), .B1(n15366), .Y( \lsu/bus_read_data_m [26]) ); sky130_fd_sc_hd__a22oi_1 U19384 ( .A1(n24833), .A2( \lsu/stbuf/stbuf_data[2][18] ), .B1(n24847), .B2( \lsu/stbuf/stbuf_data[0][18] ), .Y(n15368) ); sky130_fd_sc_hd__o21ai_1 U19385 ( .A1(n24889), .A2(n21983), .B1(n15368), .Y( n15369) ); sky130_fd_sc_hd__a21oi_1 U19386 ( .A1(n24832), .A2( \lsu/stbuf/stbuf_data[3][18] ), .B1(n15369), .Y(n15370) ); sky130_fd_sc_hd__o22ai_1 U19387 ( .A1(n15371), .A2(n15574), .B1(n15370), .B2(n15570), .Y(n15372) ); sky130_fd_sc_hd__a21oi_1 U19388 ( .A1(\lsu/store_data_hi_r [18]), .A2(n15578), .B1(n15372), .Y(n15373) ); sky130_fd_sc_hd__o21ai_1 U19389 ( .A1(n22529), .A2(n15573), .B1(n15373), .Y( n25006) ); sky130_fd_sc_hd__a21oi_1 U19390 ( .A1(n25015), .A2(\lsu/dccm_rdata_hi_m [18]), .B1(n25006), .Y(n15374) ); sky130_fd_sc_hd__o22ai_1 U19391 ( .A1(n15375), .A2(n15510), .B1(n15374), .B2(n15579), .Y(n15378) ); sky130_fd_sc_hd__a22o_1 U19392 ( .A1(n15585), .A2(n15376), .B1(n15584), .B2( \lsu/bus_read_data_m [26]), .X(n15377) ); sky130_fd_sc_hd__nor3_1 U19393 ( .A(n15515), .B(n15378), .C(n15377), .Y( n23995) ); sky130_fd_sc_hd__fa_2 U19394 ( .A(n15381), .B(n15380), .CIN(n15379), .COUT( n14177), .SUM(n15386) ); sky130_fd_sc_hd__fa_2 U19395 ( .A(n15384), .B(n15383), .CIN(n15382), .COUT( n14180), .SUM(n15385) ); sky130_fd_sc_hd__a22o_1 U19396 ( .A1(\exu/i_mul/low_x ), .A2(n15386), .B1( n15563), .B2(n15385), .X(n15387) ); sky130_fd_sc_hd__a22oi_1 U19397 ( .A1(\exu/mul_valid_x ), .A2(n15387), .B1( n21710), .B2(\exu/alu_result_x [26]), .Y(n23996) ); sky130_fd_sc_hd__a2bb2oi_1 U19398 ( .B1(n15566), .B2( \dec/decode/i0_result_r [26]), .A1_N(n15418), .A2_N(n23996), .Y(n15389) ); sky130_fd_sc_hd__a22oi_1 U19399 ( .A1(n15569), .A2( lsu_nonblock_load_data[26]), .B1(n15568), .B2(gpr_i0_rs1_d[26]), .Y( n15388) ); sky130_fd_sc_hd__o211ai_1 U19400 ( .A1(n23995), .A2(n15534), .B1(n15389), .C1(n15388), .Y(\exu/muldiv_rs1_d [26]) ); sky130_fd_sc_hd__a222oi_1 U19401 ( .A1(\lsu/store_data_r [28]), .A2(n15498), .B1(\lsu/bus_intf/ld_fwddata_buf_hi [20]), .B2(n21223), .C1(n15390), .C2(n15537), .Y(n15392) ); sky130_fd_sc_hd__o22a_1 U19402 ( .A1(n15392), .A2(n15542), .B1(n15391), .B2( n23512), .X(n15393) ); sky130_fd_sc_hd__o21ai_1 U19403 ( .A1(\lsu/lsu_addr_m [1]), .A2(n15394), .B1(n15393), .Y(\lsu/bus_read_data_m [28]) ); sky130_fd_sc_hd__fa_2 U19404 ( .A(n15397), .B(n15396), .CIN(n15395), .COUT( n13713), .SUM(n15402) ); sky130_fd_sc_hd__fa_2 U19405 ( .A(n15400), .B(n15399), .CIN(n15398), .COUT( n15525), .SUM(n15401) ); sky130_fd_sc_hd__a22o_1 U19406 ( .A1(\exu/i_mul/low_x ), .A2(n15402), .B1( n15563), .B2(n15401), .X(n15403) ); sky130_fd_sc_hd__o22ai_1 U19407 ( .A1(\exu/mul_valid_x ), .A2( \exu/alu_result_x [28]), .B1(n21710), .B2(n15403), .Y(n24000) ); sky130_fd_sc_hd__a22oi_1 U19408 ( .A1(n24833), .A2( \lsu/stbuf/stbuf_data[2][20] ), .B1(n24847), .B2( \lsu/stbuf/stbuf_data[0][20] ), .Y(n15404) ); sky130_fd_sc_hd__o21ai_1 U19409 ( .A1(n24889), .A2(n22000), .B1(n15404), .Y( n15405) ); sky130_fd_sc_hd__a21oi_1 U19410 ( .A1(n24832), .A2( \lsu/stbuf/stbuf_data[3][20] ), .B1(n15405), .Y(n15406) ); sky130_fd_sc_hd__o22ai_1 U19411 ( .A1(n15407), .A2(n15574), .B1(n15406), .B2(n15570), .Y(n15408) ); sky130_fd_sc_hd__a21oi_1 U19412 ( .A1(\lsu/store_data_hi_r [20]), .A2(n15578), .B1(n15408), .Y(n15409) ); sky130_fd_sc_hd__o21ai_1 U19413 ( .A1(n22531), .A2(n15573), .B1(n15409), .Y( n24997) ); sky130_fd_sc_hd__a21oi_1 U19414 ( .A1(n25015), .A2(\lsu/dccm_rdata_hi_m [20]), .B1(n24997), .Y(n15410) ); sky130_fd_sc_hd__o22ai_1 U19415 ( .A1(n15411), .A2(n15510), .B1(n15410), .B2(n15579), .Y(n15412) ); sky130_fd_sc_hd__a21oi_1 U19416 ( .A1(n15584), .A2(\lsu/bus_read_data_m [28]), .B1(n15412), .Y(n15415) ); sky130_fd_sc_hd__a21oi_1 U19417 ( .A1(n15413), .A2(n15585), .B1(n15515), .Y( n15414) ); sky130_fd_sc_hd__nand2_1 U19418 ( .A(n15415), .B(n15414), .Y(n23884) ); sky130_fd_sc_hd__a22oi_1 U19419 ( .A1(n15590), .A2(n23884), .B1(n15566), .B2(\dec/decode/i0_result_r [28]), .Y(n15417) ); sky130_fd_sc_hd__a22oi_1 U19420 ( .A1(n15569), .A2( lsu_nonblock_load_data[28]), .B1(n15568), .B2(gpr_i0_rs1_d[28]), .Y( n15416) ); sky130_fd_sc_hd__o211ai_1 U19421 ( .A1(n24000), .A2(n15418), .B1(n15417), .C1(n15416), .Y(\exu/muldiv_rs1_d [28]) ); sky130_fd_sc_hd__nand2_1 U19422 ( .A(n24052), .B(n15880), .Y(n15605) ); sky130_fd_sc_hd__nand2_1 U19423 ( .A(n15595), .B(n15880), .Y(n15463) ); sky130_fd_sc_hd__inv_2 U19424 ( .A(n15463), .Y(n15601) ); sky130_fd_sc_hd__a22oi_1 U19425 ( .A1(n15488), .A2(n17436), .B1(n15601), .B2(\exu/muldiv_rs1_d [29]), .Y(n15420) ); sky130_fd_sc_hd__a22oi_1 U19426 ( .A1(dec_extint_stall), .A2( dec_tlu_meihap[29]), .B1(\lsu/lsu_pkt_d[dma] ), .B2(dma_mem_addr[29]), .Y(n15419) ); sky130_fd_sc_hd__nand2_1 U19427 ( .A(n15420), .B(n15419), .Y(n17837) ); sky130_fd_sc_hd__a22oi_1 U19428 ( .A1(n15488), .A2(n16017), .B1(n15601), .B2(\exu/muldiv_rs1_d [27]), .Y(n15422) ); sky130_fd_sc_hd__a22oi_1 U19429 ( .A1(dec_extint_stall), .A2( dec_tlu_meihap[27]), .B1(\lsu/lsu_pkt_d[dma] ), .B2(dma_mem_addr[27]), .Y(n15421) ); sky130_fd_sc_hd__nand2_1 U19430 ( .A(n15422), .B(n15421), .Y(n15691) ); sky130_fd_sc_hd__a22o_1 U19431 ( .A1(dec_extint_stall), .A2( dec_tlu_meihap[25]), .B1(n15601), .B2(\exu/muldiv_rs1_d [25]), .X( n15423) ); sky130_fd_sc_hd__a21oi_1 U19432 ( .A1(\lsu/lsu_pkt_d[dma] ), .A2( dma_mem_addr[25]), .B1(n15423), .Y(n15424) ); sky130_fd_sc_hd__o21ai_1 U19433 ( .A1(n23993), .A2(n15595), .B1(n15424), .Y( n15685) ); sky130_fd_sc_hd__a22o_1 U19434 ( .A1(dec_extint_stall), .A2( dec_tlu_meihap[23]), .B1(n15601), .B2(\exu/muldiv_rs1_d [23]), .X( n15425) ); sky130_fd_sc_hd__a21oi_1 U19435 ( .A1(\lsu/lsu_pkt_d[dma] ), .A2( dma_mem_addr[23]), .B1(n15425), .Y(n15426) ); sky130_fd_sc_hd__o21ai_1 U19436 ( .A1(n23989), .A2(n15595), .B1(n15426), .Y( n15666) ); sky130_fd_sc_hd__a22oi_1 U19437 ( .A1(n15473), .A2(n15427), .B1(n15601), .B2(\exu/muldiv_rs1_d [15]), .Y(n15429) ); sky130_fd_sc_hd__a22oi_1 U19438 ( .A1(dec_extint_stall), .A2( dec_tlu_meihap[15]), .B1(\lsu/lsu_pkt_d[dma] ), .B2(dma_mem_addr[15]), .Y(n15428) ); sky130_fd_sc_hd__nand2_1 U19439 ( .A(n15429), .B(n15428), .Y(n15722) ); sky130_fd_sc_hd__o2bb2ai_1 U19440 ( .B1(n23971), .B2(n15595), .A1_N( \exu/muldiv_rs1_d [14]), .A2_N(n15601), .Y(n15430) ); sky130_fd_sc_hd__a21oi_1 U19441 ( .A1(dec_extint_stall), .A2( dec_tlu_meihap[14]), .B1(n15430), .Y(n15431) ); sky130_fd_sc_hd__o21ai_1 U19442 ( .A1(n20385), .A2(n22549), .B1(n15431), .Y( n20404) ); sky130_fd_sc_hd__o22ai_1 U19443 ( .A1(n20164), .A2(n15463), .B1(n15605), .B2(n15432), .Y(n15433) ); sky130_fd_sc_hd__a21oi_1 U19444 ( .A1(dec_extint_stall), .A2( dec_tlu_meihap[12]), .B1(n15433), .Y(n15434) ); sky130_fd_sc_hd__o21ai_1 U19445 ( .A1(n20385), .A2(n22547), .B1(n15434), .Y( n17861) ); sky130_fd_sc_hd__o22ai_1 U19446 ( .A1(n20168), .A2(n15463), .B1(n15605), .B2(n15435), .Y(n15436) ); sky130_fd_sc_hd__a21oi_1 U19447 ( .A1(dec_extint_stall), .A2( dec_tlu_meihap[13]), .B1(n15436), .Y(n15437) ); sky130_fd_sc_hd__o21ai_1 U19448 ( .A1(n20385), .A2(n22548), .B1(n15437), .Y( n17859) ); sky130_fd_sc_hd__nor2_1 U19449 ( .A(n17864), .B(n20399), .Y(n20393) ); sky130_fd_sc_hd__and3_1 U19450 ( .A(n15722), .B(n20404), .C(n20393), .X( n15620) ); sky130_fd_sc_hd__nor2_1 U19451 ( .A(n17442), .B(n24025), .Y(n15652) ); sky130_fd_sc_hd__nor2_1 U19452 ( .A(n16149), .B(n17461), .Y(n22688) ); sky130_fd_sc_hd__nand2_1 U19453 ( .A(n15880), .B(n22688), .Y(n17804) ); sky130_fd_sc_hd__nor2_1 U19454 ( .A(n24024), .B(n17804), .Y(n17897) ); sky130_fd_sc_hd__a22oi_1 U19455 ( .A1(n15473), .A2(n15438), .B1(n15601), .B2(\exu/muldiv_rs1_d [11]), .Y(n15440) ); sky130_fd_sc_hd__a22oi_1 U19456 ( .A1(dec_extint_stall), .A2( dec_tlu_meihap[11]), .B1(\lsu/lsu_pkt_d[dma] ), .B2(dma_mem_addr[11]), .Y(n15439) ); sky130_fd_sc_hd__nand2_1 U19457 ( .A(n15440), .B(n15439), .Y(n17896) ); sky130_fd_sc_hd__nor2_1 U19458 ( .A(n24021), .B(n17804), .Y(n17900) ); sky130_fd_sc_hd__o22ai_1 U19459 ( .A1(n23963), .A2(n15595), .B1(n20157), .B2(n15463), .Y(n15441) ); sky130_fd_sc_hd__a21oi_1 U19460 ( .A1(dec_extint_stall), .A2( dec_tlu_meihap[10]), .B1(n15441), .Y(n15442) ); sky130_fd_sc_hd__o21ai_1 U19461 ( .A1(n20385), .A2(n22546), .B1(n15442), .Y( n17899) ); sky130_fd_sc_hd__nor2_1 U19462 ( .A(n24020), .B(n17804), .Y(n17903) ); sky130_fd_sc_hd__a22oi_1 U19463 ( .A1(\lsu/lsu_pkt_d[dma] ), .A2( dma_mem_addr[9]), .B1(n15601), .B2(\exu/muldiv_rs1_d [9]), .Y(n15443) ); sky130_fd_sc_hd__o21ai_1 U19464 ( .A1(n15605), .A2(n15444), .B1(n15443), .Y( n17902) ); sky130_fd_sc_hd__nor2_1 U19465 ( .A(n24043), .B(n17804), .Y(n17906) ); sky130_fd_sc_hd__a21oi_1 U19466 ( .A1(n23959), .A2(n15473), .B1(n15601), .Y( n15445) ); sky130_fd_sc_hd__o22ai_1 U19467 ( .A1(n20150), .A2(n15445), .B1(n20385), .B2(n22545), .Y(n17905) ); sky130_fd_sc_hd__nor2_1 U19468 ( .A(n24019), .B(n17804), .Y(n17909) ); sky130_fd_sc_hd__a22oi_1 U19469 ( .A1(n15488), .A2(n23803), .B1(n15601), .B2(\exu/muldiv_rs1_d [7]), .Y(n15446) ); sky130_fd_sc_hd__o21ai_1 U19470 ( .A1(n20385), .A2(n22544), .B1(n15446), .Y( n17908) ); sky130_fd_sc_hd__nor2_1 U19471 ( .A(n24018), .B(n17804), .Y(n17912) ); sky130_fd_sc_hd__o22ai_1 U19472 ( .A1(n20144), .A2(n15463), .B1(n15595), .B2(n23955), .Y(n15447) ); sky130_fd_sc_hd__a21oi_1 U19473 ( .A1(dec_extint_stall), .A2( dec_tlu_meihap[6]), .B1(n15447), .Y(n15448) ); sky130_fd_sc_hd__o21ai_1 U19474 ( .A1(n20385), .A2(n22543), .B1(n15448), .Y( n17911) ); sky130_fd_sc_hd__nor2_1 U19475 ( .A(n24017), .B(n17804), .Y(n17915) ); sky130_fd_sc_hd__o22ai_1 U19476 ( .A1(n20141), .A2(n15463), .B1(n15595), .B2(n23953), .Y(n15449) ); sky130_fd_sc_hd__a21oi_1 U19477 ( .A1(dec_extint_stall), .A2( dec_tlu_meihap[5]), .B1(n15449), .Y(n15450) ); sky130_fd_sc_hd__o21ai_1 U19478 ( .A1(n20385), .A2(n22542), .B1(n15450), .Y( n17914) ); sky130_fd_sc_hd__nor2_1 U19479 ( .A(n19304), .B(n16151), .Y(n15794) ); sky130_fd_sc_hd__nand2_1 U19480 ( .A(n15794), .B(n15652), .Y(n24036) ); sky130_fd_sc_hd__nor2_1 U19481 ( .A(n22521), .B(n24036), .Y(n23892) ); sky130_fd_sc_hd__inv_2 U19482 ( .A(n23892), .Y(n23898) ); sky130_fd_sc_hd__or2_0 U19483 ( .A(n16149), .B(n23898), .X(n15460) ); sky130_fd_sc_hd__o22ai_1 U19484 ( .A1(n23942), .A2(n15461), .B1(n23924), .B2(n15460), .Y(n17918) ); sky130_fd_sc_hd__a22oi_1 U19485 ( .A1(n15473), .A2(n15451), .B1(n15601), .B2(\exu/muldiv_rs1_d [4]), .Y(n15453) ); sky130_fd_sc_hd__a22oi_1 U19486 ( .A1(dec_extint_stall), .A2( dec_tlu_meihap[4]), .B1(\lsu/lsu_pkt_d[dma] ), .B2(dma_mem_addr[4]), .Y(n15452) ); sky130_fd_sc_hd__nand2_1 U19487 ( .A(n15453), .B(n15452), .Y(n17917) ); sky130_fd_sc_hd__o22ai_1 U19488 ( .A1(n23941), .A2(n15461), .B1(n23922), .B2(n15460), .Y(n15888) ); sky130_fd_sc_hd__o22ai_1 U19489 ( .A1(n20138), .A2(n15463), .B1(n15595), .B2(n23949), .Y(n15454) ); sky130_fd_sc_hd__a21oi_1 U19490 ( .A1(dec_extint_stall), .A2( dec_tlu_meihap[3]), .B1(n15454), .Y(n15455) ); sky130_fd_sc_hd__o21ai_1 U19491 ( .A1(n20385), .A2(n22541), .B1(n15455), .Y( n15887) ); sky130_fd_sc_hd__o22ai_1 U19492 ( .A1(n24054), .A2(n15461), .B1(n23920), .B2(n15460), .Y(n15697) ); sky130_fd_sc_hd__o22ai_1 U19493 ( .A1(n20136), .A2(n15463), .B1(n15605), .B2(n15456), .Y(n15457) ); sky130_fd_sc_hd__a21oi_1 U19494 ( .A1(dec_extint_stall), .A2( dec_tlu_meihap[2]), .B1(n15457), .Y(n15458) ); sky130_fd_sc_hd__o21ai_1 U19495 ( .A1(n20385), .A2(n22540), .B1(n15458), .Y( n15696) ); sky130_fd_sc_hd__o22ai_1 U19496 ( .A1(n23940), .A2(n15461), .B1(n23918), .B2(n15460), .Y(n15882) ); sky130_fd_sc_hd__a22oi_1 U19497 ( .A1(n15488), .A2(n23781), .B1(n15601), .B2(\exu/muldiv_rs1_d [1]), .Y(n15459) ); sky130_fd_sc_hd__o21ai_1 U19498 ( .A1(n20385), .A2(n22539), .B1(n15459), .Y( n17891) ); sky130_fd_sc_hd__o22ai_1 U19499 ( .A1(n23939), .A2(n15461), .B1(n23916), .B2(n15460), .Y(n17894) ); sky130_fd_sc_hd__a22oi_1 U19500 ( .A1(\lsu/lsu_pkt_d[dma] ), .A2( dma_mem_addr[0]), .B1(n15488), .B2(n23943), .Y(n15462) ); sky130_fd_sc_hd__o21ai_1 U19501 ( .A1(n20134), .A2(n15463), .B1(n15462), .Y( n17892) ); sky130_fd_sc_hd__nor2_1 U19502 ( .A(n17897), .B(n15491), .Y(n20396) ); sky130_fd_sc_hd__nand2_1 U19503 ( .A(n15620), .B(n20396), .Y(n15612) ); sky130_fd_sc_hd__a22o_1 U19504 ( .A1(dec_extint_stall), .A2( dec_tlu_meihap[16]), .B1(n15601), .B2(\exu/muldiv_rs1_d [16]), .X( n15465) ); sky130_fd_sc_hd__a21oi_1 U19505 ( .A1(\lsu/lsu_pkt_d[dma] ), .A2( dma_mem_addr[16]), .B1(n15465), .Y(n15466) ); sky130_fd_sc_hd__o21ai_1 U19506 ( .A1(n15605), .A2(n15467), .B1(n15466), .Y( n15679) ); sky130_fd_sc_hd__a22oi_1 U19507 ( .A1(n15488), .A2(n23846), .B1(n15601), .B2(\exu/muldiv_rs1_d [18]), .Y(n15469) ); sky130_fd_sc_hd__a22oi_1 U19508 ( .A1(dec_extint_stall), .A2( dec_tlu_meihap[18]), .B1(\lsu/lsu_pkt_d[dma] ), .B2(dma_mem_addr[18]), .Y(n15468) ); sky130_fd_sc_hd__nand2_1 U19509 ( .A(n15469), .B(n15468), .Y(n15660) ); sky130_fd_sc_hd__a22oi_1 U19510 ( .A1(n15473), .A2(n15470), .B1(n15601), .B2(\exu/muldiv_rs1_d [17]), .Y(n15472) ); sky130_fd_sc_hd__a22oi_1 U19511 ( .A1(dec_extint_stall), .A2( dec_tlu_meihap[17]), .B1(\lsu/lsu_pkt_d[dma] ), .B2(dma_mem_addr[17]), .Y(n15471) ); sky130_fd_sc_hd__nand2_1 U19512 ( .A(n15472), .B(n15471), .Y(n15657) ); sky130_fd_sc_hd__nand3_1 U19513 ( .A(n15679), .B(n15660), .C(n15657), .Y( n15621) ); sky130_fd_sc_hd__nor2_1 U19514 ( .A(n15612), .B(n15621), .Y(n15648) ); sky130_fd_sc_hd__a22oi_1 U19515 ( .A1(n15474), .A2(n15473), .B1(n15601), .B2(\exu/muldiv_rs1_d [19]), .Y(n15476) ); sky130_fd_sc_hd__a22oi_1 U19516 ( .A1(dec_extint_stall), .A2( dec_tlu_meihap[19]), .B1(\lsu/lsu_pkt_d[dma] ), .B2(dma_mem_addr[19]), .Y(n15475) ); sky130_fd_sc_hd__nand2_1 U19517 ( .A(n15476), .B(n15475), .Y(n17083) ); sky130_fd_sc_hd__a22oi_1 U19518 ( .A1(n15488), .A2(n23853), .B1(n15601), .B2(\exu/muldiv_rs1_d [20]), .Y(n15478) ); sky130_fd_sc_hd__a22oi_1 U19519 ( .A1(dec_extint_stall), .A2( dec_tlu_meihap[20]), .B1(\lsu/lsu_pkt_d[dma] ), .B2(dma_mem_addr[20]), .Y(n15477) ); sky130_fd_sc_hd__nand2_1 U19520 ( .A(n15478), .B(n15477), .Y(n17856) ); sky130_fd_sc_hd__a22oi_1 U19521 ( .A1(n15488), .A2(n23860), .B1(n15601), .B2(\exu/muldiv_rs1_d [22]), .Y(n15480) ); sky130_fd_sc_hd__a22oi_1 U19522 ( .A1(dec_extint_stall), .A2( dec_tlu_meihap[22]), .B1(\lsu/lsu_pkt_d[dma] ), .B2(dma_mem_addr[22]), .Y(n15479) ); sky130_fd_sc_hd__nand2_1 U19523 ( .A(n15480), .B(n15479), .Y(n15676) ); sky130_fd_sc_hd__a22o_1 U19524 ( .A1(dec_extint_stall), .A2( dec_tlu_meihap[21]), .B1(n15601), .B2(\exu/muldiv_rs1_d [21]), .X( n15481) ); sky130_fd_sc_hd__a21oi_1 U19525 ( .A1(\lsu/lsu_pkt_d[dma] ), .A2( dma_mem_addr[21]), .B1(n15481), .Y(n15482) ); sky130_fd_sc_hd__o21ai_1 U19526 ( .A1(n23985), .A2(n15595), .B1(n15482), .Y( n15663) ); sky130_fd_sc_hd__and4_1 U19527 ( .A(n17083), .B(n17856), .C(n15676), .D( n15663), .X(n15619) ); sky130_fd_sc_hd__a22o_1 U19528 ( .A1(\lsu/lsu_pkt_d[dma] ), .A2( dma_mem_addr[24]), .B1(n15601), .B2(\exu/muldiv_rs1_d [24]), .X(n15483) ); sky130_fd_sc_hd__a21oi_1 U19529 ( .A1(dec_extint_stall), .A2( dec_tlu_meihap[24]), .B1(n15483), .Y(n15484) ); sky130_fd_sc_hd__o21ai_1 U19530 ( .A1(n15605), .A2(n15485), .B1(n15484), .Y( n15682) ); sky130_fd_sc_hd__nand2_1 U19531 ( .A(n15626), .B(n15682), .Y(n15625) ); sky130_fd_sc_hd__nor2_1 U19532 ( .A(n15681), .B(n15625), .Y(n15644) ); sky130_fd_sc_hd__a22o_1 U19533 ( .A1(n15601), .A2(\exu/muldiv_rs1_d [26]), .B1(dec_extint_stall), .B2(dec_tlu_meihap[26]), .X(n15486) ); sky130_fd_sc_hd__a21oi_1 U19534 ( .A1(\lsu/lsu_pkt_d[dma] ), .A2( dma_mem_addr[26]), .B1(n15486), .Y(n15487) ); sky130_fd_sc_hd__o21ai_1 U19535 ( .A1(n23995), .A2(n15595), .B1(n15487), .Y( n15688) ); sky130_fd_sc_hd__nand2_1 U19536 ( .A(n15644), .B(n15688), .Y(n15642) ); sky130_fd_sc_hd__nor2_1 U19537 ( .A(n15687), .B(n15642), .Y(n15494) ); sky130_fd_sc_hd__a22oi_1 U19538 ( .A1(n15488), .A2(n23884), .B1(n15601), .B2(\exu/muldiv_rs1_d [28]), .Y(n15490) ); sky130_fd_sc_hd__a22oi_1 U19539 ( .A1(dec_extint_stall), .A2( dec_tlu_meihap[28]), .B1(\lsu/lsu_pkt_d[dma] ), .B2(dma_mem_addr[28]), .Y(n15489) ); sky130_fd_sc_hd__nand2_1 U19540 ( .A(n15490), .B(n15489), .Y(n17836) ); sky130_fd_sc_hd__nand2_1 U19541 ( .A(n15494), .B(n17836), .Y(n15597) ); sky130_fd_sc_hd__nand2_1 U19542 ( .A(n17897), .B(n15491), .Y(n20402) ); sky130_fd_sc_hd__nor3_1 U19543 ( .A(n17861), .B(n17859), .C(n20402), .Y( n15492) ); sky130_fd_sc_hd__nand2_1 U19544 ( .A(n17858), .B(n15492), .Y(n15720) ); sky130_fd_sc_hd__nor2_1 U19545 ( .A(n15722), .B(n15720), .Y(n15611) ); sky130_fd_sc_hd__nand2_1 U19546 ( .A(n15654), .B(n15611), .Y(n15609) ); sky130_fd_sc_hd__nor3_1 U19547 ( .A(n15609), .B(n15660), .C(n15657), .Y( n15649) ); sky130_fd_sc_hd__nor4_1 U19548 ( .A(n17856), .B(n15676), .C(n15663), .D( n15666), .Y(n15617) ); sky130_fd_sc_hd__and3_1 U19549 ( .A(n15659), .B(n15649), .C(n15617), .X( n15627) ); sky130_fd_sc_hd__nand2_1 U19550 ( .A(n15665), .B(n15627), .Y(n15643) ); sky130_fd_sc_hd__nor2_1 U19551 ( .A(n15688), .B(n15685), .Y(n15631) ); sky130_fd_sc_hd__nand2b_1 U19552 ( .A_N(n15643), .B(n15631), .Y(n15645) ); sky130_fd_sc_hd__nor2_1 U19553 ( .A(n15691), .B(n15645), .Y(n15496) ); sky130_fd_sc_hd__nand2b_1 U19554 ( .A_N(n17836), .B(n15496), .Y(n15598) ); sky130_fd_sc_hd__nand2_1 U19555 ( .A(n15597), .B(n15598), .Y(n15493) ); sky130_fd_sc_hd__xor2_1 U19556 ( .A(n17826), .B(n15493), .X(n17813) ); sky130_fd_sc_hd__o21ai_1 U19557 ( .A1(n15494), .A2(n17836), .B1(n15597), .Y( n15495) ); sky130_fd_sc_hd__o21ai_1 U19558 ( .A1(n15496), .A2(n15495), .B1(n15598), .Y( \lsu/lsu_lsc_ctl/n79 ) ); sky130_fd_sc_hd__a222oi_1 U19559 ( .A1(\lsu/store_data_r [30]), .A2(n15498), .B1(n15497), .B2(n15537), .C1(n21223), .C2( \lsu/bus_intf/ld_fwddata_buf_hi [22]), .Y(n15499) ); sky130_fd_sc_hd__o21ai_1 U19560 ( .A1(\lsu/lsu_addr_m [1]), .A2(n15502), .B1(n15501), .Y(\lsu/bus_read_data_m [30]) ); sky130_fd_sc_hd__a22oi_1 U19561 ( .A1(n24884), .A2( \lsu/stbuf/stbuf_data[3][22] ), .B1(n24845), .B2( \lsu/stbuf/stbuf_data[1][22] ), .Y(n15503) ); sky130_fd_sc_hd__o21ai_1 U19562 ( .A1(n24887), .A2(n22019), .B1(n15503), .Y( n15504) ); sky130_fd_sc_hd__a21oi_1 U19563 ( .A1(n24846), .A2( \lsu/stbuf/stbuf_data[2][22] ), .B1(n15504), .Y(n15505) ); sky130_fd_sc_hd__o22ai_1 U19564 ( .A1(n15506), .A2(n15574), .B1(n15505), .B2(n15570), .Y(n15507) ); sky130_fd_sc_hd__a21oi_1 U19565 ( .A1(\lsu/store_data_hi_r [22]), .A2(n15578), .B1(n15507), .Y(n15508) ); sky130_fd_sc_hd__o21ai_1 U19566 ( .A1(n22533), .A2(n15573), .B1(n15508), .Y( n24980) ); sky130_fd_sc_hd__a21oi_1 U19567 ( .A1(n25015), .A2(\lsu/dccm_rdata_hi_m [22]), .B1(n24980), .Y(n15509) ); sky130_fd_sc_hd__o22ai_1 U19568 ( .A1(n15511), .A2(n15510), .B1(n15509), .B2(n15579), .Y(n15514) ); sky130_fd_sc_hd__a22o_1 U19569 ( .A1(n15585), .A2(n15512), .B1(n15584), .B2( \lsu/bus_read_data_m [30]), .X(n15513) ); sky130_fd_sc_hd__nor3_1 U19570 ( .A(n15515), .B(n15514), .C(n15513), .Y( n24001) ); sky130_fd_sc_hd__fa_2 U19571 ( .A(n15517), .B(n15516), .CIN(n13714), .COUT( n15544), .SUM(n15530) ); sky130_fd_sc_hd__a222oi_1 U19572 ( .A1(n15548), .A2(\exu/i_mul/rs2_x [32]), .B1(n15547), .B2(\exu/i_mul/rs2_x [31]), .C1(n15550), .C2( \exu/i_mul/rs2_x [30]), .Y(n15518) ); sky130_fd_sc_hd__o21ai_1 U19573 ( .A1(n15553), .A2(n10926), .B1(n15518), .Y( n15519) ); sky130_fd_sc_hd__fa_1 U19574 ( .A(n15522), .B(n15521), .CIN(n15520), .COUT( n15523), .SUM(n15526) ); sky130_fd_sc_hd__nor2_1 U19575 ( .A(n10930), .B(n15523), .Y(n15559) ); sky130_fd_sc_hd__nand2_1 U19576 ( .A(n15523), .B(n10930), .Y(n15557) ); sky130_fd_sc_hd__nand2_1 U19577 ( .A(n15524), .B(n15557), .Y(n15528) ); sky130_fd_sc_hd__fa_2 U19578 ( .A(n15527), .B(n15526), .CIN(n15525), .COUT( n15556), .SUM(n13751) ); sky130_fd_sc_hd__xnor2_1 U19579 ( .A(n15528), .B(n15556), .Y(n15529) ); sky130_fd_sc_hd__a22o_1 U19580 ( .A1(\exu/i_mul/low_x ), .A2(n15530), .B1( n15563), .B2(n15529), .X(n15531) ); sky130_fd_sc_hd__a22oi_1 U19581 ( .A1(\exu/mul_valid_x ), .A2(n15531), .B1( n21710), .B2(\exu/alu_result_x [30]), .Y(n24002) ); sky130_fd_sc_hd__a22oi_1 U19582 ( .A1(n15567), .A2(n16005), .B1(n15566), .B2(\dec/decode/i0_result_r [30]), .Y(n15533) ); sky130_fd_sc_hd__a22oi_1 U19583 ( .A1(n15569), .A2( lsu_nonblock_load_data[30]), .B1(n15568), .B2(gpr_i0_rs1_d[30]), .Y( n15532) ); sky130_fd_sc_hd__o211ai_1 U19584 ( .A1(n24001), .A2(n15534), .B1(n15533), .C1(n15532), .Y(\exu/muldiv_rs1_d [30]) ); sky130_fd_sc_hd__a222oi_1 U19585 ( .A1(n15538), .A2(n15537), .B1(n21223), .B2(\lsu/bus_intf/ld_fwddata_buf_hi [23]), .C1(n15536), .C2(n15535), .Y(n15543) ); sky130_fd_sc_hd__a22oi_1 U19586 ( .A1(n25036), .A2(n15540), .B1(n25056), .B2(n15539), .Y(n15541) ); sky130_fd_sc_hd__o21ai_1 U19587 ( .A1(n15543), .A2(n15542), .B1(n15541), .Y( \lsu/bus_read_data_m [31]) ); sky130_fd_sc_hd__fa_2 U19588 ( .A(n15546), .B(n15545), .CIN(n15544), .COUT( n15148), .SUM(n15564) ); sky130_fd_sc_hd__o21a_1 U19589 ( .A1(n15548), .A2(n15547), .B1( \exu/i_mul/rs2_x [32]), .X(n15549) ); sky130_fd_sc_hd__a21oi_1 U19590 ( .A1(n15550), .A2(\exu/i_mul/rs2_x [31]), .B1(n15549), .Y(n15551) ); sky130_fd_sc_hd__o21ai_1 U19591 ( .A1(n15553), .A2(n15552), .B1(n15551), .Y( n15554) ); sky130_fd_sc_hd__xor2_1 U19592 ( .A(n15554), .B(\exu/i_mul/rs1_x [32]), .X( n15555) ); sky130_fd_sc_hd__xor2_1 U19593 ( .A(n10930), .B(n15555), .X(n15561) ); sky130_fd_sc_hd__o21ai_1 U19594 ( .A1(n15559), .A2(n15558), .B1(n15557), .Y( n15560) ); sky130_fd_sc_hd__xor2_1 U19595 ( .A(n15561), .B(n15560), .X(n15562) ); sky130_fd_sc_hd__a22o_1 U19596 ( .A1(\exu/i_mul/low_x ), .A2(n15564), .B1( n15563), .B2(n15562), .X(n15565) ); sky130_fd_sc_hd__a22o_1 U19597 ( .A1(\exu/mul_valid_x ), .A2(n15565), .B1( n21710), .B2(\exu/alu_result_x [31]), .X(n24004) ); sky130_fd_sc_hd__a22oi_1 U19598 ( .A1(n15567), .A2(n24004), .B1(n15566), .B2(\dec/decode/i0_result_r [31]), .Y(n15592) ); sky130_fd_sc_hd__a22oi_1 U19599 ( .A1(n15569), .A2( lsu_nonblock_load_data[31]), .B1(n15568), .B2(gpr_i0_rs1_d[31]), .Y( n15591) ); sky130_fd_sc_hd__nand2_1 U19600 ( .A(\lsu/dccm_rdata_hi_m [23]), .B(n25015), .Y(n15580) ); sky130_fd_sc_hd__a22oi_1 U19601 ( .A1(\lsu/stbuf/stbuf_data[0][23] ), .A2( n24847), .B1(\lsu/stbuf/stbuf_data[2][23] ), .B2(n24846), .Y(n15572) ); sky130_fd_sc_hd__a22oi_1 U19602 ( .A1(\lsu/stbuf/stbuf_data[3][23] ), .A2( n24884), .B1(\lsu/stbuf/stbuf_data[1][23] ), .B2(n24845), .Y(n15571) ); sky130_fd_sc_hd__a21oi_1 U19603 ( .A1(n15572), .A2(n15571), .B1(n15570), .Y( n15577) ); sky130_fd_sc_hd__o22ai_1 U19604 ( .A1(n15575), .A2(n15574), .B1(n22536), .B2(n15573), .Y(n15576) ); sky130_fd_sc_hd__a211oi_1 U19605 ( .A1(n15578), .A2( \lsu/store_data_hi_r [23]), .B1(n15577), .C1(n15576), .Y(n24974) ); sky130_fd_sc_hd__a21oi_1 U19606 ( .A1(n15580), .A2(n24974), .B1(n15579), .Y( n15581) ); sky130_fd_sc_hd__a21oi_1 U19607 ( .A1(n15583), .A2(n15582), .B1(n15581), .Y( n15588) ); sky130_fd_sc_hd__a22oi_1 U19608 ( .A1(n15586), .A2(n15585), .B1(n15584), .B2(\lsu/bus_read_data_m [31]), .Y(n15587) ); sky130_fd_sc_hd__nand3_1 U19609 ( .A(n15589), .B(n15588), .C(n15587), .Y( n24006) ); sky130_fd_sc_hd__nand2_1 U19610 ( .A(n15590), .B(n24006), .Y(n15604) ); sky130_fd_sc_hd__nand3_1 U19611 ( .A(n15592), .B(n15591), .C(n15604), .Y( \exu/muldiv_rs1_d [31]) ); sky130_fd_sc_hd__nand2_1 U19612 ( .A(\lsu/lsu_lsc_ctl/n78 ), .B( \lsu/lsu_lsc_ctl/n79 ), .Y(n17814) ); sky130_fd_sc_hd__a22o_1 U19613 ( .A1(\lsu/lsu_pkt_d[dma] ), .A2( dma_mem_addr[30]), .B1(n15601), .B2(\exu/muldiv_rs1_d [30]), .X(n15593) ); sky130_fd_sc_hd__a21oi_1 U19614 ( .A1(dec_extint_stall), .A2( dec_tlu_meihap[30]), .B1(n15593), .Y(n15594) ); sky130_fd_sc_hd__o21ai_1 U19615 ( .A1(n24001), .A2(n15595), .B1(n15594), .Y( n17829) ); sky130_fd_sc_hd__o22ai_1 U19616 ( .A1(n17826), .A2(n15597), .B1(n17837), .B2(n15598), .Y(n15596) ); sky130_fd_sc_hd__xor2_1 U19617 ( .A(n17839), .B(n15596), .X(n17842) ); sky130_fd_sc_hd__nor2_1 U19618 ( .A(n17826), .B(n15597), .Y(n15600) ); sky130_fd_sc_hd__nor2_1 U19619 ( .A(n17837), .B(n15598), .Y(n15599) ); sky130_fd_sc_hd__o22ai_1 U19620 ( .A1(n17839), .A2(n15600), .B1(n17829), .B2(n15599), .Y(n15606) ); sky130_fd_sc_hd__a22o_1 U19621 ( .A1(n15601), .A2(\exu/muldiv_rs1_d [31]), .B1(\lsu/lsu_pkt_d[dma] ), .B2(dma_mem_addr[31]), .X(n15602) ); sky130_fd_sc_hd__a21oi_1 U19622 ( .A1(dec_extint_stall), .A2( dec_tlu_meihap[31]), .B1(n15602), .Y(n15603) ); sky130_fd_sc_hd__o21ai_1 U19623 ( .A1(n15605), .A2(n15604), .B1(n15603), .Y( n17835) ); sky130_fd_sc_hd__xor2_1 U19624 ( .A(n15606), .B(n17835), .X(n17823) ); sky130_fd_sc_hd__nor3_1 U19625 ( .A(n17814), .B(n17842), .C(n17823), .Y( n21325) ); sky130_fd_sc_hd__o32ai_1 U19626 ( .A1(n15678), .A2(n15654), .A3(n15612), .B1(n15609), .B2(n15657), .Y(n15607) ); sky130_fd_sc_hd__xor2_1 U19627 ( .A(n15660), .B(n15607), .X( \lsu/lsu_lsc_ctl/n89 ) ); sky130_fd_sc_hd__nand2_1 U19628 ( .A(n15659), .B(n15649), .Y(n15614) ); sky130_fd_sc_hd__xnor2_1 U19629 ( .A(n15608), .B(n17856), .Y( \lsu/lsu_lsc_ctl/n87 ) ); sky130_fd_sc_hd__o21ai_1 U19630 ( .A1(n15612), .A2(n15654), .B1(n15609), .Y( n15610) ); sky130_fd_sc_hd__xor2_1 U19631 ( .A(n15657), .B(n15610), .X( \lsu/lsu_lsc_ctl/n90 ) ); sky130_fd_sc_hd__nor2b_1 U19632 ( .B_N(n15612), .A(n15611), .Y(n15613) ); sky130_fd_sc_hd__xor2_1 U19633 ( .A(n15654), .B(n15613), .X( \lsu/lsu_lsc_ctl/n91 ) ); sky130_fd_sc_hd__nand3_1 U19634 ( .A(n15648), .B(n17083), .C(n17856), .Y( n15640) ); sky130_fd_sc_hd__nor2_1 U19635 ( .A(n15614), .B(n17856), .Y(n15637) ); sky130_fd_sc_hd__nor2_1 U19636 ( .A(n15637), .B(n15663), .Y(n15639) ); sky130_fd_sc_hd__a222oi_1 U19637 ( .A1(n15663), .A2(n15615), .B1(n15663), .B2(n15637), .C1(n15639), .C2(n15640), .Y(\lsu/lsu_lsc_ctl/n86 ) ); sky130_fd_sc_hd__nor4_1 U19638 ( .A(n15679), .B(n15722), .C(n15660), .D( n15657), .Y(n15618) ); sky130_fd_sc_hd__nand2_1 U19639 ( .A(n17864), .B(n20399), .Y(n20401) ); sky130_fd_sc_hd__nor4_1 U19640 ( .A(n17083), .B(n20404), .C(n20401), .D( n15682), .Y(n15616) ); sky130_fd_sc_hd__a31oi_1 U19641 ( .A1(n15618), .A2(n15617), .A3(n15616), .B1(n20402), .Y(n15633) ); sky130_fd_sc_hd__nand4b_1 U19642 ( .A_N(n15621), .B(n15620), .C(n15619), .D( n15666), .Y(n15622) ); sky130_fd_sc_hd__a21oi_1 U19643 ( .A1(n20396), .A2(n15622), .B1(n15665), .Y( n15630) ); sky130_fd_sc_hd__nor3_1 U19644 ( .A(n15681), .B(n15633), .C(n15623), .Y( n15624) ); sky130_fd_sc_hd__a31oi_1 U19645 ( .A1(n15681), .A2(n15643), .A3(n15625), .B1(n15624), .Y(\lsu/lsu_lsc_ctl/n82 ) ); sky130_fd_sc_hd__nor2_1 U19646 ( .A(n15627), .B(n15626), .Y(n15629) ); sky130_fd_sc_hd__nand2_1 U19647 ( .A(n15629), .B(n15682), .Y(n15628) ); sky130_fd_sc_hd__o21ai_1 U19648 ( .A1(n15630), .A2(n15629), .B1(n15628), .Y( \lsu/lsu_lsc_ctl/n83 ) ); sky130_fd_sc_hd__o21ai_1 U19649 ( .A1(n15631), .A2(n20402), .B1(n15691), .Y( n15632) ); sky130_fd_sc_hd__a211oi_1 U19650 ( .A1(n20402), .A2(n15642), .B1(n15633), .C1(n15632), .Y(n15634) ); sky130_fd_sc_hd__a31oi_1 U19651 ( .A1(n15687), .A2(n15645), .A3(n15642), .B1(n15634), .Y(\lsu/lsu_lsc_ctl/n80 ) ); sky130_fd_sc_hd__nor2_1 U19652 ( .A(n15676), .B(n15663), .Y(n15636) ); sky130_fd_sc_hd__a21oi_1 U19653 ( .A1(n15637), .A2(n15636), .B1(n15635), .Y( n15638) ); sky130_fd_sc_hd__xnor2_1 U19654 ( .A(n15638), .B(n15666), .Y( \lsu/lsu_lsc_ctl/n84 ) ); sky130_fd_sc_hd__a21oi_1 U19655 ( .A1(n15663), .A2(n15640), .B1(n15639), .Y( n15641) ); sky130_fd_sc_hd__xor2_1 U19656 ( .A(n15641), .B(n15676), .X( \lsu/lsu_lsc_ctl/n85 ) ); sky130_fd_sc_hd__o22ai_1 U19657 ( .A1(n15644), .A2(n15688), .B1(n15685), .B2(n15643), .Y(n15646) ); sky130_fd_sc_hd__o21ai_1 U19658 ( .A1(n15647), .A2(n15646), .B1(n15645), .Y( \lsu/lsu_lsc_ctl/n81 ) ); sky130_fd_sc_hd__nor2_1 U19659 ( .A(n15649), .B(n15648), .Y(n15650) ); sky130_fd_sc_hd__xor2_1 U19660 ( .A(n15659), .B(n15650), .X( \lsu/lsu_lsc_ctl/n88 ) ); sky130_fd_sc_hd__nand2_1 U19661 ( .A(\lsu/lsu_pkt_d[dma] ), .B(dma_mem_sz[1]), .Y(n17801) ); sky130_fd_sc_hd__nand2b_1 U19662 ( .A_N(dma_mem_sz[2]), .B(dma_mem_sz[0]), .Y(n15651) ); sky130_fd_sc_hd__nor2_1 U19663 ( .A(n17801), .B(n15651), .Y( \lsu/lsu_pkt_d[dword] ) ); sky130_fd_sc_hd__nand2b_1 U19664 ( .A_N(dma_mem_sz[1]), .B( \lsu/lsu_pkt_d[dma] ), .Y(n17802) ); sky130_fd_sc_hd__o22ai_1 U19665 ( .A1(n23926), .A2(n17804), .B1(n17802), .B2(n15651), .Y(\lsu/lsu_lsc_ctl/n112 ) ); sky130_fd_sc_hd__nand2b_1 U19666 ( .A_N(n17896), .B(n17897), .Y(n17863) ); sky130_fd_sc_hd__xor2_1 U19667 ( .A(n17897), .B(n17896), .X(n17866) ); sky130_fd_sc_hd__ha_1 U19668 ( .A(n17900), .B(n17899), .COUT(n17867), .SUM( n17869) ); sky130_fd_sc_hd__ha_1 U19669 ( .A(n17906), .B(n17905), .COUT(n17873), .SUM( n17875) ); sky130_fd_sc_hd__ha_1 U19670 ( .A(n17909), .B(n17908), .COUT(n17876), .SUM( n17878) ); sky130_fd_sc_hd__ha_1 U19671 ( .A(n17912), .B(n17911), .COUT(n17879), .SUM( n17881) ); sky130_fd_sc_hd__ha_1 U19672 ( .A(n17915), .B(n17914), .COUT(n17882), .SUM( n17884) ); sky130_fd_sc_hd__ha_1 U19673 ( .A(n17918), .B(n17917), .COUT(n17885), .SUM( n17887) ); sky130_fd_sc_hd__ha_1 U19674 ( .A(n15888), .B(n15887), .COUT(n17888), .SUM( n15803) ); sky130_fd_sc_hd__a31oi_1 U19675 ( .A1(n15880), .A2(n15652), .A3(n24110), .B1(dec_extint_stall), .Y(n17800) ); sky130_fd_sc_hd__o21ai_1 U19676 ( .A1(dma_mem_sz[2]), .A2(n17801), .B1( n17800), .Y(n15884) ); sky130_fd_sc_hd__fa_1 U19677 ( .A(\lsu/lsu_pkt_d[dword] ), .B(n15696), .CIN( n15697), .COUT(n15802), .SUM(n15693) ); sky130_fd_sc_hd__ha_1 U19678 ( .A(n15884), .B(n15882), .COUT(n15694), .SUM( n17890) ); sky130_fd_sc_hd__nand2b_1 U19679 ( .A_N(n15884), .B(n17832), .Y(n17893) ); sky130_fd_sc_hd__fa_1 U19680 ( .A(n15722), .B(n15654), .CIN(n15653), .COUT( n15677), .SUM(\lsu/lsu_lsc_ctl/n107 ) ); sky130_fd_sc_hd__fa_1 U19681 ( .A(n15657), .B(n15656), .CIN(n15655), .COUT( n15658), .SUM(\lsu/lsu_lsc_ctl/n105 ) ); sky130_fd_sc_hd__fa_1 U19682 ( .A(n15660), .B(n15659), .CIN(n15658), .COUT( n17081), .SUM(\lsu/lsu_lsc_ctl/n104 ) ); sky130_fd_sc_hd__fa_1 U19683 ( .A(n15663), .B(n15662), .CIN(n15661), .COUT( n15674), .SUM(\lsu/lsu_lsc_ctl/n101 ) ); sky130_fd_sc_hd__fa_1 U19684 ( .A(n15666), .B(n15665), .CIN(n15664), .COUT( n15680), .SUM(\lsu/lsu_lsc_ctl/n99 ) ); sky130_fd_sc_hd__nor3_1 U19685 ( .A(\lsu/lsu_lsc_ctl/n87 ), .B( \lsu/lsu_lsc_ctl/n90 ), .C(\lsu/lsu_lsc_ctl/n91 ), .Y(n15669) ); sky130_fd_sc_hd__nor3_1 U19686 ( .A(\lsu/lsu_lsc_ctl/n86 ), .B( \lsu/lsu_lsc_ctl/n82 ), .C(\lsu/lsu_lsc_ctl/n83 ), .Y(n15668) ); sky130_fd_sc_hd__nor4_1 U19687 ( .A(\lsu/lsu_lsc_ctl/n80 ), .B( \lsu/lsu_lsc_ctl/n84 ), .C(\lsu/lsu_lsc_ctl/n85 ), .D( \lsu/lsu_lsc_ctl/n81 ), .Y(n15667) ); sky130_fd_sc_hd__nand4_1 U19688 ( .A(\lsu/lsu_lsc_ctl/n89 ), .B(n15669), .C( n15668), .D(n15667), .Y(n15670) ); sky130_fd_sc_hd__nor2_1 U19689 ( .A(\lsu/lsu_lsc_ctl/addr_external_d ), .B( n15670), .Y(n17079) ); sky130_fd_sc_hd__nor2b_1 U19690 ( .B_N(n17079), .A(\lsu/lsu_lsc_ctl/n88 ), .Y(n15671) ); sky130_fd_sc_hd__nand2_1 U19691 ( .A(n15672), .B(\lsu/lsu_lsc_ctl/n105 ), .Y(n15673) ); sky130_fd_sc_hd__inv_2 U19692 ( .A(n15673), .Y(n17080) ); sky130_fd_sc_hd__nand2b_1 U19693 ( .A_N(\lsu/lsu_lsc_ctl/n104 ), .B(n17080), .Y(n17845) ); sky130_fd_sc_hd__fa_1 U19694 ( .A(n15676), .B(n15675), .CIN(n15674), .COUT( n15664), .SUM(\lsu/lsu_lsc_ctl/n100 ) ); sky130_fd_sc_hd__fa_1 U19695 ( .A(n15679), .B(n15678), .CIN(n15677), .COUT( n15655), .SUM(\lsu/lsu_lsc_ctl/n106 ) ); sky130_fd_sc_hd__fa_1 U19696 ( .A(n15682), .B(n15681), .CIN(n15680), .COUT( n15683), .SUM(\lsu/lsu_lsc_ctl/n98 ) ); sky130_fd_sc_hd__fa_1 U19697 ( .A(n15685), .B(n15684), .CIN(n15683), .COUT( n15686), .SUM(\lsu/lsu_lsc_ctl/n97 ) ); sky130_fd_sc_hd__fa_1 U19698 ( .A(n15688), .B(n15687), .CIN(n15686), .COUT( n15689), .SUM(\lsu/lsu_lsc_ctl/n96 ) ); sky130_fd_sc_hd__fa_1 U19699 ( .A(n15694), .B(n15693), .CIN(n15692), .COUT( n15801), .SUM(dccm_rd_addr_hi[2]) ); sky130_fd_sc_hd__fa_1 U19700 ( .A(n15697), .B(n15696), .CIN(n15695), .COUT( n15886), .SUM(dccm_rd_addr_lo[2]) ); sky130_fd_sc_hd__o2bb2ai_1 U19701 ( .B1(dccm_rd_addr_hi[2]), .B2(n21815), .A1_N(dccm_rd_addr_hi[2]), .A2_N(n21815), .Y( \lsu/bus_intf/ldst_dual_d ) ); sky130_fd_sc_hd__nor2_1 U19702 ( .A(n22080), .B( \lsu/dccm_ctl/lsu_double_ecc_error_r_ff ), .Y(n21809) ); sky130_fd_sc_hd__nor2_1 U19703 ( .A(n22454), .B( \lsu/dccm_ctl/lsu_double_ecc_error_r_ff ), .Y(n22042) ); sky130_fd_sc_hd__clkbuf_1 U19704 ( .A(n22042), .X(n22459) ); sky130_fd_sc_hd__nor2_1 U19705 ( .A(n21809), .B(n22459), .Y(n21806) ); sky130_fd_sc_hd__nor2_1 U19706 ( .A(\lsu/lsu_bus_buffer_full_any ), .B( n22278), .Y(n15719) ); sky130_fd_sc_hd__nor2_1 U19707 ( .A(\lsu/stbuf/ldst_dual_r ), .B(n15699), .Y(n15705) ); sky130_fd_sc_hd__nand2_1 U19708 ( .A(n20384), .B(\lsu/lsu_pkt_m[valid] ), .Y(n20386) ); sky130_fd_sc_hd__nor2_1 U19709 ( .A(n25067), .B(n20386), .Y(n21111) ); sky130_fd_sc_hd__nand2_1 U19710 ( .A(\lsu/addr_in_dccm_m ), .B(n21111), .Y( n15707) ); sky130_fd_sc_hd__nor2_1 U19711 ( .A(\lsu/stbuf/ldst_dual_m ), .B(n15707), .Y(n15704) ); sky130_fd_sc_hd__nor2_1 U19712 ( .A(n21595), .B(n21588), .Y(n15701) ); sky130_fd_sc_hd__a21oi_1 U19713 ( .A1(n21595), .A2(n21588), .B1(n15701), .Y( n15698) ); sky130_fd_sc_hd__fa_1 U19714 ( .A(\lsu/stbuf/stbuf_vld [3]), .B( \lsu/stbuf/stbuf_vld [0]), .CIN(n15698), .COUT(n15700), .SUM(n15703) ); sky130_fd_sc_hd__a222oi_1 U19715 ( .A1(n15702), .A2(n15701), .B1(n15702), .B2(n15700), .C1(n15701), .C2(n15700), .Y(n15715) ); sky130_fd_sc_hd__nand2b_1 U19716 ( .A_N(n15699), .B(\lsu/stbuf/ldst_dual_r ), .Y(n15708) ); sky130_fd_sc_hd__nor3_1 U19717 ( .A(n15702), .B(n15701), .C(n15700), .Y( n15709) ); sky130_fd_sc_hd__fa_1 U19718 ( .A(n15705), .B(n15704), .CIN(n15703), .COUT( n15702), .SUM(n15706) ); sky130_fd_sc_hd__o21ai_1 U19719 ( .A1(\lsu/lsu_pkt_d[dma] ), .A2( \lsu/bus_intf/ldst_dual_d ), .B1(n15706), .Y(n15711) ); sky130_fd_sc_hd__nand2_1 U19720 ( .A(n17085), .B(\lsu/stbuf/ldst_dual_m ), .Y(n15710) ); sky130_fd_sc_hd__o211ai_1 U19721 ( .A1(n15708), .A2(n15709), .B1(n15711), .C1(n15710), .Y(n15713) ); sky130_fd_sc_hd__o211ai_1 U19722 ( .A1(n15711), .A2(n15710), .B1(n15709), .C1(n15708), .Y(n15712) ); sky130_fd_sc_hd__nand2_1 U19723 ( .A(n15713), .B(n15712), .Y(n15714) ); sky130_fd_sc_hd__nand3_1 U19724 ( .A(n15719), .B(n15715), .C(n15714), .Y( lsu_store_stall_any) ); sky130_fd_sc_hd__nor3_1 U19725 ( .A(n25080), .B(\dec/decode/flush_final_r ), .C(n15716), .Y(n15798) ); sky130_fd_sc_hd__o21ai_1 U19726 ( .A1(dma_dccm_stall_any), .A2( lsu_store_stall_any), .B1(n24037), .Y(n15718) ); sky130_fd_sc_hd__nor2_1 U19727 ( .A(n16151), .B(n17450), .Y(n16191) ); sky130_fd_sc_hd__nand2_1 U19728 ( .A(n23906), .B(n16191), .Y(n15764) ); sky130_fd_sc_hd__nand2b_1 U19729 ( .A_N(n15764), .B(n24052), .Y(n24038) ); sky130_fd_sc_hd__a32oi_1 U19730 ( .A1(n15719), .A2(n15718), .A3(n15717), .B1(n24038), .B2(n15718), .Y(n15799) ); sky130_fd_sc_hd__nor2_1 U19731 ( .A(\ifu/aln/error_stall ), .B(n21795), .Y( ifu_pmu_instr_aligned) ); sky130_fd_sc_hd__nand2_1 U19732 ( .A(n20393), .B(n20396), .Y(n20400) ); sky130_fd_sc_hd__o21ai_1 U19733 ( .A1(n20400), .A2(n17858), .B1(n15720), .Y( n15721) ); sky130_fd_sc_hd__xor2_1 U19734 ( .A(n15722), .B(n15721), .X( dccm_rd_addr_lo[15]) ); sky130_fd_sc_hd__nor2_1 U19735 ( .A(n24025), .B(n23930), .Y(n16214) ); sky130_fd_sc_hd__nor2_1 U19736 ( .A(n15723), .B(n17445), .Y(\i0_ap[csr_imm] ) ); sky130_fd_sc_hd__o22ai_1 U19737 ( .A1(n24054), .A2(\dec/dec_i0_waddr_r [2]), .B1(n11289), .B2(n17419), .Y(n15738) ); sky130_fd_sc_hd__o22ai_1 U19738 ( .A1(n23942), .A2(\dec/dec_i0_waddr_r [4]), .B1(n11121), .B2(n22500), .Y(n15737) ); sky130_fd_sc_hd__o22ai_1 U19739 ( .A1(n24054), .A2(\dec/decode/x_d[i0rd][2] ), .B1(n11289), .B2(n15724), .Y(n15731) ); sky130_fd_sc_hd__o21ai_1 U19740 ( .A1(n23942), .A2(\dec/decode/x_d[i0rd][4] ), .B1(\dec/decode/x_d[i0v] ), .Y(n15730) ); sky130_fd_sc_hd__o22ai_1 U19741 ( .A1(n23941), .A2(n16406), .B1( \dec/dec_i0_rs2_d [3]), .B2(\dec/decode/x_d[i0rd][3] ), .Y(n15725) ); sky130_fd_sc_hd__o21ai_1 U19742 ( .A1(n23940), .A2(\dec/decode/x_d[i0rd][1] ), .B1(n15725), .Y(n15729) ); sky130_fd_sc_hd__o221ai_1 U19743 ( .A1(n23939), .A2( \dec/decode/x_d[i0rd][0] ), .B1(n25081), .B2(n15727), .C1(n15726), .Y( n15728) ); sky130_fd_sc_hd__nor4_1 U19744 ( .A(n15731), .B(n15730), .C(n15729), .D( n15728), .Y(n15739) ); sky130_fd_sc_hd__o22ai_1 U19745 ( .A1(n23940), .A2(n22502), .B1( \dec/dec_i0_rs2_d [1]), .B2(\dec/dec_i0_waddr_r [1]), .Y(n15734) ); sky130_fd_sc_hd__o22ai_1 U19746 ( .A1(n23941), .A2(\dec/dec_i0_waddr_r [3]), .B1(\dec/dec_i0_waddr_r [0]), .B2(n23939), .Y(n15732) ); sky130_fd_sc_hd__a221oi_1 U19747 ( .A1(n23941), .A2(\dec/dec_i0_waddr_r [3]), .B1(n23939), .B2(\dec/dec_i0_waddr_r [0]), .C1(n15732), .Y(n15733) ); sky130_fd_sc_hd__nand4b_1 U19748 ( .A_N(n15739), .B(n15735), .C(n15734), .D( n15733), .Y(n15736) ); sky130_fd_sc_hd__nor4_1 U19749 ( .A(n15756), .B(n15738), .C(n15737), .D( n15736), .Y(n16078) ); sky130_fd_sc_hd__nor2_1 U19750 ( .A(\dec/decode/i0_x_c[mul] ), .B( \dec/decode/i0_x_c[alu] ), .Y(n15740) ); sky130_fd_sc_hd__nand2_1 U19751 ( .A(n15753), .B(n15739), .Y(n15741) ); sky130_fd_sc_hd__nor2_1 U19752 ( .A(n15740), .B(n15741), .Y(n16133) ); sky130_fd_sc_hd__a22oi_1 U19753 ( .A1(n16134), .A2( \dec/decode/i0_result_r [0]), .B1(n16133), .B2(n23944), .Y(n15758) ); sky130_fd_sc_hd__nor2b_1 U19754 ( .B_N(\dec/decode/i0_x_c[load] ), .A(n15741), .Y(n23775) ); sky130_fd_sc_hd__nor3_1 U19755 ( .A(n16134), .B(n16133), .C(n23775), .Y( n15755) ); sky130_fd_sc_hd__o22ai_1 U19756 ( .A1(n23941), .A2( \dec/dec_nonblock_load_waddr [3]), .B1(\dec/dec_i0_rs2_d [3]), .B2( n15742), .Y(n15749) ); sky130_fd_sc_hd__o22ai_1 U19757 ( .A1(n23940), .A2( \dec/dec_nonblock_load_waddr [1]), .B1(\dec/dec_i0_rs2_d [1]), .B2( n15743), .Y(n15748) ); sky130_fd_sc_hd__o22ai_1 U19758 ( .A1(n24054), .A2( \dec/dec_nonblock_load_waddr [2]), .B1(n11289), .B2(n15744), .Y(n15747) ); sky130_fd_sc_hd__o22ai_1 U19759 ( .A1(n23939), .A2( \dec/dec_nonblock_load_waddr [0]), .B1(n25081), .B2(n15745), .Y(n15746) ); sky130_fd_sc_hd__nor4_1 U19760 ( .A(n15749), .B(n15748), .C(n15747), .D( n15746), .Y(n15752) ); sky130_fd_sc_hd__o22ai_1 U19761 ( .A1(n23942), .A2(n15750), .B1(n11121), .B2(\dec/dec_nonblock_load_waddr [4]), .Y(n15751) ); sky130_fd_sc_hd__nand4_1 U19762 ( .A(\dec/dec_nonblock_load_wen ), .B(n15753), .C(n15752), .D(n15751), .Y(n15754) ); sky130_fd_sc_hd__nor2b_1 U19763 ( .B_N(n15755), .A(n15754), .Y(n16136) ); sky130_fd_sc_hd__nand2_1 U19764 ( .A(n15755), .B(n15754), .Y(n16093) ); sky130_fd_sc_hd__nor2_1 U19765 ( .A(n15756), .B(n16093), .Y(n16135) ); sky130_fd_sc_hd__a22oi_1 U19766 ( .A1(n16136), .A2(lsu_nonblock_load_data[0]), .B1(n16135), .B2(gpr_i0_rs2_d[0]), .Y(n15757) ); sky130_fd_sc_hd__nand2_1 U19767 ( .A(n23775), .B(n23943), .Y(n23777) ); sky130_fd_sc_hd__nand3_1 U19768 ( .A(n15758), .B(n15757), .C(n23777), .Y( n23779) ); sky130_fd_sc_hd__nand2_1 U19769 ( .A(n16002), .B(n24052), .Y(n24032) ); sky130_fd_sc_hd__nor3_1 U19770 ( .A(n21757), .B(n17462), .C(n24032), .Y( n24035) ); sky130_fd_sc_hd__nand2_1 U19771 ( .A(n18807), .B(n24035), .Y(n21754) ); sky130_fd_sc_hd__nand2b_1 U19772 ( .A_N(n21754), .B(\i0_ap[csr_imm] ), .Y( n16140) ); sky130_fd_sc_hd__nor2_1 U19773 ( .A(n24025), .B(n15759), .Y(n16051) ); sky130_fd_sc_hd__nor2_1 U19774 ( .A(n17463), .B(n16144), .Y(n15994) ); sky130_fd_sc_hd__nor2_1 U19775 ( .A(n16051), .B(n15994), .Y(n15760) ); sky130_fd_sc_hd__nand2_1 U19776 ( .A(n25081), .B(n24562), .Y(n16100) ); sky130_fd_sc_hd__o22ai_1 U19777 ( .A1(n23933), .A2(n16140), .B1(n15760), .B2(n16100), .Y(n15761) ); sky130_fd_sc_hd__a211oi_1 U19778 ( .A1(n10879), .A2( \dec/dec_csr_rddata_d [0]), .B1(n23779), .C1(n15761), .Y(n16186) ); sky130_fd_sc_hd__clkbuf_1 U19779 ( .A(n16186), .X(n19365) ); sky130_fd_sc_hd__nor2_1 U19780 ( .A(n16151), .B(n15787), .Y(n18806) ); sky130_fd_sc_hd__o22ai_1 U19781 ( .A1(n23930), .A2(n23914), .B1(n15762), .B2(n24110), .Y(n15775) ); sky130_fd_sc_hd__o21ai_1 U19782 ( .A1(n15763), .A2(n17454), .B1(n24040), .Y( n15773) ); sky130_fd_sc_hd__nand3_1 U19783 ( .A(n24024), .B(n24020), .C(n24043), .Y( n15778) ); sky130_fd_sc_hd__nor3_1 U19784 ( .A(\exu/i0_predict_p_d[toffset][6] ), .B( \exu/i0_predict_p_d[toffset][5] ), .C(n15778), .Y(n15767) ); sky130_fd_sc_hd__nand2_1 U19785 ( .A(n24017), .B(n15767), .Y(n15781) ); sky130_fd_sc_hd__nor2_1 U19786 ( .A(n24046), .B(n19313), .Y(n17460) ); sky130_fd_sc_hd__nand2_1 U19787 ( .A(n23930), .B(n17460), .Y(n24108) ); sky130_fd_sc_hd__o21ai_1 U19788 ( .A1(n15781), .A2(n24108), .B1(n15764), .Y( n15771) ); sky130_fd_sc_hd__nor2_1 U19789 ( .A(n24017), .B(n17450), .Y(n15765) ); sky130_fd_sc_hd__nand2_1 U19790 ( .A(n24017), .B(n17458), .Y(n17465) ); sky130_fd_sc_hd__o22ai_1 U19791 ( .A1(n15765), .A2( \exu/i0_predict_p_d[toffset][9] ), .B1(n17462), .B2(n17465), .Y(n15766) ); sky130_fd_sc_hd__a21oi_1 U19792 ( .A1(n15767), .A2(n15766), .B1(n17463), .Y( n15769) ); sky130_fd_sc_hd__a22oi_1 U19793 ( .A1(n16148), .A2(n24108), .B1(n15769), .B2(n15768), .Y(n15770) ); sky130_fd_sc_hd__a21oi_1 U19794 ( .A1(n23928), .A2(n15771), .B1(n15770), .Y( n15772) ); sky130_fd_sc_hd__o22ai_1 U19795 ( .A1(n24053), .A2(n15773), .B1(n15772), .B2(n17442), .Y(n15774) ); sky130_fd_sc_hd__a21oi_1 U19796 ( .A1(n18806), .A2(n15775), .B1(n15774), .Y( n15792) ); sky130_fd_sc_hd__nand2b_1 U19797 ( .A_N(n15781), .B(n23928), .Y(n15776) ); sky130_fd_sc_hd__o22ai_1 U19798 ( .A1(n24042), .A2(n15778), .B1(n15777), .B2(n15776), .Y(n15779) ); sky130_fd_sc_hd__a31oi_1 U19799 ( .A1(n16191), .A2(n24046), .A3(n15779), .B1(n23908), .Y(n15791) ); sky130_fd_sc_hd__nor4_1 U19800 ( .A(n17072), .B(n17458), .C(n15780), .D( \exu/i0_predict_p_d[toffset][9] ), .Y(n15789) ); sky130_fd_sc_hd__nor4_1 U19801 ( .A(n16148), .B(\dec/dec_i0_rs2_d [1]), .C( n11289), .D(n15781), .Y(n15785) ); sky130_fd_sc_hd__nand3_1 U19802 ( .A(n24017), .B(n24024), .C( \exu/i0_predict_p_d[toffset][7] ), .Y(n21755) ); sky130_fd_sc_hd__nor4_1 U19803 ( .A(n23940), .B(n24020), .C(n25081), .D( n11289), .Y(n21751) ); sky130_fd_sc_hd__nor4_1 U19804 ( .A(n24054), .B(n23939), .C( \dec/dec_i0_rs2_d [1]), .D(\exu/i0_predict_p_d[toffset][8] ), .Y( n15782) ); sky130_fd_sc_hd__o21ai_1 U19805 ( .A1(n21751), .A2(n15782), .B1(n16002), .Y( n15783) ); sky130_fd_sc_hd__nor4_1 U19806 ( .A(\exu/i0_predict_p_d[toffset][6] ), .B( \exu/i0_predict_p_d[toffset][5] ), .C(n21755), .D(n15783), .Y(n15784) ); sky130_fd_sc_hd__o21ai_1 U19807 ( .A1(n15785), .A2(n15784), .B1(n21752), .Y( n15786) ); sky130_fd_sc_hd__o21ai_1 U19808 ( .A1(n15787), .A2(n15786), .B1(n23908), .Y( n15788) ); sky130_fd_sc_hd__nand3_1 U19809 ( .A(n18807), .B(n15789), .C(n15788), .Y( n15790) ); sky130_fd_sc_hd__o22ai_1 U19810 ( .A1(n15792), .A2(n19303), .B1(n15791), .B2(n15790), .Y(n15793) ); sky130_fd_sc_hd__a31oi_1 U19811 ( .A1(n17072), .A2(n15794), .A3(n19303), .B1(n15793), .Y(n15795) ); sky130_fd_sc_hd__a21oi_1 U19812 ( .A1(n15796), .A2(n24119), .B1(n15795), .Y( n15797) ); sky130_fd_sc_hd__nor2_1 U19813 ( .A(n10879), .B(n24035), .Y(n24919) ); sky130_fd_sc_hd__o22ai_1 U19814 ( .A1(n15797), .A2(n24025), .B1(n24919), .B2(\dec/dec_csr_legal_d ), .Y(n19316) ); sky130_fd_sc_hd__nand2_1 U19815 ( .A(n15798), .B(n21761), .Y(n19345) ); sky130_fd_sc_hd__nor2_1 U19816 ( .A(n19345), .B(n15799), .Y( \dec/decode/d_t[legal] ) ); sky130_fd_sc_hd__nor2_1 U19817 ( .A(n15800), .B(n24055), .Y( \exu/i0_predict_p_d[valid] ) ); sky130_fd_sc_hd__fa_1 U19818 ( .A(n15803), .B(n15802), .CIN(n15801), .COUT( n17886), .SUM(dccm_rd_addr_hi[3]) ); sky130_fd_sc_hd__xnor2_1 U19819 ( .A(\lsu/dccm_rdata_hi_m [28]), .B( \lsu/dccm_rdata_hi_m [26]), .Y(n15804) ); sky130_fd_sc_hd__xnor2_1 U19820 ( .A(n15804), .B(\lsu/dccm_rdata_hi_m [30]), .Y(n15859) ); sky130_fd_sc_hd__xnor2_1 U19821 ( .A(\lsu/dccm_rdata_hi_m [4]), .B(n15859), .Y(n15808) ); sky130_fd_sc_hd__clkinv_1 U19822 ( .A(\lsu/dccm_rdata_hi_m [17]), .Y(n15850) ); sky130_fd_sc_hd__clkinv_1 U19823 ( .A(\lsu/dccm_rdata_hi_m [23]), .Y(n15872) ); sky130_fd_sc_hd__o22ai_1 U19824 ( .A1(\lsu/dccm_rdata_hi_m [15]), .A2(n15872), .B1(n15805), .B2(\lsu/dccm_rdata_hi_m [23]), .Y(n15806) ); sky130_fd_sc_hd__xor2_1 U19825 ( .A(n15850), .B(n15806), .X(n15868) ); sky130_fd_sc_hd__xnor2_1 U19826 ( .A(\lsu/dccm_rdata_hi_m [11]), .B(n15868), .Y(n15842) ); sky130_fd_sc_hd__xor2_1 U19827 ( .A(n15842), .B(\lsu/dccm_data_ecc_hi_m [0]), .X(n15807) ); sky130_fd_sc_hd__xnor2_1 U19828 ( .A(n15808), .B(n15807), .Y(n15810) ); sky130_fd_sc_hd__o2bb2ai_1 U19829 ( .B1(\lsu/dccm_rdata_hi_m [3]), .B2( n15838), .A1_N(\lsu/dccm_rdata_hi_m [3]), .A2_N(n15838), .Y(n15809) ); sky130_fd_sc_hd__xor2_1 U19830 ( .A(\lsu/dccm_rdata_hi_m [0]), .B(n15809), .X(n15843) ); sky130_fd_sc_hd__xor2_1 U19831 ( .A(n15810), .B(n15843), .X(n15830) ); sky130_fd_sc_hd__o2bb2ai_1 U19832 ( .B1(n15811), .B2( \lsu/dccm_rdata_hi_m [10]), .A1_N(n15811), .A2_N( \lsu/dccm_rdata_hi_m [10]), .Y(n15875) ); sky130_fd_sc_hd__xnor2_1 U19833 ( .A(\lsu/dccm_rdata_hi_m [1]), .B(n15875), .Y(n15829) ); sky130_fd_sc_hd__xnor2_1 U19834 ( .A(\lsu/dccm_data_ecc_hi_m [2]), .B(n15829), .Y(n15813) ); sky130_fd_sc_hd__o2bb2ai_1 U19835 ( .B1(\lsu/dccm_rdata_hi_m [14]), .B2( \lsu/dccm_rdata_hi_m [29]), .A1_N(\lsu/dccm_rdata_hi_m [14]), .A2_N( \lsu/dccm_rdata_hi_m [29]), .Y(n15812) ); sky130_fd_sc_hd__xnor2_1 U19836 ( .A(n15813), .B(n15812), .Y(n15863) ); sky130_fd_sc_hd__o2bb2ai_1 U19837 ( .B1(\lsu/dccm_rdata_hi_m [6]), .B2( \lsu/dccm_rdata_hi_m [5]), .A1_N(\lsu/dccm_rdata_hi_m [6]), .A2_N( \lsu/dccm_rdata_hi_m [5]), .Y(n15846) ); sky130_fd_sc_hd__xnor2_1 U19838 ( .A(\lsu/dccm_data_ecc_hi_m [3]), .B(n15846), .Y(n15814) ); sky130_fd_sc_hd__o2bb2ai_1 U19839 ( .B1(\lsu/dccm_rdata_hi_m [7]), .B2( \lsu/dccm_rdata_hi_m [9]), .A1_N(\lsu/dccm_rdata_hi_m [7]), .A2_N( \lsu/dccm_rdata_hi_m [9]), .Y(n15862) ); sky130_fd_sc_hd__xnor2_1 U19840 ( .A(n15814), .B(n15862), .Y(n15874) ); sky130_fd_sc_hd__xnor2_1 U19841 ( .A(n15863), .B(n15874), .Y(n15823) ); sky130_fd_sc_hd__o2bb2ai_1 U19842 ( .B1(\lsu/dccm_rdata_hi_m [12]), .B2( \lsu/dccm_rdata_hi_m [27]), .A1_N(\lsu/dccm_rdata_hi_m [12]), .A2_N( \lsu/dccm_rdata_hi_m [27]), .Y(n15816) ); sky130_fd_sc_hd__o2bb2ai_1 U19843 ( .B1(\lsu/dccm_rdata_hi_m [16]), .B2( \lsu/dccm_rdata_hi_m [31]), .A1_N(\lsu/dccm_rdata_hi_m [16]), .A2_N( \lsu/dccm_rdata_hi_m [31]), .Y(n15815) ); sky130_fd_sc_hd__xnor2_1 U19844 ( .A(\lsu/dccm_rdata_hi_m [2]), .B(n15815), .Y(n15867) ); sky130_fd_sc_hd__xor2_1 U19845 ( .A(n15816), .B(n15867), .X(n15817) ); sky130_fd_sc_hd__xnor2_1 U19846 ( .A(\lsu/dccm_data_ecc_hi_m [1]), .B(n15817), .Y(n15856) ); sky130_fd_sc_hd__xnor2_1 U19847 ( .A(n15856), .B(\lsu/dccm_data_ecc_hi_m [5]), .Y(n15821) ); sky130_fd_sc_hd__xnor2_1 U19848 ( .A(\lsu/dccm_rdata_hi_m [21]), .B( \lsu/dccm_rdata_hi_m [25]), .Y(n15833) ); sky130_fd_sc_hd__xnor2_1 U19849 ( .A(\lsu/dccm_rdata_hi_m [20]), .B(n15833), .Y(n15844) ); sky130_fd_sc_hd__clkinv_1 U19850 ( .A(\lsu/dccm_rdata_hi_m [24]), .Y(n15849) ); sky130_fd_sc_hd__xnor2_1 U19851 ( .A(\lsu/dccm_rdata_hi_m [22]), .B(n15849), .Y(n15861) ); sky130_fd_sc_hd__xnor2_1 U19852 ( .A(n15844), .B(n15861), .Y(n15819) ); sky130_fd_sc_hd__o2bb2ai_1 U19853 ( .B1(\lsu/dccm_rdata_hi_m [19]), .B2( \lsu/dccm_rdata_hi_m [18]), .A1_N(\lsu/dccm_rdata_hi_m [19]), .A2_N( \lsu/dccm_rdata_hi_m [18]), .Y(n15818) ); sky130_fd_sc_hd__xnor2_1 U19854 ( .A(n15819), .B(n15818), .Y(n15876) ); sky130_fd_sc_hd__xnor2_1 U19855 ( .A(\lsu/dccm_data_ecc_hi_m [4]), .B(n15876), .Y(n15837) ); sky130_fd_sc_hd__xor2_1 U19856 ( .A(n15837), .B(\lsu/dccm_data_ecc_hi_m [6]), .X(n15820) ); sky130_fd_sc_hd__xnor2_1 U19857 ( .A(n15821), .B(n15820), .Y(n15822) ); sky130_fd_sc_hd__xnor2_1 U19858 ( .A(n15823), .B(n15822), .Y(n15824) ); sky130_fd_sc_hd__xnor2_1 U19859 ( .A(n15830), .B(n15824), .Y(n17788) ); sky130_fd_sc_hd__o22ai_1 U19860 ( .A1(\lsu/lsu_addr_m [2]), .A2(n15825), .B1(n21089), .B2(\lsu/end_addr_m [2]), .Y(n15828) ); sky130_fd_sc_hd__nor4_1 U19861 ( .A(n15826), .B(dec_tlu_core_ecc_disable), .C(n20383), .D(n23427), .Y(n15827) ); sky130_fd_sc_hd__nand2_1 U19862 ( .A(\lsu/lsu_dccm_rden_m ), .B(n15827), .Y( n17785) ); sky130_fd_sc_hd__o21bai_1 U19863 ( .A1(\lsu/lsu_pkt_m[dma] ), .A2(n15828), .B1_N(n17785), .Y(n17726) ); sky130_fd_sc_hd__nor2_1 U19864 ( .A(n17788), .B(n17726), .Y( \lsu/ecc/single_ecc_error_hi_any ) ); sky130_fd_sc_hd__xnor2_1 U19865 ( .A(n15830), .B(n15829), .Y(n15832) ); sky130_fd_sc_hd__o2bb2ai_1 U19866 ( .B1(\lsu/dccm_rdata_hi_m [6]), .B2( \lsu/dccm_rdata_hi_m [19]), .A1_N(\lsu/dccm_rdata_hi_m [6]), .A2_N( \lsu/dccm_rdata_hi_m [19]), .Y(n15831) ); sky130_fd_sc_hd__xnor2_1 U19867 ( .A(n15832), .B(n15831), .Y(n15834) ); sky130_fd_sc_hd__xnor2_1 U19868 ( .A(n15834), .B(n15833), .Y(n23718) ); sky130_fd_sc_hd__o2bb2ai_1 U19869 ( .B1(n15835), .B2( \lsu/dccm_rdata_hi_m [16]), .A1_N(n15835), .A2_N( \lsu/dccm_rdata_hi_m [16]), .Y(n15836) ); sky130_fd_sc_hd__xnor2_1 U19870 ( .A(n15837), .B(n15836), .Y(n15840) ); sky130_fd_sc_hd__o2bb2ai_1 U19871 ( .B1(n15838), .B2( \lsu/dccm_rdata_hi_m [14]), .A1_N(n15838), .A2_N( \lsu/dccm_rdata_hi_m [14]), .Y(n15839) ); sky130_fd_sc_hd__xnor2_1 U19872 ( .A(n15840), .B(n15839), .Y(n15841) ); sky130_fd_sc_hd__xor2_1 U19873 ( .A(n15842), .B(n15841), .X(n23544) ); sky130_fd_sc_hd__nand3_1 U19874 ( .A(\lsu/ecc/single_ecc_error_hi_any ), .B( n23718), .C(n23717), .Y(n23755) ); sky130_fd_sc_hd__xor2_1 U19875 ( .A(n15845), .B(n15844), .X(n15848) ); sky130_fd_sc_hd__xnor2_1 U19876 ( .A(n15846), .B(\lsu/dccm_rdata_hi_m [28]), .Y(n15847) ); sky130_fd_sc_hd__xnor2_1 U19877 ( .A(n15848), .B(n15847), .Y(n15852) ); sky130_fd_sc_hd__o22ai_1 U19878 ( .A1(\lsu/dccm_rdata_hi_m [17]), .A2( \lsu/dccm_rdata_hi_m [24]), .B1(n15850), .B2(n15849), .Y(n15851) ); sky130_fd_sc_hd__xnor2_1 U19879 ( .A(n15852), .B(n15851), .Y(n15854) ); sky130_fd_sc_hd__o2bb2ai_1 U19880 ( .B1(\lsu/dccm_rdata_hi_m [10]), .B2( \lsu/dccm_rdata_hi_m [9]), .A1_N(\lsu/dccm_rdata_hi_m [10]), .A2_N( \lsu/dccm_rdata_hi_m [9]), .Y(n15853) ); sky130_fd_sc_hd__xnor2_1 U19881 ( .A(n15854), .B(n15853), .Y(n15855) ); sky130_fd_sc_hd__xor2_1 U19882 ( .A(n15856), .B(n15855), .X(n17725) ); sky130_fd_sc_hd__xnor2_1 U19883 ( .A(\lsu/dccm_rdata_hi_m [31]), .B( \lsu/dccm_rdata_hi_m [27]), .Y(n15858) ); sky130_fd_sc_hd__xor2_1 U19884 ( .A(\lsu/dccm_data_ecc_hi_m [5]), .B( \lsu/dccm_rdata_hi_m [29]), .X(n15857) ); sky130_fd_sc_hd__xnor2_1 U19885 ( .A(n15858), .B(n15857), .Y(n15860) ); sky130_fd_sc_hd__xor2_1 U19886 ( .A(n15860), .B(n15859), .X(n17724) ); sky130_fd_sc_hd__xnor2_1 U19887 ( .A(\lsu/dccm_rdata_hi_m [30]), .B(n15861), .Y(n15871) ); sky130_fd_sc_hd__xnor2_1 U19888 ( .A(n15863), .B(n15862), .Y(n15865) ); sky130_fd_sc_hd__o2bb2ai_1 U19889 ( .B1(\lsu/dccm_rdata_hi_m [3]), .B2( \lsu/dccm_rdata_hi_m [25]), .A1_N(\lsu/dccm_rdata_hi_m [3]), .A2_N( \lsu/dccm_rdata_hi_m [25]), .Y(n15864) ); sky130_fd_sc_hd__xnor2_1 U19890 ( .A(n15865), .B(n15864), .Y(n15866) ); sky130_fd_sc_hd__xnor2_1 U19891 ( .A(n15867), .B(n15866), .Y(n15869) ); sky130_fd_sc_hd__xnor2_1 U19892 ( .A(n15869), .B(n15868), .Y(n15870) ); sky130_fd_sc_hd__xor2_1 U19893 ( .A(n15871), .B(n15870), .X(n23563) ); sky130_fd_sc_hd__o2bb2ai_1 U19894 ( .B1(\lsu/dccm_rdata_hi_m [4]), .B2( n15872), .A1_N(\lsu/dccm_rdata_hi_m [4]), .A2_N(n15872), .Y(n15873) ); sky130_fd_sc_hd__xnor2_1 U19895 ( .A(n15874), .B(n15873), .Y(n15878) ); sky130_fd_sc_hd__xnor2_1 U19896 ( .A(n15876), .B(n15875), .Y(n15877) ); sky130_fd_sc_hd__xnor2_1 U19897 ( .A(n15878), .B(n15877), .Y(n23562) ); sky130_fd_sc_hd__nor2_1 U19898 ( .A(n23563), .B(n23562), .Y(n23631) ); sky130_fd_sc_hd__nand3_1 U19899 ( .A(n17725), .B(n17724), .C(n23631), .Y( n15972) ); sky130_fd_sc_hd__o21ai_1 U19900 ( .A1(n23755), .A2(n15972), .B1( \lsu/dccm_rdata_hi_m [28]), .Y(n15879) ); sky130_fd_sc_hd__o31ai_1 U19901 ( .A1(n23755), .A2(\lsu/dccm_rdata_hi_m [28]), .A3(n15972), .B1(n15879), .Y(\lsu/sec_data_hi_m [28]) ); sky130_fd_sc_hd__a22oi_1 U19902 ( .A1(n15880), .A2(n24038), .B1( \lsu/lsu_pkt_d[dma] ), .B2(n10897), .Y(\lsu/lsu_pkt_d[load] ) ); sky130_fd_sc_hd__fa_1 U19903 ( .A(n15882), .B(n17891), .CIN(n15881), .COUT( n15695), .SUM(dccm_rd_addr_lo[1]) ); sky130_fd_sc_hd__nand2_1 U19904 ( .A(\lsu/lsu_pkt_d[dma] ), .B(n10897), .Y( n15883) ); sky130_fd_sc_hd__nand2_1 U19905 ( .A(n15883), .B(n23898), .Y( \lsu/lsu_pkt_d[store] ) ); sky130_fd_sc_hd__a211oi_1 U19906 ( .A1(n22688), .A2(\dec/decode/d_t[legal] ), .B1(dec_extint_stall), .C1(dma_dccm_req), .Y(n21695) ); sky130_fd_sc_hd__nand2_1 U19907 ( .A(n17831), .B(\lsu/lsu_pkt_d[load] ), .Y( n21559) ); sky130_fd_sc_hd__nor2_1 U19908 ( .A(dccm_rd_addr_lo[1]), .B( dccm_rd_addr_lo[0]), .Y(n21329) ); sky130_fd_sc_hd__nand2_1 U19909 ( .A(n17831), .B(\lsu/lsu_pkt_d[store] ), .Y(n21558) ); sky130_fd_sc_hd__a21oi_1 U19910 ( .A1(n21329), .A2(n15884), .B1(n21558), .Y( n15885) ); sky130_fd_sc_hd__fa_1 U19911 ( .A(n15888), .B(n15887), .CIN(n15886), .COUT( n17916), .SUM(dccm_rd_addr_lo[3]) ); sky130_fd_sc_hd__nand2_1 U19912 ( .A(n22660), .B(\lsu/stbuf/RdPtr [1]), .Y( n22031) ); sky130_fd_sc_hd__clkinv_1 U19913 ( .A(\lsu/stbuf/RdPtr [1]), .Y(n22665) ); sky130_fd_sc_hd__nand2_1 U19914 ( .A(n22660), .B(n22665), .Y(n22020) ); sky130_fd_sc_hd__nor2_1 U19915 ( .A(n22660), .B(n22665), .Y(n22030) ); sky130_fd_sc_hd__nand2_1 U19916 ( .A(n22665), .B(\lsu/stbuf/RdPtr [0]), .Y( n21999) ); sky130_fd_sc_hd__o22ai_1 U19917 ( .A1(n21469), .A2(n21380), .B1(n21504), .B2(n21999), .Y(n15889) ); sky130_fd_sc_hd__a21oi_1 U19918 ( .A1(n22035), .A2( \lsu/stbuf/stbuf_addr[0][2] ), .B1(n15889), .Y(n15890) ); sky130_fd_sc_hd__o21ai_1 U19919 ( .A1(n21446), .A2(n22031), .B1(n15890), .Y( n15895) ); sky130_fd_sc_hd__o22ai_1 U19920 ( .A1(n21476), .A2(n21380), .B1(n21498), .B2(n21999), .Y(n15891) ); sky130_fd_sc_hd__a21oi_1 U19921 ( .A1(\lsu/stbuf/stbuf_addr[2][3] ), .A2( n22017), .B1(n15891), .Y(n15892) ); sky130_fd_sc_hd__o21ai_1 U19922 ( .A1(n22020), .A2(n21368), .B1(n15892), .Y( n15915) ); sky130_fd_sc_hd__o22ai_1 U19923 ( .A1(n23410), .A2(dccm_rd_addr_lo[2]), .B1( n23418), .B2(dccm_rd_addr_lo[3]), .Y(n15893) ); sky130_fd_sc_hd__a221oi_1 U19924 ( .A1(n23410), .A2(dccm_rd_addr_lo[2]), .B1(dccm_rd_addr_lo[3]), .B2(n23418), .C1(n15893), .Y(n15907) ); sky130_fd_sc_hd__o22ai_1 U19925 ( .A1(n20476), .A2(n15895), .B1(n20475), .B2(n15915), .Y(n15894) ); sky130_fd_sc_hd__a221oi_1 U19926 ( .A1(n20476), .A2(n15895), .B1(n15915), .B2(n20475), .C1(n15894), .Y(n15906) ); sky130_fd_sc_hd__a22oi_1 U19927 ( .A1(n22035), .A2( \lsu/stbuf/stbuf_dma_kill [0]), .B1(\lsu/stbuf/stbuf_dma_kill [2]), .B2(n22017), .Y(n15896) ); sky130_fd_sc_hd__o21ai_1 U19928 ( .A1(n21578), .A2(n21380), .B1(n15896), .Y( n15897) ); sky130_fd_sc_hd__a21oi_1 U19929 ( .A1(\lsu/stbuf/stbuf_dma_kill [1]), .A2( n15918), .B1(n15897), .Y(n21336) ); sky130_fd_sc_hd__nor3_1 U19930 ( .A(n20383), .B(n25067), .C(n20384), .Y( n20405) ); sky130_fd_sc_hd__a21oi_1 U19931 ( .A1(n15898), .A2(n24885), .B1(n15900), .Y( n21584) ); sky130_fd_sc_hd__a21oi_1 U19932 ( .A1(n15899), .A2(n24889), .B1(n15900), .Y( n21590) ); sky130_fd_sc_hd__a21oi_1 U19933 ( .A1(n15901), .A2(n24887), .B1(n15900), .Y( n21597) ); sky130_fd_sc_hd__nor3_1 U19934 ( .A(n21584), .B(n21590), .C(n21597), .Y( n15905) ); sky130_fd_sc_hd__o21ai_1 U19935 ( .A1(n15902), .A2(n24884), .B1(n20405), .Y( n21577) ); sky130_fd_sc_hd__o22ai_1 U19936 ( .A1(n21595), .A2(n21999), .B1(n21588), .B2(n22031), .Y(n15903) ); sky130_fd_sc_hd__a21oi_1 U19937 ( .A1(n22035), .A2(\lsu/stbuf/stbuf_vld [0]), .B1(n15903), .Y(n15904) ); sky130_fd_sc_hd__o21ai_1 U19938 ( .A1(n21582), .A2(n21380), .B1(n15904), .Y( n21335) ); sky130_fd_sc_hd__nand4_1 U19939 ( .A(n21336), .B(n15905), .C(n21577), .D( n21335), .Y(n21698) ); sky130_fd_sc_hd__o22ai_1 U19940 ( .A1(n21477), .A2(n21380), .B1(n21496), .B2(n21999), .Y(n15910) ); sky130_fd_sc_hd__o22ai_1 U19941 ( .A1(n22020), .A2(n15908), .B1(n21439), .B2(n22031), .Y(n15909) ); sky130_fd_sc_hd__nor2_1 U19942 ( .A(n15910), .B(n15909), .Y(n23400) ); sky130_fd_sc_hd__o22ai_1 U19943 ( .A1(n21485), .A2(n21380), .B1(n21440), .B2(n22031), .Y(n15912) ); sky130_fd_sc_hd__o22ai_1 U19944 ( .A1(n22020), .A2(n21362), .B1(n21497), .B2(n21999), .Y(n15911) ); sky130_fd_sc_hd__nor2_1 U19945 ( .A(n15912), .B(n15911), .Y(n23404) ); sky130_fd_sc_hd__o22ai_1 U19946 ( .A1(\lsu/end_addr_m [4]), .A2(n23400), .B1(\lsu/end_addr_m [5]), .B2(n23404), .Y(n15913) ); sky130_fd_sc_hd__a221oi_1 U19947 ( .A1(\lsu/end_addr_m [4]), .A2(n23400), .B1(n23404), .B2(\lsu/end_addr_m [5]), .C1(n15913), .Y(n15928) ); sky130_fd_sc_hd__o22ai_1 U19948 ( .A1(\lsu/end_addr_m [3]), .A2(n15915), .B1(n15914), .B2(n23418), .Y(n15927) ); sky130_fd_sc_hd__a22oi_1 U19949 ( .A1(n22035), .A2( \lsu/stbuf/stbuf_addr[0][9] ), .B1(\lsu/stbuf/stbuf_addr[2][9] ), .B2( n22017), .Y(n15916) ); sky130_fd_sc_hd__o21ai_1 U19950 ( .A1(n21383), .A2(n21380), .B1(n15916), .Y( n15917) ); sky130_fd_sc_hd__a21oi_1 U19951 ( .A1(\lsu/stbuf/stbuf_addr[1][9] ), .A2( n15918), .B1(n15917), .Y(n23398) ); sky130_fd_sc_hd__o2bb2ai_1 U19952 ( .B1(n15919), .B2(n23398), .A1_N(n15919), .A2_N(n23398), .Y(n15926) ); sky130_fd_sc_hd__a22oi_1 U19953 ( .A1(n22035), .A2( \lsu/stbuf/stbuf_addr[0][11] ), .B1(\lsu/stbuf/stbuf_addr[3][11] ), .B2(n22030), .Y(n15920) ); sky130_fd_sc_hd__o21ai_1 U19954 ( .A1(n21509), .A2(n21999), .B1(n15920), .Y( n15921) ); sky130_fd_sc_hd__a21oi_1 U19955 ( .A1(\lsu/stbuf/stbuf_addr[2][11] ), .A2( n22017), .B1(n15921), .Y(n23413) ); sky130_fd_sc_hd__o2bb2ai_1 U19956 ( .B1(n21449), .B2(n22031), .A1_N(n22035), .A2_N(\lsu/stbuf/stbuf_addr[0][8] ), .Y(n15923) ); sky130_fd_sc_hd__o22ai_1 U19957 ( .A1(n21484), .A2(n21380), .B1(n21507), .B2(n21999), .Y(n15922) ); sky130_fd_sc_hd__nor2_1 U19958 ( .A(n15923), .B(n15922), .Y(n23397) ); sky130_fd_sc_hd__o22ai_1 U19959 ( .A1(\lsu/end_addr_m [11]), .A2(n23413), .B1(\lsu/end_addr_m [8]), .B2(n23397), .Y(n15924) ); sky130_fd_sc_hd__a221oi_1 U19960 ( .A1(\lsu/end_addr_m [11]), .A2(n23413), .B1(n23397), .B2(\lsu/end_addr_m [8]), .C1(n15924), .Y(n15925) ); sky130_fd_sc_hd__nand4_1 U19961 ( .A(n15928), .B(n15927), .C(n15926), .D( n15925), .Y(n15952) ); sky130_fd_sc_hd__o22ai_1 U19962 ( .A1(n22020), .A2(n21357), .B1(n21505), .B2(n21999), .Y(n15930) ); sky130_fd_sc_hd__o2bb2ai_1 U19963 ( .B1(n21470), .B2(n21380), .A1_N( \lsu/stbuf/stbuf_addr[2][14] ), .A2_N(n22017), .Y(n15929) ); sky130_fd_sc_hd__nor2_1 U19964 ( .A(n15930), .B(n15929), .Y(n23401) ); sky130_fd_sc_hd__o22ai_1 U19965 ( .A1(\lsu/end_addr_m [14]), .A2(n23401), .B1(n23410), .B2(\lsu/end_addr_m [2]), .Y(n15931) ); sky130_fd_sc_hd__a221oi_1 U19966 ( .A1(\lsu/end_addr_m [14]), .A2(n23401), .B1(\lsu/end_addr_m [2]), .B2(n23410), .C1(n15931), .Y(n15950) ); sky130_fd_sc_hd__a22oi_1 U19967 ( .A1(\lsu/stbuf/stbuf_addr[3][12] ), .A2( n22030), .B1(\lsu/stbuf/stbuf_addr[1][12] ), .B2(n15918), .Y(n15932) ); sky130_fd_sc_hd__o21ai_1 U19968 ( .A1(n21447), .A2(n22031), .B1(n15932), .Y( n15933) ); sky130_fd_sc_hd__a21oi_1 U19969 ( .A1(n22035), .A2( \lsu/stbuf/stbuf_addr[0][12] ), .B1(n15933), .Y(n23419) ); sky130_fd_sc_hd__o2bb2ai_1 U19970 ( .B1(n21471), .B2(n21380), .A1_N( \lsu/stbuf/stbuf_addr[1][7] ), .A2_N(n15918), .Y(n15935) ); sky130_fd_sc_hd__o22ai_1 U19971 ( .A1(n22020), .A2(n21363), .B1(n21448), .B2(n22031), .Y(n15934) ); sky130_fd_sc_hd__nor2_1 U19972 ( .A(n15935), .B(n15934), .Y(n23409) ); sky130_fd_sc_hd__o22ai_1 U19973 ( .A1(\lsu/end_addr_m [12]), .A2(n23419), .B1(n23409), .B2(\lsu/end_addr_m [7]), .Y(n15936) ); sky130_fd_sc_hd__a221oi_1 U19974 ( .A1(\lsu/end_addr_m [12]), .A2(n23419), .B1(\lsu/end_addr_m [7]), .B2(n23409), .C1(n15936), .Y(n15949) ); sky130_fd_sc_hd__o22ai_1 U19975 ( .A1(n22020), .A2(n21349), .B1(n21384), .B2(n21380), .Y(n15938) ); sky130_fd_sc_hd__o22ai_1 U19976 ( .A1(n21401), .A2(n21999), .B1(n21438), .B2(n22031), .Y(n15937) ); sky130_fd_sc_hd__nor2_1 U19977 ( .A(n15938), .B(n15937), .Y(n23416) ); sky130_fd_sc_hd__o22ai_1 U19978 ( .A1(n21468), .A2(n21380), .B1(n21408), .B2(n21999), .Y(n15940) ); sky130_fd_sc_hd__o22ai_1 U19979 ( .A1(n22020), .A2(n21356), .B1(n21457), .B2(n22031), .Y(n15939) ); sky130_fd_sc_hd__nor2_1 U19980 ( .A(n15940), .B(n15939), .Y(n23403) ); sky130_fd_sc_hd__o22ai_1 U19981 ( .A1(\lsu/end_addr_m [10]), .A2(n23416), .B1(\lsu/end_addr_m [15]), .B2(n23403), .Y(n15941) ); sky130_fd_sc_hd__a221oi_1 U19982 ( .A1(\lsu/end_addr_m [10]), .A2(n23416), .B1(n23403), .B2(\lsu/end_addr_m [15]), .C1(n15941), .Y(n15948) ); sky130_fd_sc_hd__o22ai_1 U19983 ( .A1(n21385), .A2(n21380), .B1(n21510), .B2(n21999), .Y(n15943) ); sky130_fd_sc_hd__o22ai_1 U19984 ( .A1(n22020), .A2(n21367), .B1(n21455), .B2(n22031), .Y(n15942) ); sky130_fd_sc_hd__nor2_1 U19985 ( .A(n15943), .B(n15942), .Y(n23415) ); sky130_fd_sc_hd__o22ai_1 U19986 ( .A1(n22020), .A2(n21365), .B1(n21511), .B2(n21999), .Y(n15945) ); sky130_fd_sc_hd__o2bb2ai_1 U19987 ( .B1(n21441), .B2(n22031), .A1_N( \lsu/stbuf/stbuf_addr[3][13] ), .A2_N(n22030), .Y(n15944) ); sky130_fd_sc_hd__nor2_1 U19988 ( .A(n15945), .B(n15944), .Y(n23412) ); sky130_fd_sc_hd__o22ai_1 U19989 ( .A1(\lsu/end_addr_m [6]), .A2(n23415), .B1(\lsu/end_addr_m [13]), .B2(n23412), .Y(n15946) ); sky130_fd_sc_hd__a221oi_1 U19990 ( .A1(\lsu/end_addr_m [6]), .A2(n23415), .B1(n23412), .B2(\lsu/end_addr_m [13]), .C1(n15946), .Y(n15947) ); sky130_fd_sc_hd__nand4_1 U19991 ( .A(n15950), .B(n15949), .C(n15948), .D( n15947), .Y(n15951) ); sky130_fd_sc_hd__nor4_1 U19992 ( .A(n23427), .B(n23426), .C(n15952), .D( n15951), .Y(n23713) ); sky130_fd_sc_hd__clkinv_1 U19993 ( .A(n23713), .Y(n23540) ); sky130_fd_sc_hd__a22o_1 U19994 ( .A1(\lsu/stbuf/stbuf_data[1][28] ), .A2( n15918), .B1(n22035), .B2(\lsu/stbuf/stbuf_data[0][28] ), .X(n15953) ); sky130_fd_sc_hd__a21oi_1 U19995 ( .A1(\lsu/stbuf/stbuf_data[3][28] ), .A2( n22030), .B1(n15953), .Y(n15954) ); sky130_fd_sc_hd__o21ai_1 U19996 ( .A1(n15955), .A2(n22031), .B1(n15954), .Y( n23449) ); sky130_fd_sc_hd__o2bb2ai_1 U19997 ( .B1(n23540), .B2(n23094), .A1_N(n23540), .A2_N(\lsu/sec_data_hi_m [28]), .Y( \lsu/dccm_ctl/store_data_hi_r_in [28]) ); sky130_fd_sc_hd__clkinv_1 U19998 ( .A(n17725), .Y(n15960) ); sky130_fd_sc_hd__nand2_1 U19999 ( .A(n17724), .B(n15960), .Y(n15976) ); sky130_fd_sc_hd__nor2_1 U20000 ( .A(n23755), .B(n15976), .Y(n15980) ); sky130_fd_sc_hd__clkinv_1 U20001 ( .A(n23563), .Y(n23555) ); sky130_fd_sc_hd__nor2_1 U20002 ( .A(n23562), .B(n23555), .Y(n23719) ); sky130_fd_sc_hd__nand2_1 U20003 ( .A(n15980), .B(n23719), .Y(n15957) ); sky130_fd_sc_hd__nand2_1 U20004 ( .A(n15957), .B(\lsu/dccm_rdata_hi_m [30]), .Y(n15956) ); sky130_fd_sc_hd__o21ai_1 U20005 ( .A1(\lsu/dccm_rdata_hi_m [30]), .A2(n15957), .B1(n15956), .Y(\lsu/sec_data_hi_m [30]) ); sky130_fd_sc_hd__clkinv_1 U20006 ( .A(\lsu/stbuf/stbuf_data[0][30] ), .Y( n24869) ); sky130_fd_sc_hd__o22ai_1 U20007 ( .A1(n22020), .A2(n24869), .B1(n24865), .B2(n21999), .Y(n15958) ); sky130_fd_sc_hd__a21oi_1 U20008 ( .A1(\lsu/stbuf/stbuf_data[3][30] ), .A2( n22030), .B1(n15958), .Y(n15959) ); sky130_fd_sc_hd__o21ai_1 U20009 ( .A1(n24866), .A2(n22031), .B1(n15959), .Y( n23458) ); sky130_fd_sc_hd__clkinv_1 U20010 ( .A(n23458), .Y(n23098) ); sky130_fd_sc_hd__o2bb2ai_1 U20011 ( .B1(n23540), .B2(n23098), .A1_N(n23540), .A2_N(\lsu/sec_data_hi_m [30]), .Y( \lsu/dccm_ctl/store_data_hi_r_in [30]) ); sky130_fd_sc_hd__nor2_1 U20012 ( .A(n23718), .B(n23717), .Y(n23537) ); sky130_fd_sc_hd__nand2_1 U20013 ( .A(\lsu/ecc/single_ecc_error_hi_any ), .B( n23537), .Y(n23633) ); sky130_fd_sc_hd__nor2_1 U20014 ( .A(n17724), .B(n15960), .Y(n23632) ); sky130_fd_sc_hd__nand3_1 U20015 ( .A(n23632), .B(n23563), .C(n23562), .Y( n23621) ); sky130_fd_sc_hd__nor2_1 U20016 ( .A(n23633), .B(n23621), .Y(n15961) ); sky130_fd_sc_hd__xor2_1 U20017 ( .A(\lsu/dccm_rdata_hi_m [24]), .B(n15961), .X(\lsu/sec_data_hi_m [24]) ); sky130_fd_sc_hd__a22oi_1 U20018 ( .A1(n22035), .A2( \lsu/stbuf/stbuf_data[0][24] ), .B1(\lsu/stbuf/stbuf_data[3][24] ), .B2(n22030), .Y(n15962) ); sky130_fd_sc_hd__o21ai_1 U20019 ( .A1(n24804), .A2(n21999), .B1(n15962), .Y( n15963) ); sky130_fd_sc_hd__a21oi_1 U20020 ( .A1(\lsu/stbuf/stbuf_data[2][24] ), .A2( n22017), .B1(n15963), .Y(n23430) ); sky130_fd_sc_hd__o2bb2ai_1 U20021 ( .B1(n23540), .B2(n23430), .A1_N(n23540), .A2_N(\lsu/sec_data_hi_m [24]), .Y( \lsu/dccm_ctl/store_data_hi_r_in [24]) ); sky130_fd_sc_hd__nand2_1 U20022 ( .A(n17725), .B(n17724), .Y(n15964) ); sky130_fd_sc_hd__nor2_1 U20023 ( .A(n23544), .B(n23718), .Y(n17727) ); sky130_fd_sc_hd__nand2_1 U20024 ( .A(n23719), .B(n17727), .Y(n23728) ); sky130_fd_sc_hd__nor3_1 U20025 ( .A(n15964), .B(n15975), .C(n23728), .Y( n15965) ); sky130_fd_sc_hd__xor2_1 U20026 ( .A(\lsu/dccm_rdata_hi_m [31]), .B(n15965), .X(\lsu/sec_data_hi_m [31]) ); sky130_fd_sc_hd__clkinv_1 U20027 ( .A(\lsu/stbuf/stbuf_data[1][31] ), .Y( n24890) ); sky130_fd_sc_hd__clkinv_1 U20028 ( .A(\lsu/stbuf/stbuf_data[0][31] ), .Y( n24888) ); sky130_fd_sc_hd__o22ai_1 U20029 ( .A1(n22020), .A2(n24888), .B1(n24886), .B2(n22031), .Y(n15966) ); sky130_fd_sc_hd__a21oi_1 U20030 ( .A1(\lsu/stbuf/stbuf_data[3][31] ), .A2( n22030), .B1(n15966), .Y(n15967) ); sky130_fd_sc_hd__o21ai_1 U20031 ( .A1(n24890), .A2(n21999), .B1(n15967), .Y( n23465) ); sky130_fd_sc_hd__clkinv_1 U20032 ( .A(n23465), .Y(n23100) ); sky130_fd_sc_hd__o2bb2ai_1 U20033 ( .B1(n23540), .B2(n23100), .A1_N(n23540), .A2_N(\lsu/sec_data_hi_m [31]), .Y( \lsu/dccm_ctl/store_data_hi_r_in [31]) ); sky130_fd_sc_hd__nand3_1 U20034 ( .A(\lsu/ecc/single_ecc_error_hi_any ), .B( n23544), .C(n23718), .Y(n23638) ); sky130_fd_sc_hd__nor2_1 U20035 ( .A(n23638), .B(n23621), .Y(n15968) ); sky130_fd_sc_hd__xor2_1 U20036 ( .A(\lsu/dccm_rdata_hi_m [25]), .B(n15968), .X(\lsu/sec_data_hi_m [25]) ); sky130_fd_sc_hd__a22oi_1 U20037 ( .A1(\lsu/stbuf/stbuf_data[3][25] ), .A2( n22030), .B1(\lsu/stbuf/stbuf_data[2][25] ), .B2(n22017), .Y(n15970) ); sky130_fd_sc_hd__a22oi_1 U20038 ( .A1(n22035), .A2( \lsu/stbuf/stbuf_data[0][25] ), .B1(\lsu/stbuf/stbuf_data[1][25] ), .B2(n15918), .Y(n15969) ); sky130_fd_sc_hd__nand2_1 U20039 ( .A(n15970), .B(n15969), .Y(n23434) ); sky130_fd_sc_hd__o2bb2ai_1 U20040 ( .B1(n23540), .B2(n23088), .A1_N(n23540), .A2_N(\lsu/sec_data_hi_m [25]), .Y( \lsu/dccm_ctl/store_data_hi_r_in [25]) ); sky130_fd_sc_hd__nand2_1 U20041 ( .A(\lsu/ecc/single_ecc_error_hi_any ), .B( n17727), .Y(n23763) ); sky130_fd_sc_hd__o21ai_1 U20042 ( .A1(n23763), .A2(n15972), .B1( \lsu/dccm_rdata_hi_m [27]), .Y(n15971) ); sky130_fd_sc_hd__o31ai_1 U20043 ( .A1(n23763), .A2(\lsu/dccm_rdata_hi_m [27]), .A3(n15972), .B1(n15971), .Y(\lsu/sec_data_hi_m [27]) ); sky130_fd_sc_hd__a22oi_1 U20044 ( .A1(\lsu/stbuf/stbuf_data[2][27] ), .A2( n22017), .B1(\lsu/stbuf/stbuf_data[1][27] ), .B2(n15918), .Y(n15974) ); sky130_fd_sc_hd__a22oi_1 U20045 ( .A1(n22035), .A2( \lsu/stbuf/stbuf_data[0][27] ), .B1(\lsu/stbuf/stbuf_data[3][27] ), .B2(n22030), .Y(n15973) ); sky130_fd_sc_hd__nand2_1 U20046 ( .A(n15974), .B(n15973), .Y(n23444) ); sky130_fd_sc_hd__clkinv_1 U20047 ( .A(n23444), .Y(n23092) ); sky130_fd_sc_hd__o2bb2ai_1 U20048 ( .B1(n23540), .B2(n23092), .A1_N(n23540), .A2_N(\lsu/sec_data_hi_m [27]), .Y( \lsu/dccm_ctl/store_data_hi_r_in [27]) ); sky130_fd_sc_hd__nor3_1 U20049 ( .A(n15976), .B(n15975), .C(n23728), .Y( n15977) ); sky130_fd_sc_hd__xor2_1 U20050 ( .A(\lsu/dccm_rdata_hi_m [29]), .B(n15977), .X(\lsu/sec_data_hi_m [29]) ); sky130_fd_sc_hd__clkinv_1 U20051 ( .A(\lsu/stbuf/stbuf_data[0][29] ), .Y( n24857) ); sky130_fd_sc_hd__o22ai_1 U20052 ( .A1(n24853), .A2(n21999), .B1(n24854), .B2(n22031), .Y(n15978) ); sky130_fd_sc_hd__a21oi_1 U20053 ( .A1(\lsu/stbuf/stbuf_data[3][29] ), .A2( n22030), .B1(n15978), .Y(n15979) ); sky130_fd_sc_hd__o21ai_1 U20054 ( .A1(n22020), .A2(n24857), .B1(n15979), .Y( n23453) ); sky130_fd_sc_hd__o2bb2ai_1 U20055 ( .B1(n23540), .B2(n23096), .A1_N(n23540), .A2_N(\lsu/sec_data_hi_m [29]), .Y( \lsu/dccm_ctl/store_data_hi_r_in [29]) ); sky130_fd_sc_hd__nand2_1 U20056 ( .A(n15980), .B(n23631), .Y(n15982) ); sky130_fd_sc_hd__nand2_1 U20057 ( .A(n15982), .B(\lsu/dccm_rdata_hi_m [26]), .Y(n15981) ); sky130_fd_sc_hd__o21ai_1 U20058 ( .A1(\lsu/dccm_rdata_hi_m [26]), .A2(n15982), .B1(n15981), .Y(\lsu/sec_data_hi_m [26]) ); sky130_fd_sc_hd__o22ai_1 U20059 ( .A1(n15983), .A2(n21380), .B1(n24824), .B2(n21999), .Y(n15984) ); sky130_fd_sc_hd__a21oi_1 U20060 ( .A1(n22035), .A2( \lsu/stbuf/stbuf_data[0][26] ), .B1(n15984), .Y(n15985) ); sky130_fd_sc_hd__a21boi_0 U20061 ( .A1(\lsu/stbuf/stbuf_data[2][26] ), .A2( n22017), .B1_N(n15985), .Y(n23090) ); sky130_fd_sc_hd__o2bb2ai_1 U20062 ( .B1(n23540), .B2(n23090), .A1_N(n23540), .A2_N(\lsu/sec_data_hi_m [26]), .Y( \lsu/dccm_ctl/store_data_hi_r_in [26]) ); sky130_fd_sc_hd__nand2_1 U20063 ( .A(n16220), .B(n24052), .Y(n15986) ); sky130_fd_sc_hd__a21oi_1 U20064 ( .A1(n15988), .A2(n15987), .B1(n15986), .Y( n24023) ); sky130_fd_sc_hd__o2bb2ai_1 U20065 ( .B1(n24013), .B2( \exu/i0_predict_p_d[pc4] ), .A1_N(n24013), .A2_N( \exu/i0_predict_p_d[toffset][0] ), .Y(\dec/decode/last_br_immed_d [1]) ); sky130_fd_sc_hd__nand3_1 U20066 ( .A(n23930), .B(n22689), .C(n16151), .Y( n24029) ); sky130_fd_sc_hd__nor3_1 U20067 ( .A(dec_i0_decode_d), .B( \dec/decode/i0_pipe_en [2]), .C(\dec/clk_override ), .Y(n22690) ); sky130_fd_sc_hd__o2bb2ai_1 U20068 ( .B1(n24055), .B2(n24029), .A1_N( \dec/decode/i0_x_c[mul] ), .A2_N(n22690), .Y( \dec/decode/i0_x_c_ff/_0_net_[2] ) ); sky130_fd_sc_hd__a22oi_1 U20069 ( .A1(n16133), .A2(n15989), .B1(n16135), .B2(gpr_i0_rs2_d[1]), .Y(n15992) ); sky130_fd_sc_hd__o22ai_1 U20070 ( .A1(n16058), .A2(n17215), .B1(n16139), .B2(n23945), .Y(n15990) ); sky130_fd_sc_hd__a21oi_1 U20071 ( .A1(n16136), .A2(lsu_nonblock_load_data[1]), .B1(n15990), .Y(n15991) ); sky130_fd_sc_hd__nand2_1 U20072 ( .A(n15992), .B(n15991), .Y(n23780) ); sky130_fd_sc_hd__nand2_1 U20073 ( .A(n16002), .B(n16001), .Y(n16050) ); sky130_fd_sc_hd__nand2_1 U20074 ( .A(n17072), .B(n24052), .Y(n19577) ); sky130_fd_sc_hd__nand2_1 U20075 ( .A(n24049), .B(n16003), .Y(n16099) ); sky130_fd_sc_hd__a21oi_1 U20076 ( .A1(n16099), .A2(n16216), .B1(n10879), .Y( n16101) ); sky130_fd_sc_hd__a21oi_1 U20077 ( .A1(n15994), .A2(n16050), .B1(n16101), .Y( n16141) ); sky130_fd_sc_hd__o22ai_1 U20078 ( .A1(n23940), .A2(n16141), .B1(n23935), .B2(n16140), .Y(n15995) ); sky130_fd_sc_hd__a211oi_1 U20079 ( .A1(n10879), .A2( \dec/dec_csr_rddata_d [1]), .B1(n23780), .C1(n15995), .Y(n19726) ); sky130_fd_sc_hd__nand3b_1 U20080 ( .A_N(\lsu/bus_intf/ldst_dual_r ), .B( \lsu/lsu_busreq_r ), .C(\lsu/lsu_busreq_m ), .Y(n17208) ); sky130_fd_sc_hd__a31oi_1 U20081 ( .A1(n15997), .A2(n20449), .A3(n21215), .B1(n17208), .Y(\lsu/bus_intf/no_dword_merge_r ) ); sky130_fd_sc_hd__nor2_1 U20082 ( .A(n16189), .B(n19345), .Y( \exu/i_div/_0_net_ ) ); sky130_fd_sc_hd__nand2_1 U20083 ( .A(n16078), .B( \dec/decode/i0_result_r [31]), .Y(n15999) ); sky130_fd_sc_hd__nand2_1 U20084 ( .A(n23775), .B(n24006), .Y(n23897) ); sky130_fd_sc_hd__a22oi_1 U20085 ( .A1(lsu_nonblock_load_data[31]), .A2( n16136), .B1(n16135), .B2(gpr_i0_rs2_d[31]), .Y(n15998) ); sky130_fd_sc_hd__nand3_1 U20086 ( .A(n15999), .B(n23897), .C(n15998), .Y( n16000) ); sky130_fd_sc_hd__a21oi_1 U20087 ( .A1(n16133), .A2(n24004), .B1(n16000), .Y( n23903) ); sky130_fd_sc_hd__a21oi_1 U20088 ( .A1(n16002), .A2(n16001), .B1(n24025), .Y( n17443) ); sky130_fd_sc_hd__nand2_1 U20089 ( .A(n17443), .B(n16003), .Y(n16088) ); sky130_fd_sc_hd__nor3_1 U20090 ( .A(n17072), .B(n16093), .C(n16088), .Y( n16047) ); sky130_fd_sc_hd__a22oi_1 U20091 ( .A1(\dec/dec_csr_rddata_d [31]), .A2( n10879), .B1(n16047), .B2(\dec/dec_csr_rdaddr_d[11] ), .Y(n16004) ); sky130_fd_sc_hd__nand2_1 U20092 ( .A(n16101), .B(\dec/dec_csr_rdaddr_d[11] ), .Y(n16048) ); sky130_fd_sc_hd__nand3_1 U20093 ( .A(n23903), .B(n16004), .C(n16048), .Y( \exu/muldiv_rs2_d [31]) ); sky130_fd_sc_hd__nor2_1 U20094 ( .A(n24001), .B(n16139), .Y(n23893) ); sky130_fd_sc_hd__a21oi_1 U20095 ( .A1(n16078), .A2( \dec/decode/i0_result_r [30]), .B1(n23893), .Y(n16007) ); sky130_fd_sc_hd__a22oi_1 U20096 ( .A1(lsu_nonblock_load_data[30]), .A2( n16136), .B1(n16133), .B2(n16005), .Y(n16006) ); sky130_fd_sc_hd__nand2_1 U20097 ( .A(n16007), .B(n16006), .Y(n16008) ); sky130_fd_sc_hd__a21oi_1 U20098 ( .A1(gpr_i0_rs2_d[30]), .A2(n16135), .B1( n16008), .Y(n23896) ); sky130_fd_sc_hd__a22oi_1 U20099 ( .A1(\dec/dec_csr_rddata_d [30]), .A2( n10879), .B1(n16047), .B2(\exu/i0_predict_p_d[toffset][9] ), .Y(n16009) ); sky130_fd_sc_hd__nand3_1 U20100 ( .A(n23896), .B(n16009), .C(n16048), .Y( \exu/muldiv_rs2_d [30]) ); sky130_fd_sc_hd__a2bb2oi_1 U20101 ( .B1(n16078), .B2( \dec/decode/i0_result_r [29]), .A1_N(n17437), .A2_N(n16126), .Y(n16011) ); sky130_fd_sc_hd__a22oi_1 U20102 ( .A1(lsu_nonblock_load_data[29]), .A2( n16136), .B1(n16135), .B2(gpr_i0_rs2_d[29]), .Y(n16010) ); sky130_fd_sc_hd__nand2_1 U20103 ( .A(n23775), .B(n17436), .Y(n23887) ); sky130_fd_sc_hd__nand3_1 U20104 ( .A(n16011), .B(n16010), .C(n23887), .Y( n23890) ); sky130_fd_sc_hd__a21oi_1 U20105 ( .A1(n10879), .A2( \dec/dec_csr_rddata_d [29]), .B1(n23890), .Y(n16012) ); sky130_fd_sc_hd__o211ai_1 U20106 ( .A1(n24020), .A2(n16042), .B1(n16012), .C1(n16048), .Y(\exu/muldiv_rs2_d [29]) ); sky130_fd_sc_hd__o22ai_1 U20107 ( .A1(n23999), .A2(n16139), .B1(n16058), .B2(n17259), .Y(n16013) ); sky130_fd_sc_hd__a21oi_1 U20108 ( .A1(n16135), .A2(gpr_i0_rs2_d[28]), .B1( n16013), .Y(n16014) ); sky130_fd_sc_hd__o21ai_1 U20109 ( .A1(n24000), .A2(n16126), .B1(n16014), .Y( n16015) ); sky130_fd_sc_hd__a21oi_1 U20110 ( .A1(lsu_nonblock_load_data[28]), .A2( n16136), .B1(n16015), .Y(n23886) ); sky130_fd_sc_hd__o21ai_1 U20111 ( .A1(n24043), .A2(n16042), .B1(n23886), .Y( n16016) ); sky130_fd_sc_hd__a21oi_1 U20112 ( .A1(n10879), .A2( \dec/dec_csr_rddata_d [28]), .B1(n16016), .Y(n22673) ); sky130_fd_sc_hd__nand2_1 U20113 ( .A(n22673), .B(n16048), .Y( \exu/muldiv_rs2_d [28]) ); sky130_fd_sc_hd__nor2_1 U20114 ( .A(n23997), .B(n16139), .Y(n23879) ); sky130_fd_sc_hd__a22oi_1 U20115 ( .A1(lsu_nonblock_load_data[27]), .A2( n16136), .B1(n16135), .B2(gpr_i0_rs2_d[27]), .Y(n16018) ); sky130_fd_sc_hd__o21ai_1 U20116 ( .A1(n23998), .A2(n16126), .B1(n16018), .Y( n16019) ); sky130_fd_sc_hd__a211oi_1 U20117 ( .A1(n16134), .A2( \dec/decode/i0_result_r [27]), .B1(n23879), .C1(n16019), .Y(n23881) ); sky130_fd_sc_hd__a22oi_1 U20118 ( .A1(\dec/dec_csr_rddata_d [27]), .A2( n10879), .B1(n16047), .B2(\exu/i0_predict_p_d[toffset][6] ), .Y(n16020) ); sky130_fd_sc_hd__nand3_1 U20119 ( .A(n23881), .B(n16020), .C(n16048), .Y( \exu/muldiv_rs2_d [27]) ); sky130_fd_sc_hd__o22ai_1 U20120 ( .A1(n23995), .A2(n16139), .B1(n16058), .B2(n17257), .Y(n16022) ); sky130_fd_sc_hd__o2bb2ai_1 U20121 ( .B1(n16126), .B2(n23996), .A1_N( gpr_i0_rs2_d[26]), .A2_N(n16135), .Y(n16021) ); sky130_fd_sc_hd__a211oi_1 U20122 ( .A1(lsu_nonblock_load_data[26]), .A2( n16136), .B1(n16022), .C1(n16021), .Y(n23877) ); sky130_fd_sc_hd__a22oi_1 U20123 ( .A1(\dec/dec_csr_rddata_d [26]), .A2( n10879), .B1(n16047), .B2(\exu/i0_predict_p_d[toffset][5] ), .Y(n16023) ); sky130_fd_sc_hd__nand3_1 U20124 ( .A(n23877), .B(n16023), .C(n16048), .Y( \exu/muldiv_rs2_d [26]) ); sky130_fd_sc_hd__a22oi_1 U20125 ( .A1(n16134), .A2( \dec/decode/i0_result_r [25]), .B1(n16133), .B2(n16024), .Y(n16026) ); sky130_fd_sc_hd__a22oi_1 U20126 ( .A1(lsu_nonblock_load_data[25]), .A2( n16136), .B1(n16135), .B2(gpr_i0_rs2_d[25]), .Y(n16025) ); sky130_fd_sc_hd__o211ai_1 U20127 ( .A1(n23993), .A2(n16139), .B1(n16026), .C1(n16025), .Y(n23871) ); sky130_fd_sc_hd__a21oi_1 U20128 ( .A1(n10879), .A2( \dec/dec_csr_rddata_d [25]), .B1(n23871), .Y(n16027) ); sky130_fd_sc_hd__o211ai_1 U20129 ( .A1(n24017), .A2(n16042), .B1(n16027), .C1(n16048), .Y(\exu/muldiv_rs2_d [25]) ); sky130_fd_sc_hd__a22oi_1 U20130 ( .A1(n16078), .A2( \dec/decode/i0_result_r [24]), .B1(n16133), .B2(n16028), .Y(n16030) ); sky130_fd_sc_hd__a22oi_1 U20131 ( .A1(lsu_nonblock_load_data[24]), .A2( n16136), .B1(n16135), .B2(gpr_i0_rs2_d[24]), .Y(n16029) ); sky130_fd_sc_hd__o211ai_1 U20132 ( .A1(n23991), .A2(n16139), .B1(n16030), .C1(n16029), .Y(n23868) ); sky130_fd_sc_hd__a21oi_1 U20133 ( .A1(n10879), .A2( \dec/dec_csr_rddata_d [24]), .B1(n23868), .Y(n16031) ); sky130_fd_sc_hd__o211ai_1 U20134 ( .A1(n23942), .A2(n16042), .B1(n16031), .C1(n16048), .Y(\exu/muldiv_rs2_d [24]) ); sky130_fd_sc_hd__a22oi_1 U20135 ( .A1(n16078), .A2( \dec/decode/i0_result_r [23]), .B1(n16133), .B2(n16032), .Y(n16034) ); sky130_fd_sc_hd__a22oi_1 U20136 ( .A1(lsu_nonblock_load_data[23]), .A2( n16136), .B1(n16135), .B2(gpr_i0_rs2_d[23]), .Y(n16033) ); sky130_fd_sc_hd__nand2b_1 U20137 ( .A_N(n23989), .B(n23775), .Y(n23863) ); sky130_fd_sc_hd__nand3_1 U20138 ( .A(n16034), .B(n16033), .C(n23863), .Y( n23866) ); sky130_fd_sc_hd__a21oi_1 U20139 ( .A1(n10879), .A2( \dec/dec_csr_rddata_d [23]), .B1(n23866), .Y(n16035) ); sky130_fd_sc_hd__o211ai_1 U20140 ( .A1(n23941), .A2(n16042), .B1(n16035), .C1(n16048), .Y(\exu/muldiv_rs2_d [23]) ); sky130_fd_sc_hd__o22ai_1 U20141 ( .A1(n23988), .A2(n16126), .B1(n16058), .B2(n17253), .Y(n16036) ); sky130_fd_sc_hd__a21oi_1 U20142 ( .A1(n16135), .A2(gpr_i0_rs2_d[22]), .B1( n16036), .Y(n16037) ); sky130_fd_sc_hd__o21ai_1 U20143 ( .A1(n23987), .A2(n16139), .B1(n16037), .Y( n16038) ); sky130_fd_sc_hd__a21oi_1 U20144 ( .A1(lsu_nonblock_load_data[22]), .A2( n16136), .B1(n16038), .Y(n23862) ); sky130_fd_sc_hd__a22oi_1 U20145 ( .A1(\dec/dec_csr_rddata_d [22]), .A2( n10879), .B1(n16047), .B2(n11289), .Y(n16039) ); sky130_fd_sc_hd__nand3_1 U20146 ( .A(n23862), .B(n16039), .C(n16048), .Y( \exu/muldiv_rs2_d [22]) ); sky130_fd_sc_hd__o22ai_1 U20147 ( .A1(n23985), .A2(n16139), .B1(n16058), .B2(n17251), .Y(n16041) ); sky130_fd_sc_hd__o2bb2ai_1 U20148 ( .B1(n16126), .B2(n23986), .A1_N( gpr_i0_rs2_d[21]), .A2_N(n16135), .Y(n16040) ); sky130_fd_sc_hd__a211oi_1 U20149 ( .A1(lsu_nonblock_load_data[21]), .A2( n16136), .B1(n16041), .C1(n16040), .Y(n23858) ); sky130_fd_sc_hd__o21ai_1 U20150 ( .A1(n23940), .A2(n16042), .B1(n23858), .Y( n16043) ); sky130_fd_sc_hd__a21oi_1 U20151 ( .A1(n10879), .A2( \dec/dec_csr_rddata_d [21]), .B1(n16043), .Y(n22678) ); sky130_fd_sc_hd__nand2_1 U20152 ( .A(n22678), .B(n16048), .Y( \exu/muldiv_rs2_d [21]) ); sky130_fd_sc_hd__o22ai_1 U20153 ( .A1(n23984), .A2(n16126), .B1(n16058), .B2(n17249), .Y(n16044) ); sky130_fd_sc_hd__a21oi_1 U20154 ( .A1(n16135), .A2(gpr_i0_rs2_d[20]), .B1( n16044), .Y(n16045) ); sky130_fd_sc_hd__o21ai_1 U20155 ( .A1(n23983), .A2(n16139), .B1(n16045), .Y( n16046) ); sky130_fd_sc_hd__a21oi_1 U20156 ( .A1(lsu_nonblock_load_data[20]), .A2( n16136), .B1(n16046), .Y(n23855) ); sky130_fd_sc_hd__a22oi_1 U20157 ( .A1(\dec/dec_csr_rddata_d [20]), .A2( n10879), .B1(n16047), .B2(n25081), .Y(n16049) ); sky130_fd_sc_hd__nand3_1 U20158 ( .A(n23855), .B(n16049), .C(n16048), .Y( \exu/muldiv_rs2_d [20]) ); sky130_fd_sc_hd__nand3_1 U20159 ( .A(n16051), .B(\dec/dec_csr_rdaddr_d[11] ), .C(n16050), .Y(n16097) ); sky130_fd_sc_hd__o21ai_1 U20160 ( .A1(n23938), .A2(n16088), .B1(n16097), .Y( n16052) ); sky130_fd_sc_hd__a21oi_1 U20161 ( .A1(n10879), .A2( \dec/dec_csr_rddata_d [19]), .B1(n16052), .Y(n16056) ); sky130_fd_sc_hd__o22ai_1 U20162 ( .A1(n23982), .A2(n16126), .B1(n16058), .B2(n17247), .Y(n16053) ); sky130_fd_sc_hd__a21oi_1 U20163 ( .A1(n16135), .A2(gpr_i0_rs2_d[19]), .B1( n16053), .Y(n16054) ); sky130_fd_sc_hd__o21ai_1 U20164 ( .A1(n23981), .A2(n16139), .B1(n16054), .Y( n16055) ); sky130_fd_sc_hd__a21oi_1 U20165 ( .A1(lsu_nonblock_load_data[19]), .A2( n16136), .B1(n16055), .Y(n23851) ); sky130_fd_sc_hd__o21ai_1 U20166 ( .A1(n16056), .A2(n16093), .B1(n23851), .Y( \exu/muldiv_rs2_d [19]) ); sky130_fd_sc_hd__o21ai_1 U20167 ( .A1(n23937), .A2(n16088), .B1(n16097), .Y( n16057) ); sky130_fd_sc_hd__a21oi_1 U20168 ( .A1(n10879), .A2( \dec/dec_csr_rddata_d [18]), .B1(n16057), .Y(n16062) ); sky130_fd_sc_hd__o22ai_1 U20169 ( .A1(n23980), .A2(n16126), .B1(n16058), .B2(n17245), .Y(n16059) ); sky130_fd_sc_hd__a21oi_1 U20170 ( .A1(n16135), .A2(gpr_i0_rs2_d[18]), .B1( n16059), .Y(n16060) ); sky130_fd_sc_hd__o21ai_1 U20171 ( .A1(n23979), .A2(n16139), .B1(n16060), .Y( n16061) ); sky130_fd_sc_hd__a21oi_1 U20172 ( .A1(lsu_nonblock_load_data[18]), .A2( n16136), .B1(n16061), .Y(n23848) ); sky130_fd_sc_hd__o21ai_1 U20173 ( .A1(n16062), .A2(n16093), .B1(n23848), .Y( \exu/muldiv_rs2_d [18]) ); sky130_fd_sc_hd__o21ai_1 U20174 ( .A1(n23936), .A2(n16088), .B1(n16097), .Y( n16063) ); sky130_fd_sc_hd__a21oi_1 U20175 ( .A1(n10879), .A2( \dec/dec_csr_rddata_d [17]), .B1(n16063), .Y(n16066) ); sky130_fd_sc_hd__nor2_1 U20176 ( .A(n23977), .B(n16139), .Y(n23842) ); sky130_fd_sc_hd__a22oi_1 U20177 ( .A1(lsu_nonblock_load_data[17]), .A2( n16136), .B1(n16135), .B2(gpr_i0_rs2_d[17]), .Y(n16064) ); sky130_fd_sc_hd__o21ai_1 U20178 ( .A1(n23978), .A2(n16126), .B1(n16064), .Y( n16065) ); sky130_fd_sc_hd__a211oi_1 U20179 ( .A1(n16134), .A2( \dec/decode/i0_result_r [17]), .B1(n23842), .C1(n16065), .Y(n23844) ); sky130_fd_sc_hd__o21ai_1 U20180 ( .A1(n16066), .A2(n16093), .B1(n23844), .Y( \exu/muldiv_rs2_d [17]) ); sky130_fd_sc_hd__o21ai_1 U20181 ( .A1(n23935), .A2(n16088), .B1(n16097), .Y( n16067) ); sky130_fd_sc_hd__a21oi_1 U20182 ( .A1(n10879), .A2( \dec/dec_csr_rddata_d [16]), .B1(n16067), .Y(n16071) ); sky130_fd_sc_hd__nand2_1 U20183 ( .A(n16078), .B( \dec/decode/i0_result_r [16]), .Y(n16069) ); sky130_fd_sc_hd__nand2_1 U20184 ( .A(n23775), .B(n23976), .Y(n23837) ); sky130_fd_sc_hd__a22oi_1 U20185 ( .A1(gpr_i0_rs2_d[16]), .A2(n16135), .B1( n16133), .B2(n23975), .Y(n16068) ); sky130_fd_sc_hd__nand3_1 U20186 ( .A(n16069), .B(n23837), .C(n16068), .Y( n16070) ); sky130_fd_sc_hd__a21oi_1 U20187 ( .A1(lsu_nonblock_load_data[16]), .A2( n16136), .B1(n16070), .Y(n23840) ); sky130_fd_sc_hd__o21ai_1 U20188 ( .A1(n16071), .A2(n16093), .B1(n23840), .Y( \exu/muldiv_rs2_d [16]) ); sky130_fd_sc_hd__o21ai_1 U20189 ( .A1(n23933), .A2(n16088), .B1(n16097), .Y( n16072) ); sky130_fd_sc_hd__a21oi_1 U20190 ( .A1(n10879), .A2( \dec/dec_csr_rddata_d [15]), .B1(n16072), .Y(n16075) ); sky130_fd_sc_hd__nor2_1 U20191 ( .A(n23973), .B(n16139), .Y(n23834) ); sky130_fd_sc_hd__a22oi_1 U20192 ( .A1(lsu_nonblock_load_data[15]), .A2( n16136), .B1(n16135), .B2(gpr_i0_rs2_d[15]), .Y(n16073) ); sky130_fd_sc_hd__o21ai_1 U20193 ( .A1(n23974), .A2(n16126), .B1(n16073), .Y( n16074) ); sky130_fd_sc_hd__a211oi_1 U20194 ( .A1(n16134), .A2( \dec/decode/i0_result_r [15]), .B1(n23834), .C1(n16074), .Y(n23836) ); sky130_fd_sc_hd__o21ai_1 U20195 ( .A1(n16075), .A2(n16093), .B1(n23836), .Y( \exu/muldiv_rs2_d [15]) ); sky130_fd_sc_hd__o21ai_1 U20196 ( .A1(n23930), .A2(n16088), .B1(n16097), .Y( n16076) ); sky130_fd_sc_hd__a21oi_1 U20197 ( .A1(n10879), .A2( \dec/dec_csr_rddata_d [14]), .B1(n16076), .Y(n16082) ); sky130_fd_sc_hd__a22oi_1 U20198 ( .A1(n16078), .A2( \dec/decode/i0_result_r [14]), .B1(n16133), .B2(n16077), .Y(n16080) ); sky130_fd_sc_hd__nor2_1 U20199 ( .A(n23971), .B(n16139), .Y(n23830) ); sky130_fd_sc_hd__a21oi_1 U20200 ( .A1(n16135), .A2(gpr_i0_rs2_d[14]), .B1( n23830), .Y(n16079) ); sky130_fd_sc_hd__nand2_1 U20201 ( .A(n16080), .B(n16079), .Y(n16081) ); sky130_fd_sc_hd__a21oi_1 U20202 ( .A1(lsu_nonblock_load_data[14]), .A2( n16136), .B1(n16081), .Y(n23832) ); sky130_fd_sc_hd__o21ai_1 U20203 ( .A1(n16082), .A2(n16093), .B1(n23832), .Y( \exu/muldiv_rs2_d [14]) ); sky130_fd_sc_hd__o21ai_1 U20204 ( .A1(n23928), .A2(n16088), .B1(n16097), .Y( n16083) ); sky130_fd_sc_hd__a21oi_1 U20205 ( .A1(n10879), .A2( \dec/dec_csr_rddata_d [13]), .B1(n16083), .Y(n16087) ); sky130_fd_sc_hd__a22oi_1 U20206 ( .A1(n16134), .A2( \dec/decode/i0_result_r [13]), .B1(n16133), .B2(n23970), .Y(n16085) ); sky130_fd_sc_hd__a22oi_1 U20207 ( .A1(gpr_i0_rs2_d[13]), .A2(n16135), .B1( n23775), .B2(n23969), .Y(n16084) ); sky130_fd_sc_hd__nand2_1 U20208 ( .A(n16085), .B(n16084), .Y(n16086) ); sky130_fd_sc_hd__a21oi_1 U20209 ( .A1(n16136), .A2( lsu_nonblock_load_data[13]), .B1(n16086), .Y(n23828) ); sky130_fd_sc_hd__o21ai_1 U20210 ( .A1(n16087), .A2(n16093), .B1(n23828), .Y( \exu/muldiv_rs2_d [13]) ); sky130_fd_sc_hd__o21ai_1 U20211 ( .A1(n23926), .A2(n16088), .B1(n16097), .Y( n16089) ); sky130_fd_sc_hd__a21oi_1 U20212 ( .A1(n10879), .A2( \dec/dec_csr_rddata_d [12]), .B1(n16089), .Y(n16094) ); sky130_fd_sc_hd__nand2_1 U20213 ( .A(n16135), .B(gpr_i0_rs2_d[12]), .Y( n16091) ); sky130_fd_sc_hd__nand2_1 U20214 ( .A(n23775), .B(n23968), .Y(n23823) ); sky130_fd_sc_hd__a22oi_1 U20215 ( .A1(n16134), .A2( \dec/decode/i0_result_r [12]), .B1(n16133), .B2(n23967), .Y(n16090) ); sky130_fd_sc_hd__nand3_1 U20216 ( .A(n16091), .B(n23823), .C(n16090), .Y( n16092) ); sky130_fd_sc_hd__a21oi_1 U20217 ( .A1(lsu_nonblock_load_data[12]), .A2( n16136), .B1(n16092), .Y(n23825) ); sky130_fd_sc_hd__o21ai_1 U20218 ( .A1(n16094), .A2(n16093), .B1(n23825), .Y( \exu/muldiv_rs2_d [12]) ); sky130_fd_sc_hd__a22oi_1 U20219 ( .A1(n16134), .A2( \dec/decode/i0_result_r [11]), .B1(n23775), .B2(n23818), .Y(n16096) ); sky130_fd_sc_hd__a22oi_1 U20220 ( .A1(n16136), .A2( lsu_nonblock_load_data[11]), .B1(n16135), .B2(gpr_i0_rs2_d[11]), .Y( n16095) ); sky130_fd_sc_hd__o211ai_1 U20221 ( .A1(n23966), .A2(n16126), .B1(n16096), .C1(n16095), .Y(n23819) ); sky130_fd_sc_hd__a21oi_1 U20222 ( .A1(n10879), .A2( \dec/dec_csr_rddata_d [11]), .B1(n23819), .Y(n16098) ); sky130_fd_sc_hd__o211ai_1 U20223 ( .A1(n16100), .A2(n16099), .B1(n16098), .C1(n16097), .Y(\exu/muldiv_rs2_d [11]) ); sky130_fd_sc_hd__a22oi_1 U20224 ( .A1(n16134), .A2( \dec/decode/i0_result_r [10]), .B1(n23775), .B2(n23814), .Y(n16103) ); sky130_fd_sc_hd__a22oi_1 U20225 ( .A1(n16136), .A2( lsu_nonblock_load_data[10]), .B1(n16135), .B2(gpr_i0_rs2_d[10]), .Y( n16102) ); sky130_fd_sc_hd__o211ai_1 U20226 ( .A1(n23964), .A2(n16126), .B1(n16103), .C1(n16102), .Y(n23815) ); sky130_fd_sc_hd__a21oi_1 U20227 ( .A1(n10879), .A2( \dec/dec_csr_rddata_d [10]), .B1(n23815), .Y(n16104) ); sky130_fd_sc_hd__o21ai_1 U20228 ( .A1(n24021), .A2(n16123), .B1(n16104), .Y( \exu/muldiv_rs2_d [10]) ); sky130_fd_sc_hd__a22oi_1 U20229 ( .A1(n16134), .A2( \dec/decode/i0_result_r [9]), .B1(n16133), .B2(n23961), .Y(n16106) ); sky130_fd_sc_hd__a22oi_1 U20230 ( .A1(n16136), .A2(lsu_nonblock_load_data[9]), .B1(n16135), .B2(gpr_i0_rs2_d[9]), .Y(n16105) ); sky130_fd_sc_hd__nand2_1 U20231 ( .A(n23775), .B(n23962), .Y(n23811) ); sky130_fd_sc_hd__nand3_1 U20232 ( .A(n16106), .B(n16105), .C(n23811), .Y( n23813) ); sky130_fd_sc_hd__a21oi_1 U20233 ( .A1(n10879), .A2(\dec/dec_csr_rddata_d [9]), .B1(n23813), .Y(n16107) ); sky130_fd_sc_hd__o21ai_1 U20234 ( .A1(n24020), .A2(n16123), .B1(n16107), .Y( \exu/muldiv_rs2_d [9]) ); sky130_fd_sc_hd__a22oi_1 U20235 ( .A1(n16134), .A2( \dec/decode/i0_result_r [8]), .B1(n16133), .B2(n23960), .Y(n16109) ); sky130_fd_sc_hd__a22oi_1 U20236 ( .A1(n16136), .A2(lsu_nonblock_load_data[8]), .B1(n16135), .B2(gpr_i0_rs2_d[8]), .Y(n16108) ); sky130_fd_sc_hd__nand2_1 U20237 ( .A(n23775), .B(n23959), .Y(n23807) ); sky130_fd_sc_hd__nand3_1 U20238 ( .A(n16109), .B(n16108), .C(n23807), .Y( n23809) ); sky130_fd_sc_hd__a21oi_1 U20239 ( .A1(n10879), .A2(\dec/dec_csr_rddata_d [8]), .B1(n23809), .Y(n16110) ); sky130_fd_sc_hd__o21ai_1 U20240 ( .A1(n24043), .A2(n16123), .B1(n16110), .Y( \exu/muldiv_rs2_d [8]) ); sky130_fd_sc_hd__a22oi_1 U20241 ( .A1(n16134), .A2( \dec/decode/i0_result_r [7]), .B1(n16133), .B2(n16111), .Y(n16113) ); sky130_fd_sc_hd__a22oi_1 U20242 ( .A1(n16136), .A2(lsu_nonblock_load_data[7]), .B1(n16135), .B2(gpr_i0_rs2_d[7]), .Y(n16112) ); sky130_fd_sc_hd__o211ai_1 U20243 ( .A1(n16139), .A2(n23957), .B1(n16113), .C1(n16112), .Y(n23802) ); sky130_fd_sc_hd__a21oi_1 U20244 ( .A1(n10879), .A2(\dec/dec_csr_rddata_d [7]), .B1(n23802), .Y(n16114) ); sky130_fd_sc_hd__o21ai_1 U20245 ( .A1(n24019), .A2(n16123), .B1(n16114), .Y( \exu/muldiv_rs2_d [7]) ); sky130_fd_sc_hd__a22oi_1 U20246 ( .A1(n16134), .A2( \dec/decode/i0_result_r [6]), .B1(n16133), .B2(n16115), .Y(n16117) ); sky130_fd_sc_hd__a22oi_1 U20247 ( .A1(n16136), .A2(lsu_nonblock_load_data[6]), .B1(n16135), .B2(gpr_i0_rs2_d[6]), .Y(n16116) ); sky130_fd_sc_hd__o211ai_1 U20248 ( .A1(n16139), .A2(n23955), .B1(n16117), .C1(n16116), .Y(n23799) ); sky130_fd_sc_hd__a21oi_1 U20249 ( .A1(n10879), .A2(\dec/dec_csr_rddata_d [6]), .B1(n23799), .Y(n16118) ); sky130_fd_sc_hd__o21ai_1 U20250 ( .A1(n24018), .A2(n16123), .B1(n16118), .Y( \exu/muldiv_rs2_d [6]) ); sky130_fd_sc_hd__a22oi_1 U20251 ( .A1(n16134), .A2( \dec/decode/i0_result_r [5]), .B1(n16133), .B2(n16119), .Y(n16121) ); sky130_fd_sc_hd__a22oi_1 U20252 ( .A1(n16136), .A2(lsu_nonblock_load_data[5]), .B1(n16135), .B2(gpr_i0_rs2_d[5]), .Y(n16120) ); sky130_fd_sc_hd__o211ai_1 U20253 ( .A1(n16139), .A2(n23953), .B1(n16121), .C1(n16120), .Y(n23796) ); sky130_fd_sc_hd__a21oi_1 U20254 ( .A1(n10879), .A2(\dec/dec_csr_rddata_d [5]), .B1(n23796), .Y(n16122) ); sky130_fd_sc_hd__o21ai_1 U20255 ( .A1(n24017), .A2(n16123), .B1(n16122), .Y( \exu/muldiv_rs2_d [5]) ); sky130_fd_sc_hd__nor2_1 U20256 ( .A(n16139), .B(n23951), .Y(n23793) ); sky130_fd_sc_hd__a21oi_1 U20257 ( .A1(\dec/decode/i0_result_r [4]), .A2( n16134), .B1(n23793), .Y(n16125) ); sky130_fd_sc_hd__a22oi_1 U20258 ( .A1(n16136), .A2(lsu_nonblock_load_data[4]), .B1(n16135), .B2(gpr_i0_rs2_d[4]), .Y(n16124) ); sky130_fd_sc_hd__o211ai_1 U20259 ( .A1(n23952), .A2(n16126), .B1(n16125), .C1(n16124), .Y(n23792) ); sky130_fd_sc_hd__o22ai_1 U20260 ( .A1(n23942), .A2(n16141), .B1(n23938), .B2(n16140), .Y(n16127) ); sky130_fd_sc_hd__a211oi_1 U20261 ( .A1(n10879), .A2( \dec/dec_csr_rddata_d [4]), .B1(n23792), .C1(n16127), .Y(n19727) ); sky130_fd_sc_hd__a22oi_1 U20262 ( .A1(n16134), .A2( \dec/decode/i0_result_r [3]), .B1(n16133), .B2(n16128), .Y(n16130) ); sky130_fd_sc_hd__a22oi_1 U20263 ( .A1(n16136), .A2(lsu_nonblock_load_data[3]), .B1(n16135), .B2(gpr_i0_rs2_d[3]), .Y(n16129) ); sky130_fd_sc_hd__nand2b_1 U20264 ( .A_N(n23949), .B(n23775), .Y(n23789) ); sky130_fd_sc_hd__nand3_1 U20265 ( .A(n16130), .B(n16129), .C(n23789), .Y( n23791) ); sky130_fd_sc_hd__o22ai_1 U20266 ( .A1(n23941), .A2(n16141), .B1(n23937), .B2(n16140), .Y(n16131) ); sky130_fd_sc_hd__a211oi_1 U20267 ( .A1(n10879), .A2( \dec/dec_csr_rddata_d [3]), .B1(n23791), .C1(n16131), .Y(n19659) ); sky130_fd_sc_hd__a22oi_1 U20268 ( .A1(n16134), .A2( \dec/decode/i0_result_r [2]), .B1(n16133), .B2(n16132), .Y(n16138) ); sky130_fd_sc_hd__a22oi_1 U20269 ( .A1(n16136), .A2(lsu_nonblock_load_data[2]), .B1(n16135), .B2(gpr_i0_rs2_d[2]), .Y(n16137) ); sky130_fd_sc_hd__o211ai_1 U20270 ( .A1(n16139), .A2(n23947), .B1(n16138), .C1(n16137), .Y(n23784) ); sky130_fd_sc_hd__o22ai_1 U20271 ( .A1(n24054), .A2(n16141), .B1(n23936), .B2(n16140), .Y(n16142) ); sky130_fd_sc_hd__a211oi_1 U20272 ( .A1(n10879), .A2( \dec/dec_csr_rddata_d [2]), .B1(n23784), .C1(n16142), .Y(n19401) ); sky130_fd_sc_hd__nor3_1 U20273 ( .A(n23906), .B(n19303), .C(n16144), .Y( n17452) ); sky130_fd_sc_hd__a32oi_1 U20274 ( .A1(n16146), .A2(n16145), .A3(n24052), .B1(n17452), .B2(n16145), .Y(n16173) ); sky130_fd_sc_hd__clkbuf_1 U20275 ( .A(n16173), .X(n16184) ); sky130_fd_sc_hd__a21oi_1 U20276 ( .A1(n16185), .A2(dbg_cmd_wrdata[31]), .B1( \exu/muldiv_rs1_d [31]), .Y(n16147) ); sky130_fd_sc_hd__o21ai_1 U20277 ( .A1(n19939), .A2(n16184), .B1(n16147), .Y( n19932) ); sky130_fd_sc_hd__nor3_1 U20278 ( .A(n16148), .B(n19304), .C(n17461), .Y( n16150) ); sky130_fd_sc_hd__nor3_1 U20279 ( .A(n23914), .B(n16149), .C(n24025), .Y( n24051) ); sky130_fd_sc_hd__a31oi_1 U20280 ( .A1(n17460), .A2(n16150), .A3( \exu/i0_predict_p_d[toffset][9] ), .B1(n24051), .Y(n17456) ); sky130_fd_sc_hd__nor3_1 U20281 ( .A(n23928), .B(n24041), .C(n24040), .Y( n17439) ); sky130_fd_sc_hd__nand2_1 U20282 ( .A(n23906), .B(n17439), .Y(n17444) ); sky130_fd_sc_hd__nand2_1 U20283 ( .A(n16151), .B(n24052), .Y(n24107) ); sky130_fd_sc_hd__nor2_1 U20284 ( .A(n16190), .B(n24107), .Y(n19371) ); sky130_fd_sc_hd__nor2b_1 U20285 ( .B_N(n17456), .A(n19371), .Y(n16158) ); sky130_fd_sc_hd__o22ai_1 U20286 ( .A1(n16158), .A2(\exu/muldiv_rs2_d [31]), .B1(n19362), .B2(n19943), .Y(n16194) ); sky130_fd_sc_hd__a21oi_1 U20287 ( .A1(n16185), .A2(dbg_cmd_wrdata[30]), .B1( \exu/muldiv_rs1_d [30]), .Y(n16152) ); sky130_fd_sc_hd__o21ai_1 U20288 ( .A1(n16184), .A2(n19931), .B1(n16152), .Y( n19357) ); sky130_fd_sc_hd__o22ai_1 U20289 ( .A1(n16158), .A2(\exu/muldiv_rs2_d [30]), .B1(n19362), .B2(n16199), .Y(n16348) ); sky130_fd_sc_hd__a21oi_1 U20290 ( .A1(n16185), .A2(dbg_cmd_wrdata[29]), .B1( \exu/muldiv_rs1_d [29]), .Y(n16153) ); sky130_fd_sc_hd__o21ai_1 U20291 ( .A1(n16184), .A2(n19899), .B1(n16153), .Y( n19902) ); sky130_fd_sc_hd__o22ai_1 U20292 ( .A1(n16158), .A2(\exu/muldiv_rs2_d [29]), .B1(n19362), .B2(n19897), .Y(n16227) ); sky130_fd_sc_hd__a21oi_1 U20293 ( .A1(n16185), .A2(dbg_cmd_wrdata[28]), .B1( \exu/muldiv_rs1_d [28]), .Y(n16154) ); sky130_fd_sc_hd__o21ai_1 U20294 ( .A1(n16173), .A2(n19859), .B1(n16154), .Y( n19358) ); sky130_fd_sc_hd__o22ai_1 U20295 ( .A1(n16158), .A2(\exu/muldiv_rs2_d [28]), .B1(n19362), .B2(n19860), .Y(n16223) ); sky130_fd_sc_hd__a21oi_1 U20296 ( .A1(n16185), .A2(dbg_cmd_wrdata[27]), .B1( \exu/muldiv_rs1_d [27]), .Y(n16155) ); sky130_fd_sc_hd__o21ai_1 U20297 ( .A1(n16173), .A2(n19841), .B1(n16155), .Y( n19843) ); sky130_fd_sc_hd__o22ai_1 U20298 ( .A1(n16158), .A2(\exu/muldiv_rs2_d [27]), .B1(n19362), .B2(n19839), .Y(n16231) ); sky130_fd_sc_hd__a21oi_1 U20299 ( .A1(n16185), .A2(dbg_cmd_wrdata[26]), .B1( \exu/muldiv_rs1_d [26]), .Y(n16156) ); sky130_fd_sc_hd__o21ai_1 U20300 ( .A1(n16184), .A2(n19819), .B1(n16156), .Y( n19359) ); sky130_fd_sc_hd__o22ai_1 U20301 ( .A1(n16158), .A2(\exu/muldiv_rs2_d [26]), .B1(n19362), .B2(n19837), .Y(n16344) ); sky130_fd_sc_hd__a21oi_1 U20302 ( .A1(n16185), .A2(dbg_cmd_wrdata[25]), .B1( \exu/muldiv_rs1_d [25]), .Y(n16157) ); sky130_fd_sc_hd__o21ai_1 U20303 ( .A1(n16173), .A2(n24254), .B1(n16157), .Y( n19811) ); sky130_fd_sc_hd__o22ai_1 U20304 ( .A1(n16158), .A2(\exu/muldiv_rs2_d [25]), .B1(n19362), .B2(n22669), .Y(n16235) ); sky130_fd_sc_hd__a21oi_1 U20305 ( .A1(n16185), .A2(dbg_cmd_wrdata[24]), .B1( \exu/muldiv_rs1_d [24]), .Y(n16159) ); sky130_fd_sc_hd__o21ai_1 U20306 ( .A1(n16184), .A2(n24246), .B1(n16159), .Y( n19782) ); sky130_fd_sc_hd__o22ai_1 U20307 ( .A1(n16188), .A2(\exu/muldiv_rs2_d [24]), .B1(n19362), .B2(n19779), .Y(n16340) ); sky130_fd_sc_hd__a21oi_1 U20308 ( .A1(n16185), .A2(dbg_cmd_wrdata[23]), .B1( \exu/muldiv_rs1_d [23]), .Y(n16160) ); sky130_fd_sc_hd__o21ai_1 U20309 ( .A1(n16173), .A2(n24234), .B1(n16160), .Y( n19766) ); sky130_fd_sc_hd__o22ai_1 U20310 ( .A1(n16188), .A2(\exu/muldiv_rs2_d [23]), .B1(n19362), .B2(n19763), .Y(n16239) ); sky130_fd_sc_hd__a21oi_1 U20311 ( .A1(n16185), .A2(dbg_cmd_wrdata[22]), .B1( \exu/muldiv_rs1_d [22]), .Y(n16161) ); sky130_fd_sc_hd__o21ai_1 U20312 ( .A1(n16173), .A2(n24221), .B1(n16161), .Y( n19755) ); sky130_fd_sc_hd__o22ai_1 U20313 ( .A1(n16188), .A2(\exu/muldiv_rs2_d [22]), .B1(n19362), .B2(n22674), .Y(n16370) ); sky130_fd_sc_hd__a21oi_1 U20314 ( .A1(n16185), .A2(dbg_cmd_wrdata[21]), .B1( \exu/muldiv_rs1_d [21]), .Y(n16162) ); sky130_fd_sc_hd__o21ai_1 U20315 ( .A1(n16184), .A2(n19732), .B1(n16162), .Y( n19735) ); sky130_fd_sc_hd__o22ai_1 U20316 ( .A1(n16188), .A2(\exu/muldiv_rs2_d [21]), .B1(n19362), .B2(n19736), .Y(n16243) ); sky130_fd_sc_hd__a21oi_1 U20317 ( .A1(n16185), .A2(dbg_cmd_wrdata[20]), .B1( \exu/muldiv_rs1_d [20]), .Y(n16163) ); sky130_fd_sc_hd__o21ai_1 U20318 ( .A1(n16173), .A2(n24197), .B1(n16163), .Y( n19708) ); sky130_fd_sc_hd__o22ai_1 U20319 ( .A1(n16188), .A2(\exu/muldiv_rs2_d [20]), .B1(n19362), .B2(n22668), .Y(n16366) ); sky130_fd_sc_hd__a21oi_1 U20320 ( .A1(n16185), .A2(dbg_cmd_wrdata[19]), .B1( \exu/muldiv_rs1_d [19]), .Y(n16164) ); sky130_fd_sc_hd__o21ai_1 U20321 ( .A1(n16173), .A2(n24185), .B1(n16164), .Y( n19354) ); sky130_fd_sc_hd__o22ai_1 U20322 ( .A1(n16188), .A2(\exu/muldiv_rs2_d [19]), .B1(n19362), .B2(n19691), .Y(n16247) ); sky130_fd_sc_hd__a21oi_1 U20323 ( .A1(n16185), .A2(dbg_cmd_wrdata[18]), .B1( \exu/muldiv_rs1_d [18]), .Y(n16165) ); sky130_fd_sc_hd__o21ai_1 U20324 ( .A1(n16173), .A2(n24173), .B1(n16165), .Y( n19355) ); sky130_fd_sc_hd__o22ai_1 U20325 ( .A1(n16188), .A2(\exu/muldiv_rs2_d [18]), .B1(n19362), .B2(n19681), .Y(n16362) ); sky130_fd_sc_hd__a21oi_1 U20326 ( .A1(n16185), .A2(dbg_cmd_wrdata[17]), .B1( \exu/muldiv_rs1_d [17]), .Y(n16166) ); sky130_fd_sc_hd__o21ai_1 U20327 ( .A1(n16173), .A2(n24252), .B1(n16166), .Y( n19356) ); sky130_fd_sc_hd__o22ai_1 U20328 ( .A1(n16188), .A2(\exu/muldiv_rs2_d [17]), .B1(n19362), .B2(n19663), .Y(n16280) ); sky130_fd_sc_hd__a21oi_1 U20329 ( .A1(n16185), .A2(dbg_cmd_wrdata[16]), .B1( \exu/muldiv_rs1_d [16]), .Y(n16167) ); sky130_fd_sc_hd__o21ai_1 U20330 ( .A1(n16173), .A2(n24245), .B1(n16167), .Y( n19640) ); sky130_fd_sc_hd__o22ai_1 U20331 ( .A1(n16188), .A2(\exu/muldiv_rs2_d [16]), .B1(n19362), .B2(n19647), .Y(n16358) ); sky130_fd_sc_hd__a21oi_1 U20332 ( .A1(n16185), .A2(dbg_cmd_wrdata[15]), .B1( \exu/muldiv_rs1_d [15]), .Y(n16168) ); sky130_fd_sc_hd__o21ai_1 U20333 ( .A1(n16173), .A2(n24233), .B1(n16168), .Y( n19351) ); sky130_fd_sc_hd__o2bb2ai_1 U20334 ( .B1(n16188), .B2(\exu/muldiv_rs2_d [15]), .A1_N(n16188), .A2_N(\exu/muldiv_rs2_d [15]), .Y(n16284) ); sky130_fd_sc_hd__a21oi_1 U20335 ( .A1(n16185), .A2(dbg_cmd_wrdata[14]), .B1( \exu/muldiv_rs1_d [14]), .Y(n16169) ); sky130_fd_sc_hd__o21ai_1 U20336 ( .A1(n16184), .A2(n24222), .B1(n16169), .Y( n19606) ); sky130_fd_sc_hd__o22ai_1 U20337 ( .A1(n16188), .A2(\exu/muldiv_rs2_d [14]), .B1(n19362), .B2(n19609), .Y(n16312) ); sky130_fd_sc_hd__a21oi_1 U20338 ( .A1(n16185), .A2(dbg_cmd_wrdata[13]), .B1( \exu/muldiv_rs1_d [13]), .Y(n16170) ); sky130_fd_sc_hd__o21ai_1 U20339 ( .A1(n16173), .A2(n24209), .B1(n16170), .Y( n19352) ); sky130_fd_sc_hd__o2bb2ai_1 U20340 ( .B1(n16188), .B2(\exu/muldiv_rs2_d [13]), .A1_N(n16188), .A2_N(\exu/muldiv_rs2_d [13]), .Y(n16288) ); sky130_fd_sc_hd__a21oi_1 U20341 ( .A1(n16185), .A2(dbg_cmd_wrdata[12]), .B1( \exu/muldiv_rs1_d [12]), .Y(n16171) ); sky130_fd_sc_hd__o21ai_1 U20342 ( .A1(n16173), .A2(n24198), .B1(n16171), .Y( n19353) ); sky130_fd_sc_hd__o22ai_1 U20343 ( .A1(n16188), .A2(\exu/muldiv_rs2_d [12]), .B1(n19362), .B2(n19569), .Y(n16308) ); sky130_fd_sc_hd__a21oi_1 U20344 ( .A1(n16185), .A2(dbg_cmd_wrdata[11]), .B1( \exu/muldiv_rs1_d [11]), .Y(n16172) ); sky130_fd_sc_hd__o21ai_1 U20345 ( .A1(n16173), .A2(n24131), .B1(n16172), .Y( n19349) ); sky130_fd_sc_hd__o22ai_1 U20346 ( .A1(n16188), .A2(\exu/muldiv_rs2_d [11]), .B1(n19362), .B2(n22670), .Y(n16292) ); sky130_fd_sc_hd__a21oi_1 U20347 ( .A1(n16185), .A2(dbg_cmd_wrdata[10]), .B1( \exu/muldiv_rs1_d [10]), .Y(n16174) ); sky130_fd_sc_hd__o21ai_1 U20348 ( .A1(n16184), .A2(n24174), .B1(n16174), .Y( n17474) ); sky130_fd_sc_hd__o22ai_1 U20349 ( .A1(n16188), .A2(\exu/muldiv_rs2_d [10]), .B1(n19362), .B2(n16200), .Y(n16304) ); sky130_fd_sc_hd__a21oi_1 U20350 ( .A1(n16185), .A2(dbg_cmd_wrdata[9]), .B1( \exu/muldiv_rs1_d [9]), .Y(n16175) ); sky130_fd_sc_hd__o21ai_1 U20351 ( .A1(n16184), .A2(n24251), .B1(n16175), .Y( n17473) ); sky130_fd_sc_hd__o22ai_1 U20352 ( .A1(n16188), .A2(\exu/muldiv_rs2_d [9]), .B1(n19362), .B2(n22677), .Y(n16259) ); sky130_fd_sc_hd__a21oi_1 U20353 ( .A1(n16185), .A2(dbg_cmd_wrdata[8]), .B1( \exu/muldiv_rs1_d [8]), .Y(n16176) ); sky130_fd_sc_hd__o21ai_1 U20354 ( .A1(n16184), .A2(n24248), .B1(n16176), .Y( n19350) ); sky130_fd_sc_hd__o22ai_1 U20355 ( .A1(n16188), .A2(\exu/muldiv_rs2_d [8]), .B1(n19362), .B2(n19502), .Y(n16300) ); sky130_fd_sc_hd__a21oi_1 U20356 ( .A1(n16185), .A2(dbg_cmd_wrdata[7]), .B1( \exu/muldiv_rs1_d [7]), .Y(n16177) ); sky130_fd_sc_hd__o21ai_1 U20357 ( .A1(n16184), .A2(n24236), .B1(n16177), .Y( n19348) ); sky130_fd_sc_hd__o22ai_1 U20358 ( .A1(n16188), .A2(\exu/muldiv_rs2_d [7]), .B1(n19362), .B2(n22667), .Y(n16263) ); sky130_fd_sc_hd__a21oi_1 U20359 ( .A1(n16185), .A2(dbg_cmd_wrdata[6]), .B1( \exu/muldiv_rs1_d [6]), .Y(n16178) ); sky130_fd_sc_hd__o21ai_1 U20360 ( .A1(n16184), .A2(n19194), .B1(n16178), .Y( n17466) ); sky130_fd_sc_hd__o22ai_1 U20361 ( .A1(n16188), .A2(\exu/muldiv_rs2_d [6]), .B1(n19362), .B2(n19473), .Y(n16332) ); sky130_fd_sc_hd__a21oi_1 U20362 ( .A1(n16185), .A2(dbg_cmd_wrdata[5]), .B1( \exu/muldiv_rs1_d [5]), .Y(n16179) ); sky130_fd_sc_hd__o21ai_1 U20363 ( .A1(n16184), .A2(n24210), .B1(n16179), .Y( n17467) ); sky130_fd_sc_hd__o22ai_1 U20364 ( .A1(n16188), .A2(\exu/muldiv_rs2_d [5]), .B1(n19362), .B2(n19462), .Y(n16267) ); sky130_fd_sc_hd__a21oi_1 U20365 ( .A1(n16185), .A2(dbg_cmd_wrdata[4]), .B1( \exu/muldiv_rs1_d [4]), .Y(n16180) ); sky130_fd_sc_hd__o21ai_1 U20366 ( .A1(n16184), .A2(n19095), .B1(n16180), .Y( n19440) ); sky130_fd_sc_hd__o22ai_1 U20367 ( .A1(n16188), .A2(\exu/i0_rs2_d [4]), .B1( n19362), .B2(n19727), .Y(n16328) ); sky130_fd_sc_hd__a21oi_1 U20368 ( .A1(n16185), .A2(dbg_cmd_wrdata[3]), .B1( \exu/muldiv_rs1_d [3]), .Y(n16181) ); sky130_fd_sc_hd__o21ai_1 U20369 ( .A1(n16184), .A2(n24186), .B1(n16181), .Y( n16272) ); sky130_fd_sc_hd__o22ai_1 U20370 ( .A1(n16188), .A2(\exu/i0_rs2_d [3]), .B1( n19362), .B2(n19659), .Y(n16271) ); sky130_fd_sc_hd__a21oi_1 U20371 ( .A1(n16185), .A2(dbg_cmd_wrdata[2]), .B1( \exu/muldiv_rs1_d [2]), .Y(n16182) ); sky130_fd_sc_hd__o21ai_1 U20372 ( .A1(n16184), .A2(n19226), .B1(n16182), .Y( n17071) ); sky130_fd_sc_hd__o22ai_1 U20373 ( .A1(n16188), .A2(\exu/i0_rs2_d [2]), .B1( n19362), .B2(n19401), .Y(n16324) ); sky130_fd_sc_hd__a21oi_1 U20374 ( .A1(n16185), .A2(dbg_cmd_wrdata[1]), .B1( \exu/muldiv_rs1_d [1]), .Y(n16183) ); sky130_fd_sc_hd__o21ai_1 U20375 ( .A1(n16184), .A2(n19219), .B1(n16183), .Y( n19379) ); sky130_fd_sc_hd__o22ai_1 U20376 ( .A1(n16188), .A2(\exu/i0_rs2_d [1]), .B1( n19362), .B2(n19726), .Y(n16320) ); sky130_fd_sc_hd__a21oi_1 U20377 ( .A1(n16185), .A2(dbg_cmd_wrdata[0]), .B1( \exu/muldiv_rs1_d [0]), .Y(n19368) ); sky130_fd_sc_hd__o22ai_1 U20378 ( .A1(n16188), .A2(n16187), .B1(n19362), .B2(n16186), .Y(n19363) ); sky130_fd_sc_hd__o21ai_1 U20379 ( .A1(n24025), .A2(n16190), .B1(n16189), .Y( n16192) ); sky130_fd_sc_hd__a222oi_1 U20380 ( .A1(n16192), .A2(n19313), .B1(n16191), .B2(n16214), .C1(n24110), .C2(n24051), .Y(n22686) ); sky130_fd_sc_hd__fah_1 U20381 ( .A(n19932), .B(n16194), .CI(n16193), .COUT( n16197), .SUM(n19940) ); sky130_fd_sc_hd__maj3_1 U20382 ( .A(n16194), .B(n19940), .C(n19932), .X( n16195) ); sky130_fd_sc_hd__nand2_1 U20383 ( .A(n22686), .B(n16195), .Y(n16196) ); sky130_fd_sc_hd__o21ai_1 U20384 ( .A1(n19370), .A2(n19313), .B1(n18806), .Y( n16198) ); sky130_fd_sc_hd__a21oi_1 U20385 ( .A1(n19370), .A2(n19313), .B1(n16198), .Y( n16215) ); sky130_fd_sc_hd__o22ai_1 U20386 ( .A1(n19837), .A2(n19359), .B1( \exu/muldiv_rs2_d [26]), .B2(n24558), .Y(n19834) ); sky130_fd_sc_hd__o22ai_1 U20387 ( .A1(n19456), .A2(\exu/i0_rs2_d [4]), .B1( n19440), .B2(n19727), .Y(n19453) ); sky130_fd_sc_hd__nand2_1 U20388 ( .A(\exu/muldiv_rs2_d [8]), .B(n19350), .Y( n19499) ); sky130_fd_sc_hd__o21ai_1 U20389 ( .A1(n19350), .A2(\exu/muldiv_rs2_d [8]), .B1(n19499), .Y(n19511) ); sky130_fd_sc_hd__nand2_1 U20390 ( .A(\exu/muldiv_rs2_d [21]), .B(n19735), .Y(n19738) ); sky130_fd_sc_hd__o21ai_1 U20391 ( .A1(n19735), .A2(\exu/muldiv_rs2_d [21]), .B1(n19738), .Y(n19737) ); sky130_fd_sc_hd__nand2_1 U20392 ( .A(n19379), .B(\exu/i0_rs2_d [1]), .Y( n17446) ); sky130_fd_sc_hd__o21ai_1 U20393 ( .A1(\exu/i0_rs2_d [1]), .A2(n19379), .B1( n17446), .Y(n17479) ); sky130_fd_sc_hd__nand2_1 U20394 ( .A(\exu/muldiv_rs2_d [6]), .B(n17466), .Y( n19470) ); sky130_fd_sc_hd__o21ai_1 U20395 ( .A1(n17466), .A2(\exu/muldiv_rs2_d [6]), .B1(n19470), .Y(n19482) ); sky130_fd_sc_hd__nand4_1 U20396 ( .A(n19511), .B(n19737), .C(n17479), .D( n19482), .Y(n16210) ); sky130_fd_sc_hd__o22ai_1 U20397 ( .A1(n19609), .A2(n24548), .B1( \exu/muldiv_rs2_d [14]), .B2(n19606), .Y(n19607) ); sky130_fd_sc_hd__o22ai_1 U20398 ( .A1(n16199), .A2(n24560), .B1( \exu/muldiv_rs2_d [30]), .B2(n19357), .Y(n19924) ); sky130_fd_sc_hd__o22ai_1 U20399 ( .A1(n16200), .A2(n24544), .B1( \exu/muldiv_rs2_d [10]), .B2(n17474), .Y(n19540) ); sky130_fd_sc_hd__o22ai_1 U20400 ( .A1(n19434), .A2(n19659), .B1(n16272), .B2(\exu/i0_rs2_d [3]), .Y(n19432) ); sky130_fd_sc_hd__nand4_1 U20401 ( .A(n19607), .B(n19924), .C(n19540), .D( n19432), .Y(n16202) ); sky130_fd_sc_hd__nand2_1 U20402 ( .A(\exu/muldiv_rs2_d [29]), .B(n19902), .Y(n19896) ); sky130_fd_sc_hd__o21ai_1 U20403 ( .A1(n19902), .A2(\exu/muldiv_rs2_d [29]), .B1(n19896), .Y(n19908) ); sky130_fd_sc_hd__nand2_1 U20404 ( .A(\exu/muldiv_rs2_d [24]), .B(n19782), .Y(n19778) ); sky130_fd_sc_hd__o21ai_1 U20405 ( .A1(n19782), .A2(\exu/muldiv_rs2_d [24]), .B1(n19778), .Y(n19791) ); sky130_fd_sc_hd__o22ai_1 U20406 ( .A1(n19860), .A2(n24559), .B1( \exu/muldiv_rs2_d [28]), .B2(n19358), .Y(n19875) ); sky130_fd_sc_hd__nand2_1 U20407 ( .A(\exu/muldiv_rs2_d [27]), .B(n19843), .Y(n19838) ); sky130_fd_sc_hd__o21ai_1 U20408 ( .A1(n19843), .A2(\exu/muldiv_rs2_d [27]), .B1(n19838), .Y(n19852) ); sky130_fd_sc_hd__nand4_1 U20409 ( .A(n19908), .B(n19791), .C(n19875), .D( n19852), .Y(n16201) ); sky130_fd_sc_hd__nor2_1 U20410 ( .A(n16202), .B(n16201), .Y(n16208) ); sky130_fd_sc_hd__nand2_1 U20411 ( .A(\exu/muldiv_rs2_d [7]), .B(n19348), .Y( n19486) ); sky130_fd_sc_hd__o21ai_1 U20412 ( .A1(n19348), .A2(\exu/muldiv_rs2_d [7]), .B1(n19486), .Y(n19494) ); sky130_fd_sc_hd__nand2_1 U20413 ( .A(\exu/muldiv_rs2_d [19]), .B(n19354), .Y(n19690) ); sky130_fd_sc_hd__o21ai_1 U20414 ( .A1(n19354), .A2(\exu/muldiv_rs2_d [19]), .B1(n19690), .Y(n19700) ); sky130_fd_sc_hd__nand2_1 U20415 ( .A(n17071), .B(\exu/i0_rs2_d [2]), .Y( n19397) ); sky130_fd_sc_hd__o21ai_1 U20416 ( .A1(\exu/i0_rs2_d [2]), .A2(n17071), .B1( n19397), .Y(n19407) ); sky130_fd_sc_hd__nand2_1 U20417 ( .A(n25109), .B(n19376), .Y(n19413) ); sky130_fd_sc_hd__o21ai_1 U20418 ( .A1(n19376), .A2(n25109), .B1(n19413), .Y( n19364) ); sky130_fd_sc_hd__nand4_1 U20419 ( .A(n19494), .B(n19700), .C(n19407), .D( n19364), .Y(n16206) ); sky130_fd_sc_hd__nand2_1 U20420 ( .A(\exu/muldiv_rs2_d [11]), .B(n19349), .Y(n19545) ); sky130_fd_sc_hd__o21ai_1 U20421 ( .A1(n19349), .A2(\exu/muldiv_rs2_d [11]), .B1(n19545), .Y(n19554) ); sky130_fd_sc_hd__nand2_1 U20422 ( .A(\exu/muldiv_rs2_d [25]), .B(n19811), .Y(n19808) ); sky130_fd_sc_hd__o21ai_1 U20423 ( .A1(n19811), .A2(\exu/muldiv_rs2_d [25]), .B1(n19808), .Y(n19817) ); sky130_fd_sc_hd__nand2_1 U20424 ( .A(\exu/muldiv_rs2_d [20]), .B(n19708), .Y(n19707) ); sky130_fd_sc_hd__o21ai_1 U20425 ( .A1(n19708), .A2(\exu/muldiv_rs2_d [20]), .B1(n19707), .Y(n19718) ); sky130_fd_sc_hd__o22ai_1 U20426 ( .A1(n19943), .A2(n24561), .B1( \exu/muldiv_rs2_d [31]), .B2(n19932), .Y(n19958) ); sky130_fd_sc_hd__nand4_1 U20427 ( .A(n19554), .B(n19817), .C(n19718), .D( n19958), .Y(n16205) ); sky130_fd_sc_hd__nand2_1 U20428 ( .A(\exu/muldiv_rs2_d [15]), .B(n19351), .Y(n19615) ); sky130_fd_sc_hd__o21ai_1 U20429 ( .A1(n19351), .A2(\exu/muldiv_rs2_d [15]), .B1(n19615), .Y(n19627) ); sky130_fd_sc_hd__nand2_1 U20430 ( .A(\exu/muldiv_rs2_d [22]), .B(n19755), .Y(n19752) ); sky130_fd_sc_hd__o21ai_1 U20431 ( .A1(n19755), .A2(\exu/muldiv_rs2_d [22]), .B1(n19752), .Y(n19761) ); sky130_fd_sc_hd__o22ai_1 U20432 ( .A1(n22677), .A2(n24543), .B1( \exu/muldiv_rs2_d [9]), .B2(n17473), .Y(n19523) ); sky130_fd_sc_hd__nand2_1 U20433 ( .A(\exu/muldiv_rs2_d [17]), .B(n19356), .Y(n19662) ); sky130_fd_sc_hd__o21ai_1 U20434 ( .A1(n19356), .A2(\exu/muldiv_rs2_d [17]), .B1(n19662), .Y(n19671) ); sky130_fd_sc_hd__nand4_1 U20435 ( .A(n19627), .B(n19761), .C(n19523), .D( n19671), .Y(n16204) ); sky130_fd_sc_hd__nand2_1 U20436 ( .A(\exu/muldiv_rs2_d [13]), .B(n19352), .Y(n19586) ); sky130_fd_sc_hd__o21ai_1 U20437 ( .A1(n19352), .A2(\exu/muldiv_rs2_d [13]), .B1(n19586), .Y(n19591) ); sky130_fd_sc_hd__nand2_1 U20438 ( .A(\exu/muldiv_rs2_d [18]), .B(n19355), .Y(n19680) ); sky130_fd_sc_hd__o21ai_1 U20439 ( .A1(n19355), .A2(\exu/muldiv_rs2_d [18]), .B1(n19680), .Y(n19689) ); sky130_fd_sc_hd__nand2_1 U20440 ( .A(\exu/muldiv_rs2_d [16]), .B(n19640), .Y(n19646) ); sky130_fd_sc_hd__o21ai_1 U20441 ( .A1(n19640), .A2(\exu/muldiv_rs2_d [16]), .B1(n19646), .Y(n19637) ); sky130_fd_sc_hd__nand2_1 U20442 ( .A(\exu/muldiv_rs2_d [12]), .B(n19353), .Y(n19566) ); sky130_fd_sc_hd__o21ai_1 U20443 ( .A1(n19353), .A2(\exu/muldiv_rs2_d [12]), .B1(n19566), .Y(n19575) ); sky130_fd_sc_hd__nand4_1 U20444 ( .A(n19591), .B(n19689), .C(n19637), .D( n19575), .Y(n16203) ); sky130_fd_sc_hd__nor4_1 U20445 ( .A(n16206), .B(n16205), .C(n16204), .D( n16203), .Y(n16207) ); sky130_fd_sc_hd__nand2_1 U20446 ( .A(\exu/muldiv_rs2_d [5]), .B(n17467), .Y( n19461) ); sky130_fd_sc_hd__o21ai_1 U20447 ( .A1(n17467), .A2(\exu/muldiv_rs2_d [5]), .B1(n19461), .Y(n19469) ); sky130_fd_sc_hd__nand2_1 U20448 ( .A(\exu/muldiv_rs2_d [23]), .B(n19766), .Y(n19762) ); sky130_fd_sc_hd__o21ai_1 U20449 ( .A1(n19766), .A2(\exu/muldiv_rs2_d [23]), .B1(n19762), .Y(n19772) ); sky130_fd_sc_hd__nand4_1 U20450 ( .A(n16208), .B(n16207), .C(n19469), .D( n19772), .Y(n16209) ); sky130_fd_sc_hd__nor4_1 U20451 ( .A(n19834), .B(n19453), .C(n16210), .D( n16209), .Y(n16212) ); sky130_fd_sc_hd__o211ai_1 U20452 ( .A1(n19313), .A2(n16212), .B1(n24051), .C1(n23930), .Y(n16211) ); sky130_fd_sc_hd__a21oi_1 U20453 ( .A1(n19313), .A2(n16212), .B1(n16211), .Y( n16213) ); sky130_fd_sc_hd__a21oi_1 U20454 ( .A1(n16215), .A2(n16214), .B1(n16213), .Y( n19341) ); sky130_fd_sc_hd__nand2_1 U20455 ( .A(n19341), .B(n19577), .Y( \exu/i0_predict_p_d[ataken] ) ); sky130_fd_sc_hd__nor2_1 U20456 ( .A(n16217), .B(n16216), .Y( \exu/i0_predict_p_d[pret] ) ); sky130_fd_sc_hd__nor3_1 U20457 ( .A(n16219), .B(n16218), .C(n19577), .Y( \dec/decode/d_t[pmu_i0_br_unpred] ) ); sky130_fd_sc_hd__nor2_1 U20458 ( .A(n24049), .B(n16220), .Y(n19342) ); sky130_fd_sc_hd__nand3_1 U20459 ( .A(n24051), .B(n19342), .C(n19341), .Y( n16386) ); sky130_fd_sc_hd__a222oi_1 U20460 ( .A1(n22493), .A2(\ifu/aln/misc1 [47]), .B1(n10867), .B2(\ifu/aln/misc2 [47]), .C1(n22491), .C2( \ifu/aln/misc0 [47]), .Y(n16221) ); sky130_fd_sc_hd__fah_1 U20461 ( .A(n19358), .B(n16223), .CI(n16222), .COUT( n16226), .SUM(n19858) ); sky130_fd_sc_hd__xor2_1 U20462 ( .A(n16224), .B(n19858), .X(n16256) ); sky130_fd_sc_hd__a222oi_1 U20463 ( .A1(n22487), .A2(\ifu/aln/misc1 [48]), .B1(n10867), .B2(\ifu/aln/misc2 [48]), .C1(n22491), .C2( \ifu/aln/misc0 [48]), .Y(n16225) ); sky130_fd_sc_hd__fah_1 U20464 ( .A(n19902), .B(n16227), .CI(n16226), .COUT( n16347), .SUM(n19900) ); sky130_fd_sc_hd__xor2_1 U20465 ( .A(n16228), .B(n19900), .X(n16255) ); sky130_fd_sc_hd__a222oi_1 U20466 ( .A1(n22493), .A2(\ifu/aln/misc1 [46]), .B1(n10867), .B2(\ifu/aln/misc2 [46]), .C1(n22491), .C2( \ifu/aln/misc0 [46]), .Y(n16229) ); sky130_fd_sc_hd__fah_1 U20467 ( .A(n19843), .B(n16231), .CI(n16230), .COUT( n16222), .SUM(n19842) ); sky130_fd_sc_hd__xor2_1 U20468 ( .A(n16232), .B(n19842), .X(n16254) ); sky130_fd_sc_hd__a222oi_1 U20469 ( .A1(n22493), .A2(\ifu/aln/misc1 [44]), .B1(n10867), .B2(\ifu/aln/misc2 [44]), .C1(n22491), .C2( \ifu/aln/misc0 [44]), .Y(n16233) ); sky130_fd_sc_hd__fah_1 U20470 ( .A(n19811), .B(n16235), .CI(n16234), .COUT( n16343), .SUM(n19810) ); sky130_fd_sc_hd__xnor2_1 U20471 ( .A(n16236), .B(n19810), .Y(n16252) ); sky130_fd_sc_hd__a222oi_1 U20472 ( .A1(n22493), .A2(\ifu/aln/misc1 [42]), .B1(n10867), .B2(\ifu/aln/misc2 [42]), .C1(n22491), .C2( \ifu/aln/misc0 [42]), .Y(n16237) ); sky130_fd_sc_hd__fah_1 U20473 ( .A(n19766), .B(n16239), .CI(n16238), .COUT( n16339), .SUM(n19765) ); sky130_fd_sc_hd__xnor2_1 U20474 ( .A(n16240), .B(n19765), .Y(n16251) ); sky130_fd_sc_hd__a222oi_1 U20475 ( .A1(n22493), .A2(\ifu/aln/misc1 [40]), .B1(n10867), .B2(\ifu/aln/misc2 [40]), .C1(n22491), .C2( \ifu/aln/misc0 [40]), .Y(n16241) ); sky130_fd_sc_hd__fah_1 U20476 ( .A(n19735), .B(n16243), .CI(n16242), .COUT( n16369), .SUM(n19733) ); sky130_fd_sc_hd__xnor2_1 U20477 ( .A(n16244), .B(n19733), .Y(n16250) ); sky130_fd_sc_hd__a222oi_1 U20478 ( .A1(n22493), .A2(\ifu/aln/misc1 [38]), .B1(n10867), .B2(\ifu/aln/misc2 [38]), .C1(n22491), .C2( \ifu/aln/misc0 [38]), .Y(n16245) ); sky130_fd_sc_hd__xnor2_1 U20479 ( .A(n16248), .B(n19693), .Y(n16249) ); sky130_fd_sc_hd__nand4_1 U20480 ( .A(n16252), .B(n16251), .C(n16250), .D( n16249), .Y(n16253) ); sky130_fd_sc_hd__nor4_1 U20481 ( .A(n16256), .B(n16255), .C(n16254), .D( n16253), .Y(n16383) ); sky130_fd_sc_hd__a222oi_1 U20482 ( .A1(n22493), .A2(\ifu/aln/misc1 [28]), .B1(n10867), .B2(\ifu/aln/misc2 [28]), .C1(n22491), .C2( \ifu/aln/misc0 [28]), .Y(n16257) ); sky130_fd_sc_hd__fah_1 U20483 ( .A(n17473), .B(n16259), .CI(n16258), .COUT( n16303), .SUM(n19515) ); sky130_fd_sc_hd__xor2_1 U20484 ( .A(n16260), .B(n19515), .X(n16277) ); sky130_fd_sc_hd__a222oi_1 U20485 ( .A1(n22493), .A2(\ifu/aln/misc1 [26]), .B1(n10867), .B2(\ifu/aln/misc2 [26]), .C1(n22491), .C2( \ifu/aln/misc0 [26]), .Y(n16261) ); sky130_fd_sc_hd__fah_1 U20486 ( .A(n19348), .B(n16263), .CI(n16262), .COUT( n16299), .SUM(n19487) ); sky130_fd_sc_hd__xor2_1 U20487 ( .A(n16264), .B(n19487), .X(n16276) ); sky130_fd_sc_hd__a222oi_1 U20488 ( .A1(n22493), .A2(\ifu/aln/misc1 [24]), .B1(n10867), .B2(\ifu/aln/misc2 [24]), .C1(n22491), .C2( \ifu/aln/misc0 [24]), .Y(n16265) ); sky130_fd_sc_hd__xor2_1 U20489 ( .A(n16268), .B(n19463), .X(n16275) ); sky130_fd_sc_hd__a222oi_1 U20490 ( .A1(n22493), .A2(\ifu/aln/misc1 [22]), .B1(n10867), .B2(\ifu/aln/misc2 [22]), .C1(n22491), .C2( \ifu/aln/misc0 [22]), .Y(n16269) ); sky130_fd_sc_hd__fah_1 U20491 ( .A(n16272), .B(n16271), .CI(n16270), .COUT( n16327), .SUM(n19408) ); sky130_fd_sc_hd__xor2_1 U20492 ( .A(n16273), .B(n19408), .X(n16274) ); sky130_fd_sc_hd__nor4_1 U20493 ( .A(n16277), .B(n16276), .C(n16275), .D( n16274), .Y(n16382) ); sky130_fd_sc_hd__a222oi_1 U20494 ( .A1(n22493), .A2(\ifu/aln/misc1 [36]), .B1(n10867), .B2(\ifu/aln/misc2 [36]), .C1(n22491), .C2( \ifu/aln/misc0 [36]), .Y(n16278) ); sky130_fd_sc_hd__fah_1 U20495 ( .A(n19356), .B(n16280), .CI(n16279), .COUT( n16361), .SUM(n19664) ); sky130_fd_sc_hd__xor2_1 U20496 ( .A(n16281), .B(n19664), .X(n16297) ); sky130_fd_sc_hd__a222oi_1 U20497 ( .A1(n22493), .A2(\ifu/aln/misc1 [34]), .B1(n10867), .B2(\ifu/aln/misc2 [34]), .C1(n22491), .C2( \ifu/aln/misc0 [34]), .Y(n16282) ); sky130_fd_sc_hd__xor2_1 U20498 ( .A(n16285), .B(n19617), .X(n16296) ); sky130_fd_sc_hd__a222oi_1 U20499 ( .A1(n22493), .A2(\ifu/aln/misc1 [32]), .B1(n10867), .B2(\ifu/aln/misc2 [32]), .C1(n22491), .C2( \ifu/aln/misc0 [32]), .Y(n16286) ); sky130_fd_sc_hd__fa_1 U20500 ( .A(n19352), .B(n16288), .CIN(n16287), .COUT( n16311), .SUM(n19576) ); sky130_fd_sc_hd__xor2_1 U20501 ( .A(n16289), .B(n19576), .X(n16295) ); sky130_fd_sc_hd__a222oi_1 U20502 ( .A1(n22493), .A2(\ifu/aln/misc1 [30]), .B1(n10867), .B2(\ifu/aln/misc2 [30]), .C1(n22491), .C2( \ifu/aln/misc0 [30]), .Y(n16290) ); sky130_fd_sc_hd__xor2_1 U20503 ( .A(n16293), .B(n19546), .X(n16294) ); sky130_fd_sc_hd__nor4_1 U20504 ( .A(n16297), .B(n16296), .C(n16295), .D( n16294), .Y(n16381) ); sky130_fd_sc_hd__a222oi_1 U20505 ( .A1(n22493), .A2(\ifu/aln/misc1 [27]), .B1(n10867), .B2(\ifu/aln/misc2 [27]), .C1(n22491), .C2( \ifu/aln/misc0 [27]), .Y(n16298) ); sky130_fd_sc_hd__fah_1 U20506 ( .A(n19350), .B(n16300), .CI(n16299), .COUT( n16258), .SUM(n19500) ); sky130_fd_sc_hd__xnor2_1 U20507 ( .A(n16301), .B(n19500), .Y(n16317) ); sky130_fd_sc_hd__a222oi_1 U20508 ( .A1(n22493), .A2(\ifu/aln/misc1 [29]), .B1(n10867), .B2(\ifu/aln/misc2 [29]), .C1(n22491), .C2( \ifu/aln/misc0 [29]), .Y(n16302) ); sky130_fd_sc_hd__fah_1 U20509 ( .A(n17474), .B(n16304), .CI(n16303), .COUT( n16291), .SUM(n19530) ); sky130_fd_sc_hd__xnor2_1 U20510 ( .A(n16305), .B(n19530), .Y(n16316) ); sky130_fd_sc_hd__a222oi_1 U20511 ( .A1(n22493), .A2(\ifu/aln/misc1 [31]), .B1(n10867), .B2(\ifu/aln/misc2 [31]), .C1(n22491), .C2( \ifu/aln/misc0 [31]), .Y(n16306) ); sky130_fd_sc_hd__fah_1 U20512 ( .A(n19353), .B(n16308), .CI(n16307), .COUT( n16287), .SUM(n19567) ); sky130_fd_sc_hd__xnor2_1 U20513 ( .A(n16309), .B(n19567), .Y(n16315) ); sky130_fd_sc_hd__a222oi_1 U20514 ( .A1(n22493), .A2(\ifu/aln/misc1 [33]), .B1(n10867), .B2(\ifu/aln/misc2 [33]), .C1(n22491), .C2( \ifu/aln/misc0 [33]), .Y(n16310) ); sky130_fd_sc_hd__fah_1 U20515 ( .A(n19606), .B(n16312), .CI(n16311), .COUT( n16283), .SUM(n19596) ); sky130_fd_sc_hd__xnor2_1 U20516 ( .A(n16313), .B(n19596), .Y(n16314) ); sky130_fd_sc_hd__nand4_1 U20517 ( .A(n16317), .B(n16316), .C(n16315), .D( n16314), .Y(n16379) ); sky130_fd_sc_hd__a222oi_1 U20518 ( .A1(n22493), .A2(\ifu/aln/misc1 [20]), .B1(n10867), .B2(\ifu/aln/misc2 [20]), .C1(n22491), .C2( \ifu/aln/misc0 [20]), .Y(n16318) ); sky130_fd_sc_hd__fa_1 U20519 ( .A(n19379), .B(n16320), .CIN(n16319), .COUT( n16323), .SUM(n18395) ); sky130_fd_sc_hd__xnor2_1 U20520 ( .A(n16321), .B(n18395), .Y(n16337) ); sky130_fd_sc_hd__a222oi_1 U20521 ( .A1(n22493), .A2(\ifu/aln/misc1 [21]), .B1(n10867), .B2(\ifu/aln/misc2 [21]), .C1(n22491), .C2( \ifu/aln/misc0 [21]), .Y(n16322) ); sky130_fd_sc_hd__fah_1 U20522 ( .A(n17071), .B(n16324), .CI(n16323), .COUT( n16270), .SUM(n19399) ); sky130_fd_sc_hd__xnor2_1 U20523 ( .A(n16325), .B(n19399), .Y(n16336) ); sky130_fd_sc_hd__a222oi_1 U20524 ( .A1(n22493), .A2(\ifu/aln/misc1 [23]), .B1(n10867), .B2(\ifu/aln/misc2 [23]), .C1(n22491), .C2( \ifu/aln/misc0 [23]), .Y(n16326) ); sky130_fd_sc_hd__fah_1 U20525 ( .A(n19440), .B(n16328), .CI(n16327), .COUT( n16266), .SUM(n19439) ); sky130_fd_sc_hd__xnor2_1 U20526 ( .A(n16329), .B(n19439), .Y(n16335) ); sky130_fd_sc_hd__a222oi_1 U20527 ( .A1(n22493), .A2(\ifu/aln/misc1 [25]), .B1(n10867), .B2(\ifu/aln/misc2 [25]), .C1(n22491), .C2( \ifu/aln/misc0 [25]), .Y(n16330) ); sky130_fd_sc_hd__fah_1 U20528 ( .A(n17466), .B(n16332), .CI(n16331), .COUT( n16262), .SUM(n19471) ); sky130_fd_sc_hd__xnor2_1 U20529 ( .A(n16333), .B(n19471), .Y(n16334) ); sky130_fd_sc_hd__nand4_1 U20530 ( .A(n16337), .B(n16336), .C(n16335), .D( n16334), .Y(n16378) ); sky130_fd_sc_hd__a222oi_1 U20531 ( .A1(n22493), .A2(\ifu/aln/misc1 [43]), .B1(n10867), .B2(\ifu/aln/misc2 [43]), .C1(n22491), .C2( \ifu/aln/misc0 [43]), .Y(n16338) ); sky130_fd_sc_hd__fah_1 U20532 ( .A(n19782), .B(n16340), .CI(n16339), .COUT( n16234), .SUM(n19781) ); sky130_fd_sc_hd__xnor2_1 U20533 ( .A(n16341), .B(n19781), .Y(n16355) ); sky130_fd_sc_hd__a222oi_1 U20534 ( .A1(n22493), .A2(\ifu/aln/misc1 [45]), .B1(n22486), .B2(\ifu/aln/misc2 [45]), .C1(n22491), .C2( \ifu/aln/misc0 [45]), .Y(n16342) ); sky130_fd_sc_hd__fah_1 U20535 ( .A(n19359), .B(n16344), .CI(n16343), .COUT( n16230), .SUM(n19821) ); sky130_fd_sc_hd__xnor2_1 U20536 ( .A(n16345), .B(n19821), .Y(n16354) ); sky130_fd_sc_hd__a222oi_1 U20537 ( .A1(n22487), .A2(\ifu/aln/misc1 [49]), .B1(n22486), .B2(\ifu/aln/misc2 [49]), .C1(n22491), .C2( \ifu/aln/misc0 [49]), .Y(n16346) ); sky130_fd_sc_hd__fah_1 U20538 ( .A(n19357), .B(n16348), .CI(n16347), .COUT( n16193), .SUM(n19912) ); sky130_fd_sc_hd__xnor2_1 U20539 ( .A(n16349), .B(n19912), .Y(n16353) ); sky130_fd_sc_hd__a222oi_1 U20540 ( .A1(n22487), .A2(\ifu/aln/misc1 [50]), .B1(n22486), .B2(\ifu/aln/misc2 [50]), .C1(n22491), .C2( \ifu/aln/misc0 [50]), .Y(n16350) ); sky130_fd_sc_hd__xnor2_1 U20541 ( .A(n16351), .B(n19940), .Y(n16352) ); sky130_fd_sc_hd__nand4_1 U20542 ( .A(n16355), .B(n16354), .C(n16353), .D( n16352), .Y(n16377) ); sky130_fd_sc_hd__a222oi_1 U20543 ( .A1(n22493), .A2(\ifu/aln/misc1 [35]), .B1(n10867), .B2(\ifu/aln/misc2 [35]), .C1(n22491), .C2( \ifu/aln/misc0 [35]), .Y(n16356) ); sky130_fd_sc_hd__fa_1 U20544 ( .A(n19640), .B(n16358), .CIN(n16357), .COUT( n16279), .SUM(n19635) ); sky130_fd_sc_hd__xnor2_1 U20545 ( .A(n16359), .B(n19635), .Y(n16375) ); sky130_fd_sc_hd__a222oi_1 U20546 ( .A1(n22493), .A2(\ifu/aln/misc1 [37]), .B1(n10867), .B2(\ifu/aln/misc2 [37]), .C1(n22491), .C2( \ifu/aln/misc0 [37]), .Y(n16360) ); sky130_fd_sc_hd__fah_1 U20547 ( .A(n19355), .B(n16362), .CI(n16361), .COUT( n16246), .SUM(n19683) ); sky130_fd_sc_hd__xnor2_1 U20548 ( .A(n16363), .B(n19683), .Y(n16374) ); sky130_fd_sc_hd__a222oi_1 U20549 ( .A1(n22493), .A2(\ifu/aln/misc1 [39]), .B1(n10867), .B2(\ifu/aln/misc2 [39]), .C1(n22491), .C2( \ifu/aln/misc0 [39]), .Y(n16364) ); sky130_fd_sc_hd__fa_1 U20550 ( .A(n19708), .B(n16366), .CIN(n16365), .COUT( n16242), .SUM(n19710) ); sky130_fd_sc_hd__xnor2_1 U20551 ( .A(n16367), .B(n19710), .Y(n16373) ); sky130_fd_sc_hd__a222oi_1 U20552 ( .A1(n22493), .A2(\ifu/aln/misc1 [41]), .B1(n10867), .B2(\ifu/aln/misc2 [41]), .C1(n22491), .C2( \ifu/aln/misc0 [41]), .Y(n16368) ); sky130_fd_sc_hd__fah_1 U20553 ( .A(n19755), .B(n16370), .CI(n16369), .COUT( n16238), .SUM(n19754) ); sky130_fd_sc_hd__xnor2_1 U20554 ( .A(n16371), .B(n19754), .Y(n16372) ); sky130_fd_sc_hd__nand4_1 U20555 ( .A(n16375), .B(n16374), .C(n16373), .D( n16372), .Y(n16376) ); sky130_fd_sc_hd__nor4_1 U20556 ( .A(n16379), .B(n16378), .C(n16377), .D( n16376), .Y(n16380) ); sky130_fd_sc_hd__nand4_1 U20557 ( .A(n16383), .B(n16382), .C(n16381), .D( n16380), .Y(n16384) ); sky130_fd_sc_hd__a22oi_1 U20558 ( .A1(n24023), .A2( \exu/i0_predict_p_d[ataken] ), .B1(\exu/i0_predict_p_d[pret] ), .B2( n16384), .Y(n16385) ); sky130_fd_sc_hd__nand2_1 U20559 ( .A(n16386), .B(n16385), .Y(n19338) ); sky130_fd_sc_hd__nor2_1 U20560 ( .A(n19338), .B( \dec/decode/d_t[pmu_i0_br_unpred] ), .Y(n16387) ); sky130_fd_sc_hd__fa_1 U20561 ( .A(n20404), .B(n16389), .CIN(n16388), .COUT( n15653), .SUM(dccm_rd_addr_hi[15]) ); sky130_fd_sc_hd__nand2_1 U20562 ( .A(n16390), .B(n17260), .Y(n17241) ); sky130_fd_sc_hd__o22ai_1 U20563 ( .A1(\lsu/lsu_lsc_ctl/addr_external_r ), .A2(lsu_fir_addr[11]), .B1(n17237), .B2( \lsu/lsu_lsc_ctl/bus_read_data_r [11]), .Y(n16393) ); sky130_fd_sc_hd__o22ai_1 U20564 ( .A1(\lsu/lsu_lsc_ctl/addr_external_r ), .A2(lsu_fir_addr[7]), .B1(n17237), .B2( \lsu/lsu_lsc_ctl/bus_read_data_r [7]), .Y(n17074) ); sky130_fd_sc_hd__nor4_1 U20565 ( .A(n17074), .B(\lsu/lsu_pkt_r[unsign] ), .C(n16391), .D(n17315), .Y(n17238) ); sky130_fd_sc_hd__a21oi_1 U20566 ( .A1(\dec/decode/i0_result_r [11]), .A2( n17315), .B1(n17238), .Y(n16392) ); sky130_fd_sc_hd__o21ai_1 U20567 ( .A1(n17241), .A2(n16393), .B1(n16392), .Y( dec_dbg_rddata[11]) ); sky130_fd_sc_hd__a22oi_1 U20568 ( .A1(\dec/decode/r_d[csrwonly] ), .A2( dec_dbg_rddata[11]), .B1(n17723), .B2(\dec/decode/write_csr_data [11]), .Y(n24715) ); sky130_fd_sc_hd__nand2_1 U20569 ( .A(\dec/decode/x_d[i0valid] ), .B( \dec/decode/x_d[i0div] ), .Y(n16394) ); sky130_fd_sc_hd__nor4_1 U20570 ( .A(\dec/decode/x_d[i0rd][0] ), .B( \dec/decode/x_d[i0rd][2] ), .C(\dec/decode/x_d[i0rd][1] ), .D(n16394), .Y(n16407) ); sky130_fd_sc_hd__a21oi_1 U20571 ( .A1(\dec/dec_tlu_packet_r[pmu_divide] ), .A2(dec_tlu_i0_kill_writeb_r), .B1(n16397), .Y(n16403) ); sky130_fd_sc_hd__clkinv_1 U20572 ( .A(\dec/div_waddr_wb [0]), .Y(n22691) ); sky130_fd_sc_hd__o22ai_1 U20573 ( .A1(n22691), .A2(\dec/dec_i0_waddr_r [0]), .B1(n22502), .B2(\dec/div_waddr_wb [1]), .Y(n16395) ); sky130_fd_sc_hd__a221oi_1 U20574 ( .A1(n22691), .A2(\dec/dec_i0_waddr_r [0]), .B1(\dec/div_waddr_wb [1]), .B2(n22502), .C1(n16395), .Y(n16401) ); sky130_fd_sc_hd__o22ai_1 U20575 ( .A1(\dec/div_waddr_wb [3]), .A2(n17418), .B1(n22694), .B2(\dec/dec_i0_waddr_r [3]), .Y(n16396) ); sky130_fd_sc_hd__nor4_1 U20576 ( .A(n16397), .B( \dec/dec_tlu_packet_r[pmu_divide] ), .C(n16396), .D(n22512), .Y(n16400) ); sky130_fd_sc_hd__o22ai_1 U20577 ( .A1(\dec/div_waddr_wb [4]), .A2( \dec/dec_i0_waddr_r [4]), .B1(n22696), .B2(n22500), .Y(n16399) ); sky130_fd_sc_hd__clkinv_1 U20578 ( .A(\dec/div_waddr_wb [2]), .Y(n22693) ); sky130_fd_sc_hd__o22ai_1 U20579 ( .A1(\dec/div_waddr_wb [2]), .A2( \dec/dec_i0_waddr_r [2]), .B1(n22693), .B2(n17419), .Y(n16398) ); sky130_fd_sc_hd__nand4_1 U20580 ( .A(n16401), .B(n16400), .C(n16399), .D( n16398), .Y(n16402) ); sky130_fd_sc_hd__o21ai_1 U20581 ( .A1(n16403), .A2(n24520), .B1(n16402), .Y( n16404) ); sky130_fd_sc_hd__a31oi_1 U20582 ( .A1(n16407), .A2(n16406), .A3(n16405), .B1(n16404), .Y(n18803) ); sky130_fd_sc_hd__nor2_1 U20583 ( .A(n18803), .B(n16408), .Y(n20320) ); sky130_fd_sc_hd__nor2_1 U20584 ( .A(\exu/i_div/q_ff [12]), .B( \exu/i_div/q_ff [13]), .Y(n16410) ); sky130_fd_sc_hd__nor4_1 U20585 ( .A(\exu/i_div/q_ff [14]), .B( \exu/i_div/q_ff [11]), .C(\exu/i_div/q_ff [9]), .D(\exu/i_div/q_ff [8]), .Y(n16409) ); sky130_fd_sc_hd__clkinv_1 U20586 ( .A(\exu/i_div/q_ff [15]), .Y(n20179) ); sky130_fd_sc_hd__clkinv_1 U20587 ( .A(\exu/i_div/q_ff [10]), .Y(n20290) ); sky130_fd_sc_hd__nand4_1 U20588 ( .A(n16410), .B(n16409), .C(n20179), .D( n20290), .Y(n20292) ); sky130_fd_sc_hd__nor3_1 U20589 ( .A(\exu/i_div/q_ff [20]), .B( \exu/i_div/q_ff [22]), .C(\exu/i_div/q_ff [21]), .Y(n20069) ); sky130_fd_sc_hd__clkinv_1 U20590 ( .A(\exu/i_div/q_ff [16]), .Y(n20182) ); sky130_fd_sc_hd__nand3b_1 U20591 ( .A_N(\exu/i_div/q_ff [19]), .B(n20069), .C(n20182), .Y(n16411) ); sky130_fd_sc_hd__nor4_1 U20592 ( .A(\exu/i_div/q_ff [17]), .B( \exu/i_div/q_ff [23]), .C(\exu/i_div/q_ff [18]), .D(n16411), .Y(n20285) ); sky130_fd_sc_hd__nor2_1 U20593 ( .A(\exu/i_div/q_ff [5]), .B( \exu/i_div/q_ff [4]), .Y(n16429) ); sky130_fd_sc_hd__nor3_1 U20594 ( .A(\exu/i_div/q_ff [26]), .B( \exu/i_div/q_ff [28]), .C(\exu/i_div/q_ff [27]), .Y(n16412) ); sky130_fd_sc_hd__clkinv_1 U20595 ( .A(\exu/i_div/q_ff [25]), .Y(n20226) ); sky130_fd_sc_hd__nand3_1 U20596 ( .A(n16412), .B(n20248), .C(n20226), .Y( n16413) ); sky130_fd_sc_hd__nor4_1 U20597 ( .A(\exu/i_div/q_ff [31]), .B( \exu/i_div/q_ff [29]), .C(\exu/i_div/q_ff [24]), .D(n16413), .Y(n20261) ); sky130_fd_sc_hd__nor3_1 U20598 ( .A(\exu/i_div/rem_ff ), .B( \exu/i_div/q_ff [7]), .C(\exu/i_div/q_ff [6]), .Y(n16414) ); sky130_fd_sc_hd__nand4_1 U20599 ( .A(n20285), .B(n16429), .C(n20261), .D( n16414), .Y(n16424) ); sky130_fd_sc_hd__nor2_1 U20600 ( .A(\exu/i_div/q_ff [1]), .B( \exu/i_div/q_ff_comp[0] ), .Y(n19989) ); sky130_fd_sc_hd__clkinv_1 U20601 ( .A(\exu/i_div/q_ff [2]), .Y(n20365) ); sky130_fd_sc_hd__nand2_1 U20602 ( .A(n19989), .B(n20365), .Y(n20004) ); sky130_fd_sc_hd__nor2_1 U20603 ( .A(\exu/i_div/q_ff [3]), .B(n20004), .Y( n20035) ); sky130_fd_sc_hd__nor4_1 U20604 ( .A(\exu/i_div/m_ff [12]), .B( \exu/i_div/m_ff [9]), .C(\exu/i_div/m_ff [15]), .D( \exu/i_div/m_ff [10]), .Y(n16416) ); sky130_fd_sc_hd__nor4_1 U20605 ( .A(\exu/i_div/m_ff [8]), .B( \exu/i_div/m_ff [14]), .C(\exu/i_div/m_ff [11]), .D( \exu/i_div/m_ff [13]), .Y(n16415) ); sky130_fd_sc_hd__nand2_1 U20606 ( .A(n16416), .B(n16415), .Y(n20281) ); sky130_fd_sc_hd__nor4_1 U20607 ( .A(\exu/i_div/m_ff [20]), .B( \exu/i_div/m_ff [17]), .C(\exu/i_div/m_ff [23]), .D( \exu/i_div/m_ff [18]), .Y(n16418) ); sky130_fd_sc_hd__nor4_1 U20608 ( .A(\exu/i_div/m_ff [16]), .B( \exu/i_div/m_ff [22]), .C(\exu/i_div/m_ff [19]), .D( \exu/i_div/m_ff [21]), .Y(n16417) ); sky130_fd_sc_hd__nand2_1 U20609 ( .A(n16418), .B(n16417), .Y(n20272) ); sky130_fd_sc_hd__nor4_1 U20610 ( .A(\exu/i_div/m_ff [28]), .B( \exu/i_div/m_ff [25]), .C(\exu/i_div/m_ff [31]), .D( \exu/i_div/m_ff [26]), .Y(n16420) ); sky130_fd_sc_hd__nor4_1 U20611 ( .A(\exu/i_div/m_ff [24]), .B( \exu/i_div/m_ff [29]), .C(\exu/i_div/m_ff [27]), .D( \exu/i_div/m_ff [30]), .Y(n16419) ); sky130_fd_sc_hd__nand2_1 U20612 ( .A(n16420), .B(n16419), .Y(n20268) ); sky130_fd_sc_hd__clkinv_1 U20613 ( .A(\exu/i_div/m_ff [7]), .Y(n20089) ); sky130_fd_sc_hd__clkinv_1 U20614 ( .A(\exu/i_div/m_ff [6]), .Y(n20076) ); sky130_fd_sc_hd__clkinv_1 U20615 ( .A(\exu/i_div/m_ff [5]), .Y(n20058) ); sky130_fd_sc_hd__clkinv_1 U20616 ( .A(\exu/i_div/m_ff [4]), .Y(n20044) ); sky130_fd_sc_hd__nand4_1 U20617 ( .A(n20089), .B(n20076), .C(n20058), .D( n20044), .Y(n16421) ); sky130_fd_sc_hd__nor4_1 U20618 ( .A(n20281), .B(n20272), .C(n20268), .D( n16421), .Y(n16422) ); sky130_fd_sc_hd__clkinv_1 U20619 ( .A(\exu/i_div/m_ff [3]), .Y(n20343) ); sky130_fd_sc_hd__clkinv_1 U20620 ( .A(\exu/i_div/m_ff [2]), .Y(n20363) ); sky130_fd_sc_hd__nand2_1 U20621 ( .A(n20343), .B(n20363), .Y(n20380) ); sky130_fd_sc_hd__clkinv_1 U20622 ( .A(n20380), .Y(n20350) ); sky130_fd_sc_hd__nand2_1 U20623 ( .A(n20378), .B(n20377), .Y(n20356) ); sky130_fd_sc_hd__clkinv_1 U20624 ( .A(n20356), .Y(n20366) ); sky130_fd_sc_hd__a31oi_1 U20625 ( .A1(n20350), .A2(n16422), .A3(n20366), .B1(n17435), .Y(n20335) ); sky130_fd_sc_hd__o21ai_1 U20626 ( .A1(n20035), .A2(n16422), .B1(n20335), .Y( n16423) ); sky130_fd_sc_hd__nor4_1 U20627 ( .A(n20320), .B(n20292), .C(n16424), .D( n16423), .Y(\exu/i_div/smallnum_case ) ); sky130_fd_sc_hd__nor4_1 U20628 ( .A(\exu/i_div/count [2]), .B( \exu/i_div/count [4]), .C(\exu/i_div/count [3]), .D( \exu/i_div/count [1]), .Y(n16425) ); sky130_fd_sc_hd__nand2_1 U20629 ( .A(\exu/i_div/count [5]), .B(n16425), .Y( n16427) ); sky130_fd_sc_hd__clkinv_1 U20630 ( .A(\exu/i_div/rem_ff ), .Y(n22666) ); sky130_fd_sc_hd__clkinv_1 U20631 ( .A(\exu/i_div/count [0]), .Y(n20300) ); sky130_fd_sc_hd__a31oi_1 U20632 ( .A1(n16426), .A2(n22666), .A3(n20300), .B1(\exu/i_div/smallnum_case ), .Y(n16428) ); sky130_fd_sc_hd__nor2_1 U20633 ( .A(n16427), .B(n20300), .Y(n21789) ); sky130_fd_sc_hd__nand2_1 U20634 ( .A(\exu/i_div/rem_ff ), .B(n21789), .Y( n17794) ); sky130_fd_sc_hd__nand2_1 U20635 ( .A(n16428), .B(n17794), .Y(n21704) ); sky130_fd_sc_hd__nor2b_1 U20636 ( .B_N(n21704), .A(n20320), .Y( \exu/i_div/_1_net_ ) ); sky130_fd_sc_hd__nand2b_1 U20637 ( .A_N(\exu/i_div/smallnum_case_ff ), .B( n22666), .Y(n17207) ); sky130_fd_sc_hd__nand2_1 U20638 ( .A(n20035), .B(n16429), .Y(n20045) ); sky130_fd_sc_hd__nor2_1 U20639 ( .A(\exu/i_div/q_ff [6]), .B(n20045), .Y( n20059) ); sky130_fd_sc_hd__nand2b_1 U20640 ( .A_N(\exu/i_div/q_ff [7]), .B(n20059), .Y(n19968) ); sky130_fd_sc_hd__nor2_1 U20641 ( .A(\exu/i_div/q_ff [8]), .B(n19968), .Y( n19975) ); sky130_fd_sc_hd__clkinv_1 U20642 ( .A(\exu/i_div/q_ff [9]), .Y(n17202) ); sky130_fd_sc_hd__nand2_1 U20643 ( .A(n19975), .B(n17202), .Y(n19992) ); sky130_fd_sc_hd__nor2_1 U20644 ( .A(\exu/i_div/q_ff [10]), .B(n19992), .Y( n20008) ); sky130_fd_sc_hd__nand2_1 U20645 ( .A(n20008), .B(n17122), .Y(n20031) ); sky130_fd_sc_hd__nor3_1 U20646 ( .A(\exu/i_div/q_ff [12]), .B( \exu/i_div/q_ff [13]), .C(n20031), .Y(n20048) ); sky130_fd_sc_hd__nand2b_1 U20647 ( .A_N(\exu/i_div/q_ff [14]), .B(n20048), .Y(n20062) ); sky130_fd_sc_hd__nor2_1 U20648 ( .A(\exu/i_div/q_ff [15]), .B(n20062), .Y( n19973) ); sky130_fd_sc_hd__nand2_1 U20649 ( .A(n20285), .B(n19973), .Y(n19977) ); sky130_fd_sc_hd__nor2_1 U20650 ( .A(\exu/i_div/q_ff [24]), .B(n19977), .Y( n19996) ); sky130_fd_sc_hd__nand2_1 U20651 ( .A(n19996), .B(n20226), .Y(n20011) ); sky130_fd_sc_hd__nor4_1 U20652 ( .A(\exu/i_div/q_ff [26]), .B( \exu/i_div/q_ff [28]), .C(\exu/i_div/q_ff [27]), .D(n20011), .Y(n20051) ); sky130_fd_sc_hd__clkinv_1 U20653 ( .A(\exu/i_div/q_ff [29]), .Y(n20245) ); sky130_fd_sc_hd__nand2_1 U20654 ( .A(n20051), .B(n20245), .Y(n20066) ); sky130_fd_sc_hd__nand2_1 U20655 ( .A(\exu/i_div/divisor_neg_ff ), .B( \exu/i_div/sign_ff ), .Y(n17796) ); sky130_fd_sc_hd__nand2_1 U20656 ( .A(\exu/i_div/dividend_neg_ff ), .B( \exu/i_div/sign_ff ), .Y(n20081) ); sky130_fd_sc_hd__o22ai_1 U20657 ( .A1(\exu/i_div/dividend_neg_ff ), .A2( n17796), .B1(\exu/i_div/divisor_neg_ff ), .B2(n20081), .Y(n17194) ); sky130_fd_sc_hd__nand2_1 U20658 ( .A(n20066), .B(n17194), .Y(n16430) ); sky130_fd_sc_hd__xnor2_1 U20659 ( .A(n20248), .B(n16430), .Y(n16433) ); sky130_fd_sc_hd__a21oi_1 U20660 ( .A1(n20067), .A2(\exu/i_div/a_ff_comp[0] ), .B1(\exu/i_div/a_ff [1]), .Y(n17158) ); sky130_fd_sc_hd__nor2_1 U20661 ( .A(n17158), .B(n20081), .Y(n17176) ); sky130_fd_sc_hd__nor2_1 U20662 ( .A(\exu/i_div/a_ff [2]), .B(n17176), .Y( n17175) ); sky130_fd_sc_hd__nor2_1 U20663 ( .A(n17175), .B(n20081), .Y(n17183) ); sky130_fd_sc_hd__nor2_1 U20664 ( .A(\exu/i_div/a_ff [3]), .B(n17183), .Y( n17182) ); sky130_fd_sc_hd__nor2_1 U20665 ( .A(n17182), .B(n20081), .Y(n17169) ); sky130_fd_sc_hd__nor2_1 U20666 ( .A(\exu/i_div/a_ff [4]), .B(n17169), .Y( n17168) ); sky130_fd_sc_hd__nor2_1 U20667 ( .A(n17168), .B(n20081), .Y(n17164) ); sky130_fd_sc_hd__nor2_1 U20668 ( .A(\exu/i_div/a_ff [5]), .B(n17164), .Y( n17163) ); sky130_fd_sc_hd__nor2_1 U20669 ( .A(n17163), .B(n20081), .Y(n17197) ); sky130_fd_sc_hd__nor2_1 U20670 ( .A(\exu/i_div/a_ff [6]), .B(n17197), .Y( n17196) ); sky130_fd_sc_hd__nor2_1 U20671 ( .A(n17196), .B(n20081), .Y(n17154) ); sky130_fd_sc_hd__nor2_1 U20672 ( .A(\exu/i_div/a_ff [7]), .B(n17154), .Y( n17153) ); sky130_fd_sc_hd__nor2_1 U20673 ( .A(n17153), .B(n20081), .Y(n17103) ); sky130_fd_sc_hd__nor2_1 U20674 ( .A(\exu/i_div/a_ff [8]), .B(n17103), .Y( n17102) ); sky130_fd_sc_hd__nor2_1 U20675 ( .A(n17102), .B(n20081), .Y(n17204) ); sky130_fd_sc_hd__nor2_1 U20676 ( .A(\exu/i_div/a_ff [9]), .B(n17204), .Y( n17203) ); sky130_fd_sc_hd__nor2_1 U20677 ( .A(n17203), .B(n20081), .Y(n17108) ); sky130_fd_sc_hd__nor2_1 U20678 ( .A(\exu/i_div/a_ff [10]), .B(n17108), .Y( n17107) ); sky130_fd_sc_hd__nor2_1 U20679 ( .A(n17107), .B(n20081), .Y(n17124) ); sky130_fd_sc_hd__nor2_1 U20680 ( .A(\exu/i_div/a_ff [11]), .B(n17124), .Y( n17123) ); sky130_fd_sc_hd__nor2_1 U20681 ( .A(n17123), .B(n20081), .Y(n17118) ); sky130_fd_sc_hd__nor2_1 U20682 ( .A(\exu/i_div/a_ff [12]), .B(n17118), .Y( n17117) ); sky130_fd_sc_hd__nor2_1 U20683 ( .A(n17117), .B(n20081), .Y(n17134) ); sky130_fd_sc_hd__nor2_1 U20684 ( .A(\exu/i_div/a_ff [13]), .B(n17134), .Y( n17133) ); sky130_fd_sc_hd__nor2_1 U20685 ( .A(n17133), .B(n20081), .Y(n17139) ); sky130_fd_sc_hd__nor2_1 U20686 ( .A(\exu/i_div/a_ff [14]), .B(n17139), .Y( n17138) ); sky130_fd_sc_hd__nor2_1 U20687 ( .A(n17138), .B(n20081), .Y(n17189) ); sky130_fd_sc_hd__nor2_1 U20688 ( .A(\exu/i_div/a_ff [15]), .B(n17189), .Y( n17188) ); sky130_fd_sc_hd__nor2_1 U20689 ( .A(n17188), .B(n20081), .Y(n17113) ); sky130_fd_sc_hd__nor2_1 U20690 ( .A(\exu/i_div/a_ff [16]), .B(n17113), .Y( n17112) ); sky130_fd_sc_hd__nor2_1 U20691 ( .A(n17112), .B(n20081), .Y(n17098) ); sky130_fd_sc_hd__nor2_1 U20692 ( .A(\exu/i_div/a_ff [17]), .B(n17098), .Y( n17097) ); sky130_fd_sc_hd__nor2_1 U20693 ( .A(n17097), .B(n20081), .Y(n17093) ); sky130_fd_sc_hd__nor2_1 U20694 ( .A(\exu/i_div/a_ff [18]), .B(n17093), .Y( n17092) ); sky130_fd_sc_hd__nor2_1 U20695 ( .A(n17092), .B(n20081), .Y(n17144) ); sky130_fd_sc_hd__nor2_1 U20696 ( .A(\exu/i_div/a_ff [19]), .B(n17144), .Y( n17143) ); sky130_fd_sc_hd__nor2_1 U20697 ( .A(n17143), .B(n20081), .Y(n17149) ); sky130_fd_sc_hd__nor2_1 U20698 ( .A(\exu/i_div/a_ff [20]), .B(n17149), .Y( n17148) ); sky130_fd_sc_hd__nor2_1 U20699 ( .A(n17148), .B(n20081), .Y(n17129) ); sky130_fd_sc_hd__nor2_1 U20700 ( .A(\exu/i_div/a_ff [21]), .B(n17129), .Y( n17128) ); sky130_fd_sc_hd__nor2_1 U20701 ( .A(n17128), .B(n20081), .Y(n17088) ); sky130_fd_sc_hd__nor2_1 U20702 ( .A(\exu/i_div/a_ff [22]), .B(n17088), .Y( n17087) ); sky130_fd_sc_hd__nor2_1 U20703 ( .A(n17087), .B(n20081), .Y(n16441) ); sky130_fd_sc_hd__nor2_1 U20704 ( .A(\exu/i_div/a_ff [23]), .B(n16441), .Y( n16440) ); sky130_fd_sc_hd__nor2_1 U20705 ( .A(n16440), .B(n20081), .Y(n16451) ); sky130_fd_sc_hd__nor2_1 U20706 ( .A(\exu/i_div/a_ff [24]), .B(n16451), .Y( n16450) ); sky130_fd_sc_hd__nor2_1 U20707 ( .A(n16450), .B(n20081), .Y(n16436) ); sky130_fd_sc_hd__nor2_1 U20708 ( .A(\exu/i_div/a_ff [25]), .B(n16436), .Y( n16435) ); sky130_fd_sc_hd__nor2_1 U20709 ( .A(n16435), .B(n20081), .Y(n16456) ); sky130_fd_sc_hd__nor2_1 U20710 ( .A(\exu/i_div/a_ff [26]), .B(n16456), .Y( n16455) ); sky130_fd_sc_hd__nor2_1 U20711 ( .A(n16455), .B(n20081), .Y(n16446) ); sky130_fd_sc_hd__nor2_1 U20712 ( .A(\exu/i_div/a_ff [27]), .B(n16446), .Y( n16445) ); sky130_fd_sc_hd__nor2_1 U20713 ( .A(n16445), .B(n20081), .Y(n16461) ); sky130_fd_sc_hd__nor2_1 U20714 ( .A(\exu/i_div/a_ff [28]), .B(n16461), .Y( n16460) ); sky130_fd_sc_hd__nor2_1 U20715 ( .A(n16460), .B(n20081), .Y(n16472) ); sky130_fd_sc_hd__nor2_1 U20716 ( .A(\exu/i_div/a_ff [29]), .B(n16472), .Y( n16471) ); sky130_fd_sc_hd__nor2_1 U20717 ( .A(n16471), .B(n20081), .Y(n16431) ); sky130_fd_sc_hd__nor2_1 U20718 ( .A(\exu/i_div/a_ff [30]), .B(n16431), .Y( n16464) ); sky130_fd_sc_hd__a211o_1 U20719 ( .A1(\exu/i_div/a_ff [30]), .A2(n16431), .B1(n16464), .C1(n22666), .X(n16432) ); sky130_fd_sc_hd__o21ai_1 U20720 ( .A1(n17207), .A2(n16433), .B1(n16432), .Y( exu_div_result[30]) ); sky130_fd_sc_hd__nor2_1 U20721 ( .A(n19996), .B(n17200), .Y(n16434) ); sky130_fd_sc_hd__xor2_1 U20722 ( .A(n20226), .B(n16434), .X(n16438) ); sky130_fd_sc_hd__a211o_1 U20723 ( .A1(\exu/i_div/a_ff [25]), .A2(n16436), .B1(n16435), .C1(n22666), .X(n16437) ); sky130_fd_sc_hd__o21ai_1 U20724 ( .A1(n17207), .A2(n16438), .B1(n16437), .Y( exu_div_result[25]) ); sky130_fd_sc_hd__nand2_1 U20725 ( .A(n19973), .B(n20182), .Y(n19980) ); sky130_fd_sc_hd__nor2_1 U20726 ( .A(\exu/i_div/q_ff [17]), .B(n19980), .Y( n19999) ); sky130_fd_sc_hd__clkinv_1 U20727 ( .A(\exu/i_div/q_ff [18]), .Y(n20283) ); sky130_fd_sc_hd__nand2_1 U20728 ( .A(n19999), .B(n20283), .Y(n20013) ); sky130_fd_sc_hd__nor2_1 U20729 ( .A(\exu/i_div/q_ff [19]), .B(n20013), .Y( n20070) ); sky130_fd_sc_hd__a21oi_1 U20730 ( .A1(n20069), .A2(n20070), .B1(n17200), .Y( n16439) ); sky130_fd_sc_hd__xnor2_1 U20731 ( .A(\exu/i_div/q_ff [23]), .B(n16439), .Y( n16443) ); sky130_fd_sc_hd__a211o_1 U20732 ( .A1(\exu/i_div/a_ff [23]), .A2(n16441), .B1(n16440), .C1(n22666), .X(n16442) ); sky130_fd_sc_hd__o21ai_1 U20733 ( .A1(n17207), .A2(n16443), .B1(n16442), .Y( exu_div_result[23]) ); sky130_fd_sc_hd__clkinv_1 U20734 ( .A(\exu/i_div/q_ff [27]), .Y(n20235) ); sky130_fd_sc_hd__nor2_1 U20735 ( .A(\exu/i_div/q_ff [26]), .B(n20011), .Y( n20024) ); sky130_fd_sc_hd__nor2_1 U20736 ( .A(n17200), .B(n20024), .Y(n16444) ); sky130_fd_sc_hd__xor2_1 U20737 ( .A(n20235), .B(n16444), .X(n16448) ); sky130_fd_sc_hd__a211o_1 U20738 ( .A1(\exu/i_div/a_ff [27]), .A2(n16446), .B1(n16445), .C1(n22666), .X(n16447) ); sky130_fd_sc_hd__o21ai_1 U20739 ( .A1(n17207), .A2(n16448), .B1(n16447), .Y( exu_div_result[27]) ); sky130_fd_sc_hd__nand2_1 U20740 ( .A(n19977), .B(n17194), .Y(n16449) ); sky130_fd_sc_hd__xor2_1 U20741 ( .A(\exu/i_div/q_ff [24]), .B(n16449), .X( n16453) ); sky130_fd_sc_hd__a211o_1 U20742 ( .A1(\exu/i_div/a_ff [24]), .A2(n16451), .B1(n16450), .C1(n22666), .X(n16452) ); sky130_fd_sc_hd__o21ai_1 U20743 ( .A1(n17207), .A2(n16453), .B1(n16452), .Y( exu_div_result[24]) ); sky130_fd_sc_hd__nand2_1 U20744 ( .A(n20011), .B(n17194), .Y(n16454) ); sky130_fd_sc_hd__xor2_1 U20745 ( .A(\exu/i_div/q_ff [26]), .B(n16454), .X( n16458) ); sky130_fd_sc_hd__a211o_1 U20746 ( .A1(\exu/i_div/a_ff [26]), .A2(n16456), .B1(n16455), .C1(n22666), .X(n16457) ); sky130_fd_sc_hd__o21ai_1 U20747 ( .A1(n17207), .A2(n16458), .B1(n16457), .Y( exu_div_result[26]) ); sky130_fd_sc_hd__nor3_1 U20748 ( .A(\exu/i_div/q_ff [26]), .B( \exu/i_div/q_ff [27]), .C(n20011), .Y(n20038) ); sky130_fd_sc_hd__nor2_1 U20749 ( .A(n17200), .B(n20038), .Y(n16459) ); sky130_fd_sc_hd__xor2_1 U20750 ( .A(n20260), .B(n16459), .X(n16463) ); sky130_fd_sc_hd__a211o_1 U20751 ( .A1(\exu/i_div/a_ff [28]), .A2(n16461), .B1(n16460), .C1(n22666), .X(n16462) ); sky130_fd_sc_hd__o21ai_1 U20752 ( .A1(n17207), .A2(n16463), .B1(n16462), .Y( exu_div_result[28]) ); sky130_fd_sc_hd__nor2_1 U20753 ( .A(n16464), .B(n20081), .Y(n16465) ); sky130_fd_sc_hd__xnor2_1 U20754 ( .A(\exu/i_div/a_ff [31]), .B(n16465), .Y( n16469) ); sky130_fd_sc_hd__nor2_1 U20755 ( .A(\exu/i_div/q_ff [30]), .B(n20066), .Y( n20082) ); sky130_fd_sc_hd__nor2_1 U20756 ( .A(n20082), .B(n17200), .Y(n16467) ); sky130_fd_sc_hd__a21oi_1 U20757 ( .A1(\exu/i_div/q_ff [31]), .A2(n16467), .B1(n17207), .Y(n16466) ); sky130_fd_sc_hd__o21ai_1 U20758 ( .A1(\exu/i_div/q_ff [31]), .A2(n16467), .B1(n16466), .Y(n16468) ); sky130_fd_sc_hd__o21ai_1 U20759 ( .A1(n22666), .A2(n16469), .B1(n16468), .Y( exu_div_result[31]) ); sky130_fd_sc_hd__nor2_1 U20760 ( .A(n20051), .B(n17200), .Y(n16470) ); sky130_fd_sc_hd__xor2_1 U20761 ( .A(n20245), .B(n16470), .X(n16474) ); sky130_fd_sc_hd__a211o_1 U20762 ( .A1(\exu/i_div/a_ff [29]), .A2(n16472), .B1(n16471), .C1(n22666), .X(n16473) ); sky130_fd_sc_hd__o21ai_1 U20763 ( .A1(n17207), .A2(n16474), .B1(n16473), .Y( exu_div_result[29]) ); sky130_fd_sc_hd__o2bb2ai_1 U20764 ( .B1(n24562), .B2(n19368), .A1_N(n24562), .A2_N(exu_csr_rs1_x[0]), .Y(\exu/csr_rs1_in_d [0]) ); sky130_fd_sc_hd__clkinv_1 U20765 ( .A(\pic_ctrl_inst/intpriority_reg[18][3] ), .Y(n22925) ); sky130_fd_sc_hd__nor2_1 U20766 ( .A(n16476), .B(n16475), .Y(n16784) ); sky130_fd_sc_hd__o221ai_1 U20767 ( .A1( \pic_ctrl_inst/intpriority_reg[18][3] ), .A2(n10893), .B1(n22925), .B2(n10883), .C1(n16784), .Y(n16788) ); sky130_fd_sc_hd__clkinv_1 U20768 ( .A(\pic_ctrl_inst/intpriority_reg[19][3] ), .Y(n22932) ); sky130_fd_sc_hd__o22ai_1 U20769 ( .A1(\pic_ctrl_inst/intpriority_reg[19][3] ), .A2(n10878), .B1(n22932), .B2(n10889), .Y(n16787) ); sky130_fd_sc_hd__clkinv_1 U20770 ( .A(\pic_ctrl_inst/intenable_reg [19]), .Y(n17335) ); sky130_fd_sc_hd__nor3b_1 U20771 ( .C_N(n16787), .A(n16779), .B(n17335), .Y( n16477) ); sky130_fd_sc_hd__nor2b_1 U20772 ( .B_N(n16788), .A(n16477), .Y(n16829) ); sky130_fd_sc_hd__o21ai_1 U20773 ( .A1(\pic_ctrl_inst/intpriority_reg[17][3] ), .A2(n10888), .B1(\pic_ctrl_inst/intenable_reg [17]), .Y(n16478) ); sky130_fd_sc_hd__a211oi_1 U20774 ( .A1( \pic_ctrl_inst/intpriority_reg[17][3] ), .A2(n10889), .B1(n16803), .C1(n16478), .Y(n16806) ); sky130_fd_sc_hd__clkinv_1 U20775 ( .A(\pic_ctrl_inst/intpriority_reg[16][3] ), .Y(n22914) ); sky130_fd_sc_hd__nor2b_1 U20776 ( .B_N(\pic_ctrl_inst/intenable_reg [16]), .A(n16479), .Y(n16801) ); sky130_fd_sc_hd__o221ai_1 U20777 ( .A1( \pic_ctrl_inst/intpriority_reg[16][3] ), .A2(n10893), .B1(n22914), .B2(n10883), .C1(n16801), .Y(n16807) ); sky130_fd_sc_hd__nand2b_1 U20778 ( .A_N(n16806), .B(n16807), .Y(n16827) ); sky130_fd_sc_hd__nor2b_1 U20779 ( .B_N(n16829), .A(n16827), .Y(n16906) ); sky130_fd_sc_hd__clkinv_1 U20780 ( .A(\pic_ctrl_inst/intpriority_reg[22][3] ), .Y(n22949) ); sky130_fd_sc_hd__nor2_1 U20781 ( .A(n16481), .B(n16480), .Y(n16837) ); sky130_fd_sc_hd__o221ai_1 U20782 ( .A1( \pic_ctrl_inst/intpriority_reg[22][3] ), .A2(n10893), .B1(n22949), .B2(n10883), .C1(n16837), .Y(n16841) ); sky130_fd_sc_hd__clkinv_1 U20783 ( .A(\pic_ctrl_inst/intpriority_reg[23][3] ), .Y(n22956) ); sky130_fd_sc_hd__o22ai_1 U20784 ( .A1(\pic_ctrl_inst/intpriority_reg[23][3] ), .A2(n10878), .B1(n22956), .B2(n10889), .Y(n16840) ); sky130_fd_sc_hd__clkinv_1 U20785 ( .A(\pic_ctrl_inst/intenable_reg [23]), .Y(n17357) ); sky130_fd_sc_hd__nor2_1 U20786 ( .A(n16482), .B(n17357), .Y(n16843) ); sky130_fd_sc_hd__nand2_1 U20787 ( .A(n16840), .B(n16843), .Y(n16483) ); sky130_fd_sc_hd__nand2_1 U20788 ( .A(n16841), .B(n16483), .Y(n16880) ); sky130_fd_sc_hd__nor2_1 U20789 ( .A(n16485), .B(n16484), .Y(n16854) ); sky130_fd_sc_hd__o21ai_1 U20790 ( .A1(\pic_ctrl_inst/intpriority_reg[20][3] ), .A2(n10886), .B1(n16854), .Y(n16486) ); sky130_fd_sc_hd__a21oi_1 U20791 ( .A1(\pic_ctrl_inst/intpriority_reg[20][3] ), .A2(n10893), .B1(n16486), .Y(n16859) ); sky130_fd_sc_hd__clkinv_1 U20792 ( .A(\pic_ctrl_inst/intenable_reg [21]), .Y(n17359) ); sky130_fd_sc_hd__o22ai_1 U20793 ( .A1(\pic_ctrl_inst/intpriority_reg[21][3] ), .A2(n10890), .B1(n22943), .B2(n10884), .Y(n16858) ); sky130_fd_sc_hd__nor3_1 U20794 ( .A(n16860), .B(n17359), .C(n16858), .Y( n16487) ); sky130_fd_sc_hd__nor2_1 U20795 ( .A(n16859), .B(n16487), .Y(n16881) ); sky130_fd_sc_hd__nand2b_1 U20796 ( .A_N(n16880), .B(n16881), .Y(n16904) ); sky130_fd_sc_hd__nor2b_1 U20797 ( .B_N(n16906), .A(n16904), .Y(n17049) ); sky130_fd_sc_hd__clkinv_1 U20798 ( .A(\pic_ctrl_inst/intpriority_reg[26][3] ), .Y(n22974) ); sky130_fd_sc_hd__nor2_1 U20799 ( .A(n16489), .B(n16488), .Y(n16910) ); sky130_fd_sc_hd__o221ai_1 U20800 ( .A1( \pic_ctrl_inst/intpriority_reg[26][3] ), .A2(n10892), .B1(n22974), .B2(n10883), .C1(n16910), .Y(n16916) ); sky130_fd_sc_hd__clkinv_1 U20801 ( .A(\pic_ctrl_inst/intpriority_reg[27][3] ), .Y(n22981) ); sky130_fd_sc_hd__o22ai_1 U20802 ( .A1(\pic_ctrl_inst/intpriority_reg[27][3] ), .A2(n10878), .B1(n22981), .B2(n10890), .Y(n16918) ); sky130_fd_sc_hd__nor2_1 U20803 ( .A(n16490), .B(n17345), .Y(n16917) ); sky130_fd_sc_hd__nand2_1 U20804 ( .A(n16918), .B(n16917), .Y(n16919) ); sky130_fd_sc_hd__nand2_1 U20805 ( .A(n16916), .B(n16919), .Y(n16926) ); sky130_fd_sc_hd__clkinv_1 U20806 ( .A(\pic_ctrl_inst/intpriority_reg[24][3] ), .Y(n22961) ); sky130_fd_sc_hd__nor2_1 U20807 ( .A(n16492), .B(n16491), .Y(n16928) ); sky130_fd_sc_hd__o221ai_1 U20808 ( .A1( \pic_ctrl_inst/intpriority_reg[24][3] ), .A2(n10893), .B1(n22961), .B2(n10883), .C1(n16928), .Y(n16932) ); sky130_fd_sc_hd__clkinv_1 U20809 ( .A(\pic_ctrl_inst/intpriority_reg[25][3] ), .Y(n22968) ); sky130_fd_sc_hd__o22ai_1 U20810 ( .A1(\pic_ctrl_inst/intpriority_reg[25][3] ), .A2(n10878), .B1(n22968), .B2(n10889), .Y(n16933) ); sky130_fd_sc_hd__clkinv_1 U20811 ( .A(\pic_ctrl_inst/intenable_reg [25]), .Y(n17353) ); sky130_fd_sc_hd__nor2_1 U20812 ( .A(n16493), .B(n17353), .Y(n16935) ); sky130_fd_sc_hd__nand2_1 U20813 ( .A(n16933), .B(n16935), .Y(n16494) ); sky130_fd_sc_hd__nand2_1 U20814 ( .A(n16932), .B(n16494), .Y(n16956) ); sky130_fd_sc_hd__nor2_1 U20815 ( .A(n16926), .B(n16956), .Y(n17029) ); sky130_fd_sc_hd__clkinv_1 U20816 ( .A(\pic_ctrl_inst/intpriority_reg[30][3] ), .Y(n23000) ); sky130_fd_sc_hd__nor2_1 U20817 ( .A(n16496), .B(n16495), .Y(n16981) ); sky130_fd_sc_hd__o221ai_1 U20818 ( .A1( \pic_ctrl_inst/intpriority_reg[30][3] ), .A2(n10893), .B1(n23000), .B2(n10883), .C1(n16981), .Y(n16985) ); sky130_fd_sc_hd__clkinv_1 U20819 ( .A(\pic_ctrl_inst/intpriority_reg[31][3] ), .Y(n23010) ); sky130_fd_sc_hd__o22ai_1 U20820 ( .A1(\pic_ctrl_inst/intpriority_reg[31][3] ), .A2(n10878), .B1(n23010), .B2(n10890), .Y(n16984) ); sky130_fd_sc_hd__clkinv_1 U20821 ( .A(\pic_ctrl_inst/intenable_reg [31]), .Y(n17364) ); sky130_fd_sc_hd__nor2_1 U20822 ( .A(n16497), .B(n17364), .Y(n16987) ); sky130_fd_sc_hd__nand2_1 U20823 ( .A(n16984), .B(n16987), .Y(n16498) ); sky130_fd_sc_hd__nand2_1 U20824 ( .A(n16985), .B(n16498), .Y(n16976) ); sky130_fd_sc_hd__clkinv_1 U20825 ( .A(\pic_ctrl_inst/intpriority_reg[28][3] ), .Y(n22986) ); sky130_fd_sc_hd__nor2_1 U20826 ( .A(n16499), .B(n17326), .Y(n16965) ); sky130_fd_sc_hd__o221ai_1 U20827 ( .A1( \pic_ctrl_inst/intpriority_reg[28][3] ), .A2(n10893), .B1(n22986), .B2(n10883), .C1(n16965), .Y(n16972) ); sky130_fd_sc_hd__clkinv_1 U20828 ( .A(\pic_ctrl_inst/intpriority_reg[29][3] ), .Y(n22993) ); sky130_fd_sc_hd__clkinv_1 U20829 ( .A(\pic_ctrl_inst/intenable_reg [29]), .Y(n17349) ); sky130_fd_sc_hd__nor2_1 U20830 ( .A(n16500), .B(n17349), .Y(n16968) ); sky130_fd_sc_hd__o221ai_1 U20831 ( .A1( \pic_ctrl_inst/intpriority_reg[29][3] ), .A2(n10893), .B1(n22993), .B2(n10883), .C1(n16968), .Y(n16967) ); sky130_fd_sc_hd__nand2_1 U20832 ( .A(n16972), .B(n16967), .Y(n17008) ); sky130_fd_sc_hd__nor2_1 U20833 ( .A(n16976), .B(n17008), .Y(n16961) ); sky130_fd_sc_hd__nand2_1 U20834 ( .A(n17029), .B(n16961), .Y(n17050) ); sky130_fd_sc_hd__nor2b_1 U20835 ( .B_N(n17049), .A(n17050), .Y(n24567) ); sky130_fd_sc_hd__clkinv_1 U20836 ( .A(\pic_ctrl_inst/intpriority_reg[14][3] ), .Y(n22901) ); sky130_fd_sc_hd__nor2_1 U20837 ( .A(n16501), .B(n17323), .Y(n16581) ); sky130_fd_sc_hd__o221ai_1 U20838 ( .A1( \pic_ctrl_inst/intpriority_reg[14][3] ), .A2(n10893), .B1(n22901), .B2(n10883), .C1(n16581), .Y(n16585) ); sky130_fd_sc_hd__clkinv_1 U20839 ( .A(\pic_ctrl_inst/intpriority_reg[15][3] ), .Y(n22908) ); sky130_fd_sc_hd__o22ai_1 U20840 ( .A1(\pic_ctrl_inst/intpriority_reg[15][3] ), .A2(n10878), .B1(n22908), .B2(n10890), .Y(n16584) ); sky130_fd_sc_hd__clkinv_1 U20841 ( .A(\pic_ctrl_inst/intenable_reg [15]), .Y(n17351) ); sky130_fd_sc_hd__nor2_1 U20842 ( .A(n16502), .B(n17351), .Y(n16587) ); sky130_fd_sc_hd__nand2_1 U20843 ( .A(n16584), .B(n16587), .Y(n16503) ); sky130_fd_sc_hd__nand2_1 U20844 ( .A(n16585), .B(n16503), .Y(n16591) ); sky130_fd_sc_hd__clkinv_1 U20845 ( .A(\pic_ctrl_inst/intpriority_reg[12][3] ), .Y(n22888) ); sky130_fd_sc_hd__nor2_1 U20846 ( .A(n16504), .B(n17330), .Y(n16592) ); sky130_fd_sc_hd__o221ai_1 U20847 ( .A1( \pic_ctrl_inst/intpriority_reg[12][3] ), .A2(n10893), .B1(n22888), .B2(n10883), .C1(n16592), .Y(n16600) ); sky130_fd_sc_hd__clkinv_1 U20848 ( .A(\pic_ctrl_inst/intpriority_reg[13][3] ), .Y(n22895) ); sky130_fd_sc_hd__o22ai_1 U20849 ( .A1(\pic_ctrl_inst/intpriority_reg[13][3] ), .A2(n10878), .B1(n22895), .B2(n10890), .Y(n16599) ); sky130_fd_sc_hd__clkinv_1 U20850 ( .A(\pic_ctrl_inst/intenable_reg [13]), .Y(n17361) ); sky130_fd_sc_hd__nor2_1 U20851 ( .A(n16505), .B(n17361), .Y(n16601) ); sky130_fd_sc_hd__nand2_1 U20852 ( .A(n16599), .B(n16601), .Y(n16506) ); sky130_fd_sc_hd__nand2_1 U20853 ( .A(n16600), .B(n16506), .Y(n16625) ); sky130_fd_sc_hd__nor2_1 U20854 ( .A(n16591), .B(n16625), .Y(n16644) ); sky130_fd_sc_hd__clkinv_1 U20855 ( .A(\pic_ctrl_inst/intpriority_reg[8][3] ), .Y(n22863) ); sky130_fd_sc_hd__nor2_1 U20856 ( .A(n16508), .B(n16507), .Y(n16541) ); sky130_fd_sc_hd__o221ai_1 U20857 ( .A1(\pic_ctrl_inst/intpriority_reg[8][3] ), .A2(n10893), .B1(n22863), .B2(n10883), .C1(n16541), .Y(n16551) ); sky130_fd_sc_hd__clkinv_1 U20858 ( .A(\pic_ctrl_inst/intpriority_reg[9][3] ), .Y(n22869) ); sky130_fd_sc_hd__nor2_1 U20859 ( .A(n16509), .B(n17343), .Y(n16547) ); sky130_fd_sc_hd__o221ai_1 U20860 ( .A1(\pic_ctrl_inst/intpriority_reg[9][3] ), .A2(n10893), .B1(n22869), .B2(n10883), .C1(n16547), .Y(n16546) ); sky130_fd_sc_hd__nand2_1 U20861 ( .A(n16551), .B(n16546), .Y(n16573) ); sky130_fd_sc_hd__clkinv_1 U20862 ( .A(\pic_ctrl_inst/intpriority_reg[10][3] ), .Y(n22875) ); sky130_fd_sc_hd__nor2_1 U20863 ( .A(n16510), .B(n17321), .Y(n16524) ); sky130_fd_sc_hd__o221ai_1 U20864 ( .A1( \pic_ctrl_inst/intpriority_reg[10][3] ), .A2(n10893), .B1(n22875), .B2(n10883), .C1(n16524), .Y(n16532) ); sky130_fd_sc_hd__clkinv_1 U20865 ( .A(\pic_ctrl_inst/intpriority_reg[11][3] ), .Y(n22882) ); sky130_fd_sc_hd__o22ai_1 U20866 ( .A1(\pic_ctrl_inst/intpriority_reg[11][3] ), .A2(n10878), .B1(n22882), .B2(n10890), .Y(n16531) ); sky130_fd_sc_hd__clkinv_1 U20867 ( .A(\pic_ctrl_inst/intenable_reg [11]), .Y(n17355) ); sky130_fd_sc_hd__nor2_1 U20868 ( .A(n16511), .B(n17355), .Y(n16533) ); sky130_fd_sc_hd__nand2_1 U20869 ( .A(n16531), .B(n16533), .Y(n16512) ); sky130_fd_sc_hd__nand2_1 U20870 ( .A(n16532), .B(n16512), .Y(n16539) ); sky130_fd_sc_hd__nor2_1 U20871 ( .A(n16573), .B(n16539), .Y(n16647) ); sky130_fd_sc_hd__nand2_1 U20872 ( .A(n16644), .B(n16647), .Y(n16651) ); sky130_fd_sc_hd__clkinv_1 U20873 ( .A(\pic_ctrl_inst/intpriority_reg[6][3] ), .Y(n22851) ); sky130_fd_sc_hd__nor2_1 U20874 ( .A(n16513), .B(n17328), .Y(n16652) ); sky130_fd_sc_hd__o221ai_1 U20875 ( .A1(\pic_ctrl_inst/intpriority_reg[6][3] ), .A2(n10893), .B1(n22851), .B2(n10883), .C1(n16652), .Y(n16660) ); sky130_fd_sc_hd__clkinv_1 U20876 ( .A(\pic_ctrl_inst/intpriority_reg[7][3] ), .Y(n22858) ); sky130_fd_sc_hd__o22ai_1 U20877 ( .A1(\pic_ctrl_inst/intpriority_reg[7][3] ), .A2(n10878), .B1(n22858), .B2(n10889), .Y(n16659) ); sky130_fd_sc_hd__clkinv_1 U20878 ( .A(\pic_ctrl_inst/intenable_reg [7]), .Y( n17347) ); sky130_fd_sc_hd__nor2_1 U20879 ( .A(n16514), .B(n17347), .Y(n16661) ); sky130_fd_sc_hd__nand2_1 U20880 ( .A(n16659), .B(n16661), .Y(n16515) ); sky130_fd_sc_hd__nand2_1 U20881 ( .A(n16660), .B(n16515), .Y(n16698) ); sky130_fd_sc_hd__nor2_1 U20882 ( .A(n16517), .B(n16516), .Y(n16672) ); sky130_fd_sc_hd__o221ai_1 U20883 ( .A1(\pic_ctrl_inst/intpriority_reg[4][3] ), .A2(n10893), .B1(n22839), .B2(n10883), .C1(n16672), .Y(n16679) ); sky130_fd_sc_hd__clkinv_1 U20884 ( .A(\pic_ctrl_inst/intpriority_reg[5][3] ), .Y(n22845) ); sky130_fd_sc_hd__clkinv_1 U20885 ( .A(\pic_ctrl_inst/intenable_reg [5]), .Y( n17341) ); sky130_fd_sc_hd__nor2_1 U20886 ( .A(n16518), .B(n17341), .Y(n16675) ); sky130_fd_sc_hd__o221ai_1 U20887 ( .A1(\pic_ctrl_inst/intpriority_reg[5][3] ), .A2(n10893), .B1(n22845), .B2(n10883), .C1(n16675), .Y(n16674) ); sky130_fd_sc_hd__nand2_1 U20888 ( .A(n16679), .B(n16674), .Y(n16667) ); sky130_fd_sc_hd__nor2_1 U20889 ( .A(n16698), .B(n16667), .Y(n16752) ); sky130_fd_sc_hd__nor2_1 U20890 ( .A(n16519), .B(n23046), .Y(n22590) ); sky130_fd_sc_hd__o21ai_1 U20891 ( .A1(\pic_ctrl_inst/intpriority_reg[1][3] ), .A2(n10887), .B1(n22590), .Y(n16520) ); sky130_fd_sc_hd__a21oi_1 U20892 ( .A1(\pic_ctrl_inst/intpriority_reg[1][3] ), .A2(n10893), .B1(n16520), .Y(n16705) ); sky130_fd_sc_hd__clkinv_1 U20893 ( .A(\pic_ctrl_inst/intpriority_reg[2][3] ), .Y(n22826) ); sky130_fd_sc_hd__nor2_1 U20894 ( .A(n16521), .B(n17332), .Y(n16707) ); sky130_fd_sc_hd__o221ai_1 U20895 ( .A1(\pic_ctrl_inst/intpriority_reg[2][3] ), .A2(n10893), .B1(n22826), .B2(n10883), .C1(n16707), .Y(n16713) ); sky130_fd_sc_hd__clkinv_1 U20896 ( .A(\pic_ctrl_inst/intpriority_reg[3][3] ), .Y(n22833) ); sky130_fd_sc_hd__o22ai_1 U20897 ( .A1(\pic_ctrl_inst/intpriority_reg[3][3] ), .A2(n10878), .B1(n22833), .B2(n10889), .Y(n16712) ); sky130_fd_sc_hd__clkinv_1 U20898 ( .A(\pic_ctrl_inst/intenable_reg [3]), .Y( n17337) ); sky130_fd_sc_hd__nor2_1 U20899 ( .A(n16522), .B(n17337), .Y(n16714) ); sky130_fd_sc_hd__nand2_1 U20900 ( .A(n16712), .B(n16714), .Y(n16523) ); sky130_fd_sc_hd__nand2_1 U20901 ( .A(n16713), .B(n16523), .Y(n16731) ); sky130_fd_sc_hd__nor2_1 U20902 ( .A(n16705), .B(n16731), .Y(n16704) ); sky130_fd_sc_hd__nand2_1 U20903 ( .A(n16752), .B(n16704), .Y(n16774) ); sky130_fd_sc_hd__nor2_1 U20904 ( .A(n16651), .B(n16774), .Y(n24568) ); sky130_fd_sc_hd__o221ai_1 U20905 ( .A1(n10892), .A2( \pic_ctrl_inst/intpriority_reg[10][2] ), .B1(n10878), .B2(n22873), .C1(n16524), .Y(n16538) ); sky130_fd_sc_hd__clkinv_1 U20906 ( .A(\pic_ctrl_inst/intpriority_reg[11][2] ), .Y(n22880) ); sky130_fd_sc_hd__o22ai_1 U20907 ( .A1(n10891), .A2(n22880), .B1(n10884), .B2(\pic_ctrl_inst/intpriority_reg[11][2] ), .Y(n16536) ); sky130_fd_sc_hd__clkinv_1 U20908 ( .A(\pic_ctrl_inst/intpriority_reg[11][1] ), .Y(n22879) ); sky130_fd_sc_hd__clkinv_1 U20909 ( .A(\pic_ctrl_inst/intpriority_reg[11][0] ), .Y(n22878) ); sky130_fd_sc_hd__o22ai_1 U20910 ( .A1(\pic_ctrl_inst/intpriority_reg[11][0] ), .A2(n22879), .B1(n22878), .B2(\pic_ctrl_inst/intpriority_reg[11][1] ), .Y( n16526) ); sky130_fd_sc_hd__clkinv_1 U20911 ( .A(\pic_ctrl_inst/intpriority_reg[10][0] ), .Y(n22871) ); sky130_fd_sc_hd__o22ai_1 U20912 ( .A1(\pic_ctrl_inst/intpriority_reg[10][0] ), .A2(n10884), .B1(n22871), .B2(n10890), .Y(n16525) ); sky130_fd_sc_hd__nand2_1 U20913 ( .A(n16524), .B(n16525), .Y(n16567) ); sky130_fd_sc_hd__o22ai_1 U20914 ( .A1(n10891), .A2(n22879), .B1(n10884), .B2(\pic_ctrl_inst/intpriority_reg[11][1] ), .Y(n16557) ); sky130_fd_sc_hd__a21oi_1 U20915 ( .A1(n16526), .A2(n16567), .B1(n16557), .Y( n16528) ); sky130_fd_sc_hd__clkinv_1 U20916 ( .A(\pic_ctrl_inst/intpriority_reg[10][1] ), .Y(n22872) ); sky130_fd_sc_hd__o221ai_1 U20917 ( .A1(n10892), .A2( \pic_ctrl_inst/intpriority_reg[10][1] ), .B1(n10878), .B2(n22872), .C1(n16524), .Y(n16555) ); sky130_fd_sc_hd__o21ba_2 U20918 ( .A1(n16526), .A2(n16525), .B1_N(n16555), .X(n16527) ); sky130_fd_sc_hd__nor2_1 U20919 ( .A(n16528), .B(n16527), .Y(n16529) ); sky130_fd_sc_hd__maj3_1 U20920 ( .A(n16536), .B(n16529), .C(n16538), .X( n16530) ); sky130_fd_sc_hd__o21ai_1 U20921 ( .A1(n16532), .A2(n16531), .B1(n16530), .Y( n16535) ); sky130_fd_sc_hd__nand2_1 U20922 ( .A(n16532), .B(n16531), .Y(n16534) ); sky130_fd_sc_hd__a21boi_0 U20923 ( .A1(n16535), .A2(n16534), .B1_N(n16533), .Y(n16566) ); sky130_fd_sc_hd__nand2_1 U20924 ( .A(n16566), .B(n16536), .Y(n16537) ); sky130_fd_sc_hd__o21ai_1 U20925 ( .A1(n16538), .A2(n16566), .B1(n16537), .Y( n16576) ); sky130_fd_sc_hd__clkinv_1 U20926 ( .A(n16539), .Y(n16572) ); sky130_fd_sc_hd__clkinv_1 U20927 ( .A(\pic_ctrl_inst/intpriority_reg[9][2] ), .Y(n22867) ); sky130_fd_sc_hd__o22ai_1 U20928 ( .A1(n10892), .A2( \pic_ctrl_inst/intpriority_reg[9][2] ), .B1(n10884), .B2(n22867), .Y( n16554) ); sky130_fd_sc_hd__clkinv_1 U20929 ( .A(\pic_ctrl_inst/intpriority_reg[8][2] ), .Y(n22861) ); sky130_fd_sc_hd__o221ai_1 U20930 ( .A1(n10892), .A2( \pic_ctrl_inst/intpriority_reg[8][2] ), .B1(n10883), .B2(n22861), .C1( n16541), .Y(n16552) ); sky130_fd_sc_hd__clkinv_1 U20931 ( .A(\pic_ctrl_inst/intpriority_reg[9][1] ), .Y(n22866) ); sky130_fd_sc_hd__o22ai_1 U20932 ( .A1(n10891), .A2( \pic_ctrl_inst/intpriority_reg[9][1] ), .B1(n10884), .B2(n22866), .Y( n16560) ); sky130_fd_sc_hd__o21ai_1 U20933 ( .A1(n10890), .A2( \pic_ctrl_inst/intpriority_reg[8][1] ), .B1(n16541), .Y(n16540) ); sky130_fd_sc_hd__a21oi_1 U20934 ( .A1(n10890), .A2( \pic_ctrl_inst/intpriority_reg[8][1] ), .B1(n16540), .Y(n16558) ); sky130_fd_sc_hd__clkinv_1 U20935 ( .A(\pic_ctrl_inst/intpriority_reg[8][0] ), .Y(n22860) ); sky130_fd_sc_hd__o221ai_1 U20936 ( .A1(n10892), .A2( \pic_ctrl_inst/intpriority_reg[8][0] ), .B1(n10878), .B2(n22860), .C1( n16541), .Y(n16561) ); sky130_fd_sc_hd__clkinv_1 U20937 ( .A(\pic_ctrl_inst/intpriority_reg[9][0] ), .Y(n22865) ); sky130_fd_sc_hd__o22ai_1 U20938 ( .A1(\pic_ctrl_inst/intpriority_reg[9][0] ), .A2(n10884), .B1(n22865), .B2(n10890), .Y(n16563) ); sky130_fd_sc_hd__nand2_1 U20939 ( .A(n16560), .B(n16558), .Y(n16542) ); sky130_fd_sc_hd__nand3_1 U20940 ( .A(n16561), .B(n16563), .C(n16542), .Y( n16543) ); sky130_fd_sc_hd__o21ai_1 U20941 ( .A1(n16560), .A2(n16558), .B1(n16543), .Y( n16544) ); sky130_fd_sc_hd__maj3_1 U20942 ( .A(n16545), .B(n16552), .C(n16544), .X( n16550) ); sky130_fd_sc_hd__clkinv_1 U20943 ( .A(n16546), .Y(n16549) ); sky130_fd_sc_hd__and3_1 U20944 ( .A(n16551), .B(n16550), .C(n16547), .X( n16548) ); sky130_fd_sc_hd__o22ai_1 U20945 ( .A1(n16551), .A2(n16550), .B1(n16549), .B2(n16548), .Y(n22586) ); sky130_fd_sc_hd__nand2b_1 U20946 ( .A_N(n16552), .B(n22586), .Y(n16553) ); sky130_fd_sc_hd__o21ai_1 U20947 ( .A1(n16554), .A2(n22586), .B1(n16553), .Y( n16574) ); sky130_fd_sc_hd__nand2_1 U20948 ( .A(n16555), .B(n22585), .Y(n16556) ); sky130_fd_sc_hd__o21ai_1 U20949 ( .A1(n16557), .A2(n22585), .B1(n16556), .Y( n16636) ); sky130_fd_sc_hd__nand2_1 U20950 ( .A(n22586), .B(n16558), .Y(n16559) ); sky130_fd_sc_hd__o21ai_1 U20951 ( .A1(n16560), .A2(n22586), .B1(n16559), .Y( n16638) ); sky130_fd_sc_hd__nand2_1 U20952 ( .A(n22586), .B(n16561), .Y(n16562) ); sky130_fd_sc_hd__o21ai_1 U20953 ( .A1(n16563), .A2(n22586), .B1(n16562), .Y( n16639) ); sky130_fd_sc_hd__o22ai_1 U20954 ( .A1(\pic_ctrl_inst/intpriority_reg[11][0] ), .A2(n10884), .B1(n22878), .B2(n10889), .Y(n16564) ); sky130_fd_sc_hd__nand2_1 U20955 ( .A(n16566), .B(n16564), .Y(n16565) ); sky130_fd_sc_hd__o21ai_1 U20956 ( .A1(n16567), .A2(n16566), .B1(n16565), .Y( n16641) ); sky130_fd_sc_hd__nand2_1 U20957 ( .A(n16639), .B(n16641), .Y(n16568) ); sky130_fd_sc_hd__fa_1 U20958 ( .A(n16636), .B(n16638), .CIN(n16568), .COUT( n16569) ); sky130_fd_sc_hd__fa_1 U20959 ( .A(n16574), .B(n16570), .CIN(n16569), .COUT( n16571) ); sky130_fd_sc_hd__maj3_1 U20960 ( .A(n16573), .B(n16572), .C(n16571), .X( n22632) ); sky130_fd_sc_hd__nand2b_1 U20961 ( .A_N(n16574), .B(n22632), .Y(n16575) ); sky130_fd_sc_hd__o21ai_1 U20962 ( .A1(n16576), .A2(n22632), .B1(n16575), .Y( n16650) ); sky130_fd_sc_hd__clkinv_1 U20963 ( .A(\pic_ctrl_inst/intpriority_reg[15][2] ), .Y(n22906) ); sky130_fd_sc_hd__o22ai_1 U20964 ( .A1(n10891), .A2(n22906), .B1(n10884), .B2(\pic_ctrl_inst/intpriority_reg[15][2] ), .Y(n16590) ); sky130_fd_sc_hd__clkinv_1 U20965 ( .A(\pic_ctrl_inst/intpriority_reg[15][1] ), .Y(n22905) ); sky130_fd_sc_hd__clkinv_1 U20966 ( .A(\pic_ctrl_inst/intpriority_reg[15][0] ), .Y(n22904) ); sky130_fd_sc_hd__o22ai_1 U20967 ( .A1(\pic_ctrl_inst/intpriority_reg[15][0] ), .A2(n22905), .B1(n22904), .B2(\pic_ctrl_inst/intpriority_reg[15][1] ), .Y( n16578) ); sky130_fd_sc_hd__clkinv_1 U20968 ( .A(\pic_ctrl_inst/intpriority_reg[14][0] ), .Y(n22897) ); sky130_fd_sc_hd__o22ai_1 U20969 ( .A1(\pic_ctrl_inst/intpriority_reg[14][0] ), .A2(n10884), .B1(n22897), .B2(n10890), .Y(n16577) ); sky130_fd_sc_hd__nand2_1 U20970 ( .A(n16581), .B(n16577), .Y(n16616) ); sky130_fd_sc_hd__o22ai_1 U20971 ( .A1(n10892), .A2(n22905), .B1(n10884), .B2(\pic_ctrl_inst/intpriority_reg[15][1] ), .Y(n16612) ); sky130_fd_sc_hd__a21oi_1 U20972 ( .A1(n16578), .A2(n16616), .B1(n16612), .Y( n16580) ); sky130_fd_sc_hd__o221ai_1 U20973 ( .A1(n10892), .A2( \pic_ctrl_inst/intpriority_reg[14][1] ), .B1(n10878), .B2(n22898), .C1(n16581), .Y(n16610) ); sky130_fd_sc_hd__o21ba_2 U20974 ( .A1(n16578), .A2(n16577), .B1_N(n16610), .X(n16579) ); sky130_fd_sc_hd__nor2_1 U20975 ( .A(n16580), .B(n16579), .Y(n16582) ); sky130_fd_sc_hd__clkinv_1 U20976 ( .A(\pic_ctrl_inst/intpriority_reg[14][2] ), .Y(n22899) ); sky130_fd_sc_hd__o221ai_1 U20977 ( .A1(n10892), .A2( \pic_ctrl_inst/intpriority_reg[14][2] ), .B1(n10878), .B2(n22899), .C1(n16581), .Y(n16588) ); sky130_fd_sc_hd__maj3_1 U20978 ( .A(n16590), .B(n16582), .C(n16588), .X( n16583) ); sky130_fd_sc_hd__maj3_1 U20979 ( .A(n16585), .B(n16584), .C(n16583), .X( n16586) ); sky130_fd_sc_hd__nand2_1 U20980 ( .A(n16587), .B(n16586), .Y(n22584) ); sky130_fd_sc_hd__nand2_1 U20981 ( .A(n16588), .B(n22584), .Y(n16589) ); sky130_fd_sc_hd__o21ai_1 U20982 ( .A1(n16590), .A2(n22584), .B1(n16589), .Y( n16628) ); sky130_fd_sc_hd__clkinv_1 U20983 ( .A(n16591), .Y(n16624) ); sky130_fd_sc_hd__clkinv_1 U20984 ( .A(\pic_ctrl_inst/intpriority_reg[12][2] ), .Y(n22886) ); sky130_fd_sc_hd__o221ai_1 U20985 ( .A1(n10892), .A2( \pic_ctrl_inst/intpriority_reg[12][2] ), .B1(n10878), .B2(n22886), .C1(n16592), .Y(n16606) ); sky130_fd_sc_hd__clkinv_1 U20986 ( .A(\pic_ctrl_inst/intpriority_reg[13][2] ), .Y(n22893) ); sky130_fd_sc_hd__o22ai_1 U20987 ( .A1(n10891), .A2(n22893), .B1(n10884), .B2(\pic_ctrl_inst/intpriority_reg[13][2] ), .Y(n16604) ); sky130_fd_sc_hd__clkinv_1 U20988 ( .A(\pic_ctrl_inst/intpriority_reg[13][1] ), .Y(n22892) ); sky130_fd_sc_hd__clkinv_1 U20989 ( .A(\pic_ctrl_inst/intpriority_reg[13][0] ), .Y(n22891) ); sky130_fd_sc_hd__o22ai_1 U20990 ( .A1(\pic_ctrl_inst/intpriority_reg[13][0] ), .A2(n22892), .B1(n22891), .B2(\pic_ctrl_inst/intpriority_reg[13][1] ), .Y( n16594) ); sky130_fd_sc_hd__clkinv_1 U20991 ( .A(\pic_ctrl_inst/intpriority_reg[12][0] ), .Y(n22884) ); sky130_fd_sc_hd__o22ai_1 U20992 ( .A1(\pic_ctrl_inst/intpriority_reg[12][0] ), .A2(n10884), .B1(n22884), .B2(n10890), .Y(n16593) ); sky130_fd_sc_hd__nand2_1 U20993 ( .A(n16592), .B(n16593), .Y(n16618) ); sky130_fd_sc_hd__o22ai_1 U20994 ( .A1(n10891), .A2(n22892), .B1(n10884), .B2(\pic_ctrl_inst/intpriority_reg[13][1] ), .Y(n16607) ); sky130_fd_sc_hd__a21oi_1 U20995 ( .A1(n16594), .A2(n16618), .B1(n16607), .Y( n16596) ); sky130_fd_sc_hd__clkinv_1 U20996 ( .A(\pic_ctrl_inst/intpriority_reg[12][1] ), .Y(n22885) ); sky130_fd_sc_hd__o221ai_1 U20997 ( .A1(n10892), .A2( \pic_ctrl_inst/intpriority_reg[12][1] ), .B1(n10878), .B2(n22885), .C1(n16592), .Y(n16609) ); sky130_fd_sc_hd__o21ba_2 U20998 ( .A1(n16594), .A2(n16593), .B1_N(n16609), .X(n16595) ); sky130_fd_sc_hd__nor2_1 U20999 ( .A(n16596), .B(n16595), .Y(n16597) ); sky130_fd_sc_hd__maj3_1 U21000 ( .A(n16604), .B(n16597), .C(n16606), .X( n16598) ); sky130_fd_sc_hd__o21ai_1 U21001 ( .A1(n16600), .A2(n16599), .B1(n16598), .Y( n16603) ); sky130_fd_sc_hd__nand2_1 U21002 ( .A(n16600), .B(n16599), .Y(n16602) ); sky130_fd_sc_hd__a21boi_0 U21003 ( .A1(n16603), .A2(n16602), .B1_N(n16601), .Y(n16617) ); sky130_fd_sc_hd__nand2_1 U21004 ( .A(n16617), .B(n16604), .Y(n16605) ); sky130_fd_sc_hd__o21ai_1 U21005 ( .A1(n16606), .A2(n16617), .B1(n16605), .Y( n16626) ); sky130_fd_sc_hd__nand2_1 U21006 ( .A(n16617), .B(n16607), .Y(n16608) ); sky130_fd_sc_hd__o21ai_1 U21007 ( .A1(n16609), .A2(n16617), .B1(n16608), .Y( n16632) ); sky130_fd_sc_hd__nand2_1 U21008 ( .A(n22584), .B(n16610), .Y(n16611) ); sky130_fd_sc_hd__o21ai_1 U21009 ( .A1(n16612), .A2(n22584), .B1(n16611), .Y( n16633) ); sky130_fd_sc_hd__clkinv_1 U21010 ( .A(n22584), .Y(n16615) ); sky130_fd_sc_hd__o22ai_1 U21011 ( .A1(\pic_ctrl_inst/intpriority_reg[15][0] ), .A2(n10884), .B1(n22904), .B2(n10889), .Y(n16613) ); sky130_fd_sc_hd__nand2_1 U21012 ( .A(n16615), .B(n16613), .Y(n16614) ); sky130_fd_sc_hd__o21ai_1 U21013 ( .A1(n16616), .A2(n16615), .B1(n16614), .Y( n16629) ); sky130_fd_sc_hd__o22ai_1 U21014 ( .A1(\pic_ctrl_inst/intpriority_reg[13][0] ), .A2(n10884), .B1(n22891), .B2(n10890), .Y(n16620) ); sky130_fd_sc_hd__clkinv_1 U21015 ( .A(n16617), .Y(n22582) ); sky130_fd_sc_hd__nand2_1 U21016 ( .A(n22582), .B(n16618), .Y(n16619) ); sky130_fd_sc_hd__o21ai_1 U21017 ( .A1(n16620), .A2(n22582), .B1(n16619), .Y( n16631) ); sky130_fd_sc_hd__nand2_1 U21018 ( .A(n16629), .B(n16631), .Y(n16621) ); sky130_fd_sc_hd__fa_1 U21019 ( .A(n16632), .B(n16633), .CIN(n16621), .COUT( n16622) ); sky130_fd_sc_hd__fa_1 U21020 ( .A(n16628), .B(n16626), .CIN(n16622), .COUT( n16623) ); sky130_fd_sc_hd__maj3_1 U21021 ( .A(n16625), .B(n16624), .C(n16623), .X( n22633) ); sky130_fd_sc_hd__nand2_1 U21022 ( .A(n22633), .B(n16626), .Y(n16627) ); sky130_fd_sc_hd__o21ai_1 U21023 ( .A1(n16628), .A2(n22633), .B1(n16627), .Y( n16648) ); sky130_fd_sc_hd__clkinv_1 U21024 ( .A(n22633), .Y(n22583) ); sky130_fd_sc_hd__nand2b_1 U21025 ( .A_N(n16629), .B(n22583), .Y(n16630) ); sky130_fd_sc_hd__a21boi_0 U21026 ( .A1(n16631), .A2(n22633), .B1_N(n16630), .Y(n16758) ); sky130_fd_sc_hd__nand2b_1 U21027 ( .A_N(n16633), .B(n22583), .Y(n16634) ); sky130_fd_sc_hd__o21ai_1 U21028 ( .A1(n16635), .A2(n22583), .B1(n16634), .Y( n16767) ); sky130_fd_sc_hd__clkinv_1 U21029 ( .A(n22632), .Y(n22587) ); sky130_fd_sc_hd__nand2_1 U21030 ( .A(n22587), .B(n16636), .Y(n16637) ); sky130_fd_sc_hd__o21ai_1 U21031 ( .A1(n16638), .A2(n22587), .B1(n16637), .Y( n16765) ); sky130_fd_sc_hd__nand2_1 U21032 ( .A(n22632), .B(n16639), .Y(n16640) ); sky130_fd_sc_hd__o21ai_1 U21033 ( .A1(n16641), .A2(n22632), .B1(n16640), .Y( n16756) ); sky130_fd_sc_hd__o21a_1 U21034 ( .A1(n16767), .A2(n16765), .B1(n16756), .X( n16642) ); sky130_fd_sc_hd__a22o_1 U21035 ( .A1(n16758), .A2(n16642), .B1(n16767), .B2( n16765), .X(n16643) ); sky130_fd_sc_hd__maj3_1 U21036 ( .A(n16648), .B(n16650), .C(n16643), .X( n16646) ); sky130_fd_sc_hd__clkinv_1 U21037 ( .A(n16644), .Y(n16645) ); sky130_fd_sc_hd__maj3_1 U21038 ( .A(n16647), .B(n16646), .C(n16645), .X( n22647) ); sky130_fd_sc_hd__nand2_1 U21039 ( .A(n16648), .B(n22647), .Y(n16649) ); sky130_fd_sc_hd__o21ai_1 U21040 ( .A1(n16650), .A2(n22647), .B1(n16649), .Y( n16778) ); sky130_fd_sc_hd__clkinv_1 U21041 ( .A(n16651), .Y(n16775) ); sky130_fd_sc_hd__clkinv_1 U21042 ( .A(\pic_ctrl_inst/intpriority_reg[6][2] ), .Y(n22849) ); sky130_fd_sc_hd__o221ai_1 U21043 ( .A1(n10892), .A2( \pic_ctrl_inst/intpriority_reg[6][2] ), .B1(n10878), .B2(n22849), .C1( n16652), .Y(n16666) ); sky130_fd_sc_hd__clkinv_1 U21044 ( .A(\pic_ctrl_inst/intpriority_reg[7][2] ), .Y(n22856) ); sky130_fd_sc_hd__o22ai_1 U21045 ( .A1(n10891), .A2(n22856), .B1(n10884), .B2(\pic_ctrl_inst/intpriority_reg[7][2] ), .Y(n16664) ); sky130_fd_sc_hd__clkinv_1 U21046 ( .A(\pic_ctrl_inst/intpriority_reg[7][1] ), .Y(n22855) ); sky130_fd_sc_hd__clkinv_1 U21047 ( .A(\pic_ctrl_inst/intpriority_reg[7][0] ), .Y(n22854) ); sky130_fd_sc_hd__o22ai_1 U21048 ( .A1(\pic_ctrl_inst/intpriority_reg[7][0] ), .A2(n22855), .B1(n22854), .B2(\pic_ctrl_inst/intpriority_reg[7][1] ), .Y(n16654) ); sky130_fd_sc_hd__clkinv_1 U21049 ( .A(\pic_ctrl_inst/intpriority_reg[6][0] ), .Y(n22847) ); sky130_fd_sc_hd__o22ai_1 U21050 ( .A1(\pic_ctrl_inst/intpriority_reg[6][0] ), .A2(n10884), .B1(n22847), .B2(n10890), .Y(n16653) ); sky130_fd_sc_hd__nand2_1 U21051 ( .A(n16652), .B(n16653), .Y(n16683) ); sky130_fd_sc_hd__o22ai_1 U21052 ( .A1(n10891), .A2(n22855), .B1(n10884), .B2(\pic_ctrl_inst/intpriority_reg[7][1] ), .Y(n16689) ); sky130_fd_sc_hd__a21oi_1 U21053 ( .A1(n16654), .A2(n16683), .B1(n16689), .Y( n16656) ); sky130_fd_sc_hd__clkinv_1 U21054 ( .A(\pic_ctrl_inst/intpriority_reg[6][1] ), .Y(n22848) ); sky130_fd_sc_hd__o221ai_1 U21055 ( .A1(n10892), .A2( \pic_ctrl_inst/intpriority_reg[6][1] ), .B1(n10878), .B2(n22848), .C1( n16652), .Y(n16692) ); sky130_fd_sc_hd__o21ba_2 U21056 ( .A1(n16654), .A2(n16653), .B1_N(n16692), .X(n16655) ); sky130_fd_sc_hd__nor2_1 U21057 ( .A(n16656), .B(n16655), .Y(n16657) ); sky130_fd_sc_hd__maj3_1 U21058 ( .A(n16664), .B(n16657), .C(n16666), .X( n16658) ); sky130_fd_sc_hd__o21ai_1 U21059 ( .A1(n16660), .A2(n16659), .B1(n16658), .Y( n16663) ); sky130_fd_sc_hd__nand2_1 U21060 ( .A(n16660), .B(n16659), .Y(n16662) ); sky130_fd_sc_hd__a21boi_0 U21061 ( .A1(n16663), .A2(n16662), .B1_N(n16661), .Y(n16691) ); sky130_fd_sc_hd__nand2_1 U21062 ( .A(n16691), .B(n16664), .Y(n16665) ); sky130_fd_sc_hd__o21ai_1 U21063 ( .A1(n16666), .A2(n16691), .B1(n16665), .Y( n16703) ); sky130_fd_sc_hd__clkinv_1 U21064 ( .A(\pic_ctrl_inst/intpriority_reg[5][2] ), .Y(n22843) ); sky130_fd_sc_hd__o22ai_1 U21065 ( .A1(n10892), .A2(n22843), .B1(n10884), .B2(\pic_ctrl_inst/intpriority_reg[5][2] ), .Y(n16682) ); sky130_fd_sc_hd__clkinv_1 U21066 ( .A(\pic_ctrl_inst/intpriority_reg[5][1] ), .Y(n22842) ); sky130_fd_sc_hd__clkinv_1 U21067 ( .A(\pic_ctrl_inst/intpriority_reg[5][0] ), .Y(n22841) ); sky130_fd_sc_hd__o22ai_1 U21068 ( .A1(\pic_ctrl_inst/intpriority_reg[5][0] ), .A2(n22842), .B1(n22841), .B2(\pic_ctrl_inst/intpriority_reg[5][1] ), .Y(n16669) ); sky130_fd_sc_hd__clkinv_1 U21069 ( .A(\pic_ctrl_inst/intpriority_reg[4][0] ), .Y(n22835) ); sky130_fd_sc_hd__o22ai_1 U21070 ( .A1(\pic_ctrl_inst/intpriority_reg[4][0] ), .A2(n10884), .B1(n22835), .B2(n10890), .Y(n16668) ); sky130_fd_sc_hd__nand2_1 U21071 ( .A(n16672), .B(n16668), .Y(n16693) ); sky130_fd_sc_hd__o22ai_1 U21072 ( .A1(n10891), .A2(n22842), .B1(n10884), .B2(\pic_ctrl_inst/intpriority_reg[5][1] ), .Y(n16688) ); sky130_fd_sc_hd__a21oi_1 U21073 ( .A1(n16669), .A2(n16693), .B1(n16688), .Y( n16671) ); sky130_fd_sc_hd__clkinv_1 U21074 ( .A(\pic_ctrl_inst/intpriority_reg[4][1] ), .Y(n22836) ); sky130_fd_sc_hd__o221ai_1 U21075 ( .A1(n10892), .A2( \pic_ctrl_inst/intpriority_reg[4][1] ), .B1(n10878), .B2(n22836), .C1( n16672), .Y(n16686) ); sky130_fd_sc_hd__o21ba_2 U21076 ( .A1(n16669), .A2(n16668), .B1_N(n16686), .X(n16670) ); sky130_fd_sc_hd__nor2_1 U21077 ( .A(n16671), .B(n16670), .Y(n16673) ); sky130_fd_sc_hd__clkinv_1 U21078 ( .A(\pic_ctrl_inst/intpriority_reg[4][2] ), .Y(n22837) ); sky130_fd_sc_hd__o221ai_1 U21079 ( .A1(n10892), .A2( \pic_ctrl_inst/intpriority_reg[4][2] ), .B1(n10878), .B2(n22837), .C1( n16672), .Y(n16680) ); sky130_fd_sc_hd__maj3_1 U21080 ( .A(n16682), .B(n16673), .C(n16680), .X( n16678) ); sky130_fd_sc_hd__clkinv_1 U21081 ( .A(n16674), .Y(n16677) ); sky130_fd_sc_hd__and3_1 U21082 ( .A(n16679), .B(n16678), .C(n16675), .X( n16676) ); sky130_fd_sc_hd__o22ai_1 U21083 ( .A1(n16679), .A2(n16678), .B1(n16677), .B2(n16676), .Y(n22597) ); sky130_fd_sc_hd__nand2_1 U21084 ( .A(n16680), .B(n22597), .Y(n16681) ); sky130_fd_sc_hd__o21ai_1 U21085 ( .A1(n16682), .A2(n22597), .B1(n16681), .Y( n16701) ); sky130_fd_sc_hd__o22ai_1 U21086 ( .A1(\pic_ctrl_inst/intpriority_reg[7][0] ), .A2(n10884), .B1(n22854), .B2(n10889), .Y(n16685) ); sky130_fd_sc_hd__clkinv_1 U21087 ( .A(n16691), .Y(n22596) ); sky130_fd_sc_hd__nand2_1 U21088 ( .A(n16683), .B(n22596), .Y(n16684) ); sky130_fd_sc_hd__o21ai_1 U21089 ( .A1(n16685), .A2(n22596), .B1(n16684), .Y( n16746) ); sky130_fd_sc_hd__nand2_1 U21090 ( .A(n16686), .B(n22597), .Y(n16687) ); sky130_fd_sc_hd__o21ai_1 U21091 ( .A1(n16688), .A2(n22597), .B1(n16687), .Y( n16738) ); sky130_fd_sc_hd__nand2_1 U21092 ( .A(n16691), .B(n16689), .Y(n16690) ); sky130_fd_sc_hd__o21ai_1 U21093 ( .A1(n16692), .A2(n16691), .B1(n16690), .Y( n16740) ); sky130_fd_sc_hd__o22ai_1 U21094 ( .A1(\pic_ctrl_inst/intpriority_reg[5][0] ), .A2(n10884), .B1(n22841), .B2(n10889), .Y(n16695) ); sky130_fd_sc_hd__nand2_1 U21095 ( .A(n16693), .B(n22597), .Y(n16694) ); sky130_fd_sc_hd__o21ai_1 U21096 ( .A1(n16695), .A2(n22597), .B1(n16694), .Y( n16744) ); sky130_fd_sc_hd__o21ai_1 U21097 ( .A1(n16738), .A2(n16740), .B1(n16744), .Y( n16696) ); sky130_fd_sc_hd__o2bb2ai_1 U21098 ( .B1(n16746), .B2(n16696), .A1_N(n16738), .A2_N(n16740), .Y(n16697) ); sky130_fd_sc_hd__maj3_1 U21099 ( .A(n16701), .B(n16703), .C(n16697), .X( n16699) ); sky130_fd_sc_hd__a222oi_1 U21100 ( .A1(n16700), .A2(n16699), .B1(n16700), .B2(n16698), .C1(n16699), .C2(n16698), .Y(n22636) ); sky130_fd_sc_hd__nand2_1 U21101 ( .A(n16701), .B(n22636), .Y(n16702) ); sky130_fd_sc_hd__o21ai_1 U21102 ( .A1(n16703), .A2(n22636), .B1(n16702), .Y( n16755) ); sky130_fd_sc_hd__clkinv_1 U21103 ( .A(n16704), .Y(n16751) ); sky130_fd_sc_hd__clkinv_1 U21104 ( .A(\pic_ctrl_inst/intpriority_reg[1][2] ), .Y(n22821) ); sky130_fd_sc_hd__o22ai_1 U21105 ( .A1(n10891), .A2(n22821), .B1(n10885), .B2(\pic_ctrl_inst/intpriority_reg[1][2] ), .Y(n22591) ); sky130_fd_sc_hd__nand2_1 U21106 ( .A(n22590), .B(n22591), .Y(n16734) ); sky130_fd_sc_hd__clkinv_1 U21107 ( .A(n16705), .Y(n22595) ); sky130_fd_sc_hd__clkinv_1 U21108 ( .A(\pic_ctrl_inst/intpriority_reg[2][2] ), .Y(n22824) ); sky130_fd_sc_hd__o221ai_1 U21109 ( .A1(n10892), .A2( \pic_ctrl_inst/intpriority_reg[2][2] ), .B1(n10878), .B2(n22824), .C1( n16707), .Y(n16719) ); sky130_fd_sc_hd__clkinv_1 U21110 ( .A(\pic_ctrl_inst/intpriority_reg[3][2] ), .Y(n22831) ); sky130_fd_sc_hd__o22ai_1 U21111 ( .A1(n10891), .A2(n22831), .B1(n10885), .B2(\pic_ctrl_inst/intpriority_reg[3][2] ), .Y(n16717) ); sky130_fd_sc_hd__clkinv_1 U21112 ( .A(\pic_ctrl_inst/intpriority_reg[3][1] ), .Y(n22830) ); sky130_fd_sc_hd__o22ai_1 U21113 ( .A1(n10891), .A2( \pic_ctrl_inst/intpriority_reg[3][1] ), .B1(n10885), .B2(n22830), .Y( n16725) ); sky130_fd_sc_hd__o21ai_1 U21114 ( .A1(n10890), .A2( \pic_ctrl_inst/intpriority_reg[2][1] ), .B1(n16707), .Y(n16706) ); sky130_fd_sc_hd__a21oi_1 U21115 ( .A1(n10890), .A2( \pic_ctrl_inst/intpriority_reg[2][1] ), .B1(n16706), .Y(n16724) ); sky130_fd_sc_hd__clkinv_1 U21116 ( .A(\pic_ctrl_inst/intpriority_reg[2][0] ), .Y(n22823) ); sky130_fd_sc_hd__o221ai_1 U21117 ( .A1(\pic_ctrl_inst/intpriority_reg[2][0] ), .A2(n10893), .B1(n22823), .B2(n10883), .C1(n16707), .Y(n16720) ); sky130_fd_sc_hd__clkinv_1 U21118 ( .A(\pic_ctrl_inst/intpriority_reg[3][0] ), .Y(n22829) ); sky130_fd_sc_hd__o22ai_1 U21119 ( .A1(\pic_ctrl_inst/intpriority_reg[3][0] ), .A2(n10884), .B1(n22829), .B2(n10890), .Y(n16723) ); sky130_fd_sc_hd__nand2_1 U21120 ( .A(n16725), .B(n16724), .Y(n16708) ); sky130_fd_sc_hd__nand3_1 U21121 ( .A(n16720), .B(n16723), .C(n16708), .Y( n16709) ); sky130_fd_sc_hd__o21ai_1 U21122 ( .A1(n16725), .A2(n16724), .B1(n16709), .Y( n16710) ); sky130_fd_sc_hd__maj3_1 U21123 ( .A(n16717), .B(n16710), .C(n16719), .X( n16711) ); sky130_fd_sc_hd__o21ai_1 U21124 ( .A1(n16713), .A2(n16712), .B1(n16711), .Y( n16716) ); sky130_fd_sc_hd__nand2_1 U21125 ( .A(n16713), .B(n16712), .Y(n16715) ); sky130_fd_sc_hd__a21boi_0 U21126 ( .A1(n16716), .A2(n16715), .B1_N(n16714), .Y(n22601) ); sky130_fd_sc_hd__nand2_1 U21127 ( .A(n22601), .B(n16717), .Y(n16718) ); sky130_fd_sc_hd__o21ai_1 U21128 ( .A1(n16719), .A2(n22601), .B1(n16718), .Y( n16732) ); sky130_fd_sc_hd__nand2_1 U21129 ( .A(n16720), .B(n16722), .Y(n16721) ); sky130_fd_sc_hd__o21ai_1 U21130 ( .A1(n16723), .A2(n16722), .B1(n16721), .Y( n16741) ); sky130_fd_sc_hd__nand2b_1 U21131 ( .A_N(n16725), .B(n22601), .Y(n16726) ); sky130_fd_sc_hd__o21ai_1 U21132 ( .A1(n16727), .A2(n22601), .B1(n16726), .Y( n16735) ); sky130_fd_sc_hd__clkinv_1 U21133 ( .A(\pic_ctrl_inst/intpriority_reg[1][1] ), .Y(n22819) ); sky130_fd_sc_hd__o22ai_1 U21134 ( .A1(n10891), .A2(n22819), .B1(n10885), .B2(\pic_ctrl_inst/intpriority_reg[1][1] ), .Y(n22592) ); sky130_fd_sc_hd__nand2_1 U21135 ( .A(n22590), .B(n22592), .Y(n16737) ); sky130_fd_sc_hd__clkinv_1 U21136 ( .A(\pic_ctrl_inst/intpriority_reg[1][0] ), .Y(n22818) ); sky130_fd_sc_hd__o22ai_1 U21137 ( .A1(\pic_ctrl_inst/intpriority_reg[1][0] ), .A2(n10884), .B1(n22818), .B2(n10890), .Y(n22593) ); sky130_fd_sc_hd__nand2_1 U21138 ( .A(n22590), .B(n22593), .Y(n16743) ); sky130_fd_sc_hd__o21ai_1 U21139 ( .A1(n16735), .A2(n16737), .B1(n16743), .Y( n16728) ); sky130_fd_sc_hd__o2bb2ai_1 U21140 ( .B1(n16741), .B2(n16728), .A1_N(n16735), .A2_N(n16737), .Y(n16729) ); sky130_fd_sc_hd__maj3_1 U21141 ( .A(n16732), .B(n16729), .C(n16734), .X( n16730) ); sky130_fd_sc_hd__maj3_1 U21142 ( .A(n22595), .B(n16731), .C(n16730), .X( n22635) ); sky130_fd_sc_hd__nand2_1 U21143 ( .A(n22635), .B(n16732), .Y(n16733) ); sky130_fd_sc_hd__o21ai_1 U21144 ( .A1(n16734), .A2(n22635), .B1(n16733), .Y( n16753) ); sky130_fd_sc_hd__nand2_1 U21145 ( .A(n22635), .B(n16735), .Y(n16736) ); sky130_fd_sc_hd__o21ai_1 U21146 ( .A1(n16737), .A2(n22635), .B1(n16736), .Y( n16764) ); sky130_fd_sc_hd__nand2_1 U21147 ( .A(n16738), .B(n22636), .Y(n16739) ); sky130_fd_sc_hd__o21ai_1 U21148 ( .A1(n16740), .A2(n22636), .B1(n16739), .Y( n16762) ); sky130_fd_sc_hd__nand2b_1 U21149 ( .A_N(n16741), .B(n22635), .Y(n16742) ); sky130_fd_sc_hd__o21a_1 U21150 ( .A1(n16743), .A2(n22635), .B1(n16742), .X( n16761) ); sky130_fd_sc_hd__clkinv_1 U21151 ( .A(n22636), .Y(n22598) ); sky130_fd_sc_hd__nand2_1 U21152 ( .A(n16744), .B(n22636), .Y(n16745) ); sky130_fd_sc_hd__a21boi_0 U21153 ( .A1(n16746), .A2(n22598), .B1_N(n16745), .Y(n16759) ); sky130_fd_sc_hd__o2bb2ai_1 U21154 ( .B1(n16764), .B2(n16762), .A1_N(n16761), .A2_N(n16759), .Y(n16748) ); sky130_fd_sc_hd__nand2_1 U21155 ( .A(n16764), .B(n16762), .Y(n16747) ); sky130_fd_sc_hd__nand2_1 U21156 ( .A(n16748), .B(n16747), .Y(n16749) ); sky130_fd_sc_hd__maj3_1 U21157 ( .A(n16755), .B(n16753), .C(n16749), .X( n16750) ); sky130_fd_sc_hd__maj3_1 U21158 ( .A(n16752), .B(n16751), .C(n16750), .X( n22637) ); sky130_fd_sc_hd__nand2_1 U21159 ( .A(n22637), .B(n16753), .Y(n16754) ); sky130_fd_sc_hd__o21ai_1 U21160 ( .A1(n16755), .A2(n22637), .B1(n16754), .Y( n16776) ); sky130_fd_sc_hd__clkinv_1 U21161 ( .A(n22647), .Y(n22634) ); sky130_fd_sc_hd__nand2_1 U21162 ( .A(n22634), .B(n16756), .Y(n16757) ); sky130_fd_sc_hd__o21ai_1 U21163 ( .A1(n16758), .A2(n22634), .B1(n16757), .Y( n17054) ); sky130_fd_sc_hd__clkinv_1 U21164 ( .A(n22637), .Y(n22645) ); sky130_fd_sc_hd__nand2_1 U21165 ( .A(n16759), .B(n22645), .Y(n16760) ); sky130_fd_sc_hd__o21ai_1 U21166 ( .A1(n16761), .A2(n22645), .B1(n16760), .Y( n17056) ); sky130_fd_sc_hd__nand2b_1 U21167 ( .A_N(n16762), .B(n22645), .Y(n16763) ); sky130_fd_sc_hd__a21boi_0 U21168 ( .A1(n16764), .A2(n22637), .B1_N(n16763), .Y(n17064) ); sky130_fd_sc_hd__clkinv_1 U21169 ( .A(n17064), .Y(n16768) ); sky130_fd_sc_hd__nand2_1 U21170 ( .A(n22634), .B(n16765), .Y(n16766) ); sky130_fd_sc_hd__o21ai_1 U21171 ( .A1(n16767), .A2(n22634), .B1(n16766), .Y( n17063) ); sky130_fd_sc_hd__o22ai_1 U21172 ( .A1(n17054), .A2(n17056), .B1(n16768), .B2(n17063), .Y(n16770) ); sky130_fd_sc_hd__nand2_1 U21173 ( .A(n16768), .B(n17063), .Y(n16769) ); sky130_fd_sc_hd__nand2_1 U21174 ( .A(n16770), .B(n16769), .Y(n16772) ); sky130_fd_sc_hd__clkinv_1 U21175 ( .A(n16778), .Y(n16771) ); sky130_fd_sc_hd__maj3_1 U21176 ( .A(n16776), .B(n16772), .C(n16771), .X( n16773) ); sky130_fd_sc_hd__maj3_1 U21177 ( .A(n16775), .B(n16774), .C(n16773), .X( n22650) ); sky130_fd_sc_hd__nand2b_1 U21178 ( .A_N(n16776), .B(n22650), .Y(n16777) ); sky130_fd_sc_hd__o21ai_1 U21179 ( .A1(n16778), .A2(n22650), .B1(n16777), .Y( n17432) ); sky130_fd_sc_hd__clkinv_1 U21180 ( .A(\pic_ctrl_inst/intpriority_reg[19][2] ), .Y(n22930) ); sky130_fd_sc_hd__o22ai_1 U21181 ( .A1(n10891), .A2(n22930), .B1(n10885), .B2(\pic_ctrl_inst/intpriority_reg[19][2] ), .Y(n16793) ); sky130_fd_sc_hd__nor2_1 U21182 ( .A(n16779), .B(n17335), .Y(n16790) ); sky130_fd_sc_hd__clkinv_1 U21183 ( .A(\pic_ctrl_inst/intpriority_reg[19][1] ), .Y(n22929) ); sky130_fd_sc_hd__clkinv_1 U21184 ( .A(\pic_ctrl_inst/intpriority_reg[19][0] ), .Y(n22928) ); sky130_fd_sc_hd__o22ai_1 U21185 ( .A1(\pic_ctrl_inst/intpriority_reg[19][0] ), .A2(n22929), .B1(n22928), .B2(\pic_ctrl_inst/intpriority_reg[19][1] ), .Y( n16780) ); sky130_fd_sc_hd__clkinv_1 U21186 ( .A(\pic_ctrl_inst/intpriority_reg[18][0] ), .Y(n22921) ); sky130_fd_sc_hd__o22ai_1 U21187 ( .A1(\pic_ctrl_inst/intpriority_reg[18][0] ), .A2(n10878), .B1(n22921), .B2(n10890), .Y(n16781) ); sky130_fd_sc_hd__nand2_1 U21188 ( .A(n16784), .B(n16781), .Y(n16794) ); sky130_fd_sc_hd__o22ai_1 U21189 ( .A1(n10891), .A2(n22929), .B1(n10885), .B2(\pic_ctrl_inst/intpriority_reg[19][1] ), .Y(n16812) ); sky130_fd_sc_hd__a21oi_1 U21190 ( .A1(n16780), .A2(n16794), .B1(n16812), .Y( n16783) ); sky130_fd_sc_hd__clkinv_1 U21191 ( .A(\pic_ctrl_inst/intpriority_reg[18][1] ), .Y(n22922) ); sky130_fd_sc_hd__o221ai_1 U21192 ( .A1(n10892), .A2( \pic_ctrl_inst/intpriority_reg[18][1] ), .B1(n10878), .B2(n22922), .C1(n16784), .Y(n16815) ); sky130_fd_sc_hd__o21ba_2 U21193 ( .A1(n16781), .A2(n16780), .B1_N(n16815), .X(n16782) ); sky130_fd_sc_hd__nor2_1 U21194 ( .A(n16783), .B(n16782), .Y(n16785) ); sky130_fd_sc_hd__clkinv_1 U21195 ( .A(\pic_ctrl_inst/intpriority_reg[18][2] ), .Y(n22923) ); sky130_fd_sc_hd__o221ai_1 U21196 ( .A1(n10892), .A2( \pic_ctrl_inst/intpriority_reg[18][2] ), .B1(n10878), .B2(n22923), .C1(n16784), .Y(n16791) ); sky130_fd_sc_hd__maj3_1 U21197 ( .A(n16793), .B(n16785), .C(n16791), .X( n16786) ); sky130_fd_sc_hd__maj3_1 U21198 ( .A(n16788), .B(n16787), .C(n16786), .X( n16789) ); sky130_fd_sc_hd__nand2_1 U21199 ( .A(n16790), .B(n16789), .Y(n22604) ); sky130_fd_sc_hd__nand2_1 U21200 ( .A(n16791), .B(n22604), .Y(n16792) ); sky130_fd_sc_hd__o21ai_1 U21201 ( .A1(n16793), .A2(n22604), .B1(n16792), .Y( n16832) ); sky130_fd_sc_hd__o22ai_1 U21202 ( .A1(\pic_ctrl_inst/intpriority_reg[19][0] ), .A2(n10878), .B1(n22928), .B2(n10890), .Y(n16796) ); sky130_fd_sc_hd__nand2_1 U21203 ( .A(n22604), .B(n16794), .Y(n16795) ); sky130_fd_sc_hd__o21ai_1 U21204 ( .A1(n16796), .A2(n22604), .B1(n16795), .Y( n16894) ); sky130_fd_sc_hd__clkinv_1 U21205 ( .A(\pic_ctrl_inst/intpriority_reg[17][1] ), .Y(n22917) ); sky130_fd_sc_hd__o22ai_1 U21206 ( .A1(n10891), .A2( \pic_ctrl_inst/intpriority_reg[17][1] ), .B1(n10885), .B2(n22917), .Y( n16800) ); sky130_fd_sc_hd__clkinv_1 U21207 ( .A(n16800), .Y(n16811) ); sky130_fd_sc_hd__clkinv_1 U21208 ( .A(\pic_ctrl_inst/intpriority_reg[17][2] ), .Y(n22919) ); sky130_fd_sc_hd__o22ai_1 U21209 ( .A1(n10891), .A2(n22919), .B1(n10884), .B2(\pic_ctrl_inst/intpriority_reg[17][2] ), .Y(n16824) ); sky130_fd_sc_hd__clkinv_1 U21210 ( .A(\pic_ctrl_inst/intpriority_reg[16][1] ), .Y(n22911) ); sky130_fd_sc_hd__o221ai_1 U21211 ( .A1(n10878), .A2(n22911), .B1(n10893), .B2(\pic_ctrl_inst/intpriority_reg[16][1] ), .C1(n16801), .Y(n16809) ); sky130_fd_sc_hd__clkinv_1 U21212 ( .A(\pic_ctrl_inst/intpriority_reg[16][0] ), .Y(n22910) ); sky130_fd_sc_hd__o221ai_1 U21213 ( .A1( \pic_ctrl_inst/intpriority_reg[16][0] ), .A2(n10893), .B1(n22910), .B2(n10883), .C1(n16801), .Y(n16816) ); sky130_fd_sc_hd__clkinv_1 U21214 ( .A(\pic_ctrl_inst/intpriority_reg[17][0] ), .Y(n22916) ); sky130_fd_sc_hd__o22ai_1 U21215 ( .A1(\pic_ctrl_inst/intpriority_reg[17][0] ), .A2(n10878), .B1(n22916), .B2(n10889), .Y(n16818) ); sky130_fd_sc_hd__nand2_1 U21216 ( .A(n16800), .B(n16799), .Y(n16797) ); sky130_fd_sc_hd__nand3_1 U21217 ( .A(n16816), .B(n16818), .C(n16797), .Y( n16798) ); sky130_fd_sc_hd__o21ai_1 U21218 ( .A1(n16800), .A2(n16799), .B1(n16798), .Y( n16802) ); sky130_fd_sc_hd__clkinv_1 U21219 ( .A(\pic_ctrl_inst/intpriority_reg[16][2] ), .Y(n22912) ); sky130_fd_sc_hd__o221ai_1 U21220 ( .A1(n10892), .A2( \pic_ctrl_inst/intpriority_reg[16][2] ), .B1(n10878), .B2(n22912), .C1(n16801), .Y(n16822) ); sky130_fd_sc_hd__maj3_1 U21221 ( .A(n16824), .B(n16802), .C(n16822), .X( n16808) ); sky130_fd_sc_hd__nor2_1 U21222 ( .A(n16803), .B(n17339), .Y(n16804) ); sky130_fd_sc_hd__and3_1 U21223 ( .A(n16808), .B(n16807), .C(n16804), .X( n16805) ); sky130_fd_sc_hd__o22ai_1 U21224 ( .A1(n16808), .A2(n16807), .B1(n16806), .B2(n16805), .Y(n22605) ); sky130_fd_sc_hd__nand2_1 U21225 ( .A(n22605), .B(n16809), .Y(n16810) ); sky130_fd_sc_hd__o21ai_1 U21226 ( .A1(n16811), .A2(n22605), .B1(n16810), .Y( n16820) ); sky130_fd_sc_hd__nand2_1 U21227 ( .A(n16814), .B(n16812), .Y(n16813) ); sky130_fd_sc_hd__o21ai_1 U21228 ( .A1(n16815), .A2(n16814), .B1(n16813), .Y( n16819) ); sky130_fd_sc_hd__nand2_1 U21229 ( .A(n22605), .B(n16816), .Y(n16817) ); sky130_fd_sc_hd__o21ai_1 U21230 ( .A1(n16818), .A2(n22605), .B1(n16817), .Y( n16895) ); sky130_fd_sc_hd__o21ai_1 U21231 ( .A1(n16820), .A2(n16819), .B1(n16895), .Y( n16821) ); sky130_fd_sc_hd__o22ai_1 U21232 ( .A1(n16894), .A2(n16821), .B1(n16888), .B2(n16890), .Y(n16826) ); sky130_fd_sc_hd__clkinv_1 U21233 ( .A(n16832), .Y(n16825) ); sky130_fd_sc_hd__nand2_1 U21234 ( .A(n22605), .B(n16822), .Y(n16823) ); sky130_fd_sc_hd__o21ai_1 U21235 ( .A1(n16824), .A2(n22605), .B1(n16823), .Y( n16830) ); sky130_fd_sc_hd__a222oi_1 U21236 ( .A1(n16826), .A2(n16825), .B1(n16826), .B2(n16830), .C1(n16825), .C2(n16830), .Y(n16828) ); sky130_fd_sc_hd__maj3_1 U21237 ( .A(n16829), .B(n16828), .C(n16827), .X( n22626) ); sky130_fd_sc_hd__nand2b_1 U21238 ( .A_N(n16830), .B(n22626), .Y(n16831) ); sky130_fd_sc_hd__o21ai_1 U21239 ( .A1(n16832), .A2(n22626), .B1(n16831), .Y( n16909) ); sky130_fd_sc_hd__clkinv_1 U21240 ( .A(\pic_ctrl_inst/intpriority_reg[23][2] ), .Y(n22954) ); sky130_fd_sc_hd__o22ai_1 U21241 ( .A1(n10891), .A2(n22954), .B1(n10884), .B2(\pic_ctrl_inst/intpriority_reg[23][2] ), .Y(n16846) ); sky130_fd_sc_hd__clkinv_1 U21242 ( .A(\pic_ctrl_inst/intpriority_reg[23][1] ), .Y(n22953) ); sky130_fd_sc_hd__clkinv_1 U21243 ( .A(\pic_ctrl_inst/intpriority_reg[23][0] ), .Y(n22952) ); sky130_fd_sc_hd__o22ai_1 U21244 ( .A1(\pic_ctrl_inst/intpriority_reg[23][0] ), .A2(n22953), .B1(n22952), .B2(\pic_ctrl_inst/intpriority_reg[23][1] ), .Y( n16834) ); sky130_fd_sc_hd__clkinv_1 U21245 ( .A(\pic_ctrl_inst/intpriority_reg[22][0] ), .Y(n22945) ); sky130_fd_sc_hd__o22ai_1 U21246 ( .A1(\pic_ctrl_inst/intpriority_reg[22][0] ), .A2(n10878), .B1(n22945), .B2(n10890), .Y(n16833) ); sky130_fd_sc_hd__nand2_1 U21247 ( .A(n16837), .B(n16833), .Y(n16847) ); sky130_fd_sc_hd__o22ai_1 U21248 ( .A1(n10891), .A2(n22953), .B1(n10884), .B2(\pic_ctrl_inst/intpriority_reg[23][1] ), .Y(n16866) ); sky130_fd_sc_hd__a21oi_1 U21249 ( .A1(n16834), .A2(n16847), .B1(n16866), .Y( n16836) ); sky130_fd_sc_hd__clkinv_1 U21250 ( .A(\pic_ctrl_inst/intpriority_reg[22][1] ), .Y(n22946) ); sky130_fd_sc_hd__o221ai_1 U21251 ( .A1(n10892), .A2( \pic_ctrl_inst/intpriority_reg[22][1] ), .B1(n10878), .B2(n22946), .C1(n16837), .Y(n16869) ); sky130_fd_sc_hd__o21ba_2 U21252 ( .A1(n16834), .A2(n16833), .B1_N(n16869), .X(n16835) ); sky130_fd_sc_hd__nor2_1 U21253 ( .A(n16836), .B(n16835), .Y(n16838) ); sky130_fd_sc_hd__clkinv_1 U21254 ( .A(\pic_ctrl_inst/intpriority_reg[22][2] ), .Y(n22947) ); sky130_fd_sc_hd__o221ai_1 U21255 ( .A1(n10892), .A2( \pic_ctrl_inst/intpriority_reg[22][2] ), .B1(n10878), .B2(n22947), .C1(n16837), .Y(n16844) ); sky130_fd_sc_hd__maj3_1 U21256 ( .A(n16846), .B(n16838), .C(n16844), .X( n16839) ); sky130_fd_sc_hd__maj3_1 U21257 ( .A(n16841), .B(n16840), .C(n16839), .X( n16842) ); sky130_fd_sc_hd__nand2_1 U21258 ( .A(n16843), .B(n16842), .Y(n22607) ); sky130_fd_sc_hd__nand2_1 U21259 ( .A(n16844), .B(n22607), .Y(n16845) ); sky130_fd_sc_hd__o21ai_1 U21260 ( .A1(n16846), .A2(n22607), .B1(n16845), .Y( n16884) ); sky130_fd_sc_hd__o22ai_1 U21261 ( .A1(\pic_ctrl_inst/intpriority_reg[23][0] ), .A2(n10878), .B1(n22952), .B2(n10889), .Y(n16849) ); sky130_fd_sc_hd__nand2_1 U21262 ( .A(n16847), .B(n22607), .Y(n16848) ); sky130_fd_sc_hd__o21ai_1 U21263 ( .A1(n16849), .A2(n22607), .B1(n16848), .Y( n16887) ); sky130_fd_sc_hd__clkinv_1 U21264 ( .A(\pic_ctrl_inst/intpriority_reg[21][1] ), .Y(n22940) ); sky130_fd_sc_hd__o22ai_1 U21265 ( .A1(n10891), .A2( \pic_ctrl_inst/intpriority_reg[21][1] ), .B1(n10884), .B2(n22940), .Y( n16853) ); sky130_fd_sc_hd__clkinv_1 U21266 ( .A(n16853), .Y(n16865) ); sky130_fd_sc_hd__clkinv_1 U21267 ( .A(\pic_ctrl_inst/intpriority_reg[21][2] ), .Y(n22941) ); sky130_fd_sc_hd__o22ai_1 U21268 ( .A1(n10891), .A2(n22941), .B1(n10884), .B2(\pic_ctrl_inst/intpriority_reg[21][2] ), .Y(n16876) ); sky130_fd_sc_hd__o21ai_1 U21269 ( .A1(n10890), .A2( \pic_ctrl_inst/intpriority_reg[20][1] ), .B1(n16854), .Y(n16850) ); sky130_fd_sc_hd__a21oi_1 U21270 ( .A1(n10890), .A2( \pic_ctrl_inst/intpriority_reg[20][1] ), .B1(n16850), .Y(n16863) ); sky130_fd_sc_hd__clkinv_1 U21271 ( .A(\pic_ctrl_inst/intpriority_reg[20][0] ), .Y(n22934) ); sky130_fd_sc_hd__o221ai_1 U21272 ( .A1( \pic_ctrl_inst/intpriority_reg[20][0] ), .A2(n10893), .B1(n22934), .B2(n10883), .C1(n16854), .Y(n16870) ); sky130_fd_sc_hd__clkinv_1 U21273 ( .A(\pic_ctrl_inst/intpriority_reg[21][0] ), .Y(n22939) ); sky130_fd_sc_hd__o22ai_1 U21274 ( .A1(\pic_ctrl_inst/intpriority_reg[21][0] ), .A2(n10878), .B1(n22939), .B2(n10890), .Y(n16872) ); sky130_fd_sc_hd__nand2_1 U21275 ( .A(n16853), .B(n16863), .Y(n16851) ); sky130_fd_sc_hd__nand3_1 U21276 ( .A(n16870), .B(n16872), .C(n16851), .Y( n16852) ); sky130_fd_sc_hd__o21ai_1 U21277 ( .A1(n16853), .A2(n16863), .B1(n16852), .Y( n16855) ); sky130_fd_sc_hd__clkinv_1 U21278 ( .A(\pic_ctrl_inst/intpriority_reg[20][2] ), .Y(n22936) ); sky130_fd_sc_hd__o221ai_1 U21279 ( .A1(n10892), .A2( \pic_ctrl_inst/intpriority_reg[20][2] ), .B1(n10878), .B2(n22936), .C1(n16854), .Y(n16874) ); sky130_fd_sc_hd__maj3_1 U21280 ( .A(n16876), .B(n16855), .C(n16874), .X( n16856) ); sky130_fd_sc_hd__clkinv_1 U21281 ( .A(n16856), .Y(n16857) ); sky130_fd_sc_hd__maj3_1 U21282 ( .A(n16859), .B(n16858), .C(n16857), .X( n16862) ); sky130_fd_sc_hd__nor2_1 U21283 ( .A(n16860), .B(n17359), .Y(n16861) ); sky130_fd_sc_hd__nand2b_1 U21284 ( .A_N(n16862), .B(n16861), .Y(n22608) ); sky130_fd_sc_hd__nand2b_1 U21285 ( .A_N(n16863), .B(n22608), .Y(n16864) ); sky130_fd_sc_hd__o21ai_1 U21286 ( .A1(n16865), .A2(n22608), .B1(n16864), .Y( n16893) ); sky130_fd_sc_hd__nand2_1 U21287 ( .A(n16868), .B(n16866), .Y(n16867) ); sky130_fd_sc_hd__o21ai_1 U21288 ( .A1(n16869), .A2(n16868), .B1(n16867), .Y( n16891) ); sky130_fd_sc_hd__nand2_1 U21289 ( .A(n16870), .B(n22608), .Y(n16871) ); sky130_fd_sc_hd__o21ai_1 U21290 ( .A1(n16872), .A2(n22608), .B1(n16871), .Y( n16885) ); sky130_fd_sc_hd__o21ai_1 U21291 ( .A1(n16893), .A2(n16891), .B1(n16885), .Y( n16873) ); sky130_fd_sc_hd__o2bb2ai_1 U21292 ( .B1(n16887), .B2(n16873), .A1_N(n16893), .A2_N(n16891), .Y(n16878) ); sky130_fd_sc_hd__nand2_1 U21293 ( .A(n22608), .B(n16874), .Y(n16875) ); sky130_fd_sc_hd__o21ai_1 U21294 ( .A1(n16876), .A2(n22608), .B1(n16875), .Y( n16882) ); sky130_fd_sc_hd__maj3_1 U21295 ( .A(n16878), .B(n16877), .C(n16882), .X( n16879) ); sky130_fd_sc_hd__a222oi_1 U21296 ( .A1(n16881), .A2(n16880), .B1(n16881), .B2(n16879), .C1(n16880), .C2(n16879), .Y(n22624) ); sky130_fd_sc_hd__nand2b_1 U21297 ( .A_N(n16882), .B(n22624), .Y(n16883) ); sky130_fd_sc_hd__o21ai_1 U21298 ( .A1(n16884), .A2(n22624), .B1(n16883), .Y( n16907) ); sky130_fd_sc_hd__nand2_1 U21299 ( .A(n16885), .B(n22624), .Y(n16886) ); sky130_fd_sc_hd__a21boi_0 U21300 ( .A1(n16887), .A2(n22609), .B1_N(n16886), .Y(n17034) ); sky130_fd_sc_hd__clkinv_1 U21301 ( .A(n17034), .Y(n16901) ); sky130_fd_sc_hd__clkinv_1 U21302 ( .A(n22626), .Y(n22606) ); sky130_fd_sc_hd__nand2_1 U21303 ( .A(n22606), .B(n16888), .Y(n16889) ); sky130_fd_sc_hd__o21ai_1 U21304 ( .A1(n16890), .A2(n22606), .B1(n16889), .Y( n16898) ); sky130_fd_sc_hd__nand2_1 U21305 ( .A(n22609), .B(n16891), .Y(n16892) ); sky130_fd_sc_hd__o21ai_1 U21306 ( .A1(n16893), .A2(n22609), .B1(n16892), .Y( n16899) ); sky130_fd_sc_hd__clkinv_1 U21307 ( .A(n16894), .Y(n16897) ); sky130_fd_sc_hd__nand2_1 U21308 ( .A(n16895), .B(n22626), .Y(n16896) ); sky130_fd_sc_hd__o21ai_1 U21309 ( .A1(n16897), .A2(n22626), .B1(n16896), .Y( n17036) ); sky130_fd_sc_hd__o21ai_1 U21310 ( .A1(n16898), .A2(n16899), .B1(n17036), .Y( n16900) ); sky130_fd_sc_hd__clkinv_1 U21311 ( .A(n16899), .Y(n17040) ); sky130_fd_sc_hd__o22ai_1 U21312 ( .A1(n16901), .A2(n16900), .B1(n17042), .B2(n17040), .Y(n16902) ); sky130_fd_sc_hd__maj3_1 U21313 ( .A(n16903), .B(n16907), .C(n16902), .X( n16905) ); sky130_fd_sc_hd__maj3_1 U21314 ( .A(n16906), .B(n16905), .C(n16904), .X( n22643) ); sky130_fd_sc_hd__nand2b_1 U21315 ( .A_N(n16907), .B(n22643), .Y(n16908) ); sky130_fd_sc_hd__o21ai_1 U21316 ( .A1(n16909), .A2(n22643), .B1(n16908), .Y( n17053) ); sky130_fd_sc_hd__clkinv_1 U21317 ( .A(\pic_ctrl_inst/intpriority_reg[26][2] ), .Y(n22972) ); sky130_fd_sc_hd__o221ai_1 U21318 ( .A1(n10892), .A2( \pic_ctrl_inst/intpriority_reg[26][2] ), .B1(n10878), .B2(n22972), .C1(n16910), .Y(n16925) ); sky130_fd_sc_hd__clkinv_1 U21319 ( .A(\pic_ctrl_inst/intpriority_reg[27][2] ), .Y(n22979) ); sky130_fd_sc_hd__o22ai_1 U21320 ( .A1(n10891), .A2(n22979), .B1(n10884), .B2(\pic_ctrl_inst/intpriority_reg[27][2] ), .Y(n16923) ); sky130_fd_sc_hd__clkinv_1 U21321 ( .A(\pic_ctrl_inst/intpriority_reg[27][1] ), .Y(n22978) ); sky130_fd_sc_hd__clkinv_1 U21322 ( .A(\pic_ctrl_inst/intpriority_reg[27][0] ), .Y(n22977) ); sky130_fd_sc_hd__o22ai_1 U21323 ( .A1(\pic_ctrl_inst/intpriority_reg[27][0] ), .A2(n22978), .B1(n22977), .B2(\pic_ctrl_inst/intpriority_reg[27][1] ), .Y( n16912) ); sky130_fd_sc_hd__clkinv_1 U21324 ( .A(\pic_ctrl_inst/intpriority_reg[26][0] ), .Y(n22970) ); sky130_fd_sc_hd__o22ai_1 U21325 ( .A1(\pic_ctrl_inst/intpriority_reg[26][0] ), .A2(n10878), .B1(n22970), .B2(n10889), .Y(n16911) ); sky130_fd_sc_hd__nand2_1 U21326 ( .A(n16910), .B(n16911), .Y(n16939) ); sky130_fd_sc_hd__o22ai_1 U21327 ( .A1(n10891), .A2(n22978), .B1(n10884), .B2(\pic_ctrl_inst/intpriority_reg[27][1] ), .Y(n16942) ); sky130_fd_sc_hd__a21oi_1 U21328 ( .A1(n16912), .A2(n16939), .B1(n16942), .Y( n16914) ); sky130_fd_sc_hd__clkinv_1 U21329 ( .A(\pic_ctrl_inst/intpriority_reg[26][1] ), .Y(n22971) ); sky130_fd_sc_hd__o221ai_1 U21330 ( .A1(n10892), .A2( \pic_ctrl_inst/intpriority_reg[26][1] ), .B1(n10883), .B2(n22971), .C1(n16910), .Y(n16945) ); sky130_fd_sc_hd__o21ba_2 U21331 ( .A1(n16912), .A2(n16911), .B1_N(n16945), .X(n16913) ); sky130_fd_sc_hd__o2bb2ai_1 U21332 ( .B1(n16914), .B2(n16913), .A1_N(n16925), .A2_N(n16923), .Y(n16915) ); sky130_fd_sc_hd__o21ai_1 U21333 ( .A1(n16925), .A2(n16923), .B1(n16915), .Y( n16922) ); sky130_fd_sc_hd__nand2_1 U21334 ( .A(n16917), .B(n16916), .Y(n16921) ); sky130_fd_sc_hd__clkinv_1 U21335 ( .A(n16918), .Y(n16920) ); sky130_fd_sc_hd__a222oi_1 U21336 ( .A1(n16922), .A2(n16921), .B1(n16922), .B2(n16920), .C1(n16921), .C2(n16919), .Y(n16944) ); sky130_fd_sc_hd__nand2_1 U21337 ( .A(n16944), .B(n16923), .Y(n16924) ); sky130_fd_sc_hd__o21ai_1 U21338 ( .A1(n16925), .A2(n16944), .B1(n16924), .Y( n16960) ); sky130_fd_sc_hd__clkinv_1 U21339 ( .A(n16926), .Y(n16957) ); sky130_fd_sc_hd__o22ai_1 U21340 ( .A1(n10891), .A2(n22966), .B1(n10884), .B2(\pic_ctrl_inst/intpriority_reg[25][2] ), .Y(n16938) ); sky130_fd_sc_hd__clkinv_1 U21341 ( .A(\pic_ctrl_inst/intpriority_reg[24][2] ), .Y(n22959) ); sky130_fd_sc_hd__o221ai_1 U21342 ( .A1(n10892), .A2( \pic_ctrl_inst/intpriority_reg[24][2] ), .B1(n10883), .B2(n22959), .C1(n16928), .Y(n16936) ); sky130_fd_sc_hd__o21ai_1 U21343 ( .A1(\pic_ctrl_inst/intpriority_reg[24][0] ), .A2(n10889), .B1(n16928), .Y(n16927) ); sky130_fd_sc_hd__a21oi_1 U21344 ( .A1(\pic_ctrl_inst/intpriority_reg[24][0] ), .A2(n10893), .B1(n16927), .Y(n16950) ); sky130_fd_sc_hd__clkinv_1 U21345 ( .A(\pic_ctrl_inst/intpriority_reg[25][0] ), .Y(n22964) ); sky130_fd_sc_hd__o22ai_1 U21346 ( .A1(\pic_ctrl_inst/intpriority_reg[25][0] ), .A2(n10890), .B1(n22964), .B2(n10884), .Y(n16949) ); sky130_fd_sc_hd__nor2_1 U21347 ( .A(n16950), .B(n16949), .Y(n16929) ); sky130_fd_sc_hd__clkinv_1 U21348 ( .A(\pic_ctrl_inst/intpriority_reg[25][1] ), .Y(n22965) ); sky130_fd_sc_hd__o22ai_1 U21349 ( .A1(n10891), .A2(n22965), .B1(n10884), .B2(\pic_ctrl_inst/intpriority_reg[25][1] ), .Y(n16948) ); sky130_fd_sc_hd__clkinv_1 U21350 ( .A(\pic_ctrl_inst/intpriority_reg[24][1] ), .Y(n22958) ); sky130_fd_sc_hd__o221ai_1 U21351 ( .A1(n10892), .A2( \pic_ctrl_inst/intpriority_reg[24][1] ), .B1(n10883), .B2(n22958), .C1(n16928), .Y(n16946) ); sky130_fd_sc_hd__fa_1 U21352 ( .A(n16929), .B(n16948), .CIN(n16946), .COUT( n16930) ); sky130_fd_sc_hd__fa_1 U21353 ( .A(n16936), .B(n16938), .CIN(n16930), .COUT( n16931) ); sky130_fd_sc_hd__maj3_1 U21354 ( .A(n16933), .B(n16932), .C(n16931), .X( n16934) ); sky130_fd_sc_hd__nand2_1 U21355 ( .A(n16935), .B(n16934), .Y(n22616) ); sky130_fd_sc_hd__nand2_1 U21356 ( .A(n22616), .B(n16936), .Y(n16937) ); sky130_fd_sc_hd__o21ai_1 U21357 ( .A1(n16938), .A2(n22616), .B1(n16937), .Y( n16958) ); sky130_fd_sc_hd__o22ai_1 U21358 ( .A1(\pic_ctrl_inst/intpriority_reg[27][0] ), .A2(n10884), .B1(n22977), .B2(n10890), .Y(n16941) ); sky130_fd_sc_hd__clkinv_1 U21359 ( .A(n16944), .Y(n22615) ); sky130_fd_sc_hd__nand2_1 U21360 ( .A(n16939), .B(n22615), .Y(n16940) ); sky130_fd_sc_hd__o21ai_1 U21361 ( .A1(n16941), .A2(n22615), .B1(n16940), .Y( n17013) ); sky130_fd_sc_hd__nand2_1 U21362 ( .A(n16944), .B(n16942), .Y(n16943) ); sky130_fd_sc_hd__o21ai_1 U21363 ( .A1(n16945), .A2(n16944), .B1(n16943), .Y( n17021) ); sky130_fd_sc_hd__nand2_1 U21364 ( .A(n22616), .B(n16946), .Y(n16947) ); sky130_fd_sc_hd__o21ai_1 U21365 ( .A1(n16948), .A2(n22616), .B1(n16947), .Y( n17019) ); sky130_fd_sc_hd__clkinv_1 U21366 ( .A(n16949), .Y(n16952) ); sky130_fd_sc_hd__nand2b_1 U21367 ( .A_N(n16950), .B(n22616), .Y(n16951) ); sky130_fd_sc_hd__o21ai_1 U21368 ( .A1(n16952), .A2(n22616), .B1(n16951), .Y( n17015) ); sky130_fd_sc_hd__o21ai_1 U21369 ( .A1(n17021), .A2(n17019), .B1(n17015), .Y( n16953) ); sky130_fd_sc_hd__o2bb2ai_1 U21370 ( .B1(n17013), .B2(n16953), .A1_N(n17021), .A2_N(n17019), .Y(n16954) ); sky130_fd_sc_hd__a222oi_1 U21371 ( .A1(n16958), .A2(n16960), .B1(n16958), .B2(n16954), .C1(n16960), .C2(n16954), .Y(n16955) ); sky130_fd_sc_hd__maj3_1 U21372 ( .A(n16957), .B(n16956), .C(n16955), .X( n22627) ); sky130_fd_sc_hd__nand2_1 U21373 ( .A(n22627), .B(n16958), .Y(n16959) ); sky130_fd_sc_hd__o21ai_1 U21374 ( .A1(n16960), .A2(n22627), .B1(n16959), .Y( n17033) ); sky130_fd_sc_hd__clkinv_1 U21375 ( .A(\pic_ctrl_inst/intpriority_reg[29][2] ), .Y(n22991) ); sky130_fd_sc_hd__o22ai_1 U21376 ( .A1(n10891), .A2(n22991), .B1(n10884), .B2(\pic_ctrl_inst/intpriority_reg[29][2] ), .Y(n16975) ); sky130_fd_sc_hd__clkinv_1 U21377 ( .A(\pic_ctrl_inst/intpriority_reg[29][1] ), .Y(n22990) ); sky130_fd_sc_hd__o22ai_1 U21378 ( .A1(n10891), .A2( \pic_ctrl_inst/intpriority_reg[29][1] ), .B1(n10884), .B2(n22990), .Y( n16996) ); sky130_fd_sc_hd__o21ai_1 U21379 ( .A1(n10891), .A2( \pic_ctrl_inst/intpriority_reg[28][1] ), .B1(n16965), .Y(n16962) ); sky130_fd_sc_hd__a21oi_1 U21380 ( .A1(n10890), .A2( \pic_ctrl_inst/intpriority_reg[28][1] ), .B1(n16962), .Y(n16994) ); sky130_fd_sc_hd__clkinv_1 U21381 ( .A(\pic_ctrl_inst/intpriority_reg[28][0] ), .Y(n22983) ); sky130_fd_sc_hd__o221ai_1 U21382 ( .A1( \pic_ctrl_inst/intpriority_reg[28][0] ), .A2(n10893), .B1(n22983), .B2(n10883), .C1(n16965), .Y(n17001) ); sky130_fd_sc_hd__clkinv_1 U21383 ( .A(\pic_ctrl_inst/intpriority_reg[29][0] ), .Y(n22989) ); sky130_fd_sc_hd__o22ai_1 U21384 ( .A1(\pic_ctrl_inst/intpriority_reg[29][0] ), .A2(n10884), .B1(n22989), .B2(n10889), .Y(n17003) ); sky130_fd_sc_hd__nand2_1 U21385 ( .A(n16996), .B(n16994), .Y(n16963) ); sky130_fd_sc_hd__nand3_1 U21386 ( .A(n17001), .B(n17003), .C(n16963), .Y( n16964) ); sky130_fd_sc_hd__o21ai_1 U21387 ( .A1(n16996), .A2(n16994), .B1(n16964), .Y( n16966) ); sky130_fd_sc_hd__clkinv_1 U21388 ( .A(\pic_ctrl_inst/intpriority_reg[28][2] ), .Y(n22984) ); sky130_fd_sc_hd__o221ai_1 U21389 ( .A1(n10892), .A2( \pic_ctrl_inst/intpriority_reg[28][2] ), .B1(n10883), .B2(n22984), .C1(n16965), .Y(n16973) ); sky130_fd_sc_hd__maj3_1 U21390 ( .A(n16975), .B(n16966), .C(n16973), .X( n16971) ); sky130_fd_sc_hd__clkinv_1 U21391 ( .A(n16967), .Y(n16970) ); sky130_fd_sc_hd__and3_1 U21392 ( .A(n16972), .B(n16971), .C(n16968), .X( n16969) ); sky130_fd_sc_hd__o22ai_1 U21393 ( .A1(n16972), .A2(n16971), .B1(n16970), .B2(n16969), .Y(n22613) ); sky130_fd_sc_hd__nand2_1 U21394 ( .A(n22613), .B(n16973), .Y(n16974) ); sky130_fd_sc_hd__o21ai_1 U21395 ( .A1(n16975), .A2(n22613), .B1(n16974), .Y( n17012) ); sky130_fd_sc_hd__clkinv_1 U21396 ( .A(n16976), .Y(n17009) ); sky130_fd_sc_hd__o22ai_1 U21397 ( .A1(n10891), .A2(n23007), .B1(n10885), .B2(\pic_ctrl_inst/intpriority_reg[31][2] ), .Y(n16990) ); sky130_fd_sc_hd__clkinv_1 U21398 ( .A(\pic_ctrl_inst/intpriority_reg[31][1] ), .Y(n23005) ); sky130_fd_sc_hd__clkinv_1 U21399 ( .A(\pic_ctrl_inst/intpriority_reg[31][0] ), .Y(n23003) ); sky130_fd_sc_hd__o22ai_1 U21400 ( .A1(\pic_ctrl_inst/intpriority_reg[31][0] ), .A2(n23005), .B1(n23003), .B2(\pic_ctrl_inst/intpriority_reg[31][1] ), .Y( n16978) ); sky130_fd_sc_hd__clkinv_1 U21401 ( .A(\pic_ctrl_inst/intpriority_reg[30][0] ), .Y(n22996) ); sky130_fd_sc_hd__o22ai_1 U21402 ( .A1(\pic_ctrl_inst/intpriority_reg[30][0] ), .A2(n10884), .B1(n22996), .B2(n10890), .Y(n16977) ); sky130_fd_sc_hd__nand2_1 U21403 ( .A(n16981), .B(n16977), .Y(n17000) ); sky130_fd_sc_hd__o22ai_1 U21404 ( .A1(n10891), .A2(n23005), .B1(n10885), .B2(\pic_ctrl_inst/intpriority_reg[31][1] ), .Y(n16993) ); sky130_fd_sc_hd__a21oi_1 U21405 ( .A1(n16978), .A2(n17000), .B1(n16993), .Y( n16980) ); sky130_fd_sc_hd__o221ai_1 U21406 ( .A1(n10892), .A2( \pic_ctrl_inst/intpriority_reg[30][1] ), .B1(n10883), .B2(n22997), .C1(n16981), .Y(n16991) ); sky130_fd_sc_hd__o21ba_2 U21407 ( .A1(n16978), .A2(n16977), .B1_N(n16991), .X(n16979) ); sky130_fd_sc_hd__nor2_1 U21408 ( .A(n16980), .B(n16979), .Y(n16982) ); sky130_fd_sc_hd__clkinv_1 U21409 ( .A(\pic_ctrl_inst/intpriority_reg[30][2] ), .Y(n22998) ); sky130_fd_sc_hd__o221ai_1 U21410 ( .A1(n10892), .A2( \pic_ctrl_inst/intpriority_reg[30][2] ), .B1(n10883), .B2(n22998), .C1(n16981), .Y(n16988) ); sky130_fd_sc_hd__maj3_1 U21411 ( .A(n16990), .B(n16982), .C(n16988), .X( n16983) ); sky130_fd_sc_hd__maj3_1 U21412 ( .A(n16985), .B(n16984), .C(n16983), .X( n16986) ); sky130_fd_sc_hd__nand2_1 U21413 ( .A(n16987), .B(n16986), .Y(n22612) ); sky130_fd_sc_hd__nand2_1 U21414 ( .A(n16988), .B(n22612), .Y(n16989) ); sky130_fd_sc_hd__o21ai_1 U21415 ( .A1(n16990), .A2(n22612), .B1(n16989), .Y( n17010) ); sky130_fd_sc_hd__nand2_1 U21416 ( .A(n22612), .B(n16991), .Y(n16992) ); sky130_fd_sc_hd__o21ai_1 U21417 ( .A1(n16993), .A2(n22612), .B1(n16992), .Y( n17022) ); sky130_fd_sc_hd__nand2_1 U21418 ( .A(n22613), .B(n16994), .Y(n16995) ); sky130_fd_sc_hd__o21ai_1 U21419 ( .A1(n16996), .A2(n22613), .B1(n16995), .Y( n17024) ); sky130_fd_sc_hd__o22ai_1 U21420 ( .A1(\pic_ctrl_inst/intpriority_reg[31][0] ), .A2(n10884), .B1(n23003), .B2(n10890), .Y(n16997) ); sky130_fd_sc_hd__nand2_1 U21421 ( .A(n16999), .B(n16997), .Y(n16998) ); sky130_fd_sc_hd__o21ai_1 U21422 ( .A1(n17000), .A2(n16999), .B1(n16998), .Y( n17018) ); sky130_fd_sc_hd__nand2_1 U21423 ( .A(n17001), .B(n22613), .Y(n17002) ); sky130_fd_sc_hd__o21ai_1 U21424 ( .A1(n17003), .A2(n22613), .B1(n17002), .Y( n17016) ); sky130_fd_sc_hd__nand2_1 U21425 ( .A(n17018), .B(n17016), .Y(n17004) ); sky130_fd_sc_hd__maj3_1 U21426 ( .A(n17022), .B(n17024), .C(n17004), .X( n17005) ); sky130_fd_sc_hd__maj3_1 U21427 ( .A(n17006), .B(n17010), .C(n17005), .X( n17007) ); sky130_fd_sc_hd__a222oi_1 U21428 ( .A1(n17009), .A2(n17008), .B1(n17009), .B2(n17007), .C1(n17008), .C2(n17007), .Y(n22614) ); sky130_fd_sc_hd__nand2b_1 U21429 ( .A_N(n17010), .B(n22614), .Y(n17011) ); sky130_fd_sc_hd__o21ai_1 U21430 ( .A1(n17012), .A2(n22614), .B1(n17011), .Y( n17031) ); sky130_fd_sc_hd__clkinv_1 U21431 ( .A(n22627), .Y(n22617) ); sky130_fd_sc_hd__nand2b_1 U21432 ( .A_N(n17013), .B(n22617), .Y(n17014) ); sky130_fd_sc_hd__o21ai_1 U21433 ( .A1(n17015), .A2(n22617), .B1(n17014), .Y( n17039) ); sky130_fd_sc_hd__clkinv_1 U21434 ( .A(n22614), .Y(n22628) ); sky130_fd_sc_hd__nand2_1 U21435 ( .A(n17016), .B(n22628), .Y(n17017) ); sky130_fd_sc_hd__o21ai_1 U21436 ( .A1(n17018), .A2(n22628), .B1(n17017), .Y( n17037) ); sky130_fd_sc_hd__nor2_1 U21437 ( .A(n17039), .B(n17037), .Y(n17026) ); sky130_fd_sc_hd__nand2_1 U21438 ( .A(n22627), .B(n17019), .Y(n17020) ); sky130_fd_sc_hd__o21ai_1 U21439 ( .A1(n17021), .A2(n22627), .B1(n17020), .Y( n17043) ); sky130_fd_sc_hd__nand2b_1 U21440 ( .A_N(n17022), .B(n22614), .Y(n17023) ); sky130_fd_sc_hd__a21boi_0 U21441 ( .A1(n17024), .A2(n22628), .B1_N(n17023), .Y(n17045) ); sky130_fd_sc_hd__fa_1 U21442 ( .A(n17026), .B(n17043), .CIN(n17025), .COUT( n17027) ); sky130_fd_sc_hd__fa_1 U21443 ( .A(n17033), .B(n17031), .CIN(n17027), .COUT( n17028) ); sky130_fd_sc_hd__maj3_1 U21444 ( .A(n17030), .B(n17029), .C(n17028), .X( n22642) ); sky130_fd_sc_hd__nand2_1 U21445 ( .A(n17031), .B(n22642), .Y(n17032) ); sky130_fd_sc_hd__o21ai_1 U21446 ( .A1(n17033), .A2(n22642), .B1(n17032), .Y( n17051) ); sky130_fd_sc_hd__nand2_1 U21447 ( .A(n22643), .B(n17034), .Y(n17035) ); sky130_fd_sc_hd__o21ai_1 U21448 ( .A1(n17036), .A2(n22643), .B1(n17035), .Y( n17059) ); sky130_fd_sc_hd__nand2_1 U21449 ( .A(n17037), .B(n22642), .Y(n17038) ); sky130_fd_sc_hd__o21ai_1 U21450 ( .A1(n17039), .A2(n22642), .B1(n17038), .Y( n17057) ); sky130_fd_sc_hd__nor2_1 U21451 ( .A(n17059), .B(n17057), .Y(n17046) ); sky130_fd_sc_hd__nand2_1 U21452 ( .A(n22643), .B(n17040), .Y(n17041) ); sky130_fd_sc_hd__o21ai_1 U21453 ( .A1(n17042), .A2(n22643), .B1(n17041), .Y( n17062) ); sky130_fd_sc_hd__clkinv_1 U21454 ( .A(n22642), .Y(n22629) ); sky130_fd_sc_hd__nand2b_1 U21455 ( .A_N(n17043), .B(n22629), .Y(n17044) ); sky130_fd_sc_hd__o21ai_1 U21456 ( .A1(n17045), .A2(n22629), .B1(n17044), .Y( n17060) ); sky130_fd_sc_hd__fa_1 U21457 ( .A(n17046), .B(n17062), .CIN(n17060), .COUT( n17047) ); sky130_fd_sc_hd__fa_1 U21458 ( .A(n17051), .B(n17053), .CIN(n17047), .COUT( n17048) ); sky130_fd_sc_hd__maj3_1 U21459 ( .A(n17050), .B(n17049), .C(n17048), .X( n22644) ); sky130_fd_sc_hd__nand2_1 U21460 ( .A(n22644), .B(n17051), .Y(n17052) ); sky130_fd_sc_hd__o21ai_1 U21461 ( .A1(n17053), .A2(n22644), .B1(n17052), .Y( n17431) ); sky130_fd_sc_hd__nand2b_1 U21462 ( .A_N(n17054), .B(n22646), .Y(n17055) ); sky130_fd_sc_hd__a21boi_0 U21463 ( .A1(n17056), .A2(n22650), .B1_N(n17055), .Y(n17433) ); sky130_fd_sc_hd__nand2_1 U21464 ( .A(n22644), .B(n17057), .Y(n17058) ); sky130_fd_sc_hd__o21ai_1 U21465 ( .A1(n17059), .A2(n22644), .B1(n17058), .Y( n17434) ); sky130_fd_sc_hd__nor2b_1 U21466 ( .B_N(n17433), .A(n17434), .Y(n17067) ); sky130_fd_sc_hd__nand2_1 U21467 ( .A(n22644), .B(n17060), .Y(n17061) ); sky130_fd_sc_hd__o21ai_1 U21468 ( .A1(n17062), .A2(n22644), .B1(n17061), .Y( n17429) ); sky130_fd_sc_hd__nand2_1 U21469 ( .A(n22650), .B(n17064), .Y(n17065) ); sky130_fd_sc_hd__o21ai_1 U21470 ( .A1(n17066), .A2(n22650), .B1(n17065), .Y( n17430) ); sky130_fd_sc_hd__fa_1 U21471 ( .A(n17067), .B(n17429), .CIN(n17430), .COUT( n17068) ); sky130_fd_sc_hd__fa_1 U21472 ( .A(n17432), .B(n17431), .CIN(n17068), .COUT( n17069) ); sky130_fd_sc_hd__maj3_1 U21473 ( .A(n17070), .B(n24568), .C(n17069), .X( \intadd_4/n1 ) ); sky130_fd_sc_hd__o2bb2ai_1 U21474 ( .B1(n24562), .B2(n19346), .A1_N(n24562), .A2_N(exu_csr_rs1_x[1]), .Y(\exu/csr_rs1_in_d [1]) ); sky130_fd_sc_hd__o2bb2ai_1 U21475 ( .B1(n24562), .B2(n19398), .A1_N(n24562), .A2_N(exu_csr_rs1_x[2]), .Y(\exu/csr_rs1_in_d [2]) ); sky130_fd_sc_hd__o2bb2ai_1 U21476 ( .B1(n24562), .B2(n19434), .A1_N(n24562), .A2_N(exu_csr_rs1_x[3]), .Y(\exu/csr_rs1_in_d [3]) ); sky130_fd_sc_hd__o2bb2ai_1 U21477 ( .B1(n24562), .B2(n19456), .A1_N(n24562), .A2_N(exu_csr_rs1_x[4]), .Y(\exu/csr_rs1_in_d [4]) ); sky130_fd_sc_hd__nand2b_1 U21478 ( .A_N(n20396), .B(n20402), .Y(n20398) ); sky130_fd_sc_hd__a2bb2oi_1 U21479 ( .B1(n17864), .B2(n20398), .A1_N(n17864), .A2_N(n20398), .Y(n21835) ); sky130_fd_sc_hd__a211oi_1 U21480 ( .A1(n21554), .A2(n21785), .B1( dec_tlu_i0_kill_writeb_r), .C1(n21555), .Y(\lsu/lsu_commit_r ) ); sky130_fd_sc_hd__nor2_1 U21481 ( .A(n24013), .B(n17072), .Y(n19340) ); sky130_fd_sc_hd__nor2_1 U21482 ( .A(n24024), .B(n18369), .Y(\intadd_2/B[10] ) ); sky130_fd_sc_hd__nand2_1 U21483 ( .A(n17260), .B(n17073), .Y(n17235) ); sky130_fd_sc_hd__o22ai_1 U21484 ( .A1(\lsu/lsu_lsc_ctl/addr_external_r ), .A2(\lsu/lsu_ld_data_corr_r[0] ), .B1(n17237), .B2( \lsu/lsu_lsc_ctl/bus_read_data_r [0]), .Y(n17076) ); sky130_fd_sc_hd__o2bb2ai_1 U21485 ( .B1(n17235), .B2(n17076), .A1_N(n17315), .A2_N(\dec/decode/i0_result_r [0]), .Y(n17077) ); sky130_fd_sc_hd__nand3_1 U21486 ( .A(n17079), .B(\lsu/lsu_lsc_ctl/n88 ), .C( n21841), .Y(n17848) ); sky130_fd_sc_hd__nand2b_1 U21487 ( .A_N(dccm_rd_addr_hi[15]), .B(n17080), .Y(n17846) ); sky130_fd_sc_hd__nor2_1 U21488 ( .A(n17848), .B(n17846), .Y( \lsu/addr_in_pic_d ) ); sky130_fd_sc_hd__fa_1 U21489 ( .A(n17083), .B(n17082), .CIN(n17081), .COUT( n17854), .SUM(\lsu/lsu_lsc_ctl/n103 ) ); sky130_fd_sc_hd__a21o_1 U21490 ( .A1(\lsu/addr_in_pic_m ), .A2(n21111), .B1( n22278), .X(n17084) ); sky130_fd_sc_hd__nor3_1 U21491 ( .A(n17085), .B(n20385), .C(n17084), .Y( n10813) ); sky130_fd_sc_hd__clkinv_1 U21492 ( .A(\exu/i_div/q_ff [22]), .Y(n20210) ); sky130_fd_sc_hd__nand2_1 U21493 ( .A(n20070), .B(n20284), .Y(n20053) ); sky130_fd_sc_hd__o21ai_1 U21494 ( .A1(\exu/i_div/q_ff [21]), .A2(n20053), .B1(n17194), .Y(n17086) ); sky130_fd_sc_hd__xnor2_1 U21495 ( .A(n20210), .B(n17086), .Y(n17090) ); sky130_fd_sc_hd__a211o_1 U21496 ( .A1(\exu/i_div/a_ff [22]), .A2(n17088), .B1(n17087), .C1(n22666), .X(n17089) ); sky130_fd_sc_hd__o21ai_1 U21497 ( .A1(n17207), .A2(n17090), .B1(n17089), .Y( exu_div_result[22]) ); sky130_fd_sc_hd__nor2_1 U21498 ( .A(n17200), .B(n19999), .Y(n17091) ); sky130_fd_sc_hd__xor2_1 U21499 ( .A(n20283), .B(n17091), .X(n17095) ); sky130_fd_sc_hd__a211o_1 U21500 ( .A1(\exu/i_div/a_ff [18]), .A2(n17093), .B1(n17092), .C1(n22666), .X(n17094) ); sky130_fd_sc_hd__o21ai_1 U21501 ( .A1(n17207), .A2(n17095), .B1(n17094), .Y( exu_div_result[18]) ); sky130_fd_sc_hd__nand2_1 U21502 ( .A(n17194), .B(n19980), .Y(n17096) ); sky130_fd_sc_hd__xor2_1 U21503 ( .A(\exu/i_div/q_ff [17]), .B(n17096), .X( n17100) ); sky130_fd_sc_hd__a211o_1 U21504 ( .A1(\exu/i_div/a_ff [17]), .A2(n17098), .B1(n17097), .C1(n22666), .X(n17099) ); sky130_fd_sc_hd__o21ai_1 U21505 ( .A1(n17207), .A2(n17100), .B1(n17099), .Y( exu_div_result[17]) ); sky130_fd_sc_hd__clkinv_1 U21506 ( .A(\exu/i_div/q_ff [8]), .Y(n20153) ); sky130_fd_sc_hd__nand2_1 U21507 ( .A(n19968), .B(n17194), .Y(n17101) ); sky130_fd_sc_hd__xnor2_1 U21508 ( .A(n20153), .B(n17101), .Y(n17105) ); sky130_fd_sc_hd__a211o_1 U21509 ( .A1(\exu/i_div/a_ff [8]), .A2(n17103), .B1(n17102), .C1(n22666), .X(n17104) ); sky130_fd_sc_hd__o21ai_1 U21510 ( .A1(n17207), .A2(n17105), .B1(n17104), .Y( exu_div_result[8]) ); sky130_fd_sc_hd__nand2_1 U21511 ( .A(n19992), .B(n17194), .Y(n17106) ); sky130_fd_sc_hd__xnor2_1 U21512 ( .A(n20290), .B(n17106), .Y(n17110) ); sky130_fd_sc_hd__a211o_1 U21513 ( .A1(\exu/i_div/a_ff [10]), .A2(n17108), .B1(n17107), .C1(n22666), .X(n17109) ); sky130_fd_sc_hd__o21ai_1 U21514 ( .A1(n17207), .A2(n17110), .B1(n17109), .Y( exu_div_result[10]) ); sky130_fd_sc_hd__nor2_1 U21515 ( .A(n19973), .B(n17200), .Y(n17111) ); sky130_fd_sc_hd__o2bb2ai_1 U21516 ( .B1(\exu/i_div/q_ff [16]), .B2(n17111), .A1_N(\exu/i_div/q_ff [16]), .A2_N(n17111), .Y(n17115) ); sky130_fd_sc_hd__a211o_1 U21517 ( .A1(\exu/i_div/a_ff [16]), .A2(n17113), .B1(n17112), .C1(n22666), .X(n17114) ); sky130_fd_sc_hd__o21ai_1 U21518 ( .A1(n17115), .A2(n17207), .B1(n17114), .Y( exu_div_result[16]) ); sky130_fd_sc_hd__nand2_1 U21519 ( .A(n20031), .B(n17194), .Y(n17116) ); sky130_fd_sc_hd__o2bb2ai_1 U21520 ( .B1(n20291), .B2(n17116), .A1_N(n20291), .A2_N(n17116), .Y(n17120) ); sky130_fd_sc_hd__a211o_1 U21521 ( .A1(\exu/i_div/a_ff [12]), .A2(n17118), .B1(n17117), .C1(n22666), .X(n17119) ); sky130_fd_sc_hd__o21ai_1 U21522 ( .A1(n17120), .A2(n17207), .B1(n17119), .Y( exu_div_result[12]) ); sky130_fd_sc_hd__nor2_1 U21523 ( .A(n20008), .B(n17200), .Y(n17121) ); sky130_fd_sc_hd__xor2_1 U21524 ( .A(n17122), .B(n17121), .X(n17126) ); sky130_fd_sc_hd__a211o_1 U21525 ( .A1(\exu/i_div/a_ff [11]), .A2(n17124), .B1(n17123), .C1(n22666), .X(n17125) ); sky130_fd_sc_hd__o21ai_1 U21526 ( .A1(n17207), .A2(n17126), .B1(n17125), .Y( exu_div_result[11]) ); sky130_fd_sc_hd__clkinv_1 U21527 ( .A(\exu/i_div/q_ff [21]), .Y(n20203) ); sky130_fd_sc_hd__nand2_1 U21528 ( .A(n17194), .B(n20053), .Y(n17127) ); sky130_fd_sc_hd__xnor2_1 U21529 ( .A(n20203), .B(n17127), .Y(n17131) ); sky130_fd_sc_hd__a211o_1 U21530 ( .A1(\exu/i_div/a_ff [21]), .A2(n17129), .B1(n17128), .C1(n22666), .X(n17130) ); sky130_fd_sc_hd__o21ai_1 U21531 ( .A1(n17207), .A2(n17131), .B1(n17130), .Y( exu_div_result[21]) ); sky130_fd_sc_hd__o21ai_1 U21532 ( .A1(\exu/i_div/q_ff [12]), .A2(n20031), .B1(n17194), .Y(n17132) ); sky130_fd_sc_hd__xor2_1 U21533 ( .A(\exu/i_div/q_ff [13]), .B(n17132), .X( n17136) ); sky130_fd_sc_hd__a211o_1 U21534 ( .A1(\exu/i_div/a_ff [13]), .A2(n17134), .B1(n17133), .C1(n22666), .X(n17135) ); sky130_fd_sc_hd__o21ai_1 U21535 ( .A1(n17207), .A2(n17136), .B1(n17135), .Y( exu_div_result[13]) ); sky130_fd_sc_hd__nor2_1 U21536 ( .A(n20048), .B(n17200), .Y(n17137) ); sky130_fd_sc_hd__xnor2_1 U21537 ( .A(\exu/i_div/q_ff [14]), .B(n17137), .Y( n17141) ); sky130_fd_sc_hd__a211o_1 U21538 ( .A1(\exu/i_div/a_ff [14]), .A2(n17139), .B1(n17138), .C1(n22666), .X(n17140) ); sky130_fd_sc_hd__o21ai_1 U21539 ( .A1(n17207), .A2(n17141), .B1(n17140), .Y( exu_div_result[14]) ); sky130_fd_sc_hd__nand2_1 U21540 ( .A(n17194), .B(n20013), .Y(n17142) ); sky130_fd_sc_hd__xor2_1 U21541 ( .A(\exu/i_div/q_ff [19]), .B(n17142), .X( n17146) ); sky130_fd_sc_hd__a211o_1 U21542 ( .A1(\exu/i_div/a_ff [19]), .A2(n17144), .B1(n17143), .C1(n22666), .X(n17145) ); sky130_fd_sc_hd__o21ai_1 U21543 ( .A1(n17207), .A2(n17146), .B1(n17145), .Y( exu_div_result[19]) ); sky130_fd_sc_hd__nor2_1 U21544 ( .A(n17200), .B(n20070), .Y(n17147) ); sky130_fd_sc_hd__o2bb2ai_1 U21545 ( .B1(\exu/i_div/q_ff [20]), .B2(n17147), .A1_N(\exu/i_div/q_ff [20]), .A2_N(n17147), .Y(n17151) ); sky130_fd_sc_hd__a211o_1 U21546 ( .A1(\exu/i_div/a_ff [20]), .A2(n17149), .B1(n17148), .C1(n22666), .X(n17150) ); sky130_fd_sc_hd__o21ai_1 U21547 ( .A1(n17151), .A2(n17207), .B1(n17150), .Y( exu_div_result[20]) ); sky130_fd_sc_hd__nor2_1 U21548 ( .A(n20059), .B(n17200), .Y(n17152) ); sky130_fd_sc_hd__xnor2_1 U21549 ( .A(\exu/i_div/q_ff [7]), .B(n17152), .Y( n17156) ); sky130_fd_sc_hd__a211o_1 U21550 ( .A1(\exu/i_div/a_ff [7]), .A2(n17154), .B1(n17153), .C1(n22666), .X(n17155) ); sky130_fd_sc_hd__o21ai_1 U21551 ( .A1(n17207), .A2(n17156), .B1(n17155), .Y( exu_div_result[7]) ); sky130_fd_sc_hd__clkinv_1 U21552 ( .A(\exu/i_div/q_ff [1]), .Y(n20369) ); sky130_fd_sc_hd__nand2_1 U21553 ( .A(n17194), .B(\exu/i_div/q_ff_comp[0] ), .Y(n17157) ); sky130_fd_sc_hd__o2bb2ai_1 U21554 ( .B1(n20369), .B2(n17157), .A1_N(n20369), .A2_N(n17157), .Y(n17161) ); sky130_fd_sc_hd__a31oi_1 U21555 ( .A1(\exu/i_div/a_ff [1]), .A2(n20067), .A3(\exu/i_div/a_ff_comp[0] ), .B1(n17158), .Y(n17159) ); sky130_fd_sc_hd__a22oi_1 U21556 ( .A1(\exu/i_div/rem_ff ), .A2(n17159), .B1( \exu/i_div/smallnum_case_ff ), .B2(\exu/i_div/smallnum_ff [1]), .Y( n17160) ); sky130_fd_sc_hd__o21ai_1 U21557 ( .A1(n17207), .A2(n17161), .B1(n17160), .Y( exu_div_result[1]) ); sky130_fd_sc_hd__clkinv_1 U21558 ( .A(\exu/i_div/q_ff [4]), .Y(n20034) ); sky130_fd_sc_hd__a21oi_1 U21559 ( .A1(n20035), .A2(n20034), .B1(n17200), .Y( n17162) ); sky130_fd_sc_hd__xnor2_1 U21560 ( .A(\exu/i_div/q_ff [5]), .B(n17162), .Y( n17166) ); sky130_fd_sc_hd__a211o_1 U21561 ( .A1(\exu/i_div/a_ff [5]), .A2(n17164), .B1(n17163), .C1(n22666), .X(n17165) ); sky130_fd_sc_hd__o21ai_1 U21562 ( .A1(n17207), .A2(n17166), .B1(n17165), .Y( exu_div_result[5]) ); sky130_fd_sc_hd__nor2_1 U21563 ( .A(n20035), .B(n17200), .Y(n17167) ); sky130_fd_sc_hd__o2bb2ai_1 U21564 ( .B1(\exu/i_div/q_ff [4]), .B2(n17167), .A1_N(\exu/i_div/q_ff [4]), .A2_N(n17167), .Y(n17171) ); sky130_fd_sc_hd__a211o_1 U21565 ( .A1(\exu/i_div/a_ff [4]), .A2(n17169), .B1(n17168), .C1(n22666), .X(n17170) ); sky130_fd_sc_hd__o21ai_1 U21566 ( .A1(n17171), .A2(n17207), .B1(n17170), .Y( exu_div_result[4]) ); sky130_fd_sc_hd__clkinv_1 U21567 ( .A(\exu/i_div/q_ff_comp[0] ), .Y(n20352) ); sky130_fd_sc_hd__a22oi_1 U21568 ( .A1(\exu/i_div/rem_ff ), .A2( \exu/i_div/a_ff_comp[0] ), .B1(\exu/i_div/smallnum_case_ff ), .B2( \exu/i_div/smallnum_ff [0]), .Y(n17172) ); sky130_fd_sc_hd__o21ai_1 U21569 ( .A1(n17207), .A2(n20352), .B1(n17172), .Y( exu_div_result[0]) ); sky130_fd_sc_hd__nor2_1 U21570 ( .A(n19989), .B(n17200), .Y(n17174) ); sky130_fd_sc_hd__a21oi_1 U21571 ( .A1(\exu/i_div/q_ff [2]), .A2(n17174), .B1(n17207), .Y(n17173) ); sky130_fd_sc_hd__o21ai_1 U21572 ( .A1(\exu/i_div/q_ff [2]), .A2(n17174), .B1(n17173), .Y(n17179) ); sky130_fd_sc_hd__a211oi_1 U21573 ( .A1(\exu/i_div/a_ff [2]), .A2(n17176), .B1(n17175), .C1(n22666), .Y(n17177) ); sky130_fd_sc_hd__a21oi_1 U21574 ( .A1(\exu/i_div/smallnum_case_ff ), .A2( \exu/i_div/smallnum_ff [2]), .B1(n17177), .Y(n17178) ); sky130_fd_sc_hd__nand2_1 U21575 ( .A(n17179), .B(n17178), .Y( exu_div_result[2]) ); sky130_fd_sc_hd__clkinv_1 U21576 ( .A(\exu/i_div/q_ff [3]), .Y(n20349) ); sky130_fd_sc_hd__nand2_1 U21577 ( .A(n20004), .B(n17194), .Y(n17181) ); sky130_fd_sc_hd__a21oi_1 U21578 ( .A1(n20349), .A2(n17181), .B1(n17207), .Y( n17180) ); sky130_fd_sc_hd__o21ai_1 U21579 ( .A1(n20349), .A2(n17181), .B1(n17180), .Y( n17186) ); sky130_fd_sc_hd__a211oi_1 U21580 ( .A1(\exu/i_div/a_ff [3]), .A2(n17183), .B1(n17182), .C1(n22666), .Y(n17184) ); sky130_fd_sc_hd__a21oi_1 U21581 ( .A1(\exu/i_div/smallnum_case_ff ), .A2( \exu/i_div/smallnum_ff [3]), .B1(n17184), .Y(n17185) ); sky130_fd_sc_hd__nand2_1 U21582 ( .A(n17186), .B(n17185), .Y( exu_div_result[3]) ); sky130_fd_sc_hd__nand2_1 U21583 ( .A(n20062), .B(n17194), .Y(n17187) ); sky130_fd_sc_hd__xnor2_1 U21584 ( .A(n20179), .B(n17187), .Y(n17191) ); sky130_fd_sc_hd__a211o_1 U21585 ( .A1(\exu/i_div/a_ff [15]), .A2(n17189), .B1(n17188), .C1(n22666), .X(n17190) ); sky130_fd_sc_hd__o21ai_1 U21586 ( .A1(n17207), .A2(n17191), .B1(n17190), .Y( exu_div_result[15]) ); sky130_fd_sc_hd__a22o_1 U21587 ( .A1(\lsu/lsu_lsc_ctl/addr_external_r ), .A2(\lsu/lsu_lsc_ctl/bus_read_data_r [15]), .B1(n17237), .B2( lsu_fir_addr[15]), .X(n17209) ); sky130_fd_sc_hd__a21oi_1 U21588 ( .A1(n17192), .A2(n17209), .B1(n17238), .Y( n17193) ); sky130_fd_sc_hd__clkinv_1 U21589 ( .A(\exu/i_div/q_ff [6]), .Y(n20148) ); sky130_fd_sc_hd__nand2_1 U21590 ( .A(n20045), .B(n17194), .Y(n17195) ); sky130_fd_sc_hd__xnor2_1 U21591 ( .A(n20148), .B(n17195), .Y(n17199) ); sky130_fd_sc_hd__a211o_1 U21592 ( .A1(\exu/i_div/a_ff [6]), .A2(n17197), .B1(n17196), .C1(n22666), .X(n17198) ); sky130_fd_sc_hd__o21ai_1 U21593 ( .A1(n17207), .A2(n17199), .B1(n17198), .Y( exu_div_result[6]) ); sky130_fd_sc_hd__and2_0 U21594 ( .A(\exu/i0_predict_p_x[hist][1] ), .B( \exu/i0_flush_upper_x ), .X(\exu_mp_pkt[hist][1] ) ); sky130_fd_sc_hd__nor2_1 U21595 ( .A(n19975), .B(n17200), .Y(n17201) ); sky130_fd_sc_hd__xor2_1 U21596 ( .A(n17202), .B(n17201), .X(n17206) ); sky130_fd_sc_hd__a211o_1 U21597 ( .A1(\exu/i_div/a_ff [9]), .A2(n17204), .B1(n17203), .C1(n22666), .X(n17205) ); sky130_fd_sc_hd__o21ai_1 U21598 ( .A1(n17207), .A2(n17206), .B1(n17205), .Y( exu_div_result[9]) ); sky130_fd_sc_hd__nor2b_1 U21599 ( .B_N(\exu/predpipe_x [3]), .A(n19337), .Y( exu_mp_btag[3]) ); sky130_fd_sc_hd__nor2b_1 U21600 ( .B_N(\exu/predpipe_x [2]), .A(n19337), .Y( exu_mp_btag[2]) ); sky130_fd_sc_hd__nor2b_1 U21601 ( .B_N(\exu/predpipe_x [1]), .A(n19337), .Y( exu_mp_btag[1]) ); sky130_fd_sc_hd__nor2b_1 U21602 ( .B_N(\exu/i0_predict_p_x[pcall] ), .A( n19337), .Y(\exu_mp_pkt[pcall] ) ); sky130_fd_sc_hd__and2_0 U21603 ( .A(\exu/predpipe_x [17]), .B( \exu/i0_flush_upper_x ), .X(exu_mp_eghr[4]) ); sky130_fd_sc_hd__and2_0 U21604 ( .A(\exu/predpipe_x [12]), .B( \exu/i0_flush_upper_x ), .X(exu_mp_index[9]) ); sky130_fd_sc_hd__and2_0 U21605 ( .A(\exu/predpipe_x [5]), .B( \exu/i0_flush_upper_x ), .X(exu_mp_index[2]) ); sky130_fd_sc_hd__and2_0 U21606 ( .A(\exu/predpipe_x [10]), .B( \exu/i0_flush_upper_x ), .X(exu_mp_index[7]) ); sky130_fd_sc_hd__and2_0 U21607 ( .A(\exu/predpipe_x [9]), .B( \exu/i0_flush_upper_x ), .X(exu_mp_index[6]) ); sky130_fd_sc_hd__and2_0 U21608 ( .A(\exu/predpipe_x [8]), .B( \exu/i0_flush_upper_x ), .X(exu_mp_index[5]) ); sky130_fd_sc_hd__and2_0 U21609 ( .A(\exu/predpipe_x [7]), .B( \exu/i0_flush_upper_x ), .X(exu_mp_index[4]) ); sky130_fd_sc_hd__o21bai_1 U21610 ( .A1(n17208), .A2(n20448), .B1_N( \lsu/bus_intf/no_dword_merge_r ), .Y(\lsu/bus_intf/no_word_merge_r ) ); sky130_fd_sc_hd__nand2_1 U21611 ( .A(\dec/decode/i0_result_r [31]), .B( n17315), .Y(n17213) ); sky130_fd_sc_hd__nor2_1 U21612 ( .A(\lsu/lsu_pkt_r[unsign] ), .B(n17315), .Y(n17210) ); sky130_fd_sc_hd__a31oi_1 U21613 ( .A1(n17210), .A2(\lsu/lsu_pkt_r[half] ), .A3(n17209), .B1(n17238), .Y(n17318) ); sky130_fd_sc_hd__nor3_1 U21614 ( .A(n17211), .B(n17237), .C(n17315), .Y( n17314) ); sky130_fd_sc_hd__nor3_1 U21615 ( .A(\lsu/lsu_lsc_ctl/addr_external_r ), .B( n17211), .C(n17315), .Y(n17313) ); sky130_fd_sc_hd__a22oi_1 U21616 ( .A1(n17314), .A2( \lsu/lsu_lsc_ctl/bus_read_data_r [31]), .B1(n17313), .B2( lsu_fir_addr[31]), .Y(n17212) ); sky130_fd_sc_hd__nand3_1 U21617 ( .A(n17213), .B(n17318), .C(n17212), .Y( dec_dbg_rddata[31]) ); sky130_fd_sc_hd__a22o_1 U21618 ( .A1(n17723), .A2( \dec/decode/write_csr_data [31]), .B1(\dec/decode/r_d[csrwonly] ), .B2(dec_dbg_rddata[31]), .X(\dec/dec_csr_wrdata_r [31]) ); sky130_fd_sc_hd__o22ai_1 U21619 ( .A1(\lsu/lsu_lsc_ctl/addr_external_r ), .A2(lsu_fir_addr[1]), .B1(n17237), .B2( \lsu/lsu_lsc_ctl/bus_read_data_r [1]), .Y(n17214) ); sky130_fd_sc_hd__o22ai_1 U21620 ( .A1(\lsu/lsu_lsc_ctl/addr_external_r ), .A2(lsu_fir_addr[2]), .B1(n17237), .B2( \lsu/lsu_lsc_ctl/bus_read_data_r [2]), .Y(n17216) ); sky130_fd_sc_hd__a22o_1 U21621 ( .A1(\dec/decode/r_d[csrwonly] ), .A2(n17217), .B1(n17723), .B2(\dec/decode/write_csr_data [2]), .X( \dec/dec_csr_wrdata_r [2]) ); sky130_fd_sc_hd__o22ai_1 U21622 ( .A1(\lsu/lsu_lsc_ctl/addr_external_r ), .A2(lsu_fir_addr[4]), .B1(n17237), .B2( \lsu/lsu_lsc_ctl/bus_read_data_r [4]), .Y(n17218) ); sky130_fd_sc_hd__a22o_1 U21623 ( .A1(\dec/decode/r_d[csrwonly] ), .A2(n17219), .B1(n17723), .B2(\dec/decode/write_csr_data [4]), .X( \dec/dec_csr_wrdata_r [4]) ); sky130_fd_sc_hd__o22ai_1 U21624 ( .A1(\lsu/lsu_lsc_ctl/addr_external_r ), .A2(lsu_fir_addr[5]), .B1(n17237), .B2( \lsu/lsu_lsc_ctl/bus_read_data_r [5]), .Y(n17220) ); sky130_fd_sc_hd__o22ai_1 U21625 ( .A1(\lsu/lsu_lsc_ctl/addr_external_r ), .A2(lsu_fir_addr[6]), .B1(n17237), .B2( \lsu/lsu_lsc_ctl/bus_read_data_r [6]), .Y(n17222) ); sky130_fd_sc_hd__a22oi_1 U21626 ( .A1(n17723), .A2( \dec/decode/write_csr_data [6]), .B1(\dec/decode/r_d[csrwonly] ), .B2( n25077), .Y(n24752) ); sky130_fd_sc_hd__clkinv_1 U21627 ( .A(n24752), .Y(\dec/dec_csr_wrdata_r [6]) ); sky130_fd_sc_hd__o22ai_1 U21628 ( .A1(\lsu/lsu_lsc_ctl/addr_external_r ), .A2(lsu_fir_addr[8]), .B1(n17237), .B2( \lsu/lsu_lsc_ctl/bus_read_data_r [8]), .Y(n17225) ); sky130_fd_sc_hd__a21oi_1 U21629 ( .A1(\dec/decode/i0_result_r [8]), .A2( n17315), .B1(n17238), .Y(n17224) ); sky130_fd_sc_hd__o21ai_1 U21630 ( .A1(n17241), .A2(n17225), .B1(n17224), .Y( dec_dbg_rddata[8]) ); sky130_fd_sc_hd__o22ai_1 U21631 ( .A1(\lsu/lsu_lsc_ctl/addr_external_r ), .A2(lsu_fir_addr[9]), .B1(n17237), .B2( \lsu/lsu_lsc_ctl/bus_read_data_r [9]), .Y(n17227) ); sky130_fd_sc_hd__a21oi_1 U21632 ( .A1(\dec/decode/i0_result_r [9]), .A2( n17315), .B1(n17238), .Y(n17226) ); sky130_fd_sc_hd__o21ai_1 U21633 ( .A1(n17241), .A2(n17227), .B1(n17226), .Y( dec_dbg_rddata[9]) ); sky130_fd_sc_hd__a22oi_1 U21634 ( .A1(n17723), .A2( \dec/decode/write_csr_data [9]), .B1(\dec/decode/r_d[csrwonly] ), .B2( dec_dbg_rddata[9]), .Y(n24729) ); sky130_fd_sc_hd__o22ai_1 U21635 ( .A1(\lsu/lsu_lsc_ctl/addr_external_r ), .A2(lsu_fir_addr[10]), .B1(n17237), .B2( \lsu/lsu_lsc_ctl/bus_read_data_r [10]), .Y(n17229) ); sky130_fd_sc_hd__a21oi_1 U21636 ( .A1(\dec/decode/i0_result_r [10]), .A2( n17315), .B1(n17238), .Y(n17228) ); sky130_fd_sc_hd__o21ai_1 U21637 ( .A1(n17241), .A2(n17229), .B1(n17228), .Y( dec_dbg_rddata[10]) ); sky130_fd_sc_hd__o22ai_1 U21638 ( .A1(\lsu/lsu_lsc_ctl/addr_external_r ), .A2(lsu_fir_addr[12]), .B1(n17237), .B2( \lsu/lsu_lsc_ctl/bus_read_data_r [12]), .Y(n17231) ); sky130_fd_sc_hd__a21oi_1 U21639 ( .A1(\dec/decode/i0_result_r [12]), .A2( n17315), .B1(n17238), .Y(n17230) ); sky130_fd_sc_hd__o21ai_1 U21640 ( .A1(n17241), .A2(n17231), .B1(n17230), .Y( dec_dbg_rddata[12]) ); sky130_fd_sc_hd__a22oi_1 U21641 ( .A1(n17723), .A2( \dec/decode/write_csr_data [12]), .B1(\dec/decode/r_d[csrwonly] ), .B2(dec_dbg_rddata[12]), .Y(n24708) ); sky130_fd_sc_hd__o22ai_1 U21642 ( .A1(\lsu/lsu_lsc_ctl/addr_external_r ), .A2(lsu_fir_addr[13]), .B1(n17237), .B2( \lsu/lsu_lsc_ctl/bus_read_data_r [13]), .Y(n17233) ); sky130_fd_sc_hd__a21oi_1 U21643 ( .A1(\dec/decode/i0_result_r [13]), .A2( n17315), .B1(n17238), .Y(n17232) ); sky130_fd_sc_hd__o21ai_1 U21644 ( .A1(n17241), .A2(n17233), .B1(n17232), .Y( dec_dbg_rddata[13]) ); sky130_fd_sc_hd__o22ai_1 U21645 ( .A1(\lsu/lsu_lsc_ctl/addr_external_r ), .A2(lsu_fir_addr[3]), .B1(n17237), .B2( \lsu/lsu_lsc_ctl/bus_read_data_r [3]), .Y(n17234) ); sky130_fd_sc_hd__a22o_1 U21646 ( .A1(n17723), .A2( \dec/decode/write_csr_data [3]), .B1(\dec/decode/r_d[csrwonly] ), .B2( n17236), .X(\dec/dec_csr_wrdata_r [3]) ); sky130_fd_sc_hd__o22ai_1 U21647 ( .A1(\lsu/lsu_lsc_ctl/addr_external_r ), .A2(lsu_fir_addr[14]), .B1(n17237), .B2( \lsu/lsu_lsc_ctl/bus_read_data_r [14]), .Y(n17240) ); sky130_fd_sc_hd__a21oi_1 U21648 ( .A1(\dec/decode/i0_result_r [14]), .A2( n17315), .B1(n17238), .Y(n17239) ); sky130_fd_sc_hd__o21ai_1 U21649 ( .A1(n17241), .A2(n17240), .B1(n17239), .Y( dec_dbg_rddata[14]) ); sky130_fd_sc_hd__a22oi_1 U21650 ( .A1(n17723), .A2( \dec/decode/write_csr_data [14]), .B1(\dec/decode/r_d[csrwonly] ), .B2(dec_dbg_rddata[14]), .Y(n24694) ); sky130_fd_sc_hd__a22oi_1 U21651 ( .A1(n17314), .A2( \lsu/lsu_lsc_ctl/bus_read_data_r [16]), .B1(n17313), .B2( lsu_fir_addr[16]), .Y(n17243) ); sky130_fd_sc_hd__nand2_1 U21652 ( .A(\dec/decode/i0_result_r [16]), .B( n17315), .Y(n17242) ); sky130_fd_sc_hd__nand3_1 U21653 ( .A(n17318), .B(n17243), .C(n17242), .Y( dec_dbg_rddata[16]) ); sky130_fd_sc_hd__a22oi_1 U21654 ( .A1(n17723), .A2( \dec/decode/write_csr_data [16]), .B1(\dec/decode/r_d[csrwonly] ), .B2(dec_dbg_rddata[16]), .Y(n24680) ); sky130_fd_sc_hd__clkinv_1 U21655 ( .A(n24680), .Y(\dec/dec_csr_wrdata_r [16]) ); sky130_fd_sc_hd__a22oi_1 U21656 ( .A1(n17314), .A2( \lsu/lsu_lsc_ctl/bus_read_data_r [18]), .B1(n17313), .B2( lsu_fir_addr[18]), .Y(n17244) ); sky130_fd_sc_hd__a22oi_1 U21657 ( .A1(n17723), .A2( \dec/decode/write_csr_data [18]), .B1(\dec/decode/r_d[csrwonly] ), .B2(n25074), .Y(n24666) ); sky130_fd_sc_hd__a22oi_1 U21658 ( .A1(n17314), .A2( \lsu/lsu_lsc_ctl/bus_read_data_r [19]), .B1(n17313), .B2( lsu_fir_addr[19]), .Y(n17246) ); sky130_fd_sc_hd__a22oi_1 U21659 ( .A1(n17314), .A2( \lsu/lsu_lsc_ctl/bus_read_data_r [20]), .B1(n17313), .B2( lsu_fir_addr[20]), .Y(n17248) ); sky130_fd_sc_hd__a22oi_1 U21660 ( .A1(n17723), .A2( \dec/decode/write_csr_data [20]), .B1(\dec/decode/r_d[csrwonly] ), .B2(n25076), .Y(n24652) ); sky130_fd_sc_hd__a22oi_1 U21661 ( .A1(n17314), .A2( \lsu/lsu_lsc_ctl/bus_read_data_r [21]), .B1(n17313), .B2( lsu_fir_addr[21]), .Y(n17250) ); sky130_fd_sc_hd__a22oi_1 U21662 ( .A1(n17314), .A2( \lsu/lsu_lsc_ctl/bus_read_data_r [22]), .B1(n17313), .B2( lsu_fir_addr[22]), .Y(n17252) ); sky130_fd_sc_hd__a22oi_1 U21663 ( .A1(n17723), .A2( \dec/decode/write_csr_data [22]), .B1(\dec/decode/r_d[csrwonly] ), .B2(n25075), .Y(n24638) ); sky130_fd_sc_hd__a22oi_1 U21664 ( .A1(n17314), .A2( \lsu/lsu_lsc_ctl/bus_read_data_r [24]), .B1(n17313), .B2( lsu_fir_addr[24]), .Y(n17255) ); sky130_fd_sc_hd__nand2_1 U21665 ( .A(\dec/decode/i0_result_r [24]), .B( n17315), .Y(n17254) ); sky130_fd_sc_hd__nand3_1 U21666 ( .A(n17318), .B(n17255), .C(n17254), .Y( dec_dbg_rddata[24]) ); sky130_fd_sc_hd__a22oi_1 U21667 ( .A1(n17723), .A2( \dec/decode/write_csr_data [24]), .B1(\dec/decode/r_d[csrwonly] ), .B2(dec_dbg_rddata[24]), .Y(n24624) ); sky130_fd_sc_hd__a22oi_1 U21668 ( .A1(n17314), .A2( \lsu/lsu_lsc_ctl/bus_read_data_r [26]), .B1(n17313), .B2( lsu_fir_addr[26]), .Y(n17256) ); sky130_fd_sc_hd__a22oi_1 U21669 ( .A1(n17723), .A2( \dec/decode/write_csr_data [26]), .B1(\dec/decode/r_d[csrwonly] ), .B2(n25071), .Y(n24610) ); sky130_fd_sc_hd__a22oi_1 U21670 ( .A1(n17314), .A2( \lsu/lsu_lsc_ctl/bus_read_data_r [28]), .B1(n17313), .B2( lsu_fir_addr[28]), .Y(n17258) ); sky130_fd_sc_hd__a22oi_1 U21671 ( .A1(n17723), .A2( \dec/decode/write_csr_data [28]), .B1(\dec/decode/r_d[csrwonly] ), .B2(n25070), .Y(n24596) ); sky130_fd_sc_hd__a22oi_1 U21672 ( .A1(n17723), .A2( \dec/decode/write_csr_data [7]), .B1(\dec/decode/r_d[csrwonly] ), .B2( n17075), .Y(n24744) ); sky130_fd_sc_hd__a22o_1 U21673 ( .A1(n17723), .A2( \dec/decode/write_csr_data [0]), .B1(\dec/decode/r_d[csrwonly] ), .B2( n17078), .X(\dec/dec_csr_wrdata_r [0]) ); sky130_fd_sc_hd__nor2b_1 U21674 ( .B_N(\dec/decode/r_t[pmu_i0_itype][0] ), .A(n24012), .Y(\dec/dec_tlu_packet_r[pmu_i0_itype][0] ) ); sky130_fd_sc_hd__a22oi_1 U21675 ( .A1(n17314), .A2( \lsu/lsu_lsc_ctl/bus_read_data_r [30]), .B1(n17313), .B2( lsu_fir_addr[30]), .Y(n17262) ); sky130_fd_sc_hd__nand2_1 U21676 ( .A(\dec/decode/i0_result_r [30]), .B( n17315), .Y(n17261) ); sky130_fd_sc_hd__nand3_1 U21677 ( .A(n17318), .B(n17262), .C(n17261), .Y( dec_dbg_rddata[30]) ); sky130_fd_sc_hd__a22oi_1 U21678 ( .A1(n17723), .A2( \dec/decode/write_csr_data [30]), .B1(\dec/decode/r_d[csrwonly] ), .B2(dec_dbg_rddata[30]), .Y(n24582) ); sky130_fd_sc_hd__buf_2 U21679 ( .A(n17264), .X(n25114) ); sky130_fd_sc_hd__o21ai_1 U21680 ( .A1(scan_mode), .A2(\dbg/dmcontrol_reg_0 ), .B1(dbg_rst_l), .Y(n25059) ); sky130_fd_sc_hd__buf_2 U21681 ( .A(n17264), .X(n25115) ); sky130_fd_sc_hd__clkbuf_1 U21682 ( .A(n10882), .X(n25090) ); sky130_fd_sc_hd__clkbuf_1 U21683 ( .A(n10882), .X(n25092) ); sky130_fd_sc_hd__clkbuf_1 U21684 ( .A(n10882), .X(n25091) ); sky130_fd_sc_hd__clkbuf_1 U21685 ( .A(n25114), .X(n25105) ); sky130_fd_sc_hd__clkbuf_1 U21686 ( .A(n25114), .X(n25100) ); sky130_fd_sc_hd__clkbuf_1 U21687 ( .A(n25114), .X(n25101) ); sky130_fd_sc_hd__clkbuf_1 U21688 ( .A(n25114), .X(n25102) ); sky130_fd_sc_hd__buf_2 U21689 ( .A(n10882), .X(n25089) ); sky130_fd_sc_hd__clkbuf_1 U21690 ( .A(n25114), .X(n25103) ); sky130_fd_sc_hd__clkbuf_1 U21691 ( .A(n25114), .X(n25104) ); sky130_fd_sc_hd__clkbuf_1 U21692 ( .A(n25082), .X(n25087) ); sky130_fd_sc_hd__clkbuf_1 U21693 ( .A(n25082), .X(n25088) ); sky130_fd_sc_hd__clkbuf_1 U21694 ( .A(n25116), .X(n25083) ); sky130_fd_sc_hd__clkbuf_1 U21695 ( .A(n10811), .X(n25117) ); sky130_fd_sc_hd__clkbuf_1 U21696 ( .A(n10811), .X(n25118) ); sky130_fd_sc_hd__clkbuf_1 U21697 ( .A(n10811), .X(n25119) ); sky130_fd_sc_hd__clkbuf_1 U21698 ( .A(n25093), .X(n25086) ); sky130_fd_sc_hd__clkbuf_1 U21699 ( .A(n25106), .X(n25085) ); sky130_fd_sc_hd__clkbuf_1 U21700 ( .A(n25106), .X(n25084) ); sky130_fd_sc_hd__clkbuf_1 U21701 ( .A(n25082), .X(n25097) ); sky130_fd_sc_hd__clkbuf_1 U21702 ( .A(n25082), .X(n25098) ); sky130_fd_sc_hd__clkbuf_1 U21703 ( .A(n25106), .X(n25095) ); sky130_fd_sc_hd__clkbuf_1 U21704 ( .A(n25106), .X(n25096) ); sky130_fd_sc_hd__clkbuf_1 U21705 ( .A(n25082), .X(n25099) ); sky130_fd_sc_hd__clkbuf_1 U21706 ( .A(n25106), .X(n25094) ); sky130_fd_sc_hd__nor2b_1 U21707 ( .B_N(\dbg/sbdata0_reg [0]), .A( sb_axi_awsize[2]), .Y(sb_axi_wdata[0]) ); sky130_fd_sc_hd__nor2b_1 U21708 ( .B_N(\dbg/sbdata0_reg [4]), .A( sb_axi_awsize[2]), .Y(sb_axi_wdata[4]) ); sky130_fd_sc_hd__nor2b_1 U21709 ( .B_N(\dbg/sbdata0_reg [1]), .A( sb_axi_awsize[2]), .Y(sb_axi_wdata[1]) ); sky130_fd_sc_hd__nor2b_1 U21710 ( .B_N(\dbg/sbdata0_reg [2]), .A( sb_axi_awsize[2]), .Y(sb_axi_wdata[2]) ); sky130_fd_sc_hd__nor2b_1 U21711 ( .B_N(\exu/i0_predict_p_x[toffset][7] ), .A(n19337), .Y(\exu_mp_pkt[toffset][7] ) ); sky130_fd_sc_hd__nor2b_1 U21712 ( .B_N(\exu/i0_predict_p_x[toffset][0] ), .A(n19337), .Y(\exu_mp_pkt[toffset][0] ) ); sky130_fd_sc_hd__nor2b_1 U21713 ( .B_N(\exu/i0_predict_p_x[toffset][11] ), .A(n19337), .Y(\exu_mp_pkt[toffset][11] ) ); sky130_fd_sc_hd__nor3_1 U21714 ( .A(\dbg/sb_state [2]), .B(\dbg/sb_state [3]), .C(n22801), .Y(n22799) ); sky130_fd_sc_hd__nor2b_1 U21715 ( .B_N(n22799), .A(n22794), .Y( sb_axi_arvalid) ); sky130_fd_sc_hd__clkinv_1 U21716 ( .A(\dbg/dmstatus_reg_9 ), .Y(n24353) ); sky130_fd_sc_hd__clkinv_1 U21717 ( .A(dmi_reg_wdata[22]), .Y(n18316) ); sky130_fd_sc_hd__clkinv_1 U21718 ( .A(dmi_reg_wdata[20]), .Y(n18312) ); sky130_fd_sc_hd__clkinv_1 U21719 ( .A(dmi_reg_wdata[29]), .Y(n18330) ); sky130_fd_sc_hd__clkinv_1 U21720 ( .A(dmi_reg_wdata[28]), .Y(n18328) ); sky130_fd_sc_hd__clkinv_1 U21721 ( .A(dmi_reg_wdata[27]), .Y(n18326) ); sky130_fd_sc_hd__clkinv_1 U21722 ( .A(dmi_reg_wdata[26]), .Y(n18324) ); sky130_fd_sc_hd__nand4_1 U21723 ( .A(n18330), .B(n18328), .C(n18326), .D( n18324), .Y(n17265) ); sky130_fd_sc_hd__nor4_1 U21724 ( .A(dmi_reg_wdata[31]), .B(dmi_reg_wdata[30]), .C(dmi_reg_wdata[24]), .D(n17265), .Y(n18049) ); sky130_fd_sc_hd__o211ai_1 U21725 ( .A1(\dbg/data1_reg [1]), .A2( \dbg/data1_reg [0]), .B1(n18049), .C1(dmi_reg_wdata[25]), .Y(n17266) ); sky130_fd_sc_hd__nand4_1 U21726 ( .A(dmi_reg_wdata[21]), .B(n18316), .C( n18312), .D(n17266), .Y(n17269) ); sky130_fd_sc_hd__nor2_1 U21727 ( .A(n24353), .B(n17269), .Y(n17273) ); sky130_fd_sc_hd__nand2_1 U21728 ( .A(dmi_reg_en), .B(dmi_reg_wr_en), .Y( n17374) ); sky130_fd_sc_hd__clkinv_1 U21729 ( .A(dmi_reg_addr[6]), .Y(n24309) ); sky130_fd_sc_hd__nand2_1 U21730 ( .A(dmi_reg_addr[4]), .B(n24309), .Y(n17375) ); sky130_fd_sc_hd__nor2_1 U21731 ( .A(dmi_reg_addr[5]), .B(dmi_reg_addr[3]), .Y(n17376) ); sky130_fd_sc_hd__nand4b_1 U21732 ( .A_N(n17375), .B(dmi_reg_addr[1]), .C( dmi_reg_addr[2]), .D(n17376), .Y(n24322) ); sky130_fd_sc_hd__nor2_1 U21733 ( .A(n17374), .B(n24322), .Y(n17271) ); sky130_fd_sc_hd__nand2_1 U21734 ( .A(dmi_reg_addr[0]), .B(n17271), .Y(n21775) ); sky130_fd_sc_hd__clkinv_1 U21735 ( .A(n17271), .Y(n17267) ); sky130_fd_sc_hd__a31oi_1 U21736 ( .A1(dmi_reg_addr[0]), .A2( \dbg/dmstatus_reg_9 ), .A3(n18049), .B1(n17267), .Y(n18041) ); sky130_fd_sc_hd__nor2_1 U21737 ( .A(dec_dbg_cmd_done), .B(dma_dbg_cmd_done), .Y(n18003) ); sky130_fd_sc_hd__nor2_1 U21738 ( .A(dma_dbg_cmd_fail), .B(dec_dbg_cmd_fail), .Y(n17268) ); sky130_fd_sc_hd__a2bb2oi_1 U21739 ( .B1(n17269), .B2(n21564), .A1_N(n18003), .A2_N(n17268), .Y(n18048) ); sky130_fd_sc_hd__or3b_2 U21740 ( .A(dmi_reg_addr[1]), .B(dmi_reg_addr[4]), .C_N(n17376), .X(n24307) ); sky130_fd_sc_hd__nor2_1 U21741 ( .A(dmi_reg_addr[6]), .B(n24307), .Y(n17270) ); sky130_fd_sc_hd__clkinv_1 U21742 ( .A(dmi_reg_addr[0]), .Y(n24318) ); sky130_fd_sc_hd__nand3_1 U21743 ( .A(dmi_reg_addr[2]), .B(n17270), .C(n24318), .Y(n24384) ); sky130_fd_sc_hd__nor2_1 U21744 ( .A(n24481), .B(n24384), .Y(n24488) ); sky130_fd_sc_hd__o21ai_1 U21745 ( .A1(n17271), .A2(n24488), .B1( \dbg/abstractcs_reg_12 ), .Y(n18040) ); sky130_fd_sc_hd__nand2_1 U21746 ( .A(n18048), .B(n18040), .Y(n18042) ); sky130_fd_sc_hd__nand2_1 U21747 ( .A(n17271), .B(n24318), .Y(n18045) ); sky130_fd_sc_hd__o22ai_1 U21748 ( .A1(n18041), .A2(n18042), .B1( dmi_reg_wdata[10]), .B2(n18045), .Y(n17272) ); sky130_fd_sc_hd__o2bb2ai_1 U21749 ( .B1(n17273), .B2(n21775), .A1_N( \dbg/abstractcs_reg [10]), .A2_N(n17272), .Y( \dbg/abstractcs_error_din [2]) ); sky130_fd_sc_hd__o22ai_1 U21750 ( .A1(\lsu/dccm_rdata_lo_m [25]), .A2(n17274), .B1(n17729), .B2(\lsu/dccm_rdata_lo_m [10]), .Y(n17777) ); sky130_fd_sc_hd__clkinv_1 U21751 ( .A(\lsu/dccm_rdata_lo_m [21]), .Y(n17728) ); sky130_fd_sc_hd__o2bb2ai_1 U21752 ( .B1(n17728), .B2( \lsu/dccm_rdata_lo_m [6]), .A1_N(n17728), .A2_N( \lsu/dccm_rdata_lo_m [6]), .Y(n17275) ); sky130_fd_sc_hd__xnor2_1 U21753 ( .A(n17777), .B(n17275), .Y(n17741) ); sky130_fd_sc_hd__xnor2_1 U21754 ( .A(\lsu/dccm_rdata_lo_m [5]), .B(n17741), .Y(n17761) ); sky130_fd_sc_hd__xnor2_1 U21755 ( .A(n17761), .B(\lsu/dccm_data_ecc_lo_m [3]), .Y(n17276) ); sky130_fd_sc_hd__xor2_1 U21756 ( .A(n17276), .B(n17737), .X(n17758) ); sky130_fd_sc_hd__o2bb2ai_1 U21757 ( .B1(n23480), .B2( \lsu/dccm_rdata_lo_m [3]), .A1_N(n23480), .A2_N( \lsu/dccm_rdata_lo_m [3]), .Y(n17740) ); sky130_fd_sc_hd__o2bb2ai_1 U21758 ( .B1(\lsu/dccm_rdata_lo_m [9]), .B2( \lsu/dccm_rdata_lo_m [2]), .A1_N(\lsu/dccm_rdata_lo_m [9]), .A2_N( \lsu/dccm_rdata_lo_m [2]), .Y(n17277) ); sky130_fd_sc_hd__xnor2_1 U21759 ( .A(n17740), .B(n17277), .Y(n17776) ); sky130_fd_sc_hd__xnor2_1 U21760 ( .A(n17776), .B(\lsu/dccm_data_ecc_lo_m [1]), .Y(n17279) ); sky130_fd_sc_hd__o22ai_1 U21761 ( .A1(\lsu/dccm_rdata_lo_m [31]), .A2(n17278), .B1(n23463), .B2(\lsu/dccm_rdata_lo_m [27]), .Y(n17775) ); sky130_fd_sc_hd__xnor2_1 U21762 ( .A(n17279), .B(n17775), .Y(n17760) ); sky130_fd_sc_hd__o2bb2ai_1 U21763 ( .B1(\lsu/dccm_rdata_lo_m [13]), .B2( \lsu/dccm_rdata_lo_m [0]), .A1_N(\lsu/dccm_rdata_lo_m [13]), .A2_N( \lsu/dccm_rdata_lo_m [0]), .Y(n17767) ); sky130_fd_sc_hd__xnor2_1 U21764 ( .A(\lsu/dccm_data_ecc_lo_m [0]), .B(n17767), .Y(n17280) ); sky130_fd_sc_hd__o2bb2ai_1 U21765 ( .B1(\lsu/dccm_rdata_lo_m [28]), .B2( \lsu/dccm_rdata_lo_m [26]), .A1_N(\lsu/dccm_rdata_lo_m [28]), .A2_N( \lsu/dccm_rdata_lo_m [26]), .Y(n17771) ); sky130_fd_sc_hd__xnor2_1 U21766 ( .A(n17280), .B(n17771), .Y(n17749) ); sky130_fd_sc_hd__xnor2_1 U21767 ( .A(n17760), .B(n17749), .Y(n17293) ); sky130_fd_sc_hd__o2bb2ai_1 U21768 ( .B1(n17778), .B2( \lsu/dccm_rdata_lo_m [11]), .A1_N(n17778), .A2_N( \lsu/dccm_rdata_lo_m [11]), .Y(n17745) ); sky130_fd_sc_hd__xor2_1 U21769 ( .A(n17763), .B(n17745), .X(n17283) ); sky130_fd_sc_hd__o22ai_1 U21770 ( .A1(\lsu/dccm_rdata_lo_m [19]), .A2( \lsu/dccm_rdata_lo_m [20]), .B1(n17736), .B2(n17764), .Y(n17281) ); sky130_fd_sc_hd__xnor2_1 U21771 ( .A(\lsu/dccm_rdata_lo_m [18]), .B(n17281), .Y(n17750) ); sky130_fd_sc_hd__xor2_1 U21772 ( .A(n17750), .B(\lsu/dccm_data_ecc_lo_m [4]), .X(n17282) ); sky130_fd_sc_hd__xnor2_1 U21773 ( .A(n17283), .B(n17282), .Y(n17285) ); sky130_fd_sc_hd__clkinv_1 U21774 ( .A(\lsu/dccm_rdata_lo_m [22]), .Y(n17751) ); sky130_fd_sc_hd__o2bb2ai_1 U21775 ( .B1(\lsu/dccm_rdata_lo_m [14]), .B2( n17751), .A1_N(\lsu/dccm_rdata_lo_m [14]), .A2_N(n17751), .Y(n17284) ); sky130_fd_sc_hd__o2bb2ai_1 U21776 ( .B1(\lsu/dccm_rdata_lo_m [24]), .B2( \lsu/dccm_rdata_lo_m [16]), .A1_N(\lsu/dccm_rdata_lo_m [24]), .A2_N( \lsu/dccm_rdata_lo_m [16]), .Y(n17762) ); sky130_fd_sc_hd__xor2_1 U21777 ( .A(n17284), .B(n17762), .X(n17783) ); sky130_fd_sc_hd__xor2_1 U21778 ( .A(n17285), .B(n17783), .X(n17733) ); sky130_fd_sc_hd__xor2_1 U21779 ( .A(n17733), .B(\lsu/dccm_data_ecc_lo_m [5]), .X(n17291) ); sky130_fd_sc_hd__o22ai_1 U21780 ( .A1(\lsu/dccm_rdata_lo_m [23]), .A2( \lsu/dccm_rdata_lo_m [8]), .B1(n17730), .B2(n17286), .Y(n17746) ); sky130_fd_sc_hd__xnor2_1 U21781 ( .A(\lsu/dccm_rdata_lo_m [7]), .B(n17746), .Y(n17754) ); sky130_fd_sc_hd__xnor2_1 U21782 ( .A(\lsu/dccm_rdata_lo_m [29]), .B(n17754), .Y(n17289) ); sky130_fd_sc_hd__o2bb2ai_1 U21783 ( .B1(\lsu/dccm_rdata_lo_m [30]), .B2( n17287), .A1_N(\lsu/dccm_rdata_lo_m [30]), .A2_N(n17287), .Y(n17739) ); sky130_fd_sc_hd__xor2_1 U21784 ( .A(\lsu/dccm_data_ecc_lo_m [2]), .B(n17739), .X(n17288) ); sky130_fd_sc_hd__xnor2_1 U21785 ( .A(n17289), .B(n17288), .Y(n17780) ); sky130_fd_sc_hd__xor2_1 U21786 ( .A(\lsu/dccm_data_ecc_lo_m [6]), .B(n17780), .X(n17290) ); sky130_fd_sc_hd__xnor2_1 U21787 ( .A(n17291), .B(n17290), .Y(n17292) ); sky130_fd_sc_hd__xnor2_1 U21788 ( .A(n17293), .B(n17292), .Y(n17294) ); sky130_fd_sc_hd__xnor2_1 U21789 ( .A(n17758), .B(n17294), .Y(n17786) ); sky130_fd_sc_hd__nor2_1 U21790 ( .A(n17786), .B(n17785), .Y( \lsu/ecc/single_ecc_error_lo_any ) ); sky130_fd_sc_hd__nor3_1 U21791 ( .A(n20383), .B(n21215), .C(n20384), .Y( dccm_dma_rvalid) ); sky130_fd_sc_hd__clkinv_1 U21792 ( .A(\dec/decode/write_csr_data [1]), .Y( n24787) ); sky130_fd_sc_hd__clkinv_1 U21793 ( .A(\dec/decode/write_csr_data [5]), .Y( n24761) ); sky130_fd_sc_hd__clkinv_1 U21794 ( .A(\dec/decode/write_csr_data [8]), .Y( n24735) ); sky130_fd_sc_hd__clkinv_1 U21795 ( .A(\dec/decode/write_csr_data [13]), .Y( n24700) ); sky130_fd_sc_hd__a22oi_1 U21796 ( .A1(n17314), .A2( \lsu/lsu_lsc_ctl/bus_read_data_r [17]), .B1(n17313), .B2( lsu_fir_addr[17]), .Y(n17296) ); sky130_fd_sc_hd__nand2_1 U21797 ( .A(\dec/decode/i0_result_r [17]), .B( n17315), .Y(n17295) ); sky130_fd_sc_hd__nand3_1 U21798 ( .A(n17318), .B(n17296), .C(n17295), .Y( dec_dbg_rddata[17]) ); sky130_fd_sc_hd__clkinv_1 U21799 ( .A(\dec/decode/write_csr_data [17]), .Y( n24672) ); sky130_fd_sc_hd__a22oi_1 U21800 ( .A1(n17314), .A2( \lsu/lsu_lsc_ctl/bus_read_data_r [23]), .B1(n17313), .B2( lsu_fir_addr[23]), .Y(n17298) ); sky130_fd_sc_hd__nand2_1 U21801 ( .A(\dec/decode/i0_result_r [23]), .B( n17315), .Y(n17297) ); sky130_fd_sc_hd__nand3_1 U21802 ( .A(n17318), .B(n17298), .C(n17297), .Y( dec_dbg_rddata[23]) ); sky130_fd_sc_hd__nor2_8 U21803 ( .A(n25080), .B(n17299), .Y(n21805) ); sky130_fd_sc_hd__nor2_1 U21804 ( .A(dec_i0_pc_d[13]), .B(dec_i0_pc_d[14]), .Y(n18466) ); sky130_fd_sc_hd__nand2_1 U21805 ( .A(n24233), .B(n18466), .Y(n18465) ); sky130_fd_sc_hd__or2_0 U21806 ( .A(n18465), .B(dec_i0_pc_d[16]), .X(n17610) ); sky130_fd_sc_hd__or2_0 U21807 ( .A(n17610), .B(dec_i0_pc_d[17]), .X(n17614) ); sky130_fd_sc_hd__nor2_1 U21808 ( .A(n17614), .B(dec_i0_pc_d[18]), .Y(n17492) ); sky130_fd_sc_hd__nor2_1 U21809 ( .A(dec_i0_pc_d[19]), .B(n17564), .Y(n17563) ); sky130_fd_sc_hd__nand2_1 U21810 ( .A(n17563), .B(n24197), .Y(n17598) ); sky130_fd_sc_hd__nor2_1 U21811 ( .A(dec_i0_pc_d[21]), .B(n17598), .Y(n17597) ); sky130_fd_sc_hd__nand2_1 U21812 ( .A(n17597), .B(n24221), .Y(n17576) ); sky130_fd_sc_hd__nor2_1 U21813 ( .A(dec_i0_pc_d[23]), .B(n17576), .Y(n17575) ); sky130_fd_sc_hd__nand2_1 U21814 ( .A(n17575), .B(n24246), .Y(n17620) ); sky130_fd_sc_hd__nor2_1 U21815 ( .A(dec_i0_pc_d[25]), .B(n17620), .Y(n17501) ); sky130_fd_sc_hd__nand2_1 U21816 ( .A(n17501), .B(n19819), .Y(n17509) ); sky130_fd_sc_hd__nor2_1 U21817 ( .A(dec_i0_pc_d[27]), .B(n17509), .Y(n17508) ); sky130_fd_sc_hd__nand2_1 U21818 ( .A(n17508), .B(n19859), .Y(n17529) ); sky130_fd_sc_hd__nand2_1 U21819 ( .A(\intadd_2/B[10] ), .B(n17300), .Y( n18471) ); sky130_fd_sc_hd__nor2_1 U21820 ( .A(\intadd_2/B[10] ), .B(n17300), .Y(n19593) ); sky130_fd_sc_hd__nand2_1 U21821 ( .A(n19593), .B(n19577), .Y(n18467) ); sky130_fd_sc_hd__nor2_1 U21822 ( .A(n24209), .B(n24222), .Y(n18552) ); sky130_fd_sc_hd__nand2_1 U21823 ( .A(dec_i0_pc_d[15]), .B(n18552), .Y(n18561) ); sky130_fd_sc_hd__clkinv_1 U21824 ( .A(n18561), .Y(n17589) ); sky130_fd_sc_hd__nand2_1 U21825 ( .A(dec_i0_pc_d[16]), .B(n17589), .Y(n18566) ); sky130_fd_sc_hd__nor2_1 U21826 ( .A(n24252), .B(n18566), .Y(n18568) ); sky130_fd_sc_hd__nand2_1 U21827 ( .A(dec_i0_pc_d[18]), .B(n18568), .Y(n17493) ); sky130_fd_sc_hd__nand2_1 U21828 ( .A(dec_i0_pc_d[19]), .B(n17566), .Y(n17565) ); sky130_fd_sc_hd__nor2_1 U21829 ( .A(n24197), .B(n17565), .Y(n17600) ); sky130_fd_sc_hd__nand2_1 U21830 ( .A(dec_i0_pc_d[21]), .B(n17600), .Y(n17599) ); sky130_fd_sc_hd__nor2_1 U21831 ( .A(n24221), .B(n17599), .Y(n17578) ); sky130_fd_sc_hd__nand2_1 U21832 ( .A(dec_i0_pc_d[23]), .B(n17578), .Y(n17577) ); sky130_fd_sc_hd__nor2_1 U21833 ( .A(n24246), .B(n17577), .Y(n17623) ); sky130_fd_sc_hd__nand2_1 U21834 ( .A(dec_i0_pc_d[25]), .B(n17623), .Y(n17622) ); sky130_fd_sc_hd__nor2_1 U21835 ( .A(n19819), .B(n17622), .Y(n18605) ); sky130_fd_sc_hd__nand2_1 U21836 ( .A(dec_i0_pc_d[27]), .B(n18605), .Y(n17510) ); sky130_fd_sc_hd__nor2_1 U21837 ( .A(n19859), .B(n17510), .Y(n17530) ); sky130_fd_sc_hd__a21oi_1 U21838 ( .A1(n19859), .A2(n17510), .B1(n17530), .Y( n19863) ); sky130_fd_sc_hd__a22oi_1 U21839 ( .A1(n24049), .A2(n19858), .B1(n17555), .B2(n19863), .Y(n17303) ); sky130_fd_sc_hd__nor2_1 U21840 ( .A(n17621), .B(n19593), .Y(n19592) ); sky130_fd_sc_hd__nand2_1 U21841 ( .A(n19592), .B(n19577), .Y(n18468) ); sky130_fd_sc_hd__o21ai_1 U21842 ( .A1(n18471), .A2(n17508), .B1(n18468), .Y( n17301) ); sky130_fd_sc_hd__nand2_1 U21843 ( .A(n17301), .B(dec_i0_pc_d[28]), .Y(n17302) ); sky130_fd_sc_hd__o211ai_1 U21844 ( .A1(n17529), .A2(n18471), .B1(n17303), .C1(n17302), .Y(\exu/i0_flush_path_d [28]) ); sky130_fd_sc_hd__nand2_1 U21845 ( .A(\ifu/ifc_fetch_addr_f [3]), .B( \ifu/ifc_fetch_addr_f [2]), .Y(n18404) ); sky130_fd_sc_hd__nor2_1 U21846 ( .A(n18404), .B(n18656), .Y(n18410) ); sky130_fd_sc_hd__nand2_1 U21847 ( .A(n18410), .B(\ifu/ifc_fetch_addr_f [5]), .Y(n18415) ); sky130_fd_sc_hd__nor2_1 U21848 ( .A(n18415), .B(n18662), .Y(n18419) ); sky130_fd_sc_hd__nand2_1 U21849 ( .A(n18419), .B(\ifu/ifc_fetch_addr_f [7]), .Y(n18425) ); sky130_fd_sc_hd__nor2_1 U21850 ( .A(n18425), .B(n18673), .Y(n18430) ); sky130_fd_sc_hd__nand2_1 U21851 ( .A(n18430), .B(\ifu/ifc_fetch_addr_f [9]), .Y(n18435) ); sky130_fd_sc_hd__nor2_1 U21852 ( .A(n18435), .B(n18678), .Y(n18442) ); sky130_fd_sc_hd__nand2_1 U21853 ( .A(n18442), .B(\ifu/ifc_fetch_addr_f [11]), .Y(n18447) ); sky130_fd_sc_hd__nor2_1 U21854 ( .A(n18447), .B(n18689), .Y(n18452) ); sky130_fd_sc_hd__nand2_1 U21855 ( .A(n18452), .B(\ifu/ifc_fetch_addr_f [13]), .Y(n18460) ); sky130_fd_sc_hd__nor2_1 U21856 ( .A(n18460), .B(n18697), .Y(n18474) ); sky130_fd_sc_hd__nand2_1 U21857 ( .A(n18474), .B(\ifu/ifc_fetch_addr_f [15]), .Y(n17593) ); sky130_fd_sc_hd__nor2_1 U21858 ( .A(n17593), .B(n18705), .Y(n17615) ); sky130_fd_sc_hd__nand2_1 U21859 ( .A(n17615), .B(\ifu/ifc_fetch_addr_f [17]), .Y(n17497) ); sky130_fd_sc_hd__nor2_1 U21860 ( .A(n17497), .B(n18710), .Y(n17571) ); sky130_fd_sc_hd__nand2_1 U21861 ( .A(n17571), .B(\ifu/ifc_fetch_addr_f [19]), .Y(n17570) ); sky130_fd_sc_hd__nor2_1 U21862 ( .A(n17570), .B(n18718), .Y(n17606) ); sky130_fd_sc_hd__nand2_1 U21863 ( .A(n17606), .B(\ifu/ifc_fetch_addr_f [21]), .Y(n17486) ); sky130_fd_sc_hd__nor2_1 U21864 ( .A(n17486), .B(n18726), .Y(n17584) ); sky130_fd_sc_hd__nand2_1 U21865 ( .A(n17584), .B(\ifu/ifc_fetch_addr_f [23]), .Y(n17559) ); sky130_fd_sc_hd__nor2_1 U21866 ( .A(n17559), .B(n18737), .Y(n17628) ); sky130_fd_sc_hd__nand3_1 U21867 ( .A(n17628), .B(\ifu/ifc_fetch_addr_f [26]), .C(\ifu/ifc_fetch_addr_f [25]), .Y(n17515) ); sky130_fd_sc_hd__nor2_1 U21868 ( .A(n17515), .B(n18748), .Y(n17305) ); sky130_fd_sc_hd__nand2_1 U21869 ( .A(n17305), .B(\ifu/ifc_fetch_addr_f [28]), .Y(n17524) ); sky130_fd_sc_hd__o21ai_1 U21870 ( .A1(n17305), .A2( \ifu/ifc_fetch_addr_f [28]), .B1(n17524), .Y(n17308) ); sky130_fd_sc_hd__a22oi_1 U21871 ( .A1(n25080), .A2(dec_tlu_flush_path_r[28]), .B1(n25111), .B2(\exu/i0_flush_path_d [28]), .Y(n17307) ); sky130_fd_sc_hd__nand4_1 U21872 ( .A(n21805), .B(\ifu/ifc_fetch_req_f ), .C( n10894), .D(\ifu/ifu_bp_hit_taken_f ), .Y(n18434) ); sky130_fd_sc_hd__nand2_1 U21873 ( .A(\ifu/ifc_fetch_req_f ), .B(n10895), .Y( n17851) ); sky130_fd_sc_hd__nand2_1 U21874 ( .A(n21805), .B(n17851), .Y(n18414) ); sky130_fd_sc_hd__a22oi_1 U21875 ( .A1(n18476), .A2( \ifu/ifu_bp_btb_target_f [28]), .B1(n18451), .B2( \ifu/ifc_fetch_addr_f [28]), .Y(n17306) ); sky130_fd_sc_hd__o211ai_1 U21876 ( .A1(n18461), .A2(n17308), .B1(n17307), .C1(n17306), .Y(\ifu/ifc_fetch_addr_bf [28]) ); sky130_fd_sc_hd__a22oi_1 U21877 ( .A1(n17314), .A2( \lsu/lsu_lsc_ctl/bus_read_data_r [25]), .B1(n17313), .B2( lsu_fir_addr[25]), .Y(n17310) ); sky130_fd_sc_hd__nand2_1 U21878 ( .A(\dec/decode/i0_result_r [25]), .B( n17315), .Y(n17309) ); sky130_fd_sc_hd__nand3_1 U21879 ( .A(n17318), .B(n17310), .C(n17309), .Y( dec_dbg_rddata[25]) ); sky130_fd_sc_hd__a22oi_1 U21880 ( .A1(n17314), .A2( \lsu/lsu_lsc_ctl/bus_read_data_r [27]), .B1(n17313), .B2( lsu_fir_addr[27]), .Y(n17312) ); sky130_fd_sc_hd__nand2_1 U21881 ( .A(\dec/decode/i0_result_r [27]), .B( n17315), .Y(n17311) ); sky130_fd_sc_hd__nand3_1 U21882 ( .A(n17318), .B(n17312), .C(n17311), .Y( dec_dbg_rddata[27]) ); sky130_fd_sc_hd__a22oi_1 U21883 ( .A1(n17314), .A2( \lsu/lsu_lsc_ctl/bus_read_data_r [29]), .B1(n17313), .B2( lsu_fir_addr[29]), .Y(n17317) ); sky130_fd_sc_hd__nand2_1 U21884 ( .A(\dec/decode/i0_result_r [29]), .B( n17315), .Y(n17316) ); sky130_fd_sc_hd__nand3_1 U21885 ( .A(n17318), .B(n17317), .C(n17316), .Y( dec_dbg_rddata[29]) ); sky130_fd_sc_hd__clkinv_1 U21886 ( .A(\pic_ctrl_inst/picm_waddr_ff [3]), .Y( n21255) ); sky130_fd_sc_hd__nor2_1 U21887 ( .A(n21255), .B( \pic_ctrl_inst/picm_waddr_ff [4]), .Y(n21276) ); sky130_fd_sc_hd__clkinv_1 U21888 ( .A(\pic_ctrl_inst/picm_wren_ff ), .Y( n21547) ); sky130_fd_sc_hd__nor3_1 U21889 ( .A(\pic_ctrl_inst/picm_waddr_ff [6]), .B( n17325), .C(n21547), .Y(n21295) ); sky130_fd_sc_hd__nand2_1 U21890 ( .A(n21276), .B(n21295), .Y(n22877) ); sky130_fd_sc_hd__nor3_1 U21891 ( .A(\pic_ctrl_inst/picm_waddr_ff [10]), .B( \pic_ctrl_inst/picm_waddr_ff [11]), .C( \pic_ctrl_inst/picm_waddr_ff [8]), .Y(n17319) ); sky130_fd_sc_hd__nand2_1 U21892 ( .A(\pic_ctrl_inst/picm_waddr_ff [31]), .B( n17319), .Y(n17320) ); sky130_fd_sc_hd__nor3_1 U21893 ( .A(\pic_ctrl_inst/picm_waddr_ff [9]), .B( \pic_ctrl_inst/picm_waddr_ff [7]), .C(n17320), .Y(n17371) ); sky130_fd_sc_hd__nand3_1 U21894 ( .A(\pic_ctrl_inst/picm_waddr_ff [13]), .B( n17371), .C(n17372), .Y(n23060) ); sky130_fd_sc_hd__nor2_1 U21895 ( .A(\pic_ctrl_inst/picm_waddr_ff [12]), .B( n23060), .Y(n21548) ); sky130_fd_sc_hd__nand2_1 U21896 ( .A(n21548), .B(n21235), .Y(n23054) ); sky130_fd_sc_hd__nor2_1 U21897 ( .A(n22877), .B(n23054), .Y(n17322) ); sky130_fd_sc_hd__o2bb2ai_1 U21898 ( .B1(n17322), .B2(n17321), .A1_N(n17322), .A2_N(\pic_ctrl_inst/picm_wr_data_ff [0]), .Y( \pic_ctrl_inst/SETREG[10].NON_ZERO_INT.intenable_ff/_0_net_[0] ) ); sky130_fd_sc_hd__clkinv_1 U21899 ( .A(\pic_ctrl_inst/picm_waddr_ff [4]), .Y( n21251) ); sky130_fd_sc_hd__nor2_1 U21900 ( .A(n21255), .B(n21251), .Y(n21263) ); sky130_fd_sc_hd__nand2_1 U21901 ( .A(n21263), .B(n21295), .Y(n22903) ); sky130_fd_sc_hd__nor2_1 U21902 ( .A(n22903), .B(n23054), .Y(n17324) ); sky130_fd_sc_hd__o2bb2ai_1 U21903 ( .B1(n17324), .B2(n17323), .A1_N(n17324), .A2_N(\pic_ctrl_inst/picm_wr_data_ff [0]), .Y( \pic_ctrl_inst/SETREG[14].NON_ZERO_INT.intenable_ff/_0_net_[0] ) ); sky130_fd_sc_hd__nor2_1 U21904 ( .A(n21251), .B( \pic_ctrl_inst/picm_waddr_ff [3]), .Y(n21270) ); sky130_fd_sc_hd__nand2_1 U21905 ( .A(\pic_ctrl_inst/picm_waddr_ff [6]), .B( \pic_ctrl_inst/picm_wren_ff ), .Y(n17334) ); sky130_fd_sc_hd__nor2_1 U21906 ( .A(n17325), .B(n17334), .Y(n17363) ); sky130_fd_sc_hd__nand2_1 U21907 ( .A(n21270), .B(n17363), .Y(n22988) ); sky130_fd_sc_hd__nor2_1 U21908 ( .A(n22988), .B(n23054), .Y(n17327) ); sky130_fd_sc_hd__o2bb2ai_1 U21909 ( .B1(n17327), .B2(n17326), .A1_N(n17327), .A2_N(\pic_ctrl_inst/picm_wr_data_ff [0]), .Y( \pic_ctrl_inst/SETREG[28].NON_ZERO_INT.intenable_ff/_0_net_[0] ) ); sky130_fd_sc_hd__nor3_1 U21910 ( .A(\pic_ctrl_inst/picm_waddr_ff [5]), .B( \pic_ctrl_inst/picm_waddr_ff [6]), .C(n21547), .Y(n21236) ); sky130_fd_sc_hd__nand2_1 U21911 ( .A(n21236), .B(n21263), .Y(n22853) ); sky130_fd_sc_hd__nor2_1 U21912 ( .A(n22853), .B(n23054), .Y(n17329) ); sky130_fd_sc_hd__o2bb2ai_1 U21913 ( .B1(n17329), .B2(n17328), .A1_N(n17329), .A2_N(\pic_ctrl_inst/picm_wr_data_ff [0]), .Y( \pic_ctrl_inst/SETREG[6].NON_ZERO_INT.intenable_ff/_0_net_[0] ) ); sky130_fd_sc_hd__nand2_1 U21914 ( .A(n21270), .B(n21295), .Y(n22890) ); sky130_fd_sc_hd__nor2_1 U21915 ( .A(n22890), .B(n23054), .Y(n17331) ); sky130_fd_sc_hd__o2bb2ai_1 U21916 ( .B1(n17331), .B2(n17330), .A1_N(n17331), .A2_N(\pic_ctrl_inst/picm_wr_data_ff [0]), .Y( \pic_ctrl_inst/SETREG[12].NON_ZERO_INT.intenable_ff/_0_net_[0] ) ); sky130_fd_sc_hd__nand2_1 U21917 ( .A(n21236), .B(n21276), .Y(n22828) ); sky130_fd_sc_hd__nor2_1 U21918 ( .A(n22828), .B(n23054), .Y(n17333) ); sky130_fd_sc_hd__o2bb2ai_1 U21919 ( .B1(n17333), .B2(n17332), .A1_N(n17333), .A2_N(\pic_ctrl_inst/picm_wr_data_ff [0]), .Y( \pic_ctrl_inst/SETREG[2].NON_ZERO_INT.intenable_ff/_0_net_[0] ) ); sky130_fd_sc_hd__nor2_1 U21920 ( .A(\pic_ctrl_inst/picm_waddr_ff [5]), .B( n17334), .Y(n21266) ); sky130_fd_sc_hd__nand2_1 U21921 ( .A(n21266), .B(n21276), .Y(n22927) ); sky130_fd_sc_hd__nand2_1 U21922 ( .A(\pic_ctrl_inst/picm_waddr_ff [2]), .B( n21548), .Y(n23043) ); sky130_fd_sc_hd__nor2_1 U21923 ( .A(n22927), .B(n23043), .Y(n17336) ); sky130_fd_sc_hd__nor2_1 U21924 ( .A(n22828), .B(n23043), .Y(n17338) ); sky130_fd_sc_hd__o2bb2ai_1 U21925 ( .B1(n17338), .B2(n17337), .A1_N(n17338), .A2_N(\pic_ctrl_inst/picm_wr_data_ff [0]), .Y( \pic_ctrl_inst/SETREG[3].NON_ZERO_INT.intenable_ff/_0_net_[0] ) ); sky130_fd_sc_hd__nor2_1 U21926 ( .A(\pic_ctrl_inst/picm_waddr_ff [3]), .B( \pic_ctrl_inst/picm_waddr_ff [4]), .Y(n21237) ); sky130_fd_sc_hd__nand2_1 U21927 ( .A(n21237), .B(n21266), .Y(n23051) ); sky130_fd_sc_hd__nor2_1 U21928 ( .A(n23051), .B(n23043), .Y(n17340) ); sky130_fd_sc_hd__o2bb2ai_1 U21929 ( .B1(n17340), .B2(n17339), .A1_N(n17340), .A2_N(\pic_ctrl_inst/picm_wr_data_ff [0]), .Y( \pic_ctrl_inst/SETREG[17].NON_ZERO_INT.intenable_ff/_0_net_[0] ) ); sky130_fd_sc_hd__nand2_1 U21930 ( .A(n21236), .B(n21270), .Y(n23048) ); sky130_fd_sc_hd__nor2_1 U21931 ( .A(n23048), .B(n23043), .Y(n17342) ); sky130_fd_sc_hd__nand2_1 U21932 ( .A(n21237), .B(n21295), .Y(n23050) ); sky130_fd_sc_hd__nor2_1 U21933 ( .A(n23050), .B(n23043), .Y(n17344) ); sky130_fd_sc_hd__o2bb2ai_1 U21934 ( .B1(n17344), .B2(n17343), .A1_N(n17344), .A2_N(\pic_ctrl_inst/picm_wr_data_ff [0]), .Y( \pic_ctrl_inst/SETREG[9].NON_ZERO_INT.intenable_ff/_0_net_[0] ) ); sky130_fd_sc_hd__nand2_1 U21935 ( .A(n21276), .B(n17363), .Y(n22976) ); sky130_fd_sc_hd__nor2_1 U21936 ( .A(n22976), .B(n23043), .Y(n17346) ); sky130_fd_sc_hd__o2bb2ai_1 U21937 ( .B1(n17346), .B2(n17345), .A1_N(n17346), .A2_N(\pic_ctrl_inst/picm_wr_data_ff [0]), .Y( \pic_ctrl_inst/SETREG[27].NON_ZERO_INT.intenable_ff/_0_net_[0] ) ); sky130_fd_sc_hd__nor2_1 U21938 ( .A(n22853), .B(n23043), .Y(n17348) ); sky130_fd_sc_hd__o2bb2ai_1 U21939 ( .B1(n17348), .B2(n17347), .A1_N(n17348), .A2_N(\pic_ctrl_inst/picm_wr_data_ff [0]), .Y( \pic_ctrl_inst/SETREG[7].NON_ZERO_INT.intenable_ff/_0_net_[0] ) ); sky130_fd_sc_hd__nor2_1 U21940 ( .A(n22988), .B(n23043), .Y(n17350) ); sky130_fd_sc_hd__o2bb2ai_1 U21941 ( .B1(n17350), .B2(n17349), .A1_N(n17350), .A2_N(\pic_ctrl_inst/picm_wr_data_ff [0]), .Y( \pic_ctrl_inst/SETREG[29].NON_ZERO_INT.intenable_ff/_0_net_[0] ) ); sky130_fd_sc_hd__nor2_1 U21942 ( .A(n22903), .B(n23043), .Y(n17352) ); sky130_fd_sc_hd__o2bb2ai_1 U21943 ( .B1(n17352), .B2(n17351), .A1_N(n17352), .A2_N(\pic_ctrl_inst/picm_wr_data_ff [0]), .Y( \pic_ctrl_inst/SETREG[15].NON_ZERO_INT.intenable_ff/_0_net_[0] ) ); sky130_fd_sc_hd__nand2_1 U21944 ( .A(n21237), .B(n17363), .Y(n22963) ); sky130_fd_sc_hd__nor2_1 U21945 ( .A(n22963), .B(n23043), .Y(n17354) ); sky130_fd_sc_hd__o2bb2ai_1 U21946 ( .B1(n17354), .B2(n17353), .A1_N(n17354), .A2_N(\pic_ctrl_inst/picm_wr_data_ff [0]), .Y( \pic_ctrl_inst/SETREG[25].NON_ZERO_INT.intenable_ff/_0_net_[0] ) ); sky130_fd_sc_hd__nor2_1 U21947 ( .A(n22877), .B(n23043), .Y(n17356) ); sky130_fd_sc_hd__o2bb2ai_1 U21948 ( .B1(n17356), .B2(n17355), .A1_N(n17356), .A2_N(\pic_ctrl_inst/picm_wr_data_ff [0]), .Y( \pic_ctrl_inst/SETREG[11].NON_ZERO_INT.intenable_ff/_0_net_[0] ) ); sky130_fd_sc_hd__nand2_1 U21949 ( .A(n21263), .B(n21266), .Y(n22951) ); sky130_fd_sc_hd__nor2_1 U21950 ( .A(n22951), .B(n23043), .Y(n17358) ); sky130_fd_sc_hd__o2bb2ai_1 U21951 ( .B1(n17358), .B2(n17357), .A1_N(n17358), .A2_N(\pic_ctrl_inst/picm_wr_data_ff [0]), .Y( \pic_ctrl_inst/SETREG[23].NON_ZERO_INT.intenable_ff/_0_net_[0] ) ); sky130_fd_sc_hd__nand2_1 U21952 ( .A(n21266), .B(n21270), .Y(n22938) ); sky130_fd_sc_hd__nor2_1 U21953 ( .A(n22938), .B(n23043), .Y(n17360) ); sky130_fd_sc_hd__o2bb2ai_1 U21954 ( .B1(n17360), .B2(n17359), .A1_N(n17360), .A2_N(\pic_ctrl_inst/picm_wr_data_ff [0]), .Y( \pic_ctrl_inst/SETREG[21].NON_ZERO_INT.intenable_ff/_0_net_[0] ) ); sky130_fd_sc_hd__nor2_1 U21955 ( .A(n22890), .B(n23043), .Y(n17362) ); sky130_fd_sc_hd__o2bb2ai_1 U21956 ( .B1(n17362), .B2(n17361), .A1_N(n17362), .A2_N(\pic_ctrl_inst/picm_wr_data_ff [0]), .Y( \pic_ctrl_inst/SETREG[13].NON_ZERO_INT.intenable_ff/_0_net_[0] ) ); sky130_fd_sc_hd__nand2_1 U21957 ( .A(n21263), .B(n17363), .Y(n23057) ); sky130_fd_sc_hd__nor2_1 U21958 ( .A(n23057), .B(n23043), .Y(n17365) ); sky130_fd_sc_hd__or2_0 U21959 ( .A(n22927), .B(n23054), .X(n17366) ); sky130_fd_sc_hd__clkinv_1 U21960 ( .A(\pic_ctrl_inst/picm_wr_data_ff [0]), .Y(n23064) ); sky130_fd_sc_hd__o2bb2ai_1 U21961 ( .B1(n17366), .B2(n23064), .A1_N(n17366), .A2_N(\pic_ctrl_inst/intenable_reg [18]), .Y( \pic_ctrl_inst/SETREG[18].NON_ZERO_INT.intenable_ff/_0_net_[0] ) ); sky130_fd_sc_hd__or2_0 U21962 ( .A(n22938), .B(n23054), .X(n17367) ); sky130_fd_sc_hd__o2bb2ai_1 U21963 ( .B1(n17367), .B2(n23064), .A1_N(n17367), .A2_N(\pic_ctrl_inst/intenable_reg [20]), .Y( \pic_ctrl_inst/SETREG[20].NON_ZERO_INT.intenable_ff/_0_net_[0] ) ); sky130_fd_sc_hd__or2_0 U21964 ( .A(n22951), .B(n23054), .X(n17368) ); sky130_fd_sc_hd__o2bb2ai_1 U21965 ( .B1(n17368), .B2(n23064), .A1_N(n17368), .A2_N(\pic_ctrl_inst/intenable_reg [22]), .Y( \pic_ctrl_inst/SETREG[22].NON_ZERO_INT.intenable_ff/_0_net_[0] ) ); sky130_fd_sc_hd__or2_0 U21966 ( .A(n22976), .B(n23054), .X(n17369) ); sky130_fd_sc_hd__o2bb2ai_1 U21967 ( .B1(n17369), .B2(n23064), .A1_N(n17369), .A2_N(\pic_ctrl_inst/intenable_reg [26]), .Y( \pic_ctrl_inst/SETREG[26].NON_ZERO_INT.intenable_ff/_0_net_[0] ) ); sky130_fd_sc_hd__or2_0 U21968 ( .A(n22963), .B(n23054), .X(n17370) ); sky130_fd_sc_hd__o2bb2ai_1 U21969 ( .B1(n17370), .B2(n23064), .A1_N(n17370), .A2_N(\pic_ctrl_inst/intenable_reg [24]), .Y( \pic_ctrl_inst/SETREG[24].NON_ZERO_INT.intenable_ff/_0_net_[0] ) ); sky130_fd_sc_hd__nand2b_1 U21970 ( .A_N(\pic_ctrl_inst/picm_waddr_ff [13]), .B(n17371), .Y(n17373) ); sky130_fd_sc_hd__nor2_1 U21971 ( .A(n17372), .B(n17373), .Y(n21544) ); sky130_fd_sc_hd__clkinv_1 U21972 ( .A(\pic_ctrl_inst/picm_waddr_ff [12]), .Y(n23058) ); sky130_fd_sc_hd__nand3_1 U21973 ( .A(n21544), .B(n21235), .C(n23058), .Y( n22777) ); sky130_fd_sc_hd__nor2_1 U21974 ( .A(n23057), .B(n22777), .Y(n22785) ); sky130_fd_sc_hd__clkinv_1 U21975 ( .A(n22785), .Y(n22784) ); sky130_fd_sc_hd__clkinv_1 U21976 ( .A(\pic_ctrl_inst/picm_wr_data_ff [1]), .Y(n23004) ); sky130_fd_sc_hd__o2bb2ai_1 U21977 ( .B1(n22784), .B2(n23004), .A1_N(n22784), .A2_N(\pic_ctrl_inst/gw_config_reg[30][1] ), .Y( \pic_ctrl_inst/SETREG[30].NON_ZERO_INT.gw_config_ff/_0_net_[1] ) ); sky130_fd_sc_hd__nor2_1 U21978 ( .A(n23050), .B(n22777), .Y(n22726) ); sky130_fd_sc_hd__clkinv_1 U21979 ( .A(n22726), .Y(n22725) ); sky130_fd_sc_hd__o2bb2ai_1 U21980 ( .B1(n22725), .B2(n23004), .A1_N(n22725), .A2_N(\pic_ctrl_inst/gw_config_reg[8][1] ), .Y( \pic_ctrl_inst/SETREG[8].NON_ZERO_INT.gw_config_ff/_0_net_[1] ) ); sky130_fd_sc_hd__nor2_1 U21981 ( .A(n23048), .B(n22777), .Y(n22715) ); sky130_fd_sc_hd__clkinv_1 U21982 ( .A(n22715), .Y(n22713) ); sky130_fd_sc_hd__o2bb2ai_1 U21983 ( .B1(n22713), .B2(n23004), .A1_N(n22713), .A2_N(\pic_ctrl_inst/gw_config_reg[4][1] ), .Y( \pic_ctrl_inst/SETREG[4].NON_ZERO_INT.gw_config_ff/_0_net_[1] ) ); sky130_fd_sc_hd__nor2_1 U21984 ( .A(n22853), .B(n22777), .Y(n22721) ); sky130_fd_sc_hd__clkinv_1 U21985 ( .A(n22721), .Y(n22719) ); sky130_fd_sc_hd__o2bb2ai_1 U21986 ( .B1(n22719), .B2(n23004), .A1_N(n22719), .A2_N(\pic_ctrl_inst/gw_config_reg[6][1] ), .Y( \pic_ctrl_inst/SETREG[6].NON_ZERO_INT.gw_config_ff/_0_net_[1] ) ); sky130_fd_sc_hd__nor2_1 U21987 ( .A(n22828), .B(n22777), .Y(n22709) ); sky130_fd_sc_hd__o2bb2ai_1 U21988 ( .B1(n22707), .B2(n23004), .A1_N(n22707), .A2_N(\pic_ctrl_inst/gw_config_reg[2][1] ), .Y( \pic_ctrl_inst/SETREG[2].NON_ZERO_INT.gw_config_ff/_0_net_[1] ) ); sky130_fd_sc_hd__nor2_1 U21989 ( .A(n22777), .B(n23051), .Y(n22745) ); sky130_fd_sc_hd__clkinv_1 U21990 ( .A(n22745), .Y(n22744) ); sky130_fd_sc_hd__o2bb2ai_1 U21991 ( .B1(n22744), .B2(n23004), .A1_N(n22744), .A2_N(\pic_ctrl_inst/gw_config_reg[16][1] ), .Y( \pic_ctrl_inst/SETREG[16].NON_ZERO_INT.gw_config_ff/_0_net_[1] ) ); sky130_fd_sc_hd__nor3_1 U21992 ( .A(\pic_ctrl_inst/picm_waddr_ff [14]), .B( \pic_ctrl_inst/picm_waddr_ff [12]), .C(n17373), .Y(n21552) ); sky130_fd_sc_hd__nand2_1 U21993 ( .A(n21552), .B(n21235), .Y(n22995) ); sky130_fd_sc_hd__nor2_1 U21994 ( .A(n22938), .B(n22995), .Y(n22937) ); sky130_fd_sc_hd__clkinv_1 U21995 ( .A(n22937), .Y(n22935) ); sky130_fd_sc_hd__clkinv_1 U21996 ( .A(\pic_ctrl_inst/picm_wr_data_ff [3]), .Y(n23008) ); sky130_fd_sc_hd__o2bb2ai_1 U21997 ( .B1(n22935), .B2(n23008), .A1_N(n22935), .A2_N(\pic_ctrl_inst/intpriority_reg[20][3] ), .Y( \pic_ctrl_inst/SETREG[20].NON_ZERO_INT.intpriority_ff/_0_net_[3] ) ); sky130_fd_sc_hd__nand3_1 U21998 ( .A(\pic_ctrl_inst/picm_waddr_ff [2]), .B( n21544), .C(n23058), .Y(n22786) ); sky130_fd_sc_hd__nor2_1 U21999 ( .A(n22890), .B(n22786), .Y(n22738) ); sky130_fd_sc_hd__clkinv_1 U22000 ( .A(n22738), .Y(n22737) ); sky130_fd_sc_hd__o2bb2ai_1 U22001 ( .B1(n22737), .B2(n23004), .A1_N(n22737), .A2_N(\pic_ctrl_inst/gw_config_reg[13][1] ), .Y( \pic_ctrl_inst/SETREG[13].NON_ZERO_INT.gw_config_ff/_0_net_[1] ) ); sky130_fd_sc_hd__nor2_1 U22002 ( .A(n23050), .B(n22786), .Y(n22728) ); sky130_fd_sc_hd__o2bb2ai_1 U22003 ( .B1(n22727), .B2(n23004), .A1_N(n22727), .A2_N(\pic_ctrl_inst/gw_config_reg[9][1] ), .Y( \pic_ctrl_inst/SETREG[9].NON_ZERO_INT.gw_config_ff/_0_net_[1] ) ); sky130_fd_sc_hd__nor2_1 U22004 ( .A(n22877), .B(n22786), .Y(n22733) ); sky130_fd_sc_hd__clkinv_1 U22005 ( .A(n22733), .Y(n22732) ); sky130_fd_sc_hd__o2bb2ai_1 U22006 ( .B1(n22732), .B2(n23004), .A1_N(n22732), .A2_N(\pic_ctrl_inst/gw_config_reg[11][1] ), .Y( \pic_ctrl_inst/SETREG[11].NON_ZERO_INT.gw_config_ff/_0_net_[1] ) ); sky130_fd_sc_hd__nor2_1 U22007 ( .A(n22988), .B(n22786), .Y(n22783) ); sky130_fd_sc_hd__o2bb2ai_1 U22008 ( .B1(n22782), .B2(n23004), .A1_N(n22782), .A2_N(\pic_ctrl_inst/gw_config_reg[29][1] ), .Y( \pic_ctrl_inst/SETREG[29].NON_ZERO_INT.gw_config_ff/_0_net_[1] ) ); sky130_fd_sc_hd__nor2_1 U22009 ( .A(n22903), .B(n22786), .Y(n22743) ); sky130_fd_sc_hd__clkinv_1 U22010 ( .A(n22743), .Y(n22742) ); sky130_fd_sc_hd__o2bb2ai_1 U22011 ( .B1(n22742), .B2(n23004), .A1_N(n22742), .A2_N(\pic_ctrl_inst/gw_config_reg[15][1] ), .Y( \pic_ctrl_inst/SETREG[15].NON_ZERO_INT.gw_config_ff/_0_net_[1] ) ); sky130_fd_sc_hd__nor2_1 U22012 ( .A(n22786), .B(n23051), .Y(n22747) ); sky130_fd_sc_hd__clkinv_1 U22013 ( .A(n22747), .Y(n22746) ); sky130_fd_sc_hd__o2bb2ai_1 U22014 ( .B1(n22746), .B2(n23004), .A1_N(n22746), .A2_N(\pic_ctrl_inst/gw_config_reg[17][1] ), .Y( \pic_ctrl_inst/SETREG[17].NON_ZERO_INT.gw_config_ff/_0_net_[1] ) ); sky130_fd_sc_hd__nor2_1 U22015 ( .A(n22786), .B(n22963), .Y(n22770) ); sky130_fd_sc_hd__clkinv_1 U22016 ( .A(n22770), .Y(n22769) ); sky130_fd_sc_hd__o2bb2ai_1 U22017 ( .B1(n22769), .B2(n23004), .A1_N(n22769), .A2_N(\pic_ctrl_inst/gw_config_reg[25][1] ), .Y( \pic_ctrl_inst/SETREG[25].NON_ZERO_INT.gw_config_ff/_0_net_[1] ) ); sky130_fd_sc_hd__nor2_1 U22018 ( .A(n22786), .B(n22927), .Y(n22753) ); sky130_fd_sc_hd__clkinv_1 U22019 ( .A(n22753), .Y(n22752) ); sky130_fd_sc_hd__o2bb2ai_1 U22020 ( .B1(n22752), .B2(n23004), .A1_N(n22752), .A2_N(\pic_ctrl_inst/gw_config_reg[19][1] ), .Y( \pic_ctrl_inst/SETREG[19].NON_ZERO_INT.gw_config_ff/_0_net_[1] ) ); sky130_fd_sc_hd__nor2_1 U22021 ( .A(n22786), .B(n22976), .Y(n22776) ); sky130_fd_sc_hd__clkinv_1 U22022 ( .A(n22776), .Y(n22775) ); sky130_fd_sc_hd__o2bb2ai_1 U22023 ( .B1(n22775), .B2(n23004), .A1_N(n22775), .A2_N(\pic_ctrl_inst/gw_config_reg[27][1] ), .Y( \pic_ctrl_inst/SETREG[27].NON_ZERO_INT.gw_config_ff/_0_net_[1] ) ); sky130_fd_sc_hd__nor2_1 U22024 ( .A(n22786), .B(n22938), .Y(n22758) ); sky130_fd_sc_hd__clkinv_1 U22025 ( .A(n22758), .Y(n22757) ); sky130_fd_sc_hd__o2bb2ai_1 U22026 ( .B1(n22757), .B2(n23004), .A1_N(n22757), .A2_N(\pic_ctrl_inst/gw_config_reg[21][1] ), .Y( \pic_ctrl_inst/SETREG[21].NON_ZERO_INT.gw_config_ff/_0_net_[1] ) ); sky130_fd_sc_hd__nand2_1 U22027 ( .A(n21237), .B(n21236), .Y(n23061) ); sky130_fd_sc_hd__nor2_1 U22028 ( .A(n22786), .B(n23061), .Y(n23067) ); sky130_fd_sc_hd__o2bb2ai_1 U22029 ( .B1(n23065), .B2(n23004), .A1_N(n23065), .A2_N(\pic_ctrl_inst/gw_config_reg[1][1] ), .Y( \pic_ctrl_inst/SETREG[1].NON_ZERO_INT.gw_config_ff/_0_net_[1] ) ); sky130_fd_sc_hd__nor2_1 U22030 ( .A(n22786), .B(n22951), .Y(n22764) ); sky130_fd_sc_hd__clkinv_1 U22031 ( .A(n22764), .Y(n22763) ); sky130_fd_sc_hd__o2bb2ai_1 U22032 ( .B1(n22763), .B2(n23004), .A1_N(n22763), .A2_N(\pic_ctrl_inst/gw_config_reg[23][1] ), .Y( \pic_ctrl_inst/SETREG[23].NON_ZERO_INT.gw_config_ff/_0_net_[1] ) ); sky130_fd_sc_hd__nor2_1 U22033 ( .A(n22963), .B(n22995), .Y(n22962) ); sky130_fd_sc_hd__clkinv_1 U22034 ( .A(n22962), .Y(n22960) ); sky130_fd_sc_hd__o2bb2ai_1 U22035 ( .B1(n22960), .B2(n23064), .A1_N(n22960), .A2_N(\pic_ctrl_inst/intpriority_reg[24][0] ), .Y( \pic_ctrl_inst/SETREG[24].NON_ZERO_INT.intpriority_ff/_0_net_[0] ) ); sky130_fd_sc_hd__nor2_1 U22036 ( .A(dmi_reg_addr[1]), .B(n17375), .Y(n17377) ); sky130_fd_sc_hd__nand2_1 U22037 ( .A(n17377), .B(n17376), .Y(n24317) ); sky130_fd_sc_hd__nor3_1 U22038 ( .A(dmi_reg_addr[2]), .B(dmi_reg_addr[0]), .C(n24317), .Y(n24316) ); sky130_fd_sc_hd__nand2_1 U22039 ( .A(n18135), .B(n24316), .Y(n24496) ); sky130_fd_sc_hd__clkinv_1 U22040 ( .A(dmi_reg_wdata[0]), .Y(n18136) ); sky130_fd_sc_hd__o2bb2ai_1 U22041 ( .B1(n24496), .B2(n18136), .A1_N(n24496), .A2_N(\dbg/dmcontrol_reg_0 ), .Y( \dbg/dmcontrol_dmactive_ff/_0_net_[0] ) ); sky130_fd_sc_hd__clkinv_1 U22042 ( .A(dmi_reg_wdata[30]), .Y(n18332) ); sky130_fd_sc_hd__o2bb2ai_1 U22043 ( .B1(n24496), .B2(n18332), .A1_N(n24496), .A2_N(\dbg/dmcontrol_reg [30]), .Y(\dbg/dmcontrolff/_0_net_[2] ) ); sky130_fd_sc_hd__o2bb2ai_1 U22044 ( .B1(n24496), .B2(n18328), .A1_N(n24496), .A2_N(\dbg/dmcontrol_reg_28 ), .Y(\dbg/dmcontrolff/_0_net_[1] ) ); sky130_fd_sc_hd__o2bb2ai_1 U22045 ( .B1(n22935), .B2(n23004), .A1_N(n22935), .A2_N(\pic_ctrl_inst/intpriority_reg[20][1] ), .Y( \pic_ctrl_inst/SETREG[20].NON_ZERO_INT.intpriority_ff/_0_net_[1] ) ); sky130_fd_sc_hd__nand2_1 U22046 ( .A(\pic_ctrl_inst/picm_waddr_ff [2]), .B( n21552), .Y(n23002) ); sky130_fd_sc_hd__nor2_1 U22047 ( .A(n23061), .B(n23002), .Y(n22822) ); sky130_fd_sc_hd__clkinv_1 U22048 ( .A(n22822), .Y(n22820) ); sky130_fd_sc_hd__o2bb2ai_1 U22049 ( .B1(n22820), .B2(n23008), .A1_N(n22820), .A2_N(\pic_ctrl_inst/intpriority_reg[1][3] ), .Y( \pic_ctrl_inst/SETREG[1].NON_ZERO_INT.intpriority_ff/_0_net_[3] ) ); sky130_fd_sc_hd__nor2_1 U22050 ( .A(n23051), .B(n23002), .Y(n22920) ); sky130_fd_sc_hd__o2bb2ai_1 U22051 ( .B1(n22918), .B2(n23008), .A1_N(n22918), .A2_N(\pic_ctrl_inst/intpriority_reg[17][3] ), .Y( \pic_ctrl_inst/SETREG[17].NON_ZERO_INT.intpriority_ff/_0_net_[3] ) ); sky130_fd_sc_hd__nor2_1 U22052 ( .A(n22988), .B(n22995), .Y(n22987) ); sky130_fd_sc_hd__o2bb2ai_1 U22053 ( .B1(n22985), .B2(n23004), .A1_N(n22985), .A2_N(\pic_ctrl_inst/intpriority_reg[28][1] ), .Y( \pic_ctrl_inst/SETREG[28].NON_ZERO_INT.intpriority_ff/_0_net_[1] ) ); sky130_fd_sc_hd__nor2_1 U22054 ( .A(n23050), .B(n22995), .Y(n22864) ); sky130_fd_sc_hd__clkinv_1 U22055 ( .A(n22864), .Y(n22862) ); sky130_fd_sc_hd__o2bb2ai_1 U22056 ( .B1(n22862), .B2(n23004), .A1_N(n22862), .A2_N(\pic_ctrl_inst/intpriority_reg[8][1] ), .Y( \pic_ctrl_inst/SETREG[8].NON_ZERO_INT.intpriority_ff/_0_net_[1] ) ); sky130_fd_sc_hd__nor2_1 U22057 ( .A(n22828), .B(n22995), .Y(n22827) ); sky130_fd_sc_hd__o2bb2ai_1 U22058 ( .B1(n22825), .B2(n23004), .A1_N(n22825), .A2_N(\pic_ctrl_inst/intpriority_reg[2][1] ), .Y( \pic_ctrl_inst/SETREG[2].NON_ZERO_INT.intpriority_ff/_0_net_[1] ) ); sky130_fd_sc_hd__nand3_1 U22059 ( .A(n17377), .B(dmi_reg_addr[5]), .C( dmi_reg_addr[3]), .Y(n18134) ); sky130_fd_sc_hd__nor3_1 U22060 ( .A(dmi_reg_addr[2]), .B(dmi_reg_addr[0]), .C(n18134), .Y(n24410) ); sky130_fd_sc_hd__clkinv_1 U22061 ( .A(\dbg/sb_state [2]), .Y(n22805) ); sky130_fd_sc_hd__nand2_1 U22062 ( .A(n22805), .B(n22814), .Y(n22793) ); sky130_fd_sc_hd__nor3_1 U22063 ( .A(n22793), .B(\dbg/sb_state [0]), .C( \dbg/sb_state [1]), .Y(n21563) ); sky130_fd_sc_hd__nand3_1 U22064 ( .A(n18135), .B(n24410), .C(n21563), .Y( n24512) ); sky130_fd_sc_hd__clkinv_1 U22065 ( .A(dmi_reg_wdata[16]), .Y(n18306) ); sky130_fd_sc_hd__o2bb2ai_1 U22066 ( .B1(n24512), .B2(n18306), .A1_N(n24512), .A2_N(\dbg/sbcs_reg [16]), .Y(\dbg/sbcs_misc_reg/_0_net_[1] ) ); sky130_fd_sc_hd__o2bb2ai_1 U22067 ( .B1(n24512), .B2(n18312), .A1_N(n24512), .A2_N(\dbg/sbcs_reg_20 ), .Y(\dbg/sbcs_sbreadonaddr_reg/_0_net_[0] ) ); sky130_fd_sc_hd__clkinv_1 U22068 ( .A(dmi_reg_wdata[15]), .Y(n18163) ); sky130_fd_sc_hd__o2bb2ai_1 U22069 ( .B1(n24512), .B2(n18163), .A1_N(n24512), .A2_N(\dbg/sbcs_reg [15]), .Y(\dbg/sbcs_misc_reg/_0_net_[0] ) ); sky130_fd_sc_hd__nand2_1 U22070 ( .A(\dbg/sb_state [2]), .B(n22814), .Y( n22809) ); sky130_fd_sc_hd__nor2_1 U22071 ( .A(\dbg/sb_state [1]), .B(n22809), .Y( sb_axi_awvalid) ); sky130_fd_sc_hd__nor2_1 U22072 ( .A(\dbg/sb_state [0]), .B(n22809), .Y( sb_axi_wvalid) ); sky130_fd_sc_hd__nand3_1 U22073 ( .A(\dbg/sb_state [3]), .B(n22794), .C( n22805), .Y(n17378) ); sky130_fd_sc_hd__nor2_1 U22074 ( .A(n22801), .B(n17378), .Y(n18050) ); sky130_fd_sc_hd__clkinv_1 U22075 ( .A(n18050), .Y(n23036) ); sky130_fd_sc_hd__nor3_1 U22076 ( .A(n22801), .B(n22794), .C(n22809), .Y( n22791) ); sky130_fd_sc_hd__nor2_1 U22077 ( .A(\dbg/sb_state [0]), .B(n17378), .Y( n22812) ); sky130_fd_sc_hd__a22oi_1 U22078 ( .A1(n22791), .A2(sb_axi_rvalid), .B1( sb_axi_bvalid), .B2(n22812), .Y(n18127) ); sky130_fd_sc_hd__nand2_1 U22079 ( .A(\dbg/sb_state [0]), .B( \dbg/sb_state [1]), .Y(n17379) ); sky130_fd_sc_hd__a21oi_1 U22080 ( .A1(n22801), .A2(n22794), .B1(n22793), .Y( n17384) ); sky130_fd_sc_hd__o21ai_1 U22081 ( .A1(sb_axi_arready), .A2(n17379), .B1( n17384), .Y(n17382) ); sky130_fd_sc_hd__nand2_1 U22082 ( .A(sb_axi_awvalid), .B(sb_axi_awready), .Y(n17381) ); sky130_fd_sc_hd__nand2_1 U22083 ( .A(sb_axi_wvalid), .B(sb_axi_wready), .Y( n17380) ); sky130_fd_sc_hd__nand4_1 U22084 ( .A(n18127), .B(n17382), .C(n17381), .D( n17380), .Y(n17387) ); sky130_fd_sc_hd__a211oi_1 U22085 ( .A1(\dbg/N205 ), .A2(sb_axi_awsize[0]), .B1(sb_axi_awaddr[1]), .C1(sb_axi_awaddr[0]), .Y(n17383) ); sky130_fd_sc_hd__nand2_1 U22086 ( .A(n24513), .B(sb_axi_awsize[1]), .Y( n17935) ); sky130_fd_sc_hd__nor2_1 U22087 ( .A(sb_axi_awsize[2]), .B(sb_axi_awsize[1]), .Y(n17928) ); sky130_fd_sc_hd__nand2_1 U22088 ( .A(sb_axi_awsize[0]), .B(n17928), .Y( n18173) ); sky130_fd_sc_hd__clkinv_1 U22089 ( .A(sb_axi_awaddr[0]), .Y(n18257) ); sky130_fd_sc_hd__o22ai_1 U22090 ( .A1(n17383), .A2(n17935), .B1(n18173), .B2(n18257), .Y(n24506) ); sky130_fd_sc_hd__nor2_1 U22091 ( .A(sb_axi_awsize[2]), .B(n24506), .Y(n22804) ); sky130_fd_sc_hd__o21ai_1 U22092 ( .A1(n22794), .A2(n22801), .B1(n17384), .Y( n24507) ); sky130_fd_sc_hd__nor2_1 U22093 ( .A(n22804), .B(n24507), .Y(n22813) ); sky130_fd_sc_hd__nor3_1 U22094 ( .A(dmi_reg_addr[2]), .B(n18134), .C(n24318), .Y(n24413) ); sky130_fd_sc_hd__nand2_1 U22095 ( .A(n18135), .B(n24413), .Y(n21777) ); sky130_fd_sc_hd__nand2b_1 U22096 ( .A_N(n21777), .B(\dbg/sbcs_reg_20 ), .Y( n17386) ); sky130_fd_sc_hd__nand3_1 U22097 ( .A(dmi_reg_addr[2]), .B(n24516), .C(n24318), .Y(n24377) ); sky130_fd_sc_hd__nor2_1 U22098 ( .A(n24481), .B(n24377), .Y(n24487) ); sky130_fd_sc_hd__o21ai_1 U22099 ( .A1(dmi_reg_wr_en), .A2(\dbg/sbcs_reg [15]), .B1(n24487), .Y(n17385) ); sky130_fd_sc_hd__clkinv_1 U22100 ( .A(n21563), .Y(n24514) ); sky130_fd_sc_hd__a21oi_1 U22101 ( .A1(n17386), .A2(n17385), .B1(n24514), .Y( n23035) ); sky130_fd_sc_hd__a211oi_1 U22102 ( .A1(dbg_bus_clk_en), .A2(n17387), .B1( n22813), .C1(n23035), .Y(n22802) ); sky130_fd_sc_hd__nand2_1 U22103 ( .A(n23036), .B(n22802), .Y(n22815) ); sky130_fd_sc_hd__nand2_1 U22104 ( .A(sb_axi_awvalid), .B(n22801), .Y(n17388) ); sky130_fd_sc_hd__clkinv_1 U22105 ( .A(n24377), .Y(n24409) ); sky130_fd_sc_hd__nand2_1 U22106 ( .A(n18135), .B(n24409), .Y(n21779) ); sky130_fd_sc_hd__o22ai_1 U22107 ( .A1(sb_axi_wready), .A2(n17388), .B1( n24514), .B2(n21779), .Y(n17389) ); sky130_fd_sc_hd__a211oi_1 U22108 ( .A1(n22799), .A2(n22804), .B1( sb_axi_arvalid), .C1(n17389), .Y(n17390) ); sky130_fd_sc_hd__o2bb2ai_1 U22109 ( .B1(n22817), .B2(n17390), .A1_N( \dbg/sb_state [1]), .A2_N(n22802), .Y(\dbg/sb_state_reg/_0_net_[1] ) ); sky130_fd_sc_hd__nor2b_1 U22110 ( .B_N(\dec/decode/last_br_immed_x [12]), .A(\intadd_3/n1 ), .Y(n21658) ); sky130_fd_sc_hd__nand2b_1 U22111 ( .A_N(exu_i0_pc_x[13]), .B(n21658), .Y( n21603) ); sky130_fd_sc_hd__nor2_1 U22112 ( .A(exu_i0_pc_x[14]), .B(n21603), .Y(n21607) ); sky130_fd_sc_hd__nand2_1 U22113 ( .A(n21607), .B(n21606), .Y(n17391) ); sky130_fd_sc_hd__nor2_1 U22114 ( .A(exu_i0_pc_x[16]), .B(n17391), .Y(n21613) ); sky130_fd_sc_hd__nand2_1 U22115 ( .A(n21613), .B(n21612), .Y(n17392) ); sky130_fd_sc_hd__nor2_1 U22116 ( .A(exu_i0_pc_x[18]), .B(n17392), .Y(n21615) ); sky130_fd_sc_hd__clkinv_1 U22117 ( .A(exu_i0_pc_x[19]), .Y(n21619) ); sky130_fd_sc_hd__nand2_1 U22118 ( .A(n21615), .B(n21619), .Y(n21621) ); sky130_fd_sc_hd__nor2_1 U22119 ( .A(exu_i0_pc_x[20]), .B(n21621), .Y(n21625) ); sky130_fd_sc_hd__nand2b_1 U22120 ( .A_N(exu_i0_pc_x[21]), .B(n21625), .Y( n21626) ); sky130_fd_sc_hd__nor2_1 U22121 ( .A(exu_i0_pc_x[22]), .B(n21626), .Y(n21631) ); sky130_fd_sc_hd__nand2b_1 U22122 ( .A_N(exu_i0_pc_x[23]), .B(n21631), .Y( n21632) ); sky130_fd_sc_hd__nor2_1 U22123 ( .A(exu_i0_pc_x[24]), .B(n21632), .Y(n21639) ); sky130_fd_sc_hd__nand2b_1 U22124 ( .A_N(exu_i0_pc_x[25]), .B(n21639), .Y( n21642) ); sky130_fd_sc_hd__nor2_1 U22125 ( .A(exu_i0_pc_x[26]), .B(n21642), .Y(n21643) ); sky130_fd_sc_hd__clkinv_1 U22126 ( .A(exu_i0_pc_x[27]), .Y(n21644) ); sky130_fd_sc_hd__nand2_1 U22127 ( .A(n21643), .B(n21644), .Y(n21649) ); sky130_fd_sc_hd__nor2_1 U22128 ( .A(exu_i0_pc_x[28]), .B(n21649), .Y(n21653) ); sky130_fd_sc_hd__nor2b_1 U22129 ( .B_N(\intadd_3/n1 ), .A( \dec/decode/last_br_immed_x [12]), .Y(n21657) ); sky130_fd_sc_hd__nand2_1 U22130 ( .A(n21657), .B(exu_i0_pc_x[20]), .Y(n17396) ); sky130_fd_sc_hd__nand4_1 U22131 ( .A(exu_i0_pc_x[14]), .B(exu_i0_pc_x[13]), .C(exu_i0_pc_x[23]), .D(exu_i0_pc_x[22]), .Y(n17395) ); sky130_fd_sc_hd__nand4_1 U22132 ( .A(exu_i0_pc_x[16]), .B(exu_i0_pc_x[15]), .C(exu_i0_pc_x[19]), .D(exu_i0_pc_x[18]), .Y(n17394) ); sky130_fd_sc_hd__nand4_1 U22133 ( .A(exu_i0_pc_x[17]), .B(exu_i0_pc_x[25]), .C(exu_i0_pc_x[24]), .D(exu_i0_pc_x[21]), .Y(n17393) ); sky130_fd_sc_hd__nor4_1 U22134 ( .A(n17396), .B(n17395), .C(n17394), .D( n17393), .Y(n21640) ); sky130_fd_sc_hd__nand2_1 U22135 ( .A(n21640), .B(exu_i0_pc_x[26]), .Y(n21645) ); sky130_fd_sc_hd__nor2_1 U22136 ( .A(n21645), .B(n21644), .Y(n21648) ); sky130_fd_sc_hd__nand2_1 U22137 ( .A(n21648), .B(exu_i0_pc_x[28]), .Y(n21647) ); sky130_fd_sc_hd__nand2_1 U22138 ( .A(exu_i0_pc_x[29]), .B(n21647), .Y(n21652) ); sky130_fd_sc_hd__o21ai_1 U22139 ( .A1(exu_i0_pc_x[29]), .A2(n21653), .B1( n21652), .Y(n21655) ); sky130_fd_sc_hd__o2bb2ai_1 U22140 ( .B1(exu_i0_pc_x[30]), .B2(n21655), .A1_N(exu_i0_pc_x[30]), .A2_N(n21655), .Y(pred_correct_npc_x[30]) ); sky130_fd_sc_hd__nor2b_1 U22141 ( .B_N(\dec/decode/r_t[icaf_f1] ), .A(n24012), .Y(\dec/dec_tlu_packet_r[icaf_f1] ) ); sky130_fd_sc_hd__and2_0 U22142 ( .A(\exu/predpipe_x [14]), .B( \exu/i0_flush_upper_x ), .X(exu_mp_eghr[1]) ); sky130_fd_sc_hd__and2_0 U22143 ( .A(\exu/predpipe_x [16]), .B( \exu/i0_flush_upper_x ), .X(exu_mp_eghr[3]) ); sky130_fd_sc_hd__clkinv_1 U22144 ( .A(\dec/decode/cam[1][wb] ), .Y(n17406) ); sky130_fd_sc_hd__nand3b_1 U22145 ( .A_N(n22514), .B(n22518), .C( lsu_nonblock_load_valid_m), .Y(n24088) ); sky130_fd_sc_hd__clkinv_1 U22146 ( .A(lsu_nonblock_load_inv_tag_r[1]), .Y( n22509) ); sky130_fd_sc_hd__clkinv_1 U22147 ( .A(\dec/decode/cam[1][tag][1] ), .Y( n24090) ); sky130_fd_sc_hd__clkinv_1 U22148 ( .A(lsu_nonblock_load_inv_tag_r[0]), .Y( n22507) ); sky130_fd_sc_hd__clkinv_1 U22149 ( .A(\dec/decode/cam[1][tag][0] ), .Y( n24087) ); sky130_fd_sc_hd__o221ai_1 U22150 ( .A1(\dec/decode/cam[1][tag][0] ), .A2( n22507), .B1(n24087), .B2(lsu_nonblock_load_inv_tag_r[0]), .C1(n22514), .Y(n17397) ); sky130_fd_sc_hd__a221oi_1 U22151 ( .A1(\dec/decode/cam[1][tag][1] ), .A2( n22509), .B1(n24090), .B2(lsu_nonblock_load_inv_tag_r[1]), .C1(n17397), .Y(n17405) ); sky130_fd_sc_hd__o22ai_1 U22152 ( .A1(\dec/decode/cam[1][rd][0] ), .A2( n22503), .B1(n24082), .B2(\dec/dec_i0_waddr_r [0]), .Y(n17403) ); sky130_fd_sc_hd__o22ai_1 U22153 ( .A1(\dec/decode/cam[1][rd][2] ), .A2( n17419), .B1(n24084), .B2(\dec/dec_i0_waddr_r [2]), .Y(n17402) ); sky130_fd_sc_hd__o22ai_1 U22154 ( .A1(\dec/decode/cam[1][rd][1] ), .A2( \dec/dec_i0_waddr_r [1]), .B1(n24083), .B2(n22502), .Y(n17400) ); sky130_fd_sc_hd__clkinv_1 U22155 ( .A(\dec/decode/cam[1][rd][4] ), .Y(n24086) ); sky130_fd_sc_hd__o22ai_1 U22156 ( .A1(\dec/decode/cam[1][rd][4] ), .A2( \dec/dec_i0_waddr_r [4]), .B1(n24086), .B2(n22500), .Y(n17399) ); sky130_fd_sc_hd__o22ai_1 U22157 ( .A1(\dec/decode/cam[1][rd][3] ), .A2( \dec/dec_i0_waddr_r [3]), .B1(n24085), .B2(n17418), .Y(n17398) ); sky130_fd_sc_hd__nand4_1 U22158 ( .A(\dec/decode/cam[1][wb] ), .B(n17400), .C(n17399), .D(n17398), .Y(n17401) ); sky130_fd_sc_hd__nor4_1 U22159 ( .A(n17403), .B(n17402), .C(n22512), .D( n17401), .Y(n17404) ); sky130_fd_sc_hd__a21oi_1 U22160 ( .A1(n17405), .A2(lsu_nonblock_load_inv_r), .B1(n17404), .Y(n22515) ); sky130_fd_sc_hd__nand2_1 U22161 ( .A(n24088), .B(n22515), .Y(n24089) ); sky130_fd_sc_hd__o2bb2ai_1 U22162 ( .B1(n17406), .B2(n24089), .A1_N(n17405), .A2_N(\dec/decode/nonblock_load_valid_m_delay ), .Y( \dec/decode/cam_in[1][wb] ) ); sky130_fd_sc_hd__clkinv_1 U22163 ( .A(\dec/decode/cam[0][wb] ), .Y(n17416) ); sky130_fd_sc_hd__nor2_1 U22164 ( .A(n22518), .B(n22698), .Y(n22517) ); sky130_fd_sc_hd__clkinv_1 U22165 ( .A(n22517), .Y(n24104) ); sky130_fd_sc_hd__clkinv_1 U22166 ( .A(\dec/decode/cam[0][tag][1] ), .Y( n24106) ); sky130_fd_sc_hd__clkinv_1 U22167 ( .A(\dec/decode/cam[0][tag][0] ), .Y( n24102) ); sky130_fd_sc_hd__o221ai_1 U22168 ( .A1(\dec/decode/cam[0][tag][0] ), .A2( n22507), .B1(n24102), .B2(lsu_nonblock_load_inv_tag_r[0]), .C1(n22518), .Y(n17407) ); sky130_fd_sc_hd__a221oi_1 U22169 ( .A1(\dec/decode/cam[0][tag][1] ), .A2( n22509), .B1(n24106), .B2(lsu_nonblock_load_inv_tag_r[1]), .C1(n17407), .Y(n17415) ); sky130_fd_sc_hd__o22ai_1 U22170 ( .A1(\dec/decode/cam[0][rd][4] ), .A2( n22500), .B1(n24100), .B2(\dec/dec_i0_waddr_r [4]), .Y(n17413) ); sky130_fd_sc_hd__o22ai_1 U22171 ( .A1(\dec/decode/cam[0][rd][1] ), .A2( n22502), .B1(n24094), .B2(\dec/dec_i0_waddr_r [1]), .Y(n17412) ); sky130_fd_sc_hd__o22ai_1 U22172 ( .A1(\dec/decode/cam[0][rd][3] ), .A2( \dec/dec_i0_waddr_r [3]), .B1(n24098), .B2(n17418), .Y(n17410) ); sky130_fd_sc_hd__o22ai_1 U22173 ( .A1(\dec/decode/cam[0][rd][2] ), .A2( \dec/dec_i0_waddr_r [2]), .B1(n24096), .B2(n17419), .Y(n17409) ); sky130_fd_sc_hd__o22ai_1 U22174 ( .A1(\dec/decode/cam[0][rd][0] ), .A2( \dec/dec_i0_waddr_r [0]), .B1(n24092), .B2(n22503), .Y(n17408) ); sky130_fd_sc_hd__nand4_1 U22175 ( .A(\dec/decode/cam[0][wb] ), .B(n17410), .C(n17409), .D(n17408), .Y(n17411) ); sky130_fd_sc_hd__nor4_1 U22176 ( .A(n17413), .B(n17412), .C(n22512), .D( n17411), .Y(n17414) ); sky130_fd_sc_hd__a21oi_1 U22177 ( .A1(n17415), .A2(lsu_nonblock_load_inv_r), .B1(n17414), .Y(n22519) ); sky130_fd_sc_hd__nand2_1 U22178 ( .A(n24104), .B(n22519), .Y(n24105) ); sky130_fd_sc_hd__o2bb2ai_1 U22179 ( .B1(n17416), .B2(n24105), .A1_N(n17415), .A2_N(\dec/decode/nonblock_load_valid_m_delay ), .Y( \dec/decode/cam_in[0][wb] ) ); sky130_fd_sc_hd__nand3_1 U22180 ( .A(n22518), .B(lsu_nonblock_load_valid_m), .C(n22514), .Y(n24068) ); sky130_fd_sc_hd__nor3_1 U22181 ( .A(n22496), .B(n24067), .C(n24068), .Y( n22495) ); sky130_fd_sc_hd__clkinv_1 U22182 ( .A(n22495), .Y(n24064) ); sky130_fd_sc_hd__clkinv_1 U22183 ( .A(\dec/decode/cam[3][tag][0] ), .Y( n24063) ); sky130_fd_sc_hd__clkinv_1 U22184 ( .A(\dec/decode/cam[3][tag][1] ), .Y( n24066) ); sky130_fd_sc_hd__o221ai_1 U22185 ( .A1(\dec/decode/cam[3][tag][1] ), .A2( n22509), .B1(n24066), .B2(lsu_nonblock_load_inv_tag_r[1]), .C1(n22496), .Y(n17417) ); sky130_fd_sc_hd__a221oi_1 U22186 ( .A1(\dec/decode/cam[3][tag][0] ), .A2( n22507), .B1(n24063), .B2(lsu_nonblock_load_inv_tag_r[0]), .C1(n17417), .Y(n17427) ); sky130_fd_sc_hd__o22ai_1 U22187 ( .A1(\dec/decode/cam[3][rd][3] ), .A2( n17418), .B1(n24061), .B2(\dec/dec_i0_waddr_r [3]), .Y(n17425) ); sky130_fd_sc_hd__o22ai_1 U22188 ( .A1(\dec/decode/cam[3][rd][1] ), .A2( n22502), .B1(n24059), .B2(\dec/dec_i0_waddr_r [1]), .Y(n17424) ); sky130_fd_sc_hd__o22ai_1 U22189 ( .A1(\dec/decode/cam[3][rd][4] ), .A2( \dec/dec_i0_waddr_r [4]), .B1(n24062), .B2(n22500), .Y(n17422) ); sky130_fd_sc_hd__o22ai_1 U22190 ( .A1(\dec/decode/cam[3][rd][0] ), .A2( \dec/dec_i0_waddr_r [0]), .B1(n24058), .B2(n22503), .Y(n17421) ); sky130_fd_sc_hd__o22ai_1 U22191 ( .A1(\dec/decode/cam[3][rd][2] ), .A2( \dec/dec_i0_waddr_r [2]), .B1(n24060), .B2(n17419), .Y(n17420) ); sky130_fd_sc_hd__nand4_1 U22192 ( .A(\dec/decode/cam[3][wb] ), .B(n17422), .C(n17421), .D(n17420), .Y(n17423) ); sky130_fd_sc_hd__nor4_1 U22193 ( .A(n17425), .B(n17424), .C(n22512), .D( n17423), .Y(n17426) ); sky130_fd_sc_hd__a21oi_1 U22194 ( .A1(n17427), .A2(lsu_nonblock_load_inv_r), .B1(n17426), .Y(n22497) ); sky130_fd_sc_hd__nand2_1 U22195 ( .A(n24064), .B(n22497), .Y(n24065) ); sky130_fd_sc_hd__o2bb2ai_1 U22196 ( .B1(n17428), .B2(n24065), .A1_N(n17427), .A2_N(\dec/decode/nonblock_load_valid_m_delay ), .Y( \dec/decode/cam_in[3][wb] ) ); sky130_fd_sc_hd__o2bb2ai_1 U22197 ( .B1(\intadd_4/n1 ), .B2(n17430), .A1_N( \intadd_4/n1 ), .A2_N(n17429), .Y(n24565) ); sky130_fd_sc_hd__o2bb2ai_1 U22198 ( .B1(n10878), .B2(n24565), .A1_N(n10884), .A2_N(n24565), .Y(\pic_ctrl_inst/pl_in_q [1]) ); sky130_fd_sc_hd__o2bb2ai_1 U22199 ( .B1(\intadd_4/n1 ), .B2(n17432), .A1_N( \intadd_4/n1 ), .A2_N(n17431), .Y(n24564) ); sky130_fd_sc_hd__o2bb2ai_1 U22200 ( .B1(n10878), .B2(n24564), .A1_N(n10884), .A2_N(n24564), .Y(\pic_ctrl_inst/pl_in_q [2]) ); sky130_fd_sc_hd__o22ai_1 U22201 ( .A1(n22652), .A2(n17434), .B1( \intadd_4/n1 ), .B2(n17433), .Y(n24563) ); sky130_fd_sc_hd__o2bb2ai_1 U22202 ( .B1(n10878), .B2(n24563), .A1_N(n10884), .A2_N(n24563), .Y(\pic_ctrl_inst/pl_in_q [0]) ); sky130_fd_sc_hd__clkinv_1 U22203 ( .A(\exu/i_div/run_state ), .Y(n20321) ); sky130_fd_sc_hd__nor2_1 U22204 ( .A(\exu/i_div/shortq_enable_ff ), .B(n20321), .Y(n19985) ); sky130_fd_sc_hd__nand2_1 U22205 ( .A(n17435), .B(n19985), .Y(n20247) ); sky130_fd_sc_hd__o21ai_1 U22206 ( .A1(\exu/i_div/valid_ff_x ), .A2( \exu/i_div/shortq_enable_ff ), .B1(\exu/i_div/run_state ), .Y(n20178) ); sky130_fd_sc_hd__nor3_1 U22207 ( .A(\exu/i_div/shortq_shift_xx [2]), .B( \exu/i_div/shortq_shift_ff[2] ), .C(\exu/i_div/shortq_shift_xx [1]), .Y(n20314) ); sky130_fd_sc_hd__clkinv_1 U22208 ( .A(n20314), .Y(n19983) ); sky130_fd_sc_hd__nor2_1 U22209 ( .A(n20178), .B(n19983), .Y(n20254) ); sky130_fd_sc_hd__clkinv_1 U22210 ( .A(n20254), .Y(n20251) ); sky130_fd_sc_hd__nor2_1 U22211 ( .A(\exu/i_div/shortq_shift_xx [0]), .B( n20251), .Y(n20146) ); sky130_fd_sc_hd__nor2_1 U22212 ( .A(n20255), .B(n20146), .Y(n20133) ); sky130_fd_sc_hd__o2bb2ai_1 U22213 ( .B1(n20133), .B2(n20352), .A1_N( \exu/muldiv_rs1_d [1]), .A2_N(n20321), .Y(\exu/i_div/q_in [1]) ); sky130_fd_sc_hd__clkinv_1 U22214 ( .A(exu_csr_rs1_x[23]), .Y(n24635) ); sky130_fd_sc_hd__o2bb2ai_1 U22215 ( .B1(n10879), .B2(n24635), .A1_N(n10879), .A2_N(n19766), .Y(\exu/csr_rs1_in_d [23]) ); sky130_fd_sc_hd__clkinv_1 U22216 ( .A(exu_csr_rs1_x[25]), .Y(n24621) ); sky130_fd_sc_hd__o2bb2ai_1 U22217 ( .B1(n10879), .B2(n24621), .A1_N(n10879), .A2_N(n19811), .Y(\exu/csr_rs1_in_d [25]) ); sky130_fd_sc_hd__nand2_1 U22218 ( .A(\dec/decode/x_d[i0load] ), .B( \dec/decode/x_d[i0v] ), .Y(n24005) ); sky130_fd_sc_hd__o2bb2ai_1 U22219 ( .B1(n24003), .B2(n17437), .A1_N(n24003), .A2_N(n17436), .Y(\dec/decode/i0_result_x [29]) ); sky130_fd_sc_hd__o2bb2ai_1 U22220 ( .B1(n10879), .B2(n24607), .A1_N(n10879), .A2_N(n19843), .Y(\exu/csr_rs1_in_d [27]) ); sky130_fd_sc_hd__o2bb2ai_1 U22221 ( .B1(n22695), .B2(n19943), .A1_N(n22695), .A2_N(\exu/i_div/divisor_neg_ff ), .Y(\exu/i_div/miscf/_0_net_[2] ) ); sky130_fd_sc_hd__nor2_1 U22222 ( .A(scan_mode), .B(\dec/clk_override ), .Y( n21796) ); sky130_fd_sc_hd__nand2b_1 U22223 ( .A_N(\dec/decode/i0_pipe_en [1]), .B( n21796), .Y( \dec/decode/i0wbinstff/genblock.genblock.clkhdr/clkhdr/enable ) ); sky130_fd_sc_hd__o22ai_1 U22224 ( .A1(n19340), .A2(n24119), .B1(n18369), .B2(\exu/i0_predict_p_d[toffset][0] ), .Y(n17449) ); sky130_fd_sc_hd__nor2_1 U22225 ( .A(n17449), .B(n19219), .Y(\intadd_2/B[0] ) ); sky130_fd_sc_hd__nor2b_1 U22226 ( .B_N(n17460), .A(n23930), .Y(n17440) ); sky130_fd_sc_hd__a22oi_1 U22227 ( .A1(n17440), .A2(n17439), .B1(n17438), .B2(n17450), .Y(n17441) ); sky130_fd_sc_hd__o211ai_1 U22228 ( .A1(n23908), .A2(n17442), .B1(n17441), .C1(n24026), .Y(n17448) ); sky130_fd_sc_hd__nor3b_1 U22229 ( .C_N(n17443), .A(n17448), .B( \i0_ap[csr_imm] ), .Y(n19942) ); sky130_fd_sc_hd__nor3_1 U22230 ( .A(n23926), .B(n17445), .C(n17444), .Y( n19874) ); sky130_fd_sc_hd__o22ai_1 U22231 ( .A1(n19726), .A2(n19913), .B1(n19934), .B2(n17446), .Y(n17482) ); sky130_fd_sc_hd__a21oi_1 U22232 ( .A1(n21754), .A2(n24052), .B1( \i0_ap[csr_imm] ), .Y(n17447) ); sky130_fd_sc_hd__or2_0 U22233 ( .A(n17448), .B(n17447), .X(n19901) ); sky130_fd_sc_hd__clkinv_1 U22234 ( .A(n19901), .Y(n19935) ); sky130_fd_sc_hd__a21oi_1 U22235 ( .A1(n17449), .A2(n19219), .B1( \intadd_2/B[0] ), .Y(n18394) ); sky130_fd_sc_hd__o21ai_1 U22236 ( .A1(\exu/i0_predict_p_d[toffset][4] ), .A2(n17451), .B1(n17450), .Y(n17453) ); sky130_fd_sc_hd__a31oi_1 U22237 ( .A1(n24044), .A2(n17454), .A3(n17453), .B1(n17452), .Y(n17455) ); sky130_fd_sc_hd__a21oi_1 U22238 ( .A1(n17456), .A2(n17455), .B1(n19371), .Y( n19911) ); sky130_fd_sc_hd__clkbuf_1 U22239 ( .A(n19911), .X(n19941) ); sky130_fd_sc_hd__a22oi_1 U22240 ( .A1(n24049), .A2(n18394), .B1(n18395), .B2(n19941), .Y(n17457) ); sky130_fd_sc_hd__o21ai_1 U22241 ( .A1(n19346), .A2(n19935), .B1(n17457), .Y( n17481) ); sky130_fd_sc_hd__nor2_1 U22242 ( .A(n24110), .B(n24107), .Y(n17459) ); sky130_fd_sc_hd__and4_1 U22243 ( .A(n17460), .B(n17459), .C(n17458), .D( n24109), .X(n19833) ); sky130_fd_sc_hd__clkinv_1 U22244 ( .A(n19833), .Y(n19957) ); sky130_fd_sc_hd__nor3_1 U22245 ( .A(n17463), .B(n17462), .C(n17461), .Y( n17464) ); sky130_fd_sc_hd__nand2_1 U22246 ( .A(n23930), .B(n17464), .Y(n17791) ); sky130_fd_sc_hd__nor2_1 U22247 ( .A(\exu/i0_predict_p_d[toffset][4] ), .B( n17791), .Y(n19946) ); sky130_fd_sc_hd__a21boi_0 U22248 ( .A1(n24021), .A2(n17465), .B1_N(n17464), .Y(n17469) ); sky130_fd_sc_hd__nor2_1 U22249 ( .A(n19946), .B(n17469), .Y(n19960) ); sky130_fd_sc_hd__nand2_1 U22250 ( .A(\exu/i0_rs2_d [4]), .B(n19946), .Y( n19716) ); sky130_fd_sc_hd__nor2_1 U22251 ( .A(n19960), .B(n19679), .Y(n19888) ); sky130_fd_sc_hd__nand2_1 U22252 ( .A(n19946), .B(\exu/i0_rs2_d [3]), .Y( n19694) ); sky130_fd_sc_hd__nand2_1 U22253 ( .A(n19888), .B(n19694), .Y(n19535) ); sky130_fd_sc_hd__nor2_1 U22254 ( .A(\exu/i0_rs2_d [1]), .B(\exu/i0_rs2_d [2]), .Y(n19653) ); sky130_fd_sc_hd__nor2_1 U22255 ( .A(\exu/i0_rs2_d [1]), .B(n25109), .Y( n19507) ); sky130_fd_sc_hd__nand2_1 U22256 ( .A(\exu/i0_rs2_d [1]), .B(n25109), .Y( n19828) ); sky130_fd_sc_hd__clkinv_1 U22257 ( .A(n19828), .Y(n19598) ); sky130_fd_sc_hd__nor3_1 U22258 ( .A(n19507), .B(n19598), .C(n19864), .Y( n19915) ); sky130_fd_sc_hd__o22ai_1 U22259 ( .A1(n19365), .A2(n19456), .B1(n25109), .B2(n19434), .Y(n19427) ); sky130_fd_sc_hd__o22ai_1 U22260 ( .A1(n19365), .A2(n19398), .B1(n25109), .B2(n19346), .Y(n19414) ); sky130_fd_sc_hd__o22ai_1 U22261 ( .A1(n19415), .A2(n19427), .B1(n19428), .B2(n19414), .Y(n19457) ); sky130_fd_sc_hd__nand3_1 U22262 ( .A(n19726), .B(n19401), .C(n19365), .Y( n17468) ); sky130_fd_sc_hd__clkinv_1 U22263 ( .A(n17468), .Y(n22676) ); sky130_fd_sc_hd__nor2_1 U22264 ( .A(n22676), .B(n19864), .Y(n19375) ); sky130_fd_sc_hd__o21ai_1 U22265 ( .A1(\exu/i0_rs2_d [1]), .A2(n25109), .B1( \exu/i0_rs2_d [2]), .Y(n19717) ); sky130_fd_sc_hd__nand2_1 U22266 ( .A(n19375), .B(n19717), .Y(n19872) ); sky130_fd_sc_hd__a21bo_2 U22267 ( .A1(\exu/i0_rs2_d [2]), .A2(n17469), .B1_N(n19872), .X(n19949) ); sky130_fd_sc_hd__o22ai_1 U22268 ( .A1(n19365), .A2(n19350), .B1(n25109), .B2(n19348), .Y(n19425) ); sky130_fd_sc_hd__o22ai_1 U22269 ( .A1(n19365), .A2(n24540), .B1(n25109), .B2(n24539), .Y(n19429) ); sky130_fd_sc_hd__o2bb2ai_1 U22270 ( .B1(n19415), .B2(n19425), .A1_N(n19415), .A2_N(n19429), .Y(n19516) ); sky130_fd_sc_hd__o21ai_1 U22271 ( .A1(\exu/i0_rs2_d [3]), .A2(n17468), .B1( n19946), .Y(n17470) ); sky130_fd_sc_hd__a21oi_1 U22272 ( .A1(\exu/i0_rs2_d [3]), .A2(n17468), .B1( n17470), .Y(n19783) ); sky130_fd_sc_hd__a21oi_1 U22273 ( .A1(n17469), .A2(\exu/i0_rs2_d [3]), .B1( n19783), .Y(n19644) ); sky130_fd_sc_hd__clkinv_1 U22274 ( .A(n19644), .Y(n19634) ); sky130_fd_sc_hd__a31oi_1 U22275 ( .A1(n19946), .A2(n19659), .A3(n22676), .B1(n17469), .Y(n17471) ); sky130_fd_sc_hd__o22ai_1 U22276 ( .A1(n19727), .A2(n17471), .B1( \exu/i0_rs2_d [4]), .B2(n17470), .Y(n19892) ); sky130_fd_sc_hd__nor2_1 U22277 ( .A(n19634), .B(n19892), .Y(n19522) ); sky130_fd_sc_hd__clkinv_1 U22278 ( .A(n19522), .Y(n19624) ); sky130_fd_sc_hd__a221oi_1 U22279 ( .A1(n19457), .A2(n19443), .B1(n17472), .B2(n19949), .C1(n19624), .Y(n17477) ); sky130_fd_sc_hd__o22ai_1 U22280 ( .A1(n19365), .A2(n19640), .B1(n25109), .B2(n19351), .Y(n19409) ); sky130_fd_sc_hd__o22ai_1 U22281 ( .A1(n19365), .A2(n19606), .B1(n25109), .B2(n19352), .Y(n19412) ); sky130_fd_sc_hd__o22ai_1 U22282 ( .A1(n19415), .A2(n19409), .B1(n19428), .B2(n19412), .Y(n19654) ); sky130_fd_sc_hd__o22ai_1 U22283 ( .A1(n19365), .A2(n19353), .B1(n25109), .B2(n19349), .Y(n19411) ); sky130_fd_sc_hd__o22ai_1 U22284 ( .A1(n19365), .A2(n17474), .B1(n25109), .B2(n17473), .Y(n19426) ); sky130_fd_sc_hd__o22ai_1 U22285 ( .A1(n19415), .A2(n19411), .B1(n19428), .B2(n19426), .Y(n19580) ); sky130_fd_sc_hd__o22ai_1 U22286 ( .A1(n19443), .A2(n19654), .B1(n19949), .B2(n19580), .Y(n19521) ); sky130_fd_sc_hd__nor2_1 U22287 ( .A(n19892), .B(n19644), .Y(n19605) ); sky130_fd_sc_hd__clkinv_1 U22288 ( .A(n19605), .Y(n19622) ); sky130_fd_sc_hd__o22ai_1 U22289 ( .A1(n19365), .A2(n19358), .B1(n25109), .B2(n19843), .Y(n19419) ); sky130_fd_sc_hd__o22ai_1 U22290 ( .A1(n19365), .A2(n19359), .B1(n25109), .B2(n19811), .Y(n19422) ); sky130_fd_sc_hd__o22ai_1 U22291 ( .A1(n19415), .A2(n19419), .B1(n19428), .B2(n19422), .Y(n19882) ); sky130_fd_sc_hd__a21oi_1 U22292 ( .A1(n24021), .A2(n25109), .B1(n24561), .Y( n19416) ); sky130_fd_sc_hd__a21oi_1 U22293 ( .A1(n19946), .A2(n19376), .B1(n19416), .Y( n17475) ); sky130_fd_sc_hd__o22ai_1 U22294 ( .A1(n19365), .A2(n19357), .B1(n25109), .B2(n19902), .Y(n19420) ); sky130_fd_sc_hd__o22ai_1 U22295 ( .A1(n19415), .A2(n17475), .B1(n19428), .B2(n19420), .Y(n19458) ); sky130_fd_sc_hd__a22o_1 U22296 ( .A1(n19443), .A2(n19882), .B1(n19949), .B2( n19458), .X(n19518) ); sky130_fd_sc_hd__o22ai_1 U22297 ( .A1(n19365), .A2(n19708), .B1(n25109), .B2(n19354), .Y(n19423) ); sky130_fd_sc_hd__o22ai_1 U22298 ( .A1(n19365), .A2(n19355), .B1(n25109), .B2(n19356), .Y(n19410) ); sky130_fd_sc_hd__o22ai_1 U22299 ( .A1(n19415), .A2(n19423), .B1(n19428), .B2(n19410), .Y(n19728) ); sky130_fd_sc_hd__o22ai_1 U22300 ( .A1(n19365), .A2(n19782), .B1(n25109), .B2(n19766), .Y(n19421) ); sky130_fd_sc_hd__o22ai_1 U22301 ( .A1(n19365), .A2(n19755), .B1(n25109), .B2(n19735), .Y(n19424) ); sky130_fd_sc_hd__o22ai_1 U22302 ( .A1(n19415), .A2(n19421), .B1(n19428), .B2(n19424), .Y(n19798) ); sky130_fd_sc_hd__a22o_1 U22303 ( .A1(n19443), .A2(n19728), .B1(n19949), .B2( n19798), .X(n19519) ); sky130_fd_sc_hd__o22ai_1 U22304 ( .A1(n19644), .A2(n19518), .B1(n19634), .B2(n19519), .Y(n19656) ); sky130_fd_sc_hd__o22ai_1 U22305 ( .A1(n19521), .A2(n19622), .B1(n19956), .B2(n19656), .Y(n17476) ); sky130_fd_sc_hd__o22ai_1 U22306 ( .A1(n19653), .A2(n19864), .B1(n17477), .B2(n17476), .Y(n17478) ); sky130_fd_sc_hd__o22ai_1 U22307 ( .A1(n17479), .A2(n19957), .B1(n19535), .B2(n17478), .Y(n17480) ); sky130_fd_sc_hd__or3_1 U22308 ( .A(n17482), .B(n17481), .C(n17480), .X( \exu/i_alu/result [1]) ); sky130_fd_sc_hd__a21oi_1 U22309 ( .A1(n24221), .A2(n17599), .B1(n17578), .Y( n19753) ); sky130_fd_sc_hd__a22oi_1 U22310 ( .A1(n24049), .A2(n19754), .B1(n17555), .B2(n19753), .Y(n17485) ); sky130_fd_sc_hd__o21ai_1 U22311 ( .A1(n24221), .A2(n17597), .B1(n17576), .Y( n17483) ); sky130_fd_sc_hd__nand2_1 U22312 ( .A(n17483), .B(n17621), .Y(n17484) ); sky130_fd_sc_hd__o211ai_1 U22313 ( .A1(n18468), .A2(n24221), .B1(n17485), .C1(n17484), .Y(\exu/i0_flush_path_d [22]) ); sky130_fd_sc_hd__a22oi_1 U22314 ( .A1(n25080), .A2(dec_tlu_flush_path_r[22]), .B1(n25111), .B2(\exu/i0_flush_path_d [22]), .Y(n17491) ); sky130_fd_sc_hd__nor2_1 U22315 ( .A(n17584), .B(n18461), .Y(n17583) ); sky130_fd_sc_hd__a22oi_1 U22316 ( .A1(n18476), .A2( \ifu/ifu_bp_btb_target_f [22]), .B1(n17487), .B2(n17583), .Y(n17490) ); sky130_fd_sc_hd__nor2_1 U22317 ( .A(\ifu/ifc_fetch_addr_f [21]), .B(n18461), .Y(n17488) ); sky130_fd_sc_hd__o21ai_1 U22318 ( .A1(n17606), .A2(n18461), .B1(n18414), .Y( n17605) ); sky130_fd_sc_hd__o21ai_1 U22319 ( .A1(n17488), .A2(n17605), .B1( \ifu/ifc_fetch_addr_f [22]), .Y(n17489) ); sky130_fd_sc_hd__nand3_1 U22320 ( .A(n17491), .B(n17490), .C(n17489), .Y( \ifu/ifc_fetch_addr_bf [22]) ); sky130_fd_sc_hd__a21oi_1 U22321 ( .A1(dec_i0_pc_d[18]), .A2(n17614), .B1( n17492), .Y(n17496) ); sky130_fd_sc_hd__o21ai_1 U22322 ( .A1(dec_i0_pc_d[18]), .A2(n18568), .B1( n17493), .Y(n19682) ); sky130_fd_sc_hd__o22ai_1 U22323 ( .A1(n24173), .A2(n18468), .B1(n18467), .B2(n19682), .Y(n17494) ); sky130_fd_sc_hd__a21oi_1 U22324 ( .A1(n24049), .A2(n19683), .B1(n17494), .Y( n17495) ); sky130_fd_sc_hd__o21ai_1 U22325 ( .A1(n17496), .A2(n18471), .B1(n17495), .Y( \exu/i0_flush_path_d [18]) ); sky130_fd_sc_hd__a22oi_1 U22326 ( .A1(n25080), .A2(dec_tlu_flush_path_r[18]), .B1(n25111), .B2(\exu/i0_flush_path_d [18]), .Y(n17500) ); sky130_fd_sc_hd__a21oi_1 U22327 ( .A1(n18473), .A2(n17497), .B1(n18451), .Y( n17618) ); sky130_fd_sc_hd__o32ai_1 U22328 ( .A1(\ifu/ifc_fetch_addr_f [18]), .A2( n18461), .A3(n17497), .B1(n17618), .B2(n18710), .Y(n17498) ); sky130_fd_sc_hd__a21oi_1 U22329 ( .A1(n18476), .A2( \ifu/ifu_bp_btb_target_f [18]), .B1(n17498), .Y(n17499) ); sky130_fd_sc_hd__nand2_1 U22330 ( .A(n17500), .B(n17499), .Y( \ifu/ifc_fetch_addr_bf [18]) ); sky130_fd_sc_hd__clkinv_1 U22331 ( .A(n17501), .Y(n17627) ); sky130_fd_sc_hd__a21oi_1 U22332 ( .A1(n17621), .A2(n17627), .B1(n18456), .Y( n17502) ); sky130_fd_sc_hd__o22ai_1 U22333 ( .A1(n17502), .A2(n19819), .B1(n18467), .B2(n19818), .Y(n17503) ); sky130_fd_sc_hd__a21oi_1 U22334 ( .A1(n24049), .A2(n19821), .B1(n17503), .Y( n17504) ); sky130_fd_sc_hd__o21ai_1 U22335 ( .A1(n18471), .A2(n17509), .B1(n17504), .Y( \exu/i0_flush_path_d [26]) ); sky130_fd_sc_hd__a21oi_1 U22336 ( .A1(n18473), .A2(n17515), .B1(n18451), .Y( n17514) ); sky130_fd_sc_hd__a31oi_1 U22337 ( .A1(n17628), .A2(n18473), .A3( \ifu/ifc_fetch_addr_f [25]), .B1(\ifu/ifc_fetch_addr_f [26]), .Y( n17507) ); sky130_fd_sc_hd__a22o_1 U22338 ( .A1(n25080), .A2(dec_tlu_flush_path_r[26]), .B1(n25111), .B2(\exu/i0_flush_path_d [26]), .X(n17505) ); sky130_fd_sc_hd__a21oi_1 U22339 ( .A1(n18476), .A2( \ifu/ifu_bp_btb_target_f [26]), .B1(n17505), .Y(n17506) ); sky130_fd_sc_hd__o21ai_1 U22340 ( .A1(n17514), .A2(n17507), .B1(n17506), .Y( \ifu/ifc_fetch_addr_bf [26]) ); sky130_fd_sc_hd__a21oi_1 U22341 ( .A1(dec_i0_pc_d[27]), .A2(n17509), .B1( n17508), .Y(n17513) ); sky130_fd_sc_hd__o21ai_1 U22342 ( .A1(dec_i0_pc_d[27]), .A2(n18605), .B1( n17510), .Y(n19840) ); sky130_fd_sc_hd__o22ai_1 U22343 ( .A1(n19841), .A2(n18468), .B1(n18467), .B2(n19840), .Y(n17511) ); sky130_fd_sc_hd__a21oi_1 U22344 ( .A1(n24049), .A2(n19842), .B1(n17511), .Y( n17512) ); sky130_fd_sc_hd__o21ai_1 U22345 ( .A1(n17513), .A2(n18471), .B1(n17512), .Y( \exu/i0_flush_path_d [27]) ); sky130_fd_sc_hd__a22oi_1 U22346 ( .A1(n25080), .A2(dec_tlu_flush_path_r[27]), .B1(n25111), .B2(\exu/i0_flush_path_d [27]), .Y(n17518) ); sky130_fd_sc_hd__o32ai_1 U22347 ( .A1(\ifu/ifc_fetch_addr_f [27]), .A2( n18461), .A3(n17515), .B1(n17514), .B2(n18748), .Y(n17516) ); sky130_fd_sc_hd__a21oi_1 U22348 ( .A1(n18476), .A2( \ifu/ifu_bp_btb_target_f [27]), .B1(n17516), .Y(n17517) ); sky130_fd_sc_hd__nand2_1 U22349 ( .A(n17518), .B(n17517), .Y( \ifu/ifc_fetch_addr_bf [27]) ); sky130_fd_sc_hd__nor2_1 U22350 ( .A(dec_i0_pc_d[29]), .B(n17529), .Y(n17538) ); sky130_fd_sc_hd__nand2_1 U22351 ( .A(n19931), .B(n17538), .Y(n17519) ); sky130_fd_sc_hd__o2bb2ai_1 U22352 ( .B1(n19939), .B2(n17519), .A1_N(n19939), .A2_N(n17519), .Y(n17523) ); sky130_fd_sc_hd__nand2_1 U22353 ( .A(dec_i0_pc_d[29]), .B(n17530), .Y(n17539) ); sky130_fd_sc_hd__nor2_1 U22354 ( .A(n19931), .B(n17539), .Y(n17520) ); sky130_fd_sc_hd__xor2_1 U22355 ( .A(n19939), .B(n17520), .X(n19937) ); sky130_fd_sc_hd__o22ai_1 U22356 ( .A1(n19939), .A2(n18468), .B1(n18467), .B2(n19937), .Y(n17521) ); sky130_fd_sc_hd__a21oi_1 U22357 ( .A1(n24049), .A2(n19940), .B1(n17521), .Y( n17522) ); sky130_fd_sc_hd__o21ai_1 U22358 ( .A1(n18471), .A2(n17523), .B1(n17522), .Y( \exu/i0_flush_path_d [31]) ); sky130_fd_sc_hd__a22oi_1 U22359 ( .A1(n25080), .A2(dec_tlu_flush_path_r[31]), .B1(n25111), .B2(\exu/i0_flush_path_d [31]), .Y(n17528) ); sky130_fd_sc_hd__nor2_1 U22360 ( .A(\ifu/ifc_fetch_addr_f [30]), .B(n18461), .Y(n17544) ); sky130_fd_sc_hd__nor2_1 U22361 ( .A(n17524), .B(n18762), .Y(n17545) ); sky130_fd_sc_hd__o21ai_1 U22362 ( .A1(n17545), .A2(n18461), .B1(n18414), .Y( n17543) ); sky130_fd_sc_hd__o21ai_1 U22363 ( .A1(n17544), .A2(n17543), .B1( \ifu/ifc_fetch_addr_f [31]), .Y(n17527) ); sky130_fd_sc_hd__nor2_1 U22364 ( .A(n18461), .B(n17524), .Y(n17534) ); sky130_fd_sc_hd__nand4_1 U22365 ( .A(n17534), .B(\ifu/ifc_fetch_addr_f [29]), .C(\ifu/ifc_fetch_addr_f [30]), .D(n18771), .Y(n17526) ); sky130_fd_sc_hd__nand2_1 U22366 ( .A(n18476), .B( \ifu/ifu_bp_btb_target_f [31]), .Y(n17525) ); sky130_fd_sc_hd__nand4_1 U22367 ( .A(n17528), .B(n17527), .C(n17526), .D( n17525), .Y(\ifu/ifc_fetch_addr_bf [31]) ); sky130_fd_sc_hd__a21oi_1 U22368 ( .A1(dec_i0_pc_d[29]), .A2(n17529), .B1( n17538), .Y(n17533) ); sky130_fd_sc_hd__o21ai_1 U22369 ( .A1(dec_i0_pc_d[29]), .A2(n17530), .B1( n17539), .Y(n19898) ); sky130_fd_sc_hd__o22ai_1 U22370 ( .A1(n19899), .A2(n18468), .B1(n18467), .B2(n19898), .Y(n17531) ); sky130_fd_sc_hd__a21oi_1 U22371 ( .A1(n24049), .A2(n19900), .B1(n17531), .Y( n17532) ); sky130_fd_sc_hd__o21ai_1 U22372 ( .A1(n17533), .A2(n18471), .B1(n17532), .Y( \exu/i0_flush_path_d [29]) ); sky130_fd_sc_hd__a22oi_1 U22373 ( .A1(n25080), .A2(dec_tlu_flush_path_r[29]), .B1(n25111), .B2(\exu/i0_flush_path_d [29]), .Y(n17536) ); sky130_fd_sc_hd__o21ai_1 U22374 ( .A1(\ifu/ifc_fetch_addr_f [29]), .A2( n17534), .B1(n17543), .Y(n17535) ); sky130_fd_sc_hd__o211ai_1 U22375 ( .A1(n18434), .A2(n17537), .B1(n17536), .C1(n17535), .Y(\ifu/ifc_fetch_addr_bf [29]) ); sky130_fd_sc_hd__o2bb2ai_1 U22376 ( .B1(dec_i0_pc_d[30]), .B2(n17538), .A1_N(dec_i0_pc_d[30]), .A2_N(n17538), .Y(n17542) ); sky130_fd_sc_hd__o2bb2ai_1 U22377 ( .B1(n19931), .B2(n17539), .A1_N(n19931), .A2_N(n17539), .Y(n19909) ); sky130_fd_sc_hd__o22ai_1 U22378 ( .A1(n19931), .A2(n18468), .B1(n18467), .B2(n19909), .Y(n17540) ); sky130_fd_sc_hd__a21oi_1 U22379 ( .A1(n24049), .A2(n19912), .B1(n17540), .Y( n17541) ); sky130_fd_sc_hd__o21ai_1 U22380 ( .A1(n17542), .A2(n18471), .B1(n17541), .Y( \exu/i0_flush_path_d [30]) ); sky130_fd_sc_hd__a22oi_1 U22381 ( .A1(n25080), .A2(dec_tlu_flush_path_r[30]), .B1(n25111), .B2(\exu/i0_flush_path_d [30]), .Y(n17547) ); sky130_fd_sc_hd__a22oi_1 U22382 ( .A1(n17545), .A2(n17544), .B1( \ifu/ifc_fetch_addr_f [30]), .B2(n17543), .Y(n17546) ); sky130_fd_sc_hd__o211ai_1 U22383 ( .A1(n18434), .A2(n17548), .B1(n17547), .C1(n17546), .Y(\ifu/ifc_fetch_addr_bf [30]) ); sky130_fd_sc_hd__a21oi_1 U22384 ( .A1(n24197), .A2(n17565), .B1(n17600), .Y( n19709) ); sky130_fd_sc_hd__a22oi_1 U22385 ( .A1(n24049), .A2(n19710), .B1(n17555), .B2(n19709), .Y(n17551) ); sky130_fd_sc_hd__o21ai_1 U22386 ( .A1(n24197), .A2(n17563), .B1(n17598), .Y( n17549) ); sky130_fd_sc_hd__nand2_1 U22387 ( .A(n17549), .B(n17621), .Y(n17550) ); sky130_fd_sc_hd__o211ai_1 U22388 ( .A1(n18468), .A2(n24197), .B1(n17551), .C1(n17550), .Y(\exu/i0_flush_path_d [20]) ); sky130_fd_sc_hd__o21ai_1 U22389 ( .A1(n17570), .A2(n18461), .B1(n18718), .Y( n17552) ); sky130_fd_sc_hd__a22oi_1 U22390 ( .A1(n25111), .A2(\exu/i0_flush_path_d [20]), .B1(n17605), .B2(n17552), .Y(n17554) ); sky130_fd_sc_hd__a22oi_1 U22391 ( .A1(n25080), .A2(dec_tlu_flush_path_r[20]), .B1(n18476), .B2(\ifu/ifu_bp_btb_target_f [20]), .Y(n17553) ); sky130_fd_sc_hd__nand2_1 U22392 ( .A(n17554), .B(n17553), .Y( \ifu/ifc_fetch_addr_bf [20]) ); sky130_fd_sc_hd__a21oi_1 U22393 ( .A1(n24246), .A2(n17577), .B1(n17623), .Y( n19780) ); sky130_fd_sc_hd__a22oi_1 U22394 ( .A1(n24049), .A2(n19781), .B1(n17555), .B2(n19780), .Y(n17558) ); sky130_fd_sc_hd__o21ai_1 U22395 ( .A1(n24246), .A2(n17575), .B1(n17620), .Y( n17556) ); sky130_fd_sc_hd__nand2_1 U22396 ( .A(n17556), .B(n17621), .Y(n17557) ); sky130_fd_sc_hd__o211ai_1 U22397 ( .A1(n18468), .A2(n24246), .B1(n17558), .C1(n17557), .Y(\exu/i0_flush_path_d [24]) ); sky130_fd_sc_hd__o21ai_1 U22398 ( .A1(n17628), .A2(n18461), .B1(n18414), .Y( n17629) ); sky130_fd_sc_hd__o21ai_1 U22399 ( .A1(n17559), .A2(n18461), .B1(n18737), .Y( n17560) ); sky130_fd_sc_hd__a22oi_1 U22400 ( .A1(n25080), .A2(dec_tlu_flush_path_r[24]), .B1(n17629), .B2(n17560), .Y(n17562) ); sky130_fd_sc_hd__a22oi_1 U22401 ( .A1(n25111), .A2(\exu/i0_flush_path_d [24]), .B1(n18476), .B2(\ifu/ifu_bp_btb_target_f [24]), .Y(n17561) ); sky130_fd_sc_hd__nand2_1 U22402 ( .A(n17562), .B(n17561), .Y( \ifu/ifc_fetch_addr_bf [24]) ); sky130_fd_sc_hd__a21oi_1 U22403 ( .A1(dec_i0_pc_d[19]), .A2(n17564), .B1( n17563), .Y(n17569) ); sky130_fd_sc_hd__o21ai_1 U22404 ( .A1(dec_i0_pc_d[19]), .A2(n17566), .B1( n17565), .Y(n19692) ); sky130_fd_sc_hd__o22ai_1 U22405 ( .A1(n24185), .A2(n18468), .B1(n18467), .B2(n19692), .Y(n17567) ); sky130_fd_sc_hd__a21oi_1 U22406 ( .A1(n24049), .A2(n19693), .B1(n17567), .Y( n17568) ); sky130_fd_sc_hd__o21ai_1 U22407 ( .A1(n17569), .A2(n18471), .B1(n17568), .Y( \exu/i0_flush_path_d [19]) ); sky130_fd_sc_hd__a22oi_1 U22408 ( .A1(n25080), .A2(dec_tlu_flush_path_r[19]), .B1(n25111), .B2(\exu/i0_flush_path_d [19]), .Y(n17574) ); sky130_fd_sc_hd__a22oi_1 U22409 ( .A1(n18476), .A2( \ifu/ifu_bp_btb_target_f [19]), .B1(n18451), .B2( \ifu/ifc_fetch_addr_f [19]), .Y(n17573) ); sky130_fd_sc_hd__o211ai_1 U22410 ( .A1(n17571), .A2( \ifu/ifc_fetch_addr_f [19]), .B1(n18473), .C1(n17570), .Y(n17572) ); sky130_fd_sc_hd__nand3_1 U22411 ( .A(n17574), .B(n17573), .C(n17572), .Y( \ifu/ifc_fetch_addr_bf [19]) ); sky130_fd_sc_hd__clkinv_1 U22412 ( .A(n17575), .Y(n17582) ); sky130_fd_sc_hd__a21oi_1 U22413 ( .A1(n17621), .A2(n17576), .B1(n18456), .Y( n17579) ); sky130_fd_sc_hd__o21ai_1 U22414 ( .A1(dec_i0_pc_d[23]), .A2(n17578), .B1( n17577), .Y(n19764) ); sky130_fd_sc_hd__o22ai_1 U22415 ( .A1(n17579), .A2(n24234), .B1(n18467), .B2(n19764), .Y(n17580) ); sky130_fd_sc_hd__a21oi_1 U22416 ( .A1(n24049), .A2(n19765), .B1(n17580), .Y( n17581) ); sky130_fd_sc_hd__o21ai_1 U22417 ( .A1(n18471), .A2(n17582), .B1(n17581), .Y( \exu/i0_flush_path_d [23]) ); sky130_fd_sc_hd__a22oi_1 U22418 ( .A1(n25080), .A2(dec_tlu_flush_path_r[23]), .B1(n25111), .B2(\exu/i0_flush_path_d [23]), .Y(n17588) ); sky130_fd_sc_hd__o21ai_1 U22419 ( .A1(n18451), .A2(n17583), .B1( \ifu/ifc_fetch_addr_f [23]), .Y(n17587) ); sky130_fd_sc_hd__nand3_1 U22420 ( .A(n17584), .B(n18473), .C(n18733), .Y( n17586) ); sky130_fd_sc_hd__nand2_1 U22421 ( .A(n18476), .B( \ifu/ifu_bp_btb_target_f [23]), .Y(n17585) ); sky130_fd_sc_hd__nand4_1 U22422 ( .A(n17588), .B(n17587), .C(n17586), .D( n17585), .Y(\ifu/ifc_fetch_addr_bf [23]) ); sky130_fd_sc_hd__a21oi_1 U22423 ( .A1(n17621), .A2(n18465), .B1(n18456), .Y( n17590) ); sky130_fd_sc_hd__o21ai_1 U22424 ( .A1(dec_i0_pc_d[16]), .A2(n17589), .B1( n18566), .Y(n19636) ); sky130_fd_sc_hd__o22ai_1 U22425 ( .A1(n17590), .A2(n24245), .B1(n18467), .B2(n19636), .Y(n17591) ); sky130_fd_sc_hd__a21oi_1 U22426 ( .A1(n24049), .A2(n19635), .B1(n17591), .Y( n17592) ); sky130_fd_sc_hd__o21ai_1 U22427 ( .A1(n18471), .A2(n17610), .B1(n17592), .Y( \exu/i0_flush_path_d [16]) ); sky130_fd_sc_hd__a22oi_1 U22428 ( .A1(n25080), .A2(dec_tlu_flush_path_r[16]), .B1(n25111), .B2(\exu/i0_flush_path_d [16]), .Y(n17596) ); sky130_fd_sc_hd__a21oi_1 U22429 ( .A1(n18473), .A2(n17593), .B1(n18451), .Y( n18479) ); sky130_fd_sc_hd__o32ai_1 U22430 ( .A1(\ifu/ifc_fetch_addr_f [16]), .A2( n18461), .A3(n17593), .B1(n18479), .B2(n18705), .Y(n17594) ); sky130_fd_sc_hd__a21oi_1 U22431 ( .A1(n18476), .A2( \ifu/ifu_bp_btb_target_f [16]), .B1(n17594), .Y(n17595) ); sky130_fd_sc_hd__nand2_1 U22432 ( .A(n17596), .B(n17595), .Y( \ifu/ifc_fetch_addr_bf [16]) ); sky130_fd_sc_hd__clkinv_1 U22433 ( .A(n17597), .Y(n17604) ); sky130_fd_sc_hd__a21oi_1 U22434 ( .A1(n17621), .A2(n17598), .B1(n18456), .Y( n17601) ); sky130_fd_sc_hd__o21ai_1 U22435 ( .A1(dec_i0_pc_d[21]), .A2(n17600), .B1( n17599), .Y(n19734) ); sky130_fd_sc_hd__o22ai_1 U22436 ( .A1(n17601), .A2(n19732), .B1(n18467), .B2(n19734), .Y(n17602) ); sky130_fd_sc_hd__a21oi_1 U22437 ( .A1(n24049), .A2(n19733), .B1(n17602), .Y( n17603) ); sky130_fd_sc_hd__o21ai_1 U22438 ( .A1(n18471), .A2(n17604), .B1(n17603), .Y( \exu/i0_flush_path_d [21]) ); sky130_fd_sc_hd__a22oi_1 U22439 ( .A1(n25080), .A2(dec_tlu_flush_path_r[21]), .B1(n25111), .B2(\exu/i0_flush_path_d [21]), .Y(n17608) ); sky130_fd_sc_hd__a32oi_1 U22440 ( .A1(n18473), .A2(n18725), .A3(n17606), .B1(\ifu/ifc_fetch_addr_f [21]), .B2(n17605), .Y(n17607) ); sky130_fd_sc_hd__o211ai_1 U22441 ( .A1(n18434), .A2(n17609), .B1(n17608), .C1(n17607), .Y(\ifu/ifc_fetch_addr_bf [21]) ); sky130_fd_sc_hd__a21oi_1 U22442 ( .A1(n17621), .A2(n17610), .B1(n18456), .Y( n17611) ); sky130_fd_sc_hd__a21o_1 U22443 ( .A1(n24252), .A2(n18566), .B1(n18568), .X( n19665) ); sky130_fd_sc_hd__o22ai_1 U22444 ( .A1(n17611), .A2(n24252), .B1(n18467), .B2(n19665), .Y(n17612) ); sky130_fd_sc_hd__a21oi_1 U22445 ( .A1(n24049), .A2(n19664), .B1(n17612), .Y( n17613) ); sky130_fd_sc_hd__o21ai_1 U22446 ( .A1(n18471), .A2(n17614), .B1(n17613), .Y( \exu/i0_flush_path_d [17]) ); sky130_fd_sc_hd__a21oi_1 U22447 ( .A1(n17615), .A2(n18473), .B1( \ifu/ifc_fetch_addr_f [17]), .Y(n17619) ); sky130_fd_sc_hd__a22o_1 U22448 ( .A1(n25080), .A2(dec_tlu_flush_path_r[17]), .B1(n25111), .B2(\exu/i0_flush_path_d [17]), .X(n17616) ); sky130_fd_sc_hd__a21oi_1 U22449 ( .A1(n18476), .A2( \ifu/ifu_bp_btb_target_f [17]), .B1(n17616), .Y(n17617) ); sky130_fd_sc_hd__o21ai_1 U22450 ( .A1(n17619), .A2(n17618), .B1(n17617), .Y( \ifu/ifc_fetch_addr_bf [17]) ); sky130_fd_sc_hd__a21oi_1 U22451 ( .A1(n17621), .A2(n17620), .B1(n18456), .Y( n17624) ); sky130_fd_sc_hd__o21ai_1 U22452 ( .A1(dec_i0_pc_d[25]), .A2(n17623), .B1( n17622), .Y(n19809) ); sky130_fd_sc_hd__o22ai_1 U22453 ( .A1(n17624), .A2(n24254), .B1(n18467), .B2(n19809), .Y(n17625) ); sky130_fd_sc_hd__a21oi_1 U22454 ( .A1(n24049), .A2(n19810), .B1(n17625), .Y( n17626) ); sky130_fd_sc_hd__o21ai_1 U22455 ( .A1(n18471), .A2(n17627), .B1(n17626), .Y( \exu/i0_flush_path_d [25]) ); sky130_fd_sc_hd__nand2_1 U22456 ( .A(n18473), .B(n17628), .Y(n17632) ); sky130_fd_sc_hd__a22oi_1 U22457 ( .A1(n25080), .A2(dec_tlu_flush_path_r[25]), .B1(n25111), .B2(\exu/i0_flush_path_d [25]), .Y(n17631) ); sky130_fd_sc_hd__a22oi_1 U22458 ( .A1(n18476), .A2( \ifu/ifu_bp_btb_target_f [25]), .B1(\ifu/ifc_fetch_addr_f [25]), .B2( n17629), .Y(n17630) ); sky130_fd_sc_hd__o211ai_1 U22459 ( .A1(\ifu/ifc_fetch_addr_f [25]), .A2( n17632), .B1(n17631), .C1(n17630), .Y(\ifu/ifc_fetch_addr_bf [25]) ); sky130_fd_sc_hd__nand2_1 U22460 ( .A(\ifu/ifc_fetch_addr_bf [26]), .B( \ifu/ifc_fetch_addr_bf [27]), .Y(n17636) ); sky130_fd_sc_hd__nand2_1 U22461 ( .A(\ifu/ifc_fetch_addr_bf [29]), .B( \ifu/ifc_fetch_addr_bf [30]), .Y(n24913) ); sky130_fd_sc_hd__nor3_1 U22462 ( .A(n24909), .B(n24913), .C( \ifu/ifc_fetch_addr_bf [28]), .Y(n17637) ); sky130_fd_sc_hd__nor3_1 U22463 ( .A(\ifu/ifc_fetch_addr_bf [20]), .B( \ifu/ifc_fetch_addr_bf [24]), .C(\ifu/ifc_fetch_addr_bf [19]), .Y( n17634) ); sky130_fd_sc_hd__nor4_1 U22464 ( .A(\ifu/ifc_fetch_addr_bf [23]), .B( \ifu/ifc_fetch_addr_bf [16]), .C(\ifu/ifc_fetch_addr_bf [21]), .D( \ifu/ifc_fetch_addr_bf [17]), .Y(n17633) ); sky130_fd_sc_hd__nand4_1 U22465 ( .A(n17637), .B(n17634), .C(n17633), .D( \ifu/ifc_fetch_addr_bf [25]), .Y(n17635) ); sky130_fd_sc_hd__nor4_1 U22466 ( .A(\ifu/ifc_fetch_addr_bf [22]), .B( \ifu/ifc_fetch_addr_bf [18]), .C(n17636), .D(n17635), .Y(n10814) ); sky130_fd_sc_hd__nor2b_1 U22467 ( .B_N(n17637), .A(n10814), .Y( \ifu/ifc_region_acc_fault_bf ) ); sky130_fd_sc_hd__nand2_1 U22468 ( .A(dma_dccm_req), .B(n10897), .Y(n17638) ); sky130_fd_sc_hd__inv_2 U22469 ( .A(\lsu/addr_in_pic_d ), .Y(n21561) ); sky130_fd_sc_hd__nor2_1 U22470 ( .A(n17638), .B(n21561), .Y(n21556) ); sky130_fd_sc_hd__o2bb2ai_1 U22471 ( .B1(n22534), .B2(n22569), .A1_N(n22534), .A2_N(dma_mem_addr[9]), .Y(picm_wraddr[9]) ); sky130_fd_sc_hd__o2bb2ai_1 U22472 ( .B1(n22534), .B2(n22559), .A1_N(n22534), .A2_N(dma_mem_addr[4]), .Y(picm_wraddr[4]) ); sky130_fd_sc_hd__o2bb2ai_1 U22473 ( .B1(n22534), .B2(n22573), .A1_N(n22534), .A2_N(dma_mem_addr[11]), .Y(picm_wraddr[11]) ); sky130_fd_sc_hd__o22ai_1 U22474 ( .A1(\lsu/lsu_addr_r [7]), .A2(n23409), .B1(n23400), .B2(\lsu/lsu_addr_r [4]), .Y(n17640) ); sky130_fd_sc_hd__a221oi_1 U22475 ( .A1(\lsu/lsu_addr_r [7]), .A2(n23409), .B1(\lsu/lsu_addr_r [4]), .B2(n23400), .C1(n17640), .Y(n17655) ); sky130_fd_sc_hd__o22ai_1 U22476 ( .A1(\lsu/lsu_addr_r [10]), .A2(n23416), .B1(\lsu/lsu_addr_r [15]), .B2(n23403), .Y(n17641) ); sky130_fd_sc_hd__a221oi_1 U22477 ( .A1(\lsu/lsu_addr_r [10]), .A2(n23416), .B1(n23403), .B2(\lsu/lsu_addr_r [15]), .C1(n17641), .Y(n17654) ); sky130_fd_sc_hd__o22ai_1 U22478 ( .A1(\lsu/lsu_addr_r [11]), .A2(n23413), .B1(\lsu/lsu_addr_r [14]), .B2(n23401), .Y(n17642) ); sky130_fd_sc_hd__a221oi_1 U22479 ( .A1(\lsu/lsu_addr_r [11]), .A2(n23413), .B1(n23401), .B2(\lsu/lsu_addr_r [14]), .C1(n17642), .Y(n17653) ); sky130_fd_sc_hd__nand2b_1 U22480 ( .A_N(n23426), .B(\lsu/addr_in_dccm_r ), .Y(n23081) ); sky130_fd_sc_hd__o22ai_1 U22481 ( .A1(\lsu/lsu_addr_r [5]), .A2(n23404), .B1(\lsu/lsu_addr_r [6]), .B2(n23415), .Y(n17643) ); sky130_fd_sc_hd__a221oi_1 U22482 ( .A1(\lsu/lsu_addr_r [5]), .A2(n23404), .B1(n23415), .B2(\lsu/lsu_addr_r [6]), .C1(n17643), .Y(n17650) ); sky130_fd_sc_hd__o22ai_1 U22483 ( .A1(\lsu/lsu_addr_r [12]), .A2(n23419), .B1(n23398), .B2(\lsu/lsu_addr_r [9]), .Y(n17644) ); sky130_fd_sc_hd__a221oi_1 U22484 ( .A1(\lsu/lsu_addr_r [12]), .A2(n23419), .B1(\lsu/lsu_addr_r [9]), .B2(n23398), .C1(n17644), .Y(n17649) ); sky130_fd_sc_hd__o22ai_1 U22485 ( .A1(\lsu/lsu_addr_r [2]), .A2(n23410), .B1(\lsu/lsu_addr_r [13]), .B2(n23412), .Y(n17645) ); sky130_fd_sc_hd__a221oi_1 U22486 ( .A1(\lsu/lsu_addr_r [2]), .A2(n23410), .B1(n23412), .B2(\lsu/lsu_addr_r [13]), .C1(n17645), .Y(n17648) ); sky130_fd_sc_hd__o22ai_1 U22487 ( .A1(\lsu/lsu_addr_r [3]), .A2(n23418), .B1(\lsu/lsu_addr_r [8]), .B2(n23397), .Y(n17646) ); sky130_fd_sc_hd__a221oi_1 U22488 ( .A1(\lsu/lsu_addr_r [3]), .A2(n23418), .B1(n23397), .B2(\lsu/lsu_addr_r [8]), .C1(n17646), .Y(n17647) ); sky130_fd_sc_hd__nand4_1 U22489 ( .A(n17650), .B(n17649), .C(n17648), .D( n17647), .Y(n17651) ); sky130_fd_sc_hd__nor2_1 U22490 ( .A(n23081), .B(n17651), .Y(n17652) ); sky130_fd_sc_hd__nand4_1 U22491 ( .A(n17655), .B(n17654), .C(n17653), .D( n17652), .Y(n22526) ); sky130_fd_sc_hd__a21oi_1 U22492 ( .A1(n17657), .A2(n17656), .B1(n22526), .Y( n17701) ); sky130_fd_sc_hd__o2bb2ai_1 U22493 ( .B1(n17658), .B2(n21999), .A1_N( \lsu/stbuf/stbuf_data[3][7] ), .A2_N(n22030), .Y(n17659) ); sky130_fd_sc_hd__a21oi_1 U22494 ( .A1(\lsu/stbuf/stbuf_data[2][7] ), .A2( n22017), .B1(n17659), .Y(n17660) ); sky130_fd_sc_hd__a21boi_0 U22495 ( .A1(n22035), .A2( \lsu/stbuf/stbuf_data[0][7] ), .B1_N(n17660), .Y(n23774) ); sky130_fd_sc_hd__o2bb2ai_1 U22496 ( .B1(n17701), .B2( \lsu/store_data_lo_r [7]), .A1_N(n17701), .A2_N(n23774), .Y(n23394) ); sky130_fd_sc_hd__o2bb2ai_1 U22497 ( .B1(n22534), .B2(n23394), .A1_N(n22534), .A2_N(dma_mem_wdata[7]), .Y(picm_wr_data[7]) ); sky130_fd_sc_hd__a21oi_1 U22498 ( .A1(n22551), .A2(n17662), .B1(n17661), .Y( n17677) ); sky130_fd_sc_hd__a21oi_1 U22499 ( .A1(n17677), .A2(n22553), .B1(n22526), .Y( n17719) ); sky130_fd_sc_hd__o2bb2ai_1 U22500 ( .B1(n17663), .B2(n22031), .A1_N( \lsu/stbuf/stbuf_data[1][14] ), .A2_N(n15918), .Y(n17664) ); sky130_fd_sc_hd__a21oi_1 U22501 ( .A1(\lsu/stbuf/stbuf_data[3][14] ), .A2( n22030), .B1(n17664), .Y(n17665) ); sky130_fd_sc_hd__a21boi_0 U22502 ( .A1(n22035), .A2( \lsu/stbuf/stbuf_data[0][14] ), .B1_N(n17665), .Y(n23648) ); sky130_fd_sc_hd__o2bb2ai_1 U22503 ( .B1(n17719), .B2( \lsu/store_data_lo_r [14]), .A1_N(n17719), .A2_N(n23648), .Y(n23357) ); sky130_fd_sc_hd__o2bb2ai_1 U22504 ( .B1(n22534), .B2(n23357), .A1_N(n22534), .A2_N(dma_mem_wdata[14]), .Y(picm_wr_data[14]) ); sky130_fd_sc_hd__o2bb2ai_1 U22505 ( .B1(n22020), .B2(n17666), .A1_N( \lsu/stbuf/stbuf_data[3][12] ), .A2_N(n22030), .Y(n17667) ); sky130_fd_sc_hd__a21oi_1 U22506 ( .A1(\lsu/stbuf/stbuf_data[1][12] ), .A2( n15918), .B1(n17667), .Y(n17668) ); sky130_fd_sc_hd__a21boi_0 U22507 ( .A1(\lsu/stbuf/stbuf_data[2][12] ), .A2( n22017), .B1_N(n17668), .Y(n23637) ); sky130_fd_sc_hd__o2bb2ai_1 U22508 ( .B1(n17719), .B2( \lsu/store_data_lo_r [12]), .A1_N(n17719), .A2_N(n23637), .Y(n23351) ); sky130_fd_sc_hd__o2bb2ai_1 U22509 ( .B1(n22534), .B2(n23351), .A1_N(n22534), .A2_N(dma_mem_wdata[12]), .Y(picm_wr_data[12]) ); sky130_fd_sc_hd__o2bb2ai_1 U22510 ( .B1(n17669), .B2(n21999), .A1_N( \lsu/stbuf/stbuf_data[2][13] ), .A2_N(n22017), .Y(n17670) ); sky130_fd_sc_hd__a21oi_1 U22511 ( .A1(n22035), .A2( \lsu/stbuf/stbuf_data[0][13] ), .B1(n17670), .Y(n17671) ); sky130_fd_sc_hd__a21boi_0 U22512 ( .A1(\lsu/stbuf/stbuf_data[3][13] ), .A2( n22030), .B1_N(n17671), .Y(n23642) ); sky130_fd_sc_hd__o2bb2ai_1 U22513 ( .B1(n17719), .B2( \lsu/store_data_lo_r [13]), .A1_N(n17719), .A2_N(n23642), .Y(n23354) ); sky130_fd_sc_hd__o2bb2ai_1 U22514 ( .B1(n22534), .B2(n23354), .A1_N(n22534), .A2_N(dma_mem_wdata[13]), .Y(picm_wr_data[13]) ); sky130_fd_sc_hd__o22ai_1 U22515 ( .A1(n17673), .A2(n21999), .B1(n17672), .B2(n22031), .Y(n17674) ); sky130_fd_sc_hd__a21oi_1 U22516 ( .A1(n22035), .A2( \lsu/stbuf/stbuf_data[0][8] ), .B1(n17674), .Y(n17675) ); sky130_fd_sc_hd__a21boi_0 U22517 ( .A1(\lsu/stbuf/stbuf_data[3][8] ), .A2( n22030), .B1_N(n17675), .Y(n23613) ); sky130_fd_sc_hd__o2bb2ai_1 U22518 ( .B1(n17719), .B2( \lsu/store_data_lo_r [8]), .A1_N(n17719), .A2_N(n23613), .Y(n23339) ); sky130_fd_sc_hd__o2bb2ai_1 U22519 ( .B1(n22534), .B2(n23339), .A1_N(n22534), .A2_N(dma_mem_wdata[8]), .Y(picm_wr_data[8]) ); sky130_fd_sc_hd__nor2_1 U22520 ( .A(n23274), .B(n22526), .Y(n17721) ); sky130_fd_sc_hd__nand2_1 U22521 ( .A(n17721), .B(n23430), .Y(n17678) ); sky130_fd_sc_hd__o21ai_1 U22522 ( .A1(\lsu/store_data_lo_r [24]), .A2(n17721), .B1(n17678), .Y(n23278) ); sky130_fd_sc_hd__o2bb2ai_1 U22523 ( .B1(n22534), .B2(n23278), .A1_N(n22534), .A2_N(dma_mem_wdata[24]), .Y(picm_wr_data[24]) ); sky130_fd_sc_hd__a22o_1 U22524 ( .A1(n22035), .A2( \lsu/stbuf/stbuf_data[0][4] ), .B1(\lsu/stbuf/stbuf_data[3][4] ), .B2( n22030), .X(n17679) ); sky130_fd_sc_hd__a21oi_1 U22525 ( .A1(\lsu/stbuf/stbuf_data[2][4] ), .A2( n22017), .B1(n17679), .Y(n17680) ); sky130_fd_sc_hd__o21ai_1 U22526 ( .A1(n17681), .A2(n21999), .B1(n17680), .Y( n23685) ); sky130_fd_sc_hd__o22ai_1 U22527 ( .A1(n17701), .A2(\lsu/store_data_lo_r [4]), .B1(n17700), .B2(n23685), .Y(n23382) ); sky130_fd_sc_hd__o2bb2ai_1 U22528 ( .B1(n22534), .B2(n23382), .A1_N(n22534), .A2_N(dma_mem_wdata[4]), .Y(picm_wr_data[4]) ); sky130_fd_sc_hd__a22o_1 U22529 ( .A1(\lsu/stbuf/stbuf_data[1][6] ), .A2( n15918), .B1(\lsu/stbuf/stbuf_data[2][6] ), .B2(n22017), .X(n17682) ); sky130_fd_sc_hd__a21oi_1 U22530 ( .A1(\lsu/stbuf/stbuf_data[3][6] ), .A2( n22030), .B1(n17682), .Y(n17683) ); sky130_fd_sc_hd__o21ai_1 U22531 ( .A1(n22020), .A2(n17684), .B1(n17683), .Y( n23695) ); sky130_fd_sc_hd__o22ai_1 U22532 ( .A1(n17701), .A2(\lsu/store_data_lo_r [6]), .B1(n17700), .B2(n23695), .Y(n23388) ); sky130_fd_sc_hd__o2bb2ai_1 U22533 ( .B1(n22534), .B2(n23388), .A1_N(n22534), .A2_N(dma_mem_wdata[6]), .Y(picm_wr_data[6]) ); sky130_fd_sc_hd__a22o_1 U22534 ( .A1(\lsu/stbuf/stbuf_data[1][0] ), .A2( n15918), .B1(\lsu/stbuf/stbuf_data[3][0] ), .B2(n22030), .X(n17685) ); sky130_fd_sc_hd__a21oi_1 U22535 ( .A1(n22035), .A2( \lsu/stbuf/stbuf_data[0][0] ), .B1(n17685), .Y(n17686) ); sky130_fd_sc_hd__o21ai_1 U22536 ( .A1(n17687), .A2(n22031), .B1(n17686), .Y( n21906) ); sky130_fd_sc_hd__o22ai_1 U22537 ( .A1(n17701), .A2(\lsu/store_data_lo_r [0]), .B1(n17700), .B2(n21906), .Y(n23370) ); sky130_fd_sc_hd__o2bb2ai_1 U22538 ( .B1(n22534), .B2(n23370), .A1_N(n22534), .A2_N(dma_mem_wdata[0]), .Y(picm_wr_data[0]) ); sky130_fd_sc_hd__a22o_1 U22539 ( .A1(\lsu/stbuf/stbuf_data[1][1] ), .A2( n15918), .B1(\lsu/stbuf/stbuf_data[3][1] ), .B2(n22030), .X(n17688) ); sky130_fd_sc_hd__a21oi_1 U22540 ( .A1(n22035), .A2( \lsu/stbuf/stbuf_data[0][1] ), .B1(n17688), .Y(n17689) ); sky130_fd_sc_hd__o21ai_1 U22541 ( .A1(n17690), .A2(n22031), .B1(n17689), .Y( n23666) ); sky130_fd_sc_hd__o22ai_1 U22542 ( .A1(n17701), .A2(\lsu/store_data_lo_r [1]), .B1(n17700), .B2(n23666), .Y(n23373) ); sky130_fd_sc_hd__o2bb2ai_1 U22543 ( .B1(n22534), .B2(n23373), .A1_N(n22534), .A2_N(dma_mem_wdata[1]), .Y(picm_wr_data[1]) ); sky130_fd_sc_hd__a22o_1 U22544 ( .A1(n22035), .A2( \lsu/stbuf/stbuf_data[0][2] ), .B1(\lsu/stbuf/stbuf_data[3][2] ), .B2( n22030), .X(n17691) ); sky130_fd_sc_hd__a21oi_1 U22545 ( .A1(\lsu/stbuf/stbuf_data[2][2] ), .A2( n22017), .B1(n17691), .Y(n17692) ); sky130_fd_sc_hd__o21ai_1 U22546 ( .A1(n17693), .A2(n21999), .B1(n17692), .Y( n23673) ); sky130_fd_sc_hd__o22ai_1 U22547 ( .A1(n17701), .A2(\lsu/store_data_lo_r [2]), .B1(n17700), .B2(n23673), .Y(n23376) ); sky130_fd_sc_hd__o2bb2ai_1 U22548 ( .B1(n22534), .B2(n23376), .A1_N(n22534), .A2_N(dma_mem_wdata[2]), .Y(picm_wr_data[2]) ); sky130_fd_sc_hd__a22o_1 U22549 ( .A1(\lsu/stbuf/stbuf_data[3][3] ), .A2( n22030), .B1(n22035), .B2(\lsu/stbuf/stbuf_data[0][3] ), .X(n17694) ); sky130_fd_sc_hd__a21oi_1 U22550 ( .A1(\lsu/stbuf/stbuf_data[2][3] ), .A2( n22017), .B1(n17694), .Y(n17695) ); sky130_fd_sc_hd__o21ai_1 U22551 ( .A1(n17696), .A2(n21999), .B1(n17695), .Y( n23680) ); sky130_fd_sc_hd__o22ai_1 U22552 ( .A1(n17701), .A2(\lsu/store_data_lo_r [3]), .B1(n17700), .B2(n23680), .Y(n23379) ); sky130_fd_sc_hd__o2bb2ai_1 U22553 ( .B1(n22534), .B2(n23379), .A1_N(n22534), .A2_N(dma_mem_wdata[3]), .Y(picm_wr_data[3]) ); sky130_fd_sc_hd__a22o_1 U22554 ( .A1(\lsu/stbuf/stbuf_data[3][5] ), .A2( n22030), .B1(\lsu/stbuf/stbuf_data[2][5] ), .B2(n22017), .X(n17697) ); sky130_fd_sc_hd__a21oi_1 U22555 ( .A1(n22035), .A2( \lsu/stbuf/stbuf_data[0][5] ), .B1(n17697), .Y(n17698) ); sky130_fd_sc_hd__o21ai_1 U22556 ( .A1(n17699), .A2(n21999), .B1(n17698), .Y( n23689) ); sky130_fd_sc_hd__o22ai_1 U22557 ( .A1(n17701), .A2(\lsu/store_data_lo_r [5]), .B1(n17700), .B2(n23689), .Y(n23385) ); sky130_fd_sc_hd__o2bb2ai_1 U22558 ( .B1(n22534), .B2(n23385), .A1_N(n22534), .A2_N(dma_mem_wdata[5]), .Y(picm_wr_data[5]) ); sky130_fd_sc_hd__clkinv_1 U22559 ( .A(n17719), .Y(n17718) ); sky130_fd_sc_hd__o22ai_1 U22560 ( .A1(n22020), .A2(n17703), .B1(n17702), .B2(n21999), .Y(n17704) ); sky130_fd_sc_hd__a21oi_1 U22561 ( .A1(\lsu/stbuf/stbuf_data[3][11] ), .A2( n22030), .B1(n17704), .Y(n17705) ); sky130_fd_sc_hd__o21ai_1 U22562 ( .A1(n17706), .A2(n22031), .B1(n17705), .Y( n21946) ); sky130_fd_sc_hd__o22ai_1 U22563 ( .A1(n17719), .A2(\lsu/store_data_lo_r [11]), .B1(n17718), .B2(n21946), .Y(n23348) ); sky130_fd_sc_hd__o2bb2ai_1 U22564 ( .B1(n22534), .B2(n23348), .A1_N(n22534), .A2_N(dma_mem_wdata[11]), .Y(picm_wr_data[11]) ); sky130_fd_sc_hd__o2bb2ai_1 U22565 ( .B1(n17707), .B2(n21999), .A1_N( \lsu/stbuf/stbuf_data[2][9] ), .A2_N(n22017), .Y(n17708) ); sky130_fd_sc_hd__a21oi_1 U22566 ( .A1(n22035), .A2( \lsu/stbuf/stbuf_data[0][9] ), .B1(n17708), .Y(n17709) ); sky130_fd_sc_hd__o21ai_1 U22567 ( .A1(n17710), .A2(n21380), .B1(n17709), .Y( n22196) ); sky130_fd_sc_hd__o22ai_1 U22568 ( .A1(n17719), .A2(\lsu/store_data_lo_r [9]), .B1(n17718), .B2(n22196), .Y(n23342) ); sky130_fd_sc_hd__o2bb2ai_1 U22569 ( .B1(n22534), .B2(n23342), .A1_N(n22534), .A2_N(dma_mem_wdata[9]), .Y(picm_wr_data[9]) ); sky130_fd_sc_hd__o22ai_1 U22570 ( .A1(n22020), .A2(n17712), .B1(n17711), .B2(n21380), .Y(n17713) ); sky130_fd_sc_hd__a21oi_1 U22571 ( .A1(\lsu/stbuf/stbuf_data[2][10] ), .A2( n22017), .B1(n17713), .Y(n17714) ); sky130_fd_sc_hd__o21ai_1 U22572 ( .A1(n17715), .A2(n21999), .B1(n17714), .Y( n21941) ); sky130_fd_sc_hd__o22ai_1 U22573 ( .A1(n17719), .A2(\lsu/store_data_lo_r [10]), .B1(n17718), .B2(n21941), .Y(n23345) ); sky130_fd_sc_hd__o2bb2ai_1 U22574 ( .B1(n22534), .B2(n23345), .A1_N(n22534), .A2_N(dma_mem_wdata[10]), .Y(picm_wr_data[10]) ); sky130_fd_sc_hd__a22oi_1 U22575 ( .A1(\lsu/stbuf/stbuf_data[1][15] ), .A2( n15918), .B1(\lsu/stbuf/stbuf_data[3][15] ), .B2(n22030), .Y(n17717) ); sky130_fd_sc_hd__a22oi_1 U22576 ( .A1(n22035), .A2( \lsu/stbuf/stbuf_data[0][15] ), .B1(\lsu/stbuf/stbuf_data[2][15] ), .B2(n22017), .Y(n17716) ); sky130_fd_sc_hd__nand2_1 U22577 ( .A(n17717), .B(n17716), .Y(n21961) ); sky130_fd_sc_hd__o22ai_1 U22578 ( .A1(n17719), .A2(\lsu/store_data_lo_r [15]), .B1(n17718), .B2(n21961), .Y(n23363) ); sky130_fd_sc_hd__o2bb2ai_1 U22579 ( .B1(n22534), .B2(n23363), .A1_N(n22534), .A2_N(dma_mem_wdata[15]), .Y(picm_wr_data[15]) ); sky130_fd_sc_hd__o22ai_1 U22580 ( .A1(n17721), .A2(\lsu/store_data_lo_r [28]), .B1(n17720), .B2(n23449), .Y(n23290) ); sky130_fd_sc_hd__o2bb2ai_1 U22581 ( .B1(n22534), .B2(n23290), .A1_N(n22534), .A2_N(dma_mem_wdata[28]), .Y(picm_wr_data[28]) ); sky130_fd_sc_hd__o22ai_1 U22582 ( .A1(n17721), .A2(\lsu/store_data_lo_r [26]), .B1(n17720), .B2(n23439), .Y(n23284) ); sky130_fd_sc_hd__o2bb2ai_1 U22583 ( .B1(n22534), .B2(n23284), .A1_N(n22534), .A2_N(dma_mem_wdata[26]), .Y(picm_wr_data[26]) ); sky130_fd_sc_hd__o22ai_1 U22584 ( .A1(n17721), .A2(\lsu/store_data_lo_r [25]), .B1(n17720), .B2(n23434), .Y(n23281) ); sky130_fd_sc_hd__o2bb2ai_1 U22585 ( .B1(n22534), .B2(n23281), .A1_N(n22534), .A2_N(dma_mem_wdata[25]), .Y(picm_wr_data[25]) ); sky130_fd_sc_hd__o22ai_1 U22586 ( .A1(n17721), .A2(\lsu/store_data_lo_r [27]), .B1(n17720), .B2(n23444), .Y(n23287) ); sky130_fd_sc_hd__o2bb2ai_1 U22587 ( .B1(n22534), .B2(n23287), .A1_N(n22534), .A2_N(dma_mem_wdata[27]), .Y(picm_wr_data[27]) ); sky130_fd_sc_hd__o22ai_1 U22588 ( .A1(n17721), .A2(\lsu/store_data_lo_r [31]), .B1(n17720), .B2(n23465), .Y(n23302) ); sky130_fd_sc_hd__o2bb2ai_1 U22589 ( .B1(n22534), .B2(n23302), .A1_N(n22534), .A2_N(dma_mem_wdata[31]), .Y(picm_wr_data[31]) ); sky130_fd_sc_hd__o22ai_1 U22590 ( .A1(n17721), .A2(\lsu/store_data_lo_r [29]), .B1(n17720), .B2(n23453), .Y(n23293) ); sky130_fd_sc_hd__o2bb2ai_1 U22591 ( .B1(n22534), .B2(n23293), .A1_N(n22534), .A2_N(dma_mem_wdata[29]), .Y(picm_wr_data[29]) ); sky130_fd_sc_hd__o22ai_1 U22592 ( .A1(n17721), .A2(\lsu/store_data_lo_r [30]), .B1(n17720), .B2(n23458), .Y(n23296) ); sky130_fd_sc_hd__o2bb2ai_1 U22593 ( .B1(n22534), .B2(n23296), .A1_N(n22534), .A2_N(dma_mem_wdata[30]), .Y(picm_wr_data[30]) ); sky130_fd_sc_hd__xor2_1 U22594 ( .A(\exu/i0_pp_r[boffset] ), .B( exu_pmu_i0_pc4), .X(exu_i0_br_middle_r) ); sky130_fd_sc_hd__and2_0 U22595 ( .A(\exu/predpipe_x [18]), .B( \exu/i0_flush_upper_x ), .X(exu_mp_eghr[5]) ); sky130_fd_sc_hd__and2_0 U22596 ( .A(\exu/predpipe_x [19]), .B( \exu/i0_flush_upper_x ), .X(exu_mp_eghr[6]) ); sky130_fd_sc_hd__nor2b_1 U22597 ( .B_N(\exu/i0_predict_p_x[pret] ), .A( n19337), .Y(\exu_mp_pkt[pret] ) ); sky130_fd_sc_hd__nor2b_1 U22598 ( .B_N(\exu/i0_predict_p_x[pja] ), .A(n19337), .Y(\exu_mp_pkt[pja] ) ); sky130_fd_sc_hd__and2_0 U22599 ( .A(\exu/i0_predict_p_x[boffset] ), .B( \exu/i0_flush_upper_x ), .X(\exu_mp_pkt[boffset] ) ); sky130_fd_sc_hd__o2bb2ai_1 U22600 ( .B1(n24209), .B2(n19592), .A1_N(n24209), .A2_N(n19592), .Y(n19578) ); sky130_fd_sc_hd__o2bb2ai_1 U22601 ( .B1(n24049), .B2(n19578), .A1_N(n24049), .A2_N(n19576), .Y(\exu/i0_flush_path_d [13]) ); sky130_fd_sc_hd__a211oi_1 U22602 ( .A1(\dec/decode/r_d[i0load] ), .A2( \dec/decode/nonblock_load_valid_m_delay ), .B1(\dec/decode/r_d[i0div] ), .C1(n22512), .Y(\dec/dec_i0_wen_r ) ); sky130_fd_sc_hd__nor2b_1 U22603 ( .B_N(\exu/i_div/finish_ff ), .A(n20320), .Y(exu_div_wren) ); sky130_fd_sc_hd__and2_0 U22604 ( .A(\exu/i0_predict_p_x[ataken] ), .B( \exu/i0_flush_upper_x ), .X(\exu_mp_pkt[ataken] ) ); sky130_fd_sc_hd__and2_0 U22605 ( .A(\exu/i0_predict_p_x[misp] ), .B( \exu/i0_flush_upper_x ), .X(\exu_mp_pkt[misp] ) ); sky130_fd_sc_hd__clkinv_1 U22606 ( .A(\dec/decode/write_csr_data [15]), .Y( n24686) ); sky130_fd_sc_hd__o22ai_1 U22607 ( .A1(\dec/decode/r_d[csrwonly] ), .A2( n24686), .B1(n17723), .B2(n17722), .Y(\dec/dec_csr_wrdata_r [15]) ); sky130_fd_sc_hd__nor2b_1 U22608 ( .B_N(\dec/decode/x_t[i0trigger][0] ), .A( n24012), .Y(\dec/decode/x_t_in[i0trigger][0] ) ); sky130_fd_sc_hd__nor2b_1 U22609 ( .B_N(\dec/decode/x_t[i0trigger][3] ), .A( n24012), .Y(\dec/decode/x_t_in[i0trigger][3] ) ); sky130_fd_sc_hd__nor2b_1 U22610 ( .B_N(\dec/decode/x_t[i0trigger][2] ), .A( n24012), .Y(\dec/decode/x_t_in[i0trigger][2] ) ); sky130_fd_sc_hd__nor2b_1 U22611 ( .B_N(\dec/decode/x_t[i0trigger][1] ), .A( n24012), .Y(\dec/decode/x_t_in[i0trigger][1] ) ); sky130_fd_sc_hd__nand2b_1 U22612 ( .A_N(lsu_bus_clk_en), .B(n21804), .Y( \lsu/clkdomain/lsu_busm_cgc/clkhdr/enable ) ); sky130_fd_sc_hd__nor2b_1 U22613 ( .B_N(\dec/decode/r_t[legal] ), .A(n24012), .Y(\dec/dec_tlu_packet_r[legal] ) ); sky130_fd_sc_hd__or2_0 U22614 ( .A(\dec/dec_tlu_i0_valid_wb1 ), .B( trace_rv_i_exception_ip[0]), .X(trace_rv_i_valid_ip[0]) ); sky130_fd_sc_hd__nor2_1 U22615 ( .A(n24510), .B(n17935), .Y(n18132) ); sky130_fd_sc_hd__nor2_1 U22616 ( .A(n17935), .B(sb_axi_awsize[0]), .Y(n17975) ); sky130_fd_sc_hd__o21ai_1 U22617 ( .A1(sb_axi_awsize[0]), .A2( sb_axi_awaddr[0]), .B1(n17928), .Y(n17920) ); sky130_fd_sc_hd__nand2_1 U22618 ( .A(sb_axi_awaddr[1]), .B(n18053), .Y( n18052) ); sky130_fd_sc_hd__nand2_1 U22619 ( .A(n18303), .B(n18052), .Y(n18056) ); sky130_fd_sc_hd__nand2_1 U22620 ( .A(\dbg/N205 ), .B(n18056), .Y(n18055) ); sky130_fd_sc_hd__nand2b_1 U22621 ( .A_N(n18132), .B(n18055), .Y( sb_axi_wstrb[7]) ); sky130_fd_sc_hd__nor2_1 U22622 ( .A(n17725), .B(n17724), .Y(n23643) ); sky130_fd_sc_hd__a31oi_1 U22623 ( .A1(n17727), .A2(n23643), .A3(n23631), .B1(n17726), .Y(n17789) ); sky130_fd_sc_hd__o22ai_1 U22624 ( .A1(\lsu/dccm_rdata_lo_m [21]), .A2(n17729), .B1(n17728), .B2(\lsu/dccm_rdata_lo_m [25]), .Y(n17732) ); sky130_fd_sc_hd__o22ai_1 U22625 ( .A1(\lsu/dccm_rdata_lo_m [23]), .A2(n23480), .B1(n17730), .B2(\lsu/dccm_rdata_lo_m [17]), .Y(n17731) ); sky130_fd_sc_hd__xnor2_1 U22626 ( .A(n17732), .B(n17731), .Y(n17735) ); sky130_fd_sc_hd__o2bb2ai_1 U22627 ( .B1(\lsu/dccm_rdata_lo_m [13]), .B2( n17733), .A1_N(\lsu/dccm_rdata_lo_m [13]), .A2_N(n17733), .Y(n17734) ); sky130_fd_sc_hd__xnor2_1 U22628 ( .A(n17735), .B(n17734), .Y(n23580) ); sky130_fd_sc_hd__o22ai_1 U22629 ( .A1(\lsu/dccm_rdata_lo_m [19]), .A2(n17737), .B1(n17736), .B2(\lsu/dccm_rdata_lo_m [4]), .Y(n17738) ); sky130_fd_sc_hd__xnor2_1 U22630 ( .A(n17739), .B(n17738), .Y(n17743) ); sky130_fd_sc_hd__xnor2_1 U22631 ( .A(n17741), .B(n17740), .Y(n17742) ); sky130_fd_sc_hd__xnor2_1 U22632 ( .A(n17743), .B(n17742), .Y(n17744) ); sky130_fd_sc_hd__xnor2_1 U22633 ( .A(n17745), .B(n17744), .Y(n17747) ); sky130_fd_sc_hd__xnor2_1 U22634 ( .A(n17747), .B(n17746), .Y(n17748) ); sky130_fd_sc_hd__xor2_1 U22635 ( .A(n17749), .B(n17748), .X(n23574) ); sky130_fd_sc_hd__xnor2_1 U22636 ( .A(\lsu/dccm_rdata_lo_m [24]), .B(n17750), .Y(n17756) ); sky130_fd_sc_hd__o22ai_1 U22637 ( .A1(\lsu/dccm_rdata_lo_m [22]), .A2(n17752), .B1(n17751), .B2(\lsu/dccm_rdata_lo_m [9]), .Y(n17753) ); sky130_fd_sc_hd__xor2_1 U22638 ( .A(n17754), .B(n17753), .X(n17755) ); sky130_fd_sc_hd__xnor2_1 U22639 ( .A(n17756), .B(n17755), .Y(n17757) ); sky130_fd_sc_hd__xnor2_1 U22640 ( .A(n17758), .B(n17757), .Y(n23573) ); sky130_fd_sc_hd__nand2_1 U22641 ( .A(n23574), .B(n23573), .Y(n17759) ); sky130_fd_sc_hd__nor2_1 U22642 ( .A(n23580), .B(n17759), .Y(n23442) ); sky130_fd_sc_hd__xnor2_1 U22643 ( .A(n17761), .B(n17760), .Y(n17770) ); sky130_fd_sc_hd__o2bb2ai_1 U22644 ( .B1(\lsu/dccm_rdata_lo_m [28]), .B2( n17762), .A1_N(\lsu/dccm_rdata_lo_m [28]), .A2_N(n17762), .Y(n17766) ); sky130_fd_sc_hd__o22ai_1 U22645 ( .A1(\lsu/dccm_rdata_lo_m [12]), .A2(n17764), .B1(n17763), .B2(\lsu/dccm_rdata_lo_m [20]), .Y(n17765) ); sky130_fd_sc_hd__xnor2_1 U22646 ( .A(n17766), .B(n17765), .Y(n17768) ); sky130_fd_sc_hd__xnor2_1 U22647 ( .A(n17768), .B(n17767), .Y(n17769) ); sky130_fd_sc_hd__xor2_1 U22648 ( .A(n17770), .B(n17769), .X(n23517) ); sky130_fd_sc_hd__xnor2_1 U22649 ( .A(\lsu/dccm_rdata_lo_m [29]), .B( \lsu/dccm_data_ecc_lo_m [5]), .Y(n17773) ); sky130_fd_sc_hd__o2bb2ai_1 U22650 ( .B1(\lsu/dccm_rdata_lo_m [30]), .B2( n17771), .A1_N(\lsu/dccm_rdata_lo_m [30]), .A2_N(n17771), .Y(n17772) ); sky130_fd_sc_hd__xnor2_1 U22651 ( .A(n17773), .B(n17772), .Y(n17774) ); sky130_fd_sc_hd__xor2_1 U22652 ( .A(n17775), .B(n17774), .X(n23501) ); sky130_fd_sc_hd__xnor2_1 U22653 ( .A(n17777), .B(n17776), .Y(n17782) ); sky130_fd_sc_hd__o22ai_1 U22654 ( .A1(\lsu/dccm_rdata_lo_m [15]), .A2(n23463), .B1(n17778), .B2(\lsu/dccm_rdata_lo_m [31]), .Y(n17779) ); sky130_fd_sc_hd__xor2_1 U22655 ( .A(n17780), .B(n17779), .X(n17781) ); sky130_fd_sc_hd__xnor2_1 U22656 ( .A(n17782), .B(n17781), .Y(n17784) ); sky130_fd_sc_hd__xnor2_1 U22657 ( .A(n17784), .B(n17783), .Y(n23500) ); sky130_fd_sc_hd__nor3_1 U22658 ( .A(n23517), .B(n23501), .C(n23500), .Y( n23587) ); sky130_fd_sc_hd__a21oi_1 U22659 ( .A1(n23442), .A2(n23587), .B1(n17785), .Y( n17787) ); sky130_fd_sc_hd__a22oi_1 U22660 ( .A1(n17789), .A2(n17788), .B1(n17787), .B2(n17786), .Y(n4633) ); sky130_fd_sc_hd__clkinv_1 U22661 ( .A(n4633), .Y(dccm_dma_ecc_error) ); sky130_fd_sc_hd__a31o_1 U22662 ( .A1(\lsu/lsu_pkt_m[fast_int] ), .A2( dccm_dma_ecc_error), .A3(n23904), .B1( \lsu/lsu_lsc_ctl/fir_nondccm_access_error_m ), .X( \lsu/lsu_lsc_ctl/L2U_Plus1_0.lsu_fir_error_m [0]) ); sky130_fd_sc_hd__nand2_1 U22663 ( .A(n20389), .B(dccm_dma_ecc_error), .Y( n23905) ); sky130_fd_sc_hd__and2_0 U22664 ( .A(\lsu/lsu_lsc_ctl/exc_mscause_m [2]), .B( n23905), .X(\lsu/lsu_lsc_ctl/lsu_error_pkt_m[mscause][2] ) ); sky130_fd_sc_hd__and2_0 U22665 ( .A(\lsu/lsu_lsc_ctl/exc_mscause_m [1]), .B( n23905), .X(\lsu/lsu_lsc_ctl/lsu_error_pkt_m[mscause][1] ) ); sky130_fd_sc_hd__and2_0 U22666 ( .A(\dec/decode/last_br_immed_x [1]), .B( exu_i0_pc_x[1]), .X(\intadd_3/CI ) ); sky130_fd_sc_hd__nor2_1 U22667 ( .A(n24017), .B(n17791), .Y(n17799) ); sky130_fd_sc_hd__clkinv_1 U22668 ( .A(n17799), .Y(n17792) ); sky130_fd_sc_hd__o31ai_1 U22669 ( .A1(n23928), .A2(n19313), .A3(n24029), .B1(n17792), .Y(n17793) ); sky130_fd_sc_hd__nor2b_1 U22670 ( .B_N(\exu/i_div/a_ff [32]), .A(n17794), .Y(n20130) ); sky130_fd_sc_hd__a21oi_1 U22671 ( .A1(n19985), .A2(\exu/i_div/a_ff [31]), .B1(n20130), .Y(n17795) ); sky130_fd_sc_hd__xor2_1 U22672 ( .A(\intadd_1/n1 ), .B(n17795), .X(n17798) ); sky130_fd_sc_hd__xnor2_1 U22673 ( .A(\exu/i_div/a_ff [32]), .B(n17796), .Y( n20128) ); sky130_fd_sc_hd__clkinv_1 U22674 ( .A(\exu/i_div/m_ff [32]), .Y(n20277) ); sky130_fd_sc_hd__o22ai_1 U22675 ( .A1(\exu/i_div/m_ff [32]), .A2(n20128), .B1(n20277), .B2(n20131), .Y(n17797) ); sky130_fd_sc_hd__xor2_1 U22676 ( .A(n17798), .B(n17797), .X(n20132) ); sky130_fd_sc_hd__and2_0 U22677 ( .A(n20132), .B(\exu/i_div/run_state ), .X( \exu/i_div/a_in [32]) ); sky130_fd_sc_hd__nor3_1 U22678 ( .A(\lsu/lsu_pkt_m[valid] ), .B( dec_tlu_lsu_clk_override), .C(\lsu/clkdomain/lsu_c1_m_clken_q ), .Y( n21703) ); sky130_fd_sc_hd__nand2_1 U22679 ( .A(n21703), .B(n21804), .Y( \lsu/clkdomain/lsu_c1r_cgc/clkhdr/enable ) ); sky130_fd_sc_hd__or2_0 U22680 ( .A(\lsu/clkdomain/lsu_c1_r_clken_q ), .B( \lsu/clkdomain/lsu_c1r_cgc/clkhdr/enable ), .X( \lsu/clkdomain/lsu_c2r_cgc/clkhdr/enable ) ); sky130_fd_sc_hd__or2_0 U22681 ( .A( \dec/decode/i0wbinstff/genblock.genblock.clkhdr/clkhdr/enable ), .B( \dec/decode/i0_pipe_en [0]), .X( \dec/decode/wbff/genblock.genblock.clkhdr/clkhdr/enable ) ); sky130_fd_sc_hd__o31ai_1 U22682 ( .A1(dma_mem_sz[2]), .A2(dma_mem_sz[0]), .A3(n17801), .B1(n17800), .Y(\lsu/lsu_pkt_d[word] ) ); sky130_fd_sc_hd__o22ai_1 U22683 ( .A1(n24042), .A2(n17804), .B1(n17803), .B2(n17802), .Y(\lsu/lsu_lsc_ctl/n111 ) ); sky130_fd_sc_hd__o22ai_1 U22684 ( .A1(n17813), .A2(dec_tlu_mrac_ff[21]), .B1(\lsu/lsu_lsc_ctl/n78 ), .B2(dec_tlu_mrac_ff[17]), .Y(n17806) ); sky130_fd_sc_hd__nor2_1 U22685 ( .A(\lsu/lsu_lsc_ctl/n78 ), .B(n17818), .Y( n17843) ); sky130_fd_sc_hd__clkinv_1 U22686 ( .A(n17843), .Y(n17815) ); sky130_fd_sc_hd__o22ai_1 U22687 ( .A1(dec_tlu_mrac_ff[19]), .A2(n17815), .B1(dec_tlu_mrac_ff[23]), .B2(n17814), .Y(n17805) ); sky130_fd_sc_hd__a21oi_1 U22688 ( .A1(n17818), .A2(n17806), .B1(n17805), .Y( n17809) ); sky130_fd_sc_hd__o22ai_1 U22689 ( .A1(n17818), .A2(dec_tlu_mrac_ff[27]), .B1(\lsu/lsu_lsc_ctl/n79 ), .B2(dec_tlu_mrac_ff[25]), .Y(n17807) ); sky130_fd_sc_hd__o21ai_1 U22690 ( .A1(\lsu/lsu_lsc_ctl/n78 ), .A2(n17807), .B1(\lsu/lsu_lsc_ctl/n77 ), .Y(n17808) ); sky130_fd_sc_hd__o21ai_1 U22691 ( .A1(n17809), .A2(\lsu/lsu_lsc_ctl/n77 ), .B1(n17808), .Y(n17824) ); sky130_fd_sc_hd__o22ai_1 U22692 ( .A1(n17813), .A2(dec_tlu_mrac_ff[5]), .B1( \lsu/lsu_lsc_ctl/n78 ), .B2(dec_tlu_mrac_ff[1]), .Y(n17812) ); sky130_fd_sc_hd__a22oi_1 U22693 ( .A1(n17810), .A2(dec_tlu_mrac_ff[7]), .B1( n17843), .B2(dec_tlu_mrac_ff[3]), .Y(n17811) ); sky130_fd_sc_hd__o21ai_1 U22694 ( .A1(\lsu/lsu_lsc_ctl/n79 ), .A2(n17812), .B1(n17811), .Y(n17820) ); sky130_fd_sc_hd__o22ai_1 U22695 ( .A1(n17813), .A2(dec_tlu_mrac_ff[13]), .B1(\lsu/lsu_lsc_ctl/n78 ), .B2(dec_tlu_mrac_ff[9]), .Y(n17817) ); sky130_fd_sc_hd__o22ai_1 U22696 ( .A1(dec_tlu_mrac_ff[11]), .A2(n17815), .B1(dec_tlu_mrac_ff[15]), .B2(n17814), .Y(n17816) ); sky130_fd_sc_hd__a21oi_1 U22697 ( .A1(n17818), .A2(n17817), .B1(n17816), .Y( n17819) ); sky130_fd_sc_hd__o22ai_1 U22698 ( .A1(\lsu/lsu_lsc_ctl/n77 ), .A2(n17820), .B1(n17842), .B2(n17819), .Y(n17822) ); sky130_fd_sc_hd__nor2_1 U22699 ( .A(n17821), .B(n20442), .Y(n21562) ); sky130_fd_sc_hd__a221oi_1 U22700 ( .A1(\lsu/lsu_lsc_ctl/n76 ), .A2(n17824), .B1(n17823), .B2(n17822), .C1(n21562), .Y( \lsu/lsu_lsc_ctl/addrcheck/is_sideeffects_d ) ); sky130_fd_sc_hd__xnor2_1 U22701 ( .A(n17835), .B(n17828), .Y(n17830) ); sky130_fd_sc_hd__xor2_1 U22702 ( .A(n17830), .B(n17829), .X( \lsu/lsu_lsc_ctl/n92 ) ); sky130_fd_sc_hd__nand2_1 U22703 ( .A(n20385), .B(n17831), .Y(n21331) ); sky130_fd_sc_hd__o21ai_1 U22704 ( .A1(dccm_rd_addr_lo[0]), .A2(n17832), .B1( \lsu/lsu_lsc_ctl/addrcheck/is_sideeffects_d ), .Y(n17833) ); sky130_fd_sc_hd__nor4_1 U22705 ( .A(n17834), .B(\lsu/lsu_lsc_ctl/n111 ), .C( n21331), .D(n17833), .Y(n22704) ); sky130_fd_sc_hd__clkinv_1 U22706 ( .A(n22704), .Y(n22700) ); sky130_fd_sc_hd__nand4_1 U22707 ( .A(n17837), .B(n17836), .C(n17835), .D( \lsu/lsu_lsc_ctl/addr_external_d ), .Y(n17838) ); sky130_fd_sc_hd__nor2_1 U22708 ( .A(n17839), .B(n17838), .Y(n21330) ); sky130_fd_sc_hd__nand2_1 U22709 ( .A(\lsu/lsu_lsc_ctl/n95 ), .B( \lsu/lsu_lsc_ctl/n92 ), .Y(n17840) ); sky130_fd_sc_hd__nor3_1 U22710 ( .A(n17840), .B(\lsu/lsu_lsc_ctl/n93 ), .C( \lsu/lsu_lsc_ctl/n94 ), .Y(n17841) ); sky130_fd_sc_hd__a31oi_1 U22711 ( .A1(\lsu/lsu_lsc_ctl/n76 ), .A2(n17843), .A3(n17842), .B1(n17841), .Y(n21328) ); sky130_fd_sc_hd__clkinv_1 U22712 ( .A(n21328), .Y(n17849) ); sky130_fd_sc_hd__nand4_1 U22713 ( .A(\lsu/lsu_lsc_ctl/n95 ), .B( \lsu/lsu_lsc_ctl/n92 ), .C(\lsu/lsu_lsc_ctl/n93 ), .D( \lsu/lsu_lsc_ctl/n94 ), .Y(n17844) ); sky130_fd_sc_hd__nor2b_1 U22714 ( .B_N(n17845), .A(n17844), .Y(n17847) ); sky130_fd_sc_hd__a31oi_1 U22715 ( .A1(n21325), .A2(n21324), .A3(n17848), .B1(n10964), .Y(n21327) ); sky130_fd_sc_hd__o21ai_1 U22716 ( .A1(n21330), .A2(n17849), .B1(n21327), .Y( n17850) ); sky130_fd_sc_hd__nand2b_1 U22717 ( .A_N(n21331), .B(n10963), .Y(n22702) ); sky130_fd_sc_hd__a21boi_0 U22718 ( .A1(n22700), .A2(n17850), .B1_N(n22702), .Y(\lsu/lsu_lsc_ctl/exc_mscause_d [0]) ); sky130_fd_sc_hd__nor2_1 U22719 ( .A(n19345), .B(n24029), .Y(\mul_p[valid] ) ); sky130_fd_sc_hd__nor2_1 U22720 ( .A(\lsu/clkdomain/lsu_c1_d_clken_q ), .B( \lsu/clkdomain/lsu_c1_d_clken ), .Y(n21701) ); sky130_fd_sc_hd__nand2_1 U22721 ( .A(n21701), .B(n21804), .Y( \lsu/clkdomain/lsu_c1m_cgc/clkhdr/enable ) ); sky130_fd_sc_hd__and2_1 U22722 ( .A(clk), .B(\active_cg/clkhdr/en_ff ), .X( active_clk) ); sky130_fd_sc_hd__and2_1 U22723 ( .A(clk), .B( \exu/i_r_ff1/genblock.genblock.clkhdr/clkhdr/en_ff ), .X( \exu/i_r_ff1/l1clk ) ); sky130_fd_sc_hd__and2_1 U22724 ( .A(clk), .B( \lsu/clkdomain/lsu_c2r_cgc/clkhdr/en_ff ), .X(\lsu/lsu_c2_r_clk ) ); sky130_fd_sc_hd__and2_1 U22725 ( .A(clk), .B( \pic_ctrl_inst/pic_pri_c1_cgc/clkhdr/en_ff ), .X( \pic_ctrl_inst/pic_pri_c1_clk ) ); sky130_fd_sc_hd__and2_1 U22726 ( .A(clk), .B( \lsu/clkdomain/lsu_bus_buf_c1_cgc/clkhdr/en_ff ), .X( \lsu/lsu_bus_buf_c1_clk ) ); sky130_fd_sc_hd__and2_1 U22727 ( .A(clk), .B( \lsu/clkdomain/lsu_c1r_cgc/clkhdr/en_ff ), .X(\lsu/lsu_c1_r_clk ) ); sky130_fd_sc_hd__and2_1 U22728 ( .A(clk), .B( \ifu/aln/q2ff/genblock.genblock.clkhdr/clkhdr/en_ff ), .X( \ifu/aln/q2ff/l1clk ) ); sky130_fd_sc_hd__and2_1 U22729 ( .A(clk), .B( \ifu/aln/q1ff/genblock.genblock.clkhdr/clkhdr/en_ff ), .X( \ifu/aln/q1ff/l1clk ) ); sky130_fd_sc_hd__and2_1 U22730 ( .A(clk), .B( \ifu/aln/q0ff/genblock.genblock.clkhdr/clkhdr/en_ff ), .X( \ifu/aln/q0ff/l1clk ) ); sky130_fd_sc_hd__and2_1 U22731 ( .A(clk), .B( \lsu/ecc/sec_data_lo_rplus1ff/genblock.genblock.clkhdr/clkhdr/en_ff ), .X(\lsu/ecc/sec_data_lo_rplus1ff/l1clk ) ); sky130_fd_sc_hd__and2_1 U22732 ( .A(clk), .B( \lsu/clkdomain/lsu_c1m_cgc/clkhdr/en_ff ), .X(\lsu/lsu_c1_m_clk ) ); sky130_fd_sc_hd__and2_1 U22733 ( .A(clk), .B( \exu/i_mul/i_a_x_ff/genblock.genblock.clkhdr/clkhdr/en_ff ), .X( \exu/i_mul/i_b_x_ff/l1clk ) ); sky130_fd_sc_hd__and2_1 U22734 ( .A(clk), .B( \lsu/clkdomain/lsu_store_c1r_cgc/clkhdr/en_ff ), .X( \lsu/lsu_store_c1_r_clk ) ); sky130_fd_sc_hd__and2_1 U22735 ( .A(clk), .B( \dec/decode/i0wb1pcff/genblock.genblock.clkhdr/clkhdr/en_ff ), .X( \dec/decode/i0wb1pcff/l1clk ) ); sky130_fd_sc_hd__and2_1 U22736 ( .A(clk), .B( \dec/decode/i0wbpcff/genblock.genblock.clkhdr/clkhdr/en_ff ), .X( \dec/decode/i0wbpcff/l1clk ) ); sky130_fd_sc_hd__and2_1 U22737 ( .A(clk), .B( \pic_ctrl_inst/gw_config_c1_cgc/clkhdr/en_ff ), .X( \pic_ctrl_inst/gw_config_c1_clk ) ); sky130_fd_sc_hd__nor2_1 U22738 ( .A(\ifu/ifc/state [1]), .B( \ifu/ifc/state [0]), .Y(n18391) ); sky130_fd_sc_hd__nand2_1 U22739 ( .A(\ifu/aln/f0val [0]), .B( ifu_pmu_instr_aligned), .Y(n18777) ); sky130_fd_sc_hd__a21o_1 U22740 ( .A1(\ifu/aln/f0val [1]), .A2(n24119), .B1( n18777), .X(n18797) ); sky130_fd_sc_hd__nor2_1 U22741 ( .A(n19319), .B(n18778), .Y(n18786) ); sky130_fd_sc_hd__nand2_1 U22742 ( .A(n18786), .B(\ifu/aln/f1val [1]), .Y( n18634) ); sky130_fd_sc_hd__o21ai_1 U22743 ( .A1(n18786), .A2(n18482), .B1(n18634), .Y( n18486) ); sky130_fd_sc_hd__nand2_1 U22744 ( .A(\ifu/aln/f0val [1]), .B(n24119), .Y( n17852) ); sky130_fd_sc_hd__nor2_1 U22745 ( .A(n18486), .B(n18496), .Y(n18480) ); sky130_fd_sc_hd__nand2_1 U22746 ( .A(\ifu/aln/f1val [0]), .B(n18480), .Y( n18798) ); sky130_fd_sc_hd__a21oi_1 U22747 ( .A1(n18376), .A2(n18798), .B1(n18797), .Y( n18377) ); sky130_fd_sc_hd__nor2_1 U22748 ( .A(n18385), .B(n18377), .Y(n18384) ); sky130_fd_sc_hd__a22oi_1 U22749 ( .A1(n18385), .A2(\ifu/ifc/fb_write_f [2]), .B1(n18384), .B2(\ifu/ifc/fb_write_f [3]), .Y(n18393) ); sky130_fd_sc_hd__nand2_1 U22750 ( .A(n21805), .B(n18797), .Y(n18370) ); sky130_fd_sc_hd__fa_1 U22751 ( .A(n17856), .B(n17855), .CIN(n17854), .COUT( n15661), .SUM(\lsu/lsu_lsc_ctl/n102 ) ); sky130_fd_sc_hd__fa_1 U22752 ( .A(n17859), .B(n17858), .CIN(n17857), .COUT( n16388), .SUM(dccm_rd_addr_hi[14]) ); sky130_fd_sc_hd__fa_1 U22753 ( .A(n17861), .B(n20399), .CIN(n17860), .COUT( n17857), .SUM(dccm_rd_addr_hi[13]) ); sky130_fd_sc_hd__fa_1 U22754 ( .A(n17864), .B(n17863), .CIN(n17862), .COUT( n17860), .SUM(dccm_rd_addr_hi[12]) ); sky130_fd_sc_hd__fa_1 U22755 ( .A(n17867), .B(n17866), .CIN(n17865), .COUT( n17862), .SUM(dccm_rd_addr_hi[11]) ); sky130_fd_sc_hd__fa_1 U22756 ( .A(n17870), .B(n17869), .CIN(n17868), .COUT( n17865), .SUM(dccm_rd_addr_hi[10]) ); sky130_fd_sc_hd__fa_1 U22757 ( .A(n17873), .B(n17872), .CIN(n17871), .COUT( n17868), .SUM(dccm_rd_addr_hi[9]) ); sky130_fd_sc_hd__fa_1 U22758 ( .A(n17876), .B(n17875), .CIN(n17874), .COUT( n17871), .SUM(dccm_rd_addr_hi[8]) ); sky130_fd_sc_hd__fa_1 U22759 ( .A(n17879), .B(n17878), .CIN(n17877), .COUT( n17874), .SUM(dccm_rd_addr_hi[7]) ); sky130_fd_sc_hd__fa_1 U22760 ( .A(n17882), .B(n17881), .CIN(n17880), .COUT( n17877), .SUM(dccm_rd_addr_hi[6]) ); sky130_fd_sc_hd__fa_1 U22761 ( .A(n17885), .B(n17884), .CIN(n17883), .COUT( n17880), .SUM(dccm_rd_addr_hi[5]) ); sky130_fd_sc_hd__fa_1 U22762 ( .A(n17888), .B(n17887), .CIN(n17886), .COUT( n17883), .SUM(dccm_rd_addr_hi[4]) ); sky130_fd_sc_hd__fa_1 U22763 ( .A(n17891), .B(n17890), .CIN(n17889), .COUT( n15692), .SUM(dccm_rd_addr_hi[1]) ); sky130_fd_sc_hd__fa_1 U22764 ( .A(n17894), .B(n17893), .CIN(n17892), .COUT( n17889), .SUM(dccm_rd_addr_hi[0]) ); sky130_fd_sc_hd__fa_1 U22765 ( .A(n17897), .B(n17896), .CIN(n17895), .COUT( n15464), .SUM(dccm_rd_addr_lo[11]) ); sky130_fd_sc_hd__fa_1 U22766 ( .A(n17900), .B(n17899), .CIN(n17898), .COUT( n17895), .SUM(dccm_rd_addr_lo[10]) ); sky130_fd_sc_hd__fa_1 U22767 ( .A(n17903), .B(n17902), .CIN(n17901), .COUT( n17898), .SUM(dccm_rd_addr_lo[9]) ); sky130_fd_sc_hd__fa_1 U22768 ( .A(n17906), .B(n17905), .CIN(n17904), .COUT( n17901), .SUM(dccm_rd_addr_lo[8]) ); sky130_fd_sc_hd__fa_1 U22769 ( .A(n17909), .B(n17908), .CIN(n17907), .COUT( n17904), .SUM(dccm_rd_addr_lo[7]) ); sky130_fd_sc_hd__fa_1 U22770 ( .A(n17912), .B(n17911), .CIN(n17910), .COUT( n17907), .SUM(dccm_rd_addr_lo[6]) ); sky130_fd_sc_hd__fa_1 U22771 ( .A(n17915), .B(n17914), .CIN(n17913), .COUT( n17910), .SUM(dccm_rd_addr_lo[5]) ); sky130_fd_sc_hd__fa_1 U22772 ( .A(n17918), .B(n17917), .CIN(n17916), .COUT( n17913), .SUM(dccm_rd_addr_lo[4]) ); sky130_fd_sc_hd__nor2_1 U22773 ( .A(\dec/decode/last_br_immed_x [1]), .B( exu_i0_pc_x[1]), .Y(n17919) ); sky130_fd_sc_hd__nor2_1 U22774 ( .A(\intadd_3/CI ), .B(n17919), .Y( pred_correct_npc_x[1]) ); sky130_fd_sc_hd__o21ai_1 U22775 ( .A1(sb_axi_awsize[0]), .A2(n18257), .B1( n17928), .Y(n17922) ); sky130_fd_sc_hd__clkinv_1 U22776 ( .A(\dbg/N205 ), .Y(n18304) ); sky130_fd_sc_hd__clkinv_1 U22777 ( .A(sb_axi_awaddr[1]), .Y(n18176) ); sky130_fd_sc_hd__nand2_1 U22778 ( .A(n18304), .B(n18176), .Y(n18256) ); sky130_fd_sc_hd__o21ai_1 U22779 ( .A1(sb_axi_awsize[0]), .A2(n18304), .B1( n17932), .Y(n18302) ); sky130_fd_sc_hd__o21ai_1 U22780 ( .A1(n17922), .A2(n18256), .B1(n18302), .Y( sb_axi_wstrb[0]) ); sky130_fd_sc_hd__o21ai_1 U22781 ( .A1(n17920), .A2(n18256), .B1(n18302), .Y( sb_axi_wstrb[1]) ); sky130_fd_sc_hd__nand2_1 U22782 ( .A(sb_axi_awaddr[1]), .B(n18304), .Y( n18253) ); sky130_fd_sc_hd__o21ai_1 U22783 ( .A1(n17922), .A2(n18253), .B1(n18302), .Y( sb_axi_wstrb[2]) ); sky130_fd_sc_hd__o21ai_1 U22784 ( .A1(\dbg/N205 ), .A2(n18052), .B1(n18302), .Y(sb_axi_wstrb[3]) ); sky130_fd_sc_hd__nand2_1 U22785 ( .A(\dbg/N205 ), .B(n18176), .Y(n18254) ); sky130_fd_sc_hd__o21ai_1 U22786 ( .A1(sb_axi_awsize[0]), .A2(\dbg/N205 ), .B1(n17932), .Y(n17921) ); sky130_fd_sc_hd__o21ai_1 U22787 ( .A1(n17922), .A2(n18254), .B1(n17921), .Y( sb_axi_wstrb[4]) ); sky130_fd_sc_hd__o21ai_1 U22788 ( .A1(n17920), .A2(n18254), .B1(n17921), .Y( sb_axi_wstrb[5]) ); sky130_fd_sc_hd__nand2_1 U22789 ( .A(\dbg/N205 ), .B(sb_axi_awaddr[1]), .Y( n18255) ); sky130_fd_sc_hd__o21ai_1 U22790 ( .A1(n17922), .A2(n18255), .B1(n17921), .Y( sb_axi_wstrb[6]) ); sky130_fd_sc_hd__clkinv_1 U22791 ( .A(\dbg/sbdata0_reg [3]), .Y(n17962) ); sky130_fd_sc_hd__nor2_1 U22792 ( .A(sb_axi_awsize[2]), .B(n17962), .Y( sb_axi_wdata[3]) ); sky130_fd_sc_hd__nor2_1 U22793 ( .A(sb_axi_awsize[2]), .B(n17923), .Y( sb_axi_wdata[5]) ); sky130_fd_sc_hd__clkinv_1 U22794 ( .A(\dbg/sbdata0_reg [6]), .Y(n17970) ); sky130_fd_sc_hd__nor2_1 U22795 ( .A(sb_axi_awsize[2]), .B(n17970), .Y( sb_axi_wdata[6]) ); sky130_fd_sc_hd__clkinv_1 U22796 ( .A(\dbg/sbdata0_reg [7]), .Y(n17924) ); sky130_fd_sc_hd__nor2_1 U22797 ( .A(sb_axi_awsize[2]), .B(n17924), .Y( sb_axi_wdata[7]) ); sky130_fd_sc_hd__o221ai_1 U22798 ( .A1(sb_axi_awsize[0]), .A2( \dbg/sbdata0_reg [0]), .B1(n24510), .B2(\dbg/sbdata0_reg [8]), .C1( n17928), .Y(n17937) ); sky130_fd_sc_hd__o21ai_1 U22799 ( .A1(n17935), .A2(n24352), .B1(n17937), .Y( sb_axi_wdata[8]) ); sky130_fd_sc_hd__clkinv_1 U22800 ( .A(\dbg/sbdata0_reg [9]), .Y(n24359) ); sky130_fd_sc_hd__o221ai_1 U22801 ( .A1(sb_axi_awsize[0]), .A2( \dbg/sbdata0_reg [1]), .B1(n24510), .B2(\dbg/sbdata0_reg [9]), .C1( n17928), .Y(n17939) ); sky130_fd_sc_hd__o21ai_1 U22802 ( .A1(n17935), .A2(n24359), .B1(n17939), .Y( sb_axi_wdata[9]) ); sky130_fd_sc_hd__clkinv_1 U22803 ( .A(\dbg/sbdata0_reg [10]), .Y(n17943) ); sky130_fd_sc_hd__o221ai_1 U22804 ( .A1(sb_axi_awsize[0]), .A2( \dbg/sbdata0_reg [2]), .B1(n24510), .B2(\dbg/sbdata0_reg [10]), .C1( n17928), .Y(n17941) ); sky130_fd_sc_hd__o21ai_1 U22805 ( .A1(n17935), .A2(n17943), .B1(n17941), .Y( sb_axi_wdata[10]) ); sky130_fd_sc_hd__clkinv_1 U22806 ( .A(\dbg/sbdata0_reg [11]), .Y(n24376) ); sky130_fd_sc_hd__nand2_1 U22807 ( .A(n17928), .B(n24510), .Y(n18180) ); sky130_fd_sc_hd__o22ai_1 U22808 ( .A1(n18173), .A2(n24376), .B1(n18180), .B2(n17962), .Y(n17987) ); sky130_fd_sc_hd__clkinv_1 U22809 ( .A(n17987), .Y(n17930) ); sky130_fd_sc_hd__o21ai_1 U22810 ( .A1(n17935), .A2(n24376), .B1(n17930), .Y( sb_axi_wdata[11]) ); sky130_fd_sc_hd__clkinv_1 U22811 ( .A(\dbg/sbdata0_reg [12]), .Y(n17947) ); sky130_fd_sc_hd__o221ai_1 U22812 ( .A1(sb_axi_awsize[0]), .A2( \dbg/sbdata0_reg [4]), .B1(n24510), .B2(\dbg/sbdata0_reg [12]), .C1( n17928), .Y(n17945) ); sky130_fd_sc_hd__o21ai_1 U22813 ( .A1(n17935), .A2(n17947), .B1(n17945), .Y( sb_axi_wdata[12]) ); sky130_fd_sc_hd__clkinv_1 U22814 ( .A(\dbg/sbdata0_reg [13]), .Y(n17949) ); sky130_fd_sc_hd__o22ai_1 U22815 ( .A1(n18173), .A2(n17949), .B1(n18180), .B2(n17923), .Y(n17993) ); sky130_fd_sc_hd__clkinv_1 U22816 ( .A(n17993), .Y(n17931) ); sky130_fd_sc_hd__o21ai_1 U22817 ( .A1(n17935), .A2(n17949), .B1(n17931), .Y( sb_axi_wdata[13]) ); sky130_fd_sc_hd__o22ai_1 U22818 ( .A1(n18173), .A2(n17951), .B1(n18180), .B2(n17970), .Y(n17996) ); sky130_fd_sc_hd__clkinv_1 U22819 ( .A(n17996), .Y(n17933) ); sky130_fd_sc_hd__o21ai_1 U22820 ( .A1(n17935), .A2(n17951), .B1(n17933), .Y( sb_axi_wdata[14]) ); sky130_fd_sc_hd__clkinv_1 U22821 ( .A(\dbg/sbdata0_reg [15]), .Y(n17953) ); sky130_fd_sc_hd__o22ai_1 U22822 ( .A1(n18173), .A2(n17953), .B1(n18180), .B2(n17924), .Y(n17999) ); sky130_fd_sc_hd__clkinv_1 U22823 ( .A(n17999), .Y(n17934) ); sky130_fd_sc_hd__o21ai_1 U22824 ( .A1(n17935), .A2(n17953), .B1(n17934), .Y( sb_axi_wdata[15]) ); sky130_fd_sc_hd__nand2_1 U22825 ( .A(n17928), .B(\dbg/sbdata0_reg [0]), .Y( n17954) ); sky130_fd_sc_hd__nand2_1 U22826 ( .A(n17932), .B(\dbg/sbdata0_reg [16]), .Y( n17956) ); sky130_fd_sc_hd__nand2_1 U22827 ( .A(n17954), .B(n17956), .Y( sb_axi_wdata[16]) ); sky130_fd_sc_hd__nand2_1 U22828 ( .A(n17928), .B(\dbg/sbdata0_reg [1]), .Y( n17957) ); sky130_fd_sc_hd__nand2_1 U22829 ( .A(n17932), .B(\dbg/sbdata0_reg [17]), .Y( n17959) ); sky130_fd_sc_hd__nand2_1 U22830 ( .A(n17957), .B(n17959), .Y( sb_axi_wdata[17]) ); sky130_fd_sc_hd__nand2_1 U22831 ( .A(n17928), .B(\dbg/sbdata0_reg [2]), .Y( n17960) ); sky130_fd_sc_hd__o21ai_1 U22832 ( .A1(n17925), .A2(n17935), .B1(n17960), .Y( sb_axi_wdata[18]) ); sky130_fd_sc_hd__clkinv_1 U22833 ( .A(n17928), .Y(n17971) ); sky130_fd_sc_hd__nand2_1 U22834 ( .A(n17932), .B(\dbg/sbdata0_reg [19]), .Y( n17965) ); sky130_fd_sc_hd__o21ai_1 U22835 ( .A1(n17962), .A2(n17971), .B1(n17965), .Y( sb_axi_wdata[19]) ); sky130_fd_sc_hd__clkinv_1 U22836 ( .A(\dbg/sbdata0_reg [20]), .Y(n17926) ); sky130_fd_sc_hd__nand2_1 U22837 ( .A(n17928), .B(\dbg/sbdata0_reg [4]), .Y( n17966) ); sky130_fd_sc_hd__o21ai_1 U22838 ( .A1(n17926), .A2(n17935), .B1(n17966), .Y( sb_axi_wdata[20]) ); sky130_fd_sc_hd__clkinv_1 U22839 ( .A(\dbg/sbdata0_reg [21]), .Y(n17927) ); sky130_fd_sc_hd__nand2_1 U22840 ( .A(n17928), .B(\dbg/sbdata0_reg [5]), .Y( n17968) ); sky130_fd_sc_hd__o21ai_1 U22841 ( .A1(n17927), .A2(n17935), .B1(n17968), .Y( sb_axi_wdata[21]) ); sky130_fd_sc_hd__nand2_1 U22842 ( .A(n17932), .B(\dbg/sbdata0_reg [22]), .Y( n17974) ); sky130_fd_sc_hd__o21ai_1 U22843 ( .A1(n17970), .A2(n17971), .B1(n17974), .Y( sb_axi_wdata[22]) ); sky130_fd_sc_hd__clkinv_1 U22844 ( .A(\dbg/sbdata0_reg [23]), .Y(n17929) ); sky130_fd_sc_hd__nand2_1 U22845 ( .A(n17928), .B(\dbg/sbdata0_reg [7]), .Y( n17976) ); sky130_fd_sc_hd__o21ai_1 U22846 ( .A1(n17929), .A2(n17935), .B1(n17976), .Y( sb_axi_wdata[23]) ); sky130_fd_sc_hd__o21ai_1 U22847 ( .A1(n17935), .A2(n17980), .B1(n17937), .Y( sb_axi_wdata[24]) ); sky130_fd_sc_hd__nand2_1 U22848 ( .A(n17932), .B(\dbg/sbdata0_reg [25]), .Y( n17983) ); sky130_fd_sc_hd__nand2_1 U22849 ( .A(n17939), .B(n17983), .Y( sb_axi_wdata[25]) ); sky130_fd_sc_hd__clkinv_1 U22850 ( .A(\dbg/sbdata0_reg [26]), .Y(n17986) ); sky130_fd_sc_hd__o21ai_1 U22851 ( .A1(n17935), .A2(n17986), .B1(n17941), .Y( sb_axi_wdata[26]) ); sky130_fd_sc_hd__nand2_1 U22852 ( .A(n17932), .B(\dbg/sbdata0_reg [27]), .Y( n17989) ); sky130_fd_sc_hd__nand2_1 U22853 ( .A(n17930), .B(n17989), .Y( sb_axi_wdata[27]) ); sky130_fd_sc_hd__nand2_1 U22854 ( .A(n17932), .B(\dbg/sbdata0_reg [28]), .Y( n17992) ); sky130_fd_sc_hd__nand2_1 U22855 ( .A(n17945), .B(n17992), .Y( sb_axi_wdata[28]) ); sky130_fd_sc_hd__o21ai_1 U22856 ( .A1(n17935), .A2(n17995), .B1(n17931), .Y( sb_axi_wdata[29]) ); sky130_fd_sc_hd__nand2_1 U22857 ( .A(n17932), .B(\dbg/sbdata0_reg [30]), .Y( n17998) ); sky130_fd_sc_hd__nand2_1 U22858 ( .A(n17933), .B(n17998), .Y( sb_axi_wdata[30]) ); sky130_fd_sc_hd__clkinv_1 U22859 ( .A(\dbg/sbdata0_reg [31]), .Y(n18001) ); sky130_fd_sc_hd__o21ai_1 U22860 ( .A1(n17935), .A2(n18001), .B1(n17934), .Y( sb_axi_wdata[31]) ); sky130_fd_sc_hd__nand2_1 U22861 ( .A(n18303), .B(n17971), .Y(n17936) ); sky130_fd_sc_hd__a22o_1 U22862 ( .A1(n18132), .A2(\dbg/sbdata1_reg [0]), .B1(\dbg/sbdata0_reg [0]), .B2(n17936), .X(sb_axi_wdata[32]) ); sky130_fd_sc_hd__a22o_1 U22863 ( .A1(n18132), .A2(\dbg/sbdata1_reg [1]), .B1(\dbg/sbdata0_reg [1]), .B2(n17936), .X(sb_axi_wdata[33]) ); sky130_fd_sc_hd__a22o_1 U22864 ( .A1(n18132), .A2(\dbg/sbdata1_reg [2]), .B1(\dbg/sbdata0_reg [2]), .B2(n17936), .X(sb_axi_wdata[34]) ); sky130_fd_sc_hd__a22o_1 U22865 ( .A1(n18132), .A2(\dbg/sbdata1_reg [3]), .B1(\dbg/sbdata0_reg [3]), .B2(n17936), .X(sb_axi_wdata[35]) ); sky130_fd_sc_hd__a22o_1 U22866 ( .A1(n18132), .A2(\dbg/sbdata1_reg [4]), .B1(\dbg/sbdata0_reg [4]), .B2(n17936), .X(sb_axi_wdata[36]) ); sky130_fd_sc_hd__a22o_1 U22867 ( .A1(n18132), .A2(\dbg/sbdata1_reg [5]), .B1(\dbg/sbdata0_reg [5]), .B2(n17936), .X(sb_axi_wdata[37]) ); sky130_fd_sc_hd__a22o_1 U22868 ( .A1(n18132), .A2(\dbg/sbdata1_reg [6]), .B1(\dbg/sbdata0_reg [6]), .B2(n17936), .X(sb_axi_wdata[38]) ); sky130_fd_sc_hd__a22o_1 U22869 ( .A1(n18132), .A2(\dbg/sbdata1_reg [7]), .B1(\dbg/sbdata0_reg [7]), .B2(n17936), .X(sb_axi_wdata[39]) ); sky130_fd_sc_hd__clkinv_1 U22870 ( .A(n17937), .Y(n17978) ); sky130_fd_sc_hd__a21oi_1 U22871 ( .A1(n18132), .A2(\dbg/sbdata1_reg [8]), .B1(n17978), .Y(n17938) ); sky130_fd_sc_hd__o21ai_1 U22872 ( .A1(n18303), .A2(n24352), .B1(n17938), .Y( sb_axi_wdata[40]) ); sky130_fd_sc_hd__clkinv_1 U22873 ( .A(n17939), .Y(n17981) ); sky130_fd_sc_hd__a21oi_1 U22874 ( .A1(n18132), .A2(\dbg/sbdata1_reg [9]), .B1(n17981), .Y(n17940) ); sky130_fd_sc_hd__o21ai_1 U22875 ( .A1(n18303), .A2(n24359), .B1(n17940), .Y( sb_axi_wdata[41]) ); sky130_fd_sc_hd__clkinv_1 U22876 ( .A(n17941), .Y(n17984) ); sky130_fd_sc_hd__a21oi_1 U22877 ( .A1(n18132), .A2(\dbg/sbdata1_reg [10]), .B1(n17984), .Y(n17942) ); sky130_fd_sc_hd__o21ai_1 U22878 ( .A1(n18303), .A2(n17943), .B1(n17942), .Y( sb_axi_wdata[42]) ); sky130_fd_sc_hd__a21oi_1 U22879 ( .A1(n18132), .A2(\dbg/sbdata1_reg [11]), .B1(n17987), .Y(n17944) ); sky130_fd_sc_hd__o21ai_1 U22880 ( .A1(n18303), .A2(n24376), .B1(n17944), .Y( sb_axi_wdata[43]) ); sky130_fd_sc_hd__clkinv_1 U22881 ( .A(n17945), .Y(n17990) ); sky130_fd_sc_hd__a21oi_1 U22882 ( .A1(n18132), .A2(\dbg/sbdata1_reg [12]), .B1(n17990), .Y(n17946) ); sky130_fd_sc_hd__o21ai_1 U22883 ( .A1(n18303), .A2(n17947), .B1(n17946), .Y( sb_axi_wdata[44]) ); sky130_fd_sc_hd__a21oi_1 U22884 ( .A1(n18132), .A2(\dbg/sbdata1_reg [13]), .B1(n17993), .Y(n17948) ); sky130_fd_sc_hd__o21ai_1 U22885 ( .A1(n18303), .A2(n17949), .B1(n17948), .Y( sb_axi_wdata[45]) ); sky130_fd_sc_hd__a21oi_1 U22886 ( .A1(n18132), .A2(\dbg/sbdata1_reg [14]), .B1(n17996), .Y(n17950) ); sky130_fd_sc_hd__o21ai_1 U22887 ( .A1(n18303), .A2(n17951), .B1(n17950), .Y( sb_axi_wdata[46]) ); sky130_fd_sc_hd__a21oi_1 U22888 ( .A1(n18132), .A2(\dbg/sbdata1_reg [15]), .B1(n17999), .Y(n17952) ); sky130_fd_sc_hd__o21ai_1 U22889 ( .A1(n18303), .A2(n17953), .B1(n17952), .Y( sb_axi_wdata[47]) ); sky130_fd_sc_hd__a21boi_0 U22890 ( .A1(\dbg/sbdata1_reg [16]), .A2(n18132), .B1_N(n17954), .Y(n17955) ); sky130_fd_sc_hd__o21ai_1 U22891 ( .A1(sb_axi_awsize[0]), .A2(n17956), .B1( n17955), .Y(sb_axi_wdata[48]) ); sky130_fd_sc_hd__a21boi_0 U22892 ( .A1(\dbg/sbdata1_reg [17]), .A2(n18132), .B1_N(n17957), .Y(n17958) ); sky130_fd_sc_hd__o21ai_1 U22893 ( .A1(sb_axi_awsize[0]), .A2(n17959), .B1( n17958), .Y(sb_axi_wdata[49]) ); sky130_fd_sc_hd__nand2_1 U22894 ( .A(sb_axi_awsize[0]), .B(n17960), .Y( n17961) ); sky130_fd_sc_hd__a22o_1 U22895 ( .A1(n18132), .A2(\dbg/sbdata1_reg [18]), .B1(sb_axi_wdata[18]), .B2(n17961), .X(sb_axi_wdata[50]) ); sky130_fd_sc_hd__nor2_1 U22896 ( .A(n17971), .B(n17962), .Y(n17963) ); sky130_fd_sc_hd__a21oi_1 U22897 ( .A1(\dbg/sbdata1_reg [19]), .A2(n18132), .B1(n17963), .Y(n17964) ); sky130_fd_sc_hd__o21ai_1 U22898 ( .A1(sb_axi_awsize[0]), .A2(n17965), .B1( n17964), .Y(sb_axi_wdata[51]) ); sky130_fd_sc_hd__a22oi_1 U22899 ( .A1(n18132), .A2(\dbg/sbdata1_reg [20]), .B1(n17975), .B2(\dbg/sbdata0_reg [20]), .Y(n17967) ); sky130_fd_sc_hd__nand2_1 U22900 ( .A(n17967), .B(n17966), .Y( sb_axi_wdata[52]) ); sky130_fd_sc_hd__a22oi_1 U22901 ( .A1(n18132), .A2(\dbg/sbdata1_reg [21]), .B1(n17975), .B2(\dbg/sbdata0_reg [21]), .Y(n17969) ); sky130_fd_sc_hd__nand2_1 U22902 ( .A(n17969), .B(n17968), .Y( sb_axi_wdata[53]) ); sky130_fd_sc_hd__nor2_1 U22903 ( .A(n17971), .B(n17970), .Y(n17972) ); sky130_fd_sc_hd__a21oi_1 U22904 ( .A1(\dbg/sbdata1_reg [22]), .A2(n18132), .B1(n17972), .Y(n17973) ); sky130_fd_sc_hd__o21ai_1 U22905 ( .A1(sb_axi_awsize[0]), .A2(n17974), .B1( n17973), .Y(sb_axi_wdata[54]) ); sky130_fd_sc_hd__a22oi_1 U22906 ( .A1(n18132), .A2(\dbg/sbdata1_reg [23]), .B1(n17975), .B2(\dbg/sbdata0_reg [23]), .Y(n17977) ); sky130_fd_sc_hd__nand2_1 U22907 ( .A(n17977), .B(n17976), .Y( sb_axi_wdata[55]) ); sky130_fd_sc_hd__a21oi_1 U22908 ( .A1(n18132), .A2(\dbg/sbdata1_reg [24]), .B1(n17978), .Y(n17979) ); sky130_fd_sc_hd__o21ai_1 U22909 ( .A1(n18303), .A2(n17980), .B1(n17979), .Y( sb_axi_wdata[56]) ); sky130_fd_sc_hd__a21oi_1 U22910 ( .A1(n18132), .A2(\dbg/sbdata1_reg [25]), .B1(n17981), .Y(n17982) ); sky130_fd_sc_hd__o21ai_1 U22911 ( .A1(sb_axi_awsize[0]), .A2(n17983), .B1( n17982), .Y(sb_axi_wdata[57]) ); sky130_fd_sc_hd__a21oi_1 U22912 ( .A1(n18132), .A2(\dbg/sbdata1_reg [26]), .B1(n17984), .Y(n17985) ); sky130_fd_sc_hd__o21ai_1 U22913 ( .A1(n18303), .A2(n17986), .B1(n17985), .Y( sb_axi_wdata[58]) ); sky130_fd_sc_hd__a21oi_1 U22914 ( .A1(n18132), .A2(\dbg/sbdata1_reg [27]), .B1(n17987), .Y(n17988) ); sky130_fd_sc_hd__o21ai_1 U22915 ( .A1(sb_axi_awsize[0]), .A2(n17989), .B1( n17988), .Y(sb_axi_wdata[59]) ); sky130_fd_sc_hd__a21oi_1 U22916 ( .A1(n18132), .A2(\dbg/sbdata1_reg [28]), .B1(n17990), .Y(n17991) ); sky130_fd_sc_hd__o21ai_1 U22917 ( .A1(sb_axi_awsize[0]), .A2(n17992), .B1( n17991), .Y(sb_axi_wdata[60]) ); sky130_fd_sc_hd__a21oi_1 U22918 ( .A1(n18132), .A2(\dbg/sbdata1_reg [29]), .B1(n17993), .Y(n17994) ); sky130_fd_sc_hd__o21ai_1 U22919 ( .A1(n18303), .A2(n17995), .B1(n17994), .Y( sb_axi_wdata[61]) ); sky130_fd_sc_hd__a21oi_1 U22920 ( .A1(n18132), .A2(\dbg/sbdata1_reg [30]), .B1(n17996), .Y(n17997) ); sky130_fd_sc_hd__o21ai_1 U22921 ( .A1(sb_axi_awsize[0]), .A2(n17998), .B1( n17997), .Y(sb_axi_wdata[62]) ); sky130_fd_sc_hd__a21oi_1 U22922 ( .A1(n18132), .A2(\dbg/sbdata1_reg [31]), .B1(n17999), .Y(n18000) ); sky130_fd_sc_hd__o21ai_1 U22923 ( .A1(n18303), .A2(n18001), .B1(n18000), .Y( sb_axi_wdata[63]) ); sky130_fd_sc_hd__or3_1 U22924 ( .A(\dbg/abstractcs_reg [8]), .B( \dbg/abstractcs_reg [10]), .C(\dbg/abstractcs_reg [9]), .X(n21570) ); sky130_fd_sc_hd__nor2_1 U22925 ( .A(n23039), .B(\dbg/dbg_state [0]), .Y( n21567) ); sky130_fd_sc_hd__nand2_1 U22926 ( .A(n21567), .B(n23026), .Y(n23030) ); sky130_fd_sc_hd__o21ai_1 U22927 ( .A1(n21570), .A2(n23029), .B1(n23030), .Y( dbg_dma_bubble) ); sky130_fd_sc_hd__nand2_1 U22928 ( .A(n23019), .B(n22479), .Y(n23014) ); sky130_fd_sc_hd__clkinv_1 U22929 ( .A(n23014), .Y(n18002) ); sky130_fd_sc_hd__nand2_1 U22930 ( .A(dmi_reg_addr[2]), .B(dmi_reg_addr[0]), .Y(n18133) ); sky130_fd_sc_hd__nor3_1 U22931 ( .A(dmi_reg_addr[6]), .B(n18133), .C(n24307), .Y(n24411) ); sky130_fd_sc_hd__nor2b_1 U22932 ( .B_N(n24411), .A(n24481), .Y(n24485) ); sky130_fd_sc_hd__nand3_1 U22933 ( .A(dmi_reg_wr_en), .B(n18002), .C(n24485), .Y(n21772) ); sky130_fd_sc_hd__nor2_1 U22934 ( .A(n18136), .B(n21772), .Y( \dbg/data1_din [0]) ); sky130_fd_sc_hd__clkinv_1 U22935 ( .A(dmi_reg_wdata[1]), .Y(n24493) ); sky130_fd_sc_hd__nor2_1 U22936 ( .A(n24493), .B(n21772), .Y( \dbg/data1_din [1]) ); sky130_fd_sc_hd__nor2_1 U22937 ( .A(n18139), .B(n21772), .Y( \dbg/data1_din [2]) ); sky130_fd_sc_hd__clkinv_1 U22938 ( .A(dmi_reg_wdata[3]), .Y(n18141) ); sky130_fd_sc_hd__nor2_1 U22939 ( .A(n18141), .B(n21772), .Y( \dbg/data1_din [3]) ); sky130_fd_sc_hd__clkinv_1 U22940 ( .A(dmi_reg_wdata[4]), .Y(n18143) ); sky130_fd_sc_hd__nor2_1 U22941 ( .A(n18143), .B(n21772), .Y( \dbg/data1_din [4]) ); sky130_fd_sc_hd__clkinv_1 U22942 ( .A(dmi_reg_wdata[5]), .Y(n18145) ); sky130_fd_sc_hd__nor2_1 U22943 ( .A(n18145), .B(n21772), .Y( \dbg/data1_din [5]) ); sky130_fd_sc_hd__clkinv_1 U22944 ( .A(dmi_reg_wdata[6]), .Y(n18147) ); sky130_fd_sc_hd__nor2_1 U22945 ( .A(n18147), .B(n21772), .Y( \dbg/data1_din [6]) ); sky130_fd_sc_hd__clkinv_1 U22946 ( .A(dmi_reg_wdata[7]), .Y(n18149) ); sky130_fd_sc_hd__nor2_1 U22947 ( .A(n18149), .B(n21772), .Y( \dbg/data1_din [7]) ); sky130_fd_sc_hd__clkinv_1 U22948 ( .A(dmi_reg_wdata[8]), .Y(n18151) ); sky130_fd_sc_hd__nor2_1 U22949 ( .A(n18151), .B(n21772), .Y( \dbg/data1_din [8]) ); sky130_fd_sc_hd__clkinv_1 U22950 ( .A(dmi_reg_wdata[9]), .Y(n18153) ); sky130_fd_sc_hd__nor2_1 U22951 ( .A(n18153), .B(n21772), .Y( \dbg/data1_din [9]) ); sky130_fd_sc_hd__clkinv_1 U22952 ( .A(dmi_reg_wdata[10]), .Y(n18155) ); sky130_fd_sc_hd__nor2_1 U22953 ( .A(n18155), .B(n21772), .Y( \dbg/data1_din [10]) ); sky130_fd_sc_hd__clkinv_1 U22954 ( .A(dmi_reg_wdata[11]), .Y(n18278) ); sky130_fd_sc_hd__nor2_1 U22955 ( .A(n18278), .B(n21772), .Y( \dbg/data1_din [11]) ); sky130_fd_sc_hd__clkinv_1 U22956 ( .A(dmi_reg_wdata[12]), .Y(n18283) ); sky130_fd_sc_hd__nor2_1 U22957 ( .A(n18283), .B(n21772), .Y( \dbg/data1_din [12]) ); sky130_fd_sc_hd__clkinv_1 U22958 ( .A(dmi_reg_wdata[13]), .Y(n18160) ); sky130_fd_sc_hd__nor2_1 U22959 ( .A(n18160), .B(n21772), .Y( \dbg/data1_din [13]) ); sky130_fd_sc_hd__clkinv_1 U22960 ( .A(dmi_reg_wdata[14]), .Y(n18291) ); sky130_fd_sc_hd__nor2_1 U22961 ( .A(n18291), .B(n21772), .Y( \dbg/data1_din [14]) ); sky130_fd_sc_hd__nor2_1 U22962 ( .A(n18163), .B(n21772), .Y( \dbg/data1_din [15]) ); sky130_fd_sc_hd__nor2_1 U22963 ( .A(n18306), .B(n21772), .Y( \dbg/data1_din [16]) ); sky130_fd_sc_hd__nor2_1 U22964 ( .A(n24509), .B(n21772), .Y( \dbg/data1_din [17]) ); sky130_fd_sc_hd__clkinv_1 U22965 ( .A(dmi_reg_wdata[18]), .Y(n18309) ); sky130_fd_sc_hd__nor2_1 U22966 ( .A(n18309), .B(n21772), .Y( \dbg/data1_din [18]) ); sky130_fd_sc_hd__clkinv_1 U22967 ( .A(dmi_reg_wdata[19]), .Y(n24511) ); sky130_fd_sc_hd__nor2_1 U22968 ( .A(n24511), .B(n21772), .Y( \dbg/data1_din [19]) ); sky130_fd_sc_hd__nor2_1 U22969 ( .A(n18312), .B(n21772), .Y( \dbg/data1_din [20]) ); sky130_fd_sc_hd__clkinv_1 U22970 ( .A(dmi_reg_wdata[21]), .Y(n18314) ); sky130_fd_sc_hd__nor2_1 U22971 ( .A(n18314), .B(n21772), .Y( \dbg/data1_din [21]) ); sky130_fd_sc_hd__nor2_1 U22972 ( .A(n18316), .B(n21772), .Y( \dbg/data1_din [22]) ); sky130_fd_sc_hd__clkinv_1 U22973 ( .A(dmi_reg_wdata[23]), .Y(n18318) ); sky130_fd_sc_hd__nor2_1 U22974 ( .A(n18318), .B(n21772), .Y( \dbg/data1_din [23]) ); sky130_fd_sc_hd__clkinv_1 U22975 ( .A(dmi_reg_wdata[24]), .Y(n18320) ); sky130_fd_sc_hd__nor2_1 U22976 ( .A(n18320), .B(n21772), .Y( \dbg/data1_din [24]) ); sky130_fd_sc_hd__clkinv_1 U22977 ( .A(dmi_reg_wdata[25]), .Y(n18322) ); sky130_fd_sc_hd__nor2_1 U22978 ( .A(n18322), .B(n21772), .Y( \dbg/data1_din [25]) ); sky130_fd_sc_hd__nor2_1 U22979 ( .A(n18324), .B(n21772), .Y( \dbg/data1_din [26]) ); sky130_fd_sc_hd__nor2_1 U22980 ( .A(n18326), .B(n21772), .Y( \dbg/data1_din [27]) ); sky130_fd_sc_hd__nor2_1 U22981 ( .A(n18328), .B(n21772), .Y( \dbg/data1_din [28]) ); sky130_fd_sc_hd__nor2_1 U22982 ( .A(n18330), .B(n21772), .Y( \dbg/data1_din [29]) ); sky130_fd_sc_hd__nor2_1 U22983 ( .A(n18332), .B(n21772), .Y( \dbg/data1_din [30]) ); sky130_fd_sc_hd__clkinv_1 U22984 ( .A(dmi_reg_wdata[31]), .Y(n24495) ); sky130_fd_sc_hd__nor2_1 U22985 ( .A(n24495), .B(n21772), .Y( \dbg/data1_din [31]) ); sky130_fd_sc_hd__nand3_1 U22986 ( .A(dmi_reg_wr_en), .B(n18002), .C(n24488), .Y(n21774) ); sky130_fd_sc_hd__nor2_1 U22987 ( .A(n18003), .B(n23030), .Y(n21566) ); sky130_fd_sc_hd__nand2_1 U22988 ( .A(n21566), .B(n18004), .Y(n21773) ); sky130_fd_sc_hd__nor2_1 U22989 ( .A(dma_dbg_cmd_done), .B(n21773), .Y(n18037) ); sky130_fd_sc_hd__nor3b_1 U22990 ( .C_N(dma_dbg_cmd_done), .A(dbg_cmd_write), .B(n23030), .Y(n18023) ); sky130_fd_sc_hd__clkbuf_1 U22991 ( .A(n18023), .X(n18038) ); sky130_fd_sc_hd__a22oi_1 U22992 ( .A1(n18037), .A2(n17078), .B1(n18038), .B2(dma_dbg_rddata[0]), .Y(n18005) ); sky130_fd_sc_hd__o21ai_1 U22993 ( .A1(n18136), .A2(n21774), .B1(n18005), .Y( \dbg/data0_din [0]) ); sky130_fd_sc_hd__a22oi_1 U22994 ( .A1(n18037), .A2(n25078), .B1(n18038), .B2(dma_dbg_rddata[1]), .Y(n18006) ); sky130_fd_sc_hd__o21ai_1 U22995 ( .A1(n24493), .A2(n21774), .B1(n18006), .Y( \dbg/data0_din [1]) ); sky130_fd_sc_hd__a22oi_1 U22996 ( .A1(n18037), .A2(n17217), .B1(n18038), .B2(dma_dbg_rddata[2]), .Y(n18007) ); sky130_fd_sc_hd__o21ai_1 U22997 ( .A1(n18139), .A2(n21774), .B1(n18007), .Y( \dbg/data0_din [2]) ); sky130_fd_sc_hd__a22oi_1 U22998 ( .A1(n18037), .A2(n17236), .B1(n18038), .B2(dma_dbg_rddata[3]), .Y(n18008) ); sky130_fd_sc_hd__o21ai_1 U22999 ( .A1(n18141), .A2(n21774), .B1(n18008), .Y( \dbg/data0_din [3]) ); sky130_fd_sc_hd__a22oi_1 U23000 ( .A1(n18037), .A2(n17219), .B1(n18038), .B2(dma_dbg_rddata[4]), .Y(n18009) ); sky130_fd_sc_hd__o21ai_1 U23001 ( .A1(n18143), .A2(n21774), .B1(n18009), .Y( \dbg/data0_din [4]) ); sky130_fd_sc_hd__a22oi_1 U23002 ( .A1(n18037), .A2(n10901), .B1(n18023), .B2(dma_dbg_rddata[5]), .Y(n18010) ); sky130_fd_sc_hd__o21ai_1 U23003 ( .A1(n18145), .A2(n21774), .B1(n18010), .Y( \dbg/data0_din [5]) ); sky130_fd_sc_hd__a22oi_1 U23004 ( .A1(dma_dbg_rddata[6]), .A2(n18023), .B1( n18037), .B2(n25077), .Y(n18011) ); sky130_fd_sc_hd__o21ai_1 U23005 ( .A1(n18147), .A2(n21774), .B1(n18011), .Y( \dbg/data0_din [6]) ); sky130_fd_sc_hd__a22oi_1 U23006 ( .A1(dma_dbg_rddata[7]), .A2(n18023), .B1( n18037), .B2(n17075), .Y(n18012) ); sky130_fd_sc_hd__o21ai_1 U23007 ( .A1(n18149), .A2(n21774), .B1(n18012), .Y( \dbg/data0_din [7]) ); sky130_fd_sc_hd__a22oi_1 U23008 ( .A1(dma_dbg_rddata[8]), .A2(n18023), .B1( n18037), .B2(dec_dbg_rddata[8]), .Y(n18013) ); sky130_fd_sc_hd__o21ai_1 U23009 ( .A1(n18151), .A2(n21774), .B1(n18013), .Y( \dbg/data0_din [8]) ); sky130_fd_sc_hd__a22oi_1 U23010 ( .A1(dma_dbg_rddata[9]), .A2(n18023), .B1( n18037), .B2(dec_dbg_rddata[9]), .Y(n18014) ); sky130_fd_sc_hd__o21ai_1 U23011 ( .A1(n18153), .A2(n21774), .B1(n18014), .Y( \dbg/data0_din [9]) ); sky130_fd_sc_hd__a22oi_1 U23012 ( .A1(dma_dbg_rddata[10]), .A2(n18023), .B1( n18037), .B2(dec_dbg_rddata[10]), .Y(n18015) ); sky130_fd_sc_hd__o21ai_1 U23013 ( .A1(n18155), .A2(n21774), .B1(n18015), .Y( \dbg/data0_din [10]) ); sky130_fd_sc_hd__a22oi_1 U23014 ( .A1(dma_dbg_rddata[11]), .A2(n18023), .B1( n18037), .B2(dec_dbg_rddata[11]), .Y(n18016) ); sky130_fd_sc_hd__o21ai_1 U23015 ( .A1(n18278), .A2(n21774), .B1(n18016), .Y( \dbg/data0_din [11]) ); sky130_fd_sc_hd__a22oi_1 U23016 ( .A1(dma_dbg_rddata[12]), .A2(n18023), .B1( n18037), .B2(dec_dbg_rddata[12]), .Y(n18017) ); sky130_fd_sc_hd__o21ai_1 U23017 ( .A1(n18283), .A2(n21774), .B1(n18017), .Y( \dbg/data0_din [12]) ); sky130_fd_sc_hd__a22oi_1 U23018 ( .A1(dma_dbg_rddata[13]), .A2(n18023), .B1( n18037), .B2(dec_dbg_rddata[13]), .Y(n18018) ); sky130_fd_sc_hd__o21ai_1 U23019 ( .A1(n18160), .A2(n21774), .B1(n18018), .Y( \dbg/data0_din [13]) ); sky130_fd_sc_hd__a22oi_1 U23020 ( .A1(dma_dbg_rddata[14]), .A2(n18023), .B1( n18037), .B2(dec_dbg_rddata[14]), .Y(n18019) ); sky130_fd_sc_hd__o21ai_1 U23021 ( .A1(n18291), .A2(n21774), .B1(n18019), .Y( \dbg/data0_din [14]) ); sky130_fd_sc_hd__a22oi_1 U23022 ( .A1(dma_dbg_rddata[15]), .A2(n18023), .B1( n18037), .B2(dec_dbg_rddata[15]), .Y(n18020) ); sky130_fd_sc_hd__o21ai_1 U23023 ( .A1(n18163), .A2(n21774), .B1(n18020), .Y( \dbg/data0_din [15]) ); sky130_fd_sc_hd__a22oi_1 U23024 ( .A1(dma_dbg_rddata[16]), .A2(n18023), .B1( n18037), .B2(dec_dbg_rddata[16]), .Y(n18021) ); sky130_fd_sc_hd__o21ai_1 U23025 ( .A1(n18306), .A2(n21774), .B1(n18021), .Y( \dbg/data0_din [16]) ); sky130_fd_sc_hd__a22oi_1 U23026 ( .A1(dma_dbg_rddata[17]), .A2(n18023), .B1( n18037), .B2(dec_dbg_rddata[17]), .Y(n18022) ); sky130_fd_sc_hd__o21ai_1 U23027 ( .A1(n24509), .A2(n21774), .B1(n18022), .Y( \dbg/data0_din [17]) ); sky130_fd_sc_hd__a22oi_1 U23028 ( .A1(dma_dbg_rddata[18]), .A2(n18023), .B1( n18037), .B2(n25074), .Y(n18024) ); sky130_fd_sc_hd__o21ai_1 U23029 ( .A1(n18309), .A2(n21774), .B1(n18024), .Y( \dbg/data0_din [18]) ); sky130_fd_sc_hd__a22oi_1 U23030 ( .A1(dma_dbg_rddata[19]), .A2(n18038), .B1( n18037), .B2(n25073), .Y(n18025) ); sky130_fd_sc_hd__o21ai_1 U23031 ( .A1(n24511), .A2(n21774), .B1(n18025), .Y( \dbg/data0_din [19]) ); sky130_fd_sc_hd__a22oi_1 U23032 ( .A1(dma_dbg_rddata[20]), .A2(n18038), .B1( n18037), .B2(n25076), .Y(n18026) ); sky130_fd_sc_hd__o21ai_1 U23033 ( .A1(n18312), .A2(n21774), .B1(n18026), .Y( \dbg/data0_din [20]) ); sky130_fd_sc_hd__a22oi_1 U23034 ( .A1(dma_dbg_rddata[21]), .A2(n18038), .B1( n18037), .B2(n25072), .Y(n18027) ); sky130_fd_sc_hd__o21ai_1 U23035 ( .A1(n18314), .A2(n21774), .B1(n18027), .Y( \dbg/data0_din [21]) ); sky130_fd_sc_hd__a22oi_1 U23036 ( .A1(dma_dbg_rddata[22]), .A2(n18038), .B1( n18037), .B2(n25075), .Y(n18028) ); sky130_fd_sc_hd__o21ai_1 U23037 ( .A1(n18316), .A2(n21774), .B1(n18028), .Y( \dbg/data0_din [22]) ); sky130_fd_sc_hd__a22oi_1 U23038 ( .A1(dma_dbg_rddata[23]), .A2(n18038), .B1( n18037), .B2(dec_dbg_rddata[23]), .Y(n18029) ); sky130_fd_sc_hd__o21ai_1 U23039 ( .A1(n18318), .A2(n21774), .B1(n18029), .Y( \dbg/data0_din [23]) ); sky130_fd_sc_hd__a22oi_1 U23040 ( .A1(dma_dbg_rddata[24]), .A2(n18038), .B1( n18037), .B2(dec_dbg_rddata[24]), .Y(n18030) ); sky130_fd_sc_hd__o21ai_1 U23041 ( .A1(n18320), .A2(n21774), .B1(n18030), .Y( \dbg/data0_din [24]) ); sky130_fd_sc_hd__a22oi_1 U23042 ( .A1(dma_dbg_rddata[25]), .A2(n18038), .B1( n18037), .B2(dec_dbg_rddata[25]), .Y(n18031) ); sky130_fd_sc_hd__o21ai_1 U23043 ( .A1(n18322), .A2(n21774), .B1(n18031), .Y( \dbg/data0_din [25]) ); sky130_fd_sc_hd__a22oi_1 U23044 ( .A1(dma_dbg_rddata[26]), .A2(n18038), .B1( n18037), .B2(n25071), .Y(n18032) ); sky130_fd_sc_hd__o21ai_1 U23045 ( .A1(n18324), .A2(n21774), .B1(n18032), .Y( \dbg/data0_din [26]) ); sky130_fd_sc_hd__a22oi_1 U23046 ( .A1(dma_dbg_rddata[27]), .A2(n18038), .B1( n18037), .B2(dec_dbg_rddata[27]), .Y(n18033) ); sky130_fd_sc_hd__o21ai_1 U23047 ( .A1(n18326), .A2(n21774), .B1(n18033), .Y( \dbg/data0_din [27]) ); sky130_fd_sc_hd__a22oi_1 U23048 ( .A1(dma_dbg_rddata[28]), .A2(n18038), .B1( n18037), .B2(n25070), .Y(n18034) ); sky130_fd_sc_hd__o21ai_1 U23049 ( .A1(n18328), .A2(n21774), .B1(n18034), .Y( \dbg/data0_din [28]) ); sky130_fd_sc_hd__a22oi_1 U23050 ( .A1(dma_dbg_rddata[29]), .A2(n18038), .B1( n18037), .B2(dec_dbg_rddata[29]), .Y(n18035) ); sky130_fd_sc_hd__o21ai_1 U23051 ( .A1(n18330), .A2(n21774), .B1(n18035), .Y( \dbg/data0_din [29]) ); sky130_fd_sc_hd__a22oi_1 U23052 ( .A1(dma_dbg_rddata[30]), .A2(n18038), .B1( n18037), .B2(dec_dbg_rddata[30]), .Y(n18036) ); sky130_fd_sc_hd__o21ai_1 U23053 ( .A1(n18332), .A2(n21774), .B1(n18036), .Y( \dbg/data0_din [30]) ); sky130_fd_sc_hd__a22oi_1 U23054 ( .A1(dma_dbg_rddata[31]), .A2(n18038), .B1( n18037), .B2(dec_dbg_rddata[31]), .Y(n18039) ); sky130_fd_sc_hd__o21ai_1 U23055 ( .A1(n24495), .A2(n21774), .B1(n18039), .Y( \dbg/data0_din [31]) ); sky130_fd_sc_hd__nand2b_1 U23056 ( .A_N(n18041), .B(n18040), .Y(n18044) ); sky130_fd_sc_hd__o21ai_1 U23057 ( .A1(dmi_reg_wdata[8]), .A2(n18045), .B1( n18044), .Y(n18043) ); sky130_fd_sc_hd__o21ai_1 U23058 ( .A1(n18045), .A2(dmi_reg_wdata[9]), .B1( n18044), .Y(n18046) ); sky130_fd_sc_hd__nand2_1 U23059 ( .A(n18046), .B(\dbg/abstractcs_reg [9]), .Y(n18047) ); sky130_fd_sc_hd__o211ai_1 U23060 ( .A1(n18049), .A2(n21775), .B1(n18048), .C1(n18047), .Y(\dbg/abstractcs_error_din [1]) ); sky130_fd_sc_hd__nor2b_1 U23061 ( .B_N(n10898), .A(dec_tlu_mpc_halted_only), .Y(\dbg/_2_net_ ) ); sky130_fd_sc_hd__o2bb2ai_1 U23062 ( .B1(n18257), .B2(n18180), .A1_N(n18257), .A2_N(n18180), .Y(n18051) ); sky130_fd_sc_hd__nand2_1 U23063 ( .A(\dbg/sbcs_reg [16]), .B(n18050), .Y( n21776) ); sky130_fd_sc_hd__o22ai_1 U23064 ( .A1(n18051), .A2(n21776), .B1(n21777), .B2(n18136), .Y(\dbg/sbaddress0_reg_din [0]) ); sky130_fd_sc_hd__o21ai_1 U23065 ( .A1(sb_axi_awaddr[1]), .A2(n18053), .B1( n18052), .Y(n18054) ); sky130_fd_sc_hd__o22ai_1 U23066 ( .A1(n21777), .A2(n24493), .B1(n21776), .B2(n18054), .Y(\dbg/sbaddress0_reg_din [1]) ); sky130_fd_sc_hd__o21ai_1 U23067 ( .A1(\dbg/N205 ), .A2(n18056), .B1(n18055), .Y(n18057) ); sky130_fd_sc_hd__o22ai_1 U23068 ( .A1(n21777), .A2(n18139), .B1(n21776), .B2(n18057), .Y(\dbg/sbaddress0_reg_din [2]) ); sky130_fd_sc_hd__and2_0 U23069 ( .A(sb_axi_wstrb[7]), .B(sb_axi_awaddr[3]), .X(n18059) ); sky130_fd_sc_hd__o21ai_1 U23070 ( .A1(sb_axi_awaddr[3]), .A2(sb_axi_wstrb[7]), .B1(n18120), .Y(n18058) ); sky130_fd_sc_hd__o22ai_1 U23071 ( .A1(n18059), .A2(n18058), .B1(n21777), .B2(n18141), .Y(\dbg/sbaddress0_reg_din [3]) ); sky130_fd_sc_hd__nand3_1 U23072 ( .A(sb_axi_awaddr[3]), .B(sb_axi_awaddr[4]), .C(sb_axi_wstrb[7]), .Y(n18061) ); sky130_fd_sc_hd__o21ai_1 U23073 ( .A1(sb_axi_awaddr[4]), .A2(n18059), .B1( n18061), .Y(n18060) ); sky130_fd_sc_hd__o22ai_1 U23074 ( .A1(n21777), .A2(n18143), .B1(n21776), .B2(n18060), .Y(\dbg/sbaddress0_reg_din [4]) ); sky130_fd_sc_hd__clkinv_1 U23075 ( .A(n18061), .Y(n18062) ); sky130_fd_sc_hd__and2_0 U23076 ( .A(sb_axi_awaddr[5]), .B(n18062), .X(n18064) ); sky130_fd_sc_hd__o21ai_1 U23077 ( .A1(sb_axi_awaddr[5]), .A2(n18062), .B1( n18120), .Y(n18063) ); sky130_fd_sc_hd__o22ai_1 U23078 ( .A1(n18064), .A2(n18063), .B1(n21777), .B2(n18145), .Y(\dbg/sbaddress0_reg_din [5]) ); sky130_fd_sc_hd__nand2_1 U23079 ( .A(sb_axi_awaddr[6]), .B(n18064), .Y( n18066) ); sky130_fd_sc_hd__o21ai_1 U23080 ( .A1(sb_axi_awaddr[6]), .A2(n18064), .B1( n18066), .Y(n18065) ); sky130_fd_sc_hd__o22ai_1 U23081 ( .A1(n21777), .A2(n18147), .B1(n21776), .B2(n18065), .Y(\dbg/sbaddress0_reg_din [6]) ); sky130_fd_sc_hd__clkinv_1 U23082 ( .A(sb_axi_awaddr[7]), .Y(n18067) ); sky130_fd_sc_hd__nor2_1 U23083 ( .A(n18067), .B(n18066), .Y(n18069) ); sky130_fd_sc_hd__o22ai_1 U23084 ( .A1(n18069), .A2(n18068), .B1(n21777), .B2(n18149), .Y(\dbg/sbaddress0_reg_din [7]) ); sky130_fd_sc_hd__nand2_1 U23085 ( .A(sb_axi_awaddr[8]), .B(n18069), .Y( n18071) ); sky130_fd_sc_hd__o21ai_1 U23086 ( .A1(sb_axi_awaddr[8]), .A2(n18069), .B1( n18071), .Y(n18070) ); sky130_fd_sc_hd__o22ai_1 U23087 ( .A1(n21777), .A2(n18151), .B1(n21776), .B2(n18070), .Y(\dbg/sbaddress0_reg_din [8]) ); sky130_fd_sc_hd__clkinv_1 U23088 ( .A(sb_axi_awaddr[9]), .Y(n24360) ); sky130_fd_sc_hd__nor2_1 U23089 ( .A(n24360), .B(n18071), .Y(n18073) ); sky130_fd_sc_hd__o22ai_1 U23090 ( .A1(n18073), .A2(n18072), .B1(n21777), .B2(n18153), .Y(\dbg/sbaddress0_reg_din [9]) ); sky130_fd_sc_hd__nand2_1 U23091 ( .A(sb_axi_awaddr[10]), .B(n18073), .Y( n18075) ); sky130_fd_sc_hd__o21ai_1 U23092 ( .A1(sb_axi_awaddr[10]), .A2(n18073), .B1( n18075), .Y(n18074) ); sky130_fd_sc_hd__o22ai_1 U23093 ( .A1(n21777), .A2(n18155), .B1(n21776), .B2(n18074), .Y(\dbg/sbaddress0_reg_din [10]) ); sky130_fd_sc_hd__clkinv_1 U23094 ( .A(n18075), .Y(n18076) ); sky130_fd_sc_hd__and2_0 U23095 ( .A(sb_axi_awaddr[11]), .B(n18076), .X( n18078) ); sky130_fd_sc_hd__o21ai_1 U23096 ( .A1(sb_axi_awaddr[11]), .A2(n18076), .B1( n18120), .Y(n18077) ); sky130_fd_sc_hd__o22ai_1 U23097 ( .A1(n18078), .A2(n18077), .B1(n21777), .B2(n18278), .Y(\dbg/sbaddress0_reg_din [11]) ); sky130_fd_sc_hd__nand2_1 U23098 ( .A(sb_axi_awaddr[12]), .B(n18078), .Y( n18080) ); sky130_fd_sc_hd__o21ai_1 U23099 ( .A1(sb_axi_awaddr[12]), .A2(n18078), .B1( n18080), .Y(n18079) ); sky130_fd_sc_hd__o22ai_1 U23100 ( .A1(n21777), .A2(n18283), .B1(n21776), .B2(n18079), .Y(\dbg/sbaddress0_reg_din [12]) ); sky130_fd_sc_hd__clkinv_1 U23101 ( .A(sb_axi_awaddr[13]), .Y(n24396) ); sky130_fd_sc_hd__nor2_1 U23102 ( .A(n24396), .B(n18080), .Y(n18082) ); sky130_fd_sc_hd__a21o_1 U23103 ( .A1(n24396), .A2(n18080), .B1(n21776), .X( n18081) ); sky130_fd_sc_hd__o22ai_1 U23104 ( .A1(n18082), .A2(n18081), .B1(n21777), .B2(n18160), .Y(\dbg/sbaddress0_reg_din [13]) ); sky130_fd_sc_hd__nand2_1 U23105 ( .A(sb_axi_awaddr[14]), .B(n18082), .Y( n18084) ); sky130_fd_sc_hd__o21ai_1 U23106 ( .A1(sb_axi_awaddr[14]), .A2(n18082), .B1( n18084), .Y(n18083) ); sky130_fd_sc_hd__o22ai_1 U23107 ( .A1(n21777), .A2(n18291), .B1(n21776), .B2(n18083), .Y(\dbg/sbaddress0_reg_din [14]) ); sky130_fd_sc_hd__and2_0 U23108 ( .A(sb_axi_awaddr[15]), .B(n18085), .X( n18087) ); sky130_fd_sc_hd__o21ai_1 U23109 ( .A1(sb_axi_awaddr[15]), .A2(n18085), .B1( n18120), .Y(n18086) ); sky130_fd_sc_hd__o22ai_1 U23110 ( .A1(n18087), .A2(n18086), .B1(n21777), .B2(n18163), .Y(\dbg/sbaddress0_reg_din [15]) ); sky130_fd_sc_hd__nand2_1 U23111 ( .A(sb_axi_awaddr[16]), .B(n18087), .Y( n18089) ); sky130_fd_sc_hd__o21ai_1 U23112 ( .A1(sb_axi_awaddr[16]), .A2(n18087), .B1( n18089), .Y(n18088) ); sky130_fd_sc_hd__o22ai_1 U23113 ( .A1(n21777), .A2(n18306), .B1(n21776), .B2(n18088), .Y(\dbg/sbaddress0_reg_din [16]) ); sky130_fd_sc_hd__clkinv_1 U23114 ( .A(n18089), .Y(n18090) ); sky130_fd_sc_hd__and2_0 U23115 ( .A(sb_axi_awaddr[17]), .B(n18090), .X( n18092) ); sky130_fd_sc_hd__o21ai_1 U23116 ( .A1(sb_axi_awaddr[17]), .A2(n18090), .B1( n18120), .Y(n18091) ); sky130_fd_sc_hd__o22ai_1 U23117 ( .A1(n18092), .A2(n18091), .B1(n21777), .B2(n24509), .Y(\dbg/sbaddress0_reg_din [17]) ); sky130_fd_sc_hd__nand2_1 U23118 ( .A(sb_axi_awaddr[18]), .B(n18092), .Y( n18094) ); sky130_fd_sc_hd__o21ai_1 U23119 ( .A1(sb_axi_awaddr[18]), .A2(n18092), .B1( n18094), .Y(n18093) ); sky130_fd_sc_hd__o22ai_1 U23120 ( .A1(n21777), .A2(n18309), .B1(n21776), .B2(n18093), .Y(\dbg/sbaddress0_reg_din [18]) ); sky130_fd_sc_hd__clkinv_1 U23121 ( .A(n18094), .Y(n18095) ); sky130_fd_sc_hd__and2_0 U23122 ( .A(sb_axi_awaddr[19]), .B(n18095), .X( n18097) ); sky130_fd_sc_hd__o21ai_1 U23123 ( .A1(sb_axi_awaddr[19]), .A2(n18095), .B1( n18120), .Y(n18096) ); sky130_fd_sc_hd__o22ai_1 U23124 ( .A1(n18097), .A2(n18096), .B1(n21777), .B2(n24511), .Y(\dbg/sbaddress0_reg_din [19]) ); sky130_fd_sc_hd__nand2_1 U23125 ( .A(sb_axi_awaddr[20]), .B(n18097), .Y( n18099) ); sky130_fd_sc_hd__o21ai_1 U23126 ( .A1(sb_axi_awaddr[20]), .A2(n18097), .B1( n18099), .Y(n18098) ); sky130_fd_sc_hd__o22ai_1 U23127 ( .A1(n21777), .A2(n18312), .B1(n21776), .B2(n18098), .Y(\dbg/sbaddress0_reg_din [20]) ); sky130_fd_sc_hd__clkinv_1 U23128 ( .A(n18099), .Y(n18100) ); sky130_fd_sc_hd__and2_0 U23129 ( .A(sb_axi_awaddr[21]), .B(n18100), .X( n18102) ); sky130_fd_sc_hd__o21ai_1 U23130 ( .A1(sb_axi_awaddr[21]), .A2(n18100), .B1( n18120), .Y(n18101) ); sky130_fd_sc_hd__o22ai_1 U23131 ( .A1(n18102), .A2(n18101), .B1(n21777), .B2(n18314), .Y(\dbg/sbaddress0_reg_din [21]) ); sky130_fd_sc_hd__nand2_1 U23132 ( .A(sb_axi_awaddr[22]), .B(n18102), .Y( n18104) ); sky130_fd_sc_hd__o21ai_1 U23133 ( .A1(sb_axi_awaddr[22]), .A2(n18102), .B1( n18104), .Y(n18103) ); sky130_fd_sc_hd__o22ai_1 U23134 ( .A1(n21777), .A2(n18316), .B1(n21776), .B2(n18103), .Y(\dbg/sbaddress0_reg_din [22]) ); sky130_fd_sc_hd__clkinv_1 U23135 ( .A(n18104), .Y(n18105) ); sky130_fd_sc_hd__and2_0 U23136 ( .A(sb_axi_awaddr[23]), .B(n18105), .X( n18107) ); sky130_fd_sc_hd__o21ai_1 U23137 ( .A1(sb_axi_awaddr[23]), .A2(n18105), .B1( n18120), .Y(n18106) ); sky130_fd_sc_hd__o22ai_1 U23138 ( .A1(n18107), .A2(n18106), .B1(n21777), .B2(n18318), .Y(\dbg/sbaddress0_reg_din [23]) ); sky130_fd_sc_hd__nand2_1 U23139 ( .A(sb_axi_awaddr[24]), .B(n18107), .Y( n18109) ); sky130_fd_sc_hd__o21ai_1 U23140 ( .A1(sb_axi_awaddr[24]), .A2(n18107), .B1( n18109), .Y(n18108) ); sky130_fd_sc_hd__o22ai_1 U23141 ( .A1(n21777), .A2(n18320), .B1(n21776), .B2(n18108), .Y(\dbg/sbaddress0_reg_din [24]) ); sky130_fd_sc_hd__clkinv_1 U23142 ( .A(n18109), .Y(n18110) ); sky130_fd_sc_hd__and2_0 U23143 ( .A(sb_axi_awaddr[25]), .B(n18110), .X( n18112) ); sky130_fd_sc_hd__o21ai_1 U23144 ( .A1(sb_axi_awaddr[25]), .A2(n18110), .B1( n18120), .Y(n18111) ); sky130_fd_sc_hd__o22ai_1 U23145 ( .A1(n18112), .A2(n18111), .B1(n21777), .B2(n18322), .Y(\dbg/sbaddress0_reg_din [25]) ); sky130_fd_sc_hd__nand2_1 U23146 ( .A(sb_axi_awaddr[26]), .B(n18112), .Y( n18114) ); sky130_fd_sc_hd__o21ai_1 U23147 ( .A1(sb_axi_awaddr[26]), .A2(n18112), .B1( n18114), .Y(n18113) ); sky130_fd_sc_hd__o22ai_1 U23148 ( .A1(n21777), .A2(n18324), .B1(n21776), .B2(n18113), .Y(\dbg/sbaddress0_reg_din [26]) ); sky130_fd_sc_hd__clkinv_1 U23149 ( .A(n18114), .Y(n18115) ); sky130_fd_sc_hd__and2_0 U23150 ( .A(sb_axi_awaddr[27]), .B(n18115), .X( n18117) ); sky130_fd_sc_hd__o21ai_1 U23151 ( .A1(sb_axi_awaddr[27]), .A2(n18115), .B1( n18120), .Y(n18116) ); sky130_fd_sc_hd__o22ai_1 U23152 ( .A1(n18117), .A2(n18116), .B1(n21777), .B2(n18326), .Y(\dbg/sbaddress0_reg_din [27]) ); sky130_fd_sc_hd__nand2_1 U23153 ( .A(sb_axi_awaddr[28]), .B(n18117), .Y( n18119) ); sky130_fd_sc_hd__o21ai_1 U23154 ( .A1(sb_axi_awaddr[28]), .A2(n18117), .B1( n18119), .Y(n18118) ); sky130_fd_sc_hd__o22ai_1 U23155 ( .A1(n21777), .A2(n18328), .B1(n21776), .B2(n18118), .Y(\dbg/sbaddress0_reg_din [28]) ); sky130_fd_sc_hd__clkinv_1 U23156 ( .A(n18119), .Y(n18121) ); sky130_fd_sc_hd__and2_0 U23157 ( .A(sb_axi_awaddr[29]), .B(n18121), .X( n18123) ); sky130_fd_sc_hd__o21ai_1 U23158 ( .A1(sb_axi_awaddr[29]), .A2(n18121), .B1( n18120), .Y(n18122) ); sky130_fd_sc_hd__o22ai_1 U23159 ( .A1(n18123), .A2(n18122), .B1(n21777), .B2(n18330), .Y(\dbg/sbaddress0_reg_din [29]) ); sky130_fd_sc_hd__nand2_1 U23160 ( .A(sb_axi_awaddr[30]), .B(n18123), .Y( n18125) ); sky130_fd_sc_hd__o21ai_1 U23161 ( .A1(sb_axi_awaddr[30]), .A2(n18123), .B1( n18125), .Y(n18124) ); sky130_fd_sc_hd__o22ai_1 U23162 ( .A1(n21777), .A2(n18332), .B1(n21776), .B2(n18124), .Y(\dbg/sbaddress0_reg_din [30]) ); sky130_fd_sc_hd__xor2_1 U23163 ( .A(sb_axi_awaddr[31]), .B(n18125), .X( n18126) ); sky130_fd_sc_hd__o22ai_1 U23164 ( .A1(n24495), .A2(n21777), .B1(n21776), .B2(n18126), .Y(\dbg/sbaddress0_reg_din [31]) ); sky130_fd_sc_hd__o21ai_1 U23165 ( .A1(sb_axi_rresp[1]), .A2(sb_axi_rresp[0]), .B1(sb_axi_rvalid), .Y(n18129) ); sky130_fd_sc_hd__o21ai_1 U23166 ( .A1(sb_axi_bresp[1]), .A2(sb_axi_bresp[0]), .B1(sb_axi_bvalid), .Y(n18128) ); sky130_fd_sc_hd__a21oi_1 U23167 ( .A1(n18129), .A2(n18128), .B1(n18127), .Y( n18130) ); sky130_fd_sc_hd__a21oi_1 U23168 ( .A1(dbg_bus_clk_en), .A2(n18130), .B1( n22813), .Y(n18131) ); sky130_fd_sc_hd__nand2_1 U23169 ( .A(n24512), .B(n18131), .Y(n24503) ); sky130_fd_sc_hd__nand3_1 U23170 ( .A(n22815), .B(n24508), .C(n22791), .Y( n21780) ); sky130_fd_sc_hd__nand2b_1 U23171 ( .A_N(n21780), .B(n18132), .Y(n18178) ); sky130_fd_sc_hd__clkinv_1 U23172 ( .A(sb_axi_rdata[32]), .Y(n18137) ); sky130_fd_sc_hd__nor2_1 U23173 ( .A(n18134), .B(n18133), .Y(n24412) ); sky130_fd_sc_hd__nand2_1 U23174 ( .A(n18135), .B(n24412), .Y(n21778) ); sky130_fd_sc_hd__o22ai_1 U23175 ( .A1(n18178), .A2(n18137), .B1(n18136), .B2(n21778), .Y(\dbg/sbdata1_din [0]) ); sky130_fd_sc_hd__clkinv_1 U23176 ( .A(sb_axi_rdata[33]), .Y(n18138) ); sky130_fd_sc_hd__o22ai_1 U23177 ( .A1(n18178), .A2(n18138), .B1(n24493), .B2(n21778), .Y(\dbg/sbdata1_din [1]) ); sky130_fd_sc_hd__clkinv_1 U23178 ( .A(sb_axi_rdata[34]), .Y(n18140) ); sky130_fd_sc_hd__o22ai_1 U23179 ( .A1(n18178), .A2(n18140), .B1(n18139), .B2(n21778), .Y(\dbg/sbdata1_din [2]) ); sky130_fd_sc_hd__clkinv_1 U23180 ( .A(sb_axi_rdata[35]), .Y(n18142) ); sky130_fd_sc_hd__o22ai_1 U23181 ( .A1(n18178), .A2(n18142), .B1(n18141), .B2(n21778), .Y(\dbg/sbdata1_din [3]) ); sky130_fd_sc_hd__clkinv_1 U23182 ( .A(sb_axi_rdata[36]), .Y(n18144) ); sky130_fd_sc_hd__o22ai_1 U23183 ( .A1(n18178), .A2(n18144), .B1(n18143), .B2(n21778), .Y(\dbg/sbdata1_din [4]) ); sky130_fd_sc_hd__clkinv_1 U23184 ( .A(sb_axi_rdata[37]), .Y(n18146) ); sky130_fd_sc_hd__o22ai_1 U23185 ( .A1(n18178), .A2(n18146), .B1(n18145), .B2(n21778), .Y(\dbg/sbdata1_din [5]) ); sky130_fd_sc_hd__clkinv_1 U23186 ( .A(sb_axi_rdata[38]), .Y(n18148) ); sky130_fd_sc_hd__o22ai_1 U23187 ( .A1(n18178), .A2(n18148), .B1(n18147), .B2(n21778), .Y(\dbg/sbdata1_din [6]) ); sky130_fd_sc_hd__clkinv_1 U23188 ( .A(sb_axi_rdata[39]), .Y(n18150) ); sky130_fd_sc_hd__o22ai_1 U23189 ( .A1(n18178), .A2(n18150), .B1(n18149), .B2(n21778), .Y(\dbg/sbdata1_din [7]) ); sky130_fd_sc_hd__o22ai_1 U23190 ( .A1(n18178), .A2(n18152), .B1(n18151), .B2(n21778), .Y(\dbg/sbdata1_din [8]) ); sky130_fd_sc_hd__clkinv_1 U23191 ( .A(sb_axi_rdata[41]), .Y(n18154) ); sky130_fd_sc_hd__o22ai_1 U23192 ( .A1(n18178), .A2(n18154), .B1(n18153), .B2(n21778), .Y(\dbg/sbdata1_din [9]) ); sky130_fd_sc_hd__clkinv_1 U23193 ( .A(sb_axi_rdata[42]), .Y(n18156) ); sky130_fd_sc_hd__o22ai_1 U23194 ( .A1(n18178), .A2(n18156), .B1(n18155), .B2(n21778), .Y(\dbg/sbdata1_din [10]) ); sky130_fd_sc_hd__clkinv_1 U23195 ( .A(sb_axi_rdata[43]), .Y(n18157) ); sky130_fd_sc_hd__o22ai_1 U23196 ( .A1(n18278), .A2(n21778), .B1(n18178), .B2(n18157), .Y(\dbg/sbdata1_din [11]) ); sky130_fd_sc_hd__clkinv_1 U23197 ( .A(sb_axi_rdata[44]), .Y(n18158) ); sky130_fd_sc_hd__o22ai_1 U23198 ( .A1(n18283), .A2(n21778), .B1(n18178), .B2(n18158), .Y(\dbg/sbdata1_din [12]) ); sky130_fd_sc_hd__clkinv_1 U23199 ( .A(sb_axi_rdata[45]), .Y(n18159) ); sky130_fd_sc_hd__o22ai_1 U23200 ( .A1(n18160), .A2(n21778), .B1(n18178), .B2(n18159), .Y(\dbg/sbdata1_din [13]) ); sky130_fd_sc_hd__clkinv_1 U23201 ( .A(sb_axi_rdata[46]), .Y(n18161) ); sky130_fd_sc_hd__o22ai_1 U23202 ( .A1(n18291), .A2(n21778), .B1(n18178), .B2(n18161), .Y(\dbg/sbdata1_din [14]) ); sky130_fd_sc_hd__clkinv_1 U23203 ( .A(sb_axi_rdata[47]), .Y(n18162) ); sky130_fd_sc_hd__o22ai_1 U23204 ( .A1(n18163), .A2(n21778), .B1(n18178), .B2(n18162), .Y(\dbg/sbdata1_din [15]) ); sky130_fd_sc_hd__clkinv_1 U23205 ( .A(sb_axi_rdata[48]), .Y(n18164) ); sky130_fd_sc_hd__o22ai_1 U23206 ( .A1(n18306), .A2(n21778), .B1(n18178), .B2(n18164), .Y(\dbg/sbdata1_din [16]) ); sky130_fd_sc_hd__clkinv_1 U23207 ( .A(sb_axi_rdata[49]), .Y(n18165) ); sky130_fd_sc_hd__o22ai_1 U23208 ( .A1(n24509), .A2(n21778), .B1(n18178), .B2(n18165), .Y(\dbg/sbdata1_din [17]) ); sky130_fd_sc_hd__clkinv_1 U23209 ( .A(sb_axi_rdata[50]), .Y(n18166) ); sky130_fd_sc_hd__o22ai_1 U23210 ( .A1(n18309), .A2(n21778), .B1(n18178), .B2(n18166), .Y(\dbg/sbdata1_din [18]) ); sky130_fd_sc_hd__clkinv_1 U23211 ( .A(sb_axi_rdata[51]), .Y(n18167) ); sky130_fd_sc_hd__o22ai_1 U23212 ( .A1(n24511), .A2(n21778), .B1(n18178), .B2(n18167), .Y(\dbg/sbdata1_din [19]) ); sky130_fd_sc_hd__clkinv_1 U23213 ( .A(sb_axi_rdata[52]), .Y(n18168) ); sky130_fd_sc_hd__o22ai_1 U23214 ( .A1(n18312), .A2(n21778), .B1(n18178), .B2(n18168), .Y(\dbg/sbdata1_din [20]) ); sky130_fd_sc_hd__clkinv_1 U23215 ( .A(sb_axi_rdata[53]), .Y(n18169) ); sky130_fd_sc_hd__o22ai_1 U23216 ( .A1(n18314), .A2(n21778), .B1(n18178), .B2(n18169), .Y(\dbg/sbdata1_din [21]) ); sky130_fd_sc_hd__clkinv_1 U23217 ( .A(sb_axi_rdata[54]), .Y(n18170) ); sky130_fd_sc_hd__o22ai_1 U23218 ( .A1(n18316), .A2(n21778), .B1(n18178), .B2(n18170), .Y(\dbg/sbdata1_din [22]) ); sky130_fd_sc_hd__o22ai_1 U23219 ( .A1(n18318), .A2(n21778), .B1(n18178), .B2(n18171), .Y(\dbg/sbdata1_din [23]) ); sky130_fd_sc_hd__clkinv_1 U23220 ( .A(sb_axi_rdata[56]), .Y(n18269) ); sky130_fd_sc_hd__o22ai_1 U23221 ( .A1(n18320), .A2(n21778), .B1(n18178), .B2(n18269), .Y(\dbg/sbdata1_din [24]) ); sky130_fd_sc_hd__clkinv_1 U23222 ( .A(sb_axi_rdata[57]), .Y(n18172) ); sky130_fd_sc_hd__o22ai_1 U23223 ( .A1(n18322), .A2(n21778), .B1(n18178), .B2(n18172), .Y(\dbg/sbdata1_din [25]) ); sky130_fd_sc_hd__clkinv_1 U23224 ( .A(sb_axi_rdata[58]), .Y(n18276) ); sky130_fd_sc_hd__o22ai_1 U23225 ( .A1(n18324), .A2(n21778), .B1(n18178), .B2(n18276), .Y(\dbg/sbdata1_din [26]) ); sky130_fd_sc_hd__clkinv_1 U23226 ( .A(sb_axi_rdata[59]), .Y(n18277) ); sky130_fd_sc_hd__o22ai_1 U23227 ( .A1(n18326), .A2(n21778), .B1(n18178), .B2(n18277), .Y(\dbg/sbdata1_din [27]) ); sky130_fd_sc_hd__clkinv_1 U23228 ( .A(sb_axi_rdata[60]), .Y(n18282) ); sky130_fd_sc_hd__o22ai_1 U23229 ( .A1(n18328), .A2(n21778), .B1(n18178), .B2(n18282), .Y(\dbg/sbdata1_din [28]) ); sky130_fd_sc_hd__clkinv_1 U23230 ( .A(sb_axi_rdata[61]), .Y(n18289) ); sky130_fd_sc_hd__o22ai_1 U23231 ( .A1(n18330), .A2(n21778), .B1(n18178), .B2(n18289), .Y(\dbg/sbdata1_din [29]) ); sky130_fd_sc_hd__clkinv_1 U23232 ( .A(sb_axi_rdata[62]), .Y(n18290) ); sky130_fd_sc_hd__o22ai_1 U23233 ( .A1(n18332), .A2(n21778), .B1(n18178), .B2(n18290), .Y(\dbg/sbdata1_din [30]) ); sky130_fd_sc_hd__o22ai_1 U23234 ( .A1(n24495), .A2(n21778), .B1(n18178), .B2(n18300), .Y(\dbg/sbdata1_din [31]) ); sky130_fd_sc_hd__nor2_1 U23235 ( .A(n18173), .B(n21780), .Y(n18177) ); sky130_fd_sc_hd__nor2_1 U23236 ( .A(n18174), .B(n18253), .Y(n18295) ); sky130_fd_sc_hd__nor2_1 U23237 ( .A(n18174), .B(n18255), .Y(n18270) ); sky130_fd_sc_hd__a22oi_1 U23238 ( .A1(sb_axi_rdata[16]), .A2(n18295), .B1( sb_axi_rdata[48]), .B2(n18270), .Y(n18190) ); sky130_fd_sc_hd__nor2_1 U23239 ( .A(n18303), .B(n21780), .Y(n18175) ); sky130_fd_sc_hd__a21oi_1 U23240 ( .A1(n18177), .A2(n18176), .B1(n18175), .Y( n18179) ); sky130_fd_sc_hd__nor2_1 U23241 ( .A(n18179), .B(n18304), .Y(n18297) ); sky130_fd_sc_hd__o21ai_1 U23242 ( .A1(\dbg/N205 ), .A2(n18179), .B1(n18178), .Y(n18296) ); sky130_fd_sc_hd__a22oi_1 U23243 ( .A1(sb_axi_rdata[32]), .A2(n18297), .B1( sb_axi_rdata[0]), .B2(n18296), .Y(n18189) ); sky130_fd_sc_hd__nor2_1 U23244 ( .A(n21780), .B(n18180), .Y(n18262) ); sky130_fd_sc_hd__o22ai_1 U23245 ( .A1(sb_axi_rdata[56]), .A2(n18255), .B1( sb_axi_rdata[40]), .B2(n18254), .Y(n18182) ); sky130_fd_sc_hd__o22ai_1 U23246 ( .A1(sb_axi_rdata[24]), .A2(n18253), .B1( sb_axi_rdata[8]), .B2(n18256), .Y(n18181) ); sky130_fd_sc_hd__o21ai_1 U23247 ( .A1(n18182), .A2(n18181), .B1( sb_axi_awaddr[0]), .Y(n18186) ); sky130_fd_sc_hd__o22ai_1 U23248 ( .A1(sb_axi_rdata[0]), .A2(n18256), .B1( sb_axi_rdata[48]), .B2(n18255), .Y(n18184) ); sky130_fd_sc_hd__o22ai_1 U23249 ( .A1(sb_axi_rdata[32]), .A2(n18254), .B1( sb_axi_rdata[16]), .B2(n18253), .Y(n18183) ); sky130_fd_sc_hd__o21ai_1 U23250 ( .A1(n18184), .A2(n18183), .B1(n18257), .Y( n18185) ); sky130_fd_sc_hd__nand3_1 U23251 ( .A(n18262), .B(n18186), .C(n18185), .Y( n18188) ); sky130_fd_sc_hd__nand2_1 U23252 ( .A(dmi_reg_wdata[0]), .B(n22792), .Y( n18187) ); sky130_fd_sc_hd__nand4_1 U23253 ( .A(n18190), .B(n18189), .C(n18188), .D( n18187), .Y(\dbg/sbdata0_din [0]) ); sky130_fd_sc_hd__a22oi_1 U23254 ( .A1(n18295), .A2(sb_axi_rdata[17]), .B1( n18270), .B2(sb_axi_rdata[49]), .Y(n18200) ); sky130_fd_sc_hd__a22oi_1 U23255 ( .A1(sb_axi_rdata[33]), .A2(n18297), .B1( sb_axi_rdata[1]), .B2(n18296), .Y(n18199) ); sky130_fd_sc_hd__o22ai_1 U23256 ( .A1(sb_axi_rdata[57]), .A2(n18255), .B1( sb_axi_rdata[25]), .B2(n18253), .Y(n18192) ); sky130_fd_sc_hd__o22ai_1 U23257 ( .A1(sb_axi_rdata[41]), .A2(n18254), .B1( sb_axi_rdata[9]), .B2(n18256), .Y(n18191) ); sky130_fd_sc_hd__o21ai_1 U23258 ( .A1(n18192), .A2(n18191), .B1( sb_axi_awaddr[0]), .Y(n18196) ); sky130_fd_sc_hd__o22ai_1 U23259 ( .A1(sb_axi_rdata[33]), .A2(n18254), .B1( sb_axi_rdata[17]), .B2(n18253), .Y(n18194) ); sky130_fd_sc_hd__o22ai_1 U23260 ( .A1(sb_axi_rdata[1]), .A2(n18256), .B1( sb_axi_rdata[49]), .B2(n18255), .Y(n18193) ); sky130_fd_sc_hd__o21ai_1 U23261 ( .A1(n18194), .A2(n18193), .B1(n18257), .Y( n18195) ); sky130_fd_sc_hd__nand3_1 U23262 ( .A(n18262), .B(n18196), .C(n18195), .Y( n18198) ); sky130_fd_sc_hd__nand2_1 U23263 ( .A(n22792), .B(dmi_reg_wdata[1]), .Y( n18197) ); sky130_fd_sc_hd__nand4_1 U23264 ( .A(n18200), .B(n18199), .C(n18198), .D( n18197), .Y(\dbg/sbdata0_din [1]) ); sky130_fd_sc_hd__a22oi_1 U23265 ( .A1(n18295), .A2(sb_axi_rdata[18]), .B1( n18270), .B2(sb_axi_rdata[50]), .Y(n18210) ); sky130_fd_sc_hd__a22oi_1 U23266 ( .A1(sb_axi_rdata[34]), .A2(n18297), .B1( sb_axi_rdata[2]), .B2(n18296), .Y(n18209) ); sky130_fd_sc_hd__o22ai_1 U23267 ( .A1(sb_axi_rdata[42]), .A2(n18254), .B1( sb_axi_rdata[10]), .B2(n18256), .Y(n18202) ); sky130_fd_sc_hd__o22ai_1 U23268 ( .A1(sb_axi_rdata[58]), .A2(n18255), .B1( sb_axi_rdata[26]), .B2(n18253), .Y(n18201) ); sky130_fd_sc_hd__o21ai_1 U23269 ( .A1(n18202), .A2(n18201), .B1( sb_axi_awaddr[0]), .Y(n18206) ); sky130_fd_sc_hd__o22ai_1 U23270 ( .A1(sb_axi_rdata[2]), .A2(n18256), .B1( sb_axi_rdata[34]), .B2(n18254), .Y(n18204) ); sky130_fd_sc_hd__o22ai_1 U23271 ( .A1(sb_axi_rdata[18]), .A2(n18253), .B1( sb_axi_rdata[50]), .B2(n18255), .Y(n18203) ); sky130_fd_sc_hd__o21ai_1 U23272 ( .A1(n18204), .A2(n18203), .B1(n18257), .Y( n18205) ); sky130_fd_sc_hd__nand3_1 U23273 ( .A(n18262), .B(n18206), .C(n18205), .Y( n18208) ); sky130_fd_sc_hd__nand2_1 U23274 ( .A(n22792), .B(dmi_reg_wdata[2]), .Y( n18207) ); sky130_fd_sc_hd__nand4_1 U23275 ( .A(n18210), .B(n18209), .C(n18208), .D( n18207), .Y(\dbg/sbdata0_din [2]) ); sky130_fd_sc_hd__a22oi_1 U23276 ( .A1(n18295), .A2(sb_axi_rdata[19]), .B1( n18270), .B2(sb_axi_rdata[51]), .Y(n18220) ); sky130_fd_sc_hd__a22oi_1 U23277 ( .A1(sb_axi_rdata[35]), .A2(n18297), .B1( sb_axi_rdata[3]), .B2(n18296), .Y(n18219) ); sky130_fd_sc_hd__o22ai_1 U23278 ( .A1(sb_axi_rdata[59]), .A2(n18255), .B1( sb_axi_rdata[11]), .B2(n18256), .Y(n18212) ); sky130_fd_sc_hd__o22ai_1 U23279 ( .A1(sb_axi_rdata[43]), .A2(n18254), .B1( sb_axi_rdata[27]), .B2(n18253), .Y(n18211) ); sky130_fd_sc_hd__o21ai_1 U23280 ( .A1(n18212), .A2(n18211), .B1( sb_axi_awaddr[0]), .Y(n18216) ); sky130_fd_sc_hd__o22ai_1 U23281 ( .A1(sb_axi_rdata[35]), .A2(n18254), .B1( sb_axi_rdata[19]), .B2(n18253), .Y(n18214) ); sky130_fd_sc_hd__o22ai_1 U23282 ( .A1(sb_axi_rdata[3]), .A2(n18256), .B1( sb_axi_rdata[51]), .B2(n18255), .Y(n18213) ); sky130_fd_sc_hd__o21ai_1 U23283 ( .A1(n18214), .A2(n18213), .B1(n18257), .Y( n18215) ); sky130_fd_sc_hd__nand3_1 U23284 ( .A(n18262), .B(n18216), .C(n18215), .Y( n18218) ); sky130_fd_sc_hd__nand2_1 U23285 ( .A(n22792), .B(dmi_reg_wdata[3]), .Y( n18217) ); sky130_fd_sc_hd__nand4_1 U23286 ( .A(n18220), .B(n18219), .C(n18218), .D( n18217), .Y(\dbg/sbdata0_din [3]) ); sky130_fd_sc_hd__a22oi_1 U23287 ( .A1(n18295), .A2(sb_axi_rdata[20]), .B1( n18270), .B2(sb_axi_rdata[52]), .Y(n18230) ); sky130_fd_sc_hd__a22oi_1 U23288 ( .A1(sb_axi_rdata[36]), .A2(n18297), .B1( sb_axi_rdata[4]), .B2(n18296), .Y(n18229) ); sky130_fd_sc_hd__nand2_1 U23289 ( .A(n22792), .B(dmi_reg_wdata[4]), .Y( n18228) ); sky130_fd_sc_hd__o22ai_1 U23290 ( .A1(sb_axi_rdata[60]), .A2(n18255), .B1( sb_axi_rdata[44]), .B2(n18254), .Y(n18222) ); sky130_fd_sc_hd__o22ai_1 U23291 ( .A1(sb_axi_rdata[28]), .A2(n18253), .B1( sb_axi_rdata[12]), .B2(n18256), .Y(n18221) ); sky130_fd_sc_hd__o21ai_1 U23292 ( .A1(n18222), .A2(n18221), .B1( sb_axi_awaddr[0]), .Y(n18226) ); sky130_fd_sc_hd__o22ai_1 U23293 ( .A1(sb_axi_rdata[4]), .A2(n18256), .B1( sb_axi_rdata[20]), .B2(n18253), .Y(n18224) ); sky130_fd_sc_hd__o22ai_1 U23294 ( .A1(sb_axi_rdata[36]), .A2(n18254), .B1( sb_axi_rdata[52]), .B2(n18255), .Y(n18223) ); sky130_fd_sc_hd__o21ai_1 U23295 ( .A1(n18224), .A2(n18223), .B1(n18257), .Y( n18225) ); sky130_fd_sc_hd__nand3_1 U23296 ( .A(n18262), .B(n18226), .C(n18225), .Y( n18227) ); sky130_fd_sc_hd__nand4_1 U23297 ( .A(n18230), .B(n18229), .C(n18228), .D( n18227), .Y(\dbg/sbdata0_din [4]) ); sky130_fd_sc_hd__a22oi_1 U23298 ( .A1(n18295), .A2(sb_axi_rdata[21]), .B1( n18270), .B2(sb_axi_rdata[53]), .Y(n18240) ); sky130_fd_sc_hd__a22oi_1 U23299 ( .A1(sb_axi_rdata[37]), .A2(n18297), .B1( sb_axi_rdata[5]), .B2(n18296), .Y(n18239) ); sky130_fd_sc_hd__o22ai_1 U23300 ( .A1(sb_axi_rdata[61]), .A2(n18255), .B1( sb_axi_rdata[45]), .B2(n18254), .Y(n18232) ); sky130_fd_sc_hd__o22ai_1 U23301 ( .A1(sb_axi_rdata[29]), .A2(n18253), .B1( sb_axi_rdata[13]), .B2(n18256), .Y(n18231) ); sky130_fd_sc_hd__o21ai_1 U23302 ( .A1(n18232), .A2(n18231), .B1( sb_axi_awaddr[0]), .Y(n18236) ); sky130_fd_sc_hd__o22ai_1 U23303 ( .A1(sb_axi_rdata[5]), .A2(n18256), .B1( sb_axi_rdata[37]), .B2(n18254), .Y(n18234) ); sky130_fd_sc_hd__o22ai_1 U23304 ( .A1(sb_axi_rdata[53]), .A2(n18255), .B1( sb_axi_rdata[21]), .B2(n18253), .Y(n18233) ); sky130_fd_sc_hd__o21ai_1 U23305 ( .A1(n18234), .A2(n18233), .B1(n18257), .Y( n18235) ); sky130_fd_sc_hd__nand3_1 U23306 ( .A(n18262), .B(n18236), .C(n18235), .Y( n18238) ); sky130_fd_sc_hd__nand2_1 U23307 ( .A(n22792), .B(dmi_reg_wdata[5]), .Y( n18237) ); sky130_fd_sc_hd__nand4_1 U23308 ( .A(n18240), .B(n18239), .C(n18238), .D( n18237), .Y(\dbg/sbdata0_din [5]) ); sky130_fd_sc_hd__a22oi_1 U23309 ( .A1(n18295), .A2(sb_axi_rdata[22]), .B1( n18270), .B2(sb_axi_rdata[54]), .Y(n18250) ); sky130_fd_sc_hd__a22oi_1 U23310 ( .A1(sb_axi_rdata[38]), .A2(n18297), .B1( sb_axi_rdata[6]), .B2(n18296), .Y(n18249) ); sky130_fd_sc_hd__nand2_1 U23311 ( .A(n22792), .B(dmi_reg_wdata[6]), .Y( n18248) ); sky130_fd_sc_hd__o22ai_1 U23312 ( .A1(sb_axi_rdata[46]), .A2(n18254), .B1( sb_axi_rdata[14]), .B2(n18256), .Y(n18242) ); sky130_fd_sc_hd__o22ai_1 U23313 ( .A1(sb_axi_rdata[62]), .A2(n18255), .B1( sb_axi_rdata[30]), .B2(n18253), .Y(n18241) ); sky130_fd_sc_hd__o21ai_1 U23314 ( .A1(n18242), .A2(n18241), .B1( sb_axi_awaddr[0]), .Y(n18246) ); sky130_fd_sc_hd__o22ai_1 U23315 ( .A1(sb_axi_rdata[38]), .A2(n18254), .B1( sb_axi_rdata[54]), .B2(n18255), .Y(n18244) ); sky130_fd_sc_hd__o22ai_1 U23316 ( .A1(sb_axi_rdata[6]), .A2(n18256), .B1( sb_axi_rdata[22]), .B2(n18253), .Y(n18243) ); sky130_fd_sc_hd__o21ai_1 U23317 ( .A1(n18244), .A2(n18243), .B1(n18257), .Y( n18245) ); sky130_fd_sc_hd__nand3_1 U23318 ( .A(n18262), .B(n18246), .C(n18245), .Y( n18247) ); sky130_fd_sc_hd__nand4_1 U23319 ( .A(n18250), .B(n18249), .C(n18248), .D( n18247), .Y(\dbg/sbdata0_din [6]) ); sky130_fd_sc_hd__a22oi_1 U23320 ( .A1(n18295), .A2(sb_axi_rdata[23]), .B1( n18270), .B2(sb_axi_rdata[55]), .Y(n18266) ); sky130_fd_sc_hd__a22oi_1 U23321 ( .A1(sb_axi_rdata[39]), .A2(n18297), .B1( sb_axi_rdata[7]), .B2(n18296), .Y(n18265) ); sky130_fd_sc_hd__o22ai_1 U23322 ( .A1(sb_axi_rdata[31]), .A2(n18253), .B1( sb_axi_rdata[63]), .B2(n18255), .Y(n18252) ); sky130_fd_sc_hd__o22ai_1 U23323 ( .A1(sb_axi_rdata[15]), .A2(n18256), .B1( sb_axi_rdata[47]), .B2(n18254), .Y(n18251) ); sky130_fd_sc_hd__o21ai_1 U23324 ( .A1(n18252), .A2(n18251), .B1( sb_axi_awaddr[0]), .Y(n18261) ); sky130_fd_sc_hd__o22ai_1 U23325 ( .A1(sb_axi_rdata[39]), .A2(n18254), .B1( sb_axi_rdata[23]), .B2(n18253), .Y(n18259) ); sky130_fd_sc_hd__o22ai_1 U23326 ( .A1(sb_axi_rdata[7]), .A2(n18256), .B1( sb_axi_rdata[55]), .B2(n18255), .Y(n18258) ); sky130_fd_sc_hd__o21ai_1 U23327 ( .A1(n18259), .A2(n18258), .B1(n18257), .Y( n18260) ); sky130_fd_sc_hd__nand3_1 U23328 ( .A(n18262), .B(n18261), .C(n18260), .Y( n18264) ); sky130_fd_sc_hd__nand2_1 U23329 ( .A(n22792), .B(dmi_reg_wdata[7]), .Y( n18263) ); sky130_fd_sc_hd__nand4_1 U23330 ( .A(n18266), .B(n18265), .C(n18264), .D( n18263), .Y(\dbg/sbdata0_din [7]) ); sky130_fd_sc_hd__a22oi_1 U23331 ( .A1(sb_axi_rdata[24]), .A2(n18295), .B1( n22792), .B2(dmi_reg_wdata[8]), .Y(n18268) ); sky130_fd_sc_hd__a22oi_1 U23332 ( .A1(sb_axi_rdata[40]), .A2(n18297), .B1( sb_axi_rdata[8]), .B2(n18296), .Y(n18267) ); sky130_fd_sc_hd__o211ai_1 U23333 ( .A1(n18269), .A2(n18301), .B1(n18268), .C1(n18267), .Y(\dbg/sbdata0_din [8]) ); sky130_fd_sc_hd__a22oi_1 U23334 ( .A1(n22792), .A2(dmi_reg_wdata[9]), .B1( n18270), .B2(sb_axi_rdata[57]), .Y(n18273) ); sky130_fd_sc_hd__a22oi_1 U23335 ( .A1(n18297), .A2(sb_axi_rdata[41]), .B1( n18295), .B2(sb_axi_rdata[25]), .Y(n18272) ); sky130_fd_sc_hd__nand2_1 U23336 ( .A(sb_axi_rdata[9]), .B(n18296), .Y(n18271) ); sky130_fd_sc_hd__nand3_1 U23337 ( .A(n18273), .B(n18272), .C(n18271), .Y( \dbg/sbdata0_din [9]) ); sky130_fd_sc_hd__a22oi_1 U23338 ( .A1(n22792), .A2(dmi_reg_wdata[10]), .B1( n18295), .B2(sb_axi_rdata[26]), .Y(n18275) ); sky130_fd_sc_hd__a22oi_1 U23339 ( .A1(sb_axi_rdata[42]), .A2(n18297), .B1( sb_axi_rdata[10]), .B2(n18296), .Y(n18274) ); sky130_fd_sc_hd__o211ai_1 U23340 ( .A1(n18301), .A2(n18276), .B1(n18275), .C1(n18274), .Y(\dbg/sbdata0_din [10]) ); sky130_fd_sc_hd__a22oi_1 U23341 ( .A1(n18297), .A2(sb_axi_rdata[43]), .B1( n18295), .B2(sb_axi_rdata[27]), .Y(n18281) ); sky130_fd_sc_hd__o22ai_1 U23342 ( .A1(n18278), .A2(n21779), .B1(n18301), .B2(n18277), .Y(n18279) ); sky130_fd_sc_hd__a21oi_1 U23343 ( .A1(n18296), .A2(sb_axi_rdata[11]), .B1( n18279), .Y(n18280) ); sky130_fd_sc_hd__nand2_1 U23344 ( .A(n18281), .B(n18280), .Y( \dbg/sbdata0_din [11]) ); sky130_fd_sc_hd__a22oi_1 U23345 ( .A1(n18297), .A2(sb_axi_rdata[44]), .B1( n18295), .B2(sb_axi_rdata[28]), .Y(n18286) ); sky130_fd_sc_hd__o22ai_1 U23346 ( .A1(n18283), .A2(n21779), .B1(n18301), .B2(n18282), .Y(n18284) ); sky130_fd_sc_hd__a21oi_1 U23347 ( .A1(n18296), .A2(sb_axi_rdata[12]), .B1( n18284), .Y(n18285) ); sky130_fd_sc_hd__nand2_1 U23348 ( .A(n18286), .B(n18285), .Y( \dbg/sbdata0_din [12]) ); sky130_fd_sc_hd__a22oi_1 U23349 ( .A1(dmi_reg_wdata[13]), .A2(n22792), .B1( n18295), .B2(sb_axi_rdata[29]), .Y(n18288) ); sky130_fd_sc_hd__a22oi_1 U23350 ( .A1(sb_axi_rdata[45]), .A2(n18297), .B1( sb_axi_rdata[13]), .B2(n18296), .Y(n18287) ); sky130_fd_sc_hd__o211ai_1 U23351 ( .A1(n18301), .A2(n18289), .B1(n18288), .C1(n18287), .Y(\dbg/sbdata0_din [13]) ); sky130_fd_sc_hd__a22oi_1 U23352 ( .A1(n18297), .A2(sb_axi_rdata[46]), .B1( n18295), .B2(sb_axi_rdata[30]), .Y(n18294) ); sky130_fd_sc_hd__o22ai_1 U23353 ( .A1(n18291), .A2(n21779), .B1(n18301), .B2(n18290), .Y(n18292) ); sky130_fd_sc_hd__a21oi_1 U23354 ( .A1(n18296), .A2(sb_axi_rdata[14]), .B1( n18292), .Y(n18293) ); sky130_fd_sc_hd__nand2_1 U23355 ( .A(n18294), .B(n18293), .Y( \dbg/sbdata0_din [14]) ); sky130_fd_sc_hd__a22oi_1 U23356 ( .A1(dmi_reg_wdata[15]), .A2(n22792), .B1( n18295), .B2(sb_axi_rdata[31]), .Y(n18299) ); sky130_fd_sc_hd__a22oi_1 U23357 ( .A1(sb_axi_rdata[47]), .A2(n18297), .B1( sb_axi_rdata[15]), .B2(n18296), .Y(n18298) ); sky130_fd_sc_hd__o211ai_1 U23358 ( .A1(n18301), .A2(n18300), .B1(n18299), .C1(n18298), .Y(\dbg/sbdata0_din [15]) ); sky130_fd_sc_hd__nor2_1 U23359 ( .A(n21780), .B(n18302), .Y(n18334) ); sky130_fd_sc_hd__nor3_1 U23360 ( .A(n18304), .B(n18303), .C(n21780), .Y( n18333) ); sky130_fd_sc_hd__a22oi_1 U23361 ( .A1(sb_axi_rdata[16]), .A2(n18334), .B1( sb_axi_rdata[48]), .B2(n18333), .Y(n18305) ); sky130_fd_sc_hd__o21ai_1 U23362 ( .A1(n18306), .A2(n21779), .B1(n18305), .Y( \dbg/sbdata0_din [16]) ); sky130_fd_sc_hd__a22oi_1 U23363 ( .A1(sb_axi_rdata[49]), .A2(n18333), .B1( sb_axi_rdata[17]), .B2(n18334), .Y(n18307) ); sky130_fd_sc_hd__o21ai_1 U23364 ( .A1(n24509), .A2(n21779), .B1(n18307), .Y( \dbg/sbdata0_din [17]) ); sky130_fd_sc_hd__a22oi_1 U23365 ( .A1(sb_axi_rdata[18]), .A2(n18334), .B1( sb_axi_rdata[50]), .B2(n18333), .Y(n18308) ); sky130_fd_sc_hd__o21ai_1 U23366 ( .A1(n18309), .A2(n21779), .B1(n18308), .Y( \dbg/sbdata0_din [18]) ); sky130_fd_sc_hd__a22oi_1 U23367 ( .A1(sb_axi_rdata[51]), .A2(n18333), .B1( sb_axi_rdata[19]), .B2(n18334), .Y(n18310) ); sky130_fd_sc_hd__o21ai_1 U23368 ( .A1(n24511), .A2(n21779), .B1(n18310), .Y( \dbg/sbdata0_din [19]) ); sky130_fd_sc_hd__a22oi_1 U23369 ( .A1(sb_axi_rdata[52]), .A2(n18333), .B1( sb_axi_rdata[20]), .B2(n18334), .Y(n18311) ); sky130_fd_sc_hd__o21ai_1 U23370 ( .A1(n18312), .A2(n21779), .B1(n18311), .Y( \dbg/sbdata0_din [20]) ); sky130_fd_sc_hd__a22oi_1 U23371 ( .A1(sb_axi_rdata[53]), .A2(n18333), .B1( sb_axi_rdata[21]), .B2(n18334), .Y(n18313) ); sky130_fd_sc_hd__o21ai_1 U23372 ( .A1(n18314), .A2(n21779), .B1(n18313), .Y( \dbg/sbdata0_din [21]) ); sky130_fd_sc_hd__a22oi_1 U23373 ( .A1(sb_axi_rdata[22]), .A2(n18334), .B1( sb_axi_rdata[54]), .B2(n18333), .Y(n18315) ); sky130_fd_sc_hd__o21ai_1 U23374 ( .A1(n18316), .A2(n21779), .B1(n18315), .Y( \dbg/sbdata0_din [22]) ); sky130_fd_sc_hd__a22oi_1 U23375 ( .A1(sb_axi_rdata[55]), .A2(n18333), .B1( sb_axi_rdata[23]), .B2(n18334), .Y(n18317) ); sky130_fd_sc_hd__o21ai_1 U23376 ( .A1(n18318), .A2(n21779), .B1(n18317), .Y( \dbg/sbdata0_din [23]) ); sky130_fd_sc_hd__a22oi_1 U23377 ( .A1(sb_axi_rdata[24]), .A2(n18334), .B1( sb_axi_rdata[56]), .B2(n18333), .Y(n18319) ); sky130_fd_sc_hd__o21ai_1 U23378 ( .A1(n18320), .A2(n21779), .B1(n18319), .Y( \dbg/sbdata0_din [24]) ); sky130_fd_sc_hd__a22oi_1 U23379 ( .A1(sb_axi_rdata[57]), .A2(n18333), .B1( sb_axi_rdata[25]), .B2(n18334), .Y(n18321) ); sky130_fd_sc_hd__o21ai_1 U23380 ( .A1(n18322), .A2(n21779), .B1(n18321), .Y( \dbg/sbdata0_din [25]) ); sky130_fd_sc_hd__a22oi_1 U23381 ( .A1(sb_axi_rdata[58]), .A2(n18333), .B1( sb_axi_rdata[26]), .B2(n18334), .Y(n18323) ); sky130_fd_sc_hd__o21ai_1 U23382 ( .A1(n18324), .A2(n21779), .B1(n18323), .Y( \dbg/sbdata0_din [26]) ); sky130_fd_sc_hd__a22oi_1 U23383 ( .A1(sb_axi_rdata[27]), .A2(n18334), .B1( sb_axi_rdata[59]), .B2(n18333), .Y(n18325) ); sky130_fd_sc_hd__o21ai_1 U23384 ( .A1(n18326), .A2(n21779), .B1(n18325), .Y( \dbg/sbdata0_din [27]) ); sky130_fd_sc_hd__a22oi_1 U23385 ( .A1(sb_axi_rdata[28]), .A2(n18334), .B1( sb_axi_rdata[60]), .B2(n18333), .Y(n18327) ); sky130_fd_sc_hd__o21ai_1 U23386 ( .A1(n18328), .A2(n21779), .B1(n18327), .Y( \dbg/sbdata0_din [28]) ); sky130_fd_sc_hd__a22oi_1 U23387 ( .A1(sb_axi_rdata[29]), .A2(n18334), .B1( sb_axi_rdata[61]), .B2(n18333), .Y(n18329) ); sky130_fd_sc_hd__o21ai_1 U23388 ( .A1(n18330), .A2(n21779), .B1(n18329), .Y( \dbg/sbdata0_din [29]) ); sky130_fd_sc_hd__a22oi_1 U23389 ( .A1(sb_axi_rdata[62]), .A2(n18333), .B1( sb_axi_rdata[30]), .B2(n18334), .Y(n18331) ); sky130_fd_sc_hd__o21ai_1 U23390 ( .A1(n18332), .A2(n21779), .B1(n18331), .Y( \dbg/sbdata0_din [30]) ); sky130_fd_sc_hd__a22oi_1 U23391 ( .A1(sb_axi_rdata[31]), .A2(n18334), .B1( sb_axi_rdata[63]), .B2(n18333), .Y(n18335) ); sky130_fd_sc_hd__o21ai_1 U23392 ( .A1(n24495), .A2(n21779), .B1(n18335), .Y( \dbg/sbdata0_din [31]) ); sky130_fd_sc_hd__nand2_1 U23393 ( .A(\exu/i0_valid_x ), .B(\exu/i0_taken_x ), .Y(n18336) ); sky130_fd_sc_hd__o21ai_1 U23394 ( .A1(n24522), .A2(\exu/i0_valid_x ), .B1( n18336), .Y(\exu/ghr_x_ns [0]) ); sky130_fd_sc_hd__clkinv_1 U23395 ( .A(\exu/ghr_x [1]), .Y(n24524) ); sky130_fd_sc_hd__clkinv_1 U23396 ( .A(\exu/i0_valid_x ), .Y(n18337) ); sky130_fd_sc_hd__o22ai_1 U23397 ( .A1(\exu/i0_valid_x ), .A2(n24524), .B1( n18337), .B2(n24522), .Y(\exu/ghr_x_ns [1]) ); sky130_fd_sc_hd__clkinv_1 U23398 ( .A(\exu/ghr_x [2]), .Y(n24526) ); sky130_fd_sc_hd__o22ai_1 U23399 ( .A1(\exu/i0_valid_x ), .A2(n24526), .B1( n18337), .B2(n24524), .Y(\exu/ghr_x_ns [2]) ); sky130_fd_sc_hd__o22ai_1 U23400 ( .A1(\exu/i0_valid_x ), .A2(n24528), .B1( n18337), .B2(n24526), .Y(\exu/ghr_x_ns [3]) ); sky130_fd_sc_hd__clkinv_1 U23401 ( .A(\exu/ghr_x [4]), .Y(n24530) ); sky130_fd_sc_hd__o22ai_1 U23402 ( .A1(\exu/i0_valid_x ), .A2(n24530), .B1( n18337), .B2(n24528), .Y(\exu/ghr_x_ns [4]) ); sky130_fd_sc_hd__clkinv_1 U23403 ( .A(\exu/ghr_x [5]), .Y(n24532) ); sky130_fd_sc_hd__o22ai_1 U23404 ( .A1(\exu/i0_valid_x ), .A2(n24532), .B1( n18337), .B2(n24530), .Y(\exu/ghr_x_ns [5]) ); sky130_fd_sc_hd__clkinv_1 U23405 ( .A(\exu/ghr_x [6]), .Y(n24534) ); sky130_fd_sc_hd__o22ai_1 U23406 ( .A1(\exu/i0_valid_x ), .A2(n24534), .B1( n18337), .B2(n24532), .Y(\exu/ghr_x_ns [6]) ); sky130_fd_sc_hd__clkinv_1 U23407 ( .A(\exu/ghr_x [7]), .Y(n24537) ); sky130_fd_sc_hd__o22ai_1 U23408 ( .A1(\exu/i0_valid_x ), .A2(n24537), .B1( n18337), .B2(n24534), .Y(\exu/ghr_x_ns [7]) ); sky130_fd_sc_hd__nor3_1 U23409 ( .A(n25053), .B(n18338), .C(n20383), .Y( lsu_pmu_misaligned_m) ); sky130_fd_sc_hd__and3_1 U23410 ( .A(\lsu/lsu_bus_buffer_empty_any ), .B( n21555), .C(n20386), .X(\lsu/N19 ) ); sky130_fd_sc_hd__nor2_1 U23411 ( .A(n21538), .B(n18339), .Y(n24807) ); sky130_fd_sc_hd__clkinv_1 U23412 ( .A(n24807), .Y(n24878) ); sky130_fd_sc_hd__a22oi_1 U23413 ( .A1(\lsu/stbuf/stbuf_byteen[3][3] ), .A2( n24884), .B1(\lsu/stbuf/stbuf_byteen[0][3] ), .B2(n24847), .Y(n18340) ); sky130_fd_sc_hd__o21ai_1 U23414 ( .A1(n21691), .A2(n24889), .B1(n18340), .Y( n18341) ); sky130_fd_sc_hd__a21oi_1 U23415 ( .A1(\lsu/stbuf/stbuf_byteen[2][3] ), .A2( n24846), .B1(n18341), .Y(n24805) ); sky130_fd_sc_hd__nor2_1 U23416 ( .A(n21673), .B(n18342), .Y(n24806) ); sky130_fd_sc_hd__clkinv_1 U23417 ( .A(n24806), .Y(n24880) ); sky130_fd_sc_hd__nand3_1 U23418 ( .A(n24878), .B(n24805), .C(n24880), .Y( n24872) ); sky130_fd_sc_hd__clkinv_1 U23419 ( .A(n24872), .Y(n24801) ); sky130_fd_sc_hd__nand4_1 U23420 ( .A(n18345), .B(n18344), .C(n18343), .D( n24801), .Y(\lsu/lsu_raw_fwd_hi_m ) ); sky130_fd_sc_hd__nand4_1 U23421 ( .A(n18349), .B(n18348), .C(n18347), .D( n18346), .Y(\lsu/lsu_raw_fwd_lo_m ) ); sky130_fd_sc_hd__xor2_1 U23422 ( .A(n10885), .B(dec_tlu_meipt[3]), .X(n18361) ); sky130_fd_sc_hd__o21ai_1 U23423 ( .A1(dec_tlu_meipt[0]), .A2(n10885), .B1( n24563), .Y(n18350) ); sky130_fd_sc_hd__a21oi_1 U23424 ( .A1(dec_tlu_meipt[0]), .A2(n10878), .B1( n18350), .Y(n18352) ); sky130_fd_sc_hd__xor2_1 U23425 ( .A(n10885), .B(dec_tlu_meipt[1]), .X(n18351) ); sky130_fd_sc_hd__maj3_1 U23426 ( .A(n24565), .B(n18352), .C(n18351), .X( n18354) ); sky130_fd_sc_hd__xor2_1 U23427 ( .A(n10885), .B(dec_tlu_meipt[2]), .X(n18353) ); sky130_fd_sc_hd__maj3_1 U23428 ( .A(n24564), .B(n18354), .C(n18353), .X( n18360) ); sky130_fd_sc_hd__o2bb2ai_1 U23429 ( .B1(n18361), .B2(n18360), .A1_N(n24567), .A2_N(n24568), .Y(n18367) ); sky130_fd_sc_hd__xor2_1 U23430 ( .A(n10885), .B(dec_tlu_meicurpl[3]), .X( n18364) ); sky130_fd_sc_hd__o21ai_1 U23431 ( .A1(dec_tlu_meicurpl[0]), .A2(n10885), .B1(n24563), .Y(n18355) ); sky130_fd_sc_hd__a21oi_1 U23432 ( .A1(dec_tlu_meicurpl[0]), .A2(n10878), .B1(n18355), .Y(n18357) ); sky130_fd_sc_hd__xor2_1 U23433 ( .A(n10885), .B(dec_tlu_meicurpl[1]), .X( n18356) ); sky130_fd_sc_hd__maj3_1 U23434 ( .A(n24565), .B(n18357), .C(n18356), .X( n18359) ); sky130_fd_sc_hd__xor2_1 U23435 ( .A(n10885), .B(dec_tlu_meicurpl[2]), .X( n18358) ); sky130_fd_sc_hd__maj3_1 U23436 ( .A(n24564), .B(n18359), .C(n18358), .X( n18363) ); sky130_fd_sc_hd__nor2_1 U23437 ( .A(n18364), .B(n18363), .Y(n18366) ); sky130_fd_sc_hd__and2_0 U23438 ( .A(n18361), .B(n18360), .X(n18362) ); sky130_fd_sc_hd__nand3_1 U23439 ( .A(n18364), .B(n18363), .C(n18362), .Y( n18365) ); sky130_fd_sc_hd__o21ai_1 U23440 ( .A1(n18367), .A2(n18366), .B1(n18365), .Y( \pic_ctrl_inst/mexintpend_in ) ); sky130_fd_sc_hd__a21oi_1 U23441 ( .A1(dmi_reg_wdata[1]), .A2( \dbg/dmcontrol_wren ), .B1(\dbg/dmstatus_reg[19] ), .Y(n18368) ); sky130_fd_sc_hd__a21oi_1 U23442 ( .A1(\dbg/dmcontrol_wren ), .A2( dmi_reg_wdata[28]), .B1(n18368), .Y( \dbg/dmstatus_havereset_reg/din_new[0] ) ); sky130_fd_sc_hd__nor2_1 U23443 ( .A(n24022), .B(n18369), .Y(\intadd_2/B[9] ) ); sky130_fd_sc_hd__nor2_1 U23444 ( .A(n24021), .B(n18369), .Y(\intadd_2/B[8] ) ); sky130_fd_sc_hd__nor2_1 U23445 ( .A(n24020), .B(n18369), .Y(\intadd_2/B[7] ) ); sky130_fd_sc_hd__nor2_1 U23446 ( .A(n24019), .B(n18369), .Y(\intadd_2/B[5] ) ); sky130_fd_sc_hd__nor2_1 U23447 ( .A(n24018), .B(n18369), .Y(\intadd_2/B[4] ) ); sky130_fd_sc_hd__nor2_1 U23448 ( .A(n24017), .B(n18369), .Y(\intadd_2/B[3] ) ); sky130_fd_sc_hd__nor2_1 U23449 ( .A(n24015), .B(n18369), .Y(\intadd_2/B[1] ) ); sky130_fd_sc_hd__o22ai_1 U23450 ( .A1(n19340), .A2(n24119), .B1(n18369), .B2(n24014), .Y(\intadd_2/CI ) ); sky130_fd_sc_hd__nor2_1 U23451 ( .A(n24016), .B(n18369), .Y(\intadd_2/B[2] ) ); sky130_fd_sc_hd__nor2_1 U23452 ( .A(n24043), .B(n18369), .Y(\intadd_2/B[6] ) ); sky130_fd_sc_hd__nand2b_1 U23453 ( .A_N(n18370), .B(\ifu/ifc/fb_full_f ), .Y(n18375) ); sky130_fd_sc_hd__a21oi_1 U23454 ( .A1(\ifu/ifc/state [0]), .A2( \ifu/ifc/state [1]), .B1(n18391), .Y(n18371) ); sky130_fd_sc_hd__o21ai_1 U23455 ( .A1(\ifu/ifc_fetch_req_bf ), .A2(n18371), .B1(n10814), .Y(n18372) ); sky130_fd_sc_hd__a21oi_1 U23456 ( .A1(n21805), .A2(n18372), .B1( \ifu/ifc/dma_iccm_stall_any_f ), .Y(n18373) ); sky130_fd_sc_hd__nand2_1 U23457 ( .A(n18375), .B(n18373), .Y( \ifu/ifc_dma_access_ok ) ); sky130_fd_sc_hd__nand2_1 U23458 ( .A(\ifu/ifc/state [1]), .B( \ifu/ifc/state [0]), .Y(n18374) ); sky130_fd_sc_hd__a31oi_1 U23459 ( .A1(n18389), .A2(n18375), .A3(n18374), .B1(n18391), .Y(ifu_pmu_fetch_stall) ); sky130_fd_sc_hd__nor2_1 U23460 ( .A(n18376), .B(n18798), .Y(n18380) ); sky130_fd_sc_hd__o21a_1 U23461 ( .A1(\ifu/ifc_fetch_req_f ), .A2(n18798), .B1(n18377), .X(n18383) ); sky130_fd_sc_hd__a22oi_1 U23462 ( .A1(\ifu/ifc/fb_write_f [2]), .A2(n18380), .B1(\ifu/ifc/fb_write_f [1]), .B2(n18383), .Y(n18379) ); sky130_fd_sc_hd__a21oi_1 U23463 ( .A1(n18384), .A2(\ifu/ifc/fb_write_f [0]), .B1(exu_flush_final), .Y(n18378) ); sky130_fd_sc_hd__nand2_1 U23464 ( .A(n18379), .B(n18378), .Y( \ifu/ifc/fb_write_ns [0]) ); sky130_fd_sc_hd__a22oi_1 U23465 ( .A1(n18384), .A2(\ifu/ifc/fb_write_f [1]), .B1(\ifu/ifc/fb_write_f [2]), .B2(n18383), .Y(n18382) ); sky130_fd_sc_hd__a22oi_1 U23466 ( .A1(n18385), .A2(\ifu/ifc/fb_write_f [0]), .B1(\ifu/ifc/fb_write_f [3]), .B2(n18380), .Y(n18381) ); sky130_fd_sc_hd__a21oi_1 U23467 ( .A1(n18382), .A2(n18381), .B1( exu_flush_final), .Y(\ifu/ifc/fb_write_ns [1]) ); sky130_fd_sc_hd__a222oi_1 U23468 ( .A1(n18385), .A2(\ifu/ifc/fb_write_f [1]), .B1(n18384), .B2(\ifu/ifc/fb_write_f [2]), .C1(\ifu/ifc/fb_write_f [3]), .C2(n18383), .Y(n18386) ); sky130_fd_sc_hd__nor2_1 U23469 ( .A(n18386), .B(exu_flush_final), .Y( \ifu/ifc/fb_write_ns [2]) ); sky130_fd_sc_hd__a21oi_1 U23470 ( .A1(n18387), .A2(exu_flush_final), .B1( \ifu/ifc/state [0]), .Y(n18388) ); sky130_fd_sc_hd__a21oi_1 U23471 ( .A1(exu_flush_final), .A2( dec_tlu_flush_noredir_r), .B1(n18388), .Y(\ifu/ifc/next_state [0]) ); sky130_fd_sc_hd__nor3_1 U23472 ( .A(n10894), .B(n21803), .C(exu_flush_final), .Y(\ifu/ifc/miss_f ) ); sky130_fd_sc_hd__o21ai_1 U23473 ( .A1(\ifu/ifu_ic_mb_empty ), .A2( exu_flush_final), .B1(n18389), .Y(n18390) ); sky130_fd_sc_hd__a221oi_1 U23474 ( .A1(\ifu/ifc/miss_a ), .A2( \ifu/ifc/state [1]), .B1(n18390), .B2(\ifu/ifc/state [1]), .C1( \ifu/ifc/miss_f ), .Y(n18392) ); sky130_fd_sc_hd__a211oi_1 U23475 ( .A1(dec_tlu_flush_noredir_r), .A2( exu_flush_final), .B1(n18392), .C1(n18391), .Y(\ifu/ifc/next_state [1]) ); sky130_fd_sc_hd__nor2_1 U23476 ( .A(n18393), .B(exu_flush_final), .Y( \ifu/ifc/fb_write_ns [3]) ); sky130_fd_sc_hd__a22o_1 U23477 ( .A1(n24049), .A2(n18395), .B1(n19577), .B2( n18394), .X(\exu/i0_flush_path_d [1]) ); sky130_fd_sc_hd__clkinv_1 U23478 ( .A(\ifu/ifu_bp_btb_target_f [1]), .Y( n18398) ); sky130_fd_sc_hd__a22oi_1 U23479 ( .A1(n25080), .A2(dec_tlu_flush_path_r[1]), .B1(n25111), .B2(\exu/i0_flush_path_d [1]), .Y(n18397) ); sky130_fd_sc_hd__nor2_1 U23480 ( .A(\ifu/ifc_fetch_addr_f [5]), .B(n18461), .Y(n18409) ); sky130_fd_sc_hd__o21ai_1 U23481 ( .A1(n18410), .A2(n18461), .B1(n18414), .Y( n18408) ); sky130_fd_sc_hd__o21ai_1 U23482 ( .A1(n18409), .A2(n18408), .B1( \ifu/ifc_fetch_addr_f [1]), .Y(n18396) ); sky130_fd_sc_hd__o211ai_1 U23483 ( .A1(n18398), .A2(n18434), .B1(n18397), .C1(n18396), .Y(\ifu/ifc_fetch_addr_bf [1]) ); sky130_fd_sc_hd__a22o_1 U23484 ( .A1(n24049), .A2(n19399), .B1(n19577), .B2( \intadd_2/SUM[0] ), .X(\exu/i0_flush_path_d [2]) ); sky130_fd_sc_hd__a22oi_1 U23485 ( .A1(n25080), .A2(dec_tlu_flush_path_r[2]), .B1(n25111), .B2(\exu/i0_flush_path_d [2]), .Y(n18400) ); sky130_fd_sc_hd__a22oi_1 U23486 ( .A1(n18476), .A2( \ifu/ifu_bp_btb_target_f [2]), .B1(n18451), .B2( \ifu/ifc_fetch_addr_f [2]), .Y(n18399) ); sky130_fd_sc_hd__o211ai_1 U23487 ( .A1(\ifu/ifc_fetch_addr_f [2]), .A2( n18461), .B1(n18400), .C1(n18399), .Y(\ifu/ifc_fetch_addr_bf [2]) ); sky130_fd_sc_hd__a22o_1 U23488 ( .A1(n24049), .A2(n19408), .B1(n19577), .B2( \intadd_2/SUM[1] ), .X(\exu/i0_flush_path_d [3]) ); sky130_fd_sc_hd__a22oi_1 U23489 ( .A1(n25080), .A2(dec_tlu_flush_path_r[3]), .B1(n25111), .B2(\exu/i0_flush_path_d [3]), .Y(n18403) ); sky130_fd_sc_hd__a22oi_1 U23490 ( .A1(n18476), .A2( \ifu/ifu_bp_btb_target_f [3]), .B1(n18451), .B2( \ifu/ifc_fetch_addr_f [3]), .Y(n18402) ); sky130_fd_sc_hd__o211ai_1 U23491 ( .A1(\ifu/ifc_fetch_addr_f [3]), .A2( \ifu/ifc_fetch_addr_f [2]), .B1(n18473), .C1(n18404), .Y(n18401) ); sky130_fd_sc_hd__nand3_1 U23492 ( .A(n18403), .B(n18402), .C(n18401), .Y( \ifu/ifc_fetch_addr_bf [3]) ); sky130_fd_sc_hd__a22o_1 U23493 ( .A1(n24049), .A2(n19439), .B1(n19577), .B2( \intadd_2/SUM[2] ), .X(\exu/i0_flush_path_d [4]) ); sky130_fd_sc_hd__o21ai_1 U23494 ( .A1(n18404), .A2(n18461), .B1(n18656), .Y( n18405) ); sky130_fd_sc_hd__a22oi_1 U23495 ( .A1(n18476), .A2( \ifu/ifu_bp_btb_target_f [4]), .B1(n18408), .B2(n18405), .Y(n18407) ); sky130_fd_sc_hd__a22oi_1 U23496 ( .A1(n25080), .A2(dec_tlu_flush_path_r[4]), .B1(n25111), .B2(\exu/i0_flush_path_d [4]), .Y(n18406) ); sky130_fd_sc_hd__nand2_1 U23497 ( .A(n18407), .B(n18406), .Y( \ifu/ifc_fetch_addr_bf [4]) ); sky130_fd_sc_hd__a22o_1 U23498 ( .A1(n24049), .A2(n19463), .B1(n19577), .B2( \intadd_2/SUM[3] ), .X(\exu/i0_flush_path_d [5]) ); sky130_fd_sc_hd__a22oi_1 U23499 ( .A1(n25080), .A2(dec_tlu_flush_path_r[5]), .B1(n25111), .B2(\exu/i0_flush_path_d [5]), .Y(n18412) ); sky130_fd_sc_hd__a22oi_1 U23500 ( .A1(n18410), .A2(n18409), .B1( \ifu/ifc_fetch_addr_f [5]), .B2(n18408), .Y(n18411) ); sky130_fd_sc_hd__o211ai_1 U23501 ( .A1(n18434), .A2(n18413), .B1(n18412), .C1(n18411), .Y(\ifu/ifc_fetch_addr_bf [5]) ); sky130_fd_sc_hd__a22o_1 U23502 ( .A1(n24049), .A2(n19471), .B1(n19577), .B2( \intadd_2/SUM[4] ), .X(\exu/i0_flush_path_d [6]) ); sky130_fd_sc_hd__o21ai_1 U23503 ( .A1(n18419), .A2(n18461), .B1(n18414), .Y( n18423) ); sky130_fd_sc_hd__o21ai_1 U23504 ( .A1(n18415), .A2(n18461), .B1(n18662), .Y( n18416) ); sky130_fd_sc_hd__a22oi_1 U23505 ( .A1(n18476), .A2( \ifu/ifu_bp_btb_target_f [6]), .B1(n18423), .B2(n18416), .Y(n18418) ); sky130_fd_sc_hd__a22oi_1 U23506 ( .A1(n25080), .A2(dec_tlu_flush_path_r[6]), .B1(n25111), .B2(\exu/i0_flush_path_d [6]), .Y(n18417) ); sky130_fd_sc_hd__nand2_1 U23507 ( .A(n18418), .B(n18417), .Y( \ifu/ifc_fetch_addr_bf [6]) ); sky130_fd_sc_hd__a22o_1 U23508 ( .A1(n24049), .A2(n19487), .B1(n19577), .B2( \intadd_2/SUM[5] ), .X(\exu/i0_flush_path_d [7]) ); sky130_fd_sc_hd__a22oi_1 U23509 ( .A1(n25080), .A2(dec_tlu_flush_path_r[7]), .B1(n25111), .B2(\exu/i0_flush_path_d [7]), .Y(n18421) ); sky130_fd_sc_hd__a32oi_1 U23510 ( .A1(n18473), .A2(n18669), .A3(n18419), .B1(\ifu/ifc_fetch_addr_f [7]), .B2(n18423), .Y(n18420) ); sky130_fd_sc_hd__o211ai_1 U23511 ( .A1(n18434), .A2(n18422), .B1(n18421), .C1(n18420), .Y(\ifu/ifc_fetch_addr_bf [7]) ); sky130_fd_sc_hd__a22o_1 U23512 ( .A1(n24049), .A2(n19500), .B1(n19577), .B2( \intadd_2/SUM[6] ), .X(\exu/i0_flush_path_d [8]) ); sky130_fd_sc_hd__a22oi_1 U23513 ( .A1(n25080), .A2(dec_tlu_flush_path_r[8]), .B1(n25111), .B2(\exu/i0_flush_path_d [8]), .Y(n18428) ); sky130_fd_sc_hd__a21oi_1 U23514 ( .A1(n18473), .A2(n18669), .B1(n18423), .Y( n18429) ); sky130_fd_sc_hd__nand2_1 U23515 ( .A(n18473), .B(n18673), .Y(n18424) ); sky130_fd_sc_hd__o22ai_1 U23516 ( .A1(n18429), .A2(n18673), .B1(n18425), .B2(n18424), .Y(n18426) ); sky130_fd_sc_hd__a21oi_1 U23517 ( .A1(n18476), .A2( \ifu/ifu_bp_btb_target_f [8]), .B1(n18426), .Y(n18427) ); sky130_fd_sc_hd__nand2_1 U23518 ( .A(n18428), .B(n18427), .Y( \ifu/ifc_fetch_addr_bf [8]) ); sky130_fd_sc_hd__a22o_1 U23519 ( .A1(n24049), .A2(n19515), .B1(n19577), .B2( \intadd_2/SUM[7] ), .X(\exu/i0_flush_path_d [9]) ); sky130_fd_sc_hd__a22oi_1 U23520 ( .A1(n25080), .A2(dec_tlu_flush_path_r[9]), .B1(n25111), .B2(\exu/i0_flush_path_d [9]), .Y(n18432) ); sky130_fd_sc_hd__nor2_1 U23521 ( .A(\ifu/ifc_fetch_addr_f [9]), .B(n18461), .Y(n18438) ); sky130_fd_sc_hd__o21ai_1 U23522 ( .A1(\ifu/ifc_fetch_addr_f [8]), .A2(n18461), .B1(n18429), .Y(n18437) ); sky130_fd_sc_hd__a22oi_1 U23523 ( .A1(n18430), .A2(n18438), .B1( \ifu/ifc_fetch_addr_f [9]), .B2(n18437), .Y(n18431) ); sky130_fd_sc_hd__o211ai_1 U23524 ( .A1(n18434), .A2(n18433), .B1(n18432), .C1(n18431), .Y(\ifu/ifc_fetch_addr_bf [9]) ); sky130_fd_sc_hd__a22o_1 U23525 ( .A1(n24049), .A2(n19530), .B1(n19577), .B2( \intadd_2/SUM[8] ), .X(\exu/i0_flush_path_d [10]) ); sky130_fd_sc_hd__a22oi_1 U23526 ( .A1(n25080), .A2(dec_tlu_flush_path_r[10]), .B1(n25111), .B2(\exu/i0_flush_path_d [10]), .Y(n18441) ); sky130_fd_sc_hd__nor3_1 U23527 ( .A(\ifu/ifc_fetch_addr_f [10]), .B(n18435), .C(n18461), .Y(n18436) ); sky130_fd_sc_hd__a21oi_1 U23528 ( .A1(n18476), .A2( \ifu/ifu_bp_btb_target_f [10]), .B1(n18436), .Y(n18440) ); sky130_fd_sc_hd__o21ai_1 U23529 ( .A1(n18438), .A2(n18437), .B1( \ifu/ifc_fetch_addr_f [10]), .Y(n18439) ); sky130_fd_sc_hd__nand3_1 U23530 ( .A(n18441), .B(n18440), .C(n18439), .Y( \ifu/ifc_fetch_addr_bf [10]) ); sky130_fd_sc_hd__a22o_1 U23531 ( .A1(n24049), .A2(n19546), .B1(n19577), .B2( \intadd_2/SUM[9] ), .X(\exu/i0_flush_path_d [11]) ); sky130_fd_sc_hd__a21oi_1 U23532 ( .A1(n18473), .A2(n18447), .B1(n18451), .Y( n18446) ); sky130_fd_sc_hd__a21oi_1 U23533 ( .A1(n18442), .A2(n18473), .B1( \ifu/ifc_fetch_addr_f [11]), .Y(n18445) ); sky130_fd_sc_hd__a22o_1 U23534 ( .A1(n25080), .A2(dec_tlu_flush_path_r[11]), .B1(n25111), .B2(\exu/i0_flush_path_d [11]), .X(n18443) ); sky130_fd_sc_hd__a21oi_1 U23535 ( .A1(n18476), .A2( \ifu/ifu_bp_btb_target_f [11]), .B1(n18443), .Y(n18444) ); sky130_fd_sc_hd__o21ai_1 U23536 ( .A1(n18446), .A2(n18445), .B1(n18444), .Y( \ifu/ifc_fetch_addr_bf [11]) ); sky130_fd_sc_hd__a22o_1 U23537 ( .A1(n24049), .A2(n19567), .B1(n19577), .B2( \intadd_2/SUM[10] ), .X(\exu/i0_flush_path_d [12]) ); sky130_fd_sc_hd__a22oi_1 U23538 ( .A1(n25080), .A2(dec_tlu_flush_path_r[12]), .B1(n25111), .B2(\exu/i0_flush_path_d [12]), .Y(n18450) ); sky130_fd_sc_hd__o32ai_1 U23539 ( .A1(\ifu/ifc_fetch_addr_f [12]), .A2( n18461), .A3(n18447), .B1(n18446), .B2(n18689), .Y(n18448) ); sky130_fd_sc_hd__a21oi_1 U23540 ( .A1(n18476), .A2( \ifu/ifu_bp_btb_target_f [12]), .B1(n18448), .Y(n18449) ); sky130_fd_sc_hd__nand2_1 U23541 ( .A(n18450), .B(n18449), .Y( \ifu/ifc_fetch_addr_bf [12]) ); sky130_fd_sc_hd__a21oi_1 U23542 ( .A1(n18473), .A2(n18460), .B1(n18451), .Y( n18459) ); sky130_fd_sc_hd__a21oi_1 U23543 ( .A1(n18452), .A2(n18473), .B1( \ifu/ifc_fetch_addr_f [13]), .Y(n18455) ); sky130_fd_sc_hd__a22o_1 U23544 ( .A1(n25080), .A2(dec_tlu_flush_path_r[13]), .B1(n25111), .B2(\exu/i0_flush_path_d [13]), .X(n18453) ); sky130_fd_sc_hd__a21oi_1 U23545 ( .A1(n18476), .A2( \ifu/ifu_bp_btb_target_f [13]), .B1(n18453), .Y(n18454) ); sky130_fd_sc_hd__o21ai_1 U23546 ( .A1(n18459), .A2(n18455), .B1(n18454), .Y( \ifu/ifc_fetch_addr_bf [13]) ); sky130_fd_sc_hd__nor2_1 U23547 ( .A(n18466), .B(n18552), .Y(n18458) ); sky130_fd_sc_hd__a22oi_1 U23548 ( .A1(n24049), .A2(n19596), .B1( dec_i0_pc_d[14]), .B2(n18456), .Y(n18457) ); sky130_fd_sc_hd__o221ai_1 U23549 ( .A1(n18458), .A2(n18471), .B1(n19594), .B2(n18467), .C1(n18457), .Y(\exu/i0_flush_path_d [14]) ); sky130_fd_sc_hd__a22oi_1 U23550 ( .A1(n25080), .A2(dec_tlu_flush_path_r[14]), .B1(n25111), .B2(\exu/i0_flush_path_d [14]), .Y(n18464) ); sky130_fd_sc_hd__o32ai_1 U23551 ( .A1(\ifu/ifc_fetch_addr_f [14]), .A2( n18461), .A3(n18460), .B1(n18459), .B2(n18697), .Y(n18462) ); sky130_fd_sc_hd__a21oi_1 U23552 ( .A1(n18476), .A2( \ifu/ifu_bp_btb_target_f [14]), .B1(n18462), .Y(n18463) ); sky130_fd_sc_hd__nand2_1 U23553 ( .A(n18464), .B(n18463), .Y( \ifu/ifc_fetch_addr_bf [14]) ); sky130_fd_sc_hd__o21a_1 U23554 ( .A1(n24233), .A2(n18466), .B1(n18465), .X( n18472) ); sky130_fd_sc_hd__o21ai_1 U23555 ( .A1(dec_i0_pc_d[15]), .A2(n18552), .B1( n18561), .Y(n19616) ); sky130_fd_sc_hd__o22ai_1 U23556 ( .A1(n24233), .A2(n18468), .B1(n18467), .B2(n19616), .Y(n18469) ); sky130_fd_sc_hd__a21oi_1 U23557 ( .A1(n24049), .A2(n19617), .B1(n18469), .Y( n18470) ); sky130_fd_sc_hd__o21ai_1 U23558 ( .A1(n18472), .A2(n18471), .B1(n18470), .Y( \exu/i0_flush_path_d [15]) ); sky130_fd_sc_hd__a21oi_1 U23559 ( .A1(n18474), .A2(n18473), .B1( \ifu/ifc_fetch_addr_f [15]), .Y(n18478) ); sky130_fd_sc_hd__a22o_1 U23560 ( .A1(n25080), .A2(dec_tlu_flush_path_r[15]), .B1(n25111), .B2(\exu/i0_flush_path_d [15]), .X(n18475) ); sky130_fd_sc_hd__a21oi_1 U23561 ( .A1(n18476), .A2( \ifu/ifu_bp_btb_target_f [15]), .B1(n18475), .Y(n18477) ); sky130_fd_sc_hd__o21ai_1 U23562 ( .A1(n18479), .A2(n18478), .B1(n18477), .Y( \ifu/ifc_fetch_addr_bf [15]) ); sky130_fd_sc_hd__nand2_1 U23563 ( .A(n18480), .B(n18485), .Y(n18487) ); sky130_fd_sc_hd__nor2_1 U23564 ( .A(n10894), .B(n18487), .Y(n18481) ); sky130_fd_sc_hd__nor2_1 U23565 ( .A(n18481), .B(exu_flush_final), .Y( \ifu/aln/f0val_in [0]) ); sky130_fd_sc_hd__nor2_1 U23566 ( .A(n18481), .B(n18496), .Y(n18501) ); sky130_fd_sc_hd__nor2_1 U23567 ( .A(ifu_pmu_instr_aligned), .B(n18501), .Y( n21800) ); sky130_fd_sc_hd__nor2_1 U23568 ( .A(\ifu/ifu_fetch_val [0]), .B(n18487), .Y( n18505) ); sky130_fd_sc_hd__a22oi_1 U23569 ( .A1(\ifu/aln/f0val [1]), .A2(n21800), .B1( n18505), .B2(\ifu/ifu_fetch_val [1]), .Y(n18484) ); sky130_fd_sc_hd__nor3_1 U23570 ( .A(n18786), .B(n18482), .C(n18496), .Y( n18500) ); sky130_fd_sc_hd__nor3_1 U23571 ( .A(n18486), .B(n18496), .C(n18485), .Y( n18626) ); sky130_fd_sc_hd__clkbuf_1 U23572 ( .A(n18626), .X(n18636) ); sky130_fd_sc_hd__a22oi_1 U23573 ( .A1(\ifu/aln/f1val [1]), .A2(n18500), .B1( n18636), .B2(\ifu/aln/f2val [1]), .Y(n18483) ); sky130_fd_sc_hd__a21oi_1 U23574 ( .A1(n18484), .A2(n18483), .B1( exu_flush_final), .Y(\ifu/aln/f0val_in [1]) ); sky130_fd_sc_hd__nor3_1 U23575 ( .A(n18491), .B(n18496), .C(n18485), .Y( n18766) ); sky130_fd_sc_hd__clkbuf_1 U23576 ( .A(n18766), .X(n18773) ); sky130_fd_sc_hd__nand2_1 U23577 ( .A(n18486), .B(n18496), .Y(n18489) ); sky130_fd_sc_hd__o21bai_1 U23578 ( .A1(\ifu/aln/f2val [0]), .A2(n18489), .B1_N(n18773), .Y(n18494) ); sky130_fd_sc_hd__nand2_1 U23579 ( .A(n18496), .B(\ifu/aln/f2val [0]), .Y( n18495) ); sky130_fd_sc_hd__nand4b_1 U23580 ( .A_N(n18494), .B(n18487), .C(n18495), .D( n10895), .Y(n18488) ); sky130_fd_sc_hd__nor2_1 U23581 ( .A(n18773), .B(n18657), .Y(n18642) ); sky130_fd_sc_hd__a21oi_1 U23582 ( .A1(n18642), .A2(n18489), .B1( exu_flush_final), .Y(\ifu/aln/f1val_in [0]) ); sky130_fd_sc_hd__a22oi_1 U23583 ( .A1(\ifu/aln/f2val [1]), .A2(n18773), .B1( \ifu/ifu_fetch_val [1]), .B2(n18657), .Y(n18493) ); sky130_fd_sc_hd__nand2b_1 U23584 ( .A_N(n18786), .B(n18642), .Y(n18490) ); sky130_fd_sc_hd__o211ai_1 U23585 ( .A1(n18491), .A2(n18496), .B1( \ifu/aln/f1val [1]), .C1(n21801), .Y(n18492) ); sky130_fd_sc_hd__a21oi_1 U23586 ( .A1(n18493), .A2(n18492), .B1( exu_flush_final), .Y(\ifu/aln/f1val_in [1]) ); sky130_fd_sc_hd__nand2_1 U23587 ( .A(n10895), .B(n18494), .Y(n21802) ); sky130_fd_sc_hd__a21oi_1 U23588 ( .A1(n18495), .A2(n21802), .B1( exu_flush_final), .Y(\ifu/aln/f2val_in [0]) ); sky130_fd_sc_hd__nand2b_1 U23589 ( .A_N(n18496), .B(\ifu/aln/f2val [0]), .Y( n18498) ); sky130_fd_sc_hd__a32oi_1 U23590 ( .A1(\ifu/aln/f2val [1]), .A2(n21802), .A3( n18498), .B1(n18497), .B2(\ifu/ifu_fetch_val [1]), .Y(n18499) ); sky130_fd_sc_hd__nor2_1 U23591 ( .A(exu_flush_final), .B(n18499), .Y( \ifu/aln/f2val_in [1]) ); sky130_fd_sc_hd__clkinv_1 U23592 ( .A(\ifu/aln/f1pc [1]), .Y(n18644) ); sky130_fd_sc_hd__o2bb2ai_1 U23593 ( .B1(\exu/i0_predict_p_d[boffset] ), .B2( n18502), .A1_N(n18505), .A2_N(\ifu/ifc_fetch_addr_f [1]), .Y(n18503) ); sky130_fd_sc_hd__a21oi_1 U23594 ( .A1(n18636), .A2(\ifu/aln/f2pc [1]), .B1( n18503), .Y(n18504) ); sky130_fd_sc_hd__o221ai_1 U23595 ( .A1(\ifu/aln/f1pc [1]), .A2(n18634), .B1( n18644), .B2(n18633), .C1(n18504), .Y(\ifu/aln/f0pc_in [1]) ); sky130_fd_sc_hd__clkinv_1 U23596 ( .A(\ifu/aln/f1pc [2]), .Y(n24168) ); sky130_fd_sc_hd__nor2_1 U23597 ( .A(n18644), .B(n24168), .Y(n18508) ); sky130_fd_sc_hd__o21bai_1 U23598 ( .A1(\ifu/aln/f1pc [1]), .A2( \ifu/aln/f1pc [2]), .B1_N(n18508), .Y(n18645) ); sky130_fd_sc_hd__o22ai_1 U23599 ( .A1(n18634), .A2(n18645), .B1(n18633), .B2(n24168), .Y(n18507) ); sky130_fd_sc_hd__o22ai_1 U23600 ( .A1(\exu/i0_predict_p_d[boffset] ), .A2( dec_i0_pc_d[2]), .B1(n19219), .B2(n19226), .Y(n24167) ); sky130_fd_sc_hd__clkinv_1 U23601 ( .A(\ifu/ifc_fetch_addr_f [2]), .Y(n18648) ); sky130_fd_sc_hd__o22ai_1 U23602 ( .A1(n18502), .A2(n24167), .B1(n18648), .B2(n18641), .Y(n18506) ); sky130_fd_sc_hd__a211o_1 U23603 ( .A1(n18636), .A2(\ifu/aln/f2pc [2]), .B1( n18507), .C1(n18506), .X(\ifu/aln/f0pc_in [2]) ); sky130_fd_sc_hd__nand2_1 U23604 ( .A(n18508), .B(\ifu/aln/f1pc [3]), .Y( n18512) ); sky130_fd_sc_hd__o21ai_1 U23605 ( .A1(n18508), .A2(\ifu/aln/f1pc [3]), .B1( n18512), .Y(n18649) ); sky130_fd_sc_hd__clkinv_1 U23606 ( .A(\ifu/aln/f1pc [3]), .Y(n24180) ); sky130_fd_sc_hd__o22ai_1 U23607 ( .A1(n18634), .A2(n18649), .B1(n18633), .B2(n24180), .Y(n18511) ); sky130_fd_sc_hd__nor2_1 U23608 ( .A(n19219), .B(n19226), .Y(n18509) ); sky130_fd_sc_hd__nand2_1 U23609 ( .A(dec_i0_pc_d[3]), .B(n18509), .Y(n18516) ); sky130_fd_sc_hd__o21ai_1 U23610 ( .A1(dec_i0_pc_d[3]), .A2(n18509), .B1( n18516), .Y(n24179) ); sky130_fd_sc_hd__clkinv_1 U23611 ( .A(\ifu/ifc_fetch_addr_f [3]), .Y(n18652) ); sky130_fd_sc_hd__o22ai_1 U23612 ( .A1(n18502), .A2(n24179), .B1(n18652), .B2(n18641), .Y(n18510) ); sky130_fd_sc_hd__a211o_1 U23613 ( .A1(n18636), .A2(\ifu/aln/f2pc [3]), .B1( n18511), .C1(n18510), .X(\ifu/aln/f0pc_in [3]) ); sky130_fd_sc_hd__nor2_1 U23614 ( .A(n18512), .B(n24192), .Y(n18515) ); sky130_fd_sc_hd__a21o_1 U23615 ( .A1(n18512), .A2(n24192), .B1(n18515), .X( n18653) ); sky130_fd_sc_hd__o22ai_1 U23616 ( .A1(n18634), .A2(n18653), .B1(n18633), .B2(n24192), .Y(n18514) ); sky130_fd_sc_hd__o2bb2ai_1 U23617 ( .B1(n19095), .B2(n18516), .A1_N(n19095), .A2_N(n18516), .Y(n24191) ); sky130_fd_sc_hd__o22ai_1 U23618 ( .A1(n18502), .A2(n24191), .B1(n18656), .B2(n18641), .Y(n18513) ); sky130_fd_sc_hd__a211o_1 U23619 ( .A1(n18626), .A2(\ifu/aln/f2pc [4]), .B1( n18514), .C1(n18513), .X(\ifu/aln/f0pc_in [4]) ); sky130_fd_sc_hd__nand2_1 U23620 ( .A(n18515), .B(\ifu/aln/f1pc [5]), .Y( n18520) ); sky130_fd_sc_hd__o21ai_1 U23621 ( .A1(n18515), .A2(\ifu/aln/f1pc [5]), .B1( n18520), .Y(n18661) ); sky130_fd_sc_hd__clkinv_1 U23622 ( .A(\ifu/aln/f1pc [5]), .Y(n24204) ); sky130_fd_sc_hd__o22ai_1 U23623 ( .A1(n18634), .A2(n18661), .B1(n18633), .B2(n24204), .Y(n18519) ); sky130_fd_sc_hd__nor2_1 U23624 ( .A(n19095), .B(n18516), .Y(n18517) ); sky130_fd_sc_hd__nand2_1 U23625 ( .A(dec_i0_pc_d[5]), .B(n18517), .Y(n18524) ); sky130_fd_sc_hd__o21ai_1 U23626 ( .A1(dec_i0_pc_d[5]), .A2(n18517), .B1( n18524), .Y(n24203) ); sky130_fd_sc_hd__clkinv_1 U23627 ( .A(\ifu/ifc_fetch_addr_f [5]), .Y(n18658) ); sky130_fd_sc_hd__o22ai_1 U23628 ( .A1(n18502), .A2(n24203), .B1(n18658), .B2(n18641), .Y(n18518) ); sky130_fd_sc_hd__a211o_1 U23629 ( .A1(n18636), .A2(\ifu/aln/f2pc [5]), .B1( n18519), .C1(n18518), .X(\ifu/aln/f0pc_in [5]) ); sky130_fd_sc_hd__clkinv_1 U23630 ( .A(\ifu/aln/f1pc [6]), .Y(n24216) ); sky130_fd_sc_hd__nor2_1 U23631 ( .A(n18520), .B(n24216), .Y(n18523) ); sky130_fd_sc_hd__a21o_1 U23632 ( .A1(n18520), .A2(n24216), .B1(n18523), .X( n18665) ); sky130_fd_sc_hd__o22ai_1 U23633 ( .A1(n18634), .A2(n18665), .B1(n18633), .B2(n24216), .Y(n18522) ); sky130_fd_sc_hd__o2bb2ai_1 U23634 ( .B1(n19194), .B2(n18524), .A1_N(n19194), .A2_N(n18524), .Y(n24215) ); sky130_fd_sc_hd__o22ai_1 U23635 ( .A1(n18502), .A2(n24215), .B1(n18662), .B2(n18641), .Y(n18521) ); sky130_fd_sc_hd__a211o_1 U23636 ( .A1(n18626), .A2(\ifu/aln/f2pc [6]), .B1( n18522), .C1(n18521), .X(\ifu/aln/f0pc_in [6]) ); sky130_fd_sc_hd__nand2_1 U23637 ( .A(n18523), .B(\ifu/aln/f1pc [7]), .Y( n18528) ); sky130_fd_sc_hd__o21ai_1 U23638 ( .A1(n18523), .A2(\ifu/aln/f1pc [7]), .B1( n18528), .Y(n18666) ); sky130_fd_sc_hd__o22ai_1 U23639 ( .A1(n18634), .A2(n18666), .B1(n18633), .B2(n24228), .Y(n18527) ); sky130_fd_sc_hd__nor2_1 U23640 ( .A(n19194), .B(n18524), .Y(n18525) ); sky130_fd_sc_hd__nand2_1 U23641 ( .A(dec_i0_pc_d[7]), .B(n18525), .Y(n18532) ); sky130_fd_sc_hd__o21ai_1 U23642 ( .A1(dec_i0_pc_d[7]), .A2(n18525), .B1( n18532), .Y(n24227) ); sky130_fd_sc_hd__o22ai_1 U23643 ( .A1(n18502), .A2(n24227), .B1(n18669), .B2(n18641), .Y(n18526) ); sky130_fd_sc_hd__a211o_1 U23644 ( .A1(n18626), .A2(\ifu/aln/f2pc [7]), .B1( n18527), .C1(n18526), .X(\ifu/aln/f0pc_in [7]) ); sky130_fd_sc_hd__clkinv_1 U23645 ( .A(\ifu/aln/f1pc [8]), .Y(n24240) ); sky130_fd_sc_hd__nor2_1 U23646 ( .A(n18528), .B(n24240), .Y(n18531) ); sky130_fd_sc_hd__a21o_1 U23647 ( .A1(n18528), .A2(n24240), .B1(n18531), .X( n18670) ); sky130_fd_sc_hd__o22ai_1 U23648 ( .A1(n18634), .A2(n18670), .B1(n18633), .B2(n24240), .Y(n18530) ); sky130_fd_sc_hd__o2bb2ai_1 U23649 ( .B1(n24248), .B2(n18532), .A1_N(n24248), .A2_N(n18532), .Y(n24239) ); sky130_fd_sc_hd__o22ai_1 U23650 ( .A1(n18502), .A2(n24239), .B1(n18673), .B2(n18641), .Y(n18529) ); sky130_fd_sc_hd__a211o_1 U23651 ( .A1(n18636), .A2(\ifu/aln/f2pc [8]), .B1( n18530), .C1(n18529), .X(\ifu/aln/f0pc_in [8]) ); sky130_fd_sc_hd__nand2_1 U23652 ( .A(n18531), .B(\ifu/aln/f1pc [9]), .Y( n18536) ); sky130_fd_sc_hd__o21ai_1 U23653 ( .A1(n18531), .A2(\ifu/aln/f1pc [9]), .B1( n18536), .Y(n18677) ); sky130_fd_sc_hd__clkinv_1 U23654 ( .A(\ifu/aln/f1pc [9]), .Y(n24259) ); sky130_fd_sc_hd__o22ai_1 U23655 ( .A1(n18634), .A2(n18677), .B1(n18633), .B2(n24259), .Y(n18535) ); sky130_fd_sc_hd__nor2_1 U23656 ( .A(n24248), .B(n18532), .Y(n18533) ); sky130_fd_sc_hd__nand2_1 U23657 ( .A(dec_i0_pc_d[9]), .B(n18533), .Y(n18540) ); sky130_fd_sc_hd__o21ai_1 U23658 ( .A1(dec_i0_pc_d[9]), .A2(n18533), .B1( n18540), .Y(n24257) ); sky130_fd_sc_hd__clkinv_1 U23659 ( .A(\ifu/ifc_fetch_addr_f [9]), .Y(n18674) ); sky130_fd_sc_hd__o22ai_1 U23660 ( .A1(n18502), .A2(n24257), .B1(n18674), .B2(n18641), .Y(n18534) ); sky130_fd_sc_hd__a211o_1 U23661 ( .A1(n18626), .A2(\ifu/aln/f2pc [9]), .B1( n18535), .C1(n18534), .X(\ifu/aln/f0pc_in [9]) ); sky130_fd_sc_hd__clkinv_1 U23662 ( .A(\ifu/aln/f1pc [10]), .Y(n24112) ); sky130_fd_sc_hd__nor2_1 U23663 ( .A(n18536), .B(n24112), .Y(n18539) ); sky130_fd_sc_hd__a21o_1 U23664 ( .A1(n18536), .A2(n24112), .B1(n18539), .X( n18681) ); sky130_fd_sc_hd__o22ai_1 U23665 ( .A1(n18634), .A2(n18681), .B1(n18633), .B2(n24112), .Y(n18538) ); sky130_fd_sc_hd__o2bb2ai_1 U23666 ( .B1(n24174), .B2(n18540), .A1_N(n24174), .A2_N(n18540), .Y(n24111) ); sky130_fd_sc_hd__o22ai_1 U23667 ( .A1(n18502), .A2(n24111), .B1(n18678), .B2(n18641), .Y(n18537) ); sky130_fd_sc_hd__a211o_1 U23668 ( .A1(n18626), .A2(\ifu/aln/f2pc [10]), .B1( n18538), .C1(n18537), .X(\ifu/aln/f0pc_in [10]) ); sky130_fd_sc_hd__nand2_1 U23669 ( .A(n18539), .B(\ifu/aln/f1pc [11]), .Y( n18544) ); sky130_fd_sc_hd__o21ai_1 U23670 ( .A1(n18539), .A2(\ifu/aln/f1pc [11]), .B1( n18544), .Y(n18682) ); sky130_fd_sc_hd__clkinv_1 U23671 ( .A(\ifu/aln/f1pc [11]), .Y(n24125) ); sky130_fd_sc_hd__o22ai_1 U23672 ( .A1(n18634), .A2(n18682), .B1(n18633), .B2(n24125), .Y(n18543) ); sky130_fd_sc_hd__nor2_1 U23673 ( .A(n24174), .B(n18540), .Y(n18541) ); sky130_fd_sc_hd__nand2_1 U23674 ( .A(dec_i0_pc_d[11]), .B(n18541), .Y(n18545) ); sky130_fd_sc_hd__o21ai_1 U23675 ( .A1(dec_i0_pc_d[11]), .A2(n18541), .B1( n18545), .Y(n24124) ); sky130_fd_sc_hd__clkinv_1 U23676 ( .A(\ifu/ifc_fetch_addr_f [11]), .Y(n18685) ); sky130_fd_sc_hd__o22ai_1 U23677 ( .A1(n18502), .A2(n24124), .B1(n18685), .B2(n18641), .Y(n18542) ); sky130_fd_sc_hd__a211o_1 U23678 ( .A1(n18636), .A2(\ifu/aln/f2pc [11]), .B1( n18543), .C1(n18542), .X(\ifu/aln/f0pc_in [11]) ); sky130_fd_sc_hd__clkinv_1 U23679 ( .A(\ifu/aln/f1pc [12]), .Y(n24137) ); sky130_fd_sc_hd__nor2_1 U23680 ( .A(n18544), .B(n24137), .Y(n18548) ); sky130_fd_sc_hd__a21o_1 U23681 ( .A1(n18544), .A2(n24137), .B1(n18548), .X( n18686) ); sky130_fd_sc_hd__o22ai_1 U23682 ( .A1(n18634), .A2(n18686), .B1(n18633), .B2(n24137), .Y(n18547) ); sky130_fd_sc_hd__nor2_1 U23683 ( .A(n24198), .B(n18545), .Y(n18567) ); sky130_fd_sc_hd__a21o_1 U23684 ( .A1(n24198), .A2(n18545), .B1(n18567), .X( n24136) ); sky130_fd_sc_hd__o22ai_1 U23685 ( .A1(n18502), .A2(n24136), .B1(n18689), .B2(n18641), .Y(n18546) ); sky130_fd_sc_hd__a211o_1 U23686 ( .A1(n18626), .A2(\ifu/aln/f2pc [12]), .B1( n18547), .C1(n18546), .X(\ifu/aln/f0pc_in [12]) ); sky130_fd_sc_hd__nand2_1 U23687 ( .A(n18548), .B(\ifu/aln/f1pc [13]), .Y( n18551) ); sky130_fd_sc_hd__o21ai_1 U23688 ( .A1(n18548), .A2(\ifu/aln/f1pc [13]), .B1( n18551), .Y(n18690) ); sky130_fd_sc_hd__clkinv_1 U23689 ( .A(\ifu/aln/f1pc [13]), .Y(n24152) ); sky130_fd_sc_hd__o22ai_1 U23690 ( .A1(n18634), .A2(n18690), .B1(n18633), .B2(n24152), .Y(n18550) ); sky130_fd_sc_hd__clkinv_1 U23691 ( .A(n18567), .Y(n18604) ); sky130_fd_sc_hd__o22ai_1 U23692 ( .A1(dec_i0_pc_d[13]), .A2(n18567), .B1( n24209), .B2(n18604), .Y(n24151) ); sky130_fd_sc_hd__clkinv_1 U23693 ( .A(\ifu/ifc_fetch_addr_f [13]), .Y(n18693) ); sky130_fd_sc_hd__o22ai_1 U23694 ( .A1(n18502), .A2(n24151), .B1(n18693), .B2(n18641), .Y(n18549) ); sky130_fd_sc_hd__a211o_1 U23695 ( .A1(n18626), .A2(\ifu/aln/f2pc [13]), .B1( n18550), .C1(n18549), .X(\ifu/aln/f0pc_in [13]) ); sky130_fd_sc_hd__clkinv_1 U23696 ( .A(\ifu/aln/f1pc [14]), .Y(n24162) ); sky130_fd_sc_hd__nor2_1 U23697 ( .A(n18551), .B(n24162), .Y(n18556) ); sky130_fd_sc_hd__a21o_1 U23698 ( .A1(n18551), .A2(n24162), .B1(n18556), .X( n18694) ); sky130_fd_sc_hd__o22ai_1 U23699 ( .A1(n18634), .A2(n18694), .B1(n18633), .B2(n24162), .Y(n18555) ); sky130_fd_sc_hd__nor2_1 U23700 ( .A(n24209), .B(n18604), .Y(n18553) ); sky130_fd_sc_hd__nand2_1 U23701 ( .A(n18552), .B(n18567), .Y(n18557) ); sky130_fd_sc_hd__o21ai_1 U23702 ( .A1(dec_i0_pc_d[14]), .A2(n18553), .B1( n18557), .Y(n24161) ); sky130_fd_sc_hd__o22ai_1 U23703 ( .A1(n18502), .A2(n24161), .B1(n18697), .B2(n18641), .Y(n18554) ); sky130_fd_sc_hd__a211o_1 U23704 ( .A1(n18636), .A2(\ifu/aln/f2pc [14]), .B1( n18555), .C1(n18554), .X(\ifu/aln/f0pc_in [14]) ); sky130_fd_sc_hd__nand2_1 U23705 ( .A(n18556), .B(\ifu/aln/f1pc [15]), .Y( n18560) ); sky130_fd_sc_hd__o21ai_1 U23706 ( .A1(n18556), .A2(\ifu/aln/f1pc [15]), .B1( n18560), .Y(n18701) ); sky130_fd_sc_hd__clkinv_1 U23707 ( .A(\ifu/aln/f1pc [15]), .Y(n24114) ); sky130_fd_sc_hd__o22ai_1 U23708 ( .A1(n18634), .A2(n18701), .B1(n18633), .B2(n24114), .Y(n18559) ); sky130_fd_sc_hd__o2bb2ai_1 U23709 ( .B1(n18604), .B2(n18561), .A1_N(n24233), .A2_N(n18557), .Y(n24113) ); sky130_fd_sc_hd__o22ai_1 U23710 ( .A1(n18502), .A2(n24113), .B1(n18698), .B2(n18641), .Y(n18558) ); sky130_fd_sc_hd__a211o_1 U23711 ( .A1(n18626), .A2(\ifu/aln/f2pc [15]), .B1( n18559), .C1(n18558), .X(\ifu/aln/f0pc_in [15]) ); sky130_fd_sc_hd__clkinv_1 U23712 ( .A(\ifu/aln/f1pc [16]), .Y(n24127) ); sky130_fd_sc_hd__nor2_1 U23713 ( .A(n18560), .B(n24127), .Y(n18565) ); sky130_fd_sc_hd__a21o_1 U23714 ( .A1(n18560), .A2(n24127), .B1(n18565), .X( n18702) ); sky130_fd_sc_hd__o22ai_1 U23715 ( .A1(n18634), .A2(n18702), .B1(n18633), .B2(n24127), .Y(n18564) ); sky130_fd_sc_hd__nor2_1 U23716 ( .A(n18561), .B(n18604), .Y(n18562) ); sky130_fd_sc_hd__o22ai_1 U23717 ( .A1(dec_i0_pc_d[16]), .A2(n18562), .B1( n18604), .B2(n18566), .Y(n24126) ); sky130_fd_sc_hd__o22ai_1 U23718 ( .A1(n18502), .A2(n24126), .B1(n18705), .B2(n18641), .Y(n18563) ); sky130_fd_sc_hd__a211o_1 U23719 ( .A1(n18626), .A2(\ifu/aln/f2pc [16]), .B1( n18564), .C1(n18563), .X(\ifu/aln/f0pc_in [16]) ); sky130_fd_sc_hd__nand2_1 U23720 ( .A(n18565), .B(\ifu/aln/f1pc [17]), .Y( n18572) ); sky130_fd_sc_hd__o21ai_1 U23721 ( .A1(n18565), .A2(\ifu/aln/f1pc [17]), .B1( n18572), .Y(n18706) ); sky130_fd_sc_hd__clkinv_1 U23722 ( .A(\ifu/aln/f1pc [17]), .Y(n24139) ); sky130_fd_sc_hd__o22ai_1 U23723 ( .A1(n18634), .A2(n18706), .B1(n18633), .B2(n24139), .Y(n18571) ); sky130_fd_sc_hd__nor2_1 U23724 ( .A(n18566), .B(n18604), .Y(n18569) ); sky130_fd_sc_hd__nand2_1 U23725 ( .A(n18568), .B(n18567), .Y(n18576) ); sky130_fd_sc_hd__o21ai_1 U23726 ( .A1(dec_i0_pc_d[17]), .A2(n18569), .B1( n18576), .Y(n24138) ); sky130_fd_sc_hd__o22ai_1 U23727 ( .A1(n18502), .A2(n24138), .B1(n18709), .B2(n18641), .Y(n18570) ); sky130_fd_sc_hd__a211o_1 U23728 ( .A1(n18626), .A2(\ifu/aln/f2pc [17]), .B1( n18571), .C1(n18570), .X(\ifu/aln/f0pc_in [17]) ); sky130_fd_sc_hd__clkinv_1 U23729 ( .A(\ifu/aln/f1pc [18]), .Y(n24148) ); sky130_fd_sc_hd__nor2_1 U23730 ( .A(n18572), .B(n24148), .Y(n18575) ); sky130_fd_sc_hd__o22ai_1 U23731 ( .A1(n18634), .A2(n18713), .B1(n18633), .B2(n24148), .Y(n18574) ); sky130_fd_sc_hd__o2bb2ai_1 U23732 ( .B1(n24173), .B2(n18576), .A1_N(n24173), .A2_N(n18576), .Y(n24147) ); sky130_fd_sc_hd__o22ai_1 U23733 ( .A1(n18502), .A2(n24147), .B1(n18710), .B2(n18641), .Y(n18573) ); sky130_fd_sc_hd__a211o_1 U23734 ( .A1(n18626), .A2(\ifu/aln/f2pc [18]), .B1( n18574), .C1(n18573), .X(\ifu/aln/f0pc_in [18]) ); sky130_fd_sc_hd__nand2_1 U23735 ( .A(n18575), .B(\ifu/aln/f1pc [19]), .Y( n18580) ); sky130_fd_sc_hd__o21ai_1 U23736 ( .A1(n18575), .A2(\ifu/aln/f1pc [19]), .B1( n18580), .Y(n18714) ); sky130_fd_sc_hd__clkinv_1 U23737 ( .A(\ifu/aln/f1pc [19]), .Y(n24158) ); sky130_fd_sc_hd__o22ai_1 U23738 ( .A1(n18634), .A2(n18714), .B1(n18633), .B2(n24158), .Y(n18579) ); sky130_fd_sc_hd__nor2_1 U23739 ( .A(n24173), .B(n18576), .Y(n18577) ); sky130_fd_sc_hd__nand2_1 U23740 ( .A(dec_i0_pc_d[19]), .B(n18577), .Y(n18584) ); sky130_fd_sc_hd__o21ai_1 U23741 ( .A1(dec_i0_pc_d[19]), .A2(n18577), .B1( n18584), .Y(n24157) ); sky130_fd_sc_hd__o22ai_1 U23742 ( .A1(n18502), .A2(n24157), .B1(n18717), .B2(n18641), .Y(n18578) ); sky130_fd_sc_hd__a211o_1 U23743 ( .A1(n18626), .A2(\ifu/aln/f2pc [19]), .B1( n18579), .C1(n18578), .X(\ifu/aln/f0pc_in [19]) ); sky130_fd_sc_hd__clkinv_1 U23744 ( .A(\ifu/aln/f1pc [20]), .Y(n24116) ); sky130_fd_sc_hd__nor2_1 U23745 ( .A(n18580), .B(n24116), .Y(n18583) ); sky130_fd_sc_hd__a21o_1 U23746 ( .A1(n18580), .A2(n24116), .B1(n18583), .X( n18721) ); sky130_fd_sc_hd__o22ai_1 U23747 ( .A1(n18634), .A2(n18721), .B1(n18633), .B2(n24116), .Y(n18582) ); sky130_fd_sc_hd__o2bb2ai_1 U23748 ( .B1(n24197), .B2(n18584), .A1_N(n24197), .A2_N(n18584), .Y(n24115) ); sky130_fd_sc_hd__o22ai_1 U23749 ( .A1(n18502), .A2(n24115), .B1(n18718), .B2(n18641), .Y(n18581) ); sky130_fd_sc_hd__a211o_1 U23750 ( .A1(n18626), .A2(\ifu/aln/f2pc [20]), .B1( n18582), .C1(n18581), .X(\ifu/aln/f0pc_in [20]) ); sky130_fd_sc_hd__nand2_1 U23751 ( .A(n18583), .B(\ifu/aln/f1pc [21]), .Y( n18588) ); sky130_fd_sc_hd__o21ai_1 U23752 ( .A1(n18583), .A2(\ifu/aln/f1pc [21]), .B1( n18588), .Y(n18722) ); sky130_fd_sc_hd__clkinv_1 U23753 ( .A(\ifu/aln/f1pc [21]), .Y(n24129) ); sky130_fd_sc_hd__o22ai_1 U23754 ( .A1(n18634), .A2(n18722), .B1(n18633), .B2(n24129), .Y(n18587) ); sky130_fd_sc_hd__nor2_1 U23755 ( .A(n24197), .B(n18584), .Y(n18585) ); sky130_fd_sc_hd__nand2_1 U23756 ( .A(dec_i0_pc_d[21]), .B(n18585), .Y(n18592) ); sky130_fd_sc_hd__o21ai_1 U23757 ( .A1(dec_i0_pc_d[21]), .A2(n18585), .B1( n18592), .Y(n24128) ); sky130_fd_sc_hd__o22ai_1 U23758 ( .A1(n18502), .A2(n24128), .B1(n18725), .B2(n18641), .Y(n18586) ); sky130_fd_sc_hd__a211o_1 U23759 ( .A1(n18626), .A2(\ifu/aln/f2pc [21]), .B1( n18587), .C1(n18586), .X(\ifu/aln/f0pc_in [21]) ); sky130_fd_sc_hd__clkinv_1 U23760 ( .A(\ifu/aln/f1pc [22]), .Y(n24141) ); sky130_fd_sc_hd__nor2_1 U23761 ( .A(n18588), .B(n24141), .Y(n18591) ); sky130_fd_sc_hd__o22ai_1 U23762 ( .A1(n18634), .A2(n18729), .B1(n18633), .B2(n24141), .Y(n18590) ); sky130_fd_sc_hd__o2bb2ai_1 U23763 ( .B1(n24221), .B2(n18592), .A1_N(n24221), .A2_N(n18592), .Y(n24140) ); sky130_fd_sc_hd__o22ai_1 U23764 ( .A1(n18502), .A2(n24140), .B1(n18726), .B2(n18641), .Y(n18589) ); sky130_fd_sc_hd__a211o_1 U23765 ( .A1(n18626), .A2(\ifu/aln/f2pc [22]), .B1( n18590), .C1(n18589), .X(\ifu/aln/f0pc_in [22]) ); sky130_fd_sc_hd__nand2_1 U23766 ( .A(n18591), .B(\ifu/aln/f1pc [23]), .Y( n18596) ); sky130_fd_sc_hd__o21ai_1 U23767 ( .A1(n18591), .A2(\ifu/aln/f1pc [23]), .B1( n18596), .Y(n18730) ); sky130_fd_sc_hd__clkinv_1 U23768 ( .A(\ifu/aln/f1pc [23]), .Y(n24150) ); sky130_fd_sc_hd__o22ai_1 U23769 ( .A1(n18634), .A2(n18730), .B1(n18633), .B2(n24150), .Y(n18595) ); sky130_fd_sc_hd__nor2_1 U23770 ( .A(n24221), .B(n18592), .Y(n18593) ); sky130_fd_sc_hd__nand2_1 U23771 ( .A(dec_i0_pc_d[23]), .B(n18593), .Y(n18600) ); sky130_fd_sc_hd__o21ai_1 U23772 ( .A1(dec_i0_pc_d[23]), .A2(n18593), .B1( n18600), .Y(n24149) ); sky130_fd_sc_hd__o22ai_1 U23773 ( .A1(n18502), .A2(n24149), .B1(n18733), .B2(n18641), .Y(n18594) ); sky130_fd_sc_hd__a211o_1 U23774 ( .A1(n18626), .A2(\ifu/aln/f2pc [23]), .B1( n18595), .C1(n18594), .X(\ifu/aln/f0pc_in [23]) ); sky130_fd_sc_hd__nor2_1 U23775 ( .A(n18596), .B(n24160), .Y(n18599) ); sky130_fd_sc_hd__a21o_1 U23776 ( .A1(n18596), .A2(n24160), .B1(n18599), .X( n18734) ); sky130_fd_sc_hd__o22ai_1 U23777 ( .A1(n18634), .A2(n18734), .B1(n18633), .B2(n24160), .Y(n18598) ); sky130_fd_sc_hd__o2bb2ai_1 U23778 ( .B1(n24246), .B2(n18600), .A1_N(n24246), .A2_N(n18600), .Y(n24159) ); sky130_fd_sc_hd__o22ai_1 U23779 ( .A1(n18502), .A2(n24159), .B1(n18737), .B2(n18641), .Y(n18597) ); sky130_fd_sc_hd__a211o_1 U23780 ( .A1(n18626), .A2(\ifu/aln/f2pc [24]), .B1( n18598), .C1(n18597), .X(\ifu/aln/f0pc_in [24]) ); sky130_fd_sc_hd__nand2_1 U23781 ( .A(n18599), .B(\ifu/aln/f1pc [25]), .Y( n18607) ); sky130_fd_sc_hd__o21ai_1 U23782 ( .A1(n18599), .A2(\ifu/aln/f1pc [25]), .B1( n18607), .Y(n18741) ); sky130_fd_sc_hd__clkinv_1 U23783 ( .A(\ifu/aln/f1pc [25]), .Y(n24256) ); sky130_fd_sc_hd__o22ai_1 U23784 ( .A1(n18634), .A2(n18741), .B1(n18633), .B2(n24256), .Y(n18603) ); sky130_fd_sc_hd__nor2_1 U23785 ( .A(n24246), .B(n18600), .Y(n18601) ); sky130_fd_sc_hd__nand2_1 U23786 ( .A(dec_i0_pc_d[25]), .B(n18601), .Y(n18606) ); sky130_fd_sc_hd__o21ai_1 U23787 ( .A1(dec_i0_pc_d[25]), .A2(n18601), .B1( n18606), .Y(n24255) ); sky130_fd_sc_hd__o22ai_1 U23788 ( .A1(n18502), .A2(n24255), .B1(n18738), .B2(n18641), .Y(n18602) ); sky130_fd_sc_hd__a211o_1 U23789 ( .A1(n18626), .A2(\ifu/aln/f2pc [25]), .B1( n18603), .C1(n18602), .X(\ifu/aln/f0pc_in [25]) ); sky130_fd_sc_hd__nor2b_1 U23790 ( .B_N(n18605), .A(n18604), .Y(n18612) ); sky130_fd_sc_hd__a211oi_1 U23791 ( .A1(n19819), .A2(n18606), .B1(n18612), .C1(n18502), .Y(n18609) ); sky130_fd_sc_hd__nor2_1 U23792 ( .A(n18607), .B(n18743), .Y(n18611) ); sky130_fd_sc_hd__o22ai_1 U23793 ( .A1(n18634), .A2(n18742), .B1(n18633), .B2(n18743), .Y(n18608) ); sky130_fd_sc_hd__a211oi_1 U23794 ( .A1(\ifu/aln/f2pc [26]), .A2(n18636), .B1(n18609), .C1(n18608), .Y(n18610) ); sky130_fd_sc_hd__o21ai_1 U23795 ( .A1(n18746), .A2(n18641), .B1(n18610), .Y( \ifu/aln/f0pc_in [26]) ); sky130_fd_sc_hd__nand2_1 U23796 ( .A(n18611), .B(\ifu/aln/f1pc [27]), .Y( n18617) ); sky130_fd_sc_hd__o21ai_1 U23797 ( .A1(n18611), .A2(\ifu/aln/f1pc [27]), .B1( n18617), .Y(n18751) ); sky130_fd_sc_hd__clkinv_1 U23798 ( .A(\ifu/aln/f1pc [27]), .Y(n18747) ); sky130_fd_sc_hd__o22ai_1 U23799 ( .A1(n18634), .A2(n18751), .B1(n18633), .B2(n18747), .Y(n18615) ); sky130_fd_sc_hd__nand2_1 U23800 ( .A(dec_i0_pc_d[27]), .B(n18612), .Y(n18616) ); sky130_fd_sc_hd__o21ai_1 U23801 ( .A1(dec_i0_pc_d[27]), .A2(n18612), .B1( n18616), .Y(n18613) ); sky130_fd_sc_hd__o22ai_1 U23802 ( .A1(n18502), .A2(n18613), .B1(n18748), .B2(n18641), .Y(n18614) ); sky130_fd_sc_hd__a211o_1 U23803 ( .A1(n18626), .A2(\ifu/aln/f2pc [27]), .B1( n18615), .C1(n18614), .X(\ifu/aln/f0pc_in [27]) ); sky130_fd_sc_hd__nor2_1 U23804 ( .A(n19859), .B(n18616), .Y(n18622) ); sky130_fd_sc_hd__a211oi_1 U23805 ( .A1(n19859), .A2(n18616), .B1(n18622), .C1(n18502), .Y(n18619) ); sky130_fd_sc_hd__clkinv_1 U23806 ( .A(\ifu/aln/f1pc [28]), .Y(n18752) ); sky130_fd_sc_hd__nor2_1 U23807 ( .A(n18617), .B(n18752), .Y(n18621) ); sky130_fd_sc_hd__o22ai_1 U23808 ( .A1(n18634), .A2(n18756), .B1(n18633), .B2(n18752), .Y(n18618) ); sky130_fd_sc_hd__a211oi_1 U23809 ( .A1(\ifu/aln/f2pc [28]), .A2(n18636), .B1(n18619), .C1(n18618), .Y(n18620) ); sky130_fd_sc_hd__o21ai_1 U23810 ( .A1(n18753), .A2(n18641), .B1(n18620), .Y( \ifu/aln/f0pc_in [28]) ); sky130_fd_sc_hd__nand2_1 U23811 ( .A(n18621), .B(\ifu/aln/f1pc [29]), .Y( n18631) ); sky130_fd_sc_hd__o21ai_1 U23812 ( .A1(n18621), .A2(\ifu/aln/f1pc [29]), .B1( n18631), .Y(n18757) ); sky130_fd_sc_hd__clkinv_1 U23813 ( .A(\ifu/aln/f1pc [29]), .Y(n18758) ); sky130_fd_sc_hd__o22ai_1 U23814 ( .A1(n18634), .A2(n18757), .B1(n18633), .B2(n18758), .Y(n18625) ); sky130_fd_sc_hd__nand2_1 U23815 ( .A(dec_i0_pc_d[29]), .B(n18622), .Y(n18627) ); sky130_fd_sc_hd__o21ai_1 U23816 ( .A1(dec_i0_pc_d[29]), .A2(n18622), .B1( n18627), .Y(n18623) ); sky130_fd_sc_hd__o22ai_1 U23817 ( .A1(n18502), .A2(n18623), .B1(n18762), .B2(n18641), .Y(n18624) ); sky130_fd_sc_hd__a211o_1 U23818 ( .A1(n18626), .A2(\ifu/aln/f2pc [29]), .B1( n18625), .C1(n18624), .X(\ifu/aln/f0pc_in [29]) ); sky130_fd_sc_hd__nor2_1 U23819 ( .A(n19931), .B(n18627), .Y(n18638) ); sky130_fd_sc_hd__a211oi_1 U23820 ( .A1(n19931), .A2(n18627), .B1(n18638), .C1(n18502), .Y(n18629) ); sky130_fd_sc_hd__clkinv_1 U23821 ( .A(\ifu/aln/f1pc [30]), .Y(n18763) ); sky130_fd_sc_hd__o2bb2ai_1 U23822 ( .B1(n18631), .B2(n18763), .A1_N(n18631), .A2_N(n18763), .Y(n18768) ); sky130_fd_sc_hd__o22ai_1 U23823 ( .A1(n18634), .A2(n18768), .B1(n18633), .B2(n18763), .Y(n18628) ); sky130_fd_sc_hd__a211oi_1 U23824 ( .A1(\ifu/aln/f2pc [30]), .A2(n18636), .B1(n18629), .C1(n18628), .Y(n18630) ); sky130_fd_sc_hd__o21ai_1 U23825 ( .A1(n18764), .A2(n18641), .B1(n18630), .Y( \ifu/aln/f0pc_in [30]) ); sky130_fd_sc_hd__nor2_1 U23826 ( .A(n18631), .B(n18763), .Y(n18632) ); sky130_fd_sc_hd__clkinv_1 U23827 ( .A(\ifu/aln/f1pc [31]), .Y(n18769) ); sky130_fd_sc_hd__xor2_1 U23828 ( .A(n18632), .B(n18769), .X(n18775) ); sky130_fd_sc_hd__o22ai_1 U23829 ( .A1(n18634), .A2(n18775), .B1(n18633), .B2(n18769), .Y(n18635) ); sky130_fd_sc_hd__a21oi_1 U23830 ( .A1(n18636), .A2(\ifu/aln/f2pc [31]), .B1( n18635), .Y(n18640) ); sky130_fd_sc_hd__a21oi_1 U23831 ( .A1(dec_i0_pc_d[31]), .A2(n18638), .B1( n18502), .Y(n18637) ); sky130_fd_sc_hd__o21ai_1 U23832 ( .A1(dec_i0_pc_d[31]), .A2(n18638), .B1( n18637), .Y(n18639) ); sky130_fd_sc_hd__o211ai_1 U23833 ( .A1(n18641), .A2(n18771), .B1(n18640), .C1(n18639), .Y(\ifu/aln/f0pc_in [31]) ); sky130_fd_sc_hd__nand2_1 U23834 ( .A(n18786), .B(n18642), .Y(n18776) ); sky130_fd_sc_hd__clkinv_1 U23835 ( .A(n21801), .Y(n18770) ); sky130_fd_sc_hd__a22oi_1 U23836 ( .A1(\ifu/ifc_fetch_addr_f [1]), .A2(n18657), .B1(n18773), .B2(\ifu/aln/f2pc [1]), .Y(n18643) ); sky130_fd_sc_hd__o221ai_1 U23837 ( .A1(\ifu/aln/f1pc [1]), .A2(n18776), .B1( n18644), .B2(n18770), .C1(n18643), .Y(\ifu/aln/f1pc_in [1]) ); sky130_fd_sc_hd__o22ai_1 U23838 ( .A1(n18770), .A2(n24168), .B1(n18776), .B2(n18645), .Y(n18646) ); sky130_fd_sc_hd__a21oi_1 U23839 ( .A1(n18773), .A2(\ifu/aln/f2pc [2]), .B1( n18646), .Y(n18647) ); sky130_fd_sc_hd__o21ai_1 U23840 ( .A1(n18648), .A2(n18761), .B1(n18647), .Y( \ifu/aln/f1pc_in [2]) ); sky130_fd_sc_hd__o22ai_1 U23841 ( .A1(n18770), .A2(n24180), .B1(n18776), .B2(n18649), .Y(n18650) ); sky130_fd_sc_hd__a21oi_1 U23842 ( .A1(n18773), .A2(\ifu/aln/f2pc [3]), .B1( n18650), .Y(n18651) ); sky130_fd_sc_hd__o21ai_1 U23843 ( .A1(n18652), .A2(n18761), .B1(n18651), .Y( \ifu/aln/f1pc_in [3]) ); sky130_fd_sc_hd__o22ai_1 U23844 ( .A1(n18770), .A2(n24192), .B1(n18776), .B2(n18653), .Y(n18654) ); sky130_fd_sc_hd__a21oi_1 U23845 ( .A1(n18773), .A2(\ifu/aln/f2pc [4]), .B1( n18654), .Y(n18655) ); sky130_fd_sc_hd__o21ai_1 U23846 ( .A1(n18656), .A2(n18761), .B1(n18655), .Y( \ifu/aln/f1pc_in [4]) ); sky130_fd_sc_hd__o22ai_1 U23847 ( .A1(n18658), .A2(n18761), .B1(n18770), .B2(n24204), .Y(n18659) ); sky130_fd_sc_hd__a21oi_1 U23848 ( .A1(n18773), .A2(\ifu/aln/f2pc [5]), .B1( n18659), .Y(n18660) ); sky130_fd_sc_hd__o21ai_1 U23849 ( .A1(n18776), .A2(n18661), .B1(n18660), .Y( \ifu/aln/f1pc_in [5]) ); sky130_fd_sc_hd__o22ai_1 U23850 ( .A1(n18662), .A2(n18761), .B1(n18770), .B2(n24216), .Y(n18663) ); sky130_fd_sc_hd__a21oi_1 U23851 ( .A1(n18773), .A2(\ifu/aln/f2pc [6]), .B1( n18663), .Y(n18664) ); sky130_fd_sc_hd__o21ai_1 U23852 ( .A1(n18776), .A2(n18665), .B1(n18664), .Y( \ifu/aln/f1pc_in [6]) ); sky130_fd_sc_hd__o22ai_1 U23853 ( .A1(n18770), .A2(n24228), .B1(n18776), .B2(n18666), .Y(n18667) ); sky130_fd_sc_hd__a21oi_1 U23854 ( .A1(n18773), .A2(\ifu/aln/f2pc [7]), .B1( n18667), .Y(n18668) ); sky130_fd_sc_hd__o21ai_1 U23855 ( .A1(n18669), .A2(n18761), .B1(n18668), .Y( \ifu/aln/f1pc_in [7]) ); sky130_fd_sc_hd__o22ai_1 U23856 ( .A1(n18770), .A2(n24240), .B1(n18776), .B2(n18670), .Y(n18671) ); sky130_fd_sc_hd__a21oi_1 U23857 ( .A1(n18766), .A2(\ifu/aln/f2pc [8]), .B1( n18671), .Y(n18672) ); sky130_fd_sc_hd__o21ai_1 U23858 ( .A1(n18673), .A2(n18761), .B1(n18672), .Y( \ifu/aln/f1pc_in [8]) ); sky130_fd_sc_hd__o22ai_1 U23859 ( .A1(n18674), .A2(n18761), .B1(n18770), .B2(n24259), .Y(n18675) ); sky130_fd_sc_hd__a21oi_1 U23860 ( .A1(n18773), .A2(\ifu/aln/f2pc [9]), .B1( n18675), .Y(n18676) ); sky130_fd_sc_hd__o21ai_1 U23861 ( .A1(n18776), .A2(n18677), .B1(n18676), .Y( \ifu/aln/f1pc_in [9]) ); sky130_fd_sc_hd__o22ai_1 U23862 ( .A1(n18678), .A2(n18761), .B1(n18770), .B2(n24112), .Y(n18679) ); sky130_fd_sc_hd__a21oi_1 U23863 ( .A1(n18773), .A2(\ifu/aln/f2pc [10]), .B1( n18679), .Y(n18680) ); sky130_fd_sc_hd__o21ai_1 U23864 ( .A1(n18776), .A2(n18681), .B1(n18680), .Y( \ifu/aln/f1pc_in [10]) ); sky130_fd_sc_hd__o22ai_1 U23865 ( .A1(n18770), .A2(n24125), .B1(n18776), .B2(n18682), .Y(n18683) ); sky130_fd_sc_hd__a21oi_1 U23866 ( .A1(n18766), .A2(\ifu/aln/f2pc [11]), .B1( n18683), .Y(n18684) ); sky130_fd_sc_hd__o21ai_1 U23867 ( .A1(n18685), .A2(n18761), .B1(n18684), .Y( \ifu/aln/f1pc_in [11]) ); sky130_fd_sc_hd__o22ai_1 U23868 ( .A1(n18770), .A2(n24137), .B1(n18776), .B2(n18686), .Y(n18687) ); sky130_fd_sc_hd__a21oi_1 U23869 ( .A1(n18766), .A2(\ifu/aln/f2pc [12]), .B1( n18687), .Y(n18688) ); sky130_fd_sc_hd__o21ai_1 U23870 ( .A1(n18689), .A2(n18761), .B1(n18688), .Y( \ifu/aln/f1pc_in [12]) ); sky130_fd_sc_hd__o22ai_1 U23871 ( .A1(n18770), .A2(n24152), .B1(n18776), .B2(n18690), .Y(n18691) ); sky130_fd_sc_hd__a21oi_1 U23872 ( .A1(n18766), .A2(\ifu/aln/f2pc [13]), .B1( n18691), .Y(n18692) ); sky130_fd_sc_hd__o21ai_1 U23873 ( .A1(n18693), .A2(n18761), .B1(n18692), .Y( \ifu/aln/f1pc_in [13]) ); sky130_fd_sc_hd__o22ai_1 U23874 ( .A1(n18770), .A2(n24162), .B1(n18776), .B2(n18694), .Y(n18695) ); sky130_fd_sc_hd__a21oi_1 U23875 ( .A1(n18766), .A2(\ifu/aln/f2pc [14]), .B1( n18695), .Y(n18696) ); sky130_fd_sc_hd__o21ai_1 U23876 ( .A1(n18697), .A2(n18761), .B1(n18696), .Y( \ifu/aln/f1pc_in [14]) ); sky130_fd_sc_hd__o22ai_1 U23877 ( .A1(n18698), .A2(n18761), .B1(n18770), .B2(n24114), .Y(n18699) ); sky130_fd_sc_hd__a21oi_1 U23878 ( .A1(n18773), .A2(\ifu/aln/f2pc [15]), .B1( n18699), .Y(n18700) ); sky130_fd_sc_hd__o21ai_1 U23879 ( .A1(n18776), .A2(n18701), .B1(n18700), .Y( \ifu/aln/f1pc_in [15]) ); sky130_fd_sc_hd__o22ai_1 U23880 ( .A1(n18770), .A2(n24127), .B1(n18776), .B2(n18702), .Y(n18703) ); sky130_fd_sc_hd__a21oi_1 U23881 ( .A1(n18766), .A2(\ifu/aln/f2pc [16]), .B1( n18703), .Y(n18704) ); sky130_fd_sc_hd__o21ai_1 U23882 ( .A1(n18705), .A2(n18761), .B1(n18704), .Y( \ifu/aln/f1pc_in [16]) ); sky130_fd_sc_hd__o22ai_1 U23883 ( .A1(n18770), .A2(n24139), .B1(n18776), .B2(n18706), .Y(n18707) ); sky130_fd_sc_hd__a21oi_1 U23884 ( .A1(n18766), .A2(\ifu/aln/f2pc [17]), .B1( n18707), .Y(n18708) ); sky130_fd_sc_hd__o21ai_1 U23885 ( .A1(n18709), .A2(n18761), .B1(n18708), .Y( \ifu/aln/f1pc_in [17]) ); sky130_fd_sc_hd__o22ai_1 U23886 ( .A1(n18710), .A2(n18761), .B1(n18770), .B2(n24148), .Y(n18711) ); sky130_fd_sc_hd__a21oi_1 U23887 ( .A1(n18766), .A2(\ifu/aln/f2pc [18]), .B1( n18711), .Y(n18712) ); sky130_fd_sc_hd__o21ai_1 U23888 ( .A1(n18776), .A2(n18713), .B1(n18712), .Y( \ifu/aln/f1pc_in [18]) ); sky130_fd_sc_hd__o22ai_1 U23889 ( .A1(n18770), .A2(n24158), .B1(n18776), .B2(n18714), .Y(n18715) ); sky130_fd_sc_hd__a21oi_1 U23890 ( .A1(n18766), .A2(\ifu/aln/f2pc [19]), .B1( n18715), .Y(n18716) ); sky130_fd_sc_hd__o21ai_1 U23891 ( .A1(n18717), .A2(n18761), .B1(n18716), .Y( \ifu/aln/f1pc_in [19]) ); sky130_fd_sc_hd__o22ai_1 U23892 ( .A1(n18718), .A2(n18761), .B1(n18770), .B2(n24116), .Y(n18719) ); sky130_fd_sc_hd__a21oi_1 U23893 ( .A1(n18766), .A2(\ifu/aln/f2pc [20]), .B1( n18719), .Y(n18720) ); sky130_fd_sc_hd__o21ai_1 U23894 ( .A1(n18776), .A2(n18721), .B1(n18720), .Y( \ifu/aln/f1pc_in [20]) ); sky130_fd_sc_hd__o22ai_1 U23895 ( .A1(n18770), .A2(n24129), .B1(n18776), .B2(n18722), .Y(n18723) ); sky130_fd_sc_hd__a21oi_1 U23896 ( .A1(n18766), .A2(\ifu/aln/f2pc [21]), .B1( n18723), .Y(n18724) ); sky130_fd_sc_hd__o21ai_1 U23897 ( .A1(n18725), .A2(n18761), .B1(n18724), .Y( \ifu/aln/f1pc_in [21]) ); sky130_fd_sc_hd__o22ai_1 U23898 ( .A1(n18726), .A2(n18761), .B1(n18770), .B2(n24141), .Y(n18727) ); sky130_fd_sc_hd__a21oi_1 U23899 ( .A1(n18766), .A2(\ifu/aln/f2pc [22]), .B1( n18727), .Y(n18728) ); sky130_fd_sc_hd__o21ai_1 U23900 ( .A1(n18776), .A2(n18729), .B1(n18728), .Y( \ifu/aln/f1pc_in [22]) ); sky130_fd_sc_hd__o22ai_1 U23901 ( .A1(n18770), .A2(n24150), .B1(n18776), .B2(n18730), .Y(n18731) ); sky130_fd_sc_hd__a21oi_1 U23902 ( .A1(n18766), .A2(\ifu/aln/f2pc [23]), .B1( n18731), .Y(n18732) ); sky130_fd_sc_hd__o21ai_1 U23903 ( .A1(n18733), .A2(n18761), .B1(n18732), .Y( \ifu/aln/f1pc_in [23]) ); sky130_fd_sc_hd__o22ai_1 U23904 ( .A1(n18770), .A2(n24160), .B1(n18776), .B2(n18734), .Y(n18735) ); sky130_fd_sc_hd__a21oi_1 U23905 ( .A1(n18766), .A2(\ifu/aln/f2pc [24]), .B1( n18735), .Y(n18736) ); sky130_fd_sc_hd__o21ai_1 U23906 ( .A1(n18737), .A2(n18761), .B1(n18736), .Y( \ifu/aln/f1pc_in [24]) ); sky130_fd_sc_hd__o22ai_1 U23907 ( .A1(n18738), .A2(n18761), .B1(n18770), .B2(n24256), .Y(n18739) ); sky130_fd_sc_hd__a21oi_1 U23908 ( .A1(n18766), .A2(\ifu/aln/f2pc [25]), .B1( n18739), .Y(n18740) ); sky130_fd_sc_hd__o21ai_1 U23909 ( .A1(n18776), .A2(n18741), .B1(n18740), .Y( \ifu/aln/f1pc_in [25]) ); sky130_fd_sc_hd__o22ai_1 U23910 ( .A1(n18770), .A2(n18743), .B1(n18776), .B2(n18742), .Y(n18744) ); sky130_fd_sc_hd__a21oi_1 U23911 ( .A1(n18766), .A2(\ifu/aln/f2pc [26]), .B1( n18744), .Y(n18745) ); sky130_fd_sc_hd__o21ai_1 U23912 ( .A1(n18746), .A2(n18761), .B1(n18745), .Y( \ifu/aln/f1pc_in [26]) ); sky130_fd_sc_hd__o22ai_1 U23913 ( .A1(n18748), .A2(n18761), .B1(n18770), .B2(n18747), .Y(n18749) ); sky130_fd_sc_hd__a21oi_1 U23914 ( .A1(n18766), .A2(\ifu/aln/f2pc [27]), .B1( n18749), .Y(n18750) ); sky130_fd_sc_hd__o21ai_1 U23915 ( .A1(n18776), .A2(n18751), .B1(n18750), .Y( \ifu/aln/f1pc_in [27]) ); sky130_fd_sc_hd__o22ai_1 U23916 ( .A1(n18753), .A2(n18761), .B1(n18770), .B2(n18752), .Y(n18754) ); sky130_fd_sc_hd__a21oi_1 U23917 ( .A1(n18766), .A2(\ifu/aln/f2pc [28]), .B1( n18754), .Y(n18755) ); sky130_fd_sc_hd__o21ai_1 U23918 ( .A1(n18776), .A2(n18756), .B1(n18755), .Y( \ifu/aln/f1pc_in [28]) ); sky130_fd_sc_hd__o22ai_1 U23919 ( .A1(n18770), .A2(n18758), .B1(n18776), .B2(n18757), .Y(n18759) ); sky130_fd_sc_hd__a21oi_1 U23920 ( .A1(n18766), .A2(\ifu/aln/f2pc [29]), .B1( n18759), .Y(n18760) ); sky130_fd_sc_hd__o21ai_1 U23921 ( .A1(n18762), .A2(n18761), .B1(n18760), .Y( \ifu/aln/f1pc_in [29]) ); sky130_fd_sc_hd__o22ai_1 U23922 ( .A1(n18764), .A2(n18761), .B1(n18770), .B2(n18763), .Y(n18765) ); sky130_fd_sc_hd__a21oi_1 U23923 ( .A1(n18766), .A2(\ifu/aln/f2pc [30]), .B1( n18765), .Y(n18767) ); sky130_fd_sc_hd__o21ai_1 U23924 ( .A1(n18776), .A2(n18768), .B1(n18767), .Y( \ifu/aln/f1pc_in [30]) ); sky130_fd_sc_hd__o22ai_1 U23925 ( .A1(n18771), .A2(n18761), .B1(n18770), .B2(n18769), .Y(n18772) ); sky130_fd_sc_hd__a21oi_1 U23926 ( .A1(n18773), .A2(\ifu/aln/f2pc [31]), .B1( n18772), .Y(n18774) ); sky130_fd_sc_hd__o21ai_1 U23927 ( .A1(n18776), .A2(n18775), .B1(n18774), .Y( \ifu/aln/f1pc_in [31]) ); sky130_fd_sc_hd__nor3_1 U23928 ( .A(\ifu/aln/wrptr [0]), .B( \ifu/aln/wrptr [1]), .C(\ifu/ifu_fetch_val [0]), .Y(n21797) ); sky130_fd_sc_hd__nor2_1 U23929 ( .A(\exu/i0_predict_p_d[pc4] ), .B(n18777), .Y(n18787) ); sky130_fd_sc_hd__nor2_1 U23930 ( .A(n18799), .B(n18793), .Y(n18788) ); sky130_fd_sc_hd__o22ai_1 U23931 ( .A1(n18788), .A2(n19324), .B1(n18779), .B2(n18778), .Y(n18780) ); sky130_fd_sc_hd__a221oi_1 U23932 ( .A1(n18786), .A2(n24268), .B1(n18787), .B2(n24268), .C1(n18780), .Y(n18781) ); sky130_fd_sc_hd__nor2_1 U23933 ( .A(n21797), .B(n18781), .Y( \ifu/aln/q0off_in ) ); sky130_fd_sc_hd__a22oi_1 U23934 ( .A1(n18787), .A2(\ifu/aln/rdptr [0]), .B1( n18786), .B2(n18799), .Y(n18785) ); sky130_fd_sc_hd__nor2_1 U23935 ( .A(\ifu/aln/wrptr [1]), .B( \ifu/ifu_fetch_val [0]), .Y(n18782) ); sky130_fd_sc_hd__nand2_1 U23936 ( .A(\ifu/aln/wrptr [0]), .B(n18782), .Y( n21798) ); sky130_fd_sc_hd__a211oi_1 U23937 ( .A1(n18785), .A2(n18784), .B1(n18788), .C1(n18783), .Y(\ifu/aln/q1off_in ) ); sky130_fd_sc_hd__a22oi_1 U23938 ( .A1(\ifu/aln/rdptr [1]), .A2(n18787), .B1( n18786), .B2(n24297), .Y(n18790) ); sky130_fd_sc_hd__nor3b_1 U23939 ( .C_N(\ifu/aln/wrptr [1]), .A( \ifu/aln/wrptr [0]), .B(\ifu/ifu_fetch_val [0]), .Y(n21799) ); sky130_fd_sc_hd__a211oi_1 U23940 ( .A1(n18790), .A2(n18789), .B1(n21799), .C1(n18788), .Y(\ifu/aln/q2off_in ) ); sky130_fd_sc_hd__a21oi_1 U23941 ( .A1(\ifu/ifu_fetch_val [0]), .A2( \ifu/aln/wrptr [0]), .B1(n21797), .Y(n18791) ); sky130_fd_sc_hd__nor2_1 U23942 ( .A(exu_flush_final), .B(n18791), .Y( \ifu/aln/wrptr_in [0]) ); sky130_fd_sc_hd__nand2_1 U23943 ( .A(\ifu/ifu_fetch_val [0]), .B( \ifu/aln/wrptr [1]), .Y(n18792) ); sky130_fd_sc_hd__a21oi_1 U23944 ( .A1(n18792), .A2(n21798), .B1( exu_flush_final), .Y(\ifu/aln/wrptr_in [1]) ); sky130_fd_sc_hd__nor2_1 U23945 ( .A(n24270), .B(n18797), .Y(n18796) ); sky130_fd_sc_hd__nand2_1 U23946 ( .A(n18793), .B(n18798), .Y(n18795) ); sky130_fd_sc_hd__o21ai_1 U23947 ( .A1(n18796), .A2(n18795), .B1(n21805), .Y( n18794) ); sky130_fd_sc_hd__a21oi_1 U23948 ( .A1(n18796), .A2(n18795), .B1(n18794), .Y( \ifu/aln/rdptr_in [0]) ); sky130_fd_sc_hd__nor2_1 U23949 ( .A(n24268), .B(n18797), .Y(n18802) ); sky130_fd_sc_hd__nand2_1 U23950 ( .A(n18799), .B(n18798), .Y(n18801) ); sky130_fd_sc_hd__o21ai_1 U23951 ( .A1(n18802), .A2(n18801), .B1(n21805), .Y( n18800) ); sky130_fd_sc_hd__a21oi_1 U23952 ( .A1(n18802), .A2(n18801), .B1(n18800), .Y( \ifu/aln/rdptr_in [1]) ); sky130_fd_sc_hd__o21a_1 U23953 ( .A1(\ifu/aln/error_stall ), .A2( \ifu/ifu_async_error_start ), .B1(n21805), .X(\ifu/aln/error_stall_in ) ); sky130_fd_sc_hd__nand2_1 U23954 ( .A(\dec/dec_div_active ), .B(n18803), .Y( n18804) ); sky130_fd_sc_hd__o21ai_1 U23955 ( .A1(\exu/i_div/finish_ff ), .A2(n18804), .B1(n22695), .Y(\dec/decode/div_active_in ) ); sky130_fd_sc_hd__nor3_1 U23956 ( .A(n25080), .B(n24012), .C(n21770), .Y( \dec/decode/x_d_in[i0valid] ) ); sky130_fd_sc_hd__and3_1 U23957 ( .A(n24520), .B(n18805), .C( \dec/decode/x_d[i0v] ), .X(\dec/decode/x_d_in[i0v] ) ); sky130_fd_sc_hd__nor2_1 U23958 ( .A(n21754), .B(n21795), .Y( \dec/decode/d_d[csrwonly] ) ); sky130_fd_sc_hd__nor2_1 U23959 ( .A(n24038), .B(n24055), .Y( \dec/decode/d_d[i0load] ) ); sky130_fd_sc_hd__nor4_1 U23960 ( .A(n18807), .B(n18806), .C(n24025), .D( n24055), .Y(\dec/decode/d_d[i0v] ) ); sky130_fd_sc_hd__nand4_1 U23961 ( .A(\trigger_pkt_any[0][tdata2][5] ), .B( \trigger_pkt_any[0][tdata2][4] ), .C(\trigger_pkt_any[0][tdata2][3] ), .D(\trigger_pkt_any[0][tdata2][2] ), .Y(n18817) ); sky130_fd_sc_hd__nand4_1 U23962 ( .A(\trigger_pkt_any[0][tdata2][9] ), .B( \trigger_pkt_any[0][tdata2][8] ), .C(\trigger_pkt_any[0][tdata2][7] ), .D(\trigger_pkt_any[0][tdata2][6] ), .Y(n18816) ); sky130_fd_sc_hd__clkinv_1 U23963 ( .A(\trigger_pkt_any[0][tdata2][19] ), .Y( n21137) ); sky130_fd_sc_hd__nand2_1 U23964 ( .A(\trigger_pkt_any[0][tdata2][12] ), .B( \trigger_pkt_any[0][tdata2][13] ), .Y(n18822) ); sky130_fd_sc_hd__clkinv_1 U23965 ( .A(\trigger_pkt_any[0][tdata2][11] ), .Y( n21065) ); sky130_fd_sc_hd__clkinv_1 U23966 ( .A(\trigger_pkt_any[0][tdata2][10] ), .Y( n18821) ); sky130_fd_sc_hd__nor4_1 U23967 ( .A(n21137), .B(n18822), .C(n21065), .D( n18821), .Y(n18814) ); sky130_fd_sc_hd__nand4_1 U23968 ( .A(\trigger_pkt_any[0][tdata2][24] ), .B( \trigger_pkt_any[0][tdata2][20] ), .C(\trigger_pkt_any[0][tdata2][16] ), .D(\trigger_pkt_any[0][tdata2][28] ), .Y(n18812) ); sky130_fd_sc_hd__nand4_1 U23969 ( .A(\trigger_pkt_any[0][tdata2][18] ), .B( \trigger_pkt_any[0][tdata2][1] ), .C(\trigger_pkt_any[0][tdata2][0] ), .D(\trigger_pkt_any[0][tdata2][30] ), .Y(n18811) ); sky130_fd_sc_hd__nand4_1 U23970 ( .A(\trigger_pkt_any[0][tdata2][23] ), .B( \trigger_pkt_any[0][tdata2][21] ), .C(\trigger_pkt_any[0][tdata2][17] ), .D(\trigger_pkt_any[0][tdata2][31] ), .Y(n18810) ); sky130_fd_sc_hd__and3_1 U23971 ( .A(\trigger_pkt_any[0][tdata2][26] ), .B( \trigger_pkt_any[0][tdata2][25] ), .C(\trigger_pkt_any[0][tdata2][27] ), .X(n18808) ); sky130_fd_sc_hd__nand3_1 U23972 ( .A(\trigger_pkt_any[0][tdata2][22] ), .B( n18808), .C(\trigger_pkt_any[0][tdata2][29] ), .Y(n18809) ); sky130_fd_sc_hd__nor4_1 U23973 ( .A(n18812), .B(n18811), .C(n18810), .D( n18809), .Y(n18813) ); sky130_fd_sc_hd__nand4_1 U23974 ( .A(\trigger_pkt_any[0][tdata2][15] ), .B( \trigger_pkt_any[0][tdata2][14] ), .C(n18814), .D(n18813), .Y(n18815) ); sky130_fd_sc_hd__o31ai_1 U23975 ( .A1(n18817), .A2(n18816), .A3(n18815), .B1(\trigger_pkt_any[0][match] ), .Y(n21209) ); sky130_fd_sc_hd__nand2b_1 U23976 ( .A_N(n21209), .B( \trigger_pkt_any[0][tdata2][0] ), .Y(n21019) ); sky130_fd_sc_hd__nor2b_1 U23977 ( .B_N(\trigger_pkt_any[0][tdata2][1] ), .A( n21019), .Y(n18900) ); sky130_fd_sc_hd__nand2_1 U23978 ( .A(\trigger_pkt_any[0][tdata2][2] ), .B( n18900), .Y(n21074) ); sky130_fd_sc_hd__nand2b_1 U23979 ( .A_N(n21074), .B( \trigger_pkt_any[0][tdata2][3] ), .Y(n21105) ); sky130_fd_sc_hd__nand2b_1 U23980 ( .A_N(n21105), .B( \trigger_pkt_any[0][tdata2][4] ), .Y(n21101) ); sky130_fd_sc_hd__and2_0 U23981 ( .A(\trigger_pkt_any[0][tdata2][5] ), .B( n18851), .X(n18899) ); sky130_fd_sc_hd__nand2b_1 U23982 ( .A_N(n18899), .B( \trigger_pkt_any[0][tdata2][6] ), .Y(n21050) ); sky130_fd_sc_hd__nor2b_1 U23983 ( .B_N(\trigger_pkt_any[0][tdata2][2] ), .A( n18900), .Y(n21088) ); sky130_fd_sc_hd__a21oi_1 U23984 ( .A1(\trigger_pkt_any[0][tdata2][14] ), .A2(n18822), .B1(n21088), .Y(n18820) ); sky130_fd_sc_hd__nand2_1 U23985 ( .A(\trigger_pkt_any[0][tdata2][6] ), .B( n18899), .Y(n18903) ); sky130_fd_sc_hd__nand2_1 U23986 ( .A(\trigger_pkt_any[0][tdata2][7] ), .B( n18903), .Y(n21117) ); sky130_fd_sc_hd__nand2b_1 U23987 ( .A_N(n18903), .B( \trigger_pkt_any[0][tdata2][7] ), .Y(n18901) ); sky130_fd_sc_hd__nand2_1 U23988 ( .A(\trigger_pkt_any[0][tdata2][8] ), .B( n18901), .Y(n18819) ); sky130_fd_sc_hd__nand2_1 U23989 ( .A(\trigger_pkt_any[0][tdata2][0] ), .B( n21209), .Y(n18818) ); sky130_fd_sc_hd__nand4_1 U23990 ( .A(n18820), .B(n21117), .C(n18819), .D( n18818), .Y(n18829) ); sky130_fd_sc_hd__clkinv_1 U23991 ( .A(\trigger_pkt_any[0][tdata2][14] ), .Y( n18908) ); sky130_fd_sc_hd__nor2_1 U23992 ( .A(n18902), .B(n18901), .Y(n21124) ); sky130_fd_sc_hd__nand2_1 U23993 ( .A(\trigger_pkt_any[0][tdata2][9] ), .B( n21124), .Y(n18860) ); sky130_fd_sc_hd__nor2_1 U23994 ( .A(n18821), .B(n18860), .Y(n21063) ); sky130_fd_sc_hd__nand2_1 U23995 ( .A(\trigger_pkt_any[0][tdata2][11] ), .B( n21063), .Y(n21097) ); sky130_fd_sc_hd__or2_0 U23996 ( .A(n18822), .B(n21097), .X(n18907) ); sky130_fd_sc_hd__nor2_1 U23997 ( .A(n18908), .B(n18907), .Y(n21082) ); sky130_fd_sc_hd__nand2_1 U23998 ( .A(\trigger_pkt_any[0][tdata2][15] ), .B( n21082), .Y(n21085) ); sky130_fd_sc_hd__clkinv_1 U23999 ( .A(n21085), .Y(n18824) ); sky130_fd_sc_hd__nor2_1 U24000 ( .A(n18910), .B(n21085), .Y(n21140) ); sky130_fd_sc_hd__nand2_1 U24001 ( .A(\trigger_pkt_any[0][tdata2][17] ), .B( n21140), .Y(n18905) ); sky130_fd_sc_hd__nand2_1 U24002 ( .A(\trigger_pkt_any[0][tdata2][18] ), .B( n18905), .Y(n21043) ); sky130_fd_sc_hd__nand2_1 U24003 ( .A(\trigger_pkt_any[0][tdata2][12] ), .B( n21097), .Y(n18823) ); sky130_fd_sc_hd__o211ai_1 U24004 ( .A1(n18824), .A2(n18910), .B1(n21043), .C1(n18823), .Y(n18828) ); sky130_fd_sc_hd__clkinv_1 U24005 ( .A(\trigger_pkt_any[0][tdata2][22] ), .Y( n18914) ); sky130_fd_sc_hd__clkinv_1 U24006 ( .A(\trigger_pkt_any[0][tdata2][20] ), .Y( n21184) ); sky130_fd_sc_hd__clkinv_1 U24007 ( .A(\trigger_pkt_any[0][tdata2][18] ), .Y( n18906) ); sky130_fd_sc_hd__nor2_1 U24008 ( .A(n18906), .B(n18905), .Y(n21135) ); sky130_fd_sc_hd__nand2_1 U24009 ( .A(\trigger_pkt_any[0][tdata2][19] ), .B( n21135), .Y(n18911) ); sky130_fd_sc_hd__nor2_1 U24010 ( .A(n21184), .B(n18911), .Y(n21191) ); sky130_fd_sc_hd__nand2_1 U24011 ( .A(\trigger_pkt_any[0][tdata2][21] ), .B( n21191), .Y(n21034) ); sky130_fd_sc_hd__nor2_1 U24012 ( .A(n18914), .B(n21034), .Y(n18833) ); sky130_fd_sc_hd__nand2_1 U24013 ( .A(\trigger_pkt_any[0][tdata2][23] ), .B( n18833), .Y(n21029) ); sky130_fd_sc_hd__nand2_1 U24014 ( .A(\trigger_pkt_any[0][tdata2][24] ), .B( n21029), .Y(n18826) ); sky130_fd_sc_hd__nand2_1 U24015 ( .A(\trigger_pkt_any[0][tdata2][20] ), .B( n18911), .Y(n18877) ); sky130_fd_sc_hd__nand2_1 U24016 ( .A(\trigger_pkt_any[0][tdata2][22] ), .B( n21034), .Y(n18825) ); sky130_fd_sc_hd__nand3_1 U24017 ( .A(n18826), .B(n18877), .C(n18825), .Y( n18827) ); sky130_fd_sc_hd__nor4b_1 U24018 ( .D_N(n21050), .A(n18829), .B(n18828), .C( n18827), .Y(n18832) ); sky130_fd_sc_hd__nor2b_1 U24019 ( .B_N(\trigger_pkt_any[0][tdata2][24] ), .A(n21029), .Y(n18883) ); sky130_fd_sc_hd__nand2_1 U24020 ( .A(\trigger_pkt_any[0][tdata2][25] ), .B( n18883), .Y(n21038) ); sky130_fd_sc_hd__nand4_1 U24021 ( .A(\trigger_pkt_any[0][tdata2][26] ), .B( \trigger_pkt_any[0][tdata2][25] ), .C(\trigger_pkt_any[0][tdata2][27] ), .D(n18883), .Y(n21177) ); sky130_fd_sc_hd__a22oi_1 U24022 ( .A1(\trigger_pkt_any[0][tdata2][26] ), .A2(n21038), .B1(\trigger_pkt_any[0][tdata2][28] ), .B2(n21177), .Y( n18831) ); sky130_fd_sc_hd__nor2_1 U24023 ( .A(n21179), .B(n21177), .Y(n21024) ); sky130_fd_sc_hd__nand2_1 U24024 ( .A(\trigger_pkt_any[0][tdata2][29] ), .B( n21024), .Y(n21159) ); sky130_fd_sc_hd__nand2_1 U24025 ( .A(\trigger_pkt_any[0][tdata2][30] ), .B( n21159), .Y(n18830) ); sky130_fd_sc_hd__a31oi_1 U24026 ( .A1(n18832), .A2(n18831), .A3(n18830), .B1(n21200), .Y(n18931) ); sky130_fd_sc_hd__nor2_1 U24027 ( .A(\trigger_pkt_any[0][select] ), .B(n24234), .Y(n18835) ); sky130_fd_sc_hd__clkinv_1 U24028 ( .A(n18833), .Y(n21168) ); sky130_fd_sc_hd__o21ai_1 U24029 ( .A1(\trigger_pkt_any[0][tdata2][23] ), .A2(n18835), .B1(n21168), .Y(n18834) ); sky130_fd_sc_hd__a21oi_1 U24030 ( .A1(\trigger_pkt_any[0][tdata2][23] ), .A2(n18835), .B1(n18834), .Y(n18836) ); sky130_fd_sc_hd__a31oi_1 U24031 ( .A1(\trigger_pkt_any[0][tdata2][22] ), .A2(n24221), .A3(n21034), .B1(n18836), .Y(n18892) ); sky130_fd_sc_hd__nor2_1 U24032 ( .A(\trigger_pkt_any[0][select] ), .B(n24209), .Y(n18837) ); sky130_fd_sc_hd__xor2_1 U24033 ( .A(\trigger_pkt_any[0][tdata2][13] ), .B( n18837), .X(n18838) ); sky130_fd_sc_hd__a21oi_1 U24034 ( .A1(n24198), .A2( \trigger_pkt_any[0][tdata2][12] ), .B1(n18838), .Y(n18839) ); sky130_fd_sc_hd__a21oi_1 U24035 ( .A1(\trigger_pkt_any[0][tdata2][12] ), .A2(n18840), .B1(n18839), .Y(n18882) ); sky130_fd_sc_hd__nor2_1 U24036 ( .A(\trigger_pkt_any[0][select] ), .B(n24251), .Y(n18842) ); sky130_fd_sc_hd__o21bai_1 U24037 ( .A1(\trigger_pkt_any[0][tdata2][9] ), .A2(n18842), .B1_N(n21124), .Y(n18841) ); sky130_fd_sc_hd__a21oi_1 U24038 ( .A1(\trigger_pkt_any[0][tdata2][9] ), .A2( n18842), .B1(n18841), .Y(n18843) ); sky130_fd_sc_hd__a31oi_1 U24039 ( .A1(\trigger_pkt_any[0][tdata2][8] ), .A2( n24248), .A3(n18901), .B1(n18843), .Y(n18868) ); sky130_fd_sc_hd__nor2_1 U24040 ( .A(\trigger_pkt_any[0][select] ), .B(n24186), .Y(n18845) ); sky130_fd_sc_hd__o21ai_1 U24041 ( .A1(\trigger_pkt_any[0][tdata2][3] ), .A2( n18845), .B1(n21074), .Y(n18844) ); sky130_fd_sc_hd__a21oi_1 U24042 ( .A1(\trigger_pkt_any[0][tdata2][3] ), .A2( n18845), .B1(n18844), .Y(n18859) ); sky130_fd_sc_hd__nor2_1 U24043 ( .A(\trigger_pkt_any[0][select] ), .B(n19095), .Y(n18847) ); sky130_fd_sc_hd__o21ai_1 U24044 ( .A1(\trigger_pkt_any[0][tdata2][4] ), .A2( n18847), .B1(n21105), .Y(n18846) ); sky130_fd_sc_hd__a21oi_1 U24045 ( .A1(\trigger_pkt_any[0][tdata2][4] ), .A2( n18847), .B1(n18846), .Y(n18858) ); sky130_fd_sc_hd__nor2_1 U24046 ( .A(\trigger_pkt_any[0][select] ), .B(n19219), .Y(n18849) ); sky130_fd_sc_hd__o21ai_1 U24047 ( .A1(\trigger_pkt_any[0][tdata2][1] ), .A2( n18849), .B1(n21019), .Y(n18848) ); sky130_fd_sc_hd__a21oi_1 U24048 ( .A1(\trigger_pkt_any[0][tdata2][1] ), .A2( n18849), .B1(n18848), .Y(n18850) ); sky130_fd_sc_hd__a21oi_1 U24049 ( .A1(n21088), .A2(n19226), .B1(n18850), .Y( n18855) ); sky130_fd_sc_hd__nor2_1 U24050 ( .A(\trigger_pkt_any[0][select] ), .B(n24210), .Y(n18853) ); sky130_fd_sc_hd__a21oi_1 U24051 ( .A1(\trigger_pkt_any[0][tdata2][5] ), .A2( n18853), .B1(n18851), .Y(n18852) ); sky130_fd_sc_hd__o21ai_1 U24052 ( .A1(\trigger_pkt_any[0][tdata2][5] ), .A2( n18853), .B1(n18852), .Y(n18854) ); sky130_fd_sc_hd__nand4_1 U24053 ( .A(\dec/n69 ), .B(\dec/n68 ), .C(n18855), .D(n18854), .Y(n18857) ); sky130_fd_sc_hd__o22ai_1 U24054 ( .A1(dec_i0_pc_d[7]), .A2(n21117), .B1( dec_i0_pc_d[6]), .B2(n21050), .Y(n18856) ); sky130_fd_sc_hd__nor4_1 U24055 ( .A(n18859), .B(n18858), .C(n18857), .D( n18856), .Y(n18867) ); sky130_fd_sc_hd__nor2_1 U24056 ( .A(\trigger_pkt_any[0][select] ), .B(n24174), .Y(n18862) ); sky130_fd_sc_hd__a21oi_1 U24057 ( .A1(\trigger_pkt_any[0][tdata2][10] ), .A2(n18862), .B1(n21055), .Y(n18861) ); sky130_fd_sc_hd__o21ai_1 U24058 ( .A1(\trigger_pkt_any[0][tdata2][10] ), .A2(n18862), .B1(n18861), .Y(n18866) ); sky130_fd_sc_hd__nor2_1 U24059 ( .A(\trigger_pkt_any[0][select] ), .B(n24131), .Y(n18864) ); sky130_fd_sc_hd__a21oi_1 U24060 ( .A1(\trigger_pkt_any[0][tdata2][11] ), .A2(n18864), .B1(n21063), .Y(n18863) ); sky130_fd_sc_hd__o21ai_1 U24061 ( .A1(\trigger_pkt_any[0][tdata2][11] ), .A2(n18864), .B1(n18863), .Y(n18865) ); sky130_fd_sc_hd__nand4_1 U24062 ( .A(n18868), .B(n18867), .C(n18866), .D( n18865), .Y(n18881) ); sky130_fd_sc_hd__nand2_1 U24063 ( .A(dec_i0_pc_d[15]), .B(n21200), .Y(n18870) ); sky130_fd_sc_hd__o22ai_1 U24064 ( .A1(n18908), .A2(dec_i0_pc_d[14]), .B1( n18870), .B2(\trigger_pkt_any[0][tdata2][15] ), .Y(n18869) ); sky130_fd_sc_hd__a21oi_1 U24065 ( .A1(n18870), .A2( \trigger_pkt_any[0][tdata2][15] ), .B1(n18869), .Y(n18874) ); sky130_fd_sc_hd__nand2_1 U24066 ( .A(dec_i0_pc_d[17]), .B(n21200), .Y(n18872) ); sky130_fd_sc_hd__o22ai_1 U24067 ( .A1(n18910), .A2(dec_i0_pc_d[16]), .B1( n18872), .B2(\trigger_pkt_any[0][tdata2][17] ), .Y(n18871) ); sky130_fd_sc_hd__a21oi_1 U24068 ( .A1(n18872), .A2( \trigger_pkt_any[0][tdata2][17] ), .B1(n18871), .Y(n18873) ); sky130_fd_sc_hd__o22ai_1 U24069 ( .A1(n21082), .A2(n18874), .B1(n21140), .B2(n18873), .Y(n18880) ); sky130_fd_sc_hd__nand2_1 U24070 ( .A(dec_i0_pc_d[19]), .B(n21200), .Y(n18876) ); sky130_fd_sc_hd__o22ai_1 U24071 ( .A1(n18906), .A2(dec_i0_pc_d[18]), .B1( n18876), .B2(\trigger_pkt_any[0][tdata2][19] ), .Y(n18875) ); sky130_fd_sc_hd__a21oi_1 U24072 ( .A1(n18876), .A2( \trigger_pkt_any[0][tdata2][19] ), .B1(n18875), .Y(n18878) ); sky130_fd_sc_hd__o22ai_1 U24073 ( .A1(n21135), .A2(n18878), .B1( dec_i0_pc_d[20]), .B2(n18877), .Y(n18879) ); sky130_fd_sc_hd__nor4_1 U24074 ( .A(n18882), .B(n18881), .C(n18880), .D( n18879), .Y(n18891) ); sky130_fd_sc_hd__nor2_1 U24075 ( .A(\trigger_pkt_any[0][select] ), .B(n24254), .Y(n18885) ); sky130_fd_sc_hd__clkinv_1 U24076 ( .A(n18883), .Y(n21173) ); sky130_fd_sc_hd__o21ai_1 U24077 ( .A1(\trigger_pkt_any[0][tdata2][25] ), .A2(n18885), .B1(n21173), .Y(n18884) ); sky130_fd_sc_hd__a21oi_1 U24078 ( .A1(\trigger_pkt_any[0][tdata2][25] ), .A2(n18885), .B1(n18884), .Y(n18886) ); sky130_fd_sc_hd__a31oi_1 U24079 ( .A1(\trigger_pkt_any[0][tdata2][24] ), .A2(n24246), .A3(n21029), .B1(n18886), .Y(n18890) ); sky130_fd_sc_hd__nor2_1 U24080 ( .A(\trigger_pkt_any[0][select] ), .B(n19732), .Y(n18888) ); sky130_fd_sc_hd__a21oi_1 U24081 ( .A1(\trigger_pkt_any[0][tdata2][21] ), .A2(n18888), .B1(n21191), .Y(n18887) ); sky130_fd_sc_hd__o21ai_1 U24082 ( .A1(\trigger_pkt_any[0][tdata2][21] ), .A2(n18888), .B1(n18887), .Y(n18889) ); sky130_fd_sc_hd__nand4_1 U24083 ( .A(n18892), .B(n18891), .C(n18890), .D( n18889), .Y(n18930) ); sky130_fd_sc_hd__nand2_1 U24084 ( .A(dec_i0_pc_d[29]), .B(n21200), .Y(n18894) ); sky130_fd_sc_hd__o22ai_1 U24085 ( .A1(n21179), .A2(dec_i0_pc_d[28]), .B1( n18894), .B2(\trigger_pkt_any[0][tdata2][29] ), .Y(n18893) ); sky130_fd_sc_hd__a21oi_1 U24086 ( .A1(n18894), .A2( \trigger_pkt_any[0][tdata2][29] ), .B1(n18893), .Y(n18898) ); sky130_fd_sc_hd__clkinv_1 U24087 ( .A(\trigger_pkt_any[0][tdata2][26] ), .Y( n21040) ); sky130_fd_sc_hd__nor2_1 U24088 ( .A(n21040), .B(n21038), .Y(n21202) ); sky130_fd_sc_hd__nand2_1 U24089 ( .A(dec_i0_pc_d[27]), .B(n21200), .Y(n18896) ); sky130_fd_sc_hd__o22ai_1 U24090 ( .A1(n21040), .A2(dec_i0_pc_d[26]), .B1( n18896), .B2(\trigger_pkt_any[0][tdata2][27] ), .Y(n18895) ); sky130_fd_sc_hd__a21oi_1 U24091 ( .A1(n18896), .A2( \trigger_pkt_any[0][tdata2][27] ), .B1(n18895), .Y(n18897) ); sky130_fd_sc_hd__o22ai_1 U24092 ( .A1(n21024), .A2(n18898), .B1(n21202), .B2(n18897), .Y(n18929) ); sky130_fd_sc_hd__clkinv_1 U24093 ( .A(n21177), .Y(n18921) ); sky130_fd_sc_hd__clkinv_1 U24094 ( .A(\trigger_pkt_any[0][tdata2][30] ), .Y( n21161) ); sky130_fd_sc_hd__nor2_1 U24095 ( .A(\trigger_pkt_any[0][tdata2][6] ), .B( n18899), .Y(n21114) ); sky130_fd_sc_hd__nor2_1 U24096 ( .A(\trigger_pkt_any[0][tdata2][2] ), .B( n18900), .Y(n21126) ); sky130_fd_sc_hd__a22oi_1 U24097 ( .A1(dec_i0_pc_d[6]), .A2(n21114), .B1( dec_i0_pc_d[2]), .B2(n21126), .Y(n18918) ); sky130_fd_sc_hd__clkinv_1 U24098 ( .A(\trigger_pkt_any[0][tdata2][12] ), .Y( n21046) ); sky130_fd_sc_hd__nand2_1 U24099 ( .A(n18902), .B(n18901), .Y(n21059) ); sky130_fd_sc_hd__nand2b_1 U24100 ( .A_N(\trigger_pkt_any[0][tdata2][7] ), .B(n18903), .Y(n21051) ); sky130_fd_sc_hd__o22ai_1 U24101 ( .A1(n24248), .A2(n21059), .B1(n24236), .B2(n21051), .Y(n18904) ); sky130_fd_sc_hd__a31oi_1 U24102 ( .A1(dec_i0_pc_d[12]), .A2(n21046), .A3( n21097), .B1(n18904), .Y(n18917) ); sky130_fd_sc_hd__nand2_1 U24103 ( .A(n18906), .B(n18905), .Y(n21151) ); sky130_fd_sc_hd__nand2_1 U24104 ( .A(n18908), .B(n18907), .Y(n21068) ); sky130_fd_sc_hd__o22ai_1 U24105 ( .A1(n24173), .A2(n21151), .B1(n24222), .B2(n21068), .Y(n18909) ); sky130_fd_sc_hd__a31oi_1 U24106 ( .A1(dec_i0_pc_d[16]), .A2(n18910), .A3( n21085), .B1(n18909), .Y(n18916) ); sky130_fd_sc_hd__nand2_1 U24107 ( .A(dec_i0_pc_d[24]), .B(n21029), .Y(n18912) ); sky130_fd_sc_hd__nand2_1 U24108 ( .A(n21184), .B(n18911), .Y(n21188) ); sky130_fd_sc_hd__o22ai_1 U24109 ( .A1(\trigger_pkt_any[0][tdata2][24] ), .A2(n18912), .B1(n24197), .B2(n21188), .Y(n18913) ); sky130_fd_sc_hd__a31oi_1 U24110 ( .A1(dec_i0_pc_d[22]), .A2(n18914), .A3( n21034), .B1(n18913), .Y(n18915) ); sky130_fd_sc_hd__nand4_1 U24111 ( .A(n18918), .B(n18917), .C(n18916), .D( n18915), .Y(n18919) ); sky130_fd_sc_hd__a31oi_1 U24112 ( .A1(dec_i0_pc_d[30]), .A2(n21161), .A3( n21159), .B1(n18919), .Y(n18920) ); sky130_fd_sc_hd__o31ai_1 U24113 ( .A1(\trigger_pkt_any[0][tdata2][28] ), .A2(n18921), .A3(n19859), .B1(n18920), .Y(n18922) ); sky130_fd_sc_hd__a31oi_1 U24114 ( .A1(dec_i0_pc_d[26]), .A2(n21040), .A3( n21038), .B1(n18922), .Y(n18927) ); sky130_fd_sc_hd__nor2_1 U24115 ( .A(\trigger_pkt_any[0][select] ), .B(n19939), .Y(n18924) ); sky130_fd_sc_hd__nand2b_1 U24116 ( .A_N(n21159), .B( \trigger_pkt_any[0][tdata2][30] ), .Y(n21198) ); sky130_fd_sc_hd__o21ai_1 U24117 ( .A1(\trigger_pkt_any[0][tdata2][31] ), .A2(n18924), .B1(n21198), .Y(n18923) ); sky130_fd_sc_hd__a21oi_1 U24118 ( .A1(\trigger_pkt_any[0][tdata2][31] ), .A2(n18924), .B1(n18923), .Y(n18925) ); sky130_fd_sc_hd__a31oi_1 U24119 ( .A1(\trigger_pkt_any[0][tdata2][30] ), .A2(n19931), .A3(n21159), .B1(n18925), .Y(n18926) ); sky130_fd_sc_hd__o211ai_1 U24120 ( .A1(\trigger_pkt_any[0][select] ), .A2( n18927), .B1(dec_i0_decode_d), .C1(n18926), .Y(n18928) ); sky130_fd_sc_hd__nor4_1 U24121 ( .A(n18931), .B(n18930), .C(n18929), .D( n18928), .Y(\dec/decode/d_t[i0trigger][0] ) ); sky130_fd_sc_hd__nor2_1 U24122 ( .A(\trigger_pkt_any[1][select] ), .B(n19899), .Y(n18943) ); sky130_fd_sc_hd__clkinv_1 U24123 ( .A(\trigger_pkt_any[1][tdata2][22] ), .Y( n20883) ); sky130_fd_sc_hd__clkinv_1 U24124 ( .A(\trigger_pkt_any[1][tdata2][18] ), .Y( n20974) ); sky130_fd_sc_hd__clkinv_1 U24125 ( .A(\trigger_pkt_any[1][tdata2][14] ), .Y( n18992) ); sky130_fd_sc_hd__clkinv_1 U24126 ( .A(\trigger_pkt_any[1][tdata2][12] ), .Y( n19025) ); sky130_fd_sc_hd__clkinv_1 U24127 ( .A(\trigger_pkt_any[1][tdata2][11] ), .Y( n20905) ); sky130_fd_sc_hd__nand4_1 U24128 ( .A(\trigger_pkt_any[1][tdata2][11] ), .B( \trigger_pkt_any[1][tdata2][10] ), .C(\trigger_pkt_any[1][tdata2][9] ), .D(\trigger_pkt_any[1][tdata2][8] ), .Y(n18935) ); sky130_fd_sc_hd__nand4_1 U24129 ( .A(\trigger_pkt_any[1][tdata2][15] ), .B( \trigger_pkt_any[1][tdata2][14] ), .C(\trigger_pkt_any[1][tdata2][13] ), .D(\trigger_pkt_any[1][tdata2][12] ), .Y(n18934) ); sky130_fd_sc_hd__nand4_1 U24130 ( .A(\trigger_pkt_any[1][tdata2][3] ), .B( \trigger_pkt_any[1][tdata2][2] ), .C(\trigger_pkt_any[1][tdata2][1] ), .D(\trigger_pkt_any[1][tdata2][0] ), .Y(n18933) ); sky130_fd_sc_hd__nand4_1 U24131 ( .A(\trigger_pkt_any[1][tdata2][7] ), .B( \trigger_pkt_any[1][tdata2][6] ), .C(\trigger_pkt_any[1][tdata2][5] ), .D(\trigger_pkt_any[1][tdata2][4] ), .Y(n18932) ); sky130_fd_sc_hd__nor4_1 U24132 ( .A(n18935), .B(n18934), .C(n18933), .D( n18932), .Y(n18941) ); sky130_fd_sc_hd__nand4_1 U24133 ( .A(\trigger_pkt_any[1][tdata2][25] ), .B( \trigger_pkt_any[1][tdata2][24] ), .C(\trigger_pkt_any[1][tdata2][27] ), .D(\trigger_pkt_any[1][tdata2][26] ), .Y(n18939) ); sky130_fd_sc_hd__nand4_1 U24134 ( .A(\trigger_pkt_any[1][tdata2][28] ), .B( \trigger_pkt_any[1][tdata2][29] ), .C(\trigger_pkt_any[1][tdata2][31] ), .D(\trigger_pkt_any[1][tdata2][30] ), .Y(n18938) ); sky130_fd_sc_hd__nand4_1 U24135 ( .A(\trigger_pkt_any[1][tdata2][19] ), .B( \trigger_pkt_any[1][tdata2][18] ), .C(\trigger_pkt_any[1][tdata2][17] ), .D(\trigger_pkt_any[1][tdata2][16] ), .Y(n18937) ); sky130_fd_sc_hd__nand4_1 U24136 ( .A(\trigger_pkt_any[1][tdata2][23] ), .B( \trigger_pkt_any[1][tdata2][22] ), .C(\trigger_pkt_any[1][tdata2][21] ), .D(\trigger_pkt_any[1][tdata2][20] ), .Y(n18936) ); sky130_fd_sc_hd__nor4_1 U24137 ( .A(n18939), .B(n18938), .C(n18937), .D( n18936), .Y(n18940) ); sky130_fd_sc_hd__a21boi_0 U24138 ( .A1(n18941), .A2(n18940), .B1_N( \trigger_pkt_any[1][match] ), .Y(n18947) ); sky130_fd_sc_hd__nand2_1 U24139 ( .A(\trigger_pkt_any[1][tdata2][0] ), .B( n18947), .Y(n20858) ); sky130_fd_sc_hd__nand2_1 U24140 ( .A(\trigger_pkt_any[1][tdata2][1] ), .B( n18999), .Y(n18946) ); sky130_fd_sc_hd__nand2_1 U24141 ( .A(\trigger_pkt_any[1][tdata2][2] ), .B( n18962), .Y(n20966) ); sky130_fd_sc_hd__nor2b_1 U24142 ( .B_N(\trigger_pkt_any[1][tdata2][3] ), .A( n20966), .Y(n20948) ); sky130_fd_sc_hd__and2_0 U24143 ( .A(\trigger_pkt_any[1][tdata2][4] ), .B( n20948), .X(n20951) ); sky130_fd_sc_hd__nand2_1 U24144 ( .A(\trigger_pkt_any[1][tdata2][5] ), .B( n20951), .Y(n18945) ); sky130_fd_sc_hd__clkinv_1 U24145 ( .A(n18945), .Y(n18961) ); sky130_fd_sc_hd__nand2_1 U24146 ( .A(\trigger_pkt_any[1][tdata2][6] ), .B( n18961), .Y(n20931) ); sky130_fd_sc_hd__nand2b_1 U24147 ( .A_N(n20931), .B( \trigger_pkt_any[1][tdata2][7] ), .Y(n18963) ); sky130_fd_sc_hd__nor2_1 U24148 ( .A(n19010), .B(n18963), .Y(n20909) ); sky130_fd_sc_hd__nand2_1 U24149 ( .A(\trigger_pkt_any[1][tdata2][9] ), .B( n20909), .Y(n18964) ); sky130_fd_sc_hd__nand2b_1 U24150 ( .A_N(n18964), .B( \trigger_pkt_any[1][tdata2][10] ), .Y(n20903) ); sky130_fd_sc_hd__nor2_1 U24151 ( .A(n20905), .B(n20903), .Y(n20939) ); sky130_fd_sc_hd__nor2_1 U24152 ( .A(n19025), .B(n18966), .Y(n20922) ); sky130_fd_sc_hd__nand2_1 U24153 ( .A(\trigger_pkt_any[1][tdata2][13] ), .B( n20922), .Y(n20900) ); sky130_fd_sc_hd__nor2_1 U24154 ( .A(n18992), .B(n20900), .Y(n20962) ); sky130_fd_sc_hd__nand2_1 U24155 ( .A(\trigger_pkt_any[1][tdata2][15] ), .B( n20962), .Y(n20969) ); sky130_fd_sc_hd__nor2_1 U24156 ( .A(n19029), .B(n20969), .Y(n20989) ); sky130_fd_sc_hd__nand2_1 U24157 ( .A(\trigger_pkt_any[1][tdata2][17] ), .B( n20989), .Y(n18967) ); sky130_fd_sc_hd__nor2_1 U24158 ( .A(n20974), .B(n18967), .Y(n20981) ); sky130_fd_sc_hd__nand2_1 U24159 ( .A(\trigger_pkt_any[1][tdata2][19] ), .B( n20981), .Y(n18970) ); sky130_fd_sc_hd__nor2_1 U24160 ( .A(n20892), .B(n18970), .Y(n20899) ); sky130_fd_sc_hd__nand2_1 U24161 ( .A(\trigger_pkt_any[1][tdata2][21] ), .B( n20899), .Y(n18971) ); sky130_fd_sc_hd__nor2_1 U24162 ( .A(n20883), .B(n18971), .Y(n20890) ); sky130_fd_sc_hd__and2_0 U24163 ( .A(\trigger_pkt_any[1][tdata2][23] ), .B( n20890), .X(n20986) ); sky130_fd_sc_hd__nand2_1 U24164 ( .A(\trigger_pkt_any[1][tdata2][24] ), .B( n20986), .Y(n18959) ); sky130_fd_sc_hd__nor2_1 U24165 ( .A(n18960), .B(n18959), .Y(n18954) ); sky130_fd_sc_hd__nand2_1 U24166 ( .A(\trigger_pkt_any[1][tdata2][26] ), .B( n18954), .Y(n21006) ); sky130_fd_sc_hd__nor2b_1 U24167 ( .B_N(\trigger_pkt_any[1][tdata2][27] ), .A(n21006), .Y(n18944) ); sky130_fd_sc_hd__nand2_1 U24168 ( .A(\trigger_pkt_any[1][tdata2][28] ), .B( n18944), .Y(n20880) ); sky130_fd_sc_hd__o21ai_1 U24169 ( .A1(\trigger_pkt_any[1][tdata2][29] ), .A2(n18943), .B1(n20880), .Y(n18942) ); sky130_fd_sc_hd__a21oi_1 U24170 ( .A1(\trigger_pkt_any[1][tdata2][29] ), .A2(n18943), .B1(n18942), .Y(n19056) ); sky130_fd_sc_hd__clkinv_1 U24171 ( .A(n18944), .Y(n20872) ); sky130_fd_sc_hd__nand2_1 U24172 ( .A(\trigger_pkt_any[1][tdata2][28] ), .B( n20872), .Y(n18958) ); sky130_fd_sc_hd__nand3_1 U24173 ( .A(\trigger_pkt_any[1][tdata2][28] ), .B( \trigger_pkt_any[1][tdata2][29] ), .C(n18944), .Y(n20861) ); sky130_fd_sc_hd__nor2b_1 U24174 ( .B_N(n18963), .A(n19010), .Y(n20913) ); sky130_fd_sc_hd__nand2_1 U24175 ( .A(\trigger_pkt_any[1][tdata2][6] ), .B( n18945), .Y(n20938) ); sky130_fd_sc_hd__nand2_1 U24176 ( .A(\trigger_pkt_any[1][tdata2][2] ), .B( n18946), .Y(n20943) ); sky130_fd_sc_hd__clkinv_1 U24177 ( .A(n18947), .Y(n21012) ); sky130_fd_sc_hd__nand2_1 U24178 ( .A(\trigger_pkt_any[1][tdata2][0] ), .B( n21012), .Y(n18948) ); sky130_fd_sc_hd__nand4b_1 U24179 ( .A_N(n20913), .B(n20938), .C(n20943), .D( n18948), .Y(n18949) ); sky130_fd_sc_hd__a21oi_1 U24180 ( .A1(\trigger_pkt_any[1][tdata2][12] ), .A2(n18966), .B1(n18949), .Y(n18952) ); sky130_fd_sc_hd__a22oi_1 U24181 ( .A1(\trigger_pkt_any[1][tdata2][16] ), .A2(n20969), .B1(\trigger_pkt_any[1][tdata2][14] ), .B2(n20900), .Y( n18951) ); sky130_fd_sc_hd__nand2_1 U24182 ( .A(\trigger_pkt_any[1][tdata2][10] ), .B( n18964), .Y(n20908) ); sky130_fd_sc_hd__nand2_1 U24183 ( .A(\trigger_pkt_any[1][tdata2][18] ), .B( n18967), .Y(n18950) ); sky130_fd_sc_hd__nand4_1 U24184 ( .A(n18952), .B(n18951), .C(n20908), .D( n18950), .Y(n18953) ); sky130_fd_sc_hd__a21oi_1 U24185 ( .A1(\trigger_pkt_any[1][tdata2][20] ), .A2(n18970), .B1(n18953), .Y(n18955) ); sky130_fd_sc_hd__nand2_1 U24186 ( .A(\trigger_pkt_any[1][tdata2][26] ), .B( n20878), .Y(n19046) ); sky130_fd_sc_hd__nand2_1 U24187 ( .A(\trigger_pkt_any[1][tdata2][25] ), .B( n18959), .Y(n19042) ); sky130_fd_sc_hd__nand2_1 U24188 ( .A(\trigger_pkt_any[1][tdata2][22] ), .B( n18971), .Y(n19043) ); sky130_fd_sc_hd__nand4_1 U24189 ( .A(n18955), .B(n19046), .C(n19042), .D( n19043), .Y(n18956) ); sky130_fd_sc_hd__a21oi_1 U24190 ( .A1(\trigger_pkt_any[1][tdata2][30] ), .A2(n20861), .B1(n18956), .Y(n18957) ); sky130_fd_sc_hd__a222oi_1 U24191 ( .A1(n18958), .A2(n18983), .B1(n18958), .B2(n18957), .C1(n18983), .C2(dec_i0_pc_d[28]), .Y(n19055) ); sky130_fd_sc_hd__nand2_1 U24192 ( .A(n18960), .B(n18959), .Y(n20868) ); sky130_fd_sc_hd__nor2_1 U24193 ( .A(\trigger_pkt_any[1][tdata2][6] ), .B( n18961), .Y(n20935) ); sky130_fd_sc_hd__nor2_1 U24194 ( .A(\trigger_pkt_any[1][tdata2][2] ), .B( n18962), .Y(n20927) ); sky130_fd_sc_hd__a22oi_1 U24195 ( .A1(dec_i0_pc_d[6]), .A2(n20935), .B1( dec_i0_pc_d[2]), .B2(n20927), .Y(n18977) ); sky130_fd_sc_hd__nand2_1 U24196 ( .A(n19010), .B(n18963), .Y(n20915) ); sky130_fd_sc_hd__nand2b_1 U24197 ( .A_N(\trigger_pkt_any[1][tdata2][10] ), .B(n18964), .Y(n20920) ); sky130_fd_sc_hd__o22ai_1 U24198 ( .A1(n24248), .A2(n20915), .B1(n24174), .B2(n20920), .Y(n18965) ); sky130_fd_sc_hd__a31oi_1 U24199 ( .A1(dec_i0_pc_d[12]), .A2(n19025), .A3( n18966), .B1(n18965), .Y(n18976) ); sky130_fd_sc_hd__nand2_1 U24200 ( .A(dec_i0_pc_d[16]), .B(n20969), .Y(n18968) ); sky130_fd_sc_hd__nand2_1 U24201 ( .A(n20974), .B(n18967), .Y(n20978) ); sky130_fd_sc_hd__o22ai_1 U24202 ( .A1(\trigger_pkt_any[1][tdata2][16] ), .A2(n18968), .B1(n24173), .B2(n20978), .Y(n18969) ); sky130_fd_sc_hd__a31oi_1 U24203 ( .A1(dec_i0_pc_d[14]), .A2(n18992), .A3( n20900), .B1(n18969), .Y(n18975) ); sky130_fd_sc_hd__nand2_1 U24204 ( .A(n20892), .B(n18970), .Y(n20896) ); sky130_fd_sc_hd__nand2_1 U24205 ( .A(n20883), .B(n18971), .Y(n20887) ); sky130_fd_sc_hd__o22ai_1 U24206 ( .A1(n24197), .A2(n20896), .B1(n24221), .B2(n20887), .Y(n18972) ); sky130_fd_sc_hd__a31oi_1 U24207 ( .A1(dec_i0_pc_d[26]), .A2(n18973), .A3( n20878), .B1(n18972), .Y(n18974) ); sky130_fd_sc_hd__nand4_1 U24208 ( .A(n18977), .B(n18976), .C(n18975), .D( n18974), .Y(n18978) ); sky130_fd_sc_hd__a31oi_1 U24209 ( .A1(dec_i0_pc_d[30]), .A2(n20863), .A3( n20861), .B1(n18978), .Y(n18979) ); sky130_fd_sc_hd__o21ai_1 U24210 ( .A1(n24254), .A2(n20868), .B1(n18979), .Y( n18980) ); sky130_fd_sc_hd__a31oi_1 U24211 ( .A1(dec_i0_pc_d[28]), .A2(n20874), .A3( n20872), .B1(n18980), .Y(n19053) ); sky130_fd_sc_hd__nor2_1 U24212 ( .A(\trigger_pkt_any[1][select] ), .B(n19841), .Y(n18982) ); sky130_fd_sc_hd__o21ai_1 U24213 ( .A1(\trigger_pkt_any[1][tdata2][27] ), .A2(n18982), .B1(n21006), .Y(n18981) ); sky130_fd_sc_hd__a21oi_1 U24214 ( .A1(\trigger_pkt_any[1][tdata2][27] ), .A2(n18982), .B1(n18981), .Y(n19051) ); sky130_fd_sc_hd__nand2_1 U24215 ( .A(dec_i0_pc_d[24]), .B(n18983), .Y(n18988) ); sky130_fd_sc_hd__nor2_1 U24216 ( .A(\trigger_pkt_any[1][select] ), .B(n24234), .Y(n18985) ); sky130_fd_sc_hd__a21oi_1 U24217 ( .A1(\trigger_pkt_any[1][tdata2][23] ), .A2(n18985), .B1(n20890), .Y(n18984) ); sky130_fd_sc_hd__o21ai_1 U24218 ( .A1(\trigger_pkt_any[1][tdata2][23] ), .A2(n18985), .B1(n18984), .Y(n18986) ); sky130_fd_sc_hd__o21ai_1 U24219 ( .A1(\trigger_pkt_any[1][tdata2][24] ), .A2(n18988), .B1(n18986), .Y(n18987) ); sky130_fd_sc_hd__a21oi_1 U24220 ( .A1(\trigger_pkt_any[1][tdata2][24] ), .A2(n18988), .B1(n18987), .Y(n19041) ); sky130_fd_sc_hd__nand2_1 U24221 ( .A(dec_i0_pc_d[21]), .B(n18983), .Y(n18990) ); sky130_fd_sc_hd__o22ai_1 U24222 ( .A1(n20892), .A2(dec_i0_pc_d[20]), .B1( n18990), .B2(\trigger_pkt_any[1][tdata2][21] ), .Y(n18989) ); sky130_fd_sc_hd__a21oi_1 U24223 ( .A1(n18990), .A2( \trigger_pkt_any[1][tdata2][21] ), .B1(n18989), .Y(n18991) ); sky130_fd_sc_hd__nor2_1 U24224 ( .A(n20899), .B(n18991), .Y(n19039) ); sky130_fd_sc_hd__nand2_1 U24225 ( .A(dec_i0_pc_d[15]), .B(n18983), .Y(n18994) ); sky130_fd_sc_hd__o22ai_1 U24226 ( .A1(n18992), .A2(dec_i0_pc_d[14]), .B1( n18994), .B2(\trigger_pkt_any[1][tdata2][15] ), .Y(n18993) ); sky130_fd_sc_hd__a21oi_1 U24227 ( .A1(n18994), .A2( \trigger_pkt_any[1][tdata2][15] ), .B1(n18993), .Y(n19024) ); sky130_fd_sc_hd__nor2_1 U24228 ( .A(\trigger_pkt_any[1][select] ), .B(n24131), .Y(n18996) ); sky130_fd_sc_hd__o21ai_1 U24229 ( .A1(\trigger_pkt_any[1][tdata2][11] ), .A2(n18996), .B1(n20903), .Y(n18995) ); sky130_fd_sc_hd__a21oi_1 U24230 ( .A1(\trigger_pkt_any[1][tdata2][11] ), .A2(n18996), .B1(n18995), .Y(n19022) ); sky130_fd_sc_hd__nor2_1 U24231 ( .A(\trigger_pkt_any[1][select] ), .B(n24210), .Y(n18998) ); sky130_fd_sc_hd__a21oi_1 U24232 ( .A1(\trigger_pkt_any[1][tdata2][5] ), .A2( n18998), .B1(n20951), .Y(n18997) ); sky130_fd_sc_hd__o21ai_1 U24233 ( .A1(\trigger_pkt_any[1][tdata2][5] ), .A2( n18998), .B1(n18997), .Y(n19003) ); sky130_fd_sc_hd__nor2_1 U24234 ( .A(\trigger_pkt_any[1][select] ), .B(n19219), .Y(n19001) ); sky130_fd_sc_hd__a21oi_1 U24235 ( .A1(\trigger_pkt_any[1][tdata2][1] ), .A2( n19001), .B1(n18999), .Y(n19000) ); sky130_fd_sc_hd__o21ai_1 U24236 ( .A1(\trigger_pkt_any[1][tdata2][1] ), .A2( n19001), .B1(n19000), .Y(n19002) ); sky130_fd_sc_hd__nand4_1 U24237 ( .A(\dec/n67 ), .B(\dec/n66 ), .C(n19003), .D(n19002), .Y(n19021) ); sky130_fd_sc_hd__nand2_1 U24238 ( .A(dec_i0_pc_d[4]), .B(n18983), .Y(n19008) ); sky130_fd_sc_hd__nor2_1 U24239 ( .A(\trigger_pkt_any[1][select] ), .B(n24186), .Y(n19005) ); sky130_fd_sc_hd__a21boi_0 U24240 ( .A1(\trigger_pkt_any[1][tdata2][3] ), .A2(n19005), .B1_N(n20966), .Y(n19004) ); sky130_fd_sc_hd__o21ai_1 U24241 ( .A1(\trigger_pkt_any[1][tdata2][3] ), .A2( n19005), .B1(n19004), .Y(n19006) ); sky130_fd_sc_hd__o21ai_1 U24242 ( .A1(\trigger_pkt_any[1][tdata2][4] ), .A2( n19008), .B1(n19006), .Y(n19007) ); sky130_fd_sc_hd__a21oi_1 U24243 ( .A1(\trigger_pkt_any[1][tdata2][4] ), .A2( n19008), .B1(n19007), .Y(n19009) ); sky130_fd_sc_hd__o22ai_1 U24244 ( .A1(n20948), .A2(n19009), .B1( dec_i0_pc_d[2]), .B2(n20943), .Y(n19020) ); sky130_fd_sc_hd__nand2_1 U24245 ( .A(dec_i0_pc_d[9]), .B(n18983), .Y(n19012) ); sky130_fd_sc_hd__o22ai_1 U24246 ( .A1(n19010), .A2(dec_i0_pc_d[8]), .B1( n19012), .B2(\trigger_pkt_any[1][tdata2][9] ), .Y(n19011) ); sky130_fd_sc_hd__a21oi_1 U24247 ( .A1(n19012), .A2( \trigger_pkt_any[1][tdata2][9] ), .B1(n19011), .Y(n19018) ); sky130_fd_sc_hd__nor2_1 U24248 ( .A(\trigger_pkt_any[1][select] ), .B(n24236), .Y(n19014) ); sky130_fd_sc_hd__o21ai_1 U24249 ( .A1(\trigger_pkt_any[1][tdata2][7] ), .A2( n19014), .B1(n20931), .Y(n19013) ); sky130_fd_sc_hd__a21oi_1 U24250 ( .A1(\trigger_pkt_any[1][tdata2][7] ), .A2( n19014), .B1(n19013), .Y(n19015) ); sky130_fd_sc_hd__a21oi_1 U24251 ( .A1(n19016), .A2(n19194), .B1(n19015), .Y( n19017) ); sky130_fd_sc_hd__o21ai_1 U24252 ( .A1(n20909), .A2(n19018), .B1(n19017), .Y( n19019) ); sky130_fd_sc_hd__nor4_1 U24253 ( .A(n19022), .B(n19021), .C(n19020), .D( n19019), .Y(n19023) ); sky130_fd_sc_hd__o21ai_1 U24254 ( .A1(n20962), .A2(n19024), .B1(n19023), .Y( n19038) ); sky130_fd_sc_hd__nand2_1 U24255 ( .A(dec_i0_pc_d[13]), .B(n18983), .Y(n19027) ); sky130_fd_sc_hd__o22ai_1 U24256 ( .A1(n19025), .A2(dec_i0_pc_d[12]), .B1( n19027), .B2(\trigger_pkt_any[1][tdata2][13] ), .Y(n19026) ); sky130_fd_sc_hd__a21oi_1 U24257 ( .A1(n19027), .A2( \trigger_pkt_any[1][tdata2][13] ), .B1(n19026), .Y(n19028) ); sky130_fd_sc_hd__o22ai_1 U24258 ( .A1(n20922), .A2(n19028), .B1( dec_i0_pc_d[10]), .B2(n20908), .Y(n19037) ); sky130_fd_sc_hd__nand2_1 U24259 ( .A(dec_i0_pc_d[17]), .B(n18983), .Y(n19031) ); sky130_fd_sc_hd__o22ai_1 U24260 ( .A1(n19029), .A2(dec_i0_pc_d[16]), .B1( n19031), .B2(\trigger_pkt_any[1][tdata2][17] ), .Y(n19030) ); sky130_fd_sc_hd__a21oi_1 U24261 ( .A1(n19031), .A2( \trigger_pkt_any[1][tdata2][17] ), .B1(n19030), .Y(n19035) ); sky130_fd_sc_hd__nand2_1 U24262 ( .A(dec_i0_pc_d[19]), .B(n18983), .Y(n19033) ); sky130_fd_sc_hd__o22ai_1 U24263 ( .A1(n20974), .A2(dec_i0_pc_d[18]), .B1( n19033), .B2(\trigger_pkt_any[1][tdata2][19] ), .Y(n19032) ); sky130_fd_sc_hd__a21oi_1 U24264 ( .A1(n19033), .A2( \trigger_pkt_any[1][tdata2][19] ), .B1(n19032), .Y(n19034) ); sky130_fd_sc_hd__o22ai_1 U24265 ( .A1(n20989), .A2(n19035), .B1(n20981), .B2(n19034), .Y(n19036) ); sky130_fd_sc_hd__nor4_1 U24266 ( .A(n19039), .B(n19038), .C(n19037), .D( n19036), .Y(n19040) ); sky130_fd_sc_hd__o21ai_1 U24267 ( .A1(n20986), .A2(n19041), .B1(n19040), .Y( n19050) ); sky130_fd_sc_hd__o22ai_1 U24268 ( .A1(dec_i0_pc_d[22]), .A2(n19043), .B1( dec_i0_pc_d[25]), .B2(n19042), .Y(n19049) ); sky130_fd_sc_hd__nor2_1 U24269 ( .A(n20863), .B(n20861), .Y(n21002) ); sky130_fd_sc_hd__nand2_1 U24270 ( .A(dec_i0_pc_d[31]), .B(n18983), .Y(n19045) ); sky130_fd_sc_hd__o22ai_1 U24271 ( .A1(n20863), .A2(dec_i0_pc_d[30]), .B1( n19045), .B2(\trigger_pkt_any[1][tdata2][31] ), .Y(n19044) ); sky130_fd_sc_hd__a21oi_1 U24272 ( .A1(n19045), .A2( \trigger_pkt_any[1][tdata2][31] ), .B1(n19044), .Y(n19047) ); sky130_fd_sc_hd__o22ai_1 U24273 ( .A1(n21002), .A2(n19047), .B1( dec_i0_pc_d[26]), .B2(n19046), .Y(n19048) ); sky130_fd_sc_hd__nor4_1 U24274 ( .A(n19051), .B(n19050), .C(n19049), .D( n19048), .Y(n19052) ); sky130_fd_sc_hd__o21ai_1 U24275 ( .A1(\trigger_pkt_any[1][select] ), .A2( n19053), .B1(n19052), .Y(n19054) ); sky130_fd_sc_hd__nor4_1 U24276 ( .A(n19056), .B(n21795), .C(n19055), .D( n19054), .Y(\dec/decode/d_t[i0trigger][1] ) ); sky130_fd_sc_hd__clkinv_1 U24277 ( .A(\trigger_pkt_any[2][tdata2][23] ), .Y( n20716) ); sky130_fd_sc_hd__clkinv_1 U24278 ( .A(\trigger_pkt_any[2][tdata2][18] ), .Y( n20810) ); sky130_fd_sc_hd__clkinv_1 U24279 ( .A(\trigger_pkt_any[2][tdata2][15] ), .Y( n20730) ); sky130_fd_sc_hd__clkinv_1 U24280 ( .A(\trigger_pkt_any[2][tdata2][12] ), .Y( n19138) ); sky130_fd_sc_hd__nand2_1 U24281 ( .A(\trigger_pkt_any[2][tdata2][8] ), .B( \trigger_pkt_any[2][tdata2][9] ), .Y(n19156) ); sky130_fd_sc_hd__clkinv_1 U24282 ( .A(\trigger_pkt_any[2][tdata2][6] ), .Y( n19135) ); sky130_fd_sc_hd__clkinv_1 U24283 ( .A(\trigger_pkt_any[2][tdata2][0] ), .Y( n20847) ); sky130_fd_sc_hd__nand4_1 U24284 ( .A(\trigger_pkt_any[2][tdata2][15] ), .B( \trigger_pkt_any[2][tdata2][14] ), .C(\trigger_pkt_any[2][tdata2][13] ), .D(\trigger_pkt_any[2][tdata2][12] ), .Y(n19066) ); sky130_fd_sc_hd__nand4_1 U24285 ( .A(\trigger_pkt_any[2][tdata2][19] ), .B( \trigger_pkt_any[2][tdata2][18] ), .C(\trigger_pkt_any[2][tdata2][17] ), .D(\trigger_pkt_any[2][tdata2][16] ), .Y(n19065) ); sky130_fd_sc_hd__nand4_1 U24286 ( .A(\trigger_pkt_any[2][tdata2][23] ), .B( \trigger_pkt_any[2][tdata2][22] ), .C(\trigger_pkt_any[2][tdata2][21] ), .D(\trigger_pkt_any[2][tdata2][20] ), .Y(n19057) ); sky130_fd_sc_hd__nor2_1 U24287 ( .A(n19156), .B(n19057), .Y(n19063) ); sky130_fd_sc_hd__nand4_1 U24288 ( .A(\trigger_pkt_any[2][tdata2][5] ), .B( \trigger_pkt_any[2][tdata2][4] ), .C(\trigger_pkt_any[2][tdata2][3] ), .D(\trigger_pkt_any[2][tdata2][2] ), .Y(n19061) ); sky130_fd_sc_hd__nand4_1 U24289 ( .A(\trigger_pkt_any[2][tdata2][11] ), .B( \trigger_pkt_any[2][tdata2][10] ), .C(\trigger_pkt_any[2][tdata2][7] ), .D(\trigger_pkt_any[2][tdata2][6] ), .Y(n19060) ); sky130_fd_sc_hd__nand4_1 U24290 ( .A(\trigger_pkt_any[2][tdata2][26] ), .B( \trigger_pkt_any[2][tdata2][27] ), .C(\trigger_pkt_any[2][tdata2][30] ), .D(\trigger_pkt_any[2][tdata2][28] ), .Y(n19059) ); sky130_fd_sc_hd__nand4_1 U24291 ( .A(\trigger_pkt_any[2][tdata2][1] ), .B( \trigger_pkt_any[2][tdata2][0] ), .C(\trigger_pkt_any[2][tdata2][29] ), .D(\trigger_pkt_any[2][tdata2][31] ), .Y(n19058) ); sky130_fd_sc_hd__nor4_1 U24292 ( .A(n19061), .B(n19060), .C(n19059), .D( n19058), .Y(n19062) ); sky130_fd_sc_hd__nand4_1 U24293 ( .A(\trigger_pkt_any[2][tdata2][25] ), .B( \trigger_pkt_any[2][tdata2][24] ), .C(n19063), .D(n19062), .Y(n19064) ); sky130_fd_sc_hd__o31ai_1 U24294 ( .A1(n19066), .A2(n19065), .A3(n19064), .B1(\trigger_pkt_any[2][match] ), .Y(n20848) ); sky130_fd_sc_hd__nor2_1 U24295 ( .A(n20847), .B(n20848), .Y(n19079) ); sky130_fd_sc_hd__nand2_1 U24296 ( .A(\trigger_pkt_any[2][tdata2][1] ), .B( n19079), .Y(n19136) ); sky130_fd_sc_hd__nand2b_1 U24297 ( .A_N(n19136), .B( \trigger_pkt_any[2][tdata2][2] ), .Y(n20789) ); sky130_fd_sc_hd__nor2b_1 U24298 ( .B_N(\trigger_pkt_any[2][tdata2][3] ), .A( n20789), .Y(n20764) ); sky130_fd_sc_hd__and2_0 U24299 ( .A(\trigger_pkt_any[2][tdata2][4] ), .B( n20764), .X(n20793) ); sky130_fd_sc_hd__nand2_1 U24300 ( .A(\trigger_pkt_any[2][tdata2][5] ), .B( n20793), .Y(n19155) ); sky130_fd_sc_hd__nor2_1 U24301 ( .A(n19135), .B(n19155), .Y(n20761) ); sky130_fd_sc_hd__nand2_1 U24302 ( .A(\trigger_pkt_any[2][tdata2][7] ), .B( n20761), .Y(n19154) ); sky130_fd_sc_hd__nor2_1 U24303 ( .A(n19156), .B(n19154), .Y(n20744) ); sky130_fd_sc_hd__clkinv_1 U24304 ( .A(\trigger_pkt_any[2][tdata2][10] ), .Y( n20746) ); sky130_fd_sc_hd__nor2b_1 U24305 ( .B_N(n20744), .A(n20746), .Y(n20732) ); sky130_fd_sc_hd__nand2_1 U24306 ( .A(\trigger_pkt_any[2][tdata2][11] ), .B( n20732), .Y(n19164) ); sky130_fd_sc_hd__nor2_1 U24307 ( .A(n19138), .B(n19164), .Y(n20780) ); sky130_fd_sc_hd__nand2_1 U24308 ( .A(\trigger_pkt_any[2][tdata2][13] ), .B( n20780), .Y(n19106) ); sky130_fd_sc_hd__nand2_1 U24309 ( .A(\trigger_pkt_any[2][tdata2][14] ), .B( n20741), .Y(n20728) ); sky130_fd_sc_hd__nor2_1 U24310 ( .A(n20730), .B(n20728), .Y(n20790) ); sky130_fd_sc_hd__nand2_1 U24311 ( .A(\trigger_pkt_any[2][tdata2][16] ), .B( n20790), .Y(n20724) ); sky130_fd_sc_hd__clkinv_1 U24312 ( .A(n20724), .Y(n19111) ); sky130_fd_sc_hd__nand2_1 U24313 ( .A(\trigger_pkt_any[2][tdata2][17] ), .B( n19111), .Y(n20808) ); sky130_fd_sc_hd__nor2_1 U24314 ( .A(n20810), .B(n20808), .Y(n20812) ); sky130_fd_sc_hd__nand2_1 U24315 ( .A(\trigger_pkt_any[2][tdata2][19] ), .B( n20812), .Y(n19163) ); sky130_fd_sc_hd__nor2_1 U24316 ( .A(n19144), .B(n19163), .Y(n20804) ); sky130_fd_sc_hd__nand2_1 U24317 ( .A(\trigger_pkt_any[2][tdata2][21] ), .B( n20804), .Y(n19145) ); sky130_fd_sc_hd__nand2b_1 U24318 ( .A_N(n19145), .B( \trigger_pkt_any[2][tdata2][22] ), .Y(n20714) ); sky130_fd_sc_hd__nor2_1 U24319 ( .A(n20716), .B(n20714), .Y(n19072) ); sky130_fd_sc_hd__nand2_1 U24320 ( .A(\trigger_pkt_any[2][tdata2][24] ), .B( n19072), .Y(n19134) ); sky130_fd_sc_hd__nand2_1 U24321 ( .A(\trigger_pkt_any[2][tdata2][25] ), .B( n19134), .Y(n19169) ); sky130_fd_sc_hd__clkinv_1 U24322 ( .A(\trigger_pkt_any[2][tdata2][25] ), .Y( n20700) ); sky130_fd_sc_hd__nor2_1 U24323 ( .A(n20700), .B(n19134), .Y(n20706) ); sky130_fd_sc_hd__clkinv_1 U24324 ( .A(n20706), .Y(n19168) ); sky130_fd_sc_hd__nor2_1 U24325 ( .A(\trigger_pkt_any[2][select] ), .B(n19841), .Y(n19068) ); sky130_fd_sc_hd__nand2_1 U24326 ( .A(\trigger_pkt_any[2][tdata2][26] ), .B( n20706), .Y(n20697) ); sky130_fd_sc_hd__o21ai_1 U24327 ( .A1(\trigger_pkt_any[2][tdata2][27] ), .A2(n19068), .B1(n20697), .Y(n19067) ); sky130_fd_sc_hd__a21oi_1 U24328 ( .A1(\trigger_pkt_any[2][tdata2][27] ), .A2(n19068), .B1(n19067), .Y(n19069) ); sky130_fd_sc_hd__a31oi_1 U24329 ( .A1(\trigger_pkt_any[2][tdata2][26] ), .A2(n19819), .A3(n19168), .B1(n19069), .Y(n19127) ); sky130_fd_sc_hd__nor2_1 U24330 ( .A(\trigger_pkt_any[2][select] ), .B(n24234), .Y(n19071) ); sky130_fd_sc_hd__o21ai_1 U24331 ( .A1(\trigger_pkt_any[2][tdata2][23] ), .A2(n19071), .B1(n20714), .Y(n19070) ); sky130_fd_sc_hd__a21oi_1 U24332 ( .A1(\trigger_pkt_any[2][tdata2][23] ), .A2(n19071), .B1(n19070), .Y(n19125) ); sky130_fd_sc_hd__nor2_1 U24333 ( .A(\trigger_pkt_any[2][select] ), .B(n24246), .Y(n19074) ); sky130_fd_sc_hd__o21ai_1 U24334 ( .A1(\trigger_pkt_any[2][tdata2][24] ), .A2(n19074), .B1(n20832), .Y(n19073) ); sky130_fd_sc_hd__a21oi_1 U24335 ( .A1(\trigger_pkt_any[2][tdata2][24] ), .A2(n19074), .B1(n19073), .Y(n19124) ); sky130_fd_sc_hd__nand2_1 U24336 ( .A(\trigger_pkt_any[2][tdata2][22] ), .B( n19145), .Y(n20722) ); sky130_fd_sc_hd__nor2_1 U24337 ( .A(\trigger_pkt_any[2][select] ), .B(n24233), .Y(n19076) ); sky130_fd_sc_hd__o21ai_1 U24338 ( .A1(\trigger_pkt_any[2][tdata2][15] ), .A2(n19076), .B1(n20728), .Y(n19075) ); sky130_fd_sc_hd__a21oi_1 U24339 ( .A1(\trigger_pkt_any[2][tdata2][15] ), .A2(n19076), .B1(n19075), .Y(n19110) ); sky130_fd_sc_hd__nand2_1 U24340 ( .A(\trigger_pkt_any[2][tdata2][2] ), .B( n19136), .Y(n19159) ); sky130_fd_sc_hd__nor2_1 U24341 ( .A(\trigger_pkt_any[2][select] ), .B(n24186), .Y(n19078) ); sky130_fd_sc_hd__o21ai_1 U24342 ( .A1(\trigger_pkt_any[2][tdata2][3] ), .A2( n19078), .B1(n20789), .Y(n19077) ); sky130_fd_sc_hd__a21oi_1 U24343 ( .A1(\trigger_pkt_any[2][tdata2][3] ), .A2( n19078), .B1(n19077), .Y(n19094) ); sky130_fd_sc_hd__nor2_1 U24344 ( .A(\trigger_pkt_any[2][select] ), .B(n19219), .Y(n19081) ); sky130_fd_sc_hd__o21bai_1 U24345 ( .A1(\trigger_pkt_any[2][tdata2][1] ), .A2(n19081), .B1_N(n19079), .Y(n19080) ); sky130_fd_sc_hd__a21oi_1 U24346 ( .A1(\trigger_pkt_any[2][tdata2][1] ), .A2( n19081), .B1(n19080), .Y(n19093) ); sky130_fd_sc_hd__nor2_1 U24347 ( .A(\trigger_pkt_any[2][select] ), .B(n24210), .Y(n19083) ); sky130_fd_sc_hd__a21oi_1 U24348 ( .A1(\trigger_pkt_any[2][tdata2][5] ), .A2( n19083), .B1(n20793), .Y(n19082) ); sky130_fd_sc_hd__o21ai_1 U24349 ( .A1(\trigger_pkt_any[2][tdata2][5] ), .A2( n19083), .B1(n19082), .Y(n19084) ); sky130_fd_sc_hd__nand3_1 U24350 ( .A(\dec/n65 ), .B(\dec/n64 ), .C(n19084), .Y(n19092) ); sky130_fd_sc_hd__nand2_1 U24351 ( .A(dec_i0_pc_d[7]), .B(n20844), .Y(n19086) ); sky130_fd_sc_hd__o22ai_1 U24352 ( .A1(n19135), .A2(dec_i0_pc_d[6]), .B1( n19086), .B2(\trigger_pkt_any[2][tdata2][7] ), .Y(n19085) ); sky130_fd_sc_hd__a21oi_1 U24353 ( .A1(n19086), .A2( \trigger_pkt_any[2][tdata2][7] ), .B1(n19085), .Y(n19090) ); sky130_fd_sc_hd__clkinv_1 U24354 ( .A(\trigger_pkt_any[2][tdata2][8] ), .Y( n19137) ); sky130_fd_sc_hd__nor2_1 U24355 ( .A(n19137), .B(n19154), .Y(n20748) ); sky130_fd_sc_hd__nand2_1 U24356 ( .A(dec_i0_pc_d[9]), .B(n20844), .Y(n19088) ); sky130_fd_sc_hd__o22ai_1 U24357 ( .A1(n19137), .A2(dec_i0_pc_d[8]), .B1( n19088), .B2(\trigger_pkt_any[2][tdata2][9] ), .Y(n19087) ); sky130_fd_sc_hd__a21oi_1 U24358 ( .A1(n19088), .A2( \trigger_pkt_any[2][tdata2][9] ), .B1(n19087), .Y(n19089) ); sky130_fd_sc_hd__o22ai_1 U24359 ( .A1(n20761), .A2(n19090), .B1(n20748), .B2(n19089), .Y(n19091) ); sky130_fd_sc_hd__nor4_1 U24360 ( .A(n19094), .B(n19093), .C(n19092), .D( n19091), .Y(n19099) ); sky130_fd_sc_hd__nor2_1 U24361 ( .A(\trigger_pkt_any[2][select] ), .B(n19095), .Y(n19097) ); sky130_fd_sc_hd__a21oi_1 U24362 ( .A1(\trigger_pkt_any[2][tdata2][4] ), .A2( n19097), .B1(n20764), .Y(n19096) ); sky130_fd_sc_hd__o21ai_1 U24363 ( .A1(\trigger_pkt_any[2][tdata2][4] ), .A2( n19097), .B1(n19096), .Y(n19098) ); sky130_fd_sc_hd__o211ai_1 U24364 ( .A1(dec_i0_pc_d[2]), .A2(n19159), .B1( n19099), .C1(n19098), .Y(n19109) ); sky130_fd_sc_hd__nand2_1 U24365 ( .A(dec_i0_pc_d[11]), .B(n20844), .Y(n19101) ); sky130_fd_sc_hd__o22ai_1 U24366 ( .A1(n20746), .A2(dec_i0_pc_d[10]), .B1( n19101), .B2(\trigger_pkt_any[2][tdata2][11] ), .Y(n19100) ); sky130_fd_sc_hd__a21oi_1 U24367 ( .A1(n19101), .A2( \trigger_pkt_any[2][tdata2][11] ), .B1(n19100), .Y(n19105) ); sky130_fd_sc_hd__nand2_1 U24368 ( .A(dec_i0_pc_d[13]), .B(n20844), .Y(n19103) ); sky130_fd_sc_hd__o22ai_1 U24369 ( .A1(n19138), .A2(dec_i0_pc_d[12]), .B1( n19103), .B2(\trigger_pkt_any[2][tdata2][13] ), .Y(n19102) ); sky130_fd_sc_hd__a21oi_1 U24370 ( .A1(n19103), .A2( \trigger_pkt_any[2][tdata2][13] ), .B1(n19102), .Y(n19104) ); sky130_fd_sc_hd__o22ai_1 U24371 ( .A1(n20732), .A2(n19105), .B1(n20780), .B2(n19104), .Y(n19108) ); sky130_fd_sc_hd__nand2_1 U24372 ( .A(\trigger_pkt_any[2][tdata2][14] ), .B( n19106), .Y(n19162) ); sky130_fd_sc_hd__nor2b_1 U24373 ( .B_N(\trigger_pkt_any[2][tdata2][16] ), .A(n20790), .Y(n19161) ); sky130_fd_sc_hd__o2bb2ai_1 U24374 ( .B1(dec_i0_pc_d[14]), .B2(n19162), .A1_N(n24245), .A2_N(n19161), .Y(n19107) ); sky130_fd_sc_hd__nor4_1 U24375 ( .A(n19110), .B(n19109), .C(n19108), .D( n19107), .Y(n19115) ); sky130_fd_sc_hd__nor2_1 U24376 ( .A(\trigger_pkt_any[2][select] ), .B(n24252), .Y(n19113) ); sky130_fd_sc_hd__a21oi_1 U24377 ( .A1(\trigger_pkt_any[2][tdata2][17] ), .A2(n19113), .B1(n19111), .Y(n19112) ); sky130_fd_sc_hd__o21ai_1 U24378 ( .A1(\trigger_pkt_any[2][tdata2][17] ), .A2(n19113), .B1(n19112), .Y(n19114) ); sky130_fd_sc_hd__o211ai_1 U24379 ( .A1(dec_i0_pc_d[22]), .A2(n20722), .B1( n19115), .C1(n19114), .Y(n19123) ); sky130_fd_sc_hd__nand2_1 U24380 ( .A(dec_i0_pc_d[19]), .B(n20844), .Y(n19117) ); sky130_fd_sc_hd__o22ai_1 U24381 ( .A1(n20810), .A2(dec_i0_pc_d[18]), .B1( n19117), .B2(\trigger_pkt_any[2][tdata2][19] ), .Y(n19116) ); sky130_fd_sc_hd__a21oi_1 U24382 ( .A1(n19117), .A2( \trigger_pkt_any[2][tdata2][19] ), .B1(n19116), .Y(n19121) ); sky130_fd_sc_hd__nand2_1 U24383 ( .A(dec_i0_pc_d[21]), .B(n20844), .Y(n19119) ); sky130_fd_sc_hd__o22ai_1 U24384 ( .A1(n19144), .A2(dec_i0_pc_d[20]), .B1( n19119), .B2(\trigger_pkt_any[2][tdata2][21] ), .Y(n19118) ); sky130_fd_sc_hd__a21oi_1 U24385 ( .A1(n19119), .A2( \trigger_pkt_any[2][tdata2][21] ), .B1(n19118), .Y(n19120) ); sky130_fd_sc_hd__o22ai_1 U24386 ( .A1(n20812), .A2(n19121), .B1(n20804), .B2(n19120), .Y(n19122) ); sky130_fd_sc_hd__nor4_1 U24387 ( .A(n19125), .B(n19124), .C(n19123), .D( n19122), .Y(n19126) ); sky130_fd_sc_hd__o211ai_1 U24388 ( .A1(dec_i0_pc_d[25]), .A2(n19169), .B1( n19127), .C1(n19126), .Y(n19178) ); sky130_fd_sc_hd__clkinv_1 U24389 ( .A(\trigger_pkt_any[2][tdata2][28] ), .Y( n20709) ); sky130_fd_sc_hd__nand2b_1 U24390 ( .A_N(n20697), .B( \trigger_pkt_any[2][tdata2][27] ), .Y(n19167) ); sky130_fd_sc_hd__nor2_1 U24391 ( .A(n20709), .B(n19167), .Y(n20835) ); sky130_fd_sc_hd__nand2_1 U24392 ( .A(dec_i0_pc_d[29]), .B(n20844), .Y(n19129) ); sky130_fd_sc_hd__o22ai_1 U24393 ( .A1(n20709), .A2(dec_i0_pc_d[28]), .B1( n19129), .B2(\trigger_pkt_any[2][tdata2][29] ), .Y(n19128) ); sky130_fd_sc_hd__a21oi_1 U24394 ( .A1(n19129), .A2( \trigger_pkt_any[2][tdata2][29] ), .B1(n19128), .Y(n19133) ); sky130_fd_sc_hd__nand2_1 U24395 ( .A(\trigger_pkt_any[2][tdata2][29] ), .B( n20835), .Y(n19166) ); sky130_fd_sc_hd__nor2_1 U24396 ( .A(n20838), .B(n19166), .Y(n20853) ); sky130_fd_sc_hd__nand2_1 U24397 ( .A(dec_i0_pc_d[31]), .B(n20844), .Y(n19131) ); sky130_fd_sc_hd__o22ai_1 U24398 ( .A1(n20838), .A2(dec_i0_pc_d[30]), .B1( n19131), .B2(\trigger_pkt_any[2][tdata2][31] ), .Y(n19130) ); sky130_fd_sc_hd__a21oi_1 U24399 ( .A1(n19131), .A2( \trigger_pkt_any[2][tdata2][31] ), .B1(n19130), .Y(n19132) ); sky130_fd_sc_hd__o22ai_1 U24400 ( .A1(n20835), .A2(n19133), .B1(n20853), .B2(n19132), .Y(n19177) ); sky130_fd_sc_hd__nor2b_1 U24401 ( .B_N(n19134), .A( \trigger_pkt_any[2][tdata2][25] ), .Y(n20703) ); sky130_fd_sc_hd__nor3_1 U24402 ( .A(\trigger_pkt_any[2][tdata2][16] ), .B( n20790), .C(n24245), .Y(n19142) ); sky130_fd_sc_hd__nor3_1 U24403 ( .A(\trigger_pkt_any[2][tdata2][10] ), .B( n20744), .C(n24174), .Y(n19141) ); sky130_fd_sc_hd__nand2_1 U24404 ( .A(n19135), .B(n19155), .Y(n20758) ); sky130_fd_sc_hd__nand2b_1 U24405 ( .A_N(\trigger_pkt_any[2][tdata2][2] ), .B(n19136), .Y(n20777) ); sky130_fd_sc_hd__o22ai_1 U24406 ( .A1(n19194), .A2(n20758), .B1(n19226), .B2(n20777), .Y(n19140) ); sky130_fd_sc_hd__nand2_1 U24407 ( .A(n19137), .B(n19154), .Y(n20737) ); sky130_fd_sc_hd__nand2_1 U24408 ( .A(n19138), .B(n19164), .Y(n20769) ); sky130_fd_sc_hd__o22ai_1 U24409 ( .A1(n24248), .A2(n20737), .B1(n24198), .B2(n20769), .Y(n19139) ); sky130_fd_sc_hd__nor4_1 U24410 ( .A(n19142), .B(n19141), .C(n19140), .D( n19139), .Y(n19152) ); sky130_fd_sc_hd__nor3_1 U24411 ( .A(\trigger_pkt_any[2][tdata2][14] ), .B( n20741), .C(n24222), .Y(n19143) ); sky130_fd_sc_hd__a31oi_1 U24412 ( .A1(dec_i0_pc_d[18]), .A2(n20810), .A3( n20808), .B1(n19143), .Y(n19151) ); sky130_fd_sc_hd__clkinv_1 U24413 ( .A(\trigger_pkt_any[2][tdata2][26] ), .Y( n19147) ); sky130_fd_sc_hd__nand2_1 U24414 ( .A(n19144), .B(n19163), .Y(n20823) ); sky130_fd_sc_hd__nand2b_1 U24415 ( .A_N(\trigger_pkt_any[2][tdata2][22] ), .B(n19145), .Y(n20828) ); sky130_fd_sc_hd__o22ai_1 U24416 ( .A1(n24197), .A2(n20823), .B1(n24221), .B2(n20828), .Y(n19146) ); sky130_fd_sc_hd__a31oi_1 U24417 ( .A1(dec_i0_pc_d[26]), .A2(n19147), .A3( n19168), .B1(n19146), .Y(n19150) ); sky130_fd_sc_hd__clkinv_1 U24418 ( .A(n19167), .Y(n20707) ); sky130_fd_sc_hd__nor3_1 U24419 ( .A(\trigger_pkt_any[2][tdata2][28] ), .B( n20707), .C(n19859), .Y(n19148) ); sky130_fd_sc_hd__a31oi_1 U24420 ( .A1(dec_i0_pc_d[30]), .A2(n20838), .A3( n19166), .B1(n19148), .Y(n19149) ); sky130_fd_sc_hd__nand4_1 U24421 ( .A(n19152), .B(n19151), .C(n19150), .D( n19149), .Y(n19153) ); sky130_fd_sc_hd__a21oi_1 U24422 ( .A1(dec_i0_pc_d[25]), .A2(n20703), .B1( n19153), .Y(n19175) ); sky130_fd_sc_hd__and2_0 U24423 ( .A(n19154), .B( \trigger_pkt_any[2][tdata2][8] ), .X(n20739) ); sky130_fd_sc_hd__nand2_1 U24424 ( .A(\trigger_pkt_any[2][tdata2][6] ), .B( n19155), .Y(n20756) ); sky130_fd_sc_hd__nand2_1 U24425 ( .A(\trigger_pkt_any[2][tdata2][0] ), .B( n20848), .Y(n19158) ); sky130_fd_sc_hd__nand2_1 U24426 ( .A(\trigger_pkt_any[2][tdata2][10] ), .B( n19156), .Y(n19157) ); sky130_fd_sc_hd__nand4_1 U24427 ( .A(n20756), .B(n19159), .C(n19158), .D( n19157), .Y(n19160) ); sky130_fd_sc_hd__nor4b_1 U24428 ( .D_N(n19162), .A(n19161), .B(n20739), .C( n19160), .Y(n19165) ); sky130_fd_sc_hd__nand2_1 U24429 ( .A(\trigger_pkt_any[2][tdata2][20] ), .B( n19163), .Y(n20723) ); sky130_fd_sc_hd__nand2_1 U24430 ( .A(\trigger_pkt_any[2][tdata2][12] ), .B( n19164), .Y(n20785) ); sky130_fd_sc_hd__nand4_1 U24431 ( .A(n19165), .B(n20723), .C(n20722), .D( n20785), .Y(n19173) ); sky130_fd_sc_hd__a21oi_1 U24432 ( .A1(\trigger_pkt_any[2][tdata2][28] ), .A2(n19167), .B1(\trigger_pkt_any[2][tdata2][30] ), .Y(n19171) ); sky130_fd_sc_hd__nand2_1 U24433 ( .A(\trigger_pkt_any[2][tdata2][26] ), .B( n19168), .Y(n19170) ); sky130_fd_sc_hd__o211ai_1 U24434 ( .A1(n20836), .A2(n19171), .B1(n19170), .C1(n19169), .Y(n19172) ); sky130_fd_sc_hd__a211oi_1 U24435 ( .A1(\trigger_pkt_any[2][tdata2][18] ), .A2(n20808), .B1(n19173), .C1(n19172), .Y(n19174) ); sky130_fd_sc_hd__o22ai_1 U24436 ( .A1(\trigger_pkt_any[2][select] ), .A2( n19175), .B1(n20844), .B2(n19174), .Y(n19176) ); sky130_fd_sc_hd__nor4_1 U24437 ( .A(n21795), .B(n19178), .C(n19177), .D( n19176), .Y(\dec/decode/d_t[i0trigger][2] ) ); sky130_fd_sc_hd__clkinv_1 U24438 ( .A(\trigger_pkt_any[3][tdata2][29] ), .Y( n20510) ); sky130_fd_sc_hd__clkinv_1 U24439 ( .A(\trigger_pkt_any[3][tdata2][22] ), .Y( n20534) ); sky130_fd_sc_hd__clkinv_1 U24440 ( .A(\trigger_pkt_any[3][tdata2][20] ), .Y( n20551) ); sky130_fd_sc_hd__clkinv_1 U24441 ( .A(\trigger_pkt_any[3][tdata2][16] ), .Y( n19253) ); sky130_fd_sc_hd__clkinv_1 U24442 ( .A(\trigger_pkt_any[3][tdata2][12] ), .Y( n19213) ); sky130_fd_sc_hd__clkinv_1 U24443 ( .A(\trigger_pkt_any[3][tdata2][8] ), .Y( n19195) ); sky130_fd_sc_hd__clkinv_1 U24444 ( .A(\trigger_pkt_any[3][tdata2][2] ), .Y( n19193) ); sky130_fd_sc_hd__nand4_1 U24445 ( .A(\trigger_pkt_any[3][tdata2][4] ), .B( \trigger_pkt_any[3][tdata2][3] ), .C(\trigger_pkt_any[3][tdata2][2] ), .D(\trigger_pkt_any[3][tdata2][1] ), .Y(n19182) ); sky130_fd_sc_hd__nand4_1 U24446 ( .A(\trigger_pkt_any[3][tdata2][8] ), .B( \trigger_pkt_any[3][tdata2][7] ), .C(\trigger_pkt_any[3][tdata2][6] ), .D(\trigger_pkt_any[3][tdata2][5] ), .Y(n19181) ); sky130_fd_sc_hd__nand4_1 U24447 ( .A(\trigger_pkt_any[3][tdata2][26] ), .B( \trigger_pkt_any[3][tdata2][25] ), .C(\trigger_pkt_any[3][tdata2][30] ), .D(\trigger_pkt_any[3][tdata2][28] ), .Y(n19180) ); sky130_fd_sc_hd__nand4_1 U24448 ( .A(\trigger_pkt_any[3][tdata2][0] ), .B( \trigger_pkt_any[3][tdata2][29] ), .C(\trigger_pkt_any[3][tdata2][31] ), .D(\trigger_pkt_any[3][tdata2][27] ), .Y(n19179) ); sky130_fd_sc_hd__nor4_1 U24449 ( .A(n19182), .B(n19181), .C(n19180), .D( n19179), .Y(n19188) ); sky130_fd_sc_hd__nand4_1 U24450 ( .A(\trigger_pkt_any[3][tdata2][20] ), .B( \trigger_pkt_any[3][tdata2][19] ), .C(\trigger_pkt_any[3][tdata2][18] ), .D(\trigger_pkt_any[3][tdata2][17] ), .Y(n19186) ); sky130_fd_sc_hd__nand4_1 U24451 ( .A(\trigger_pkt_any[3][tdata2][24] ), .B( \trigger_pkt_any[3][tdata2][23] ), .C(\trigger_pkt_any[3][tdata2][22] ), .D(\trigger_pkt_any[3][tdata2][21] ), .Y(n19185) ); sky130_fd_sc_hd__nand4_1 U24452 ( .A(\trigger_pkt_any[3][tdata2][12] ), .B( \trigger_pkt_any[3][tdata2][11] ), .C(\trigger_pkt_any[3][tdata2][10] ), .D(\trigger_pkt_any[3][tdata2][9] ), .Y(n19184) ); sky130_fd_sc_hd__nand4_1 U24453 ( .A(\trigger_pkt_any[3][tdata2][16] ), .B( \trigger_pkt_any[3][tdata2][15] ), .C(\trigger_pkt_any[3][tdata2][14] ), .D(\trigger_pkt_any[3][tdata2][13] ), .Y(n19183) ); sky130_fd_sc_hd__nor4_1 U24454 ( .A(n19186), .B(n19185), .C(n19184), .D( n19183), .Y(n19187) ); sky130_fd_sc_hd__a21boi_0 U24455 ( .A1(n19188), .A2(n19187), .B1_N( \trigger_pkt_any[3][match] ), .Y(n19280) ); sky130_fd_sc_hd__nand2_1 U24456 ( .A(\trigger_pkt_any[3][tdata2][0] ), .B( n19280), .Y(n20504) ); sky130_fd_sc_hd__nand2b_1 U24457 ( .A_N(n20504), .B( \trigger_pkt_any[3][tdata2][1] ), .Y(n19218) ); sky130_fd_sc_hd__nor2_1 U24458 ( .A(n19193), .B(n19218), .Y(n20637) ); sky130_fd_sc_hd__and2_0 U24459 ( .A(\trigger_pkt_any[3][tdata2][3] ), .B( n20637), .X(n20605) ); sky130_fd_sc_hd__nand2_1 U24460 ( .A(\trigger_pkt_any[3][tdata2][4] ), .B( n20605), .Y(n20594) ); sky130_fd_sc_hd__nand2b_1 U24461 ( .A_N(n20594), .B( \trigger_pkt_any[3][tdata2][5] ), .Y(n19279) ); sky130_fd_sc_hd__nor2_1 U24462 ( .A(n19237), .B(n19279), .Y(n20598) ); sky130_fd_sc_hd__nand2_1 U24463 ( .A(\trigger_pkt_any[3][tdata2][7] ), .B( n20598), .Y(n19243) ); sky130_fd_sc_hd__nor2_1 U24464 ( .A(n19195), .B(n19243), .Y(n20577) ); sky130_fd_sc_hd__nand2_1 U24465 ( .A(\trigger_pkt_any[3][tdata2][9] ), .B( n20577), .Y(n19242) ); sky130_fd_sc_hd__clkinv_1 U24466 ( .A(n19242), .Y(n19192) ); sky130_fd_sc_hd__nand2_1 U24467 ( .A(\trigger_pkt_any[3][tdata2][10] ), .B( n19192), .Y(n20557) ); sky130_fd_sc_hd__clkinv_1 U24468 ( .A(\trigger_pkt_any[3][tdata2][11] ), .Y( n20559) ); sky130_fd_sc_hd__or2_0 U24469 ( .A(n20557), .B(n20559), .X(n19278) ); sky130_fd_sc_hd__nor2_1 U24470 ( .A(n19213), .B(n19278), .Y(n20563) ); sky130_fd_sc_hd__nand2_1 U24471 ( .A(\trigger_pkt_any[3][tdata2][13] ), .B( n20563), .Y(n19285) ); sky130_fd_sc_hd__nor2_1 U24472 ( .A(n19250), .B(n19285), .Y(n20626) ); sky130_fd_sc_hd__nand2_1 U24473 ( .A(\trigger_pkt_any[3][tdata2][15] ), .B( n20626), .Y(n19284) ); sky130_fd_sc_hd__nor2_1 U24474 ( .A(n19253), .B(n19284), .Y(n20646) ); sky130_fd_sc_hd__nand2_1 U24475 ( .A(\trigger_pkt_any[3][tdata2][17] ), .B( n20646), .Y(n19283) ); sky130_fd_sc_hd__nor2_1 U24476 ( .A(n19258), .B(n19283), .Y(n20543) ); sky130_fd_sc_hd__nand2_1 U24477 ( .A(\trigger_pkt_any[3][tdata2][19] ), .B( n20543), .Y(n20549) ); sky130_fd_sc_hd__nor2_1 U24478 ( .A(n20551), .B(n20549), .Y(n20674) ); sky130_fd_sc_hd__nand2_1 U24479 ( .A(\trigger_pkt_any[3][tdata2][21] ), .B( n20674), .Y(n19210) ); sky130_fd_sc_hd__nor2_1 U24480 ( .A(n20534), .B(n19210), .Y(n20541) ); sky130_fd_sc_hd__and2_0 U24481 ( .A(\trigger_pkt_any[3][tdata2][23] ), .B( n20541), .X(n20667) ); sky130_fd_sc_hd__nand2_1 U24482 ( .A(\trigger_pkt_any[3][tdata2][24] ), .B( n20667), .Y(n20529) ); sky130_fd_sc_hd__nand2b_1 U24483 ( .A_N(n20529), .B( \trigger_pkt_any[3][tdata2][25] ), .Y(n20663) ); sky130_fd_sc_hd__nor2_1 U24484 ( .A(n20665), .B(n20663), .Y(n20514) ); sky130_fd_sc_hd__nand2_1 U24485 ( .A(\trigger_pkt_any[3][tdata2][27] ), .B( n20514), .Y(n19276) ); sky130_fd_sc_hd__nand2_1 U24486 ( .A(\trigger_pkt_any[3][tdata2][28] ), .B( n20688), .Y(n20508) ); sky130_fd_sc_hd__nor2_1 U24487 ( .A(n20510), .B(n20508), .Y(n20526) ); sky130_fd_sc_hd__nor2_1 U24488 ( .A(\trigger_pkt_any[3][select] ), .B(n19939), .Y(n19189) ); sky130_fd_sc_hd__xor2_1 U24489 ( .A(\trigger_pkt_any[3][tdata2][31] ), .B( n19189), .X(n19190) ); sky130_fd_sc_hd__a21oi_1 U24490 ( .A1(n19931), .A2( \trigger_pkt_any[3][tdata2][30] ), .B1(n19190), .Y(n19191) ); sky130_fd_sc_hd__a21oi_1 U24491 ( .A1(\trigger_pkt_any[3][tdata2][30] ), .A2(n20526), .B1(n19191), .Y(n19302) ); sky130_fd_sc_hd__nor2_1 U24492 ( .A(\trigger_pkt_any[3][tdata2][10] ), .B( n19192), .Y(n20621) ); sky130_fd_sc_hd__nand2_1 U24493 ( .A(n19237), .B(n19279), .Y(n20611) ); sky130_fd_sc_hd__nand2_1 U24494 ( .A(n19193), .B(n19218), .Y(n20586) ); sky130_fd_sc_hd__o22ai_1 U24495 ( .A1(n19194), .A2(n20611), .B1(n19226), .B2(n20586), .Y(n19197) ); sky130_fd_sc_hd__nand2_1 U24496 ( .A(n19195), .B(n19243), .Y(n20581) ); sky130_fd_sc_hd__nand2_1 U24497 ( .A(n19213), .B(n19278), .Y(n20572) ); sky130_fd_sc_hd__o22ai_1 U24498 ( .A1(n24248), .A2(n20581), .B1(n24198), .B2(n20572), .Y(n19196) ); sky130_fd_sc_hd__a211oi_1 U24499 ( .A1(dec_i0_pc_d[10]), .A2(n20621), .B1( n19197), .C1(n19196), .Y(n19206) ); sky130_fd_sc_hd__and2_0 U24500 ( .A(n19283), .B(n19258), .X(n20654) ); sky130_fd_sc_hd__nand2_1 U24501 ( .A(n19253), .B(n19284), .Y(n20554) ); sky130_fd_sc_hd__nand2_1 U24502 ( .A(n19250), .B(n19285), .Y(n20622) ); sky130_fd_sc_hd__o22ai_1 U24503 ( .A1(n24245), .A2(n20554), .B1(n24222), .B2(n20622), .Y(n19198) ); sky130_fd_sc_hd__a21oi_1 U24504 ( .A1(dec_i0_pc_d[18]), .A2(n20654), .B1( n19198), .Y(n19205) ); sky130_fd_sc_hd__nand2_1 U24505 ( .A(dec_i0_pc_d[25]), .B(n20529), .Y(n19199) ); sky130_fd_sc_hd__nand2_1 U24506 ( .A(n20534), .B(n19210), .Y(n20538) ); sky130_fd_sc_hd__o22ai_1 U24507 ( .A1(\trigger_pkt_any[3][tdata2][25] ), .A2(n19199), .B1(n24221), .B2(n20538), .Y(n19200) ); sky130_fd_sc_hd__a31oi_1 U24508 ( .A1(dec_i0_pc_d[20]), .A2(n20551), .A3( n20549), .B1(n19200), .Y(n19204) ); sky130_fd_sc_hd__nor3_1 U24509 ( .A(\trigger_pkt_any[3][tdata2][30] ), .B( n20526), .C(n19931), .Y(n19201) ); sky130_fd_sc_hd__a31oi_1 U24510 ( .A1(dec_i0_pc_d[28]), .A2(n19202), .A3( n19276), .B1(n19201), .Y(n19203) ); sky130_fd_sc_hd__nand4_1 U24511 ( .A(n19206), .B(n19205), .C(n19204), .D( n19203), .Y(n19207) ); sky130_fd_sc_hd__a31oi_1 U24512 ( .A1(dec_i0_pc_d[26]), .A2(n20665), .A3( n20663), .B1(n19207), .Y(n19300) ); sky130_fd_sc_hd__nor2_1 U24513 ( .A(\trigger_pkt_any[3][select] ), .B(n19899), .Y(n19209) ); sky130_fd_sc_hd__o21ai_1 U24514 ( .A1(\trigger_pkt_any[3][tdata2][29] ), .A2(n19209), .B1(n20508), .Y(n19208) ); sky130_fd_sc_hd__a21oi_1 U24515 ( .A1(\trigger_pkt_any[3][tdata2][29] ), .A2(n19209), .B1(n19208), .Y(n19298) ); sky130_fd_sc_hd__nand2_1 U24516 ( .A(\trigger_pkt_any[3][tdata2][22] ), .B( n19210), .Y(n19289) ); sky130_fd_sc_hd__nor2_1 U24517 ( .A(\trigger_pkt_any[3][select] ), .B(n24234), .Y(n19212) ); sky130_fd_sc_hd__o21bai_1 U24518 ( .A1(\trigger_pkt_any[3][tdata2][23] ), .A2(n19212), .B1_N(n20541), .Y(n19211) ); sky130_fd_sc_hd__a21oi_1 U24519 ( .A1(\trigger_pkt_any[3][tdata2][23] ), .A2(n19212), .B1(n19211), .Y(n19268) ); sky130_fd_sc_hd__nand2_1 U24520 ( .A(dec_i0_pc_d[13]), .B(n20673), .Y(n19215) ); sky130_fd_sc_hd__o22ai_1 U24521 ( .A1(n19213), .A2(dec_i0_pc_d[12]), .B1( n19215), .B2(\trigger_pkt_any[3][tdata2][13] ), .Y(n19214) ); sky130_fd_sc_hd__a21oi_1 U24522 ( .A1(n19215), .A2( \trigger_pkt_any[3][tdata2][13] ), .B1(n19214), .Y(n19249) ); sky130_fd_sc_hd__nor2_1 U24523 ( .A(\trigger_pkt_any[3][select] ), .B(n24131), .Y(n19217) ); sky130_fd_sc_hd__o21ai_1 U24524 ( .A1(\trigger_pkt_any[3][tdata2][11] ), .A2(n19217), .B1(n20557), .Y(n19216) ); sky130_fd_sc_hd__a21oi_1 U24525 ( .A1(\trigger_pkt_any[3][tdata2][11] ), .A2(n19217), .B1(n19216), .Y(n19247) ); sky130_fd_sc_hd__nand2_1 U24526 ( .A(n19218), .B( \trigger_pkt_any[3][tdata2][2] ), .Y(n20571) ); sky130_fd_sc_hd__clkinv_1 U24527 ( .A(n20571), .Y(n19227) ); sky130_fd_sc_hd__nor2_1 U24528 ( .A(\trigger_pkt_any[3][select] ), .B(n19219), .Y(n19221) ); sky130_fd_sc_hd__o21ai_1 U24529 ( .A1(\trigger_pkt_any[3][tdata2][1] ), .A2( n19221), .B1(n20504), .Y(n19220) ); sky130_fd_sc_hd__a21oi_1 U24530 ( .A1(\trigger_pkt_any[3][tdata2][1] ), .A2( n19221), .B1(n19220), .Y(n19225) ); sky130_fd_sc_hd__nor2_1 U24531 ( .A(\trigger_pkt_any[3][select] ), .B(n24210), .Y(n19223) ); sky130_fd_sc_hd__o21ai_1 U24532 ( .A1(\trigger_pkt_any[3][tdata2][5] ), .A2( n19223), .B1(n20594), .Y(n19222) ); sky130_fd_sc_hd__a21oi_1 U24533 ( .A1(\trigger_pkt_any[3][tdata2][5] ), .A2( n19223), .B1(n19222), .Y(n19224) ); sky130_fd_sc_hd__a211oi_1 U24534 ( .A1(n19227), .A2(n19226), .B1(n19225), .C1(n19224), .Y(n19231) ); sky130_fd_sc_hd__nor2_1 U24535 ( .A(\trigger_pkt_any[3][select] ), .B(n24251), .Y(n19229) ); sky130_fd_sc_hd__a21oi_1 U24536 ( .A1(\trigger_pkt_any[3][tdata2][9] ), .A2( n19229), .B1(n20577), .Y(n19228) ); sky130_fd_sc_hd__o21ai_1 U24537 ( .A1(\trigger_pkt_any[3][tdata2][9] ), .A2( n19229), .B1(n19228), .Y(n19230) ); sky130_fd_sc_hd__nand4_1 U24538 ( .A(\dec/n63 ), .B(\dec/n62 ), .C(n19231), .D(n19230), .Y(n19246) ); sky130_fd_sc_hd__nand2_1 U24539 ( .A(dec_i0_pc_d[4]), .B(n20673), .Y(n19236) ); sky130_fd_sc_hd__nor2_1 U24540 ( .A(\trigger_pkt_any[3][select] ), .B(n24186), .Y(n19233) ); sky130_fd_sc_hd__a21oi_1 U24541 ( .A1(\trigger_pkt_any[3][tdata2][3] ), .A2( n19233), .B1(n20637), .Y(n19232) ); sky130_fd_sc_hd__o21ai_1 U24542 ( .A1(\trigger_pkt_any[3][tdata2][3] ), .A2( n19233), .B1(n19232), .Y(n19234) ); sky130_fd_sc_hd__o21ai_1 U24543 ( .A1(\trigger_pkt_any[3][tdata2][4] ), .A2( n19236), .B1(n19234), .Y(n19235) ); sky130_fd_sc_hd__a21oi_1 U24544 ( .A1(\trigger_pkt_any[3][tdata2][4] ), .A2( n19236), .B1(n19235), .Y(n19241) ); sky130_fd_sc_hd__nand2_1 U24545 ( .A(dec_i0_pc_d[7]), .B(n20673), .Y(n19239) ); sky130_fd_sc_hd__o22ai_1 U24546 ( .A1(n19237), .A2(dec_i0_pc_d[6]), .B1( n19239), .B2(\trigger_pkt_any[3][tdata2][7] ), .Y(n19238) ); sky130_fd_sc_hd__a21oi_1 U24547 ( .A1(n19239), .A2( \trigger_pkt_any[3][tdata2][7] ), .B1(n19238), .Y(n19240) ); sky130_fd_sc_hd__o22ai_1 U24548 ( .A1(n20605), .A2(n19241), .B1(n20598), .B2(n19240), .Y(n19245) ); sky130_fd_sc_hd__nand2_1 U24549 ( .A(\trigger_pkt_any[3][tdata2][10] ), .B( n19242), .Y(n20618) ); sky130_fd_sc_hd__nand2_1 U24550 ( .A(\trigger_pkt_any[3][tdata2][8] ), .B( n19243), .Y(n19277) ); sky130_fd_sc_hd__o22ai_1 U24551 ( .A1(dec_i0_pc_d[10]), .A2(n20618), .B1( dec_i0_pc_d[8]), .B2(n19277), .Y(n19244) ); sky130_fd_sc_hd__nor4_1 U24552 ( .A(n19247), .B(n19246), .C(n19245), .D( n19244), .Y(n19248) ); sky130_fd_sc_hd__o21ai_1 U24553 ( .A1(n20563), .A2(n19249), .B1(n19248), .Y( n19267) ); sky130_fd_sc_hd__nand2_1 U24554 ( .A(dec_i0_pc_d[15]), .B(n20673), .Y(n19252) ); sky130_fd_sc_hd__o22ai_1 U24555 ( .A1(n19250), .A2(dec_i0_pc_d[14]), .B1( n19252), .B2(\trigger_pkt_any[3][tdata2][15] ), .Y(n19251) ); sky130_fd_sc_hd__a21oi_1 U24556 ( .A1(n19252), .A2( \trigger_pkt_any[3][tdata2][15] ), .B1(n19251), .Y(n19257) ); sky130_fd_sc_hd__nand2_1 U24557 ( .A(dec_i0_pc_d[17]), .B(n20673), .Y(n19255) ); sky130_fd_sc_hd__o22ai_1 U24558 ( .A1(n19253), .A2(dec_i0_pc_d[16]), .B1( n19255), .B2(\trigger_pkt_any[3][tdata2][17] ), .Y(n19254) ); sky130_fd_sc_hd__a21oi_1 U24559 ( .A1(n19255), .A2( \trigger_pkt_any[3][tdata2][17] ), .B1(n19254), .Y(n19256) ); sky130_fd_sc_hd__o22ai_1 U24560 ( .A1(n20626), .A2(n19257), .B1(n20646), .B2(n19256), .Y(n19266) ); sky130_fd_sc_hd__nand2_1 U24561 ( .A(dec_i0_pc_d[19]), .B(n20673), .Y(n19260) ); sky130_fd_sc_hd__o22ai_1 U24562 ( .A1(n19258), .A2(dec_i0_pc_d[18]), .B1( n19260), .B2(\trigger_pkt_any[3][tdata2][19] ), .Y(n19259) ); sky130_fd_sc_hd__a21oi_1 U24563 ( .A1(n19260), .A2( \trigger_pkt_any[3][tdata2][19] ), .B1(n19259), .Y(n19264) ); sky130_fd_sc_hd__nand2_1 U24564 ( .A(dec_i0_pc_d[21]), .B(n20673), .Y(n19262) ); sky130_fd_sc_hd__o22ai_1 U24565 ( .A1(n20551), .A2(dec_i0_pc_d[20]), .B1( n19262), .B2(\trigger_pkt_any[3][tdata2][21] ), .Y(n19261) ); sky130_fd_sc_hd__a21oi_1 U24566 ( .A1(n19262), .A2( \trigger_pkt_any[3][tdata2][21] ), .B1(n19261), .Y(n19263) ); sky130_fd_sc_hd__o22ai_1 U24567 ( .A1(n20543), .A2(n19264), .B1(n20674), .B2(n19263), .Y(n19265) ); sky130_fd_sc_hd__nor4_1 U24568 ( .A(n19268), .B(n19267), .C(n19266), .D( n19265), .Y(n19272) ); sky130_fd_sc_hd__nor2_1 U24569 ( .A(\trigger_pkt_any[3][select] ), .B(n24246), .Y(n19270) ); sky130_fd_sc_hd__a21oi_1 U24570 ( .A1(\trigger_pkt_any[3][tdata2][24] ), .A2(n19270), .B1(n20667), .Y(n19269) ); sky130_fd_sc_hd__o21ai_1 U24571 ( .A1(\trigger_pkt_any[3][tdata2][24] ), .A2(n19270), .B1(n19269), .Y(n19271) ); sky130_fd_sc_hd__o211ai_1 U24572 ( .A1(dec_i0_pc_d[22]), .A2(n19289), .B1( n19272), .C1(n19271), .Y(n19297) ); sky130_fd_sc_hd__nand2_1 U24573 ( .A(dec_i0_pc_d[27]), .B(n20673), .Y(n19274) ); sky130_fd_sc_hd__o22ai_1 U24574 ( .A1(n20665), .A2(dec_i0_pc_d[26]), .B1( n19274), .B2(\trigger_pkt_any[3][tdata2][27] ), .Y(n19273) ); sky130_fd_sc_hd__a21oi_1 U24575 ( .A1(n19274), .A2( \trigger_pkt_any[3][tdata2][27] ), .B1(n19273), .Y(n19275) ); sky130_fd_sc_hd__nand2_1 U24576 ( .A(\trigger_pkt_any[3][tdata2][25] ), .B( n20529), .Y(n19288) ); sky130_fd_sc_hd__o22ai_1 U24577 ( .A1(n20514), .A2(n19275), .B1( dec_i0_pc_d[25]), .B2(n19288), .Y(n19296) ); sky130_fd_sc_hd__nand2_1 U24578 ( .A(\trigger_pkt_any[3][tdata2][28] ), .B( n19276), .Y(n19294) ); sky130_fd_sc_hd__nand2_1 U24579 ( .A(\trigger_pkt_any[3][tdata2][12] ), .B( n19278), .Y(n20568) ); sky130_fd_sc_hd__nand2_1 U24580 ( .A(\trigger_pkt_any[3][tdata2][6] ), .B( n19279), .Y(n20609) ); sky130_fd_sc_hd__clkinv_1 U24581 ( .A(n19280), .Y(n20690) ); sky130_fd_sc_hd__nand2_1 U24582 ( .A(\trigger_pkt_any[3][tdata2][0] ), .B( n20690), .Y(n19281) ); sky130_fd_sc_hd__nand4_1 U24583 ( .A(n20568), .B(n20609), .C(n19281), .D( n20571), .Y(n19282) ); sky130_fd_sc_hd__nor3b_1 U24584 ( .C_N(n20618), .A(n20583), .B(n19282), .Y( n19286) ); sky130_fd_sc_hd__nand2_1 U24585 ( .A(\trigger_pkt_any[3][tdata2][18] ), .B( n19283), .Y(n20657) ); sky130_fd_sc_hd__nand2_1 U24586 ( .A(\trigger_pkt_any[3][tdata2][16] ), .B( n19284), .Y(n20651) ); sky130_fd_sc_hd__nand2_1 U24587 ( .A(\trigger_pkt_any[3][tdata2][14] ), .B( n19285), .Y(n20631) ); sky130_fd_sc_hd__nand4_1 U24588 ( .A(n19286), .B(n20657), .C(n20651), .D( n20631), .Y(n19287) ); sky130_fd_sc_hd__a21oi_1 U24589 ( .A1(\trigger_pkt_any[3][tdata2][20] ), .A2(n20549), .B1(n19287), .Y(n19291) ); sky130_fd_sc_hd__nand2b_1 U24590 ( .A_N(n20526), .B( \trigger_pkt_any[3][tdata2][30] ), .Y(n19290) ); sky130_fd_sc_hd__nand4_1 U24591 ( .A(n19291), .B(n19290), .C(n19289), .D( n19288), .Y(n19292) ); sky130_fd_sc_hd__a21oi_1 U24592 ( .A1(\trigger_pkt_any[3][tdata2][26] ), .A2(n20663), .B1(n19292), .Y(n19293) ); sky130_fd_sc_hd__a222oi_1 U24593 ( .A1(n19294), .A2(n20673), .B1(n19294), .B2(n19293), .C1(n20673), .C2(dec_i0_pc_d[28]), .Y(n19295) ); sky130_fd_sc_hd__nor4_1 U24594 ( .A(n19298), .B(n19297), .C(n19296), .D( n19295), .Y(n19299) ); sky130_fd_sc_hd__o21ai_1 U24595 ( .A1(\trigger_pkt_any[3][select] ), .A2( n19300), .B1(n19299), .Y(n19301) ); sky130_fd_sc_hd__nor3_1 U24596 ( .A(n21795), .B(n19302), .C(n19301), .Y( \dec/decode/d_t[i0trigger][3] ) ); sky130_fd_sc_hd__nand3_1 U24597 ( .A(n19304), .B(n19313), .C(n19303), .Y( n21759) ); sky130_fd_sc_hd__nor2_1 U24598 ( .A(n24025), .B(n21759), .Y(n24028) ); sky130_fd_sc_hd__a21oi_1 U24599 ( .A1(n21757), .A2(dbg_cmd_wrdata[0]), .B1( n24028), .Y(n19305) ); sky130_fd_sc_hd__nor2_1 U24600 ( .A(n24055), .B(n19305), .Y( \dec/decode/d_t[fence_i] ) ); sky130_fd_sc_hd__nor2_1 U24601 ( .A(n19306), .B(n24055), .Y( \dec/decode/d_t[icaf_f1] ) ); sky130_fd_sc_hd__a21oi_1 U24602 ( .A1(n19306), .A2(n24296), .B1(n24055), .Y( \dec/decode/d_t[icaf] ) ); sky130_fd_sc_hd__nor2b_1 U24603 ( .B_N(\dec/decode/r_d[i0valid] ), .A(n24012), .Y(\dec/dec_tlu_i0_valid_r ) ); sky130_fd_sc_hd__nor2_1 U24604 ( .A(n19307), .B(dec_i0_decode_d), .Y( \dec/dec_pmu_decode_stall ) ); sky130_fd_sc_hd__nand2_1 U24605 ( .A(\dec/decode/r_d[i0valid] ), .B( \dec/decode/r_d[csrwen] ), .Y(n19312) ); sky130_fd_sc_hd__nor4_1 U24606 ( .A(\dec/dec_csr_wraddr_r [6]), .B( \dec/dec_csr_wraddr_r [7]), .C(\dec/dec_csr_wraddr_r [4]), .D( \dec/dec_csr_wraddr_r [5]), .Y(n19309) ); sky130_fd_sc_hd__nor2_1 U24607 ( .A(\dec/dec_csr_wraddr_r [10]), .B( \dec/dec_tlu_i0_kill_writeb_wb ), .Y(n19308) ); sky130_fd_sc_hd__nand4_1 U24608 ( .A(n19309), .B(\dec/dec_csr_wraddr_r [9]), .C(\dec/dec_csr_wraddr_r [8]), .D(n19308), .Y(n19310) ); sky130_fd_sc_hd__or4_1 U24609 ( .A(\dec/dec_csr_wraddr_r [3]), .B( \dec/dec_csr_wraddr_r [11]), .C(n19312), .D(n19310), .X(n19311) ); sky130_fd_sc_hd__nor3_1 U24610 ( .A(\dec/dec_csr_wraddr_r [0]), .B( \dec/dec_csr_wraddr_r [1]), .C(n19311), .Y(\dec/dec_csr_stall_int_ff ) ); sky130_fd_sc_hd__nor2_1 U24611 ( .A(dec_tlu_i0_kill_writeb_r), .B(n19312), .Y(\dec/dec_csr_wen_r ) ); sky130_fd_sc_hd__nor4_1 U24612 ( .A(n24033), .B(n19313), .C(n24032), .D( n24055), .Y(\dec/decode/csr_set_d ) ); sky130_fd_sc_hd__nor4_1 U24613 ( .A(n24033), .B(n19314), .C(n24032), .D( n24055), .Y(\dec/decode/csr_clr_d ) ); sky130_fd_sc_hd__nor2_1 U24614 ( .A(n24562), .B(n24055), .Y( \dec/decode/csr_read ) ); sky130_fd_sc_hd__nor3_1 U24615 ( .A(n24119), .B(n19315), .C(n24055), .Y( \exu/i0_predict_p_d[br_start_error] ) ); sky130_fd_sc_hd__nand2_1 U24616 ( .A(dec_i0_decode_d), .B(n19316), .Y(n21793) ); sky130_fd_sc_hd__a21oi_1 U24617 ( .A1(n19317), .A2(n21793), .B1( \dec/decode/flush_final_r ), .Y(\dec/decode/illegal_lockout_in ) ); sky130_fd_sc_hd__o22ai_1 U24618 ( .A1(n19318), .A2(n19339), .B1(n19334), .B2(\exu/i0_predict_p_d[ataken] ), .Y(\exu/i0_predict_p_d[hist][0] ) ); sky130_fd_sc_hd__a22oi_1 U24619 ( .A1(n24279), .A2(\ifu/aln/brdata0 [10]), .B1(n24282), .B2(\ifu/aln/brdata1 [4]), .Y(n19322) ); sky130_fd_sc_hd__a22oi_1 U24620 ( .A1(n24280), .A2(\ifu/aln/brdata0 [4]), .B1(n24283), .B2(\ifu/aln/brdata2 [4]), .Y(n19321) ); sky130_fd_sc_hd__a22oi_1 U24621 ( .A1(n24278), .A2(\ifu/aln/brdata2 [10]), .B1(n24281), .B2(\ifu/aln/brdata1 [10]), .Y(n19320) ); sky130_fd_sc_hd__a31oi_1 U24622 ( .A1(n19322), .A2(n19321), .A3(n19320), .B1(n19319), .Y(n19333) ); sky130_fd_sc_hd__a22oi_1 U24623 ( .A1(n24270), .A2(\ifu/aln/brdata2 [10]), .B1(n24269), .B2(\ifu/aln/brdata1 [10]), .Y(n19326) ); sky130_fd_sc_hd__nand2_1 U24624 ( .A(n24268), .B(\ifu/aln/brdata0 [10]), .Y( n19325) ); sky130_fd_sc_hd__a21oi_1 U24625 ( .A1(n19326), .A2(n19325), .B1(n19323), .Y( n19332) ); sky130_fd_sc_hd__a22oi_1 U24626 ( .A1(n24271), .A2(\ifu/aln/brdata1 [4]), .B1(n24276), .B2(\ifu/aln/brdata0 [4]), .Y(n19330) ); sky130_fd_sc_hd__o22ai_1 U24627 ( .A1(n19327), .A2(n19326), .B1(n19325), .B2(n19324), .Y(n19328) ); sky130_fd_sc_hd__a21oi_1 U24628 ( .A1(n24272), .A2(\ifu/aln/brdata2 [4]), .B1(n19328), .Y(n19329) ); sky130_fd_sc_hd__a21oi_1 U24629 ( .A1(n19330), .A2(n19329), .B1(n23931), .Y( n19331) ); sky130_fd_sc_hd__or3_1 U24630 ( .A(n19333), .B(n19332), .C(n19331), .X( n19336) ); sky130_fd_sc_hd__nand2_1 U24631 ( .A(n19336), .B(n19334), .Y(n19335) ); sky130_fd_sc_hd__o21ai_1 U24632 ( .A1(n19339), .A2(n19336), .B1(n19335), .Y( \exu/i0_predict_p_d[hist][1] ) ); sky130_fd_sc_hd__and3_1 U24633 ( .A(n24520), .B(n19338), .C(n19337), .X( \exu/i0_predict_p_d[misp] ) ); sky130_fd_sc_hd__nor2_1 U24634 ( .A(n19339), .B(n19345), .Y(\exu/i0_taken_d ) ); sky130_fd_sc_hd__nand2_1 U24635 ( .A(n19341), .B(n19340), .Y(n19344) ); sky130_fd_sc_hd__nand2_1 U24636 ( .A(n19342), .B(\exu/i0_taken_d ), .Y( n19343) ); sky130_fd_sc_hd__o21ai_1 U24637 ( .A1(n19345), .A2(n19344), .B1(n19343), .Y( \exu/i0_pred_correct_upper_d ) ); sky130_fd_sc_hd__o22ai_1 U24638 ( .A1(n19365), .A2(n19434), .B1(n25109), .B2(n19398), .Y(n19395) ); sky130_fd_sc_hd__o22ai_1 U24639 ( .A1(n19365), .A2(n19346), .B1(n25109), .B2(n19368), .Y(n19347) ); sky130_fd_sc_hd__o22ai_1 U24640 ( .A1(n19415), .A2(n19395), .B1(n19428), .B2(n19347), .Y(n19442) ); sky130_fd_sc_hd__o22ai_1 U24641 ( .A1(n19365), .A2(n24541), .B1(n25109), .B2(n24540), .Y(n19393) ); sky130_fd_sc_hd__o22ai_1 U24642 ( .A1(n19365), .A2(n24539), .B1(n25109), .B2(n19456), .Y(n19396) ); sky130_fd_sc_hd__o22ai_1 U24643 ( .A1(n19415), .A2(n19393), .B1(n19428), .B2(n19396), .Y(n19503) ); sky130_fd_sc_hd__a221oi_1 U24644 ( .A1(n19443), .A2(n19442), .B1(n19949), .B2(n19503), .C1(n19624), .Y(n19361) ); sky130_fd_sc_hd__o22ai_1 U24645 ( .A1(n19365), .A2(n24545), .B1(n25109), .B2(n24544), .Y(n19390) ); sky130_fd_sc_hd__o22ai_1 U24646 ( .A1(n19365), .A2(n24543), .B1(n25109), .B2(n24542), .Y(n19394) ); sky130_fd_sc_hd__o22ai_1 U24647 ( .A1(n19415), .A2(n19390), .B1(n19428), .B2(n19394), .Y(n19561) ); sky130_fd_sc_hd__o22ai_1 U24648 ( .A1(n19365), .A2(n24549), .B1(n25109), .B2(n24548), .Y(n19388) ); sky130_fd_sc_hd__o22ai_1 U24649 ( .A1(n19365), .A2(n24547), .B1(n25109), .B2(n24546), .Y(n19391) ); sky130_fd_sc_hd__o22ai_1 U24650 ( .A1(n19415), .A2(n19388), .B1(n19428), .B2(n19391), .Y(n19642) ); sky130_fd_sc_hd__a22o_1 U24651 ( .A1(n19443), .A2(n19561), .B1(n19949), .B2( n19642), .X(n19508) ); sky130_fd_sc_hd__o22ai_1 U24652 ( .A1(n19365), .A2(n24553), .B1(n25109), .B2(n24552), .Y(n19387) ); sky130_fd_sc_hd__o22ai_1 U24653 ( .A1(n19365), .A2(n24551), .B1(n25109), .B2(n24550), .Y(n19389) ); sky130_fd_sc_hd__o22ai_1 U24654 ( .A1(n19415), .A2(n19387), .B1(n19428), .B2(n19389), .Y(n19711) ); sky130_fd_sc_hd__o22ai_1 U24655 ( .A1(n19365), .A2(n19766), .B1(n25109), .B2(n19755), .Y(n19384) ); sky130_fd_sc_hd__o22ai_1 U24656 ( .A1(n19365), .A2(n19735), .B1(n25109), .B2(n19708), .Y(n19386) ); sky130_fd_sc_hd__o22ai_1 U24657 ( .A1(n19415), .A2(n19384), .B1(n19428), .B2(n19386), .Y(n19784) ); sky130_fd_sc_hd__o2bb2ai_1 U24658 ( .B1(n19949), .B2(n19711), .A1_N(n19949), .A2_N(n19784), .Y(n19506) ); sky130_fd_sc_hd__o22ai_1 U24659 ( .A1(n19365), .A2(n19932), .B1(n25109), .B2(n19357), .Y(n19380) ); sky130_fd_sc_hd__o22ai_1 U24660 ( .A1(n19365), .A2(n19902), .B1(n25109), .B2(n19358), .Y(n19383) ); sky130_fd_sc_hd__o22ai_1 U24661 ( .A1(n19415), .A2(n19380), .B1(n19428), .B2(n19383), .Y(n19444) ); sky130_fd_sc_hd__o22ai_1 U24662 ( .A1(n19365), .A2(n19843), .B1(n25109), .B2(n19359), .Y(n19382) ); sky130_fd_sc_hd__o22ai_1 U24663 ( .A1(n19365), .A2(n19811), .B1(n25109), .B2(n19782), .Y(n19385) ); sky130_fd_sc_hd__o22ai_1 U24664 ( .A1(n19415), .A2(n19382), .B1(n19428), .B2(n19385), .Y(n19445) ); sky130_fd_sc_hd__o22ai_1 U24665 ( .A1(n19443), .A2(n19444), .B1(n19949), .B2(n19445), .Y(n19505) ); sky130_fd_sc_hd__o2bb2ai_1 U24666 ( .B1(n19634), .B2(n19506), .A1_N(n19634), .A2_N(n19505), .Y(n19645) ); sky130_fd_sc_hd__o22ai_1 U24667 ( .A1(n19508), .A2(n19622), .B1(n19956), .B2(n19645), .Y(n19360) ); sky130_fd_sc_hd__o21ai_1 U24668 ( .A1(n19361), .A2(n19360), .B1(n19446), .Y( n19374) ); sky130_fd_sc_hd__fa_1 U24669 ( .A(n19376), .B(n19363), .CIN(n19362), .COUT( n16319), .SUM(n19367) ); sky130_fd_sc_hd__o22ai_1 U24670 ( .A1(n19365), .A2(n19942), .B1(n19957), .B2(n19364), .Y(n19366) ); sky130_fd_sc_hd__a21oi_1 U24671 ( .A1(n19911), .A2(n19367), .B1(n19366), .Y( n19373) ); sky130_fd_sc_hd__o22ai_1 U24672 ( .A1(n19368), .A2(n19935), .B1(n19934), .B2(n19413), .Y(n19369) ); sky130_fd_sc_hd__a21oi_1 U24673 ( .A1(n19371), .A2(n19370), .B1(n19369), .Y( n19372) ); sky130_fd_sc_hd__o211ai_1 U24674 ( .A1(n19375), .A2(n19374), .B1(n19373), .C1(n19372), .Y(\exu/i_alu/result [0]) ); sky130_fd_sc_hd__nand2_1 U24675 ( .A(n19401), .B(n19828), .Y(n19678) ); sky130_fd_sc_hd__nand2_1 U24676 ( .A(n19946), .B(n19376), .Y(n19377) ); sky130_fd_sc_hd__nor2_1 U24677 ( .A(n24021), .B(n24561), .Y(n19868) ); sky130_fd_sc_hd__o21ai_1 U24678 ( .A1(n25109), .A2(n19377), .B1(n19641), .Y( n19378) ); sky130_fd_sc_hd__a31oi_1 U24679 ( .A1(n19946), .A2(n19379), .A3(n25109), .B1(n19378), .Y(n19381) ); sky130_fd_sc_hd__o22ai_1 U24680 ( .A1(n19415), .A2(n19381), .B1(n19428), .B2(n19380), .Y(n19475) ); sky130_fd_sc_hd__o22ai_1 U24681 ( .A1(n19415), .A2(n19383), .B1(n19428), .B2(n19382), .Y(n19916) ); sky130_fd_sc_hd__o22ai_1 U24682 ( .A1(n19443), .A2(n19475), .B1(n19949), .B2(n19916), .Y(n19533) ); sky130_fd_sc_hd__o22ai_1 U24683 ( .A1(n19415), .A2(n19385), .B1(n19428), .B2(n19384), .Y(n19476) ); sky130_fd_sc_hd__clkinv_1 U24684 ( .A(n19476), .Y(n19822) ); sky130_fd_sc_hd__o2bb2ai_1 U24685 ( .B1(n19428), .B2(n19387), .A1_N(n19428), .A2_N(n19386), .Y(n19745) ); sky130_fd_sc_hd__o22ai_1 U24686 ( .A1(n19443), .A2(n19822), .B1(n19949), .B2(n19745), .Y(n19537) ); sky130_fd_sc_hd__o2bb2ai_1 U24687 ( .B1(n19644), .B2(n19533), .A1_N(n19644), .A2_N(n19537), .Y(n19676) ); sky130_fd_sc_hd__o22ai_1 U24688 ( .A1(n19415), .A2(n19389), .B1(n19428), .B2(n19388), .Y(n19672) ); sky130_fd_sc_hd__o22ai_1 U24689 ( .A1(n19415), .A2(n19391), .B1(n19428), .B2(n19390), .Y(n19599) ); sky130_fd_sc_hd__o22ai_1 U24690 ( .A1(n19443), .A2(n19672), .B1(n19949), .B2(n19599), .Y(n19534) ); sky130_fd_sc_hd__o22ai_1 U24691 ( .A1(n19676), .A2(n19956), .B1(n19622), .B2(n19534), .Y(n19392) ); sky130_fd_sc_hd__a211oi_1 U24692 ( .A1(n19946), .A2(n19678), .B1(n19535), .C1(n19392), .Y(n19405) ); sky130_fd_sc_hd__o22ai_1 U24693 ( .A1(n19415), .A2(n19394), .B1(n19428), .B2(n19393), .Y(n19531) ); sky130_fd_sc_hd__o22ai_1 U24694 ( .A1(n19415), .A2(n19396), .B1(n19428), .B2(n19395), .Y(n19474) ); sky130_fd_sc_hd__o221ai_1 U24695 ( .A1(n19443), .A2(n19531), .B1(n19949), .B2(n19474), .C1(n19522), .Y(n19404) ); sky130_fd_sc_hd__o22ai_1 U24696 ( .A1(n19398), .A2(n19935), .B1(n19934), .B2(n19397), .Y(n19403) ); sky130_fd_sc_hd__a22oi_1 U24697 ( .A1(n24049), .A2(\intadd_2/SUM[0] ), .B1( n19399), .B2(n19911), .Y(n19400) ); sky130_fd_sc_hd__o21ai_1 U24698 ( .A1(n19401), .A2(n19942), .B1(n19400), .Y( n19402) ); sky130_fd_sc_hd__a211oi_1 U24699 ( .A1(n19405), .A2(n19404), .B1(n19403), .C1(n19402), .Y(n19406) ); sky130_fd_sc_hd__o21ai_1 U24700 ( .A1(n19407), .A2(n19957), .B1(n19406), .Y( \exu/i_alu/result [2]) ); sky130_fd_sc_hd__a22oi_1 U24701 ( .A1(n24049), .A2(\intadd_2/SUM[1] ), .B1( n19408), .B2(n19911), .Y(n19438) ); sky130_fd_sc_hd__o22ai_1 U24702 ( .A1(n19415), .A2(n19410), .B1(n19428), .B2(n19409), .Y(n19695) ); sky130_fd_sc_hd__o22ai_1 U24703 ( .A1(n19415), .A2(n19412), .B1(n19428), .B2(n19411), .Y(n19619) ); sky130_fd_sc_hd__o22ai_1 U24704 ( .A1(n19443), .A2(n19695), .B1(n19949), .B2(n19619), .Y(n19551) ); sky130_fd_sc_hd__o2bb2ai_1 U24705 ( .B1(n19415), .B2(n19414), .A1_N(n19415), .A2_N(n19413), .Y(n19418) ); sky130_fd_sc_hd__o21ai_1 U24706 ( .A1(n19868), .A2(n19415), .B1(n19416), .Y( n19417) ); sky130_fd_sc_hd__o21ai_1 U24707 ( .A1(n19864), .A2(n19418), .B1(n19417), .Y( n19490) ); sky130_fd_sc_hd__o22ai_1 U24708 ( .A1(n19415), .A2(n19420), .B1(n19428), .B2(n19419), .Y(n19945) ); sky130_fd_sc_hd__o22ai_1 U24709 ( .A1(n19443), .A2(n19490), .B1(n19949), .B2(n19945), .Y(n19550) ); sky130_fd_sc_hd__o22ai_1 U24710 ( .A1(n19415), .A2(n19422), .B1(n19428), .B2(n19421), .Y(n19846) ); sky130_fd_sc_hd__o22ai_1 U24711 ( .A1(n19415), .A2(n19424), .B1(n19428), .B2(n19423), .Y(n19767) ); sky130_fd_sc_hd__o22ai_1 U24712 ( .A1(n19443), .A2(n19846), .B1(n19949), .B2(n19767), .Y(n19552) ); sky130_fd_sc_hd__o22ai_1 U24713 ( .A1(n19644), .A2(n19550), .B1(n19634), .B2(n19552), .Y(n19699) ); sky130_fd_sc_hd__o22ai_1 U24714 ( .A1(n19415), .A2(n19426), .B1(n19428), .B2(n19425), .Y(n19491) ); sky130_fd_sc_hd__clkinv_1 U24715 ( .A(n19491), .Y(n19548) ); sky130_fd_sc_hd__o22ai_1 U24716 ( .A1(n19415), .A2(n19429), .B1(n19428), .B2(n19427), .Y(n19489) ); sky130_fd_sc_hd__o22ai_1 U24717 ( .A1(n19443), .A2(n19548), .B1(n19949), .B2(n19489), .Y(n19430) ); sky130_fd_sc_hd__o22ai_1 U24718 ( .A1(n19699), .A2(n19956), .B1(n19624), .B2(n19430), .Y(n19431) ); sky130_fd_sc_hd__a21oi_1 U24719 ( .A1(n19605), .A2(n19551), .B1(n19431), .Y( n19436) ); sky130_fd_sc_hd__nand2_1 U24720 ( .A(\exu/i0_rs2_d [2]), .B(n19946), .Y( n19725) ); sky130_fd_sc_hd__a21oi_1 U24721 ( .A1(n19874), .A2(\exu/i0_rs2_d [3]), .B1( n19901), .Y(n19433) ); sky130_fd_sc_hd__o22ai_1 U24722 ( .A1(n19434), .A2(n19433), .B1(n19957), .B2(n19432), .Y(n19435) ); sky130_fd_sc_hd__a31oi_1 U24723 ( .A1(n19446), .A2(n19436), .A3(n19725), .B1(n19435), .Y(n19437) ); sky130_fd_sc_hd__o211ai_1 U24724 ( .A1(n19659), .A2(n19913), .B1(n19438), .C1(n19437), .Y(\exu/i_alu/result [3]) ); sky130_fd_sc_hd__a22oi_1 U24725 ( .A1(n24049), .A2(\intadd_2/SUM[2] ), .B1( n19439), .B2(n19911), .Y(n19455) ); sky130_fd_sc_hd__a21oi_1 U24726 ( .A1(n19874), .A2(n19440), .B1(n19618), .Y( n19451) ); sky130_fd_sc_hd__o22ai_1 U24727 ( .A1(n19443), .A2(n19561), .B1(n19949), .B2(n19503), .Y(n19441) ); sky130_fd_sc_hd__o22ai_1 U24728 ( .A1(n19443), .A2(n19711), .B1(n19949), .B2(n19642), .Y(n19564) ); sky130_fd_sc_hd__o22ai_1 U24729 ( .A1(n19624), .A2(n19441), .B1(n19622), .B2(n19564), .Y(n19450) ); sky130_fd_sc_hd__nor2_1 U24730 ( .A(n19864), .B(n19442), .Y(n19504) ); sky130_fd_sc_hd__nor2_1 U24731 ( .A(n19868), .B(n19443), .Y(n19800) ); sky130_fd_sc_hd__o22ai_1 U24732 ( .A1(n19504), .A2(n19944), .B1(n19444), .B2(n19949), .Y(n19563) ); sky130_fd_sc_hd__o22ai_1 U24733 ( .A1(n19443), .A2(n19445), .B1(n19949), .B2(n19784), .Y(n19865) ); sky130_fd_sc_hd__o22ai_1 U24734 ( .A1(n19644), .A2(n19563), .B1(n19634), .B2(n19865), .Y(n19715) ); sky130_fd_sc_hd__o21ai_1 U24735 ( .A1(n19726), .A2(n19725), .B1(n19446), .Y( n19478) ); sky130_fd_sc_hd__a21oi_1 U24736 ( .A1(n19447), .A2(n25109), .B1(n19478), .Y( n19448) ); sky130_fd_sc_hd__o21ai_1 U24737 ( .A1(n19956), .A2(n19715), .B1(n19448), .Y( n19449) ); sky130_fd_sc_hd__o22ai_1 U24738 ( .A1(n19727), .A2(n19451), .B1(n19450), .B2(n19449), .Y(n19452) ); sky130_fd_sc_hd__a21oi_1 U24739 ( .A1(n19453), .A2(n19833), .B1(n19452), .Y( n19454) ); sky130_fd_sc_hd__o211ai_1 U24740 ( .A1(n19456), .A2(n19935), .B1(n19455), .C1(n19454), .Y(\exu/i_alu/result [4]) ); sky130_fd_sc_hd__a221oi_1 U24741 ( .A1(n19516), .A2(n19443), .B1(n19580), .B2(n19949), .C1(n19624), .Y(n19460) ); sky130_fd_sc_hd__nor2_1 U24742 ( .A(n19457), .B(n19864), .Y(n19517) ); sky130_fd_sc_hd__o22ai_1 U24743 ( .A1(n19517), .A2(n19944), .B1(n19458), .B2(n19949), .Y(n19582) ); sky130_fd_sc_hd__o22ai_1 U24744 ( .A1(n19443), .A2(n19882), .B1(n19949), .B2(n19798), .Y(n19585) ); sky130_fd_sc_hd__o22ai_1 U24745 ( .A1(n19644), .A2(n19582), .B1(n19634), .B2(n19585), .Y(n19731) ); sky130_fd_sc_hd__a22o_1 U24746 ( .A1(n19443), .A2(n19654), .B1(n19949), .B2( n19728), .X(n19583) ); sky130_fd_sc_hd__o22ai_1 U24747 ( .A1(n19956), .A2(n19731), .B1(n19622), .B2(n19583), .Y(n19459) ); sky130_fd_sc_hd__nor3_1 U24748 ( .A(n19460), .B(n19478), .C(n19459), .Y( n19467) ); sky130_fd_sc_hd__o22ai_1 U24749 ( .A1(n19462), .A2(n19913), .B1(n19934), .B2(n19461), .Y(n19466) ); sky130_fd_sc_hd__a22oi_1 U24750 ( .A1(n24049), .A2(\intadd_2/SUM[3] ), .B1( n19463), .B2(n19911), .Y(n19464) ); sky130_fd_sc_hd__o21ai_1 U24751 ( .A1(n24539), .A2(n19935), .B1(n19464), .Y( n19465) ); sky130_fd_sc_hd__nor3_1 U24752 ( .A(n19467), .B(n19466), .C(n19465), .Y( n19468) ); sky130_fd_sc_hd__o21ai_1 U24753 ( .A1(n19469), .A2(n19957), .B1(n19468), .Y( \exu/i_alu/result [5]) ); sky130_fd_sc_hd__o22ai_1 U24754 ( .A1(n24540), .A2(n19935), .B1(n19934), .B2(n19470), .Y(n19485) ); sky130_fd_sc_hd__a22oi_1 U24755 ( .A1(n24049), .A2(\intadd_2/SUM[4] ), .B1( n19471), .B2(n19911), .Y(n19472) ); sky130_fd_sc_hd__o21ai_1 U24756 ( .A1(n19473), .A2(n19942), .B1(n19472), .Y( n19484) ); sky130_fd_sc_hd__nor2_1 U24757 ( .A(n19864), .B(n19474), .Y(n19532) ); sky130_fd_sc_hd__o22ai_1 U24758 ( .A1(n19532), .A2(n19944), .B1(n19475), .B2(n19949), .Y(n19601) ); sky130_fd_sc_hd__o22ai_1 U24759 ( .A1(n19443), .A2(n19916), .B1(n19949), .B2(n19476), .Y(n19604) ); sky130_fd_sc_hd__o22ai_1 U24760 ( .A1(n19644), .A2(n19601), .B1(n19634), .B2(n19604), .Y(n19749) ); sky130_fd_sc_hd__o22ai_1 U24761 ( .A1(n19443), .A2(n19599), .B1(n19949), .B2(n19531), .Y(n19477) ); sky130_fd_sc_hd__o22ai_1 U24762 ( .A1(n19956), .A2(n19749), .B1(n19624), .B2(n19477), .Y(n19481) ); sky130_fd_sc_hd__o22ai_1 U24763 ( .A1(n19443), .A2(n19745), .B1(n19949), .B2(n19672), .Y(n19602) ); sky130_fd_sc_hd__o21ai_1 U24764 ( .A1(n19535), .A2(n25109), .B1(n19478), .Y( n19479) ); sky130_fd_sc_hd__o21ai_1 U24765 ( .A1(n19602), .A2(n19622), .B1(n19479), .Y( n19480) ); sky130_fd_sc_hd__o22ai_1 U24766 ( .A1(n19482), .A2(n19957), .B1(n19481), .B2(n19480), .Y(n19483) ); sky130_fd_sc_hd__or3_1 U24767 ( .A(n19485), .B(n19484), .C(n19483), .X( \exu/i_alu/result [6]) ); sky130_fd_sc_hd__o22ai_1 U24768 ( .A1(n24541), .A2(n19935), .B1(n19934), .B2(n19486), .Y(n19498) ); sky130_fd_sc_hd__a22oi_1 U24769 ( .A1(n24049), .A2(\intadd_2/SUM[5] ), .B1( n19487), .B2(n19911), .Y(n19488) ); sky130_fd_sc_hd__o21ai_1 U24770 ( .A1(n22667), .A2(n19942), .B1(n19488), .Y( n19497) ); sky130_fd_sc_hd__nor2_1 U24771 ( .A(n19864), .B(n19489), .Y(n19549) ); sky130_fd_sc_hd__o22ai_1 U24772 ( .A1(n19549), .A2(n19944), .B1(n19949), .B2(n19490), .Y(n19621) ); sky130_fd_sc_hd__o22ai_1 U24773 ( .A1(n19443), .A2(n19945), .B1(n19949), .B2(n19846), .Y(n19623) ); sky130_fd_sc_hd__o22ai_1 U24774 ( .A1(n19644), .A2(n19621), .B1(n19634), .B2(n19623), .Y(n19771) ); sky130_fd_sc_hd__o22ai_1 U24775 ( .A1(n19443), .A2(n19767), .B1(n19949), .B2(n19695), .Y(n19625) ); sky130_fd_sc_hd__o22ai_1 U24776 ( .A1(n19443), .A2(n19619), .B1(n19949), .B2(n19491), .Y(n19492) ); sky130_fd_sc_hd__o22ai_1 U24777 ( .A1(n19625), .A2(n19622), .B1(n19624), .B2(n19492), .Y(n19493) ); sky130_fd_sc_hd__a21oi_1 U24778 ( .A1(n19892), .A2(n19771), .B1(n19493), .Y( n19495) ); sky130_fd_sc_hd__o22ai_1 U24779 ( .A1(n19495), .A2(n19535), .B1(n19494), .B2(n19957), .Y(n19496) ); sky130_fd_sc_hd__or3_1 U24780 ( .A(n19498), .B(n19497), .C(n19496), .X( \exu/i_alu/result [7]) ); sky130_fd_sc_hd__o22ai_1 U24781 ( .A1(n24542), .A2(n19935), .B1(n19934), .B2(n19499), .Y(n19514) ); sky130_fd_sc_hd__a22oi_1 U24782 ( .A1(n24049), .A2(\intadd_2/SUM[6] ), .B1( n19500), .B2(n19911), .Y(n19501) ); sky130_fd_sc_hd__o21ai_1 U24783 ( .A1(n19502), .A2(n19942), .B1(n19501), .Y( n19513) ); sky130_fd_sc_hd__nor2_1 U24784 ( .A(n19949), .B(n19868), .Y(n19884) ); sky130_fd_sc_hd__nor2_1 U24785 ( .A(n19864), .B(n19503), .Y(n19562) ); sky130_fd_sc_hd__o22ai_1 U24786 ( .A1(n19504), .A2(n19917), .B1(n19562), .B2(n19944), .Y(n19652) ); sky130_fd_sc_hd__o22ai_1 U24787 ( .A1(n19644), .A2(n19652), .B1(n19634), .B2(n19505), .Y(n19790) ); sky130_fd_sc_hd__o22ai_1 U24788 ( .A1(n19956), .A2(n19790), .B1(n19622), .B2(n19506), .Y(n19510) ); sky130_fd_sc_hd__nor2_1 U24789 ( .A(n19507), .B(n19694), .Y(n19560) ); sky130_fd_sc_hd__nor2_1 U24790 ( .A(n19725), .B(n19659), .Y(n19597) ); sky130_fd_sc_hd__nand2b_1 U24791 ( .A_N(n19597), .B(n19888), .Y(n19555) ); sky130_fd_sc_hd__a211o_1 U24792 ( .A1(n19522), .A2(n19508), .B1(n19560), .C1(n19555), .X(n19509) ); sky130_fd_sc_hd__o22ai_1 U24793 ( .A1(n19511), .A2(n19957), .B1(n19510), .B2(n19509), .Y(n19512) ); sky130_fd_sc_hd__or3_1 U24794 ( .A(n19514), .B(n19513), .C(n19512), .X( \exu/i_alu/result [8]) ); sky130_fd_sc_hd__a22oi_1 U24795 ( .A1(n24049), .A2(\intadd_2/SUM[7] ), .B1( n19515), .B2(n19911), .Y(n19529) ); sky130_fd_sc_hd__nand2_1 U24796 ( .A(n19946), .B(n19516), .Y(n19581) ); sky130_fd_sc_hd__a2bb2oi_1 U24797 ( .B1(n19800), .B2(n19581), .A1_N(n19517), .A2_N(n19917), .Y(n19658) ); sky130_fd_sc_hd__o22ai_1 U24798 ( .A1(n19644), .A2(n19658), .B1(n19634), .B2(n19518), .Y(n19802) ); sky130_fd_sc_hd__o2bb2ai_1 U24799 ( .B1(n19622), .B2(n19519), .A1_N(n19892), .A2_N(n19802), .Y(n19520) ); sky130_fd_sc_hd__a21oi_1 U24800 ( .A1(n19522), .A2(n19521), .B1(n19520), .Y( n19527) ); sky130_fd_sc_hd__nand2b_1 U24801 ( .A_N(n19960), .B(n19653), .Y(n19806) ); sky130_fd_sc_hd__o21ai_1 U24802 ( .A1(n19679), .A2(n19806), .B1(n19535), .Y( n19526) ); sky130_fd_sc_hd__a21oi_1 U24803 ( .A1(n19874), .A2(\exu/muldiv_rs2_d [9]), .B1(n19901), .Y(n19524) ); sky130_fd_sc_hd__o22ai_1 U24804 ( .A1(n24543), .A2(n19524), .B1(n19957), .B2(n19523), .Y(n19525) ); sky130_fd_sc_hd__a21oi_1 U24805 ( .A1(n19527), .A2(n19526), .B1(n19525), .Y( n19528) ); sky130_fd_sc_hd__o211ai_1 U24806 ( .A1(n22677), .A2(n19913), .B1(n19529), .C1(n19528), .Y(\exu/i_alu/result [9]) ); sky130_fd_sc_hd__a22oi_1 U24807 ( .A1(n24049), .A2(\intadd_2/SUM[8] ), .B1( n19530), .B2(n19911), .Y(n19544) ); sky130_fd_sc_hd__o21ai_1 U24808 ( .A1(n24544), .A2(n19934), .B1(n19913), .Y( n19542) ); sky130_fd_sc_hd__nor2_1 U24809 ( .A(n19864), .B(n19531), .Y(n19600) ); sky130_fd_sc_hd__o22ai_1 U24810 ( .A1(n19532), .A2(n19917), .B1(n19600), .B2(n19944), .Y(n19674) ); sky130_fd_sc_hd__o22ai_1 U24811 ( .A1(n19644), .A2(n19674), .B1(n19634), .B2(n19533), .Y(n19827) ); sky130_fd_sc_hd__o22ai_1 U24812 ( .A1(n19956), .A2(n19827), .B1(n19624), .B2(n19534), .Y(n19539) ); sky130_fd_sc_hd__clkinv_1 U24813 ( .A(n19888), .Y(n19628) ); sky130_fd_sc_hd__o21ai_1 U24814 ( .A1(n19628), .A2(n19678), .B1(n19535), .Y( n19536) ); sky130_fd_sc_hd__o21ai_1 U24815 ( .A1(n19622), .A2(n19537), .B1(n19536), .Y( n19538) ); sky130_fd_sc_hd__o22ai_1 U24816 ( .A1(n19540), .A2(n19957), .B1(n19539), .B2(n19538), .Y(n19541) ); sky130_fd_sc_hd__a21oi_1 U24817 ( .A1(\exu/muldiv_rs2_d [10]), .A2(n19542), .B1(n19541), .Y(n19543) ); sky130_fd_sc_hd__o211ai_1 U24818 ( .A1(n24544), .A2(n19935), .B1(n19544), .C1(n19543), .Y(\exu/i_alu/result [10]) ); sky130_fd_sc_hd__o22ai_1 U24819 ( .A1(n22670), .A2(n19913), .B1(n19934), .B2(n19545), .Y(n19559) ); sky130_fd_sc_hd__a22oi_1 U24820 ( .A1(n24049), .A2(\intadd_2/SUM[9] ), .B1( n19546), .B2(n19911), .Y(n19547) ); sky130_fd_sc_hd__o21ai_1 U24821 ( .A1(n24545), .A2(n19935), .B1(n19547), .Y( n19558) ); sky130_fd_sc_hd__nor2_1 U24822 ( .A(n19864), .B(n19548), .Y(n19620) ); sky130_fd_sc_hd__o22ai_1 U24823 ( .A1(n19549), .A2(n19917), .B1(n19620), .B2(n19944), .Y(n19697) ); sky130_fd_sc_hd__o22ai_1 U24824 ( .A1(n19644), .A2(n19697), .B1(n19634), .B2(n19550), .Y(n19851) ); sky130_fd_sc_hd__o22ai_1 U24825 ( .A1(n19552), .A2(n19622), .B1(n19551), .B2(n19624), .Y(n19553) ); sky130_fd_sc_hd__a21oi_1 U24826 ( .A1(n19892), .A2(n19851), .B1(n19553), .Y( n19556) ); sky130_fd_sc_hd__o22ai_1 U24827 ( .A1(n19556), .A2(n19555), .B1(n19554), .B2(n19957), .Y(n19557) ); sky130_fd_sc_hd__or3_1 U24828 ( .A(n19559), .B(n19558), .C(n19557), .X( \exu/i_alu/result [11]) ); sky130_fd_sc_hd__a21oi_1 U24829 ( .A1(n19560), .A2(\exu/i0_rs2_d [2]), .B1( n19628), .Y(n19573) ); sky130_fd_sc_hd__nor2_1 U24830 ( .A(n19864), .B(n19561), .Y(n19643) ); sky130_fd_sc_hd__o22ai_1 U24831 ( .A1(n19643), .A2(n19944), .B1(n19562), .B2(n19917), .Y(n19713) ); sky130_fd_sc_hd__o22ai_1 U24832 ( .A1(n19644), .A2(n19713), .B1(n19634), .B2(n19563), .Y(n19866) ); sky130_fd_sc_hd__o22ai_1 U24833 ( .A1(n19956), .A2(n19866), .B1(n19624), .B2(n19564), .Y(n19565) ); sky130_fd_sc_hd__a21oi_1 U24834 ( .A1(n19605), .A2(n19865), .B1(n19565), .Y( n19572) ); sky130_fd_sc_hd__o22ai_1 U24835 ( .A1(n24546), .A2(n19935), .B1(n19934), .B2(n19566), .Y(n19571) ); sky130_fd_sc_hd__a22oi_1 U24836 ( .A1(n24049), .A2(\intadd_2/SUM[10] ), .B1( n19567), .B2(n19911), .Y(n19568) ); sky130_fd_sc_hd__o21ai_1 U24837 ( .A1(n19569), .A2(n19942), .B1(n19568), .Y( n19570) ); sky130_fd_sc_hd__a211oi_1 U24838 ( .A1(n19573), .A2(n19572), .B1(n19571), .C1(n19570), .Y(n19574) ); sky130_fd_sc_hd__o21ai_1 U24839 ( .A1(n19575), .A2(n19957), .B1(n19574), .Y( \exu/i_alu/result [12]) ); sky130_fd_sc_hd__o2bb2ai_1 U24840 ( .B1(n19578), .B2(n19577), .A1_N(n19576), .A2_N(n19941), .Y(n19579) ); sky130_fd_sc_hd__a21oi_1 U24841 ( .A1(\exu/muldiv_rs2_d [13]), .A2(n19618), .B1(n19579), .Y(n19590) ); sky130_fd_sc_hd__nand2_1 U24842 ( .A(n19946), .B(n19580), .Y(n19655) ); sky130_fd_sc_hd__a22oi_1 U24843 ( .A1(n19800), .A2(n19655), .B1(n19884), .B2(n19581), .Y(n19730) ); sky130_fd_sc_hd__o2bb2ai_1 U24844 ( .B1(n19634), .B2(n19582), .A1_N(n19634), .A2_N(n19730), .Y(n19891) ); sky130_fd_sc_hd__o22ai_1 U24845 ( .A1(n19956), .A2(n19891), .B1(n19624), .B2(n19583), .Y(n19584) ); sky130_fd_sc_hd__a21oi_1 U24846 ( .A1(n19605), .A2(n19585), .B1(n19584), .Y( n19588) ); sky130_fd_sc_hd__nand2_1 U24847 ( .A(n19597), .B(\exu/i0_rs2_d [1]), .Y( n19889) ); sky130_fd_sc_hd__o22ai_1 U24848 ( .A1(n24547), .A2(n19935), .B1(n19934), .B2(n19586), .Y(n19587) ); sky130_fd_sc_hd__a31oi_1 U24849 ( .A1(n19888), .A2(n19588), .A3(n19889), .B1(n19587), .Y(n19589) ); sky130_fd_sc_hd__o211ai_1 U24850 ( .A1(n19591), .A2(n19957), .B1(n19590), .C1(n19589), .Y(\exu/i_alu/result [13]) ); sky130_fd_sc_hd__nand2_1 U24851 ( .A(n24049), .B(n19592), .Y(n19938) ); sky130_fd_sc_hd__nand2_1 U24852 ( .A(n24049), .B(n19593), .Y(n19936) ); sky130_fd_sc_hd__o22ai_1 U24853 ( .A1(n24548), .A2(n19935), .B1(n19936), .B2(n19594), .Y(n19595) ); sky130_fd_sc_hd__a21oi_1 U24854 ( .A1(n19596), .A2(n19911), .B1(n19595), .Y( n19614) ); sky130_fd_sc_hd__a21oi_1 U24855 ( .A1(n19598), .A2(n19597), .B1(n19628), .Y( n19612) ); sky130_fd_sc_hd__nor2_1 U24856 ( .A(n19864), .B(n19599), .Y(n19673) ); sky130_fd_sc_hd__o22ai_1 U24857 ( .A1(n19600), .A2(n19917), .B1(n19673), .B2(n19944), .Y(n19747) ); sky130_fd_sc_hd__o22ai_1 U24858 ( .A1(n19644), .A2(n19747), .B1(n19634), .B2(n19601), .Y(n19923) ); sky130_fd_sc_hd__o22ai_1 U24859 ( .A1(n19956), .A2(n19923), .B1(n19624), .B2(n19602), .Y(n19603) ); sky130_fd_sc_hd__a21oi_1 U24860 ( .A1(n19605), .A2(n19604), .B1(n19603), .Y( n19611) ); sky130_fd_sc_hd__a21oi_1 U24861 ( .A1(n19874), .A2(n19606), .B1(n19618), .Y( n19608) ); sky130_fd_sc_hd__o22ai_1 U24862 ( .A1(n19609), .A2(n19608), .B1(n19957), .B2(n19607), .Y(n19610) ); sky130_fd_sc_hd__a21oi_1 U24863 ( .A1(n19612), .A2(n19611), .B1(n19610), .Y( n19613) ); sky130_fd_sc_hd__o211ai_1 U24864 ( .A1(n24222), .A2(n19938), .B1(n19614), .C1(n19613), .Y(\exu/i_alu/result [14]) ); sky130_fd_sc_hd__o22ai_1 U24865 ( .A1(n24549), .A2(n19935), .B1(n19934), .B2(n19615), .Y(n19633) ); sky130_fd_sc_hd__o22ai_1 U24866 ( .A1(n24233), .A2(n19938), .B1(n19616), .B2(n19936), .Y(n19632) ); sky130_fd_sc_hd__a22o_1 U24867 ( .A1(\exu/muldiv_rs2_d [15]), .A2(n19618), .B1(n19941), .B2(n19617), .X(n19631) ); sky130_fd_sc_hd__and2_0 U24868 ( .A(n19619), .B(n19946), .X(n19696) ); sky130_fd_sc_hd__o22ai_1 U24869 ( .A1(n19620), .A2(n19917), .B1(n19696), .B2(n19944), .Y(n19769) ); sky130_fd_sc_hd__o22ai_1 U24870 ( .A1(n19644), .A2(n19769), .B1(n19634), .B2(n19621), .Y(n19955) ); sky130_fd_sc_hd__o22ai_1 U24871 ( .A1(n19625), .A2(n19624), .B1(n19623), .B2(n19622), .Y(n19626) ); sky130_fd_sc_hd__a21oi_1 U24872 ( .A1(n19892), .A2(n19955), .B1(n19626), .Y( n19629) ); sky130_fd_sc_hd__o22ai_1 U24873 ( .A1(n19629), .A2(n19628), .B1(n19627), .B2(n19957), .Y(n19630) ); sky130_fd_sc_hd__or4_1 U24874 ( .A(n19633), .B(n19632), .C(n19631), .D( n19630), .X(\exu/i_alu/result [15]) ); sky130_fd_sc_hd__nor2_1 U24875 ( .A(n19956), .B(n19634), .Y(n19871) ); sky130_fd_sc_hd__clkinv_1 U24876 ( .A(n19871), .Y(n19951) ); sky130_fd_sc_hd__o2bb2ai_1 U24877 ( .B1(n19636), .B2(n19936), .A1_N(n19635), .A2_N(n19941), .Y(n19639) ); sky130_fd_sc_hd__o22ai_1 U24878 ( .A1(n24245), .A2(n19938), .B1(n19637), .B2(n19957), .Y(n19638) ); sky130_fd_sc_hd__a211oi_1 U24879 ( .A1(n19640), .A2(n19901), .B1(n19639), .C1(n19638), .Y(n19651) ); sky130_fd_sc_hd__o21ai_1 U24880 ( .A1(n19864), .A2(n19642), .B1(n19641), .Y( n19712) ); sky130_fd_sc_hd__o22ai_1 U24881 ( .A1(n19443), .A2(n19712), .B1(n19643), .B2(n19917), .Y(n19786) ); sky130_fd_sc_hd__nor2_1 U24882 ( .A(n19956), .B(n19644), .Y(n19947) ); sky130_fd_sc_hd__clkinv_1 U24883 ( .A(n19947), .Y(n19887) ); sky130_fd_sc_hd__o22ai_1 U24884 ( .A1(n19892), .A2(n19645), .B1(n19786), .B2(n19887), .Y(n19649) ); sky130_fd_sc_hd__o22ai_1 U24885 ( .A1(n19647), .A2(n19913), .B1(n19934), .B2(n19646), .Y(n19648) ); sky130_fd_sc_hd__a21oi_1 U24886 ( .A1(n19888), .A2(n19649), .B1(n19648), .Y( n19650) ); sky130_fd_sc_hd__o211ai_1 U24887 ( .A1(n19951), .A2(n19652), .B1(n19651), .C1(n19650), .Y(\exu/i_alu/result [16]) ); sky130_fd_sc_hd__nor2_1 U24888 ( .A(n19653), .B(n19864), .Y(n19661) ); sky130_fd_sc_hd__nand2_1 U24889 ( .A(n19946), .B(n19654), .Y(n19729) ); sky130_fd_sc_hd__a22o_1 U24890 ( .A1(n19800), .A2(n19729), .B1(n19884), .B2( n19655), .X(n19801) ); sky130_fd_sc_hd__o22ai_1 U24891 ( .A1(n19892), .A2(n19656), .B1(n19801), .B2(n19887), .Y(n19657) ); sky130_fd_sc_hd__a21oi_1 U24892 ( .A1(n19871), .A2(n19658), .B1(n19657), .Y( n19660) ); sky130_fd_sc_hd__a21oi_1 U24893 ( .A1(n19946), .A2(n19659), .B1(n19888), .Y( n19807) ); sky130_fd_sc_hd__a211oi_1 U24894 ( .A1(n19661), .A2(\exu/i0_rs2_d [4]), .B1( n19660), .C1(n19807), .Y(n19669) ); sky130_fd_sc_hd__o22ai_1 U24895 ( .A1(n19663), .A2(n19913), .B1(n19934), .B2(n19662), .Y(n19668) ); sky130_fd_sc_hd__o2bb2ai_1 U24896 ( .B1(n24551), .B2(n19935), .A1_N(n19941), .A2_N(n19664), .Y(n19667) ); sky130_fd_sc_hd__o22ai_1 U24897 ( .A1(n24252), .A2(n19938), .B1(n19665), .B2(n19936), .Y(n19666) ); sky130_fd_sc_hd__nor4_1 U24898 ( .A(n19669), .B(n19668), .C(n19667), .D( n19666), .Y(n19670) ); sky130_fd_sc_hd__o21ai_1 U24899 ( .A1(n19671), .A2(n19957), .B1(n19670), .Y( \exu/i_alu/result [17]) ); sky130_fd_sc_hd__nor2_1 U24900 ( .A(n19864), .B(n19672), .Y(n19746) ); sky130_fd_sc_hd__o22ai_1 U24901 ( .A1(n19746), .A2(n19944), .B1(n19673), .B2(n19917), .Y(n19825) ); sky130_fd_sc_hd__o22ai_1 U24902 ( .A1(n19951), .A2(n19674), .B1(n19887), .B2(n19825), .Y(n19675) ); sky130_fd_sc_hd__a21oi_1 U24903 ( .A1(n19676), .A2(n19956), .B1(n19675), .Y( n19677) ); sky130_fd_sc_hd__a211oi_1 U24904 ( .A1(n19679), .A2(n19678), .B1(n19807), .C1(n19677), .Y(n19687) ); sky130_fd_sc_hd__o22ai_1 U24905 ( .A1(n19681), .A2(n19913), .B1(n19934), .B2(n19680), .Y(n19686) ); sky130_fd_sc_hd__o22ai_1 U24906 ( .A1(n24173), .A2(n19938), .B1(n19682), .B2(n19936), .Y(n19685) ); sky130_fd_sc_hd__o2bb2ai_1 U24907 ( .B1(n24552), .B2(n19935), .A1_N(n19941), .A2_N(n19683), .Y(n19684) ); sky130_fd_sc_hd__nor4_1 U24908 ( .A(n19687), .B(n19686), .C(n19685), .D( n19684), .Y(n19688) ); sky130_fd_sc_hd__o21ai_1 U24909 ( .A1(n19689), .A2(n19957), .B1(n19688), .Y( \exu/i_alu/result [18]) ); sky130_fd_sc_hd__o22ai_1 U24910 ( .A1(n19691), .A2(n19913), .B1(n19934), .B2(n19690), .Y(n19706) ); sky130_fd_sc_hd__o22ai_1 U24911 ( .A1(n24185), .A2(n19938), .B1(n19692), .B2(n19936), .Y(n19705) ); sky130_fd_sc_hd__o2bb2ai_1 U24912 ( .B1(n24553), .B2(n19935), .A1_N(n19941), .A2_N(n19693), .Y(n19704) ); sky130_fd_sc_hd__nor2_1 U24913 ( .A(n19960), .B(\exu/i0_rs2_d [2]), .Y( n19845) ); sky130_fd_sc_hd__a21oi_1 U24914 ( .A1(n19845), .A2(n19694), .B1(n19888), .Y( n19702) ); sky130_fd_sc_hd__and2_0 U24915 ( .A(n19695), .B(n19946), .X(n19768) ); sky130_fd_sc_hd__o22ai_1 U24916 ( .A1(n19696), .A2(n19917), .B1(n19768), .B2(n19944), .Y(n19849) ); sky130_fd_sc_hd__a22oi_1 U24917 ( .A1(n19947), .A2(n19849), .B1(n19871), .B2(n19697), .Y(n19698) ); sky130_fd_sc_hd__o21ai_1 U24918 ( .A1(n19892), .A2(n19699), .B1(n19698), .Y( n19701) ); sky130_fd_sc_hd__o22ai_1 U24919 ( .A1(n19702), .A2(n19701), .B1(n19700), .B2(n19957), .Y(n19703) ); sky130_fd_sc_hd__or4_1 U24920 ( .A(n19706), .B(n19705), .C(n19704), .D( n19703), .X(\exu/i_alu/result [19]) ); sky130_fd_sc_hd__o22ai_1 U24921 ( .A1(n22668), .A2(n19913), .B1(n19934), .B2(n19707), .Y(n19724) ); sky130_fd_sc_hd__o22ai_1 U24922 ( .A1(n24554), .A2(n19935), .B1(n24197), .B2(n19938), .Y(n19723) ); sky130_fd_sc_hd__a22o_1 U24923 ( .A1(n19710), .A2(n19941), .B1(n19709), .B2( n19862), .X(n19722) ); sky130_fd_sc_hd__nor2_1 U24924 ( .A(n19864), .B(n19711), .Y(n19785) ); sky130_fd_sc_hd__o22ai_1 U24925 ( .A1(n19785), .A2(n19944), .B1(n19949), .B2(n19712), .Y(n19870) ); sky130_fd_sc_hd__o22ai_1 U24926 ( .A1(n19951), .A2(n19713), .B1(n19887), .B2(n19870), .Y(n19714) ); sky130_fd_sc_hd__a21oi_1 U24927 ( .A1(n19956), .A2(n19715), .B1(n19714), .Y( n19720) ); sky130_fd_sc_hd__clkinv_1 U24928 ( .A(n19807), .Y(n19844) ); sky130_fd_sc_hd__o21ai_1 U24929 ( .A1(n19717), .A2(n19716), .B1(n19844), .Y( n19719) ); sky130_fd_sc_hd__o22ai_1 U24930 ( .A1(n19720), .A2(n19719), .B1(n19718), .B2(n19957), .Y(n19721) ); sky130_fd_sc_hd__or4_1 U24931 ( .A(n19724), .B(n19723), .C(n19722), .D( n19721), .X(\exu/i_alu/result [20]) ); sky130_fd_sc_hd__nor3_1 U24932 ( .A(n19727), .B(n19726), .C(n19725), .Y( n19751) ); sky130_fd_sc_hd__nand2_1 U24933 ( .A(n19946), .B(n19728), .Y(n19799) ); sky130_fd_sc_hd__a22oi_1 U24934 ( .A1(n19800), .A2(n19799), .B1(n19884), .B2(n19729), .Y(n19890) ); sky130_fd_sc_hd__a222oi_1 U24935 ( .A1(n19731), .A2(n19956), .B1(n19871), .B2(n19730), .C1(n19890), .C2(n19947), .Y(n19744) ); sky130_fd_sc_hd__nor2_1 U24936 ( .A(n19732), .B(n19938), .Y(n19742) ); sky130_fd_sc_hd__o2bb2ai_1 U24937 ( .B1(n19734), .B2(n19936), .A1_N(n19733), .A2_N(n19941), .Y(n19741) ); sky130_fd_sc_hd__o22ai_1 U24938 ( .A1(n19736), .A2(n19913), .B1(n24555), .B2(n19935), .Y(n19740) ); sky130_fd_sc_hd__o22ai_1 U24939 ( .A1(n19738), .A2(n19934), .B1(n19737), .B2(n19957), .Y(n19739) ); sky130_fd_sc_hd__nor4_1 U24940 ( .A(n19742), .B(n19741), .C(n19740), .D( n19739), .Y(n19743) ); sky130_fd_sc_hd__o31ai_1 U24941 ( .A1(n19807), .A2(n19751), .A3(n19744), .B1(n19743), .Y(\exu/i_alu/result [21]) ); sky130_fd_sc_hd__nor2_1 U24942 ( .A(n19864), .B(n19745), .Y(n19823) ); sky130_fd_sc_hd__o22ai_1 U24943 ( .A1(n19746), .A2(n19917), .B1(n19823), .B2(n19944), .Y(n19919) ); sky130_fd_sc_hd__o22ai_1 U24944 ( .A1(n19951), .A2(n19747), .B1(n19887), .B2(n19919), .Y(n19748) ); sky130_fd_sc_hd__a21oi_1 U24945 ( .A1(n19956), .A2(n19749), .B1(n19748), .Y( n19750) ); sky130_fd_sc_hd__a211oi_1 U24946 ( .A1(n19751), .A2(n25109), .B1(n19750), .C1(n19807), .Y(n19759) ); sky130_fd_sc_hd__o22ai_1 U24947 ( .A1(n22674), .A2(n19942), .B1(n19934), .B2(n19752), .Y(n19758) ); sky130_fd_sc_hd__a22o_1 U24948 ( .A1(n19754), .A2(n19941), .B1(n19753), .B2( n19862), .X(n19757) ); sky130_fd_sc_hd__o22ai_1 U24949 ( .A1(n24556), .A2(n19935), .B1(n24221), .B2(n19938), .Y(n19756) ); sky130_fd_sc_hd__nor4_1 U24950 ( .A(n19759), .B(n19758), .C(n19757), .D( n19756), .Y(n19760) ); sky130_fd_sc_hd__o21ai_1 U24951 ( .A1(n19761), .A2(n19957), .B1(n19760), .Y( \exu/i_alu/result [22]) ); sky130_fd_sc_hd__o22ai_1 U24952 ( .A1(n19763), .A2(n19913), .B1(n19934), .B2(n19762), .Y(n19777) ); sky130_fd_sc_hd__o22ai_1 U24953 ( .A1(n24234), .A2(n19938), .B1(n19764), .B2(n19936), .Y(n19776) ); sky130_fd_sc_hd__a22o_1 U24954 ( .A1(n19766), .A2(n19901), .B1(n19941), .B2( n19765), .X(n19775) ); sky130_fd_sc_hd__and2_0 U24955 ( .A(n19767), .B(n19946), .X(n19847) ); sky130_fd_sc_hd__o22ai_1 U24956 ( .A1(n19768), .A2(n19917), .B1(n19847), .B2(n19944), .Y(n19950) ); sky130_fd_sc_hd__a22oi_1 U24957 ( .A1(n19947), .A2(n19950), .B1(n19871), .B2(n19769), .Y(n19770) ); sky130_fd_sc_hd__o21ai_1 U24958 ( .A1(n19892), .A2(n19771), .B1(n19770), .Y( n19773) ); sky130_fd_sc_hd__o22ai_1 U24959 ( .A1(n19807), .A2(n19773), .B1(n19772), .B2(n19957), .Y(n19774) ); sky130_fd_sc_hd__or4_1 U24960 ( .A(n19777), .B(n19776), .C(n19775), .D( n19774), .X(\exu/i_alu/result [23]) ); sky130_fd_sc_hd__o22ai_1 U24961 ( .A1(n19779), .A2(n19913), .B1(n19934), .B2(n19778), .Y(n19797) ); sky130_fd_sc_hd__a22o_1 U24962 ( .A1(n19781), .A2(n19941), .B1(n19780), .B2( n19862), .X(n19796) ); sky130_fd_sc_hd__o22ai_1 U24963 ( .A1(n24557), .A2(n19935), .B1(n24246), .B2(n19938), .Y(n19795) ); sky130_fd_sc_hd__nor2_1 U24964 ( .A(n19783), .B(n19844), .Y(n19793) ); sky130_fd_sc_hd__a21oi_1 U24965 ( .A1(n19946), .A2(n19784), .B1(n19944), .Y( n19788) ); sky130_fd_sc_hd__o21ai_1 U24966 ( .A1(n19785), .A2(n19917), .B1(n19947), .Y( n19787) ); sky130_fd_sc_hd__o22ai_1 U24967 ( .A1(n19788), .A2(n19787), .B1(n19951), .B2(n19786), .Y(n19789) ); sky130_fd_sc_hd__a21oi_1 U24968 ( .A1(n19956), .A2(n19790), .B1(n19789), .Y( n19792) ); sky130_fd_sc_hd__o22ai_1 U24969 ( .A1(n19793), .A2(n19792), .B1(n19791), .B2(n19957), .Y(n19794) ); sky130_fd_sc_hd__or4_1 U24970 ( .A(n19797), .B(n19796), .C(n19795), .D( n19794), .X(\exu/i_alu/result [24]) ); sky130_fd_sc_hd__nand2_1 U24971 ( .A(n19946), .B(n19798), .Y(n19885) ); sky130_fd_sc_hd__a22oi_1 U24972 ( .A1(n19800), .A2(n19885), .B1(n19884), .B2(n19799), .Y(n19804) ); sky130_fd_sc_hd__o22ai_1 U24973 ( .A1(n19892), .A2(n19802), .B1(n19801), .B2(n19951), .Y(n19803) ); sky130_fd_sc_hd__a21oi_1 U24974 ( .A1(n19947), .A2(n19804), .B1(n19803), .Y( n19805) ); sky130_fd_sc_hd__a21oi_1 U24975 ( .A1(n19807), .A2(n19806), .B1(n19805), .Y( n19815) ); sky130_fd_sc_hd__o22ai_1 U24976 ( .A1(n22669), .A2(n19913), .B1(n19934), .B2(n19808), .Y(n19814) ); sky130_fd_sc_hd__o22ai_1 U24977 ( .A1(n24254), .A2(n19938), .B1(n19809), .B2(n19936), .Y(n19813) ); sky130_fd_sc_hd__a22o_1 U24978 ( .A1(n19811), .A2(n19901), .B1(n19941), .B2( n19810), .X(n19812) ); sky130_fd_sc_hd__nor4_1 U24979 ( .A(n19815), .B(n19814), .C(n19813), .D( n19812), .Y(n19816) ); sky130_fd_sc_hd__o21ai_1 U24980 ( .A1(n19817), .A2(n19957), .B1(n19816), .Y( \exu/i_alu/result [25]) ); sky130_fd_sc_hd__o22ai_1 U24981 ( .A1(n19819), .A2(n19938), .B1(n19818), .B2(n19936), .Y(n19820) ); sky130_fd_sc_hd__a21oi_1 U24982 ( .A1(n19821), .A2(n19911), .B1(n19820), .Y( n19836) ); sky130_fd_sc_hd__nor2_1 U24983 ( .A(n19822), .B(n19864), .Y(n19918) ); sky130_fd_sc_hd__o22ai_1 U24984 ( .A1(n19823), .A2(n19917), .B1(n19918), .B2(n19944), .Y(n19824) ); sky130_fd_sc_hd__o22ai_1 U24985 ( .A1(n19951), .A2(n19825), .B1(n19887), .B2(n19824), .Y(n19826) ); sky130_fd_sc_hd__a21oi_1 U24986 ( .A1(n19956), .A2(n19827), .B1(n19826), .Y( n19831) ); sky130_fd_sc_hd__a21oi_1 U24987 ( .A1(n19845), .A2(n19828), .B1(n19844), .Y( n19830) ); sky130_fd_sc_hd__a21oi_1 U24988 ( .A1(n19874), .A2(\exu/muldiv_rs2_d [26]), .B1(n19901), .Y(n19829) ); sky130_fd_sc_hd__o22ai_1 U24989 ( .A1(n19831), .A2(n19830), .B1(n24558), .B2(n19829), .Y(n19832) ); sky130_fd_sc_hd__a21oi_1 U24990 ( .A1(n19834), .A2(n19833), .B1(n19832), .Y( n19835) ); sky130_fd_sc_hd__o211ai_1 U24991 ( .A1(n19837), .A2(n19942), .B1(n19836), .C1(n19835), .Y(\exu/i_alu/result [26]) ); sky130_fd_sc_hd__o22ai_1 U24992 ( .A1(n19839), .A2(n19913), .B1(n19934), .B2(n19838), .Y(n19857) ); sky130_fd_sc_hd__o22ai_1 U24993 ( .A1(n19841), .A2(n19938), .B1(n19840), .B2(n19936), .Y(n19856) ); sky130_fd_sc_hd__a22o_1 U24994 ( .A1(n19843), .A2(n19901), .B1(n19941), .B2( n19842), .X(n19855) ); sky130_fd_sc_hd__nor2_1 U24995 ( .A(n19845), .B(n19844), .Y(n19873) ); sky130_fd_sc_hd__a21o_1 U24996 ( .A1(n19946), .A2(n19846), .B1(n19868), .X( n19948) ); sky130_fd_sc_hd__o22ai_1 U24997 ( .A1(n19443), .A2(n19948), .B1(n19847), .B2(n19917), .Y(n19848) ); sky130_fd_sc_hd__o22ai_1 U24998 ( .A1(n19951), .A2(n19849), .B1(n19887), .B2(n19848), .Y(n19850) ); sky130_fd_sc_hd__a21oi_1 U24999 ( .A1(n19956), .A2(n19851), .B1(n19850), .Y( n19853) ); sky130_fd_sc_hd__o22ai_1 U25000 ( .A1(n19873), .A2(n19853), .B1(n19852), .B2(n19957), .Y(n19854) ); sky130_fd_sc_hd__or4_1 U25001 ( .A(n19857), .B(n19856), .C(n19855), .D( n19854), .X(\exu/i_alu/result [27]) ); sky130_fd_sc_hd__nand2_1 U25002 ( .A(n19911), .B(n19858), .Y(n19881) ); sky130_fd_sc_hd__o22ai_1 U25003 ( .A1(n19860), .A2(n19942), .B1(n19938), .B2(n19859), .Y(n19861) ); sky130_fd_sc_hd__a21oi_1 U25004 ( .A1(n19863), .A2(n19862), .B1(n19861), .Y( n19880) ); sky130_fd_sc_hd__o21ai_1 U25005 ( .A1(n19865), .A2(n19864), .B1(n19947), .Y( n19867) ); sky130_fd_sc_hd__o22ai_1 U25006 ( .A1(n19868), .A2(n19867), .B1(n19892), .B2(n19866), .Y(n19869) ); sky130_fd_sc_hd__a21oi_1 U25007 ( .A1(n19871), .A2(n19870), .B1(n19869), .Y( n19878) ); sky130_fd_sc_hd__nand2_1 U25008 ( .A(n19873), .B(n19872), .Y(n19914) ); sky130_fd_sc_hd__a21oi_1 U25009 ( .A1(n19874), .A2(\exu/muldiv_rs2_d [28]), .B1(n19901), .Y(n19876) ); sky130_fd_sc_hd__o22ai_1 U25010 ( .A1(n24559), .A2(n19876), .B1(n19957), .B2(n19875), .Y(n19877) ); sky130_fd_sc_hd__a21oi_1 U25011 ( .A1(n19878), .A2(n19914), .B1(n19877), .Y( n19879) ); sky130_fd_sc_hd__nand3_1 U25012 ( .A(n19881), .B(n19880), .C(n19879), .Y( \exu/i_alu/result [28]) ); sky130_fd_sc_hd__a21oi_1 U25013 ( .A1(n19946), .A2(n19882), .B1(n19944), .Y( n19883) ); sky130_fd_sc_hd__a21oi_1 U25014 ( .A1(n19885), .A2(n19884), .B1(n19883), .Y( n19886) ); sky130_fd_sc_hd__nor2_1 U25015 ( .A(n19887), .B(n19886), .Y(n19895) ); sky130_fd_sc_hd__a21oi_1 U25016 ( .A1(n19946), .A2(n19889), .B1(n19888), .Y( n19894) ); sky130_fd_sc_hd__o22ai_1 U25017 ( .A1(n19892), .A2(n19891), .B1(n19890), .B2(n19951), .Y(n19893) ); sky130_fd_sc_hd__nor3_1 U25018 ( .A(n19895), .B(n19894), .C(n19893), .Y( n19906) ); sky130_fd_sc_hd__o22ai_1 U25019 ( .A1(n19897), .A2(n19942), .B1(n19934), .B2(n19896), .Y(n19905) ); sky130_fd_sc_hd__o22ai_1 U25020 ( .A1(n19899), .A2(n19938), .B1(n19898), .B2(n19936), .Y(n19904) ); sky130_fd_sc_hd__a22o_1 U25021 ( .A1(n19902), .A2(n19901), .B1(n19941), .B2( n19900), .X(n19903) ); sky130_fd_sc_hd__nor4_1 U25022 ( .A(n19906), .B(n19905), .C(n19904), .D( n19903), .Y(n19907) ); sky130_fd_sc_hd__o21ai_1 U25023 ( .A1(n19908), .A2(n19957), .B1(n19907), .Y( \exu/i_alu/result [29]) ); sky130_fd_sc_hd__o22ai_1 U25024 ( .A1(n24560), .A2(n19935), .B1(n19936), .B2(n19909), .Y(n19910) ); sky130_fd_sc_hd__a21oi_1 U25025 ( .A1(n19912), .A2(n19911), .B1(n19910), .Y( n19930) ); sky130_fd_sc_hd__o21ai_1 U25026 ( .A1(n24560), .A2(n19934), .B1(n19913), .Y( n19928) ); sky130_fd_sc_hd__nor2_1 U25027 ( .A(n19915), .B(n19914), .Y(n19926) ); sky130_fd_sc_hd__a21oi_1 U25028 ( .A1(n19946), .A2(n19916), .B1(n19944), .Y( n19921) ); sky130_fd_sc_hd__o21ai_1 U25029 ( .A1(n19918), .A2(n19917), .B1(n19947), .Y( n19920) ); sky130_fd_sc_hd__o22ai_1 U25030 ( .A1(n19921), .A2(n19920), .B1(n19951), .B2(n19919), .Y(n19922) ); sky130_fd_sc_hd__a21oi_1 U25031 ( .A1(n19956), .A2(n19923), .B1(n19922), .Y( n19925) ); sky130_fd_sc_hd__o22ai_1 U25032 ( .A1(n19926), .A2(n19925), .B1(n19924), .B2(n19957), .Y(n19927) ); sky130_fd_sc_hd__a21oi_1 U25033 ( .A1(\exu/muldiv_rs2_d [30]), .A2(n19928), .B1(n19927), .Y(n19929) ); sky130_fd_sc_hd__o211ai_1 U25034 ( .A1(n19931), .A2(n19938), .B1(n19930), .C1(n19929), .Y(\exu/i_alu/result [30]) ); sky130_fd_sc_hd__nand2_1 U25035 ( .A(\exu/muldiv_rs2_d [31]), .B(n19932), .Y(n19933) ); sky130_fd_sc_hd__o22ai_1 U25036 ( .A1(n24561), .A2(n19935), .B1(n19934), .B2(n19933), .Y(n19964) ); sky130_fd_sc_hd__o22ai_1 U25037 ( .A1(n19939), .A2(n19938), .B1(n19937), .B2(n19936), .Y(n19963) ); sky130_fd_sc_hd__o2bb2ai_1 U25038 ( .B1(n19943), .B2(n19942), .A1_N(n19941), .A2_N(n19940), .Y(n19962) ); sky130_fd_sc_hd__a21oi_1 U25039 ( .A1(n19946), .A2(n19945), .B1(n19944), .Y( n19953) ); sky130_fd_sc_hd__o21ai_1 U25040 ( .A1(n19949), .A2(n19948), .B1(n19947), .Y( n19952) ); sky130_fd_sc_hd__o22ai_1 U25041 ( .A1(n19953), .A2(n19952), .B1(n19951), .B2(n19950), .Y(n19954) ); sky130_fd_sc_hd__a21oi_1 U25042 ( .A1(n19956), .A2(n19955), .B1(n19954), .Y( n19959) ); sky130_fd_sc_hd__o22ai_1 U25043 ( .A1(n19960), .A2(n19959), .B1(n19958), .B2(n19957), .Y(n19961) ); sky130_fd_sc_hd__or4_1 U25044 ( .A(n19964), .B(n19963), .C(n19962), .D( n19961), .X(\exu/i_alu/result [31]) ); sky130_fd_sc_hd__nor4_1 U25045 ( .A(n23916), .B(n19965), .C(n19966), .D( n24025), .Y(\exu/i0_predict_p_d[pcall] ) ); sky130_fd_sc_hd__nor2_1 U25046 ( .A(n23916), .B(n19965), .Y(n19967) ); sky130_fd_sc_hd__nor3_1 U25047 ( .A(n19967), .B(n24025), .C(n19966), .Y( \exu/i0_predict_p_d[pja] ) ); sky130_fd_sc_hd__nor3_1 U25048 ( .A(\exu/i_div/shortq_shift_xx [2]), .B( \exu/i_div/shortq_shift_ff[2] ), .C(\exu/i_div/shortq_shift_xx [0]), .Y(n20307) ); sky130_fd_sc_hd__nand2_1 U25049 ( .A(n19968), .B(n20067), .Y(n19970) ); sky130_fd_sc_hd__nand2_1 U25050 ( .A(n19970), .B(\exu/i_div/q_ff [8]), .Y( n19969) ); sky130_fd_sc_hd__o21ai_1 U25051 ( .A1(\exu/i_div/q_ff [8]), .A2(n19970), .B1(n19969), .Y(n20077) ); sky130_fd_sc_hd__clkinv_1 U25052 ( .A(\exu/i_div/shortq_shift_ff[2] ), .Y( n20305) ); sky130_fd_sc_hd__nand2_1 U25053 ( .A(n20067), .B(\exu/i_div/q_ff_comp[0] ), .Y(n19972) ); sky130_fd_sc_hd__nand2_1 U25054 ( .A(n19972), .B(\exu/i_div/q_ff [1]), .Y( n19971) ); sky130_fd_sc_hd__o21ai_1 U25055 ( .A1(\exu/i_div/q_ff [1]), .A2(n19972), .B1(n19971), .Y(n20154) ); sky130_fd_sc_hd__o22ai_1 U25056 ( .A1(\exu/i_div/shortq_shift_ff[2] ), .A2( n20077), .B1(n20305), .B2(n20154), .Y(n20151) ); sky130_fd_sc_hd__clkinv_1 U25057 ( .A(n20307), .Y(n20176) ); sky130_fd_sc_hd__nor2_1 U25058 ( .A(n19973), .B(n20081), .Y(n19974) ); sky130_fd_sc_hd__xor2_1 U25059 ( .A(\exu/i_div/q_ff [16]), .B(n19974), .X( n20080) ); sky130_fd_sc_hd__nor2_1 U25060 ( .A(n19975), .B(n20081), .Y(n19976) ); sky130_fd_sc_hd__xor2_1 U25061 ( .A(\exu/i_div/q_ff [9]), .B(n19976), .X( n19991) ); sky130_fd_sc_hd__o22ai_1 U25062 ( .A1(\exu/i_div/shortq_shift_ff[2] ), .A2( n20080), .B1(n20305), .B2(n19991), .Y(n20090) ); sky130_fd_sc_hd__o22ai_1 U25063 ( .A1(n20307), .A2(n20151), .B1(n20176), .B2(n20090), .Y(n20184) ); sky130_fd_sc_hd__clkinv_1 U25064 ( .A(n20130), .Y(n21788) ); sky130_fd_sc_hd__nand3_1 U25065 ( .A(\exu/i_div/shortq_enable_ff ), .B( n19983), .C(n21788), .Y(n20102) ); sky130_fd_sc_hd__nand2_1 U25066 ( .A(n19977), .B(n20067), .Y(n19979) ); sky130_fd_sc_hd__nand2_1 U25067 ( .A(n19979), .B(\exu/i_div/q_ff [24]), .Y( n19978) ); sky130_fd_sc_hd__o21ai_1 U25068 ( .A1(\exu/i_div/q_ff [24]), .A2(n19979), .B1(n19978), .Y(n20084) ); sky130_fd_sc_hd__nand2_1 U25069 ( .A(n19980), .B(n20067), .Y(n19982) ); sky130_fd_sc_hd__nand2_1 U25070 ( .A(n19982), .B(\exu/i_div/q_ff [17]), .Y( n19981) ); sky130_fd_sc_hd__o21ai_1 U25071 ( .A1(\exu/i_div/q_ff [17]), .A2(n19982), .B1(n19981), .Y(n19995) ); sky130_fd_sc_hd__o22ai_1 U25072 ( .A1(\exu/i_div/shortq_shift_ff[2] ), .A2( n20084), .B1(n20305), .B2(n19995), .Y(n20101) ); sky130_fd_sc_hd__nand2_1 U25073 ( .A(\exu/i_div/shortq_enable_ff ), .B( n21788), .Y(n19984) ); sky130_fd_sc_hd__nor3b_1 U25074 ( .C_N(\exu/i_div/shortq_shift_xx [0]), .A( n19984), .B(n19983), .Y(n20073) ); sky130_fd_sc_hd__and2_0 U25075 ( .A(n19985), .B(n21788), .X(n20129) ); sky130_fd_sc_hd__a22oi_1 U25076 ( .A1(\exu/i_div/a_ff_comp[0] ), .A2(n20130), .B1(n20129), .B2(\exu/i_div/q_ff [32]), .Y(n19986) ); sky130_fd_sc_hd__o21ai_1 U25077 ( .A1(n20101), .A2(n20087), .B1(n19986), .Y( n19987) ); sky130_fd_sc_hd__a21oi_1 U25078 ( .A1(n20184), .A2(n20100), .B1(n19987), .Y( n20003) ); sky130_fd_sc_hd__o21ai_1 U25079 ( .A1(n20003), .A2(n20377), .B1( \exu/i_div/run_state ), .Y(n19988) ); sky130_fd_sc_hd__a21oi_1 U25080 ( .A1(n20003), .A2(n20377), .B1(n19988), .Y( \exu/i_div/a_in [0]) ); sky130_fd_sc_hd__nor2_1 U25081 ( .A(n19989), .B(n20081), .Y(n19990) ); sky130_fd_sc_hd__xor2_1 U25082 ( .A(\exu/i_div/q_ff [2]), .B(n19990), .X( n20158) ); sky130_fd_sc_hd__o22ai_1 U25083 ( .A1(\exu/i_div/shortq_shift_ff[2] ), .A2( n19991), .B1(n20305), .B2(n20158), .Y(n20155) ); sky130_fd_sc_hd__nand2_1 U25084 ( .A(n19992), .B(n20067), .Y(n19994) ); sky130_fd_sc_hd__nand2_1 U25085 ( .A(n19994), .B(\exu/i_div/q_ff [10]), .Y( n19993) ); sky130_fd_sc_hd__o21ai_1 U25086 ( .A1(\exu/i_div/q_ff [10]), .A2(n19994), .B1(n19993), .Y(n20007) ); sky130_fd_sc_hd__o22ai_1 U25087 ( .A1(\exu/i_div/shortq_shift_ff[2] ), .A2( n19995), .B1(n20305), .B2(n20007), .Y(n20091) ); sky130_fd_sc_hd__o22ai_1 U25088 ( .A1(n20307), .A2(n20155), .B1(n20176), .B2(n20091), .Y(n20187) ); sky130_fd_sc_hd__nor2_1 U25089 ( .A(n19996), .B(n20081), .Y(n19997) ); sky130_fd_sc_hd__xor2_1 U25090 ( .A(n19997), .B(\exu/i_div/q_ff [25]), .X( n20000) ); sky130_fd_sc_hd__o21ai_1 U25091 ( .A1(n19999), .A2(n20081), .B1( \exu/i_div/q_ff [18]), .Y(n19998) ); sky130_fd_sc_hd__o31ai_1 U25092 ( .A1(n19999), .A2(\exu/i_div/q_ff [18]), .A3(n20081), .B1(n19998), .Y(n20010) ); sky130_fd_sc_hd__o22ai_1 U25093 ( .A1(\exu/i_div/shortq_shift_ff[2] ), .A2( n20000), .B1(n20305), .B2(n20010), .Y(n20104) ); sky130_fd_sc_hd__a22oi_1 U25094 ( .A1(\exu/i_div/a_ff [1]), .A2(n20130), .B1(\exu/i_div/a_ff_comp[0] ), .B2(n20129), .Y(n20001) ); sky130_fd_sc_hd__o21ai_1 U25095 ( .A1(n20104), .A2(n20087), .B1(n20001), .Y( n20002) ); sky130_fd_sc_hd__a21oi_1 U25096 ( .A1(n20187), .A2(n20100), .B1(n20002), .Y( \intadd_0/A[0] ) ); sky130_fd_sc_hd__o22ai_1 U25097 ( .A1(n20128), .A2(n20378), .B1(n20131), .B2(\exu/i_div/m_ff [1]), .Y(\intadd_0/B[0] ) ); sky130_fd_sc_hd__o2bb2ai_1 U25098 ( .B1(\exu/i_div/m_ff [0]), .B2(n20131), .A1_N(\exu/i_div/m_ff [0]), .A2_N(n20003), .Y(\intadd_0/CI ) ); sky130_fd_sc_hd__nor2_1 U25099 ( .A(\intadd_0/SUM[0] ), .B(n20321), .Y( \exu/i_div/a_in [1]) ); sky130_fd_sc_hd__nand2_1 U25100 ( .A(n20004), .B(n20067), .Y(n20006) ); sky130_fd_sc_hd__nand2_1 U25101 ( .A(n20006), .B(\exu/i_div/q_ff [3]), .Y( n20005) ); sky130_fd_sc_hd__o21ai_1 U25102 ( .A1(\exu/i_div/q_ff [3]), .A2(n20006), .B1(n20005), .Y(n20161) ); sky130_fd_sc_hd__o22ai_1 U25103 ( .A1(\exu/i_div/shortq_shift_ff[2] ), .A2( n20007), .B1(n20305), .B2(n20161), .Y(n20159) ); sky130_fd_sc_hd__nor2_1 U25104 ( .A(n20008), .B(n20081), .Y(n20009) ); sky130_fd_sc_hd__xor2_1 U25105 ( .A(\exu/i_div/q_ff [11]), .B(n20009), .X( n20020) ); sky130_fd_sc_hd__o22ai_1 U25106 ( .A1(\exu/i_div/shortq_shift_ff[2] ), .A2( n20010), .B1(n20305), .B2(n20020), .Y(n20092) ); sky130_fd_sc_hd__o22ai_1 U25107 ( .A1(n20307), .A2(n20159), .B1(n20176), .B2(n20092), .Y(n20192) ); sky130_fd_sc_hd__nand2_1 U25108 ( .A(n20067), .B(n20011), .Y(n20012) ); sky130_fd_sc_hd__xnor2_1 U25109 ( .A(\exu/i_div/q_ff [26]), .B(n20012), .Y( n20016) ); sky130_fd_sc_hd__nand2_1 U25110 ( .A(n20013), .B(n20067), .Y(n20015) ); sky130_fd_sc_hd__nand2_1 U25111 ( .A(n20015), .B(\exu/i_div/q_ff [19]), .Y( n20014) ); sky130_fd_sc_hd__o21ai_1 U25112 ( .A1(\exu/i_div/q_ff [19]), .A2(n20015), .B1(n20014), .Y(n20023) ); sky130_fd_sc_hd__o22ai_1 U25113 ( .A1(\exu/i_div/shortq_shift_ff[2] ), .A2( n20016), .B1(n20305), .B2(n20023), .Y(n20106) ); sky130_fd_sc_hd__a22oi_1 U25114 ( .A1(\exu/i_div/a_ff [2]), .A2(n20130), .B1(\exu/i_div/a_ff [1]), .B2(n20129), .Y(n20017) ); sky130_fd_sc_hd__o21ai_1 U25115 ( .A1(n20106), .A2(n20087), .B1(n20017), .Y( n20018) ); sky130_fd_sc_hd__a21oi_1 U25116 ( .A1(n20192), .A2(n20100), .B1(n20018), .Y( \intadd_0/A[1] ) ); sky130_fd_sc_hd__o22ai_1 U25117 ( .A1(n20128), .A2(n20363), .B1(n20131), .B2(\exu/i_div/m_ff [2]), .Y(\intadd_0/B[1] ) ); sky130_fd_sc_hd__nor2_1 U25118 ( .A(\intadd_0/SUM[1] ), .B(n20321), .Y( \exu/i_div/a_in [2]) ); sky130_fd_sc_hd__o21ai_1 U25119 ( .A1(n20035), .A2(n20081), .B1( \exu/i_div/q_ff [4]), .Y(n20019) ); sky130_fd_sc_hd__o31ai_1 U25120 ( .A1(n20035), .A2(\exu/i_div/q_ff [4]), .A3(n20081), .B1(n20019), .Y(n20165) ); sky130_fd_sc_hd__o22ai_1 U25121 ( .A1(\exu/i_div/shortq_shift_ff[2] ), .A2( n20020), .B1(n20305), .B2(n20165), .Y(n20162) ); sky130_fd_sc_hd__nand2_1 U25122 ( .A(n20031), .B(n20067), .Y(n20022) ); sky130_fd_sc_hd__nand2_1 U25123 ( .A(n20022), .B(\exu/i_div/q_ff [12]), .Y( n20021) ); sky130_fd_sc_hd__o21ai_1 U25124 ( .A1(\exu/i_div/q_ff [12]), .A2(n20022), .B1(n20021), .Y(n20037) ); sky130_fd_sc_hd__o22ai_1 U25125 ( .A1(\exu/i_div/shortq_shift_ff[2] ), .A2( n20023), .B1(n20305), .B2(n20037), .Y(n20093) ); sky130_fd_sc_hd__o22ai_1 U25126 ( .A1(n20307), .A2(n20162), .B1(n20176), .B2(n20093), .Y(n20195) ); sky130_fd_sc_hd__nor2_1 U25127 ( .A(n20024), .B(n20081), .Y(n20025) ); sky130_fd_sc_hd__xor2_1 U25128 ( .A(n20235), .B(n20025), .X(n20027) ); sky130_fd_sc_hd__nor2_1 U25129 ( .A(n20070), .B(n20081), .Y(n20026) ); sky130_fd_sc_hd__xor2_1 U25130 ( .A(n20284), .B(n20026), .X(n20033) ); sky130_fd_sc_hd__o22ai_1 U25131 ( .A1(\exu/i_div/shortq_shift_ff[2] ), .A2( n20027), .B1(n20305), .B2(n20033), .Y(n20113) ); sky130_fd_sc_hd__a22oi_1 U25132 ( .A1(\exu/i_div/a_ff [2]), .A2(n20129), .B1(n20113), .B2(n20073), .Y(n20028) ); sky130_fd_sc_hd__o21ai_1 U25133 ( .A1(n20029), .A2(n21788), .B1(n20028), .Y( n20030) ); sky130_fd_sc_hd__a21oi_1 U25134 ( .A1(n20195), .A2(n20100), .B1(n20030), .Y( \intadd_0/A[2] ) ); sky130_fd_sc_hd__o22ai_1 U25135 ( .A1(n20128), .A2(n20343), .B1(n20131), .B2(\exu/i_div/m_ff [3]), .Y(\intadd_0/B[2] ) ); sky130_fd_sc_hd__nor2_1 U25136 ( .A(\intadd_0/SUM[2] ), .B(n20321), .Y( \exu/i_div/a_in [3]) ); sky130_fd_sc_hd__o21ai_1 U25137 ( .A1(\exu/i_div/q_ff [12]), .A2(n20031), .B1(n20067), .Y(n20032) ); sky130_fd_sc_hd__xor2_1 U25138 ( .A(\exu/i_div/q_ff [13]), .B(n20032), .X( n20047) ); sky130_fd_sc_hd__o22ai_1 U25139 ( .A1(\exu/i_div/shortq_shift_ff[2] ), .A2( n20033), .B1(n20305), .B2(n20047), .Y(n20094) ); sky130_fd_sc_hd__a21oi_1 U25140 ( .A1(n20035), .A2(n20034), .B1(n20081), .Y( n20036) ); sky130_fd_sc_hd__xnor2_1 U25141 ( .A(\exu/i_div/q_ff [5]), .B(n20036), .Y( n20206) ); sky130_fd_sc_hd__o22ai_1 U25142 ( .A1(\exu/i_div/shortq_shift_ff[2] ), .A2( n20037), .B1(n20305), .B2(n20142), .Y(n20166) ); sky130_fd_sc_hd__o2bb2ai_1 U25143 ( .B1(n20176), .B2(n20094), .A1_N(n20176), .A2_N(n20166), .Y(n20199) ); sky130_fd_sc_hd__nor2_1 U25144 ( .A(n20038), .B(n20081), .Y(n20039) ); sky130_fd_sc_hd__xor2_1 U25145 ( .A(n20260), .B(n20039), .X(n20041) ); sky130_fd_sc_hd__nand2_1 U25146 ( .A(n20053), .B(n20067), .Y(n20040) ); sky130_fd_sc_hd__xnor2_1 U25147 ( .A(n20203), .B(n20040), .Y(n20050) ); sky130_fd_sc_hd__o22ai_1 U25148 ( .A1(\exu/i_div/shortq_shift_ff[2] ), .A2( n20041), .B1(n20305), .B2(n20050), .Y(n20118) ); sky130_fd_sc_hd__a22oi_1 U25149 ( .A1(\exu/i_div/a_ff [4]), .A2(n20130), .B1(n20118), .B2(n20073), .Y(n20042) ); sky130_fd_sc_hd__o21ai_1 U25150 ( .A1(n20199), .A2(n20102), .B1(n20042), .Y( n20043) ); sky130_fd_sc_hd__a21oi_1 U25151 ( .A1(\exu/i_div/a_ff [3]), .A2(n20129), .B1(n20043), .Y(\intadd_0/A[3] ) ); sky130_fd_sc_hd__o22ai_1 U25152 ( .A1(n20128), .A2(n20044), .B1(n20131), .B2(\exu/i_div/m_ff [4]), .Y(\intadd_0/B[3] ) ); sky130_fd_sc_hd__nor2_1 U25153 ( .A(\intadd_0/SUM[3] ), .B(n20321), .Y( \exu/i_div/a_in [4]) ); sky130_fd_sc_hd__nand2_1 U25154 ( .A(n20045), .B(n20067), .Y(n20046) ); sky130_fd_sc_hd__xnor2_1 U25155 ( .A(n20148), .B(n20046), .Y(n20145) ); sky130_fd_sc_hd__o22ai_1 U25156 ( .A1(\exu/i_div/shortq_shift_ff[2] ), .A2( n20047), .B1(n20305), .B2(n20145), .Y(n20169) ); sky130_fd_sc_hd__nor2_1 U25157 ( .A(n20048), .B(n20081), .Y(n20049) ); sky130_fd_sc_hd__xnor2_1 U25158 ( .A(\exu/i_div/q_ff [14]), .B(n20049), .Y( n20061) ); sky130_fd_sc_hd__o22ai_1 U25159 ( .A1(\exu/i_div/shortq_shift_ff[2] ), .A2( n20050), .B1(n20305), .B2(n20061), .Y(n20095) ); sky130_fd_sc_hd__o22ai_1 U25160 ( .A1(n20307), .A2(n20169), .B1(n20176), .B2(n20095), .Y(n20202) ); sky130_fd_sc_hd__nor2_1 U25161 ( .A(n20051), .B(n20081), .Y(n20052) ); sky130_fd_sc_hd__xor2_1 U25162 ( .A(n20245), .B(n20052), .X(n20055) ); sky130_fd_sc_hd__o21ai_1 U25163 ( .A1(\exu/i_div/q_ff [21]), .A2(n20053), .B1(n20067), .Y(n20054) ); sky130_fd_sc_hd__xnor2_1 U25164 ( .A(n20210), .B(n20054), .Y(n20065) ); sky130_fd_sc_hd__o22ai_1 U25165 ( .A1(\exu/i_div/shortq_shift_ff[2] ), .A2( n20055), .B1(n20305), .B2(n20065), .Y(n20123) ); sky130_fd_sc_hd__a22oi_1 U25166 ( .A1(\exu/i_div/a_ff [5]), .A2(n20130), .B1(n20123), .B2(n20073), .Y(n20056) ); sky130_fd_sc_hd__o21ai_1 U25167 ( .A1(n20202), .A2(n20102), .B1(n20056), .Y( n20057) ); sky130_fd_sc_hd__a21oi_1 U25168 ( .A1(\exu/i_div/a_ff [4]), .A2(n20129), .B1(n20057), .Y(\intadd_0/A[4] ) ); sky130_fd_sc_hd__o22ai_1 U25169 ( .A1(n20128), .A2(n20058), .B1(n20131), .B2(\exu/i_div/m_ff [5]), .Y(\intadd_0/B[4] ) ); sky130_fd_sc_hd__nor2_1 U25170 ( .A(\intadd_0/SUM[4] ), .B(n20321), .Y( \exu/i_div/a_in [5]) ); sky130_fd_sc_hd__nor2_1 U25171 ( .A(n20059), .B(n20081), .Y(n20060) ); sky130_fd_sc_hd__xnor2_1 U25172 ( .A(\exu/i_div/q_ff [7]), .B(n20060), .Y( n20174) ); sky130_fd_sc_hd__o22ai_1 U25173 ( .A1(\exu/i_div/shortq_shift_ff[2] ), .A2( n20061), .B1(n20305), .B2(n20174), .Y(n20171) ); sky130_fd_sc_hd__nand2_1 U25174 ( .A(n20062), .B(n20067), .Y(n20064) ); sky130_fd_sc_hd__nand2_1 U25175 ( .A(n20064), .B(\exu/i_div/q_ff [15]), .Y( n20063) ); sky130_fd_sc_hd__o21ai_1 U25176 ( .A1(\exu/i_div/q_ff [15]), .A2(n20064), .B1(n20063), .Y(n20078) ); sky130_fd_sc_hd__o2bb2ai_1 U25177 ( .B1(\exu/i_div/shortq_shift_ff[2] ), .B2(n20065), .A1_N(\exu/i_div/shortq_shift_ff[2] ), .A2_N(n20078), .Y( n20097) ); sky130_fd_sc_hd__o22ai_1 U25178 ( .A1(n20307), .A2(n20171), .B1(n20176), .B2(n20097), .Y(n20213) ); sky130_fd_sc_hd__nand2_1 U25179 ( .A(n20067), .B(n20066), .Y(n20068) ); sky130_fd_sc_hd__xnor2_1 U25180 ( .A(n20248), .B(n20068), .Y(n20072) ); sky130_fd_sc_hd__a21oi_1 U25181 ( .A1(n20070), .A2(n20069), .B1(n20081), .Y( n20071) ); sky130_fd_sc_hd__xnor2_1 U25182 ( .A(\exu/i_div/q_ff [23]), .B(n20071), .Y( n20079) ); sky130_fd_sc_hd__o22ai_1 U25183 ( .A1(\exu/i_div/shortq_shift_ff[2] ), .A2( n20072), .B1(n20305), .B2(n20079), .Y(n20124) ); sky130_fd_sc_hd__a22oi_1 U25184 ( .A1(\exu/i_div/a_ff [6]), .A2(n20130), .B1(n20124), .B2(n20073), .Y(n20074) ); sky130_fd_sc_hd__o21ai_1 U25185 ( .A1(n20213), .A2(n20102), .B1(n20074), .Y( n20075) ); sky130_fd_sc_hd__a21oi_1 U25186 ( .A1(\exu/i_div/a_ff [5]), .A2(n20129), .B1(n20075), .Y(\intadd_0/A[5] ) ); sky130_fd_sc_hd__o22ai_1 U25187 ( .A1(n20128), .A2(n20076), .B1(n20131), .B2(\exu/i_div/m_ff [6]), .Y(\intadd_0/B[5] ) ); sky130_fd_sc_hd__nor2_1 U25188 ( .A(\intadd_0/SUM[5] ), .B(n20321), .Y( \exu/i_div/a_in [6]) ); sky130_fd_sc_hd__o22ai_1 U25189 ( .A1(\exu/i_div/shortq_shift_ff[2] ), .A2( n20078), .B1(n20305), .B2(n20077), .Y(n20175) ); sky130_fd_sc_hd__o2bb2ai_1 U25190 ( .B1(n20305), .B2(n20080), .A1_N(n20305), .A2_N(n20079), .Y(n20099) ); sky130_fd_sc_hd__o22ai_1 U25191 ( .A1(n20307), .A2(n20175), .B1(n20176), .B2(n20099), .Y(n20215) ); sky130_fd_sc_hd__nor2_1 U25192 ( .A(n20082), .B(n20081), .Y(n20083) ); sky130_fd_sc_hd__xor2_1 U25193 ( .A(\exu/i_div/q_ff [31]), .B(n20083), .X( n20085) ); sky130_fd_sc_hd__o22ai_1 U25194 ( .A1(\exu/i_div/shortq_shift_ff[2] ), .A2( n20085), .B1(n20305), .B2(n20084), .Y(n20125) ); sky130_fd_sc_hd__a22oi_1 U25195 ( .A1(\exu/i_div/a_ff [7]), .A2(n20130), .B1(\exu/i_div/a_ff [6]), .B2(n20129), .Y(n20086) ); sky130_fd_sc_hd__o21ai_1 U25196 ( .A1(n20125), .A2(n20087), .B1(n20086), .Y( n20088) ); sky130_fd_sc_hd__a21oi_1 U25197 ( .A1(n20215), .A2(n20100), .B1(n20088), .Y( \intadd_0/A[6] ) ); sky130_fd_sc_hd__o22ai_1 U25198 ( .A1(n20128), .A2(n20089), .B1(n20131), .B2(\exu/i_div/m_ff [7]), .Y(\intadd_0/B[6] ) ); sky130_fd_sc_hd__nor2_1 U25199 ( .A(\intadd_0/SUM[6] ), .B(n20321), .Y( \exu/i_div/a_in [7]) ); sky130_fd_sc_hd__o22ai_1 U25200 ( .A1(n20307), .A2(n20090), .B1(n20176), .B2(n20101), .Y(n20218) ); sky130_fd_sc_hd__a222oi_1 U25201 ( .A1(\exu/i_div/a_ff [8]), .A2(n20130), .B1(\exu/i_div/a_ff [7]), .B2(n20129), .C1(n20218), .C2(n20100), .Y( \intadd_0/A[7] ) ); sky130_fd_sc_hd__clkinv_1 U25202 ( .A(\exu/i_div/m_ff [8]), .Y(n20276) ); sky130_fd_sc_hd__o22ai_1 U25203 ( .A1(n20128), .A2(n20276), .B1(n20131), .B2(\exu/i_div/m_ff [8]), .Y(\intadd_0/B[7] ) ); sky130_fd_sc_hd__nor2_1 U25204 ( .A(\intadd_0/SUM[7] ), .B(n20321), .Y( \exu/i_div/a_in [8]) ); sky130_fd_sc_hd__a22oi_1 U25205 ( .A1(n20307), .A2(n20104), .B1(n20176), .B2(n20091), .Y(n20222) ); sky130_fd_sc_hd__a222oi_1 U25206 ( .A1(\exu/i_div/a_ff [9]), .A2(n20130), .B1(\exu/i_div/a_ff [8]), .B2(n20129), .C1(n20222), .C2(n20100), .Y( \intadd_0/A[8] ) ); sky130_fd_sc_hd__o2bb2ai_1 U25207 ( .B1(n20131), .B2(\exu/i_div/m_ff [9]), .A1_N(n20131), .A2_N(\exu/i_div/m_ff [9]), .Y(\intadd_0/B[8] ) ); sky130_fd_sc_hd__nor2_1 U25208 ( .A(\intadd_0/SUM[8] ), .B(n20321), .Y( \exu/i_div/a_in [9]) ); sky130_fd_sc_hd__o22ai_1 U25209 ( .A1(n20307), .A2(n20092), .B1(n20176), .B2(n20106), .Y(n20227) ); sky130_fd_sc_hd__a222oi_1 U25210 ( .A1(\exu/i_div/a_ff [10]), .A2(n20130), .B1(\exu/i_div/a_ff [9]), .B2(n20129), .C1(n20227), .C2(n20100), .Y( \intadd_0/A[9] ) ); sky130_fd_sc_hd__o22ai_1 U25211 ( .A1(n20128), .A2(n20275), .B1(n20131), .B2(\exu/i_div/m_ff [10]), .Y(\intadd_0/B[9] ) ); sky130_fd_sc_hd__nor2_1 U25212 ( .A(\intadd_0/SUM[9] ), .B(n20321), .Y( \exu/i_div/a_in [10]) ); sky130_fd_sc_hd__a2bb2oi_1 U25213 ( .B1(n20176), .B2(n20093), .A1_N(n20176), .A2_N(n20113), .Y(n20231) ); sky130_fd_sc_hd__a222oi_1 U25214 ( .A1(n20231), .A2(n20100), .B1( \exu/i_div/a_ff [10]), .B2(n20129), .C1(\exu/i_div/a_ff [11]), .C2( n20130), .Y(\intadd_0/A[10] ) ); sky130_fd_sc_hd__o2bb2ai_1 U25215 ( .B1(n20131), .B2(\exu/i_div/m_ff [11]), .A1_N(n20131), .A2_N(\exu/i_div/m_ff [11]), .Y(\intadd_0/B[10] ) ); sky130_fd_sc_hd__nor2_1 U25216 ( .A(\intadd_0/SUM[10] ), .B(n20321), .Y( \exu/i_div/a_in [11]) ); sky130_fd_sc_hd__o22a_1 U25217 ( .A1(n20307), .A2(n20094), .B1(n20176), .B2( n20118), .X(n20236) ); sky130_fd_sc_hd__a222oi_1 U25218 ( .A1(\exu/i_div/a_ff [12]), .A2(n20130), .B1(\exu/i_div/a_ff [11]), .B2(n20129), .C1(n20236), .C2(n20100), .Y( \intadd_0/A[11] ) ); sky130_fd_sc_hd__o2bb2ai_1 U25219 ( .B1(n20131), .B2(\exu/i_div/m_ff [12]), .A1_N(n20131), .A2_N(\exu/i_div/m_ff [12]), .Y(\intadd_0/B[11] ) ); sky130_fd_sc_hd__nor2_1 U25220 ( .A(\intadd_0/SUM[11] ), .B(n20321), .Y( \exu/i_div/a_in [12]) ); sky130_fd_sc_hd__o22ai_1 U25221 ( .A1(n20307), .A2(n20095), .B1(n20176), .B2(n20123), .Y(n20241) ); sky130_fd_sc_hd__clkinv_1 U25222 ( .A(n20241), .Y(n20096) ); sky130_fd_sc_hd__a222oi_1 U25223 ( .A1(\exu/i_div/a_ff [13]), .A2(n20130), .B1(\exu/i_div/a_ff [12]), .B2(n20129), .C1(n20096), .C2(n20100), .Y( \intadd_0/A[12] ) ); sky130_fd_sc_hd__o2bb2ai_1 U25224 ( .B1(n20131), .B2(\exu/i_div/m_ff [13]), .A1_N(n20131), .A2_N(\exu/i_div/m_ff [13]), .Y(\intadd_0/B[12] ) ); sky130_fd_sc_hd__nor2_1 U25225 ( .A(\intadd_0/SUM[12] ), .B(n20321), .Y( \exu/i_div/a_in [13]) ); sky130_fd_sc_hd__o22ai_1 U25226 ( .A1(n20307), .A2(n20097), .B1(n20176), .B2(n20124), .Y(n20252) ); sky130_fd_sc_hd__clkinv_1 U25227 ( .A(n20252), .Y(n20098) ); sky130_fd_sc_hd__a222oi_1 U25228 ( .A1(n20098), .A2(n20100), .B1( \exu/i_div/a_ff [13]), .B2(n20129), .C1(\exu/i_div/a_ff [14]), .C2( n20130), .Y(\intadd_0/A[13] ) ); sky130_fd_sc_hd__o2bb2ai_1 U25229 ( .B1(n20131), .B2(\exu/i_div/m_ff [14]), .A1_N(n20131), .A2_N(\exu/i_div/m_ff [14]), .Y(\intadd_0/B[13] ) ); sky130_fd_sc_hd__nor2_1 U25230 ( .A(\intadd_0/SUM[13] ), .B(n20321), .Y( \exu/i_div/a_in [14]) ); sky130_fd_sc_hd__o22ai_1 U25231 ( .A1(n20307), .A2(n20099), .B1(n20176), .B2(n20125), .Y(n20253) ); sky130_fd_sc_hd__a222oi_1 U25232 ( .A1(\exu/i_div/a_ff [15]), .A2(n20130), .B1(\exu/i_div/a_ff [14]), .B2(n20129), .C1(n20100), .C2(n20253), .Y( \intadd_0/A[14] ) ); sky130_fd_sc_hd__o2bb2ai_1 U25233 ( .B1(n20131), .B2(\exu/i_div/m_ff [15]), .A1_N(n20131), .A2_N(\exu/i_div/m_ff [15]), .Y(\intadd_0/B[14] ) ); sky130_fd_sc_hd__nor2_1 U25234 ( .A(\intadd_0/SUM[14] ), .B(n20321), .Y( \exu/i_div/a_in [15]) ); sky130_fd_sc_hd__clkinv_1 U25235 ( .A(n20101), .Y(n20103) ); sky130_fd_sc_hd__nor2_1 U25236 ( .A(n20307), .B(n20102), .Y(n20127) ); sky130_fd_sc_hd__a222oi_1 U25237 ( .A1(\exu/i_div/a_ff [16]), .A2(n20130), .B1(\exu/i_div/a_ff [15]), .B2(n20129), .C1(n20103), .C2(n20127), .Y( \intadd_0/A[15] ) ); sky130_fd_sc_hd__clkinv_1 U25238 ( .A(\exu/i_div/m_ff [16]), .Y(n20271) ); sky130_fd_sc_hd__o22ai_1 U25239 ( .A1(n20128), .A2(n20271), .B1(n20131), .B2(\exu/i_div/m_ff [16]), .Y(\intadd_0/B[15] ) ); sky130_fd_sc_hd__nor2_1 U25240 ( .A(\intadd_0/SUM[15] ), .B(n20321), .Y( \exu/i_div/a_in [16]) ); sky130_fd_sc_hd__clkinv_1 U25241 ( .A(n20104), .Y(n20105) ); sky130_fd_sc_hd__a222oi_1 U25242 ( .A1(\exu/i_div/a_ff [17]), .A2(n20130), .B1(\exu/i_div/a_ff [16]), .B2(n20129), .C1(n20105), .C2(n20127), .Y( \intadd_0/A[16] ) ); sky130_fd_sc_hd__o2bb2ai_1 U25243 ( .B1(n20131), .B2(\exu/i_div/m_ff [17]), .A1_N(n20131), .A2_N(\exu/i_div/m_ff [17]), .Y(\intadd_0/B[16] ) ); sky130_fd_sc_hd__nor2_1 U25244 ( .A(\intadd_0/SUM[16] ), .B(n20321), .Y( \exu/i_div/a_in [17]) ); sky130_fd_sc_hd__clkinv_1 U25245 ( .A(\exu/i_div/m_ff [18]), .Y(n20270) ); sky130_fd_sc_hd__o22ai_1 U25246 ( .A1(n20128), .A2(n20270), .B1(n20131), .B2(\exu/i_div/m_ff [18]), .Y(n20110) ); sky130_fd_sc_hd__a222oi_1 U25247 ( .A1(\exu/i_div/a_ff [18]), .A2(n20130), .B1(\exu/i_div/a_ff [17]), .B2(n20129), .C1(n20107), .C2(n20127), .Y( n20109) ); sky130_fd_sc_hd__xnor2_1 U25248 ( .A(n20110), .B(n20109), .Y(n20108) ); sky130_fd_sc_hd__nor2_1 U25249 ( .A(\intadd_0/n1 ), .B(n20108), .Y(n20111) ); sky130_fd_sc_hd__a211oi_1 U25250 ( .A1(\intadd_0/n1 ), .A2(n20108), .B1( n20111), .C1(n20321), .Y(\exu/i_div/a_in [18]) ); sky130_fd_sc_hd__nor2_1 U25251 ( .A(n20110), .B(n20109), .Y(n20112) ); sky130_fd_sc_hd__nor2_1 U25252 ( .A(n20112), .B(n20111), .Y(n20120) ); sky130_fd_sc_hd__a2bb2oi_1 U25253 ( .B1(n20131), .B2(\exu/i_div/m_ff [19]), .A1_N(n20131), .A2_N(\exu/i_div/m_ff [19]), .Y(n20114) ); sky130_fd_sc_hd__a222oi_1 U25254 ( .A1(\exu/i_div/a_ff [19]), .A2(n20130), .B1(\exu/i_div/a_ff [18]), .B2(n20129), .C1(n20113), .C2(n20127), .Y( n20115) ); sky130_fd_sc_hd__nand2b_1 U25255 ( .A_N(n20114), .B(n20115), .Y(n20122) ); sky130_fd_sc_hd__nand2b_1 U25256 ( .A_N(n20115), .B(n20114), .Y(n20119) ); sky130_fd_sc_hd__nand2_1 U25257 ( .A(n20122), .B(n20119), .Y(n20117) ); sky130_fd_sc_hd__o21ai_1 U25258 ( .A1(n20120), .A2(n20117), .B1( \exu/i_div/run_state ), .Y(n20116) ); sky130_fd_sc_hd__a21oi_1 U25259 ( .A1(n20120), .A2(n20117), .B1(n20116), .Y( \exu/i_div/a_in [19]) ); sky130_fd_sc_hd__a222oi_1 U25260 ( .A1(\exu/i_div/a_ff [20]), .A2(n20130), .B1(\exu/i_div/a_ff [19]), .B2(n20129), .C1(n20118), .C2(n20127), .Y( \intadd_1/A[0] ) ); sky130_fd_sc_hd__o2bb2ai_1 U25261 ( .B1(n20131), .B2(\exu/i_div/m_ff [20]), .A1_N(n20131), .A2_N(\exu/i_div/m_ff [20]), .Y(\intadd_1/B[0] ) ); sky130_fd_sc_hd__nand2_1 U25262 ( .A(n20120), .B(n20119), .Y(n20121) ); sky130_fd_sc_hd__nand2_1 U25263 ( .A(n20122), .B(n20121), .Y(\intadd_1/CI ) ); sky130_fd_sc_hd__nor2_1 U25264 ( .A(\intadd_1/SUM[0] ), .B(n20321), .Y( \exu/i_div/a_in [20]) ); sky130_fd_sc_hd__a222oi_1 U25265 ( .A1(\exu/i_div/a_ff [21]), .A2(n20130), .B1(\exu/i_div/a_ff [20]), .B2(n20129), .C1(n20123), .C2(n20127), .Y( \intadd_1/A[1] ) ); sky130_fd_sc_hd__o2bb2ai_1 U25266 ( .B1(n20131), .B2(\exu/i_div/m_ff [21]), .A1_N(n20131), .A2_N(\exu/i_div/m_ff [21]), .Y(\intadd_1/B[1] ) ); sky130_fd_sc_hd__nor2_1 U25267 ( .A(\intadd_1/SUM[1] ), .B(n20321), .Y( \exu/i_div/a_in [21]) ); sky130_fd_sc_hd__a222oi_1 U25268 ( .A1(\exu/i_div/a_ff [22]), .A2(n20130), .B1(\exu/i_div/a_ff [21]), .B2(n20129), .C1(n20124), .C2(n20127), .Y( \intadd_1/A[2] ) ); sky130_fd_sc_hd__o2bb2ai_1 U25269 ( .B1(n20131), .B2(\exu/i_div/m_ff [22]), .A1_N(n20131), .A2_N(\exu/i_div/m_ff [22]), .Y(\intadd_1/B[2] ) ); sky130_fd_sc_hd__nor2_1 U25270 ( .A(\intadd_1/SUM[2] ), .B(n20321), .Y( \exu/i_div/a_in [22]) ); sky130_fd_sc_hd__clkinv_1 U25271 ( .A(n20125), .Y(n20126) ); sky130_fd_sc_hd__a222oi_1 U25272 ( .A1(\exu/i_div/a_ff [23]), .A2(n20130), .B1(\exu/i_div/a_ff [22]), .B2(n20129), .C1(n20127), .C2(n20126), .Y( \intadd_1/A[3] ) ); sky130_fd_sc_hd__o2bb2ai_1 U25273 ( .B1(n20131), .B2(\exu/i_div/m_ff [23]), .A1_N(n20131), .A2_N(\exu/i_div/m_ff [23]), .Y(\intadd_1/B[3] ) ); sky130_fd_sc_hd__nor2_1 U25274 ( .A(\intadd_1/SUM[3] ), .B(n20321), .Y( \exu/i_div/a_in [23]) ); sky130_fd_sc_hd__a22oi_1 U25275 ( .A1(\exu/i_div/a_ff [24]), .A2(n20130), .B1(\exu/i_div/a_ff [23]), .B2(n20129), .Y(\intadd_1/A[4] ) ); sky130_fd_sc_hd__clkinv_1 U25276 ( .A(\exu/i_div/m_ff [24]), .Y(n20264) ); sky130_fd_sc_hd__o22ai_1 U25277 ( .A1(n20128), .A2(n20264), .B1(n20131), .B2(\exu/i_div/m_ff [24]), .Y(\intadd_1/B[4] ) ); sky130_fd_sc_hd__nor2_1 U25278 ( .A(\intadd_1/SUM[4] ), .B(n20321), .Y( \exu/i_div/a_in [24]) ); sky130_fd_sc_hd__a22oi_1 U25279 ( .A1(\exu/i_div/a_ff [25]), .A2(n20130), .B1(\exu/i_div/a_ff [24]), .B2(n20129), .Y(\intadd_1/A[5] ) ); sky130_fd_sc_hd__o2bb2ai_1 U25280 ( .B1(n20131), .B2(\exu/i_div/m_ff [25]), .A1_N(n20131), .A2_N(\exu/i_div/m_ff [25]), .Y(\intadd_1/B[5] ) ); sky130_fd_sc_hd__nor2_1 U25281 ( .A(\intadd_1/SUM[5] ), .B(n20321), .Y( \exu/i_div/a_in [25]) ); sky130_fd_sc_hd__a22oi_1 U25282 ( .A1(\exu/i_div/a_ff [26]), .A2(n20130), .B1(\exu/i_div/a_ff [25]), .B2(n20129), .Y(\intadd_1/A[6] ) ); sky130_fd_sc_hd__clkinv_1 U25283 ( .A(\exu/i_div/m_ff [26]), .Y(n20263) ); sky130_fd_sc_hd__o22ai_1 U25284 ( .A1(n20128), .A2(n20263), .B1(n20131), .B2(\exu/i_div/m_ff [26]), .Y(\intadd_1/B[6] ) ); sky130_fd_sc_hd__nor2_1 U25285 ( .A(\intadd_1/SUM[6] ), .B(n20321), .Y( \exu/i_div/a_in [26]) ); sky130_fd_sc_hd__a22oi_1 U25286 ( .A1(\exu/i_div/a_ff [27]), .A2(n20130), .B1(\exu/i_div/a_ff [26]), .B2(n20129), .Y(\intadd_1/A[7] ) ); sky130_fd_sc_hd__o2bb2ai_1 U25287 ( .B1(n20131), .B2(\exu/i_div/m_ff [27]), .A1_N(n20131), .A2_N(\exu/i_div/m_ff [27]), .Y(\intadd_1/B[7] ) ); sky130_fd_sc_hd__nor2_1 U25288 ( .A(\intadd_1/SUM[7] ), .B(n20321), .Y( \exu/i_div/a_in [27]) ); sky130_fd_sc_hd__a22oi_1 U25289 ( .A1(\exu/i_div/a_ff [28]), .A2(n20130), .B1(\exu/i_div/a_ff [27]), .B2(n20129), .Y(\intadd_1/A[8] ) ); sky130_fd_sc_hd__o2bb2ai_1 U25290 ( .B1(n20131), .B2(\exu/i_div/m_ff [28]), .A1_N(n20131), .A2_N(\exu/i_div/m_ff [28]), .Y(\intadd_1/B[8] ) ); sky130_fd_sc_hd__nor2_1 U25291 ( .A(\intadd_1/SUM[8] ), .B(n20321), .Y( \exu/i_div/a_in [28]) ); sky130_fd_sc_hd__a22oi_1 U25292 ( .A1(\exu/i_div/a_ff [29]), .A2(n20130), .B1(\exu/i_div/a_ff [28]), .B2(n20129), .Y(\intadd_1/A[9] ) ); sky130_fd_sc_hd__o2bb2ai_1 U25293 ( .B1(n20131), .B2(\exu/i_div/m_ff [29]), .A1_N(n20131), .A2_N(\exu/i_div/m_ff [29]), .Y(\intadd_1/B[9] ) ); sky130_fd_sc_hd__nor2_1 U25294 ( .A(\intadd_1/SUM[9] ), .B(n20321), .Y( \exu/i_div/a_in [29]) ); sky130_fd_sc_hd__a22oi_1 U25295 ( .A1(\exu/i_div/a_ff [30]), .A2(n20130), .B1(\exu/i_div/a_ff [29]), .B2(n20129), .Y(\intadd_1/A[10] ) ); sky130_fd_sc_hd__o2bb2ai_1 U25296 ( .B1(n20131), .B2(\exu/i_div/m_ff [30]), .A1_N(n20131), .A2_N(\exu/i_div/m_ff [30]), .Y(\intadd_1/B[10] ) ); sky130_fd_sc_hd__nor2_1 U25297 ( .A(\intadd_1/SUM[10] ), .B(n20321), .Y( \exu/i_div/a_in [30]) ); sky130_fd_sc_hd__a22oi_1 U25298 ( .A1(\exu/i_div/a_ff [31]), .A2(n20130), .B1(\exu/i_div/a_ff [30]), .B2(n20129), .Y(\intadd_1/A[11] ) ); sky130_fd_sc_hd__o2bb2ai_1 U25299 ( .B1(n20131), .B2(\exu/i_div/m_ff [31]), .A1_N(n20131), .A2_N(\exu/i_div/m_ff [31]), .Y(\intadd_1/B[11] ) ); sky130_fd_sc_hd__nor2_1 U25300 ( .A(\intadd_1/SUM[11] ), .B(n20321), .Y( \exu/i_div/a_in [31]) ); sky130_fd_sc_hd__o22ai_1 U25301 ( .A1(n20134), .A2(\exu/i_div/run_state ), .B1(n20133), .B2(n20132), .Y(\exu/i_div/q_in [0]) ); sky130_fd_sc_hd__a22oi_1 U25302 ( .A1(\exu/i_div/q_ff [1]), .A2(n20255), .B1(n20154), .B2(n20146), .Y(n20135) ); sky130_fd_sc_hd__o21ai_1 U25303 ( .A1(n20136), .A2(\exu/i_div/run_state ), .B1(n20135), .Y(\exu/i_div/q_in [2]) ); sky130_fd_sc_hd__a22oi_1 U25304 ( .A1(\exu/i_div/q_ff [2]), .A2(n20255), .B1(n20146), .B2(n20158), .Y(n20137) ); sky130_fd_sc_hd__o21ai_1 U25305 ( .A1(n20138), .A2(\exu/i_div/run_state ), .B1(n20137), .Y(\exu/i_div/q_in [3]) ); sky130_fd_sc_hd__a22oi_1 U25306 ( .A1(n20161), .A2(n20146), .B1( \exu/muldiv_rs1_d [4]), .B2(n20321), .Y(n20139) ); sky130_fd_sc_hd__o21ai_1 U25307 ( .A1(n20349), .A2(n20247), .B1(n20139), .Y( \exu/i_div/q_in [4]) ); sky130_fd_sc_hd__a22oi_1 U25308 ( .A1(\exu/i_div/q_ff [4]), .A2(n20255), .B1(n20146), .B2(n20165), .Y(n20140) ); sky130_fd_sc_hd__o21ai_1 U25309 ( .A1(n20141), .A2(\exu/i_div/run_state ), .B1(n20140), .Y(\exu/i_div/q_in [5]) ); sky130_fd_sc_hd__a22oi_1 U25310 ( .A1(\exu/i_div/q_ff [5]), .A2(n20255), .B1(n20146), .B2(n20142), .Y(n20143) ); sky130_fd_sc_hd__o21ai_1 U25311 ( .A1(n20144), .A2(\exu/i_div/run_state ), .B1(n20143), .Y(\exu/i_div/q_in [6]) ); sky130_fd_sc_hd__o22ai_1 U25312 ( .A1(\exu/i_div/shortq_shift_ff[2] ), .A2( n20145), .B1(n20305), .B2(\exu/i_div/a_in [32]), .Y(n20207) ); sky130_fd_sc_hd__a22oi_1 U25313 ( .A1(n20207), .A2(n20146), .B1( \exu/muldiv_rs1_d [7]), .B2(n20321), .Y(n20147) ); sky130_fd_sc_hd__o21ai_1 U25314 ( .A1(n20148), .A2(n20247), .B1(n20147), .Y( \exu/i_div/q_in [7]) ); sky130_fd_sc_hd__nand2_1 U25315 ( .A(n20305), .B(n20176), .Y(n20173) ); sky130_fd_sc_hd__o22ai_1 U25316 ( .A1(\exu/i_div/a_in [32]), .A2(n20173), .B1(n20176), .B2(n20174), .Y(n20214) ); sky130_fd_sc_hd__a22oi_1 U25317 ( .A1(\exu/i_div/q_ff [7]), .A2(n20255), .B1(n20254), .B2(n20214), .Y(n20149) ); sky130_fd_sc_hd__o21ai_1 U25318 ( .A1(n20150), .A2(\exu/i_div/run_state ), .B1(n20149), .Y(\exu/i_div/q_in [8]) ); sky130_fd_sc_hd__o22ai_1 U25319 ( .A1(n20352), .A2(n20173), .B1(n20176), .B2(n20151), .Y(n20219) ); sky130_fd_sc_hd__a22oi_1 U25320 ( .A1(n20254), .A2(n20219), .B1( \exu/muldiv_rs1_d [9]), .B2(n20321), .Y(n20152) ); sky130_fd_sc_hd__o21ai_1 U25321 ( .A1(n20153), .A2(n20247), .B1(n20152), .Y( \exu/i_div/q_in [9]) ); sky130_fd_sc_hd__clkinv_1 U25322 ( .A(n20154), .Y(n20189) ); sky130_fd_sc_hd__o22ai_1 U25323 ( .A1(n20176), .A2(n20155), .B1(n20173), .B2(n20189), .Y(n20224) ); sky130_fd_sc_hd__a22oi_1 U25324 ( .A1(\exu/i_div/q_ff [9]), .A2(n20255), .B1(n20254), .B2(n20224), .Y(n20156) ); sky130_fd_sc_hd__o21ai_1 U25325 ( .A1(n20157), .A2(\exu/i_div/run_state ), .B1(n20156), .Y(\exu/i_div/q_in [10]) ); sky130_fd_sc_hd__o22ai_1 U25326 ( .A1(n20176), .A2(n20159), .B1(n20173), .B2(n20190), .Y(n20228) ); sky130_fd_sc_hd__a22oi_1 U25327 ( .A1(n20254), .A2(n20228), .B1( \exu/muldiv_rs1_d [11]), .B2(n20321), .Y(n20160) ); sky130_fd_sc_hd__o21ai_1 U25328 ( .A1(n20290), .A2(n20247), .B1(n20160), .Y( \exu/i_div/q_in [11]) ); sky130_fd_sc_hd__clkinv_1 U25329 ( .A(n20161), .Y(n20197) ); sky130_fd_sc_hd__o22ai_1 U25330 ( .A1(n20176), .A2(n20162), .B1(n20173), .B2(n20197), .Y(n20233) ); sky130_fd_sc_hd__a22oi_1 U25331 ( .A1(\exu/i_div/q_ff [11]), .A2(n20255), .B1(n20254), .B2(n20233), .Y(n20163) ); sky130_fd_sc_hd__o21ai_1 U25332 ( .A1(n20164), .A2(\exu/i_div/run_state ), .B1(n20163), .Y(\exu/i_div/q_in [12]) ); sky130_fd_sc_hd__clkinv_1 U25333 ( .A(n20165), .Y(n20198) ); sky130_fd_sc_hd__o22ai_1 U25334 ( .A1(n20176), .A2(n20166), .B1(n20173), .B2(n20198), .Y(n20238) ); sky130_fd_sc_hd__a22oi_1 U25335 ( .A1(\exu/i_div/q_ff [12]), .A2(n20255), .B1(n20254), .B2(n20238), .Y(n20167) ); sky130_fd_sc_hd__o21ai_1 U25336 ( .A1(n20168), .A2(\exu/i_div/run_state ), .B1(n20167), .Y(\exu/i_div/q_in [13]) ); sky130_fd_sc_hd__a2bb2oi_1 U25337 ( .B1(n20307), .B2(n20169), .A1_N(n20173), .A2_N(n20206), .Y(n20242) ); sky130_fd_sc_hd__a22oi_1 U25338 ( .A1(n20255), .A2(\exu/i_div/q_ff [13]), .B1(\exu/muldiv_rs1_d [14]), .B2(n20321), .Y(n20170) ); sky130_fd_sc_hd__o21ai_1 U25339 ( .A1(n20242), .A2(n20251), .B1(n20170), .Y( \exu/i_div/q_in [14]) ); sky130_fd_sc_hd__o22ai_1 U25340 ( .A1(n20307), .A2(n20207), .B1(n20176), .B2(n20171), .Y(n20246) ); sky130_fd_sc_hd__a22oi_1 U25341 ( .A1(n20255), .A2(\exu/i_div/q_ff [14]), .B1(\exu/muldiv_rs1_d [15]), .B2(n20321), .Y(n20172) ); sky130_fd_sc_hd__o21ai_1 U25342 ( .A1(n20251), .A2(n20246), .B1(n20172), .Y( \exu/i_div/q_in [15]) ); sky130_fd_sc_hd__o22ai_1 U25343 ( .A1(n20176), .A2(n20175), .B1(n20174), .B2(n20173), .Y(n20177) ); sky130_fd_sc_hd__a21oi_1 U25344 ( .A1(\exu/i_div/q_ff_comp[0] ), .A2( \exu/i_div/shortq_shift_ff[2] ), .B1(n20177), .Y(n20258) ); sky130_fd_sc_hd__nor2_1 U25345 ( .A(n20314), .B(n20178), .Y(n20240) ); sky130_fd_sc_hd__nand2_1 U25346 ( .A(n20307), .B(n20240), .Y(n20208) ); sky130_fd_sc_hd__o22ai_1 U25347 ( .A1(\exu/i_div/a_in [32]), .A2(n20208), .B1(n20179), .B2(n20247), .Y(n20180) ); sky130_fd_sc_hd__a21oi_1 U25348 ( .A1(\exu/muldiv_rs1_d [16]), .A2(n20321), .B1(n20180), .Y(n20181) ); sky130_fd_sc_hd__o21ai_1 U25349 ( .A1(n20258), .A2(n20251), .B1(n20181), .Y( \exu/i_div/q_in [16]) ); sky130_fd_sc_hd__o2bb2ai_1 U25350 ( .B1(n20247), .B2(n20182), .A1_N( \exu/muldiv_rs1_d [17]), .A2_N(n20321), .Y(n20183) ); sky130_fd_sc_hd__a21oi_1 U25351 ( .A1(n20254), .A2(n20184), .B1(n20183), .Y( n20185) ); sky130_fd_sc_hd__o21ai_1 U25352 ( .A1(n20352), .A2(n20208), .B1(n20185), .Y( \exu/i_div/q_in [17]) ); sky130_fd_sc_hd__a22o_1 U25353 ( .A1(\exu/muldiv_rs1_d [18]), .A2(n20321), .B1(n20255), .B2(\exu/i_div/q_ff [17]), .X(n20186) ); sky130_fd_sc_hd__a21oi_1 U25354 ( .A1(n20254), .A2(n20187), .B1(n20186), .Y( n20188) ); sky130_fd_sc_hd__o21ai_1 U25355 ( .A1(n20189), .A2(n20208), .B1(n20188), .Y( \exu/i_div/q_in [18]) ); sky130_fd_sc_hd__o2bb2ai_1 U25356 ( .B1(n20208), .B2(n20190), .A1_N( \exu/muldiv_rs1_d [19]), .A2_N(n20321), .Y(n20191) ); sky130_fd_sc_hd__a21oi_1 U25357 ( .A1(n20254), .A2(n20192), .B1(n20191), .Y( n20193) ); sky130_fd_sc_hd__o21ai_1 U25358 ( .A1(n20283), .A2(n20247), .B1(n20193), .Y( \exu/i_div/q_in [19]) ); sky130_fd_sc_hd__a22o_1 U25359 ( .A1(n20255), .A2(\exu/i_div/q_ff [19]), .B1(\exu/muldiv_rs1_d [20]), .B2(n20321), .X(n20194) ); sky130_fd_sc_hd__a21oi_1 U25360 ( .A1(n20254), .A2(n20195), .B1(n20194), .Y( n20196) ); sky130_fd_sc_hd__o21ai_1 U25361 ( .A1(n20197), .A2(n20208), .B1(n20196), .Y( \exu/i_div/q_in [20]) ); sky130_fd_sc_hd__o22ai_1 U25362 ( .A1(n20251), .A2(n20199), .B1(n20198), .B2(n20208), .Y(n20200) ); sky130_fd_sc_hd__a21oi_1 U25363 ( .A1(\exu/muldiv_rs1_d [21]), .A2(n20321), .B1(n20200), .Y(n20201) ); sky130_fd_sc_hd__o21ai_1 U25364 ( .A1(n20284), .A2(n20247), .B1(n20201), .Y( \exu/i_div/q_in [21]) ); sky130_fd_sc_hd__o22ai_1 U25365 ( .A1(n20203), .A2(n20247), .B1(n20251), .B2(n20202), .Y(n20204) ); sky130_fd_sc_hd__a21oi_1 U25366 ( .A1(\exu/muldiv_rs1_d [22]), .A2(n20321), .B1(n20204), .Y(n20205) ); sky130_fd_sc_hd__o21ai_1 U25367 ( .A1(n20206), .A2(n20208), .B1(n20205), .Y( \exu/i_div/q_in [22]) ); sky130_fd_sc_hd__o22ai_1 U25368 ( .A1(n20210), .A2(n20247), .B1(n20209), .B2(n20208), .Y(n20211) ); sky130_fd_sc_hd__a21oi_1 U25369 ( .A1(\exu/muldiv_rs1_d [23]), .A2(n20321), .B1(n20211), .Y(n20212) ); sky130_fd_sc_hd__o21ai_1 U25370 ( .A1(n20251), .A2(n20213), .B1(n20212), .Y( \exu/i_div/q_in [23]) ); sky130_fd_sc_hd__a22oi_1 U25371 ( .A1(n20240), .A2(n20214), .B1( \exu/muldiv_rs1_d [24]), .B2(n20321), .Y(n20217) ); sky130_fd_sc_hd__a22oi_1 U25372 ( .A1(\exu/i_div/q_ff [23]), .A2(n20255), .B1(n20254), .B2(n20215), .Y(n20216) ); sky130_fd_sc_hd__nand2_1 U25373 ( .A(n20217), .B(n20216), .Y( \exu/i_div/q_in [24]) ); sky130_fd_sc_hd__a22oi_1 U25374 ( .A1(n20218), .A2(n20254), .B1( \exu/muldiv_rs1_d [25]), .B2(n20321), .Y(n20221) ); sky130_fd_sc_hd__a22oi_1 U25375 ( .A1(\exu/i_div/q_ff [24]), .A2(n20255), .B1(n20240), .B2(n20219), .Y(n20220) ); sky130_fd_sc_hd__nand2_1 U25376 ( .A(n20221), .B(n20220), .Y( \exu/i_div/q_in [25]) ); sky130_fd_sc_hd__a22o_1 U25377 ( .A1(\exu/muldiv_rs1_d [26]), .A2(n20321), .B1(n20222), .B2(n20254), .X(n20223) ); sky130_fd_sc_hd__a21oi_1 U25378 ( .A1(n20240), .A2(n20224), .B1(n20223), .Y( n20225) ); sky130_fd_sc_hd__o21ai_1 U25379 ( .A1(n20226), .A2(n20247), .B1(n20225), .Y( \exu/i_div/q_in [26]) ); sky130_fd_sc_hd__a22oi_1 U25380 ( .A1(n20227), .A2(n20254), .B1( \exu/muldiv_rs1_d [27]), .B2(n20321), .Y(n20230) ); sky130_fd_sc_hd__a22oi_1 U25381 ( .A1(\exu/i_div/q_ff [26]), .A2(n20255), .B1(n20240), .B2(n20228), .Y(n20229) ); sky130_fd_sc_hd__nand2_1 U25382 ( .A(n20230), .B(n20229), .Y( \exu/i_div/q_in [27]) ); sky130_fd_sc_hd__a22o_1 U25383 ( .A1(\exu/muldiv_rs1_d [28]), .A2(n20321), .B1(n20231), .B2(n20254), .X(n20232) ); sky130_fd_sc_hd__a21oi_1 U25384 ( .A1(n20240), .A2(n20233), .B1(n20232), .Y( n20234) ); sky130_fd_sc_hd__o21ai_1 U25385 ( .A1(n20235), .A2(n20247), .B1(n20234), .Y( \exu/i_div/q_in [28]) ); sky130_fd_sc_hd__a22o_1 U25386 ( .A1(n20236), .A2(n20254), .B1( \exu/muldiv_rs1_d [29]), .B2(n20321), .X(n20237) ); sky130_fd_sc_hd__a21oi_1 U25387 ( .A1(n20240), .A2(n20238), .B1(n20237), .Y( n20239) ); sky130_fd_sc_hd__o21ai_1 U25388 ( .A1(n20260), .A2(n20247), .B1(n20239), .Y( \exu/i_div/q_in [29]) ); sky130_fd_sc_hd__clkinv_1 U25389 ( .A(n20240), .Y(n20257) ); sky130_fd_sc_hd__o22ai_1 U25390 ( .A1(n20242), .A2(n20257), .B1(n20251), .B2(n20241), .Y(n20243) ); sky130_fd_sc_hd__a21oi_1 U25391 ( .A1(\exu/muldiv_rs1_d [30]), .A2(n20321), .B1(n20243), .Y(n20244) ); sky130_fd_sc_hd__o21ai_1 U25392 ( .A1(n20245), .A2(n20247), .B1(n20244), .Y( \exu/i_div/q_in [30]) ); sky130_fd_sc_hd__o22ai_1 U25393 ( .A1(n20248), .A2(n20247), .B1(n20246), .B2(n20257), .Y(n20249) ); sky130_fd_sc_hd__a21oi_1 U25394 ( .A1(\exu/muldiv_rs1_d [31]), .A2(n20321), .B1(n20249), .Y(n20250) ); sky130_fd_sc_hd__o21ai_1 U25395 ( .A1(n20252), .A2(n20251), .B1(n20250), .Y( \exu/i_div/q_in [31]) ); sky130_fd_sc_hd__a22oi_1 U25396 ( .A1(\exu/i_div/q_ff [31]), .A2(n20255), .B1(n20254), .B2(n20253), .Y(n20256) ); sky130_fd_sc_hd__o21ai_1 U25397 ( .A1(n20258), .A2(n20257), .B1(n20256), .Y( \exu/i_div/q_in [32]) ); sky130_fd_sc_hd__nand2_1 U25398 ( .A(\exu/i_div/q_ff [31]), .B( \exu/i_div/sign_ff ), .Y(n20289) ); sky130_fd_sc_hd__nand4_1 U25399 ( .A(\exu/i_div/q_ff [29]), .B( \exu/i_div/q_ff [27]), .C(\exu/i_div/q_ff [24]), .D( \exu/i_div/q_ff [23]), .Y(n20259) ); sky130_fd_sc_hd__nor4b_1 U25400 ( .D_N(\exu/i_div/q_ff [26]), .A(n20260), .B(n20289), .C(n20259), .Y(n20262) ); sky130_fd_sc_hd__a31oi_1 U25401 ( .A1(\exu/i_div/q_ff [25]), .A2( \exu/i_div/q_ff [30]), .A3(n20262), .B1(n20261), .Y(n20328) ); sky130_fd_sc_hd__nand4_1 U25402 ( .A(\exu/i_div/m_ff [29]), .B( \exu/i_div/m_ff [27]), .C(\exu/i_div/m_ff [30]), .D( \exu/i_div/m_ff [28]), .Y(n20267) ); sky130_fd_sc_hd__nor3_1 U25403 ( .A(n20277), .B(n20264), .C(n20263), .Y( n20265) ); sky130_fd_sc_hd__nand3_1 U25404 ( .A(\exu/i_div/m_ff [25]), .B( \exu/i_div/m_ff [31]), .C(n20265), .Y(n20266) ); sky130_fd_sc_hd__o22ai_1 U25405 ( .A1(\exu/i_div/m_ff [32]), .A2(n20268), .B1(n20267), .B2(n20266), .Y(n20295) ); sky130_fd_sc_hd__nand2_1 U25406 ( .A(n20328), .B(n20295), .Y(n20336) ); sky130_fd_sc_hd__nand4_1 U25407 ( .A(\exu/i_div/m_ff [21]), .B( \exu/i_div/m_ff [20]), .C(\exu/i_div/m_ff [17]), .D( \exu/i_div/m_ff [23]), .Y(n20269) ); sky130_fd_sc_hd__nor4_1 U25408 ( .A(n20277), .B(n20271), .C(n20270), .D( n20269), .Y(n20274) ); sky130_fd_sc_hd__nor2_1 U25409 ( .A(\exu/i_div/m_ff [32]), .B(n20272), .Y( n20273) ); sky130_fd_sc_hd__a31oi_1 U25410 ( .A1(\exu/i_div/m_ff [19]), .A2( \exu/i_div/m_ff [22]), .A3(n20274), .B1(n20273), .Y(n20327) ); sky130_fd_sc_hd__nand4_1 U25411 ( .A(\exu/i_div/m_ff [14]), .B( \exu/i_div/m_ff [11]), .C(\exu/i_div/m_ff [13]), .D( \exu/i_div/m_ff [12]), .Y(n20280) ); sky130_fd_sc_hd__nor3_1 U25412 ( .A(n20277), .B(n20276), .C(n20275), .Y( n20278) ); sky130_fd_sc_hd__nand3_1 U25413 ( .A(\exu/i_div/m_ff [9]), .B( \exu/i_div/m_ff [15]), .C(n20278), .Y(n20279) ); sky130_fd_sc_hd__o22ai_1 U25414 ( .A1(\exu/i_div/m_ff [32]), .A2(n20281), .B1(n20280), .B2(n20279), .Y(n20324) ); sky130_fd_sc_hd__clkinv_1 U25415 ( .A(n20327), .Y(n20330) ); sky130_fd_sc_hd__nand2_1 U25416 ( .A(n20330), .B(n20295), .Y(n20298) ); sky130_fd_sc_hd__nand4_1 U25417 ( .A(\exu/i_div/q_ff [22]), .B( \exu/i_div/q_ff [21]), .C(\exu/i_div/q_ff [19]), .D( \exu/i_div/q_ff [16]), .Y(n20282) ); sky130_fd_sc_hd__nor4_1 U25418 ( .A(n20284), .B(n20283), .C(n20289), .D( n20282), .Y(n20286) ); sky130_fd_sc_hd__a31oi_1 U25419 ( .A1(\exu/i_div/q_ff [17]), .A2( \exu/i_div/q_ff [15]), .A3(n20286), .B1(n20285), .Y(n20323) ); sky130_fd_sc_hd__a21oi_1 U25420 ( .A1(n20323), .A2(n20324), .B1(n20328), .Y( n20287) ); sky130_fd_sc_hd__a211o_1 U25421 ( .A1(n20328), .A2(n20324), .B1(n20298), .C1(n20287), .X(n20322) ); sky130_fd_sc_hd__nand4_1 U25422 ( .A(\exu/i_div/q_ff [11]), .B( \exu/i_div/q_ff [9]), .C(\exu/i_div/q_ff [8]), .D(\exu/i_div/q_ff [7]), .Y(n20288) ); sky130_fd_sc_hd__nor4_1 U25423 ( .A(n20291), .B(n20290), .C(n20289), .D( n20288), .Y(n20294) ); sky130_fd_sc_hd__a31oi_1 U25424 ( .A1(\exu/i_div/q_ff [13]), .A2( \exu/i_div/q_ff [14]), .A3(n20294), .B1(n20293), .Y(n20325) ); sky130_fd_sc_hd__nor2_1 U25425 ( .A(n20324), .B(n20325), .Y(n20297) ); sky130_fd_sc_hd__a21oi_1 U25426 ( .A1(n20323), .A2(n20295), .B1(n20328), .Y( n20296) ); sky130_fd_sc_hd__o21ai_1 U25427 ( .A1(n20298), .A2(n20297), .B1(n20296), .Y( n20337) ); sky130_fd_sc_hd__nand2_1 U25428 ( .A(n20322), .B(n20337), .Y(n20329) ); sky130_fd_sc_hd__nor3_1 U25429 ( .A(n20336), .B(n20327), .C(n20329), .Y( n20299) ); sky130_fd_sc_hd__clkinv_1 U25430 ( .A(n20335), .Y(n20338) ); sky130_fd_sc_hd__nor2_1 U25431 ( .A(n20299), .B(n20338), .Y( \exu/i_div/shortq_enable ) ); sky130_fd_sc_hd__nor4_1 U25432 ( .A(n20320), .B(\exu/i_div/shortq_enable ), .C(n20321), .D(n21704), .Y(n20316) ); sky130_fd_sc_hd__clkinv_1 U25433 ( .A(n20316), .Y(n20311) ); sky130_fd_sc_hd__a221oi_1 U25434 ( .A1(\exu/i_div/shortq_shift_ff[2] ), .A2( n20300), .B1(n20305), .B2(\exu/i_div/count [0]), .C1(n20311), .Y( \exu/i_div/count_in [0]) ); sky130_fd_sc_hd__clkinv_1 U25435 ( .A(\exu/i_div/count [1]), .Y(n20302) ); sky130_fd_sc_hd__nand2_1 U25436 ( .A(\exu/i_div/count [0]), .B(n20305), .Y( n20301) ); sky130_fd_sc_hd__nor2_1 U25437 ( .A(n20302), .B(n20301), .Y(n20304) ); sky130_fd_sc_hd__a211oi_1 U25438 ( .A1(n20302), .A2(n20301), .B1(n20304), .C1(n20311), .Y(\exu/i_div/count_in [1]) ); sky130_fd_sc_hd__o21ai_1 U25439 ( .A1(\exu/i_div/count [2]), .A2(n20304), .B1(n20316), .Y(n20303) ); sky130_fd_sc_hd__a21oi_1 U25440 ( .A1(\exu/i_div/count [2]), .A2(n20304), .B1(n20303), .Y(\exu/i_div/count_in [2]) ); sky130_fd_sc_hd__nand3_1 U25441 ( .A(\exu/i_div/count [2]), .B( \exu/i_div/count [1]), .C(\exu/i_div/count [0]), .Y(n20306) ); sky130_fd_sc_hd__a21oi_1 U25442 ( .A1(n20305), .A2(n20306), .B1(n20307), .Y( n20309) ); sky130_fd_sc_hd__a21oi_1 U25443 ( .A1(n20307), .A2(n20306), .B1(n20309), .Y( n20310) ); sky130_fd_sc_hd__o21ai_1 U25444 ( .A1(\exu/i_div/count [3]), .A2(n20310), .B1(n20316), .Y(n20308) ); sky130_fd_sc_hd__a21oi_1 U25445 ( .A1(\exu/i_div/count [3]), .A2(n20310), .B1(n20308), .Y(\exu/i_div/count_in [3]) ); sky130_fd_sc_hd__clkinv_1 U25446 ( .A(\exu/i_div/count [4]), .Y(n20315) ); sky130_fd_sc_hd__a21oi_1 U25447 ( .A1(\exu/i_div/count [3]), .A2(n20310), .B1(n20309), .Y(n20313) ); sky130_fd_sc_hd__nor2_1 U25448 ( .A(n20312), .B(n20311), .Y( \exu/i_div/count_in [4]) ); sky130_fd_sc_hd__fa_1 U25449 ( .A(n20315), .B(n20314), .CIN(n20313), .COUT( n20319), .SUM(n20312) ); sky130_fd_sc_hd__o21ai_1 U25450 ( .A1(n20319), .A2(n20318), .B1(n20316), .Y( n20317) ); sky130_fd_sc_hd__a21oi_1 U25451 ( .A1(n20319), .A2(n20318), .B1(n20317), .Y( \exu/i_div/count_in [5]) ); sky130_fd_sc_hd__a211oi_1 U25452 ( .A1(n22695), .A2(n20321), .B1(n20320), .C1(n21704), .Y(\exu/i_div/run_in ) ); sky130_fd_sc_hd__nor2_1 U25453 ( .A(n20338), .B(n20322), .Y( \exu/i_div/shortq_shift [0]) ); sky130_fd_sc_hd__a21oi_1 U25454 ( .A1(n20325), .A2(n20324), .B1(n20323), .Y( n20326) ); sky130_fd_sc_hd__nor3_1 U25455 ( .A(n20328), .B(n20327), .C(n20326), .Y( n20334) ); sky130_fd_sc_hd__clkinv_1 U25456 ( .A(n20329), .Y(n20332) ); sky130_fd_sc_hd__nor2_1 U25457 ( .A(n20330), .B(n20336), .Y(n20331) ); sky130_fd_sc_hd__a21oi_1 U25458 ( .A1(n20334), .A2(n20332), .B1(n20331), .Y( n20333) ); sky130_fd_sc_hd__nor2_1 U25459 ( .A(n20338), .B(n20333), .Y( \exu/i_div/shortq_shift [1]) ); sky130_fd_sc_hd__nor2_1 U25460 ( .A(n20338), .B(n20337), .Y( \exu/i_div/shortq_shift [3]) ); sky130_fd_sc_hd__o22ai_1 U25461 ( .A1(\exu/i_div/q_ff [2]), .A2( \exu/i_div/m_ff [2]), .B1(n20365), .B2(\exu/i_div/m_ff [1]), .Y(n20344) ); sky130_fd_sc_hd__nand2_1 U25462 ( .A(n20343), .B(\exu/i_div/q_ff [3]), .Y( n20370) ); sky130_fd_sc_hd__clkinv_1 U25463 ( .A(n20370), .Y(n20364) ); sky130_fd_sc_hd__nand2_1 U25464 ( .A(\exu/i_div/m_ff [2]), .B(n20364), .Y( n20351) ); sky130_fd_sc_hd__nand2_1 U25465 ( .A(\exu/i_div/m_ff [3]), .B(n20349), .Y( n20340) ); sky130_fd_sc_hd__nand2_1 U25466 ( .A(\exu/i_div/m_ff [2]), .B(n20365), .Y( n20339) ); sky130_fd_sc_hd__nand3_1 U25467 ( .A(n20351), .B(n20340), .C(n20339), .Y( n20348) ); sky130_fd_sc_hd__o21ai_1 U25468 ( .A1(n20343), .A2(\exu/i_div/q_ff [3]), .B1(\exu/i_div/q_ff [2]), .Y(n20355) ); sky130_fd_sc_hd__o21bai_1 U25469 ( .A1(\exu/i_div/q_ff_comp[0] ), .A2(n20378), .B1_N(n20355), .Y(n20341) ); sky130_fd_sc_hd__a21oi_1 U25470 ( .A1(\exu/i_div/m_ff [2]), .A2(n20349), .B1(\exu/i_div/m_ff [3]), .Y(n20374) ); sky130_fd_sc_hd__o22ai_1 U25471 ( .A1(\exu/i_div/m_ff [0]), .A2(n20348), .B1(n20341), .B2(n20374), .Y(n20342) ); sky130_fd_sc_hd__a31oi_1 U25472 ( .A1(\exu/i_div/q_ff_comp[0] ), .A2(n20344), .A3(n20343), .B1(n20342), .Y(n20362) ); sky130_fd_sc_hd__a21oi_1 U25473 ( .A1(\exu/i_div/q_ff_comp[0] ), .A2( \exu/i_div/q_ff [1]), .B1(n20366), .Y(n20347) ); sky130_fd_sc_hd__nor2_1 U25474 ( .A(\exu/i_div/m_ff [1]), .B(n20369), .Y( n20345) ); sky130_fd_sc_hd__o21ai_1 U25475 ( .A1(\exu/i_div/q_ff [2]), .A2(n20345), .B1(\exu/i_div/m_ff [3]), .Y(n20346) ); sky130_fd_sc_hd__a211oi_1 U25476 ( .A1(n20347), .A2(n20346), .B1( \exu/i_div/m_ff [2]), .C1(n20349), .Y(n20360) ); sky130_fd_sc_hd__nor3_1 U25477 ( .A(\exu/i_div/m_ff [1]), .B(n20352), .C( n20348), .Y(n20359) ); sky130_fd_sc_hd__nand3_1 U25478 ( .A(\exu/i_div/q_ff [2]), .B(n20350), .C( n20349), .Y(n20368) ); sky130_fd_sc_hd__o21ai_1 U25479 ( .A1(\exu/i_div/m_ff [1]), .A2(n20365), .B1(n20369), .Y(n20367) ); sky130_fd_sc_hd__a211oi_1 U25480 ( .A1(n20351), .A2(n20368), .B1(n20377), .C1(n20367), .Y(n20358) ); sky130_fd_sc_hd__nand2_1 U25481 ( .A(\exu/i_div/m_ff [1]), .B(n20365), .Y( n20376) ); sky130_fd_sc_hd__o21ai_1 U25482 ( .A1(n20352), .A2(n20377), .B1(n20369), .Y( n20353) ); sky130_fd_sc_hd__o21ai_1 U25483 ( .A1(\exu/i_div/m_ff [2]), .A2(n20353), .B1(n20364), .Y(n20354) ); sky130_fd_sc_hd__o22ai_1 U25484 ( .A1(n20356), .A2(n20355), .B1(n20376), .B2(n20354), .Y(n20357) ); sky130_fd_sc_hd__nor4_1 U25485 ( .A(n20360), .B(n20359), .C(n20358), .D( n20357), .Y(n20361) ); sky130_fd_sc_hd__o21ai_1 U25486 ( .A1(n20362), .A2(n20369), .B1(n20361), .Y( \exu/i_div/smallnum [0]) ); sky130_fd_sc_hd__nand2_1 U25487 ( .A(n20364), .B(n20363), .Y(n20382) ); sky130_fd_sc_hd__o22ai_1 U25488 ( .A1(\exu/i_div/m_ff [1]), .A2(n20369), .B1(\exu/i_div/m_ff [0]), .B2(n20365), .Y(n20373) ); sky130_fd_sc_hd__a31oi_1 U25489 ( .A1(\exu/i_div/m_ff [2]), .A2( \exu/i_div/q_ff [2]), .A3(n20367), .B1(n20366), .Y(n20371) ); sky130_fd_sc_hd__o22ai_1 U25490 ( .A1(n20371), .A2(n20370), .B1(n20369), .B2(n20368), .Y(n20372) ); sky130_fd_sc_hd__a21oi_1 U25491 ( .A1(n20374), .A2(n20373), .B1(n20372), .Y( n20375) ); sky130_fd_sc_hd__o31ai_1 U25492 ( .A1(n20377), .A2(n20376), .A3(n20382), .B1(n20375), .Y(\exu/i_div/smallnum [1]) ); sky130_fd_sc_hd__nor2_1 U25493 ( .A(\exu/i_div/q_ff [2]), .B(n20377), .Y( n20381) ); sky130_fd_sc_hd__nand2_1 U25494 ( .A(\exu/i_div/q_ff [2]), .B(n20378), .Y( n20379) ); sky130_fd_sc_hd__o22ai_1 U25495 ( .A1(n20381), .A2(n20382), .B1(n20380), .B2(n20379), .Y(\exu/i_div/smallnum [2]) ); sky130_fd_sc_hd__nor2_1 U25496 ( .A(\exu/i_div/m_ff [1]), .B(n20382), .Y( \exu/i_div/smallnum [3]) ); sky130_fd_sc_hd__a21oi_1 U25497 ( .A1(n25080), .A2(n20384), .B1(n20383), .Y( \lsu/lsu_lsc_ctl/lsu_pkt_r_in[valid] ) ); sky130_fd_sc_hd__a21oi_1 U25498 ( .A1(n25080), .A2(n20385), .B1(n21695), .Y( \lsu/lsu_lsc_ctl/lsu_pkt_m_in[valid] ) ); sky130_fd_sc_hd__nand2_1 U25499 ( .A(n20387), .B(n20601), .Y(n20388) ); sky130_fd_sc_hd__a21oi_1 U25500 ( .A1(n4633), .A2(n20389), .B1(n20388), .Y( \lsu/lsu_lsc_ctl/lsu_error_pkt_m[exc_valid] ) ); sky130_fd_sc_hd__nor2_1 U25501 ( .A(\lsu/ecc/single_ecc_error_lo_any ), .B( \lsu/ecc/single_ecc_error_hi_any ), .Y(n20501) ); sky130_fd_sc_hd__nor3_1 U25502 ( .A(\lsu/lsu_pkt_m[dma] ), .B(n20501), .C( \lsu/lsu_lsc_ctl/lsu_error_pkt_m[exc_valid] ), .Y( \lsu/lsu_lsc_ctl/lsu_error_pkt_m[single_ecc_error] ) ); sky130_fd_sc_hd__nor2_1 U25503 ( .A(\lsu/lsu_pkt_r[dma] ), .B( \lsu/lsu_commit_r ), .Y(n20391) ); sky130_fd_sc_hd__nand2_1 U25504 ( .A(\lsu/lsu_single_ecc_error_r ), .B( \lsu/lsu_pkt_r[valid] ), .Y(n20390) ); sky130_fd_sc_hd__nor3_1 U25505 ( .A(\lsu/lsu_double_ecc_error_r ), .B(n20391), .C(n20390), .Y(lsu_single_ecc_error_incr) ); sky130_fd_sc_hd__nand2b_1 U25506 ( .A_N(n20392), .B(n22197), .Y(dccm_wren) ); sky130_fd_sc_hd__nand2b_1 U25507 ( .A_N(n20393), .B(n20401), .Y(n20395) ); sky130_fd_sc_hd__nand2_1 U25508 ( .A(n20402), .B(n20395), .Y(n20394) ); sky130_fd_sc_hd__o21ai_1 U25509 ( .A1(n20396), .A2(n20395), .B1(n20394), .Y( n20397) ); sky130_fd_sc_hd__o21ai_1 U25510 ( .A1(n20399), .A2(n20398), .B1(n20397), .Y( dccm_rd_addr_lo[13]) ); sky130_fd_sc_hd__o21ai_1 U25511 ( .A1(n20402), .A2(n20401), .B1(n20400), .Y( n20403) ); sky130_fd_sc_hd__xor2_1 U25512 ( .A(n20404), .B(n20403), .X( dccm_rd_addr_lo[14]) ); sky130_fd_sc_hd__nand2_1 U25513 ( .A(\lsu/addr_in_dccm_m ), .B(n20405), .Y( n20497) ); sky130_fd_sc_hd__a21oi_1 U25514 ( .A1(n20407), .A2(n20406), .B1(n20497), .Y( n20445) ); sky130_fd_sc_hd__o21ai_1 U25515 ( .A1(\lsu/lsu_pkt_r[dma] ), .A2( \lsu/lsu_commit_r ), .B1(\lsu/lsu_pkt_r[load] ), .Y(n20500) ); sky130_fd_sc_hd__clkinv_1 U25516 ( .A(dccm_rd_addr_lo[13]), .Y(n21837) ); sky130_fd_sc_hd__o22ai_1 U25517 ( .A1(\lsu/end_addr_r [13]), .A2( dccm_rd_addr_lo[13]), .B1(n22576), .B2(n21837), .Y(n20441) ); sky130_fd_sc_hd__clkinv_1 U25518 ( .A(dccm_rd_addr_lo[9]), .Y(n21829) ); sky130_fd_sc_hd__o22ai_1 U25519 ( .A1(\lsu/end_addr_r [9]), .A2( dccm_rd_addr_lo[9]), .B1(n22568), .B2(n21829), .Y(n20411) ); sky130_fd_sc_hd__o22ai_1 U25520 ( .A1(\lsu/end_addr_r [2]), .A2( dccm_rd_addr_lo[2]), .B1(n22554), .B2(n21815), .Y(n20410) ); sky130_fd_sc_hd__o22ai_1 U25521 ( .A1(\lsu/end_addr_r [3]), .A2( dccm_rd_addr_lo[3]), .B1(n22556), .B2(n21817), .Y(n20409) ); sky130_fd_sc_hd__clkinv_1 U25522 ( .A(dccm_rd_addr_lo[5]), .Y(n21821) ); sky130_fd_sc_hd__o22ai_1 U25523 ( .A1(\lsu/end_addr_r [5]), .A2( dccm_rd_addr_lo[5]), .B1(n22560), .B2(n21821), .Y(n20408) ); sky130_fd_sc_hd__nand4_1 U25524 ( .A(n20411), .B(n20410), .C(n20409), .D( n20408), .Y(n20421) ); sky130_fd_sc_hd__o22ai_1 U25525 ( .A1(n22558), .A2(dccm_rd_addr_lo[4]), .B1( n22572), .B2(dccm_rd_addr_lo[11]), .Y(n20412) ); sky130_fd_sc_hd__a221oi_1 U25526 ( .A1(n22558), .A2(dccm_rd_addr_lo[4]), .B1(dccm_rd_addr_lo[11]), .B2(n22572), .C1(n20412), .Y(n20417) ); sky130_fd_sc_hd__o22ai_1 U25527 ( .A1(n22564), .A2(dccm_rd_addr_lo[7]), .B1( n22566), .B2(dccm_rd_addr_lo[8]), .Y(n20413) ); sky130_fd_sc_hd__a221oi_1 U25528 ( .A1(n22564), .A2(dccm_rd_addr_lo[7]), .B1(dccm_rd_addr_lo[8]), .B2(n22566), .C1(n20413), .Y(n20416) ); sky130_fd_sc_hd__o22ai_1 U25529 ( .A1(\lsu/end_addr_r [6]), .A2( dccm_rd_addr_lo[6]), .B1(n22562), .B2(n21823), .Y(n20415) ); sky130_fd_sc_hd__clkinv_1 U25530 ( .A(dccm_rd_addr_lo[10]), .Y(n21831) ); sky130_fd_sc_hd__o22ai_1 U25531 ( .A1(\lsu/end_addr_r [10]), .A2( dccm_rd_addr_lo[10]), .B1(n22570), .B2(n21831), .Y(n20414) ); sky130_fd_sc_hd__nand4_1 U25532 ( .A(n20417), .B(n20416), .C(n20415), .D( n20414), .Y(n20420) ); sky130_fd_sc_hd__o22ai_1 U25533 ( .A1(\lsu/end_addr_r [15]), .A2(n21841), .B1(n22580), .B2(dccm_rd_addr_lo[15]), .Y(n20419) ); sky130_fd_sc_hd__o22ai_1 U25534 ( .A1(\lsu/end_addr_r [12]), .A2(n21835), .B1(n22574), .B2(dccm_rd_addr_lo[12]), .Y(n20418) ); sky130_fd_sc_hd__nor4_1 U25535 ( .A(n20421), .B(n20420), .C(n20419), .D( n20418), .Y(n20440) ); sky130_fd_sc_hd__clkinv_1 U25536 ( .A(dccm_rd_addr_lo[14]), .Y(n21839) ); sky130_fd_sc_hd__o22ai_1 U25537 ( .A1(\lsu/end_addr_r [14]), .A2( dccm_rd_addr_lo[14]), .B1(n22578), .B2(n21839), .Y(n20439) ); sky130_fd_sc_hd__xor2_1 U25538 ( .A(dccm_rd_addr_hi[15]), .B( \lsu/end_addr_r [15]), .X(n20437) ); sky130_fd_sc_hd__xor2_1 U25539 ( .A(dccm_rd_addr_hi[13]), .B( \lsu/end_addr_r [13]), .X(n20436) ); sky130_fd_sc_hd__clkinv_1 U25540 ( .A(dccm_rd_addr_hi[6]), .Y(n20466) ); sky130_fd_sc_hd__o22ai_1 U25541 ( .A1(n20467), .A2(\lsu/end_addr_r [8]), .B1(n20466), .B2(\lsu/end_addr_r [6]), .Y(n20422) ); sky130_fd_sc_hd__a221oi_1 U25542 ( .A1(n20467), .A2(\lsu/end_addr_r [8]), .B1(\lsu/end_addr_r [6]), .B2(n20466), .C1(n20422), .Y(n20425) ); sky130_fd_sc_hd__xnor2_1 U25543 ( .A(dccm_rd_addr_hi[10]), .B( \lsu/end_addr_r [10]), .Y(n20424) ); sky130_fd_sc_hd__xnor2_1 U25544 ( .A(\lsu/end_addr_r [12]), .B( dccm_rd_addr_hi[12]), .Y(n20423) ); sky130_fd_sc_hd__nand3_1 U25545 ( .A(n20425), .B(n20424), .C(n20423), .Y( n20435) ); sky130_fd_sc_hd__clkinv_1 U25546 ( .A(dccm_rd_addr_hi[4]), .Y(n20473) ); sky130_fd_sc_hd__clkinv_1 U25547 ( .A(dccm_rd_addr_hi[5]), .Y(n20472) ); sky130_fd_sc_hd__o22ai_1 U25548 ( .A1(n20473), .A2(\lsu/end_addr_r [4]), .B1(n20472), .B2(\lsu/end_addr_r [5]), .Y(n20426) ); sky130_fd_sc_hd__a221oi_1 U25549 ( .A1(n20473), .A2(\lsu/end_addr_r [4]), .B1(\lsu/end_addr_r [5]), .B2(n20472), .C1(n20426), .Y(n20433) ); sky130_fd_sc_hd__o22ai_1 U25550 ( .A1(n20476), .A2(\lsu/end_addr_r [2]), .B1(n20475), .B2(\lsu/end_addr_r [3]), .Y(n20427) ); sky130_fd_sc_hd__a221oi_1 U25551 ( .A1(n20476), .A2(\lsu/end_addr_r [2]), .B1(\lsu/end_addr_r [3]), .B2(n20475), .C1(n20427), .Y(n20432) ); sky130_fd_sc_hd__clkinv_1 U25552 ( .A(dccm_rd_addr_hi[11]), .Y(n20479) ); sky130_fd_sc_hd__clkinv_1 U25553 ( .A(dccm_rd_addr_hi[14]), .Y(n20478) ); sky130_fd_sc_hd__o22ai_1 U25554 ( .A1(n20479), .A2(\lsu/end_addr_r [11]), .B1(n20478), .B2(\lsu/end_addr_r [14]), .Y(n20428) ); sky130_fd_sc_hd__a221oi_1 U25555 ( .A1(n20479), .A2(\lsu/end_addr_r [11]), .B1(\lsu/end_addr_r [14]), .B2(n20478), .C1(n20428), .Y(n20431) ); sky130_fd_sc_hd__clkinv_1 U25556 ( .A(dccm_rd_addr_hi[7]), .Y(n20482) ); sky130_fd_sc_hd__o22ai_1 U25557 ( .A1(n20482), .A2(\lsu/end_addr_r [7]), .B1(n20481), .B2(\lsu/end_addr_r [9]), .Y(n20429) ); sky130_fd_sc_hd__a221oi_1 U25558 ( .A1(n20482), .A2(\lsu/end_addr_r [7]), .B1(\lsu/end_addr_r [9]), .B2(n20481), .C1(n20429), .Y(n20430) ); sky130_fd_sc_hd__nand4_1 U25559 ( .A(n20433), .B(n20432), .C(n20431), .D( n20430), .Y(n20434) ); sky130_fd_sc_hd__nor4_1 U25560 ( .A(n20437), .B(n20436), .C(n20435), .D( n20434), .Y(n20438) ); sky130_fd_sc_hd__a31oi_1 U25561 ( .A1(n20441), .A2(n20440), .A3(n20439), .B1(n20438), .Y(n20443) ); sky130_fd_sc_hd__nand3_1 U25562 ( .A(\lsu/lsu_pkt_d[dma] ), .B( \lsu/addr_in_dccm_d ), .C(n20442), .Y(n20495) ); sky130_fd_sc_hd__nand2b_1 U25563 ( .A_N(\lsu/lsu_raw_fwd_hi_r ), .B( \lsu/single_ecc_error_hi_r ), .Y(n21787) ); sky130_fd_sc_hd__o21bai_1 U25564 ( .A1(n20443), .A2(n20495), .B1_N(n21787), .Y(n20444) ); sky130_fd_sc_hd__nor3_1 U25565 ( .A(n20445), .B(n20500), .C(n20444), .Y( \lsu/dccm_ctl/ld_single_ecc_error_hi_r_ns ) ); sky130_fd_sc_hd__nand2b_1 U25566 ( .A_N(\lsu/lsu_raw_fwd_lo_r ), .B( \lsu/single_ecc_error_lo_r ), .Y(n21786) ); sky130_fd_sc_hd__a31oi_1 U25567 ( .A1(n20450), .A2(n20449), .A3(n20448), .B1(n20447), .Y(n20498) ); sky130_fd_sc_hd__o22ai_1 U25568 ( .A1(\lsu/lsu_addr_r [11]), .A2(n21833), .B1(n22573), .B2(dccm_rd_addr_lo[11]), .Y(n20464) ); sky130_fd_sc_hd__o22ai_1 U25569 ( .A1(\lsu/lsu_addr_r [13]), .A2(n21837), .B1(n22577), .B2(dccm_rd_addr_lo[13]), .Y(n20463) ); sky130_fd_sc_hd__o22ai_1 U25570 ( .A1(\lsu/lsu_addr_r [3]), .A2(n21817), .B1(n22557), .B2(dccm_rd_addr_lo[3]), .Y(n20454) ); sky130_fd_sc_hd__o22ai_1 U25571 ( .A1(\lsu/lsu_addr_r [10]), .A2(n21831), .B1(n22571), .B2(dccm_rd_addr_lo[10]), .Y(n20453) ); sky130_fd_sc_hd__o22ai_1 U25572 ( .A1(\lsu/lsu_addr_r [2]), .A2(n21815), .B1(n22555), .B2(dccm_rd_addr_lo[2]), .Y(n20452) ); sky130_fd_sc_hd__o22ai_1 U25573 ( .A1(\lsu/lsu_addr_r [9]), .A2(n21829), .B1(n22569), .B2(dccm_rd_addr_lo[9]), .Y(n20451) ); sky130_fd_sc_hd__nor4_1 U25574 ( .A(n20454), .B(n20453), .C(n20452), .D( n20451), .Y(n20460) ); sky130_fd_sc_hd__o22ai_1 U25575 ( .A1(n22559), .A2(dccm_rd_addr_lo[4]), .B1( n22567), .B2(dccm_rd_addr_lo[8]), .Y(n20455) ); sky130_fd_sc_hd__a221oi_1 U25576 ( .A1(n22559), .A2(dccm_rd_addr_lo[4]), .B1(dccm_rd_addr_lo[8]), .B2(n22567), .C1(n20455), .Y(n20459) ); sky130_fd_sc_hd__o22ai_1 U25577 ( .A1(n22561), .A2(dccm_rd_addr_lo[5]), .B1( n22563), .B2(dccm_rd_addr_lo[6]), .Y(n20456) ); sky130_fd_sc_hd__a221oi_1 U25578 ( .A1(n22561), .A2(dccm_rd_addr_lo[5]), .B1(dccm_rd_addr_lo[6]), .B2(n22563), .C1(n20456), .Y(n20458) ); sky130_fd_sc_hd__o22ai_1 U25579 ( .A1(\lsu/lsu_addr_r [12]), .A2( dccm_rd_addr_lo[12]), .B1(n22575), .B2(n21835), .Y(n20457) ); sky130_fd_sc_hd__nand4_1 U25580 ( .A(n20460), .B(n20459), .C(n20458), .D( n20457), .Y(n20462) ); sky130_fd_sc_hd__clkinv_1 U25581 ( .A(dccm_rd_addr_lo[7]), .Y(n21825) ); sky130_fd_sc_hd__o22ai_1 U25582 ( .A1(\lsu/lsu_addr_r [7]), .A2(n21825), .B1(n22565), .B2(dccm_rd_addr_lo[7]), .Y(n20461) ); sky130_fd_sc_hd__nor4_1 U25583 ( .A(n20464), .B(n20463), .C(n20462), .D( n20461), .Y(n20494) ); sky130_fd_sc_hd__o22ai_1 U25584 ( .A1(\lsu/lsu_addr_r [15]), .A2( dccm_rd_addr_lo[15]), .B1(n22581), .B2(n21841), .Y(n20493) ); sky130_fd_sc_hd__o22ai_1 U25585 ( .A1(\lsu/lsu_addr_r [14]), .A2( dccm_rd_addr_lo[14]), .B1(n22579), .B2(n21839), .Y(n20492) ); sky130_fd_sc_hd__xor2_1 U25586 ( .A(dccm_rd_addr_hi[15]), .B( \lsu/lsu_addr_r [15]), .X(n20490) ); sky130_fd_sc_hd__xor2_1 U25587 ( .A(dccm_rd_addr_hi[13]), .B( \lsu/lsu_addr_r [13]), .X(n20489) ); sky130_fd_sc_hd__o22ai_1 U25588 ( .A1(n20467), .A2(\lsu/lsu_addr_r [8]), .B1(n20466), .B2(\lsu/lsu_addr_r [6]), .Y(n20465) ); sky130_fd_sc_hd__a221oi_1 U25589 ( .A1(n20467), .A2(\lsu/lsu_addr_r [8]), .B1(\lsu/lsu_addr_r [6]), .B2(n20466), .C1(n20465), .Y(n20470) ); sky130_fd_sc_hd__xnor2_1 U25590 ( .A(dccm_rd_addr_hi[10]), .B( \lsu/lsu_addr_r [10]), .Y(n20469) ); sky130_fd_sc_hd__xnor2_1 U25591 ( .A(\lsu/lsu_addr_r [12]), .B( dccm_rd_addr_hi[12]), .Y(n20468) ); sky130_fd_sc_hd__nand3_1 U25592 ( .A(n20470), .B(n20469), .C(n20468), .Y( n20488) ); sky130_fd_sc_hd__o22ai_1 U25593 ( .A1(n20473), .A2(\lsu/lsu_addr_r [4]), .B1(n20472), .B2(\lsu/lsu_addr_r [5]), .Y(n20471) ); sky130_fd_sc_hd__a221oi_1 U25594 ( .A1(n20473), .A2(\lsu/lsu_addr_r [4]), .B1(\lsu/lsu_addr_r [5]), .B2(n20472), .C1(n20471), .Y(n20486) ); sky130_fd_sc_hd__o22ai_1 U25595 ( .A1(n20476), .A2(\lsu/lsu_addr_r [2]), .B1(n20475), .B2(\lsu/lsu_addr_r [3]), .Y(n20474) ); sky130_fd_sc_hd__a221oi_1 U25596 ( .A1(n20476), .A2(\lsu/lsu_addr_r [2]), .B1(\lsu/lsu_addr_r [3]), .B2(n20475), .C1(n20474), .Y(n20485) ); sky130_fd_sc_hd__o22ai_1 U25597 ( .A1(n20479), .A2(\lsu/lsu_addr_r [11]), .B1(n20478), .B2(\lsu/lsu_addr_r [14]), .Y(n20477) ); sky130_fd_sc_hd__a221oi_1 U25598 ( .A1(n20479), .A2(\lsu/lsu_addr_r [11]), .B1(\lsu/lsu_addr_r [14]), .B2(n20478), .C1(n20477), .Y(n20484) ); sky130_fd_sc_hd__o22ai_1 U25599 ( .A1(n20482), .A2(\lsu/lsu_addr_r [7]), .B1(n20481), .B2(\lsu/lsu_addr_r [9]), .Y(n20480) ); sky130_fd_sc_hd__a221oi_1 U25600 ( .A1(n20482), .A2(\lsu/lsu_addr_r [7]), .B1(\lsu/lsu_addr_r [9]), .B2(n20481), .C1(n20480), .Y(n20483) ); sky130_fd_sc_hd__nand4_1 U25601 ( .A(n20486), .B(n20485), .C(n20484), .D( n20483), .Y(n20487) ); sky130_fd_sc_hd__nor4_1 U25602 ( .A(n20490), .B(n20489), .C(n20488), .D( n20487), .Y(n20491) ); sky130_fd_sc_hd__a31oi_1 U25603 ( .A1(n20494), .A2(n20493), .A3(n20492), .B1(n20491), .Y(n20496) ); sky130_fd_sc_hd__o22ai_1 U25604 ( .A1(n20498), .A2(n20497), .B1(n20496), .B2(n20495), .Y(n20499) ); sky130_fd_sc_hd__nor3_1 U25605 ( .A(n20500), .B(n21786), .C(n20499), .Y( \lsu/dccm_ctl/ld_single_ecc_error_lo_r_ns ) ); sky130_fd_sc_hd__clkinv_1 U25606 ( .A(n20502), .Y(n20503) ); sky130_fd_sc_hd__o21ai_1 U25607 ( .A1(n20503), .A2(n20671), .B1( \lsu/lsu_lsc_ctl/store_data_pre_m [1]), .Y(n23668) ); sky130_fd_sc_hd__o22ai_1 U25608 ( .A1(\trigger_pkt_any[3][select] ), .A2( n25056), .B1(n20673), .B2(n23668), .Y(n20506) ); sky130_fd_sc_hd__o21ai_1 U25609 ( .A1(\trigger_pkt_any[3][tdata2][1] ), .A2( n20506), .B1(n20504), .Y(n20505) ); sky130_fd_sc_hd__a21oi_1 U25610 ( .A1(\trigger_pkt_any[3][tdata2][1] ), .A2( n20506), .B1(n20505), .Y(n20696) ); sky130_fd_sc_hd__nand2_1 U25611 ( .A(\lsu/addr_in_pic_m ), .B(n20507), .Y( n24858) ); sky130_fd_sc_hd__nand2_1 U25612 ( .A(\lsu/lsu_lsc_ctl/store_data_pre_m [29]), .B(n24858), .Y(n23750) ); sky130_fd_sc_hd__nor2_1 U25613 ( .A(n20672), .B(n23750), .Y(n20879) ); sky130_fd_sc_hd__o22ai_1 U25614 ( .A1(\trigger_pkt_any[3][select] ), .A2( \lsu/lsu_addr_m [29]), .B1(n20673), .B2(n20879), .Y(n20511) ); sky130_fd_sc_hd__o21ai_1 U25615 ( .A1(n20511), .A2(n20510), .B1(n20508), .Y( n20509) ); sky130_fd_sc_hd__a21oi_1 U25616 ( .A1(n20511), .A2(n20510), .B1(n20509), .Y( n20695) ); sky130_fd_sc_hd__o21ai_1 U25617 ( .A1(n20671), .A2(n20512), .B1( \lsu/lsu_lsc_ctl/store_data_pre_m [28]), .Y(n23744) ); sky130_fd_sc_hd__nor2_1 U25618 ( .A(n20672), .B(n23744), .Y(n21176) ); sky130_fd_sc_hd__o22ai_1 U25619 ( .A1(\trigger_pkt_any[3][select] ), .A2( \lsu/lsu_addr_m [28]), .B1(n20673), .B2(n21176), .Y(n20519) ); sky130_fd_sc_hd__o21ai_1 U25620 ( .A1(n20671), .A2(n20513), .B1( \lsu/lsu_lsc_ctl/store_data_pre_m [27]), .Y(n23737) ); sky130_fd_sc_hd__nand2b_1 U25621 ( .A_N(n23737), .B(\lsu/lsu_pkt_m[word] ), .Y(n21199) ); sky130_fd_sc_hd__o22ai_1 U25622 ( .A1(\trigger_pkt_any[3][select] ), .A2( n21201), .B1(n20673), .B2(n21199), .Y(n20516) ); sky130_fd_sc_hd__a21oi_1 U25623 ( .A1(\trigger_pkt_any[3][tdata2][27] ), .A2(n20516), .B1(n20514), .Y(n20515) ); sky130_fd_sc_hd__o21ai_1 U25624 ( .A1(\trigger_pkt_any[3][tdata2][27] ), .A2(n20516), .B1(n20515), .Y(n20517) ); sky130_fd_sc_hd__o21ai_1 U25625 ( .A1(\trigger_pkt_any[3][tdata2][28] ), .A2(n20519), .B1(n20517), .Y(n20518) ); sky130_fd_sc_hd__a21oi_1 U25626 ( .A1(\trigger_pkt_any[3][tdata2][28] ), .A2(n20519), .B1(n20518), .Y(n20687) ); sky130_fd_sc_hd__o21ai_1 U25627 ( .A1(n20671), .A2(n20520), .B1( \lsu/lsu_lsc_ctl/store_data_pre_m [31]), .Y(n23767) ); sky130_fd_sc_hd__nor2_1 U25628 ( .A(n20672), .B(n23767), .Y(n21157) ); sky130_fd_sc_hd__o22ai_1 U25629 ( .A1(\trigger_pkt_any[3][select] ), .A2( \lsu/lsu_addr_m [31]), .B1(n20673), .B2(n21157), .Y(n20521) ); sky130_fd_sc_hd__xor2_1 U25630 ( .A(\trigger_pkt_any[3][tdata2][31] ), .B( n20521), .X(n20527) ); sky130_fd_sc_hd__nand2_1 U25631 ( .A(\lsu/addr_in_pic_m ), .B(n20522), .Y( n24870) ); sky130_fd_sc_hd__nand2_1 U25632 ( .A(\lsu/lsu_lsc_ctl/store_data_pre_m [30]), .B(n24870), .Y(n23758) ); sky130_fd_sc_hd__nor2_1 U25633 ( .A(n20672), .B(n23758), .Y(n21158) ); sky130_fd_sc_hd__o22ai_1 U25634 ( .A1(\trigger_pkt_any[3][select] ), .A2( \lsu/lsu_addr_m [30]), .B1(n20673), .B2(n21158), .Y(n20524) ); sky130_fd_sc_hd__o21ai_1 U25635 ( .A1(\trigger_pkt_any[3][tdata2][30] ), .A2(n20524), .B1(n20527), .Y(n20523) ); sky130_fd_sc_hd__a21oi_1 U25636 ( .A1(\trigger_pkt_any[3][tdata2][30] ), .A2(n20524), .B1(n20523), .Y(n20525) ); sky130_fd_sc_hd__o22ai_1 U25637 ( .A1(n20527), .A2( \trigger_pkt_any[3][tdata2][30] ), .B1(n20526), .B2(n20525), .Y(n20685) ); sky130_fd_sc_hd__nand2_1 U25638 ( .A(\lsu/addr_in_pic_m ), .B(n20528), .Y( n24815) ); sky130_fd_sc_hd__nand2_1 U25639 ( .A(\lsu/lsu_lsc_ctl/store_data_pre_m [25]), .B(n24815), .Y(n23722) ); sky130_fd_sc_hd__nor2_1 U25640 ( .A(n20672), .B(n23722), .Y(n20865) ); sky130_fd_sc_hd__clkinv_1 U25641 ( .A(n20865), .Y(n21171) ); sky130_fd_sc_hd__o22ai_1 U25642 ( .A1(\trigger_pkt_any[3][select] ), .A2( n21172), .B1(n20673), .B2(n21171), .Y(n20531) ); sky130_fd_sc_hd__o21ai_1 U25643 ( .A1(\trigger_pkt_any[3][tdata2][25] ), .A2(n20531), .B1(n20529), .Y(n20530) ); sky130_fd_sc_hd__a21oi_1 U25644 ( .A1(\trigger_pkt_any[3][tdata2][25] ), .A2(n20531), .B1(n20530), .Y(n20684) ); sky130_fd_sc_hd__o21ai_1 U25645 ( .A1(n20671), .A2(n20532), .B1( \lsu/lsu_lsc_ctl/store_data_pre_m [23]), .Y(n23530) ); sky130_fd_sc_hd__nor2_1 U25646 ( .A(n20672), .B(n23530), .Y(n21166) ); sky130_fd_sc_hd__o22ai_1 U25647 ( .A1(\trigger_pkt_any[3][select] ), .A2( \lsu/lsu_addr_m [23]), .B1(n20673), .B2(n21166), .Y(n20536) ); sky130_fd_sc_hd__o21ai_1 U25648 ( .A1(n20671), .A2(n20533), .B1( \lsu/lsu_lsc_ctl/store_data_pre_m [22]), .Y(n23520) ); sky130_fd_sc_hd__nor2_1 U25649 ( .A(n20672), .B(n23520), .Y(n20721) ); sky130_fd_sc_hd__clkinv_1 U25650 ( .A(n20721), .Y(n21032) ); sky130_fd_sc_hd__o22ai_1 U25651 ( .A1(\trigger_pkt_any[3][select] ), .A2( n21033), .B1(n20673), .B2(n21032), .Y(n20537) ); sky130_fd_sc_hd__o22ai_1 U25652 ( .A1(n20537), .A2(n20534), .B1(n20536), .B2(\trigger_pkt_any[3][tdata2][23] ), .Y(n20535) ); sky130_fd_sc_hd__a21oi_1 U25653 ( .A1(n20536), .A2( \trigger_pkt_any[3][tdata2][23] ), .B1(n20535), .Y(n20540) ); sky130_fd_sc_hd__o22ai_1 U25654 ( .A1(n20541), .A2(n20540), .B1(n20539), .B2(n20538), .Y(n20683) ); sky130_fd_sc_hd__o21ai_1 U25655 ( .A1(n20671), .A2(n20542), .B1( \lsu/lsu_lsc_ctl/store_data_pre_m [19]), .Y(n23494) ); sky130_fd_sc_hd__nor2_1 U25656 ( .A(n20672), .B(n23494), .Y(n21134) ); sky130_fd_sc_hd__o22ai_1 U25657 ( .A1(\trigger_pkt_any[3][select] ), .A2( \lsu/lsu_addr_m [19]), .B1(n20673), .B2(n21134), .Y(n20546) ); sky130_fd_sc_hd__clkinv_1 U25658 ( .A(\trigger_pkt_any[3][tdata2][19] ), .Y( n20545) ); sky130_fd_sc_hd__o21bai_1 U25659 ( .A1(n20546), .A2(n20545), .B1_N(n20543), .Y(n20544) ); sky130_fd_sc_hd__a21oi_1 U25660 ( .A1(n20546), .A2(n20545), .B1(n20544), .Y( n20661) ); sky130_fd_sc_hd__a21boi_0 U25661 ( .A1(\lsu/addr_in_pic_m ), .A2(n20547), .B1_N(\lsu/lsu_lsc_ctl/store_data_pre_m [16]), .Y(n23473) ); sky130_fd_sc_hd__nand2_1 U25662 ( .A(\lsu/lsu_pkt_m[word] ), .B(n23473), .Y( n21083) ); sky130_fd_sc_hd__a22oi_1 U25663 ( .A1(n20673), .A2(n21084), .B1( \trigger_pkt_any[3][select] ), .B2(n21083), .Y(n20652) ); sky130_fd_sc_hd__o21ai_1 U25664 ( .A1(n20671), .A2(n20548), .B1( \lsu/lsu_lsc_ctl/store_data_pre_m [20]), .Y(n23503) ); sky130_fd_sc_hd__nor2_1 U25665 ( .A(n20672), .B(n23503), .Y(n20891) ); sky130_fd_sc_hd__o22ai_1 U25666 ( .A1(\trigger_pkt_any[3][select] ), .A2( \lsu/lsu_addr_m [20]), .B1(n20673), .B2(n20891), .Y(n20552) ); sky130_fd_sc_hd__a21boi_0 U25667 ( .A1(n20552), .A2(n20551), .B1_N(n20549), .Y(n20550) ); sky130_fd_sc_hd__o21ai_1 U25668 ( .A1(n20552), .A2(n20551), .B1(n20550), .Y( n20553) ); sky130_fd_sc_hd__o21ai_1 U25669 ( .A1(n20555), .A2(n20554), .B1(n20553), .Y( n20660) ); sky130_fd_sc_hd__o21ai_1 U25670 ( .A1(n20671), .A2(n20556), .B1( \lsu/lsu_lsc_ctl/store_data_pre_m [11]), .Y(n23495) ); sky130_fd_sc_hd__nor2_1 U25671 ( .A(n20625), .B(n23495), .Y(n21062) ); sky130_fd_sc_hd__o22ai_1 U25672 ( .A1(\trigger_pkt_any[3][select] ), .A2( \lsu/lsu_addr_m [11]), .B1(n20673), .B2(n21062), .Y(n20560) ); sky130_fd_sc_hd__o21ai_1 U25673 ( .A1(n20560), .A2(n20559), .B1(n20557), .Y( n20558) ); sky130_fd_sc_hd__a21oi_1 U25674 ( .A1(n20560), .A2(n20559), .B1(n20558), .Y( n20591) ); sky130_fd_sc_hd__o21ai_1 U25675 ( .A1(n20671), .A2(n20561), .B1( \lsu/lsu_lsc_ctl/store_data_pre_m [12]), .Y(n23504) ); sky130_fd_sc_hd__nor2_1 U25676 ( .A(n20625), .B(n23504), .Y(n20760) ); sky130_fd_sc_hd__clkinv_1 U25677 ( .A(n20760), .Y(n21095) ); sky130_fd_sc_hd__o22ai_1 U25678 ( .A1(\trigger_pkt_any[3][select] ), .A2( n21096), .B1(n20673), .B2(n21095), .Y(n20569) ); sky130_fd_sc_hd__o21ai_1 U25679 ( .A1(n20671), .A2(n20562), .B1( \lsu/lsu_lsc_ctl/store_data_pre_m [13]), .Y(n23511) ); sky130_fd_sc_hd__nor2_1 U25680 ( .A(n20625), .B(n23511), .Y(n21044) ); sky130_fd_sc_hd__o22ai_1 U25681 ( .A1(\trigger_pkt_any[3][select] ), .A2( \lsu/lsu_addr_m [13]), .B1(n20673), .B2(n21044), .Y(n20566) ); sky130_fd_sc_hd__a21oi_1 U25682 ( .A1(n20566), .A2(n20565), .B1(n20563), .Y( n20564) ); sky130_fd_sc_hd__o21ai_1 U25683 ( .A1(n20566), .A2(n20565), .B1(n20564), .Y( n20567) ); sky130_fd_sc_hd__o21ai_1 U25684 ( .A1(n20569), .A2(n20568), .B1(n20567), .Y( n20590) ); sky130_fd_sc_hd__clkinv_1 U25685 ( .A(n20569), .Y(n20573) ); sky130_fd_sc_hd__a21boi_0 U25686 ( .A1(n20570), .A2(\lsu/addr_in_pic_m ), .B1_N(\lsu/lsu_lsc_ctl/store_data_pre_m [2]), .Y(n20773) ); sky130_fd_sc_hd__clkinv_1 U25687 ( .A(n20773), .Y(n23675) ); sky130_fd_sc_hd__o22ai_1 U25688 ( .A1(\trigger_pkt_any[3][select] ), .A2( n21089), .B1(n20673), .B2(n23675), .Y(n20574) ); sky130_fd_sc_hd__o22ai_1 U25689 ( .A1(n20573), .A2(n20572), .B1(n20571), .B2(n20574), .Y(n20589) ); sky130_fd_sc_hd__clkinv_1 U25690 ( .A(n20574), .Y(n20587) ); sky130_fd_sc_hd__a21boi_0 U25691 ( .A1(\lsu/addr_in_pic_m ), .A2(n20575), .B1_N(\lsu/lsu_lsc_ctl/store_data_pre_m [8]), .Y(n23472) ); sky130_fd_sc_hd__o22ai_1 U25692 ( .A1(\trigger_pkt_any[3][select] ), .A2( \lsu/lsu_addr_m [8]), .B1(n20673), .B2(n21052), .Y(n20584) ); sky130_fd_sc_hd__a21boi_0 U25693 ( .A1(\lsu/addr_in_pic_m ), .A2(n20576), .B1_N(\lsu/lsu_lsc_ctl/store_data_pre_m [9]), .Y(n23482) ); sky130_fd_sc_hd__nand2_1 U25694 ( .A(n23482), .B( \lsu/bus_intf/ldst_byteen_m [1]), .Y(n21090) ); sky130_fd_sc_hd__o22ai_1 U25695 ( .A1(\trigger_pkt_any[3][select] ), .A2( n21091), .B1(n20673), .B2(n21090), .Y(n20579) ); sky130_fd_sc_hd__a21oi_1 U25696 ( .A1(\trigger_pkt_any[3][tdata2][9] ), .A2( n20579), .B1(n20577), .Y(n20578) ); sky130_fd_sc_hd__o21ai_1 U25697 ( .A1(\trigger_pkt_any[3][tdata2][9] ), .A2( n20579), .B1(n20578), .Y(n20580) ); sky130_fd_sc_hd__o21ai_1 U25698 ( .A1(n20581), .A2(n20584), .B1(n20580), .Y( n20582) ); sky130_fd_sc_hd__a21oi_1 U25699 ( .A1(n20584), .A2(n20583), .B1(n20582), .Y( n20585) ); sky130_fd_sc_hd__o21ai_1 U25700 ( .A1(n20587), .A2(n20586), .B1(n20585), .Y( n20588) ); sky130_fd_sc_hd__nor4_1 U25701 ( .A(n20591), .B(n20590), .C(n20589), .D( n20588), .Y(n20643) ); sky130_fd_sc_hd__o21ai_1 U25702 ( .A1(n20671), .A2(n20592), .B1( \lsu/lsu_lsc_ctl/store_data_pre_m [10]), .Y(n23488) ); sky130_fd_sc_hd__nor2_1 U25703 ( .A(n20625), .B(n23488), .Y(n20907) ); sky130_fd_sc_hd__o22ai_1 U25704 ( .A1(\trigger_pkt_any[3][select] ), .A2( n21054), .B1(n20673), .B2(n21053), .Y(n20620) ); sky130_fd_sc_hd__o21ai_1 U25705 ( .A1(n20671), .A2(n20593), .B1( \lsu/lsu_lsc_ctl/store_data_pre_m [5]), .Y(n23691) ); sky130_fd_sc_hd__o22ai_1 U25706 ( .A1(\trigger_pkt_any[3][select] ), .A2( n21100), .B1(n20673), .B2(n23691), .Y(n20596) ); sky130_fd_sc_hd__o21ai_1 U25707 ( .A1(\trigger_pkt_any[3][tdata2][5] ), .A2( n20596), .B1(n20594), .Y(n20595) ); sky130_fd_sc_hd__a21oi_1 U25708 ( .A1(\trigger_pkt_any[3][tdata2][5] ), .A2( n20596), .B1(n20595), .Y(n20616) ); sky130_fd_sc_hd__a21boi_0 U25709 ( .A1(\lsu/addr_in_pic_m ), .A2(n20597), .B1_N(\lsu/lsu_lsc_ctl/store_data_pre_m [7]), .Y(n23705) ); sky130_fd_sc_hd__clkinv_1 U25710 ( .A(n23705), .Y(n23464) ); sky130_fd_sc_hd__o22ai_1 U25711 ( .A1(\trigger_pkt_any[3][select] ), .A2( n20930), .B1(n20673), .B2(n23464), .Y(n20600) ); sky130_fd_sc_hd__o21bai_1 U25712 ( .A1(\trigger_pkt_any[3][tdata2][7] ), .A2(n20600), .B1_N(n20598), .Y(n20599) ); sky130_fd_sc_hd__a21oi_1 U25713 ( .A1(\trigger_pkt_any[3][tdata2][7] ), .A2( n20600), .B1(n20599), .Y(n20615) ); sky130_fd_sc_hd__nand2_1 U25714 ( .A(\lsu/lsu_pkt_m[load] ), .B(n20601), .Y( n21109) ); sky130_fd_sc_hd__nor2_1 U25715 ( .A(\trigger_pkt_any[3][select] ), .B(n21109), .Y(n20602) ); sky130_fd_sc_hd__a22oi_1 U25716 ( .A1(n21111), .A2( \trigger_pkt_any[3][store] ), .B1(\trigger_pkt_any[3][load] ), .B2( n20602), .Y(n20614) ); sky130_fd_sc_hd__a21boi_0 U25717 ( .A1(\lsu/addr_in_pic_m ), .A2(n20603), .B1_N(\lsu/lsu_lsc_ctl/store_data_pre_m [6]), .Y(n20929) ); sky130_fd_sc_hd__o22ai_1 U25718 ( .A1(\trigger_pkt_any[3][select] ), .A2( \lsu/lsu_addr_m [6]), .B1(n20673), .B2(n20929), .Y(n20612) ); sky130_fd_sc_hd__clkinv_1 U25719 ( .A(n20612), .Y(n20610) ); sky130_fd_sc_hd__o21ai_1 U25720 ( .A1(n20671), .A2(n20604), .B1( \lsu/lsu_lsc_ctl/store_data_pre_m [4]), .Y(n23687) ); sky130_fd_sc_hd__o22ai_1 U25721 ( .A1(\trigger_pkt_any[3][select] ), .A2( n21104), .B1(n20673), .B2(n23687), .Y(n20607) ); sky130_fd_sc_hd__a21oi_1 U25722 ( .A1(\trigger_pkt_any[3][tdata2][4] ), .A2( n20607), .B1(n20605), .Y(n20606) ); sky130_fd_sc_hd__o21ai_1 U25723 ( .A1(\trigger_pkt_any[3][tdata2][4] ), .A2( n20607), .B1(n20606), .Y(n20608) ); sky130_fd_sc_hd__o221ai_1 U25724 ( .A1(n20612), .A2(n20611), .B1(n20610), .B2(n20609), .C1(n20608), .Y(n20613) ); sky130_fd_sc_hd__nor4_1 U25725 ( .A(n20616), .B(n20615), .C(n20614), .D( n20613), .Y(n20617) ); sky130_fd_sc_hd__o21ai_1 U25726 ( .A1(n20618), .A2(n20620), .B1(n20617), .Y( n20619) ); sky130_fd_sc_hd__a21oi_1 U25727 ( .A1(n20621), .A2(n20620), .B1(n20619), .Y( n20642) ); sky130_fd_sc_hd__clkinv_1 U25728 ( .A(n20622), .Y(n20634) ); sky130_fd_sc_hd__a21boi_0 U25729 ( .A1(\lsu/addr_in_pic_m ), .A2(n20623), .B1_N(\lsu/lsu_lsc_ctl/store_data_pre_m [14]), .Y(n23521) ); sky130_fd_sc_hd__nand2_1 U25730 ( .A(n23521), .B( \lsu/bus_intf/ldst_byteen_m [1]), .Y(n21060) ); sky130_fd_sc_hd__o22ai_1 U25731 ( .A1(\trigger_pkt_any[3][select] ), .A2( n21061), .B1(n20673), .B2(n21060), .Y(n20633) ); sky130_fd_sc_hd__o21ai_1 U25732 ( .A1(n20671), .A2(n20624), .B1( \lsu/lsu_lsc_ctl/store_data_pre_m [15]), .Y(n23529) ); sky130_fd_sc_hd__nor2_1 U25733 ( .A(n20625), .B(n23529), .Y(n21077) ); sky130_fd_sc_hd__o22ai_1 U25734 ( .A1(\trigger_pkt_any[3][select] ), .A2( \lsu/lsu_addr_m [15]), .B1(n20673), .B2(n21077), .Y(n20629) ); sky130_fd_sc_hd__clkinv_1 U25735 ( .A(\trigger_pkt_any[3][tdata2][15] ), .Y( n20628) ); sky130_fd_sc_hd__a21oi_1 U25736 ( .A1(n20629), .A2(n20628), .B1(n20626), .Y( n20627) ); sky130_fd_sc_hd__o21ai_1 U25737 ( .A1(n20629), .A2(n20628), .B1(n20627), .Y( n20630) ); sky130_fd_sc_hd__o21ai_1 U25738 ( .A1(n20631), .A2(n20633), .B1(n20630), .Y( n20632) ); sky130_fd_sc_hd__a21oi_1 U25739 ( .A1(n20634), .A2(n20633), .B1(n20632), .Y( n20641) ); sky130_fd_sc_hd__o21ai_1 U25740 ( .A1(n20636), .A2(n20671), .B1( \lsu/lsu_lsc_ctl/store_data_pre_m [3]), .Y(n23682) ); sky130_fd_sc_hd__o22ai_1 U25741 ( .A1(\trigger_pkt_any[3][select] ), .A2( n21073), .B1(n20673), .B2(n23682), .Y(n20639) ); sky130_fd_sc_hd__a21oi_1 U25742 ( .A1(\trigger_pkt_any[3][tdata2][3] ), .A2( n20639), .B1(n20637), .Y(n20638) ); sky130_fd_sc_hd__o21ai_1 U25743 ( .A1(\trigger_pkt_any[3][tdata2][3] ), .A2( n20639), .B1(n20638), .Y(n20640) ); sky130_fd_sc_hd__nand4_1 U25744 ( .A(n20643), .B(n20642), .C(n20641), .D( n20640), .Y(n20659) ); sky130_fd_sc_hd__o21ai_1 U25745 ( .A1(n20671), .A2(n20644), .B1( \lsu/lsu_lsc_ctl/store_data_pre_m [18]), .Y(n23487) ); sky130_fd_sc_hd__nor2_1 U25746 ( .A(n20672), .B(n23487), .Y(n21042) ); sky130_fd_sc_hd__o22ai_1 U25747 ( .A1(\trigger_pkt_any[3][select] ), .A2( n20973), .B1(n20673), .B2(n20972), .Y(n20656) ); sky130_fd_sc_hd__o21ai_1 U25748 ( .A1(n20671), .A2(n20645), .B1( \lsu/lsu_lsc_ctl/store_data_pre_m [17]), .Y(n23481) ); sky130_fd_sc_hd__nor2_1 U25749 ( .A(n20672), .B(n23481), .Y(n21139) ); sky130_fd_sc_hd__o22ai_1 U25750 ( .A1(\trigger_pkt_any[3][select] ), .A2( \lsu/lsu_addr_m [17]), .B1(n20673), .B2(n21139), .Y(n20649) ); sky130_fd_sc_hd__a21oi_1 U25751 ( .A1(n20649), .A2(n20648), .B1(n20646), .Y( n20647) ); sky130_fd_sc_hd__o21ai_1 U25752 ( .A1(n20649), .A2(n20648), .B1(n20647), .Y( n20650) ); sky130_fd_sc_hd__o21ai_1 U25753 ( .A1(n20652), .A2(n20651), .B1(n20650), .Y( n20653) ); sky130_fd_sc_hd__a21oi_1 U25754 ( .A1(n20654), .A2(n20656), .B1(n20653), .Y( n20655) ); sky130_fd_sc_hd__o21ai_1 U25755 ( .A1(n20657), .A2(n20656), .B1(n20655), .Y( n20658) ); sky130_fd_sc_hd__nor4_1 U25756 ( .A(n20661), .B(n20660), .C(n20659), .D( n20658), .Y(n20681) ); sky130_fd_sc_hd__nand2_1 U25757 ( .A(\lsu/addr_in_pic_m ), .B(n20662), .Y( n24825) ); sky130_fd_sc_hd__nand2_1 U25758 ( .A(\lsu/lsu_lsc_ctl/store_data_pre_m [26]), .B(n24825), .Y(n23729) ); sky130_fd_sc_hd__nor2_1 U25759 ( .A(n20672), .B(n23729), .Y(n21037) ); sky130_fd_sc_hd__o22ai_1 U25760 ( .A1(\trigger_pkt_any[3][select] ), .A2( \lsu/lsu_addr_m [26]), .B1(n20673), .B2(n21037), .Y(n20666) ); sky130_fd_sc_hd__a21boi_0 U25761 ( .A1(n20666), .A2(n20665), .B1_N(n20663), .Y(n20664) ); sky130_fd_sc_hd__o21ai_1 U25762 ( .A1(n20666), .A2(n20665), .B1(n20664), .Y( n20680) ); sky130_fd_sc_hd__a21boi_0 U25763 ( .A1(\lsu/addr_in_pic_m ), .A2(n24812), .B1_N(\lsu/lsu_lsc_ctl/store_data_pre_m [24]), .Y(n23711) ); sky130_fd_sc_hd__nand2_1 U25764 ( .A(\lsu/lsu_pkt_m[word] ), .B(n23711), .Y( n21027) ); sky130_fd_sc_hd__o22ai_1 U25765 ( .A1(\trigger_pkt_any[3][select] ), .A2( n21028), .B1(n20673), .B2(n21027), .Y(n20669) ); sky130_fd_sc_hd__a21oi_1 U25766 ( .A1(\trigger_pkt_any[3][tdata2][24] ), .A2(n20669), .B1(n20667), .Y(n20668) ); sky130_fd_sc_hd__o21ai_1 U25767 ( .A1(\trigger_pkt_any[3][tdata2][24] ), .A2(n20669), .B1(n20668), .Y(n20679) ); sky130_fd_sc_hd__o21ai_1 U25768 ( .A1(n20671), .A2(n20670), .B1( \lsu/lsu_lsc_ctl/store_data_pre_m [21]), .Y(n23510) ); sky130_fd_sc_hd__nor2_1 U25769 ( .A(n20672), .B(n23510), .Y(n21181) ); sky130_fd_sc_hd__o22ai_1 U25770 ( .A1(\trigger_pkt_any[3][select] ), .A2( \lsu/lsu_addr_m [21]), .B1(n20673), .B2(n21181), .Y(n20677) ); sky130_fd_sc_hd__clkinv_1 U25771 ( .A(\trigger_pkt_any[3][tdata2][21] ), .Y( n20676) ); sky130_fd_sc_hd__a21oi_1 U25772 ( .A1(n20677), .A2(n20676), .B1(n20674), .Y( n20675) ); sky130_fd_sc_hd__o21ai_1 U25773 ( .A1(n20677), .A2(n20676), .B1(n20675), .Y( n20678) ); sky130_fd_sc_hd__nand4_1 U25774 ( .A(n20681), .B(n20680), .C(n20679), .D( n20678), .Y(n20682) ); sky130_fd_sc_hd__nor4_1 U25775 ( .A(n20685), .B(n20684), .C(n20683), .D( n20682), .Y(n20686) ); sky130_fd_sc_hd__o21ai_1 U25776 ( .A1(n20688), .A2(n20687), .B1(n20686), .Y( n20694) ); sky130_fd_sc_hd__a21boi_0 U25777 ( .A1(\lsu/addr_in_pic_m ), .A2(n20689), .B1_N(\lsu/lsu_lsc_ctl/store_data_pre_m [0]), .Y(n23662) ); sky130_fd_sc_hd__clkinv_1 U25778 ( .A(n23662), .Y(n23474) ); sky130_fd_sc_hd__o22ai_1 U25779 ( .A1(\trigger_pkt_any[3][select] ), .A2( n25027), .B1(n20673), .B2(n23474), .Y(n20692) ); sky130_fd_sc_hd__o21ai_1 U25780 ( .A1(\trigger_pkt_any[3][tdata2][0] ), .A2( n20692), .B1(n20690), .Y(n20691) ); sky130_fd_sc_hd__a21oi_1 U25781 ( .A1(\trigger_pkt_any[3][tdata2][0] ), .A2( n20692), .B1(n20691), .Y(n20693) ); sky130_fd_sc_hd__nor4_1 U25782 ( .A(n20696), .B(n20695), .C(n20694), .D( n20693), .Y(lsu_trigger_match_m[3]) ); sky130_fd_sc_hd__o22ai_1 U25783 ( .A1(\trigger_pkt_any[2][select] ), .A2( n21201), .B1(n20844), .B2(n21199), .Y(n20699) ); sky130_fd_sc_hd__o21ai_1 U25784 ( .A1(\trigger_pkt_any[2][tdata2][27] ), .A2(n20699), .B1(n20697), .Y(n20698) ); sky130_fd_sc_hd__a21oi_1 U25785 ( .A1(\trigger_pkt_any[2][tdata2][27] ), .A2(n20699), .B1(n20698), .Y(n20857) ); sky130_fd_sc_hd__o22ai_1 U25786 ( .A1(\trigger_pkt_any[2][select] ), .A2( \lsu/lsu_addr_m [26]), .B1(n20844), .B2(n21037), .Y(n20702) ); sky130_fd_sc_hd__o22ai_1 U25787 ( .A1(\trigger_pkt_any[2][select] ), .A2( n21172), .B1(n20844), .B2(n21171), .Y(n20704) ); sky130_fd_sc_hd__o22ai_1 U25788 ( .A1(n20704), .A2(n20700), .B1(n20702), .B2(\trigger_pkt_any[2][tdata2][26] ), .Y(n20701) ); sky130_fd_sc_hd__a21oi_1 U25789 ( .A1(n20702), .A2( \trigger_pkt_any[2][tdata2][26] ), .B1(n20701), .Y(n20705) ); sky130_fd_sc_hd__o2bb2ai_1 U25790 ( .B1(n20706), .B2(n20705), .A1_N(n20704), .A2_N(n20703), .Y(n20856) ); sky130_fd_sc_hd__o22ai_1 U25791 ( .A1(\trigger_pkt_any[2][select] ), .A2( \lsu/lsu_addr_m [29]), .B1(n20844), .B2(n20879), .Y(n20713) ); sky130_fd_sc_hd__o22ai_1 U25792 ( .A1(\trigger_pkt_any[2][select] ), .A2( \lsu/lsu_addr_m [28]), .B1(n20844), .B2(n21176), .Y(n20710) ); sky130_fd_sc_hd__a21oi_1 U25793 ( .A1(n20710), .A2(n20709), .B1(n20707), .Y( n20708) ); sky130_fd_sc_hd__o21ai_1 U25794 ( .A1(n20710), .A2(n20709), .B1(n20708), .Y( n20711) ); sky130_fd_sc_hd__o21ai_1 U25795 ( .A1(\trigger_pkt_any[2][tdata2][29] ), .A2(n20713), .B1(n20711), .Y(n20712) ); sky130_fd_sc_hd__a21oi_1 U25796 ( .A1(\trigger_pkt_any[2][tdata2][29] ), .A2(n20713), .B1(n20712), .Y(n20834) ); sky130_fd_sc_hd__o2bb2ai_1 U25797 ( .B1(\trigger_pkt_any[2][select] ), .B2( \lsu/lsu_addr_m [24]), .A1_N(\trigger_pkt_any[2][select] ), .A2_N( n21027), .Y(n20720) ); sky130_fd_sc_hd__o22ai_1 U25798 ( .A1(\trigger_pkt_any[2][select] ), .A2( \lsu/lsu_addr_m [23]), .B1(n20844), .B2(n21166), .Y(n20717) ); sky130_fd_sc_hd__o21ai_1 U25799 ( .A1(n20717), .A2(n20716), .B1(n20714), .Y( n20715) ); sky130_fd_sc_hd__a21oi_1 U25800 ( .A1(n20717), .A2(n20716), .B1(n20715), .Y( n20718) ); sky130_fd_sc_hd__a21oi_1 U25801 ( .A1(\trigger_pkt_any[2][tdata2][24] ), .A2(n20720), .B1(n20718), .Y(n20719) ); sky130_fd_sc_hd__o21ai_1 U25802 ( .A1(\trigger_pkt_any[2][tdata2][24] ), .A2(n20720), .B1(n20719), .Y(n20831) ); sky130_fd_sc_hd__o22ai_1 U25803 ( .A1(\trigger_pkt_any[2][select] ), .A2( \lsu/lsu_addr_m [22]), .B1(n20844), .B2(n20721), .Y(n20829) ); sky130_fd_sc_hd__clkinv_1 U25804 ( .A(n20722), .Y(n20826) ); sky130_fd_sc_hd__o22ai_1 U25805 ( .A1(\trigger_pkt_any[2][select] ), .A2( \lsu/lsu_addr_m [20]), .B1(n20844), .B2(n20891), .Y(n20824) ); sky130_fd_sc_hd__clkinv_1 U25806 ( .A(n20723), .Y(n20821) ); sky130_fd_sc_hd__o22ai_1 U25807 ( .A1(\trigger_pkt_any[2][select] ), .A2( \lsu/lsu_addr_m [17]), .B1(n20844), .B2(n21139), .Y(n20727) ); sky130_fd_sc_hd__o21ai_1 U25808 ( .A1(n20727), .A2(n20726), .B1(n20724), .Y( n20725) ); sky130_fd_sc_hd__a21oi_1 U25809 ( .A1(n20727), .A2(n20726), .B1(n20725), .Y( n20803) ); sky130_fd_sc_hd__o22ai_1 U25810 ( .A1(\trigger_pkt_any[2][select] ), .A2( \lsu/lsu_addr_m [15]), .B1(n20844), .B2(n21077), .Y(n20731) ); sky130_fd_sc_hd__o21ai_1 U25811 ( .A1(n20731), .A2(n20730), .B1(n20728), .Y( n20729) ); sky130_fd_sc_hd__a21oi_1 U25812 ( .A1(n20731), .A2(n20730), .B1(n20729), .Y( n20802) ); sky130_fd_sc_hd__o22ai_1 U25813 ( .A1(\trigger_pkt_any[2][select] ), .A2( \lsu/lsu_addr_m [8]), .B1(n20844), .B2(n21052), .Y(n20740) ); sky130_fd_sc_hd__o22ai_1 U25814 ( .A1(\trigger_pkt_any[2][select] ), .A2( \lsu/lsu_addr_m [11]), .B1(n20844), .B2(n21062), .Y(n20735) ); sky130_fd_sc_hd__clkinv_1 U25815 ( .A(\trigger_pkt_any[2][tdata2][11] ), .Y( n20734) ); sky130_fd_sc_hd__a21oi_1 U25816 ( .A1(n20735), .A2(n20734), .B1(n20732), .Y( n20733) ); sky130_fd_sc_hd__o21ai_1 U25817 ( .A1(n20735), .A2(n20734), .B1(n20733), .Y( n20736) ); sky130_fd_sc_hd__o21ai_1 U25818 ( .A1(n20737), .A2(n20740), .B1(n20736), .Y( n20738) ); sky130_fd_sc_hd__a21oi_1 U25819 ( .A1(n20740), .A2(n20739), .B1(n20738), .Y( n20754) ); sky130_fd_sc_hd__o22ai_1 U25820 ( .A1(\trigger_pkt_any[2][select] ), .A2( n21061), .B1(n20844), .B2(n21060), .Y(n20743) ); sky130_fd_sc_hd__a21oi_1 U25821 ( .A1(\trigger_pkt_any[2][tdata2][14] ), .A2(n20743), .B1(n20741), .Y(n20742) ); sky130_fd_sc_hd__o21ai_1 U25822 ( .A1(\trigger_pkt_any[2][tdata2][14] ), .A2(n20743), .B1(n20742), .Y(n20753) ); sky130_fd_sc_hd__o22ai_1 U25823 ( .A1(\trigger_pkt_any[2][select] ), .A2( \lsu/lsu_addr_m [10]), .B1(n20844), .B2(n20907), .Y(n20747) ); sky130_fd_sc_hd__a21oi_1 U25824 ( .A1(n20747), .A2(n20746), .B1(n20744), .Y( n20745) ); sky130_fd_sc_hd__o21ai_1 U25825 ( .A1(n20747), .A2(n20746), .B1(n20745), .Y( n20752) ); sky130_fd_sc_hd__o22ai_1 U25826 ( .A1(\trigger_pkt_any[2][select] ), .A2( n21091), .B1(n20844), .B2(n21090), .Y(n20750) ); sky130_fd_sc_hd__a21oi_1 U25827 ( .A1(\trigger_pkt_any[2][tdata2][9] ), .A2( n20750), .B1(n20748), .Y(n20749) ); sky130_fd_sc_hd__o21ai_1 U25828 ( .A1(\trigger_pkt_any[2][tdata2][9] ), .A2( n20750), .B1(n20749), .Y(n20751) ); sky130_fd_sc_hd__nand4_1 U25829 ( .A(n20754), .B(n20753), .C(n20752), .D( n20751), .Y(n20801) ); sky130_fd_sc_hd__nor2_1 U25830 ( .A(\trigger_pkt_any[2][select] ), .B(n21109), .Y(n20755) ); sky130_fd_sc_hd__a22oi_1 U25831 ( .A1(n21111), .A2( \trigger_pkt_any[2][store] ), .B1(\trigger_pkt_any[2][load] ), .B2( n20755), .Y(n20772) ); sky130_fd_sc_hd__o22ai_1 U25832 ( .A1(\trigger_pkt_any[2][select] ), .A2( \lsu/lsu_addr_m [6]), .B1(n20844), .B2(n20929), .Y(n20759) ); sky130_fd_sc_hd__clkinv_1 U25833 ( .A(n20759), .Y(n20757) ); sky130_fd_sc_hd__o22ai_1 U25834 ( .A1(n20759), .A2(n20758), .B1(n20757), .B2(n20756), .Y(n20771) ); sky130_fd_sc_hd__o22ai_1 U25835 ( .A1(\trigger_pkt_any[2][select] ), .A2( \lsu/lsu_addr_m [12]), .B1(n20844), .B2(n20760), .Y(n20779) ); sky130_fd_sc_hd__o22ai_1 U25836 ( .A1(\trigger_pkt_any[2][select] ), .A2( n20930), .B1(n20844), .B2(n23464), .Y(n20763) ); sky130_fd_sc_hd__a21oi_1 U25837 ( .A1(\trigger_pkt_any[2][tdata2][7] ), .A2( n20763), .B1(n20761), .Y(n20762) ); sky130_fd_sc_hd__o21ai_1 U25838 ( .A1(\trigger_pkt_any[2][tdata2][7] ), .A2( n20763), .B1(n20762), .Y(n20768) ); sky130_fd_sc_hd__o22ai_1 U25839 ( .A1(\trigger_pkt_any[2][select] ), .A2( n21104), .B1(n20844), .B2(n23687), .Y(n20766) ); sky130_fd_sc_hd__a21oi_1 U25840 ( .A1(\trigger_pkt_any[2][tdata2][4] ), .A2( n20766), .B1(n20764), .Y(n20765) ); sky130_fd_sc_hd__o21ai_1 U25841 ( .A1(\trigger_pkt_any[2][tdata2][4] ), .A2( n20766), .B1(n20765), .Y(n20767) ); sky130_fd_sc_hd__o211ai_1 U25842 ( .A1(n20779), .A2(n20769), .B1(n20768), .C1(n20767), .Y(n20770) ); sky130_fd_sc_hd__nor3_1 U25843 ( .A(n20772), .B(n20771), .C(n20770), .Y( n20799) ); sky130_fd_sc_hd__o22ai_1 U25844 ( .A1(\trigger_pkt_any[2][select] ), .A2( \lsu/lsu_addr_m [2]), .B1(n20844), .B2(n20773), .Y(n20778) ); sky130_fd_sc_hd__o22ai_1 U25845 ( .A1(\trigger_pkt_any[2][select] ), .A2( n21073), .B1(n20844), .B2(n23682), .Y(n20774) ); sky130_fd_sc_hd__xor2_1 U25846 ( .A(\trigger_pkt_any[2][tdata2][3] ), .B( n20774), .X(n20775) ); sky130_fd_sc_hd__a21oi_1 U25847 ( .A1(\trigger_pkt_any[2][tdata2][2] ), .A2( n20778), .B1(n20775), .Y(n20776) ); sky130_fd_sc_hd__o21ai_1 U25848 ( .A1(n20778), .A2(n20777), .B1(n20776), .Y( n20788) ); sky130_fd_sc_hd__o22ai_1 U25849 ( .A1(\trigger_pkt_any[2][select] ), .A2( \lsu/lsu_addr_m [13]), .B1(n20844), .B2(n21044), .Y(n20783) ); sky130_fd_sc_hd__clkinv_1 U25850 ( .A(\trigger_pkt_any[2][tdata2][13] ), .Y( n20782) ); sky130_fd_sc_hd__a21oi_1 U25851 ( .A1(n20783), .A2(n20782), .B1(n20780), .Y( n20781) ); sky130_fd_sc_hd__o21ai_1 U25852 ( .A1(n20783), .A2(n20782), .B1(n20781), .Y( n20784) ); sky130_fd_sc_hd__o21ai_1 U25853 ( .A1(n20786), .A2(n20785), .B1(n20784), .Y( n20787) ); sky130_fd_sc_hd__a21oi_1 U25854 ( .A1(n20789), .A2(n20788), .B1(n20787), .Y( n20798) ); sky130_fd_sc_hd__o22ai_1 U25855 ( .A1(\trigger_pkt_any[2][select] ), .A2( n21084), .B1(n20844), .B2(n21083), .Y(n20792) ); sky130_fd_sc_hd__a21oi_1 U25856 ( .A1(\trigger_pkt_any[2][tdata2][16] ), .A2(n20792), .B1(n20790), .Y(n20791) ); sky130_fd_sc_hd__o21ai_1 U25857 ( .A1(\trigger_pkt_any[2][tdata2][16] ), .A2(n20792), .B1(n20791), .Y(n20797) ); sky130_fd_sc_hd__o22ai_1 U25858 ( .A1(\trigger_pkt_any[2][select] ), .A2( n21100), .B1(n20844), .B2(n23691), .Y(n20795) ); sky130_fd_sc_hd__a21oi_1 U25859 ( .A1(\trigger_pkt_any[2][tdata2][5] ), .A2( n20795), .B1(n20793), .Y(n20794) ); sky130_fd_sc_hd__o21ai_1 U25860 ( .A1(\trigger_pkt_any[2][tdata2][5] ), .A2( n20795), .B1(n20794), .Y(n20796) ); sky130_fd_sc_hd__nand4_1 U25861 ( .A(n20799), .B(n20798), .C(n20797), .D( n20796), .Y(n20800) ); sky130_fd_sc_hd__nor4_1 U25862 ( .A(n20803), .B(n20802), .C(n20801), .D( n20800), .Y(n20819) ); sky130_fd_sc_hd__o22ai_1 U25863 ( .A1(\trigger_pkt_any[2][select] ), .A2( \lsu/lsu_addr_m [21]), .B1(n20844), .B2(n21181), .Y(n20807) ); sky130_fd_sc_hd__clkinv_1 U25864 ( .A(\trigger_pkt_any[2][tdata2][21] ), .Y( n20806) ); sky130_fd_sc_hd__a21oi_1 U25865 ( .A1(n20807), .A2(n20806), .B1(n20804), .Y( n20805) ); sky130_fd_sc_hd__o21ai_1 U25866 ( .A1(n20807), .A2(n20806), .B1(n20805), .Y( n20818) ); sky130_fd_sc_hd__o22ai_1 U25867 ( .A1(\trigger_pkt_any[2][select] ), .A2( \lsu/lsu_addr_m [18]), .B1(n20844), .B2(n21042), .Y(n20811) ); sky130_fd_sc_hd__a21boi_0 U25868 ( .A1(n20811), .A2(n20810), .B1_N(n20808), .Y(n20809) ); sky130_fd_sc_hd__o21ai_1 U25869 ( .A1(n20811), .A2(n20810), .B1(n20809), .Y( n20817) ); sky130_fd_sc_hd__o22ai_1 U25870 ( .A1(\trigger_pkt_any[2][select] ), .A2( \lsu/lsu_addr_m [19]), .B1(n20844), .B2(n21134), .Y(n20815) ); sky130_fd_sc_hd__clkinv_1 U25871 ( .A(\trigger_pkt_any[2][tdata2][19] ), .Y( n20814) ); sky130_fd_sc_hd__a21oi_1 U25872 ( .A1(n20815), .A2(n20814), .B1(n20812), .Y( n20813) ); sky130_fd_sc_hd__o21ai_1 U25873 ( .A1(n20815), .A2(n20814), .B1(n20813), .Y( n20816) ); sky130_fd_sc_hd__nand4_1 U25874 ( .A(n20819), .B(n20818), .C(n20817), .D( n20816), .Y(n20820) ); sky130_fd_sc_hd__a21oi_1 U25875 ( .A1(n20821), .A2(n20824), .B1(n20820), .Y( n20822) ); sky130_fd_sc_hd__o21ai_1 U25876 ( .A1(n20824), .A2(n20823), .B1(n20822), .Y( n20825) ); sky130_fd_sc_hd__a21oi_1 U25877 ( .A1(n20826), .A2(n20829), .B1(n20825), .Y( n20827) ); sky130_fd_sc_hd__o21ai_1 U25878 ( .A1(n20829), .A2(n20828), .B1(n20827), .Y( n20830) ); sky130_fd_sc_hd__a21oi_1 U25879 ( .A1(n20832), .A2(n20831), .B1(n20830), .Y( n20833) ); sky130_fd_sc_hd__o21ai_1 U25880 ( .A1(n20835), .A2(n20834), .B1(n20833), .Y( n20855) ); sky130_fd_sc_hd__o22ai_1 U25881 ( .A1(\trigger_pkt_any[2][select] ), .A2( \lsu/lsu_addr_m [31]), .B1(n20844), .B2(n21157), .Y(n20842) ); sky130_fd_sc_hd__o22ai_1 U25882 ( .A1(\trigger_pkt_any[2][select] ), .A2( \lsu/lsu_addr_m [30]), .B1(n20844), .B2(n21158), .Y(n20839) ); sky130_fd_sc_hd__a21oi_1 U25883 ( .A1(n20839), .A2(n20838), .B1(n20836), .Y( n20837) ); sky130_fd_sc_hd__o21ai_1 U25884 ( .A1(n20839), .A2(n20838), .B1(n20837), .Y( n20840) ); sky130_fd_sc_hd__o21ai_1 U25885 ( .A1(\trigger_pkt_any[2][tdata2][31] ), .A2(n20842), .B1(n20840), .Y(n20841) ); sky130_fd_sc_hd__a21oi_1 U25886 ( .A1(\trigger_pkt_any[2][tdata2][31] ), .A2(n20842), .B1(n20841), .Y(n20852) ); sky130_fd_sc_hd__o22ai_1 U25887 ( .A1(\trigger_pkt_any[2][select] ), .A2( n25056), .B1(n20844), .B2(n23668), .Y(n20843) ); sky130_fd_sc_hd__xor2_1 U25888 ( .A(\trigger_pkt_any[2][tdata2][1] ), .B( n20843), .X(n20850) ); sky130_fd_sc_hd__o22ai_1 U25889 ( .A1(\trigger_pkt_any[2][select] ), .A2( \lsu/lsu_addr_m [0]), .B1(n20844), .B2(n23662), .Y(n20846) ); sky130_fd_sc_hd__o21ai_1 U25890 ( .A1(n20846), .A2(n20847), .B1(n20848), .Y( n20845) ); sky130_fd_sc_hd__a21oi_1 U25891 ( .A1(n20846), .A2(n20847), .B1(n20845), .Y( n20849) ); sky130_fd_sc_hd__o22ai_1 U25892 ( .A1(n20850), .A2(n20849), .B1(n20848), .B2(n20847), .Y(n20851) ); sky130_fd_sc_hd__o21ai_1 U25893 ( .A1(n20853), .A2(n20852), .B1(n20851), .Y( n20854) ); sky130_fd_sc_hd__nor4_1 U25894 ( .A(n20857), .B(n20856), .C(n20855), .D( n20854), .Y(lsu_trigger_match_m[2]) ); sky130_fd_sc_hd__o22ai_1 U25895 ( .A1(\trigger_pkt_any[1][select] ), .A2( n25056), .B1(n18983), .B2(n23668), .Y(n20860) ); sky130_fd_sc_hd__o21ai_1 U25896 ( .A1(\trigger_pkt_any[1][tdata2][1] ), .A2( n20860), .B1(n20858), .Y(n20859) ); sky130_fd_sc_hd__a21oi_1 U25897 ( .A1(\trigger_pkt_any[1][tdata2][1] ), .A2( n20860), .B1(n20859), .Y(n21018) ); sky130_fd_sc_hd__o22ai_1 U25898 ( .A1(\trigger_pkt_any[1][select] ), .A2( \lsu/lsu_addr_m [30]), .B1(n18983), .B2(n21158), .Y(n20864) ); sky130_fd_sc_hd__o21ai_1 U25899 ( .A1(n20864), .A2(n20863), .B1(n20861), .Y( n20862) ); sky130_fd_sc_hd__a21oi_1 U25900 ( .A1(n20864), .A2(n20863), .B1(n20862), .Y( n21017) ); sky130_fd_sc_hd__o22ai_1 U25901 ( .A1(\trigger_pkt_any[1][select] ), .A2( \lsu/lsu_addr_m [26]), .B1(n18983), .B2(n21037), .Y(n20871) ); sky130_fd_sc_hd__o22ai_1 U25902 ( .A1(\trigger_pkt_any[1][select] ), .A2( \lsu/lsu_addr_m [25]), .B1(n18983), .B2(n20865), .Y(n20867) ); sky130_fd_sc_hd__nand2_1 U25903 ( .A(n20867), .B( \trigger_pkt_any[1][tdata2][25] ), .Y(n20866) ); sky130_fd_sc_hd__o21ai_1 U25904 ( .A1(n20868), .A2(n20867), .B1(n20866), .Y( n20869) ); sky130_fd_sc_hd__a21oi_1 U25905 ( .A1(\trigger_pkt_any[1][tdata2][26] ), .A2(n20871), .B1(n20869), .Y(n20870) ); sky130_fd_sc_hd__o21ai_1 U25906 ( .A1(\trigger_pkt_any[1][tdata2][26] ), .A2(n20871), .B1(n20870), .Y(n20877) ); sky130_fd_sc_hd__o22ai_1 U25907 ( .A1(\trigger_pkt_any[1][select] ), .A2( \lsu/lsu_addr_m [28]), .B1(n18983), .B2(n21176), .Y(n20875) ); sky130_fd_sc_hd__o21ai_1 U25908 ( .A1(n20875), .A2(n20874), .B1(n20872), .Y( n20873) ); sky130_fd_sc_hd__a21oi_1 U25909 ( .A1(n20875), .A2(n20874), .B1(n20873), .Y( n20876) ); sky130_fd_sc_hd__a21oi_1 U25910 ( .A1(n20878), .A2(n20877), .B1(n20876), .Y( n21011) ); sky130_fd_sc_hd__o22ai_1 U25911 ( .A1(\trigger_pkt_any[1][select] ), .A2( n21023), .B1(n18983), .B2(n21022), .Y(n20882) ); sky130_fd_sc_hd__o21ai_1 U25912 ( .A1(\trigger_pkt_any[1][tdata2][29] ), .A2(n20882), .B1(n20880), .Y(n20881) ); sky130_fd_sc_hd__a21oi_1 U25913 ( .A1(\trigger_pkt_any[1][tdata2][29] ), .A2(n20882), .B1(n20881), .Y(n21000) ); sky130_fd_sc_hd__o22ai_1 U25914 ( .A1(\trigger_pkt_any[1][select] ), .A2( \lsu/lsu_addr_m [23]), .B1(n18983), .B2(n21166), .Y(n20885) ); sky130_fd_sc_hd__o22ai_1 U25915 ( .A1(\trigger_pkt_any[1][select] ), .A2( n21033), .B1(n18983), .B2(n21032), .Y(n20886) ); sky130_fd_sc_hd__o22ai_1 U25916 ( .A1(n20886), .A2(n20883), .B1(n20885), .B2(\trigger_pkt_any[1][tdata2][23] ), .Y(n20884) ); sky130_fd_sc_hd__a21oi_1 U25917 ( .A1(n20885), .A2( \trigger_pkt_any[1][tdata2][23] ), .B1(n20884), .Y(n20889) ); sky130_fd_sc_hd__clkinv_1 U25918 ( .A(n20886), .Y(n20888) ); sky130_fd_sc_hd__o22ai_1 U25919 ( .A1(n20890), .A2(n20889), .B1(n20888), .B2(n20887), .Y(n20999) ); sky130_fd_sc_hd__o22ai_1 U25920 ( .A1(\trigger_pkt_any[1][select] ), .A2( \lsu/lsu_addr_m [21]), .B1(n18983), .B2(n21181), .Y(n20894) ); sky130_fd_sc_hd__o22ai_1 U25921 ( .A1(\trigger_pkt_any[1][select] ), .A2( n21183), .B1(n18983), .B2(n21182), .Y(n20895) ); sky130_fd_sc_hd__o22ai_1 U25922 ( .A1(n20895), .A2(n20892), .B1(n20894), .B2(\trigger_pkt_any[1][tdata2][21] ), .Y(n20893) ); sky130_fd_sc_hd__a21oi_1 U25923 ( .A1(n20894), .A2( \trigger_pkt_any[1][tdata2][21] ), .B1(n20893), .Y(n20898) ); sky130_fd_sc_hd__o22ai_1 U25924 ( .A1(n20899), .A2(n20898), .B1(n20897), .B2(n20896), .Y(n20998) ); sky130_fd_sc_hd__o22ai_1 U25925 ( .A1(\trigger_pkt_any[1][select] ), .A2( n21061), .B1(n18983), .B2(n21060), .Y(n20902) ); sky130_fd_sc_hd__o21ai_1 U25926 ( .A1(\trigger_pkt_any[1][tdata2][14] ), .A2(n20902), .B1(n20900), .Y(n20901) ); sky130_fd_sc_hd__a21oi_1 U25927 ( .A1(\trigger_pkt_any[1][tdata2][14] ), .A2(n20902), .B1(n20901), .Y(n20961) ); sky130_fd_sc_hd__o22ai_1 U25928 ( .A1(\trigger_pkt_any[1][select] ), .A2( \lsu/lsu_addr_m [11]), .B1(n18983), .B2(n21062), .Y(n20906) ); sky130_fd_sc_hd__o21ai_1 U25929 ( .A1(n20906), .A2(n20905), .B1(n20903), .Y( n20904) ); sky130_fd_sc_hd__a21oi_1 U25930 ( .A1(n20906), .A2(n20905), .B1(n20904), .Y( n20960) ); sky130_fd_sc_hd__o22ai_1 U25931 ( .A1(\trigger_pkt_any[1][select] ), .A2( \lsu/lsu_addr_m [10]), .B1(n18983), .B2(n20907), .Y(n20921) ); sky130_fd_sc_hd__o22ai_1 U25932 ( .A1(\trigger_pkt_any[1][select] ), .A2( \lsu/lsu_addr_m [8]), .B1(n18983), .B2(n21052), .Y(n20916) ); sky130_fd_sc_hd__o22ai_1 U25933 ( .A1(\trigger_pkt_any[1][select] ), .A2( n21091), .B1(n18983), .B2(n21090), .Y(n20911) ); sky130_fd_sc_hd__o21bai_1 U25934 ( .A1(\trigger_pkt_any[1][tdata2][9] ), .A2(n20911), .B1_N(n20909), .Y(n20910) ); sky130_fd_sc_hd__a21oi_1 U25935 ( .A1(\trigger_pkt_any[1][tdata2][9] ), .A2( n20911), .B1(n20910), .Y(n20912) ); sky130_fd_sc_hd__a21oi_1 U25936 ( .A1(n20913), .A2(n20916), .B1(n20912), .Y( n20914) ); sky130_fd_sc_hd__o21ai_1 U25937 ( .A1(n20916), .A2(n20915), .B1(n20914), .Y( n20917) ); sky130_fd_sc_hd__a21oi_1 U25938 ( .A1(n20918), .A2(n20921), .B1(n20917), .Y( n20919) ); sky130_fd_sc_hd__o21ai_1 U25939 ( .A1(n20921), .A2(n20920), .B1(n20919), .Y( n20959) ); sky130_fd_sc_hd__o22ai_1 U25940 ( .A1(\trigger_pkt_any[1][select] ), .A2( n21089), .B1(n18983), .B2(n23675), .Y(n20944) ); sky130_fd_sc_hd__o22ai_1 U25941 ( .A1(\trigger_pkt_any[1][select] ), .A2( \lsu/lsu_addr_m [13]), .B1(n18983), .B2(n21044), .Y(n20925) ); sky130_fd_sc_hd__clkinv_1 U25942 ( .A(\trigger_pkt_any[1][tdata2][13] ), .Y( n20924) ); sky130_fd_sc_hd__o21bai_1 U25943 ( .A1(n20925), .A2(n20924), .B1_N(n20922), .Y(n20923) ); sky130_fd_sc_hd__a21oi_1 U25944 ( .A1(n20925), .A2(n20924), .B1(n20923), .Y( n20926) ); sky130_fd_sc_hd__a21oi_1 U25945 ( .A1(n20927), .A2(n20944), .B1(n20926), .Y( n20957) ); sky130_fd_sc_hd__nor2_1 U25946 ( .A(\trigger_pkt_any[1][select] ), .B(n21109), .Y(n20928) ); sky130_fd_sc_hd__a22oi_1 U25947 ( .A1(n21111), .A2( \trigger_pkt_any[1][store] ), .B1(\trigger_pkt_any[1][load] ), .B2( n20928), .Y(n20947) ); sky130_fd_sc_hd__clkinv_1 U25948 ( .A(n20929), .Y(n23699) ); sky130_fd_sc_hd__o22ai_1 U25949 ( .A1(\trigger_pkt_any[1][select] ), .A2( n21049), .B1(n18983), .B2(n23699), .Y(n20937) ); sky130_fd_sc_hd__o22ai_1 U25950 ( .A1(\trigger_pkt_any[1][select] ), .A2( n20930), .B1(n18983), .B2(n23464), .Y(n20933) ); sky130_fd_sc_hd__o21ai_1 U25951 ( .A1(\trigger_pkt_any[1][tdata2][7] ), .A2( n20933), .B1(n20931), .Y(n20932) ); sky130_fd_sc_hd__a21oi_1 U25952 ( .A1(\trigger_pkt_any[1][tdata2][7] ), .A2( n20933), .B1(n20932), .Y(n20934) ); sky130_fd_sc_hd__a21oi_1 U25953 ( .A1(n20935), .A2(n20937), .B1(n20934), .Y( n20936) ); sky130_fd_sc_hd__o21ai_1 U25954 ( .A1(n20938), .A2(n20937), .B1(n20936), .Y( n20946) ); sky130_fd_sc_hd__o22ai_1 U25955 ( .A1(\trigger_pkt_any[1][select] ), .A2( n21096), .B1(n18983), .B2(n21095), .Y(n20941) ); sky130_fd_sc_hd__a21oi_1 U25956 ( .A1(\trigger_pkt_any[1][tdata2][12] ), .A2(n20941), .B1(n20939), .Y(n20940) ); sky130_fd_sc_hd__o21ai_1 U25957 ( .A1(\trigger_pkt_any[1][tdata2][12] ), .A2(n20941), .B1(n20940), .Y(n20942) ); sky130_fd_sc_hd__o21ai_1 U25958 ( .A1(n20944), .A2(n20943), .B1(n20942), .Y( n20945) ); sky130_fd_sc_hd__nor3_1 U25959 ( .A(n20947), .B(n20946), .C(n20945), .Y( n20956) ); sky130_fd_sc_hd__o22ai_1 U25960 ( .A1(\trigger_pkt_any[1][select] ), .A2( n21104), .B1(n18983), .B2(n23687), .Y(n20950) ); sky130_fd_sc_hd__a21oi_1 U25961 ( .A1(\trigger_pkt_any[1][tdata2][4] ), .A2( n20950), .B1(n20948), .Y(n20949) ); sky130_fd_sc_hd__o21ai_1 U25962 ( .A1(\trigger_pkt_any[1][tdata2][4] ), .A2( n20950), .B1(n20949), .Y(n20955) ); sky130_fd_sc_hd__o22ai_1 U25963 ( .A1(\trigger_pkt_any[1][select] ), .A2( n21100), .B1(n18983), .B2(n23691), .Y(n20953) ); sky130_fd_sc_hd__a21oi_1 U25964 ( .A1(\trigger_pkt_any[1][tdata2][5] ), .A2( n20953), .B1(n20951), .Y(n20952) ); sky130_fd_sc_hd__o21ai_1 U25965 ( .A1(\trigger_pkt_any[1][tdata2][5] ), .A2( n20953), .B1(n20952), .Y(n20954) ); sky130_fd_sc_hd__nand4_1 U25966 ( .A(n20957), .B(n20956), .C(n20955), .D( n20954), .Y(n20958) ); sky130_fd_sc_hd__nor4_1 U25967 ( .A(n20961), .B(n20960), .C(n20959), .D( n20958), .Y(n20996) ); sky130_fd_sc_hd__o22ai_1 U25968 ( .A1(\trigger_pkt_any[1][select] ), .A2( \lsu/lsu_addr_m [15]), .B1(n18983), .B2(n21077), .Y(n20965) ); sky130_fd_sc_hd__o21bai_1 U25969 ( .A1(n20965), .A2(n20964), .B1_N(n20962), .Y(n20963) ); sky130_fd_sc_hd__a21oi_1 U25970 ( .A1(n20965), .A2(n20964), .B1(n20963), .Y( n20985) ); sky130_fd_sc_hd__o22ai_1 U25971 ( .A1(\trigger_pkt_any[1][select] ), .A2( n21073), .B1(n18983), .B2(n23682), .Y(n20968) ); sky130_fd_sc_hd__o21ai_1 U25972 ( .A1(\trigger_pkt_any[1][tdata2][3] ), .A2( n20968), .B1(n20966), .Y(n20967) ); sky130_fd_sc_hd__a21oi_1 U25973 ( .A1(\trigger_pkt_any[1][tdata2][3] ), .A2( n20968), .B1(n20967), .Y(n20984) ); sky130_fd_sc_hd__o22ai_1 U25974 ( .A1(\trigger_pkt_any[1][select] ), .A2( n21084), .B1(n18983), .B2(n21083), .Y(n20971) ); sky130_fd_sc_hd__o21ai_1 U25975 ( .A1(\trigger_pkt_any[1][tdata2][16] ), .A2(n20971), .B1(n20969), .Y(n20970) ); sky130_fd_sc_hd__a21oi_1 U25976 ( .A1(\trigger_pkt_any[1][tdata2][16] ), .A2(n20971), .B1(n20970), .Y(n20983) ); sky130_fd_sc_hd__o22ai_1 U25977 ( .A1(\trigger_pkt_any[1][select] ), .A2( \lsu/lsu_addr_m [19]), .B1(n18983), .B2(n21134), .Y(n20976) ); sky130_fd_sc_hd__o22ai_1 U25978 ( .A1(\trigger_pkt_any[1][select] ), .A2( n20973), .B1(n18983), .B2(n20972), .Y(n20977) ); sky130_fd_sc_hd__o22ai_1 U25979 ( .A1(n20977), .A2(n20974), .B1(n20976), .B2(\trigger_pkt_any[1][tdata2][19] ), .Y(n20975) ); sky130_fd_sc_hd__a21oi_1 U25980 ( .A1(n20976), .A2( \trigger_pkt_any[1][tdata2][19] ), .B1(n20975), .Y(n20980) ); sky130_fd_sc_hd__clkinv_1 U25981 ( .A(n20977), .Y(n20979) ); sky130_fd_sc_hd__o22ai_1 U25982 ( .A1(n20981), .A2(n20980), .B1(n20979), .B2(n20978), .Y(n20982) ); sky130_fd_sc_hd__nor4_1 U25983 ( .A(n20985), .B(n20984), .C(n20983), .D( n20982), .Y(n20995) ); sky130_fd_sc_hd__o22ai_1 U25984 ( .A1(\trigger_pkt_any[1][select] ), .A2( n21028), .B1(n18983), .B2(n21027), .Y(n20988) ); sky130_fd_sc_hd__a21oi_1 U25985 ( .A1(\trigger_pkt_any[1][tdata2][24] ), .A2(n20988), .B1(n20986), .Y(n20987) ); sky130_fd_sc_hd__o21ai_1 U25986 ( .A1(\trigger_pkt_any[1][tdata2][24] ), .A2(n20988), .B1(n20987), .Y(n20994) ); sky130_fd_sc_hd__o22ai_1 U25987 ( .A1(\trigger_pkt_any[1][select] ), .A2( \lsu/lsu_addr_m [17]), .B1(n18983), .B2(n21139), .Y(n20992) ); sky130_fd_sc_hd__clkinv_1 U25988 ( .A(\trigger_pkt_any[1][tdata2][17] ), .Y( n20991) ); sky130_fd_sc_hd__a21oi_1 U25989 ( .A1(n20992), .A2(n20991), .B1(n20989), .Y( n20990) ); sky130_fd_sc_hd__o21ai_1 U25990 ( .A1(n20992), .A2(n20991), .B1(n20990), .Y( n20993) ); sky130_fd_sc_hd__nand4_1 U25991 ( .A(n20996), .B(n20995), .C(n20994), .D( n20993), .Y(n20997) ); sky130_fd_sc_hd__nor4_1 U25992 ( .A(n21000), .B(n20999), .C(n20998), .D( n20997), .Y(n21010) ); sky130_fd_sc_hd__o2bb2ai_1 U25993 ( .B1(\trigger_pkt_any[1][select] ), .B2( n21001), .A1_N(\trigger_pkt_any[1][select] ), .A2_N(n21157), .Y(n21004) ); sky130_fd_sc_hd__a21oi_1 U25994 ( .A1(\trigger_pkt_any[1][tdata2][31] ), .A2(n21004), .B1(n21002), .Y(n21003) ); sky130_fd_sc_hd__o21ai_1 U25995 ( .A1(\trigger_pkt_any[1][tdata2][31] ), .A2(n21004), .B1(n21003), .Y(n21009) ); sky130_fd_sc_hd__o22ai_1 U25996 ( .A1(\trigger_pkt_any[1][select] ), .A2( n21201), .B1(n18983), .B2(n21199), .Y(n21007) ); sky130_fd_sc_hd__nand2_1 U25997 ( .A(\trigger_pkt_any[1][tdata2][27] ), .B( n21007), .Y(n21005) ); sky130_fd_sc_hd__o211ai_1 U25998 ( .A1(\trigger_pkt_any[1][tdata2][27] ), .A2(n21007), .B1(n21006), .C1(n21005), .Y(n21008) ); sky130_fd_sc_hd__nand4_1 U25999 ( .A(n21011), .B(n21010), .C(n21009), .D( n21008), .Y(n21016) ); sky130_fd_sc_hd__o22ai_1 U26000 ( .A1(\trigger_pkt_any[1][select] ), .A2( n23539), .B1(n18983), .B2(n23474), .Y(n21014) ); sky130_fd_sc_hd__o21ai_1 U26001 ( .A1(\trigger_pkt_any[1][tdata2][0] ), .A2( n21014), .B1(n21012), .Y(n21013) ); sky130_fd_sc_hd__a21oi_1 U26002 ( .A1(\trigger_pkt_any[1][tdata2][0] ), .A2( n21014), .B1(n21013), .Y(n21015) ); sky130_fd_sc_hd__nor4_1 U26003 ( .A(n21018), .B(n21017), .C(n21016), .D( n21015), .Y(lsu_trigger_match_m[1]) ); sky130_fd_sc_hd__o22ai_1 U26004 ( .A1(\trigger_pkt_any[0][select] ), .A2( n25056), .B1(n21200), .B2(n23668), .Y(n21021) ); sky130_fd_sc_hd__o21ai_1 U26005 ( .A1(\trigger_pkt_any[0][tdata2][1] ), .A2( n21021), .B1(n21019), .Y(n21020) ); sky130_fd_sc_hd__a21oi_1 U26006 ( .A1(\trigger_pkt_any[0][tdata2][1] ), .A2( n21021), .B1(n21020), .Y(n21214) ); sky130_fd_sc_hd__o22ai_1 U26007 ( .A1(\trigger_pkt_any[0][select] ), .A2( n21023), .B1(n21200), .B2(n21022), .Y(n21026) ); sky130_fd_sc_hd__a21oi_1 U26008 ( .A1(\trigger_pkt_any[0][tdata2][29] ), .A2(n21026), .B1(n21024), .Y(n21025) ); sky130_fd_sc_hd__o21ai_1 U26009 ( .A1(\trigger_pkt_any[0][tdata2][29] ), .A2(n21026), .B1(n21025), .Y(n21208) ); sky130_fd_sc_hd__o22ai_1 U26010 ( .A1(\trigger_pkt_any[0][select] ), .A2( n21028), .B1(n21200), .B2(n21027), .Y(n21031) ); sky130_fd_sc_hd__o21ai_1 U26011 ( .A1(\trigger_pkt_any[0][tdata2][24] ), .A2(n21031), .B1(n21029), .Y(n21030) ); sky130_fd_sc_hd__a21oi_1 U26012 ( .A1(\trigger_pkt_any[0][tdata2][24] ), .A2(n21031), .B1(n21030), .Y(n21156) ); sky130_fd_sc_hd__o22ai_1 U26013 ( .A1(\trigger_pkt_any[0][select] ), .A2( n21033), .B1(n21200), .B2(n21032), .Y(n21036) ); sky130_fd_sc_hd__o21ai_1 U26014 ( .A1(\trigger_pkt_any[0][tdata2][22] ), .A2(n21036), .B1(n21034), .Y(n21035) ); sky130_fd_sc_hd__a21oi_1 U26015 ( .A1(\trigger_pkt_any[0][tdata2][22] ), .A2(n21036), .B1(n21035), .Y(n21155) ); sky130_fd_sc_hd__o22ai_1 U26016 ( .A1(\trigger_pkt_any[0][select] ), .A2( \lsu/lsu_addr_m [26]), .B1(n21200), .B2(n21037), .Y(n21041) ); sky130_fd_sc_hd__o21ai_1 U26017 ( .A1(n21041), .A2(n21040), .B1(n21038), .Y( n21039) ); sky130_fd_sc_hd__a21oi_1 U26018 ( .A1(n21041), .A2(n21040), .B1(n21039), .Y( n21154) ); sky130_fd_sc_hd__o22ai_1 U26019 ( .A1(\trigger_pkt_any[0][select] ), .A2( \lsu/lsu_addr_m [18]), .B1(n21200), .B2(n21042), .Y(n21152) ); sky130_fd_sc_hd__o2bb2ai_1 U26020 ( .B1(\trigger_pkt_any[0][select] ), .B2( n21045), .A1_N(\trigger_pkt_any[0][select] ), .A2_N(n21044), .Y(n21048) ); sky130_fd_sc_hd__o22ai_1 U26021 ( .A1(n21046), .A2(n21097), .B1(n21048), .B2(\trigger_pkt_any[0][tdata2][13] ), .Y(n21047) ); sky130_fd_sc_hd__a21oi_1 U26022 ( .A1(n21048), .A2( \trigger_pkt_any[0][tdata2][13] ), .B1(n21047), .Y(n21072) ); sky130_fd_sc_hd__o22ai_1 U26023 ( .A1(\trigger_pkt_any[0][select] ), .A2( \lsu/lsu_addr_m [7]), .B1(n21200), .B2(n23705), .Y(n21108) ); sky130_fd_sc_hd__o22ai_1 U26024 ( .A1(\trigger_pkt_any[0][select] ), .A2( n21049), .B1(n21200), .B2(n23699), .Y(n21113) ); sky130_fd_sc_hd__o22ai_1 U26025 ( .A1(n21108), .A2(n21051), .B1(n21050), .B2(n21113), .Y(n21071) ); sky130_fd_sc_hd__o22ai_1 U26026 ( .A1(\trigger_pkt_any[0][select] ), .A2( \lsu/lsu_addr_m [8]), .B1(n21200), .B2(n21052), .Y(n21092) ); sky130_fd_sc_hd__o22ai_1 U26027 ( .A1(\trigger_pkt_any[0][select] ), .A2( n21054), .B1(n21200), .B2(n21053), .Y(n21057) ); sky130_fd_sc_hd__a21oi_1 U26028 ( .A1(\trigger_pkt_any[0][tdata2][10] ), .A2(n21057), .B1(n21055), .Y(n21056) ); sky130_fd_sc_hd__o21ai_1 U26029 ( .A1(\trigger_pkt_any[0][tdata2][10] ), .A2(n21057), .B1(n21056), .Y(n21058) ); sky130_fd_sc_hd__o21ai_1 U26030 ( .A1(n21092), .A2(n21059), .B1(n21058), .Y( n21070) ); sky130_fd_sc_hd__a22o_1 U26031 ( .A1(n21200), .A2(n21061), .B1( \trigger_pkt_any[0][select] ), .B2(n21060), .X(n21078) ); sky130_fd_sc_hd__o22ai_1 U26032 ( .A1(\trigger_pkt_any[0][select] ), .A2( \lsu/lsu_addr_m [11]), .B1(n21200), .B2(n21062), .Y(n21066) ); sky130_fd_sc_hd__a21oi_1 U26033 ( .A1(n21066), .A2(n21065), .B1(n21063), .Y( n21064) ); sky130_fd_sc_hd__o21ai_1 U26034 ( .A1(n21066), .A2(n21065), .B1(n21064), .Y( n21067) ); sky130_fd_sc_hd__o21ai_1 U26035 ( .A1(n21078), .A2(n21068), .B1(n21067), .Y( n21069) ); sky130_fd_sc_hd__nor4_1 U26036 ( .A(n21072), .B(n21071), .C(n21070), .D( n21069), .Y(n21147) ); sky130_fd_sc_hd__o22ai_1 U26037 ( .A1(\trigger_pkt_any[0][select] ), .A2( n21073), .B1(n21200), .B2(n23682), .Y(n21076) ); sky130_fd_sc_hd__o21ai_1 U26038 ( .A1(\trigger_pkt_any[0][tdata2][3] ), .A2( n21076), .B1(n21074), .Y(n21075) ); sky130_fd_sc_hd__a21oi_1 U26039 ( .A1(\trigger_pkt_any[0][tdata2][3] ), .A2( n21076), .B1(n21075), .Y(n21133) ); sky130_fd_sc_hd__o22ai_1 U26040 ( .A1(\trigger_pkt_any[0][select] ), .A2( \lsu/lsu_addr_m [15]), .B1(n21200), .B2(n21077), .Y(n21080) ); sky130_fd_sc_hd__o2bb2ai_1 U26041 ( .B1(n21080), .B2( \trigger_pkt_any[0][tdata2][15] ), .A1_N(n21078), .A2_N( \trigger_pkt_any[0][tdata2][14] ), .Y(n21079) ); sky130_fd_sc_hd__a21oi_1 U26042 ( .A1(n21080), .A2( \trigger_pkt_any[0][tdata2][15] ), .B1(n21079), .Y(n21081) ); sky130_fd_sc_hd__nor2_1 U26043 ( .A(n21082), .B(n21081), .Y(n21132) ); sky130_fd_sc_hd__o22ai_1 U26044 ( .A1(\trigger_pkt_any[0][select] ), .A2( n21084), .B1(n21200), .B2(n21083), .Y(n21087) ); sky130_fd_sc_hd__o21ai_1 U26045 ( .A1(\trigger_pkt_any[0][tdata2][16] ), .A2(n21087), .B1(n21085), .Y(n21086) ); sky130_fd_sc_hd__a21oi_1 U26046 ( .A1(\trigger_pkt_any[0][tdata2][16] ), .A2(n21087), .B1(n21086), .Y(n21131) ); sky130_fd_sc_hd__clkinv_1 U26047 ( .A(n21088), .Y(n21129) ); sky130_fd_sc_hd__o22ai_1 U26048 ( .A1(\trigger_pkt_any[0][select] ), .A2( n21089), .B1(n21200), .B2(n23675), .Y(n21128) ); sky130_fd_sc_hd__a22o_1 U26049 ( .A1(n21200), .A2(n21091), .B1( \trigger_pkt_any[0][select] ), .B2(n21090), .X(n21094) ); sky130_fd_sc_hd__o2bb2ai_1 U26050 ( .B1(n21094), .B2( \trigger_pkt_any[0][tdata2][9] ), .A1_N(n21092), .A2_N( \trigger_pkt_any[0][tdata2][8] ), .Y(n21093) ); sky130_fd_sc_hd__a21oi_1 U26051 ( .A1(n21094), .A2( \trigger_pkt_any[0][tdata2][9] ), .B1(n21093), .Y(n21123) ); sky130_fd_sc_hd__o22ai_1 U26052 ( .A1(\trigger_pkt_any[0][select] ), .A2( n21096), .B1(n21200), .B2(n21095), .Y(n21099) ); sky130_fd_sc_hd__o21ai_1 U26053 ( .A1(\trigger_pkt_any[0][tdata2][12] ), .A2(n21099), .B1(n21097), .Y(n21098) ); sky130_fd_sc_hd__a21oi_1 U26054 ( .A1(\trigger_pkt_any[0][tdata2][12] ), .A2(n21099), .B1(n21098), .Y(n21121) ); sky130_fd_sc_hd__o22ai_1 U26055 ( .A1(\trigger_pkt_any[0][select] ), .A2( n21100), .B1(n21200), .B2(n23691), .Y(n21103) ); sky130_fd_sc_hd__o21ai_1 U26056 ( .A1(\trigger_pkt_any[0][tdata2][5] ), .A2( n21103), .B1(n21101), .Y(n21102) ); sky130_fd_sc_hd__a21oi_1 U26057 ( .A1(\trigger_pkt_any[0][tdata2][5] ), .A2( n21103), .B1(n21102), .Y(n21120) ); sky130_fd_sc_hd__o22ai_1 U26058 ( .A1(\trigger_pkt_any[0][select] ), .A2( n21104), .B1(n21200), .B2(n23687), .Y(n21107) ); sky130_fd_sc_hd__o21ai_1 U26059 ( .A1(\trigger_pkt_any[0][tdata2][4] ), .A2( n21107), .B1(n21105), .Y(n21106) ); sky130_fd_sc_hd__a21oi_1 U26060 ( .A1(\trigger_pkt_any[0][tdata2][4] ), .A2( n21107), .B1(n21106), .Y(n21119) ); sky130_fd_sc_hd__nor2_1 U26061 ( .A(\trigger_pkt_any[0][select] ), .B(n21109), .Y(n21110) ); sky130_fd_sc_hd__a22oi_1 U26062 ( .A1(n21111), .A2( \trigger_pkt_any[0][store] ), .B1(\trigger_pkt_any[0][load] ), .B2( n21110), .Y(n21112) ); sky130_fd_sc_hd__a21oi_1 U26063 ( .A1(n21114), .A2(n21113), .B1(n21112), .Y( n21115) ); sky130_fd_sc_hd__o21ai_1 U26064 ( .A1(n21117), .A2(n21116), .B1(n21115), .Y( n21118) ); sky130_fd_sc_hd__nor4_1 U26065 ( .A(n21121), .B(n21120), .C(n21119), .D( n21118), .Y(n21122) ); sky130_fd_sc_hd__o21ai_1 U26066 ( .A1(n21124), .A2(n21123), .B1(n21122), .Y( n21125) ); sky130_fd_sc_hd__a21oi_1 U26067 ( .A1(n21126), .A2(n21128), .B1(n21125), .Y( n21127) ); sky130_fd_sc_hd__o21ai_1 U26068 ( .A1(n21129), .A2(n21128), .B1(n21127), .Y( n21130) ); sky130_fd_sc_hd__nor4_1 U26069 ( .A(n21133), .B(n21132), .C(n21131), .D( n21130), .Y(n21146) ); sky130_fd_sc_hd__o22ai_1 U26070 ( .A1(\trigger_pkt_any[0][select] ), .A2( \lsu/lsu_addr_m [19]), .B1(n21200), .B2(n21134), .Y(n21138) ); sky130_fd_sc_hd__a21oi_1 U26071 ( .A1(n21138), .A2(n21137), .B1(n21135), .Y( n21136) ); sky130_fd_sc_hd__o21ai_1 U26072 ( .A1(n21138), .A2(n21137), .B1(n21136), .Y( n21145) ); sky130_fd_sc_hd__o22ai_1 U26073 ( .A1(\trigger_pkt_any[0][select] ), .A2( \lsu/lsu_addr_m [17]), .B1(n21200), .B2(n21139), .Y(n21143) ); sky130_fd_sc_hd__a21oi_1 U26074 ( .A1(n21143), .A2(n21142), .B1(n21140), .Y( n21141) ); sky130_fd_sc_hd__o21ai_1 U26075 ( .A1(n21143), .A2(n21142), .B1(n21141), .Y( n21144) ); sky130_fd_sc_hd__nand4_1 U26076 ( .A(n21147), .B(n21146), .C(n21145), .D( n21144), .Y(n21148) ); sky130_fd_sc_hd__a21oi_1 U26077 ( .A1(n21149), .A2(n21152), .B1(n21148), .Y( n21150) ); sky130_fd_sc_hd__o21ai_1 U26078 ( .A1(n21152), .A2(n21151), .B1(n21150), .Y( n21153) ); sky130_fd_sc_hd__nor4_1 U26079 ( .A(n21156), .B(n21155), .C(n21154), .D( n21153), .Y(n21207) ); sky130_fd_sc_hd__o22ai_1 U26080 ( .A1(\trigger_pkt_any[0][select] ), .A2( \lsu/lsu_addr_m [31]), .B1(n21200), .B2(n21157), .Y(n21165) ); sky130_fd_sc_hd__o22ai_1 U26081 ( .A1(\trigger_pkt_any[0][select] ), .A2( \lsu/lsu_addr_m [30]), .B1(n21200), .B2(n21158), .Y(n21162) ); sky130_fd_sc_hd__o21ai_1 U26082 ( .A1(n21162), .A2(n21161), .B1(n21159), .Y( n21160) ); sky130_fd_sc_hd__a21oi_1 U26083 ( .A1(n21162), .A2(n21161), .B1(n21160), .Y( n21163) ); sky130_fd_sc_hd__a21oi_1 U26084 ( .A1(\trigger_pkt_any[0][tdata2][31] ), .A2(n21165), .B1(n21163), .Y(n21164) ); sky130_fd_sc_hd__o21ai_1 U26085 ( .A1(\trigger_pkt_any[0][tdata2][31] ), .A2(n21165), .B1(n21164), .Y(n21197) ); sky130_fd_sc_hd__o2bb2ai_1 U26086 ( .B1(\trigger_pkt_any[0][select] ), .B2( n21167), .A1_N(\trigger_pkt_any[0][select] ), .A2_N(n21166), .Y(n21170) ); sky130_fd_sc_hd__o21ai_1 U26087 ( .A1(\trigger_pkt_any[0][tdata2][23] ), .A2(n21170), .B1(n21168), .Y(n21169) ); sky130_fd_sc_hd__a21oi_1 U26088 ( .A1(\trigger_pkt_any[0][tdata2][23] ), .A2(n21170), .B1(n21169), .Y(n21195) ); sky130_fd_sc_hd__o22ai_1 U26089 ( .A1(\trigger_pkt_any[0][select] ), .A2( n21172), .B1(n21200), .B2(n21171), .Y(n21175) ); sky130_fd_sc_hd__o21ai_1 U26090 ( .A1(\trigger_pkt_any[0][tdata2][25] ), .A2(n21175), .B1(n21173), .Y(n21174) ); sky130_fd_sc_hd__a21oi_1 U26091 ( .A1(\trigger_pkt_any[0][tdata2][25] ), .A2(n21175), .B1(n21174), .Y(n21194) ); sky130_fd_sc_hd__o22ai_1 U26092 ( .A1(\trigger_pkt_any[0][select] ), .A2( \lsu/lsu_addr_m [28]), .B1(n21200), .B2(n21176), .Y(n21180) ); sky130_fd_sc_hd__o21ai_1 U26093 ( .A1(n21180), .A2(n21179), .B1(n21177), .Y( n21178) ); sky130_fd_sc_hd__a21oi_1 U26094 ( .A1(n21180), .A2(n21179), .B1(n21178), .Y( n21193) ); sky130_fd_sc_hd__o22ai_1 U26095 ( .A1(\trigger_pkt_any[0][select] ), .A2( \lsu/lsu_addr_m [21]), .B1(n21200), .B2(n21181), .Y(n21186) ); sky130_fd_sc_hd__o22ai_1 U26096 ( .A1(\trigger_pkt_any[0][select] ), .A2( n21183), .B1(n21200), .B2(n21182), .Y(n21187) ); sky130_fd_sc_hd__o22ai_1 U26097 ( .A1(n21187), .A2(n21184), .B1(n21186), .B2(\trigger_pkt_any[0][tdata2][21] ), .Y(n21185) ); sky130_fd_sc_hd__a21oi_1 U26098 ( .A1(n21186), .A2( \trigger_pkt_any[0][tdata2][21] ), .B1(n21185), .Y(n21190) ); sky130_fd_sc_hd__o22ai_1 U26099 ( .A1(n21191), .A2(n21190), .B1(n21189), .B2(n21188), .Y(n21192) ); sky130_fd_sc_hd__or4_1 U26100 ( .A(n21195), .B(n21194), .C(n21193), .D( n21192), .X(n21196) ); sky130_fd_sc_hd__a21oi_1 U26101 ( .A1(n21198), .A2(n21197), .B1(n21196), .Y( n21206) ); sky130_fd_sc_hd__o22ai_1 U26102 ( .A1(\trigger_pkt_any[0][select] ), .A2( n21201), .B1(n21200), .B2(n21199), .Y(n21204) ); sky130_fd_sc_hd__a21oi_1 U26103 ( .A1(\trigger_pkt_any[0][tdata2][27] ), .A2(n21204), .B1(n21202), .Y(n21203) ); sky130_fd_sc_hd__o21ai_1 U26104 ( .A1(\trigger_pkt_any[0][tdata2][27] ), .A2(n21204), .B1(n21203), .Y(n21205) ); sky130_fd_sc_hd__nand4_1 U26105 ( .A(n21208), .B(n21207), .C(n21206), .D( n21205), .Y(n21213) ); sky130_fd_sc_hd__o22ai_1 U26106 ( .A1(\trigger_pkt_any[0][select] ), .A2( n23539), .B1(n21200), .B2(n23474), .Y(n21211) ); sky130_fd_sc_hd__o21ai_1 U26107 ( .A1(\trigger_pkt_any[0][tdata2][0] ), .A2( n21211), .B1(n21209), .Y(n21210) ); sky130_fd_sc_hd__a21oi_1 U26108 ( .A1(\trigger_pkt_any[0][tdata2][0] ), .A2( n21211), .B1(n21210), .Y(n21212) ); sky130_fd_sc_hd__nor3_1 U26109 ( .A(n21214), .B(n21213), .C(n21212), .Y( lsu_trigger_match_m[0]) ); sky130_fd_sc_hd__nor2_1 U26110 ( .A(n25068), .B(n21215), .Y( lsu_pmu_load_external_m) ); sky130_fd_sc_hd__nor3b_1 U26111 ( .C_N(n21216), .A( \lsu/bus_intf/ld_byte_hit_buf_lo [0]), .B(n25066), .Y(n21234) ); sky130_fd_sc_hd__nor3b_1 U26112 ( .C_N(\lsu/bus_intf/ldst_byteen_ext_m [3]), .A(n21217), .B(\lsu/bus_intf/ld_byte_hit_buf_lo [3]), .Y(n21233) ); sky130_fd_sc_hd__nand2_1 U26113 ( .A(n21218), .B( \lsu/bus_intf/ldst_byteen_ext_m [2]), .Y(n21221) ); sky130_fd_sc_hd__nand2_1 U26114 ( .A(\lsu/bus_intf/ldst_byteen_ext_m [1]), .B(n21219), .Y(n21220) ); sky130_fd_sc_hd__o22ai_1 U26115 ( .A1(\lsu/bus_intf/ld_byte_hit_buf_lo [2]), .A2(n21221), .B1(\lsu/bus_intf/ld_byte_hit_buf_lo [1]), .B2(n21220), .Y(n21232) ); sky130_fd_sc_hd__nand2_1 U26116 ( .A(\lsu/bus_intf/ldst_byteen_ext_m [5]), .B(n21222), .Y(n21225) ); sky130_fd_sc_hd__nand2_1 U26117 ( .A(\lsu/bus_intf/ldst_byteen_ext_m [6]), .B(n21223), .Y(n21224) ); sky130_fd_sc_hd__o22ai_1 U26118 ( .A1(\lsu/bus_intf/ld_byte_hit_buf_hi [1]), .A2(n21225), .B1(\lsu/bus_intf/ld_byte_hit_buf_hi [2]), .B2(n21224), .Y(n21230) ); sky130_fd_sc_hd__o31ai_1 U26119 ( .A1(\lsu/bus_intf/ld_byte_hit_buf_hi [0]), .A2(n23710), .A3(n21227), .B1(lsu_pmu_load_external_m), .Y(n21228) ); sky130_fd_sc_hd__or4_1 U26120 ( .A(\lsu/is_sideeffects_m ), .B(n21230), .C( n21229), .D(n21228), .X(n21231) ); sky130_fd_sc_hd__nor4_1 U26121 ( .A(n21234), .B(n21233), .C(n21232), .D( n21231), .Y(\lsu/bus_intf/ld_full_hit_m ) ); sky130_fd_sc_hd__nand2_1 U26122 ( .A(\pic_ctrl_inst/picm_waddr_ff [12]), .B( n21544), .Y(n21242) ); sky130_fd_sc_hd__nor2_1 U26123 ( .A(n21235), .B(n21242), .Y(n21296) ); sky130_fd_sc_hd__nand2_1 U26124 ( .A(n21236), .B(n21296), .Y(n21308) ); sky130_fd_sc_hd__clkinv_1 U26125 ( .A(n21237), .Y(n21302) ); sky130_fd_sc_hd__o21ai_1 U26126 ( .A1(n21308), .A2(n21302), .B1( \pic_ctrl_inst/SETREG[1].NON_ZERO_INT.config_gw_inst/gw_int_pending ), .Y(n21238) ); sky130_fd_sc_hd__nand2b_1 U26127 ( .A_N(n21239), .B(n21238), .Y( \pic_ctrl_inst/SETREG[1].NON_ZERO_INT.config_gw_inst/gw_int_pending_in ) ); sky130_fd_sc_hd__nor2_1 U26128 ( .A(\dec/decode/tlu_wr_pause_r2 ), .B( \dec/decode/tlu_wr_pause_r1 ), .Y(n21739) ); sky130_fd_sc_hd__nor2_1 U26129 ( .A(scan_mode), .B(dec_tlu_misc_clk_override), .Y(n21575) ); sky130_fd_sc_hd__nand4_1 U26130 ( .A(\dec/dec_pause_state ), .B(n21739), .C( n21575), .D(n24520), .Y(\active_cg/clkhdr/enable ) ); sky130_fd_sc_hd__clkinv_1 U26131 ( .A(n21296), .Y(n21318) ); sky130_fd_sc_hd__o21ai_1 U26132 ( .A1(n23057), .A2(n21318), .B1( \pic_ctrl_inst/SETREG[31].NON_ZERO_INT.config_gw_inst/gw_int_pending ), .Y(n21241) ); sky130_fd_sc_hd__nand2_1 U26133 ( .A(n21241), .B(n21240), .Y( \pic_ctrl_inst/SETREG[31].NON_ZERO_INT.config_gw_inst/gw_int_pending_in ) ); sky130_fd_sc_hd__nor2_1 U26134 ( .A(\pic_ctrl_inst/picm_waddr_ff [2]), .B( n21242), .Y(n21267) ); sky130_fd_sc_hd__clkinv_1 U26135 ( .A(n21267), .Y(n21321) ); sky130_fd_sc_hd__o21ai_1 U26136 ( .A1(n23057), .A2(n21321), .B1( \pic_ctrl_inst/SETREG[30].NON_ZERO_INT.config_gw_inst/gw_int_pending ), .Y(n21244) ); sky130_fd_sc_hd__nand2_1 U26137 ( .A(n21244), .B(n21243), .Y( \pic_ctrl_inst/SETREG[30].NON_ZERO_INT.config_gw_inst/gw_int_pending_in ) ); sky130_fd_sc_hd__o21ai_1 U26138 ( .A1(n22988), .A2(n21318), .B1( \pic_ctrl_inst/SETREG[29].NON_ZERO_INT.config_gw_inst/gw_int_pending ), .Y(n21246) ); sky130_fd_sc_hd__nand2_1 U26139 ( .A(n21246), .B(n21245), .Y( \pic_ctrl_inst/SETREG[29].NON_ZERO_INT.config_gw_inst/gw_int_pending_in ) ); sky130_fd_sc_hd__o21ai_1 U26140 ( .A1(n22988), .A2(n21321), .B1( \pic_ctrl_inst/SETREG[28].NON_ZERO_INT.config_gw_inst/gw_int_pending ), .Y(n21247) ); sky130_fd_sc_hd__nand2b_1 U26141 ( .A_N(n21248), .B(n21247), .Y( \pic_ctrl_inst/SETREG[28].NON_ZERO_INT.config_gw_inst/gw_int_pending_in ) ); sky130_fd_sc_hd__nand2_1 U26142 ( .A(\pic_ctrl_inst/picm_waddr_ff [3]), .B( \pic_ctrl_inst/picm_waddr_ff [6]), .Y(n21252) ); sky130_fd_sc_hd__nand4_1 U26143 ( .A(\pic_ctrl_inst/picm_waddr_ff [5]), .B( \pic_ctrl_inst/picm_wren_ff ), .C(n21296), .D(n21251), .Y(n21256) ); sky130_fd_sc_hd__o21ai_1 U26144 ( .A1(n21252), .A2(n21256), .B1( \pic_ctrl_inst/SETREG[27].NON_ZERO_INT.config_gw_inst/gw_int_pending ), .Y(n21250) ); sky130_fd_sc_hd__nand2_1 U26145 ( .A(n21250), .B(n21249), .Y( \pic_ctrl_inst/SETREG[27].NON_ZERO_INT.config_gw_inst/gw_int_pending_in ) ); sky130_fd_sc_hd__nand4_1 U26146 ( .A(\pic_ctrl_inst/picm_waddr_ff [5]), .B( \pic_ctrl_inst/picm_wren_ff ), .C(n21267), .D(n21251), .Y(n21259) ); sky130_fd_sc_hd__o21ai_1 U26147 ( .A1(n21259), .A2(n21252), .B1( \pic_ctrl_inst/SETREG[26].NON_ZERO_INT.config_gw_inst/gw_int_pending ), .Y(n21253) ); sky130_fd_sc_hd__nand2b_1 U26148 ( .A_N(n21254), .B(n21253), .Y( \pic_ctrl_inst/SETREG[26].NON_ZERO_INT.config_gw_inst/gw_int_pending_in ) ); sky130_fd_sc_hd__nand2_1 U26149 ( .A(\pic_ctrl_inst/picm_waddr_ff [6]), .B( n21255), .Y(n21260) ); sky130_fd_sc_hd__o21ai_1 U26150 ( .A1(n21260), .A2(n21256), .B1( \pic_ctrl_inst/SETREG[25].NON_ZERO_INT.config_gw_inst/gw_int_pending ), .Y(n21258) ); sky130_fd_sc_hd__nand2_1 U26151 ( .A(n21258), .B(n21257), .Y( \pic_ctrl_inst/SETREG[25].NON_ZERO_INT.config_gw_inst/gw_int_pending_in ) ); sky130_fd_sc_hd__o21ai_1 U26152 ( .A1(n21260), .A2(n21259), .B1( \pic_ctrl_inst/SETREG[24].NON_ZERO_INT.config_gw_inst/gw_int_pending ), .Y(n21262) ); sky130_fd_sc_hd__nand2_1 U26153 ( .A(n21262), .B(n21261), .Y( \pic_ctrl_inst/SETREG[24].NON_ZERO_INT.config_gw_inst/gw_int_pending_in ) ); sky130_fd_sc_hd__nand2_1 U26154 ( .A(n21296), .B(n21266), .Y(n21281) ); sky130_fd_sc_hd__clkinv_1 U26155 ( .A(n21263), .Y(n21309) ); sky130_fd_sc_hd__o21ai_1 U26156 ( .A1(n21281), .A2(n21309), .B1( \pic_ctrl_inst/SETREG[23].NON_ZERO_INT.config_gw_inst/gw_int_pending ), .Y(n21265) ); sky130_fd_sc_hd__nand2_1 U26157 ( .A(n21265), .B(n21264), .Y( \pic_ctrl_inst/SETREG[23].NON_ZERO_INT.config_gw_inst/gw_int_pending_in ) ); sky130_fd_sc_hd__nand2_1 U26158 ( .A(n21267), .B(n21266), .Y(n21284) ); sky130_fd_sc_hd__o21ai_1 U26159 ( .A1(n21309), .A2(n21284), .B1( \pic_ctrl_inst/SETREG[22].NON_ZERO_INT.config_gw_inst/gw_int_pending ), .Y(n21268) ); sky130_fd_sc_hd__nand2b_1 U26160 ( .A_N(n21269), .B(n21268), .Y( \pic_ctrl_inst/SETREG[22].NON_ZERO_INT.config_gw_inst/gw_int_pending_in ) ); sky130_fd_sc_hd__o21ai_1 U26161 ( .A1(n21273), .A2(n21281), .B1( \pic_ctrl_inst/SETREG[21].NON_ZERO_INT.config_gw_inst/gw_int_pending ), .Y(n21272) ); sky130_fd_sc_hd__nand2_1 U26162 ( .A(n21272), .B(n21271), .Y( \pic_ctrl_inst/SETREG[21].NON_ZERO_INT.config_gw_inst/gw_int_pending_in ) ); sky130_fd_sc_hd__o21ai_1 U26163 ( .A1(n21273), .A2(n21284), .B1( \pic_ctrl_inst/SETREG[20].NON_ZERO_INT.config_gw_inst/gw_int_pending ), .Y(n21275) ); sky130_fd_sc_hd__nand2_1 U26164 ( .A(n21275), .B(n21274), .Y( \pic_ctrl_inst/SETREG[20].NON_ZERO_INT.config_gw_inst/gw_int_pending_in ) ); sky130_fd_sc_hd__o21ai_1 U26165 ( .A1(n21297), .A2(n21281), .B1( \pic_ctrl_inst/SETREG[19].NON_ZERO_INT.config_gw_inst/gw_int_pending ), .Y(n21278) ); sky130_fd_sc_hd__nand2_1 U26166 ( .A(n21278), .B(n21277), .Y( \pic_ctrl_inst/SETREG[19].NON_ZERO_INT.config_gw_inst/gw_int_pending_in ) ); sky130_fd_sc_hd__o21ai_1 U26167 ( .A1(n21297), .A2(n21284), .B1( \pic_ctrl_inst/SETREG[18].NON_ZERO_INT.config_gw_inst/gw_int_pending ), .Y(n21279) ); sky130_fd_sc_hd__nand2b_1 U26168 ( .A_N(n21280), .B(n21279), .Y( \pic_ctrl_inst/SETREG[18].NON_ZERO_INT.config_gw_inst/gw_int_pending_in ) ); sky130_fd_sc_hd__o21ai_1 U26169 ( .A1(n21281), .A2(n21302), .B1( \pic_ctrl_inst/SETREG[17].NON_ZERO_INT.config_gw_inst/gw_int_pending ), .Y(n21283) ); sky130_fd_sc_hd__nand2_1 U26170 ( .A(n21283), .B(n21282), .Y( \pic_ctrl_inst/SETREG[17].NON_ZERO_INT.config_gw_inst/gw_int_pending_in ) ); sky130_fd_sc_hd__o21ai_1 U26171 ( .A1(n21284), .A2(n21302), .B1( \pic_ctrl_inst/SETREG[16].NON_ZERO_INT.config_gw_inst/gw_int_pending ), .Y(n21286) ); sky130_fd_sc_hd__nand2_1 U26172 ( .A(n21286), .B(n21285), .Y( \pic_ctrl_inst/SETREG[16].NON_ZERO_INT.config_gw_inst/gw_int_pending_in ) ); sky130_fd_sc_hd__o21ai_1 U26173 ( .A1(n22903), .A2(n21318), .B1( \pic_ctrl_inst/SETREG[15].NON_ZERO_INT.config_gw_inst/gw_int_pending ), .Y(n21288) ); sky130_fd_sc_hd__nand2_1 U26174 ( .A(n21288), .B(n21287), .Y( \pic_ctrl_inst/SETREG[15].NON_ZERO_INT.config_gw_inst/gw_int_pending_in ) ); sky130_fd_sc_hd__o21ai_1 U26175 ( .A1(n22903), .A2(n21321), .B1( \pic_ctrl_inst/SETREG[14].NON_ZERO_INT.config_gw_inst/gw_int_pending ), .Y(n21290) ); sky130_fd_sc_hd__nand2_1 U26176 ( .A(n21290), .B(n21289), .Y( \pic_ctrl_inst/SETREG[14].NON_ZERO_INT.config_gw_inst/gw_int_pending_in ) ); sky130_fd_sc_hd__o21ai_1 U26177 ( .A1(n22890), .A2(n21318), .B1( \pic_ctrl_inst/SETREG[13].NON_ZERO_INT.config_gw_inst/gw_int_pending ), .Y(n21292) ); sky130_fd_sc_hd__nand2_1 U26178 ( .A(n21292), .B(n21291), .Y( \pic_ctrl_inst/SETREG[13].NON_ZERO_INT.config_gw_inst/gw_int_pending_in ) ); sky130_fd_sc_hd__o21ai_1 U26179 ( .A1(n22890), .A2(n21321), .B1( \pic_ctrl_inst/SETREG[12].NON_ZERO_INT.config_gw_inst/gw_int_pending ), .Y(n21294) ); sky130_fd_sc_hd__nand2_1 U26180 ( .A(n21294), .B(n21293), .Y( \pic_ctrl_inst/SETREG[12].NON_ZERO_INT.config_gw_inst/gw_int_pending_in ) ); sky130_fd_sc_hd__nand2_1 U26181 ( .A(n21296), .B(n21295), .Y(n21303) ); sky130_fd_sc_hd__o21ai_1 U26182 ( .A1(n21297), .A2(n21303), .B1( \pic_ctrl_inst/SETREG[11].NON_ZERO_INT.config_gw_inst/gw_int_pending ), .Y(n21299) ); sky130_fd_sc_hd__nand2_1 U26183 ( .A(n21299), .B(n21298), .Y( \pic_ctrl_inst/SETREG[11].NON_ZERO_INT.config_gw_inst/gw_int_pending_in ) ); sky130_fd_sc_hd__o21ai_1 U26184 ( .A1(n21321), .A2(n22877), .B1( \pic_ctrl_inst/SETREG[10].NON_ZERO_INT.config_gw_inst/gw_int_pending ), .Y(n21300) ); sky130_fd_sc_hd__nand2_1 U26185 ( .A(n21301), .B(n21300), .Y( \pic_ctrl_inst/SETREG[10].NON_ZERO_INT.config_gw_inst/gw_int_pending_in ) ); sky130_fd_sc_hd__o21ai_1 U26186 ( .A1(n21303), .A2(n21302), .B1( \pic_ctrl_inst/SETREG[9].NON_ZERO_INT.config_gw_inst/gw_int_pending ), .Y(n21305) ); sky130_fd_sc_hd__nand2_1 U26187 ( .A(n21305), .B(n21304), .Y( \pic_ctrl_inst/SETREG[9].NON_ZERO_INT.config_gw_inst/gw_int_pending_in ) ); sky130_fd_sc_hd__o21ai_1 U26188 ( .A1(n23050), .A2(n21321), .B1( \pic_ctrl_inst/SETREG[8].NON_ZERO_INT.config_gw_inst/gw_int_pending ), .Y(n21307) ); sky130_fd_sc_hd__nand2_1 U26189 ( .A(n21307), .B(n21306), .Y( \pic_ctrl_inst/SETREG[8].NON_ZERO_INT.config_gw_inst/gw_int_pending_in ) ); sky130_fd_sc_hd__o21ai_1 U26190 ( .A1(n21309), .A2(n21308), .B1( \pic_ctrl_inst/SETREG[7].NON_ZERO_INT.config_gw_inst/gw_int_pending ), .Y(n21311) ); sky130_fd_sc_hd__nand2_1 U26191 ( .A(n21311), .B(n21310), .Y( \pic_ctrl_inst/SETREG[7].NON_ZERO_INT.config_gw_inst/gw_int_pending_in ) ); sky130_fd_sc_hd__o21ai_1 U26192 ( .A1(n22853), .A2(n21321), .B1( \pic_ctrl_inst/SETREG[6].NON_ZERO_INT.config_gw_inst/gw_int_pending ), .Y(n21312) ); sky130_fd_sc_hd__nand2b_1 U26193 ( .A_N(n21313), .B(n21312), .Y( \pic_ctrl_inst/SETREG[6].NON_ZERO_INT.config_gw_inst/gw_int_pending_in ) ); sky130_fd_sc_hd__o21ai_1 U26194 ( .A1(n23048), .A2(n21318), .B1( \pic_ctrl_inst/SETREG[5].NON_ZERO_INT.config_gw_inst/gw_int_pending ), .Y(n21315) ); sky130_fd_sc_hd__nand2_1 U26195 ( .A(n21315), .B(n21314), .Y( \pic_ctrl_inst/SETREG[5].NON_ZERO_INT.config_gw_inst/gw_int_pending_in ) ); sky130_fd_sc_hd__o21ai_1 U26196 ( .A1(n23048), .A2(n21321), .B1( \pic_ctrl_inst/SETREG[4].NON_ZERO_INT.config_gw_inst/gw_int_pending ), .Y(n21316) ); sky130_fd_sc_hd__nand2b_1 U26197 ( .A_N(n21317), .B(n21316), .Y( \pic_ctrl_inst/SETREG[4].NON_ZERO_INT.config_gw_inst/gw_int_pending_in ) ); sky130_fd_sc_hd__o21ai_1 U26198 ( .A1(n22828), .A2(n21318), .B1( \pic_ctrl_inst/SETREG[3].NON_ZERO_INT.config_gw_inst/gw_int_pending ), .Y(n21320) ); sky130_fd_sc_hd__nand2_1 U26199 ( .A(n21320), .B(n21319), .Y( \pic_ctrl_inst/SETREG[3].NON_ZERO_INT.config_gw_inst/gw_int_pending_in ) ); sky130_fd_sc_hd__o21ai_1 U26200 ( .A1(n22828), .A2(n21321), .B1( \pic_ctrl_inst/SETREG[2].NON_ZERO_INT.config_gw_inst/gw_int_pending ), .Y(n21322) ); sky130_fd_sc_hd__nand2b_1 U26201 ( .A_N(n21323), .B(n21322), .Y( \pic_ctrl_inst/SETREG[2].NON_ZERO_INT.config_gw_inst/gw_int_pending_in ) ); sky130_fd_sc_hd__and2_0 U26202 ( .A(dec_extint_stall), .B( \lsu/lsu_lsc_ctl/addr_external_d ), .X( \lsu/lsu_lsc_ctl/fir_nondccm_access_error_d ) ); sky130_fd_sc_hd__nand2_1 U26203 ( .A(n21325), .B(n21324), .Y(n21326) ); sky130_fd_sc_hd__nor2_1 U26204 ( .A(n21742), .B(n21326), .Y( \lsu/lsu_lsc_ctl/fir_dccm_access_error_d ) ); sky130_fd_sc_hd__nand2_1 U26205 ( .A(n21328), .B(n21327), .Y(n22705) ); sky130_fd_sc_hd__clkinv_1 U26206 ( .A(n22705), .Y(n21332) ); sky130_fd_sc_hd__a21oi_1 U26207 ( .A1(n21329), .A2(\lsu/lsu_pkt_d[word] ), .B1(n21561), .Y(n22701) ); sky130_fd_sc_hd__nor2_1 U26208 ( .A(n22701), .B(n21330), .Y(n22706) ); sky130_fd_sc_hd__a21oi_1 U26209 ( .A1(n21332), .A2(n22706), .B1(n21331), .Y( \lsu/lsu_lsc_ctl/access_fault_d ) ); sky130_fd_sc_hd__nor2_1 U26210 ( .A(n21333), .B(n21554), .Y(n23147) ); sky130_fd_sc_hd__o22ai_1 U26211 ( .A1(n22573), .A2( \lsu/stbuf/stbuf_addr[0][11] ), .B1(n22567), .B2( \lsu/stbuf/stbuf_addr[0][8] ), .Y(n21334) ); sky130_fd_sc_hd__a221oi_1 U26212 ( .A1(n22573), .A2( \lsu/stbuf/stbuf_addr[0][11] ), .B1(\lsu/stbuf/stbuf_addr[0][8] ), .B2(n22567), .C1(n21334), .Y(n21353) ); sky130_fd_sc_hd__o22ai_1 U26213 ( .A1(\lsu/lsu_addr_r [7]), .A2(n21363), .B1(n22565), .B2(\lsu/stbuf/stbuf_addr[0][7] ), .Y(n21348) ); sky130_fd_sc_hd__nand2b_1 U26214 ( .A_N(n21336), .B(n21335), .Y(n21697) ); sky130_fd_sc_hd__nand2_1 U26215 ( .A(n21337), .B(n21596), .Y(n21374) ); sky130_fd_sc_hd__o22ai_1 U26216 ( .A1(n22559), .A2( \lsu/stbuf/stbuf_addr[0][4] ), .B1(n22569), .B2( \lsu/stbuf/stbuf_addr[0][9] ), .Y(n21338) ); sky130_fd_sc_hd__a221oi_1 U26217 ( .A1(n22559), .A2( \lsu/stbuf/stbuf_addr[0][4] ), .B1(\lsu/stbuf/stbuf_addr[0][9] ), .B2( n22569), .C1(n21338), .Y(n21339) ); sky130_fd_sc_hd__o221ai_1 U26218 ( .A1(\lsu/lsu_addr_r [5]), .A2(n21362), .B1(n22561), .B2(\lsu/stbuf/stbuf_addr[0][5] ), .C1(n21339), .Y(n21347) ); sky130_fd_sc_hd__o22ai_1 U26219 ( .A1(n22575), .A2( \lsu/stbuf/stbuf_addr[0][12] ), .B1(n21355), .B2(\lsu/lsu_addr_r [2]), .Y(n21340) ); sky130_fd_sc_hd__a221oi_1 U26220 ( .A1(n22575), .A2( \lsu/stbuf/stbuf_addr[0][12] ), .B1(\lsu/lsu_addr_r [2]), .B2(n21355), .C1(n21340), .Y(n21345) ); sky130_fd_sc_hd__o22ai_1 U26221 ( .A1(n22577), .A2( \lsu/stbuf/stbuf_addr[0][13] ), .B1(n22563), .B2( \lsu/stbuf/stbuf_addr[0][6] ), .Y(n21341) ); sky130_fd_sc_hd__a221oi_1 U26222 ( .A1(n22577), .A2( \lsu/stbuf/stbuf_addr[0][13] ), .B1(\lsu/stbuf/stbuf_addr[0][6] ), .B2(n22563), .C1(n21341), .Y(n21344) ); sky130_fd_sc_hd__o22ai_1 U26223 ( .A1(\lsu/lsu_addr_r [15]), .A2( \lsu/stbuf/stbuf_addr[0][15] ), .B1(n22581), .B2(n21356), .Y(n21343) ); sky130_fd_sc_hd__o22ai_1 U26224 ( .A1(\lsu/lsu_addr_r [14]), .A2( \lsu/stbuf/stbuf_addr[0][14] ), .B1(n22579), .B2(n21357), .Y(n21342) ); sky130_fd_sc_hd__nand4_1 U26225 ( .A(n21345), .B(n21344), .C(n21343), .D( n21342), .Y(n21346) ); sky130_fd_sc_hd__nor4_1 U26226 ( .A(n21348), .B(n21374), .C(n21347), .D( n21346), .Y(n21352) ); sky130_fd_sc_hd__o22ai_1 U26227 ( .A1(\lsu/lsu_addr_r [3]), .A2( \lsu/stbuf/stbuf_addr[0][3] ), .B1(n22557), .B2(n21368), .Y(n21351) ); sky130_fd_sc_hd__o22ai_1 U26228 ( .A1(\lsu/lsu_addr_r [10]), .A2( \lsu/stbuf/stbuf_addr[0][10] ), .B1(n22571), .B2(n21349), .Y(n21350) ); sky130_fd_sc_hd__nand4_1 U26229 ( .A(n21353), .B(n21352), .C(n21351), .D( n21350), .Y(n21531) ); sky130_fd_sc_hd__o22ai_1 U26230 ( .A1(n22570), .A2( \lsu/stbuf/stbuf_addr[0][10] ), .B1(n22572), .B2( \lsu/stbuf/stbuf_addr[0][11] ), .Y(n21354) ); sky130_fd_sc_hd__a221oi_1 U26231 ( .A1(n22570), .A2( \lsu/stbuf/stbuf_addr[0][10] ), .B1(\lsu/stbuf/stbuf_addr[0][11] ), .B2(n22572), .C1(n21354), .Y(n21379) ); sky130_fd_sc_hd__o22ai_1 U26232 ( .A1(\lsu/end_addr_r [2]), .A2(n21355), .B1(n22554), .B2(\lsu/stbuf/stbuf_addr[0][2] ), .Y(n21361) ); sky130_fd_sc_hd__o2bb2ai_1 U26233 ( .B1(n22574), .B2( \lsu/stbuf/stbuf_addr[0][12] ), .A1_N(n22574), .A2_N( \lsu/stbuf/stbuf_addr[0][12] ), .Y(n21360) ); sky130_fd_sc_hd__o22ai_1 U26234 ( .A1(\lsu/end_addr_r [15]), .A2(n21356), .B1(n22580), .B2(\lsu/stbuf/stbuf_addr[0][15] ), .Y(n21359) ); sky130_fd_sc_hd__o22ai_1 U26235 ( .A1(\lsu/end_addr_r [14]), .A2(n21357), .B1(n22578), .B2(\lsu/stbuf/stbuf_addr[0][14] ), .Y(n21358) ); sky130_fd_sc_hd__nor4_1 U26236 ( .A(n21361), .B(n21360), .C(n21359), .D( n21358), .Y(n21378) ); sky130_fd_sc_hd__o22ai_1 U26237 ( .A1(\lsu/end_addr_r [5]), .A2(n21362), .B1(n22560), .B2(\lsu/stbuf/stbuf_addr[0][5] ), .Y(n21376) ); sky130_fd_sc_hd__o22ai_1 U26238 ( .A1(\lsu/end_addr_r [7]), .A2(n21363), .B1(n22564), .B2(\lsu/stbuf/stbuf_addr[0][7] ), .Y(n21375) ); sky130_fd_sc_hd__o22ai_1 U26239 ( .A1(n22568), .A2( \lsu/stbuf/stbuf_addr[0][9] ), .B1(n21365), .B2(\lsu/end_addr_r [13]), .Y(n21364) ); sky130_fd_sc_hd__a221oi_1 U26240 ( .A1(n22568), .A2( \lsu/stbuf/stbuf_addr[0][9] ), .B1(\lsu/end_addr_r [13]), .B2(n21365), .C1(n21364), .Y(n21372) ); sky130_fd_sc_hd__o22ai_1 U26241 ( .A1(n22558), .A2( \lsu/stbuf/stbuf_addr[0][4] ), .B1(n22566), .B2( \lsu/stbuf/stbuf_addr[0][8] ), .Y(n21366) ); sky130_fd_sc_hd__a221oi_1 U26242 ( .A1(n22558), .A2( \lsu/stbuf/stbuf_addr[0][4] ), .B1(\lsu/stbuf/stbuf_addr[0][8] ), .B2( n22566), .C1(n21366), .Y(n21371) ); sky130_fd_sc_hd__o22ai_1 U26243 ( .A1(\lsu/end_addr_r [6]), .A2( \lsu/stbuf/stbuf_addr[0][6] ), .B1(n22562), .B2(n21367), .Y(n21370) ); sky130_fd_sc_hd__o22ai_1 U26244 ( .A1(\lsu/end_addr_r [3]), .A2( \lsu/stbuf/stbuf_addr[0][3] ), .B1(n22556), .B2(n21368), .Y(n21369) ); sky130_fd_sc_hd__nand4_1 U26245 ( .A(n21372), .B(n21371), .C(n21370), .D( n21369), .Y(n21373) ); sky130_fd_sc_hd__nor4_1 U26246 ( .A(n21376), .B(n21375), .C(n21374), .D( n21373), .Y(n21377) ); sky130_fd_sc_hd__nand4_1 U26247 ( .A(n21523), .B(n21379), .C(n21378), .D( n21377), .Y(n21494) ); sky130_fd_sc_hd__nand2_1 U26248 ( .A(n21531), .B(n21494), .Y(n21528) ); sky130_fd_sc_hd__nand2_1 U26249 ( .A(\lsu/stbuf/WrPtr [0]), .B( \lsu/stbuf/WrPtr [1]), .Y(n21661) ); sky130_fd_sc_hd__nor3_1 U26250 ( .A(\lsu/stbuf/stbuf_dma_kill [3]), .B( n22663), .C(n21582), .Y(n21490) ); sky130_fd_sc_hd__o22ai_1 U26251 ( .A1(n22565), .A2( \lsu/stbuf/stbuf_addr[3][7] ), .B1(n22577), .B2( \lsu/stbuf/stbuf_addr[3][13] ), .Y(n21381) ); sky130_fd_sc_hd__a221oi_1 U26252 ( .A1(n22565), .A2( \lsu/stbuf/stbuf_addr[3][7] ), .B1(\lsu/stbuf/stbuf_addr[3][13] ), .B2(n22577), .C1(n21381), .Y(n21399) ); sky130_fd_sc_hd__o22ai_1 U26253 ( .A1(n22579), .A2( \lsu/stbuf/stbuf_addr[3][14] ), .B1(n21383), .B2(\lsu/lsu_addr_r [9]), .Y(n21382) ); sky130_fd_sc_hd__a221oi_1 U26254 ( .A1(n22579), .A2( \lsu/stbuf/stbuf_addr[3][14] ), .B1(\lsu/lsu_addr_r [9]), .B2(n21383), .C1(n21382), .Y(n21398) ); sky130_fd_sc_hd__o22ai_1 U26255 ( .A1(\lsu/lsu_addr_r [10]), .A2(n21384), .B1(n22571), .B2(\lsu/stbuf/stbuf_addr[3][10] ), .Y(n21396) ); sky130_fd_sc_hd__o22ai_1 U26256 ( .A1(\lsu/lsu_addr_r [6]), .A2(n21385), .B1(n22563), .B2(\lsu/stbuf/stbuf_addr[3][6] ), .Y(n21395) ); sky130_fd_sc_hd__o22ai_1 U26257 ( .A1(\lsu/lsu_addr_r [15]), .A2( \lsu/stbuf/stbuf_addr[3][15] ), .B1(n22581), .B2(n21468), .Y(n21386) ); sky130_fd_sc_hd__o221ai_1 U26258 ( .A1(\lsu/stbuf/stbuf_addr[3][8] ), .A2( n22567), .B1(n21484), .B2(\lsu/lsu_addr_r [8]), .C1(n21386), .Y(n21394) ); sky130_fd_sc_hd__o22ai_1 U26259 ( .A1(n22573), .A2( \lsu/stbuf/stbuf_addr[3][11] ), .B1(n21485), .B2(\lsu/lsu_addr_r [5]), .Y(n21387) ); sky130_fd_sc_hd__a221oi_1 U26260 ( .A1(n22573), .A2( \lsu/stbuf/stbuf_addr[3][11] ), .B1(\lsu/lsu_addr_r [5]), .B2(n21485), .C1(n21387), .Y(n21392) ); sky130_fd_sc_hd__o22ai_1 U26261 ( .A1(n22575), .A2( \lsu/stbuf/stbuf_addr[3][12] ), .B1(n21477), .B2(\lsu/lsu_addr_r [4]), .Y(n21388) ); sky130_fd_sc_hd__a221oi_1 U26262 ( .A1(n22575), .A2( \lsu/stbuf/stbuf_addr[3][12] ), .B1(\lsu/lsu_addr_r [4]), .B2(n21477), .C1(n21388), .Y(n21391) ); sky130_fd_sc_hd__o22ai_1 U26263 ( .A1(\lsu/lsu_addr_r [2]), .A2( \lsu/stbuf/stbuf_addr[3][2] ), .B1(n22555), .B2(n21469), .Y(n21390) ); sky130_fd_sc_hd__o22ai_1 U26264 ( .A1(\lsu/lsu_addr_r [3]), .A2( \lsu/stbuf/stbuf_addr[3][3] ), .B1(n22557), .B2(n21476), .Y(n21389) ); sky130_fd_sc_hd__nand4_1 U26265 ( .A(n21392), .B(n21391), .C(n21390), .D( n21389), .Y(n21393) ); sky130_fd_sc_hd__nor4_1 U26266 ( .A(n21396), .B(n21395), .C(n21394), .D( n21393), .Y(n21397) ); sky130_fd_sc_hd__nand4_1 U26267 ( .A(n21490), .B(n21399), .C(n21398), .D( n21397), .Y(n21660) ); sky130_fd_sc_hd__o22ai_1 U26268 ( .A1(n22565), .A2( \lsu/stbuf/stbuf_addr[1][7] ), .B1(n21497), .B2(\lsu/lsu_addr_r [5]), .Y(n21400) ); sky130_fd_sc_hd__a221oi_1 U26269 ( .A1(n22565), .A2( \lsu/stbuf/stbuf_addr[1][7] ), .B1(\lsu/lsu_addr_r [5]), .B2(n21497), .C1(n21400), .Y(n21419) ); sky130_fd_sc_hd__o22ai_1 U26270 ( .A1(\lsu/lsu_addr_r [4]), .A2(n21496), .B1(n22559), .B2(\lsu/stbuf/stbuf_addr[1][4] ), .Y(n21415) ); sky130_fd_sc_hd__o22ai_1 U26271 ( .A1(\lsu/lsu_addr_r [13]), .A2( \lsu/stbuf/stbuf_addr[1][13] ), .B1(n22577), .B2(n21511), .Y(n21405) ); sky130_fd_sc_hd__o22ai_1 U26272 ( .A1(\lsu/lsu_addr_r [10]), .A2( \lsu/stbuf/stbuf_addr[1][10] ), .B1(n22571), .B2(n21401), .Y(n21404) ); sky130_fd_sc_hd__o22ai_1 U26273 ( .A1(\lsu/lsu_addr_r [8]), .A2( \lsu/stbuf/stbuf_addr[1][8] ), .B1(n22567), .B2(n21507), .Y(n21403) ); sky130_fd_sc_hd__o22ai_1 U26274 ( .A1(\lsu/lsu_addr_r [6]), .A2( \lsu/stbuf/stbuf_addr[1][6] ), .B1(n22563), .B2(n21510), .Y(n21402) ); sky130_fd_sc_hd__nand4_1 U26275 ( .A(n21405), .B(n21404), .C(n21403), .D( n21402), .Y(n21414) ); sky130_fd_sc_hd__nand2_1 U26276 ( .A(n21406), .B(n21589), .Y(n21517) ); sky130_fd_sc_hd__o22ai_1 U26277 ( .A1(n22575), .A2( \lsu/stbuf/stbuf_addr[1][12] ), .B1(n21498), .B2(\lsu/lsu_addr_r [3]), .Y(n21407) ); sky130_fd_sc_hd__a221oi_1 U26278 ( .A1(n22575), .A2( \lsu/stbuf/stbuf_addr[1][12] ), .B1(\lsu/lsu_addr_r [3]), .B2(n21498), .C1(n21407), .Y(n21412) ); sky130_fd_sc_hd__o22ai_1 U26279 ( .A1(\lsu/lsu_addr_r [9]), .A2( \lsu/stbuf/stbuf_addr[1][9] ), .B1(n22569), .B2(n21495), .Y(n21411) ); sky130_fd_sc_hd__o22ai_1 U26280 ( .A1(\lsu/lsu_addr_r [2]), .A2( \lsu/stbuf/stbuf_addr[1][2] ), .B1(n22555), .B2(n21504), .Y(n21410) ); sky130_fd_sc_hd__o22ai_1 U26281 ( .A1(\lsu/lsu_addr_r [15]), .A2( \lsu/stbuf/stbuf_addr[1][15] ), .B1(n22581), .B2(n21408), .Y(n21409) ); sky130_fd_sc_hd__nand4_1 U26282 ( .A(n21412), .B(n21411), .C(n21410), .D( n21409), .Y(n21413) ); sky130_fd_sc_hd__nor4_1 U26283 ( .A(n21415), .B(n21414), .C(n21517), .D( n21413), .Y(n21418) ); sky130_fd_sc_hd__o22ai_1 U26284 ( .A1(\lsu/lsu_addr_r [14]), .A2( \lsu/stbuf/stbuf_addr[1][14] ), .B1(n22579), .B2(n21505), .Y(n21417) ); sky130_fd_sc_hd__o22ai_1 U26285 ( .A1(\lsu/lsu_addr_r [11]), .A2( \lsu/stbuf/stbuf_addr[1][11] ), .B1(n22573), .B2(n21509), .Y(n21416) ); sky130_fd_sc_hd__nand4_1 U26286 ( .A(n21419), .B(n21418), .C(n21417), .D( n21416), .Y(n21679) ); sky130_fd_sc_hd__o22ai_1 U26287 ( .A1(n22555), .A2( \lsu/stbuf/stbuf_addr[2][2] ), .B1(n22569), .B2( \lsu/stbuf/stbuf_addr[2][9] ), .Y(n21420) ); sky130_fd_sc_hd__a221oi_1 U26288 ( .A1(n22555), .A2( \lsu/stbuf/stbuf_addr[2][2] ), .B1(\lsu/stbuf/stbuf_addr[2][9] ), .B2( n22569), .C1(n21420), .Y(n21437) ); sky130_fd_sc_hd__o22ai_1 U26289 ( .A1(\lsu/lsu_addr_r [10]), .A2(n21438), .B1(n22571), .B2(\lsu/stbuf/stbuf_addr[2][10] ), .Y(n21433) ); sky130_fd_sc_hd__o22ai_1 U26290 ( .A1(\lsu/lsu_addr_r [13]), .A2( \lsu/stbuf/stbuf_addr[2][13] ), .B1(n22577), .B2(n21441), .Y(n21424) ); sky130_fd_sc_hd__o22ai_1 U26291 ( .A1(\lsu/lsu_addr_r [11]), .A2( \lsu/stbuf/stbuf_addr[2][11] ), .B1(n22573), .B2(n21459), .Y(n21423) ); sky130_fd_sc_hd__o22ai_1 U26292 ( .A1(\lsu/lsu_addr_r [4]), .A2( \lsu/stbuf/stbuf_addr[2][4] ), .B1(n22559), .B2(n21439), .Y(n21422) ); sky130_fd_sc_hd__o22ai_1 U26293 ( .A1(\lsu/lsu_addr_r [5]), .A2( \lsu/stbuf/stbuf_addr[2][5] ), .B1(n22561), .B2(n21440), .Y(n21421) ); sky130_fd_sc_hd__nand4_1 U26294 ( .A(n21424), .B(n21423), .C(n21422), .D( n21421), .Y(n21432) ); sky130_fd_sc_hd__nand2_1 U26295 ( .A(n21425), .B(n21583), .Y(n21466) ); sky130_fd_sc_hd__o22ai_1 U26296 ( .A1(n22579), .A2( \lsu/stbuf/stbuf_addr[2][14] ), .B1(n22557), .B2( \lsu/stbuf/stbuf_addr[2][3] ), .Y(n21426) ); sky130_fd_sc_hd__a221oi_1 U26297 ( .A1(n22579), .A2( \lsu/stbuf/stbuf_addr[2][14] ), .B1(\lsu/stbuf/stbuf_addr[2][3] ), .B2(n22557), .C1(n21426), .Y(n21430) ); sky130_fd_sc_hd__o22ai_1 U26298 ( .A1(\lsu/lsu_addr_r [8]), .A2( \lsu/stbuf/stbuf_addr[2][8] ), .B1(n22567), .B2(n21449), .Y(n21429) ); sky130_fd_sc_hd__o22ai_1 U26299 ( .A1(\lsu/lsu_addr_r [6]), .A2( \lsu/stbuf/stbuf_addr[2][6] ), .B1(n22563), .B2(n21455), .Y(n21428) ); sky130_fd_sc_hd__o22ai_1 U26300 ( .A1(\lsu/lsu_addr_r [12]), .A2( \lsu/stbuf/stbuf_addr[2][12] ), .B1(n22575), .B2(n21447), .Y(n21427) ); sky130_fd_sc_hd__nand4_1 U26301 ( .A(n21430), .B(n21429), .C(n21428), .D( n21427), .Y(n21431) ); sky130_fd_sc_hd__nor4_1 U26302 ( .A(n21433), .B(n21432), .C(n21466), .D( n21431), .Y(n21436) ); sky130_fd_sc_hd__o22ai_1 U26303 ( .A1(\lsu/lsu_addr_r [15]), .A2( \lsu/stbuf/stbuf_addr[2][15] ), .B1(n22581), .B2(n21457), .Y(n21435) ); sky130_fd_sc_hd__o22ai_1 U26304 ( .A1(\lsu/lsu_addr_r [7]), .A2( \lsu/stbuf/stbuf_addr[2][7] ), .B1(n22565), .B2(n21448), .Y(n21434) ); sky130_fd_sc_hd__nand4_1 U26305 ( .A(n21437), .B(n21436), .C(n21435), .D( n21434), .Y(n21668) ); sky130_fd_sc_hd__nand4_1 U26306 ( .A(n21531), .B(n21660), .C(n21679), .D( n21668), .Y(n21525) ); sky130_fd_sc_hd__o22ai_1 U26307 ( .A1(\lsu/end_addr_r [10]), .A2( \lsu/stbuf/stbuf_addr[2][10] ), .B1(n22570), .B2(n21438), .Y(n21445) ); sky130_fd_sc_hd__o22ai_1 U26308 ( .A1(\lsu/end_addr_r [4]), .A2( \lsu/stbuf/stbuf_addr[2][4] ), .B1(n22558), .B2(n21439), .Y(n21444) ); sky130_fd_sc_hd__o22ai_1 U26309 ( .A1(\lsu/end_addr_r [5]), .A2( \lsu/stbuf/stbuf_addr[2][5] ), .B1(n22560), .B2(n21440), .Y(n21443) ); sky130_fd_sc_hd__o22ai_1 U26310 ( .A1(\lsu/end_addr_r [13]), .A2( \lsu/stbuf/stbuf_addr[2][13] ), .B1(n22576), .B2(n21441), .Y(n21442) ); sky130_fd_sc_hd__nand4_1 U26311 ( .A(n21445), .B(n21444), .C(n21443), .D( n21442), .Y(n21465) ); sky130_fd_sc_hd__o22ai_1 U26312 ( .A1(\lsu/end_addr_r [2]), .A2( \lsu/stbuf/stbuf_addr[2][2] ), .B1(n22554), .B2(n21446), .Y(n21453) ); sky130_fd_sc_hd__o22ai_1 U26313 ( .A1(\lsu/end_addr_r [12]), .A2( \lsu/stbuf/stbuf_addr[2][12] ), .B1(n22574), .B2(n21447), .Y(n21452) ); sky130_fd_sc_hd__o22ai_1 U26314 ( .A1(\lsu/end_addr_r [7]), .A2( \lsu/stbuf/stbuf_addr[2][7] ), .B1(n22564), .B2(n21448), .Y(n21451) ); sky130_fd_sc_hd__o22ai_1 U26315 ( .A1(\lsu/end_addr_r [8]), .A2( \lsu/stbuf/stbuf_addr[2][8] ), .B1(n22566), .B2(n21449), .Y(n21450) ); sky130_fd_sc_hd__nand4_1 U26316 ( .A(n21453), .B(n21452), .C(n21451), .D( n21450), .Y(n21464) ); sky130_fd_sc_hd__o22ai_1 U26317 ( .A1(n22578), .A2( \lsu/stbuf/stbuf_addr[2][14] ), .B1(n21455), .B2(\lsu/end_addr_r [6]), .Y(n21454) ); sky130_fd_sc_hd__a221oi_1 U26318 ( .A1(n22578), .A2( \lsu/stbuf/stbuf_addr[2][14] ), .B1(\lsu/end_addr_r [6]), .B2(n21455), .C1(n21454), .Y(n21462) ); sky130_fd_sc_hd__o22ai_1 U26319 ( .A1(n22568), .A2( \lsu/stbuf/stbuf_addr[2][9] ), .B1(n21457), .B2(\lsu/end_addr_r [15]), .Y(n21456) ); sky130_fd_sc_hd__a221oi_1 U26320 ( .A1(n22568), .A2( \lsu/stbuf/stbuf_addr[2][9] ), .B1(\lsu/end_addr_r [15]), .B2(n21457), .C1(n21456), .Y(n21461) ); sky130_fd_sc_hd__o22ai_1 U26321 ( .A1(n22556), .A2( \lsu/stbuf/stbuf_addr[2][3] ), .B1(n21459), .B2(\lsu/end_addr_r [11]), .Y(n21458) ); sky130_fd_sc_hd__a221oi_1 U26322 ( .A1(n22556), .A2( \lsu/stbuf/stbuf_addr[2][3] ), .B1(\lsu/end_addr_r [11]), .B2(n21459), .C1(n21458), .Y(n21460) ); sky130_fd_sc_hd__nand4_1 U26323 ( .A(n21523), .B(n21462), .C(n21461), .D( n21460), .Y(n21463) ); sky130_fd_sc_hd__or4_1 U26324 ( .A(n21466), .B(n21465), .C(n21464), .D( n21463), .X(n21585) ); sky130_fd_sc_hd__o22ai_1 U26325 ( .A1(n22574), .A2( \lsu/stbuf/stbuf_addr[3][12] ), .B1(n22562), .B2( \lsu/stbuf/stbuf_addr[3][6] ), .Y(n21467) ); sky130_fd_sc_hd__a221oi_1 U26326 ( .A1(n22574), .A2( \lsu/stbuf/stbuf_addr[3][12] ), .B1(\lsu/stbuf/stbuf_addr[3][6] ), .B2(n22562), .C1(n21467), .Y(n21481) ); sky130_fd_sc_hd__o22ai_1 U26327 ( .A1(\lsu/end_addr_r [15]), .A2(n21468), .B1(n22580), .B2(\lsu/stbuf/stbuf_addr[3][15] ), .Y(n21475) ); sky130_fd_sc_hd__o22ai_1 U26328 ( .A1(\lsu/end_addr_r [2]), .A2(n21469), .B1(n22554), .B2(\lsu/stbuf/stbuf_addr[3][2] ), .Y(n21474) ); sky130_fd_sc_hd__o22ai_1 U26329 ( .A1(\lsu/end_addr_r [14]), .A2(n21470), .B1(n22578), .B2(\lsu/stbuf/stbuf_addr[3][14] ), .Y(n21473) ); sky130_fd_sc_hd__o22ai_1 U26330 ( .A1(\lsu/end_addr_r [7]), .A2(n21471), .B1(n22564), .B2(\lsu/stbuf/stbuf_addr[3][7] ), .Y(n21472) ); sky130_fd_sc_hd__nor4_1 U26331 ( .A(n21475), .B(n21474), .C(n21473), .D( n21472), .Y(n21480) ); sky130_fd_sc_hd__o22ai_1 U26332 ( .A1(\lsu/end_addr_r [3]), .A2( \lsu/stbuf/stbuf_addr[3][3] ), .B1(n22556), .B2(n21476), .Y(n21479) ); sky130_fd_sc_hd__o22ai_1 U26333 ( .A1(\lsu/end_addr_r [4]), .A2( \lsu/stbuf/stbuf_addr[3][4] ), .B1(n22558), .B2(n21477), .Y(n21478) ); sky130_fd_sc_hd__nand4_1 U26334 ( .A(n21481), .B(n21480), .C(n21479), .D( n21478), .Y(n21493) ); sky130_fd_sc_hd__o22ai_1 U26335 ( .A1(n22568), .A2( \lsu/stbuf/stbuf_addr[3][9] ), .B1(n22576), .B2( \lsu/stbuf/stbuf_addr[3][13] ), .Y(n21482) ); sky130_fd_sc_hd__a221oi_1 U26336 ( .A1(n22568), .A2( \lsu/stbuf/stbuf_addr[3][9] ), .B1(\lsu/stbuf/stbuf_addr[3][13] ), .B2(n22576), .C1(n21482), .Y(n21489) ); sky130_fd_sc_hd__o22ai_1 U26337 ( .A1(n22570), .A2( \lsu/stbuf/stbuf_addr[3][10] ), .B1(n22572), .B2( \lsu/stbuf/stbuf_addr[3][11] ), .Y(n21483) ); sky130_fd_sc_hd__a221oi_1 U26338 ( .A1(n22570), .A2( \lsu/stbuf/stbuf_addr[3][10] ), .B1(\lsu/stbuf/stbuf_addr[3][11] ), .B2(n22572), .C1(n21483), .Y(n21488) ); sky130_fd_sc_hd__o22ai_1 U26339 ( .A1(\lsu/end_addr_r [8]), .A2( \lsu/stbuf/stbuf_addr[3][8] ), .B1(n22566), .B2(n21484), .Y(n21487) ); sky130_fd_sc_hd__o22ai_1 U26340 ( .A1(\lsu/end_addr_r [5]), .A2( \lsu/stbuf/stbuf_addr[3][5] ), .B1(n22560), .B2(n21485), .Y(n21486) ); sky130_fd_sc_hd__nand4_1 U26341 ( .A(n21489), .B(n21488), .C(n21487), .D( n21486), .Y(n21491) ); sky130_fd_sc_hd__and4_1 U26342 ( .A(n21494), .B(n21585), .C(n21579), .D( n21523), .X(n21524) ); sky130_fd_sc_hd__o22ai_1 U26343 ( .A1(\lsu/end_addr_r [9]), .A2(n21495), .B1(n22568), .B2(\lsu/stbuf/stbuf_addr[1][9] ), .Y(n21502) ); sky130_fd_sc_hd__o22ai_1 U26344 ( .A1(\lsu/end_addr_r [4]), .A2(n21496), .B1(n22558), .B2(\lsu/stbuf/stbuf_addr[1][4] ), .Y(n21501) ); sky130_fd_sc_hd__o22ai_1 U26345 ( .A1(\lsu/end_addr_r [5]), .A2(n21497), .B1(n22560), .B2(\lsu/stbuf/stbuf_addr[1][5] ), .Y(n21500) ); sky130_fd_sc_hd__o22ai_1 U26346 ( .A1(\lsu/end_addr_r [3]), .A2(n21498), .B1(n22556), .B2(\lsu/stbuf/stbuf_addr[1][3] ), .Y(n21499) ); sky130_fd_sc_hd__nor4_1 U26347 ( .A(n21502), .B(n21501), .C(n21500), .D( n21499), .Y(n21522) ); sky130_fd_sc_hd__o22ai_1 U26348 ( .A1(n22580), .A2( \lsu/stbuf/stbuf_addr[1][15] ), .B1(n22570), .B2( \lsu/stbuf/stbuf_addr[1][10] ), .Y(n21503) ); sky130_fd_sc_hd__a221oi_1 U26349 ( .A1(n22580), .A2( \lsu/stbuf/stbuf_addr[1][15] ), .B1(\lsu/stbuf/stbuf_addr[1][10] ), .B2(n22570), .C1(n21503), .Y(n21521) ); sky130_fd_sc_hd__o22ai_1 U26350 ( .A1(\lsu/end_addr_r [2]), .A2(n21504), .B1(n22554), .B2(\lsu/stbuf/stbuf_addr[1][2] ), .Y(n21519) ); sky130_fd_sc_hd__o22ai_1 U26351 ( .A1(\lsu/end_addr_r [14]), .A2(n21505), .B1(n22578), .B2(\lsu/stbuf/stbuf_addr[1][14] ), .Y(n21518) ); sky130_fd_sc_hd__o22ai_1 U26352 ( .A1(n22574), .A2( \lsu/stbuf/stbuf_addr[1][12] ), .B1(n21507), .B2(\lsu/end_addr_r [8]), .Y(n21506) ); sky130_fd_sc_hd__a221oi_1 U26353 ( .A1(n22574), .A2( \lsu/stbuf/stbuf_addr[1][12] ), .B1(\lsu/end_addr_r [8]), .B2(n21507), .C1(n21506), .Y(n21515) ); sky130_fd_sc_hd__o22ai_1 U26354 ( .A1(n22564), .A2( \lsu/stbuf/stbuf_addr[1][7] ), .B1(n21509), .B2(\lsu/end_addr_r [11]), .Y(n21508) ); sky130_fd_sc_hd__a221oi_1 U26355 ( .A1(n22564), .A2( \lsu/stbuf/stbuf_addr[1][7] ), .B1(\lsu/end_addr_r [11]), .B2(n21509), .C1(n21508), .Y(n21514) ); sky130_fd_sc_hd__o22ai_1 U26356 ( .A1(\lsu/end_addr_r [6]), .A2( \lsu/stbuf/stbuf_addr[1][6] ), .B1(n22562), .B2(n21510), .Y(n21513) ); sky130_fd_sc_hd__o22ai_1 U26357 ( .A1(\lsu/end_addr_r [13]), .A2( \lsu/stbuf/stbuf_addr[1][13] ), .B1(n22576), .B2(n21511), .Y(n21512) ); sky130_fd_sc_hd__nand4_1 U26358 ( .A(n21515), .B(n21514), .C(n21513), .D( n21512), .Y(n21516) ); sky130_fd_sc_hd__nor4_1 U26359 ( .A(n21519), .B(n21518), .C(n21517), .D( n21516), .Y(n21520) ); sky130_fd_sc_hd__nand4_1 U26360 ( .A(n21523), .B(n21522), .C(n21521), .D( n21520), .Y(n21591) ); sky130_fd_sc_hd__nand2_1 U26361 ( .A(n21524), .B(n21591), .Y(n21526) ); sky130_fd_sc_hd__nor2_1 U26362 ( .A(n21525), .B(n21526), .Y(n22656) ); sky130_fd_sc_hd__nand2_1 U26363 ( .A(n21699), .B(n21529), .Y(n21527) ); sky130_fd_sc_hd__nand2_1 U26364 ( .A(n21527), .B(n21526), .Y(n22657) ); sky130_fd_sc_hd__nand2_1 U26365 ( .A(n22655), .B(n22659), .Y(n21532) ); sky130_fd_sc_hd__a222oi_1 U26366 ( .A1(n21528), .A2(n21699), .B1(n21580), .B2(n22656), .C1(n22657), .C2(n21593), .Y(n21784) ); sky130_fd_sc_hd__o21ai_1 U26367 ( .A1(n21699), .A2(n21530), .B1(n21529), .Y( n21681) ); sky130_fd_sc_hd__o21ai_1 U26368 ( .A1(n21681), .A2(n21532), .B1(n21531), .Y( n23366) ); sky130_fd_sc_hd__nor2_1 U26369 ( .A(n21784), .B(n23366), .Y(n21540) ); sky130_fd_sc_hd__nor2_1 U26370 ( .A(n21533), .B(n21554), .Y(n23365) ); sky130_fd_sc_hd__clkinv_1 U26371 ( .A(n23366), .Y(n23305) ); sky130_fd_sc_hd__nor2_1 U26372 ( .A(n23305), .B(n21784), .Y(n21542) ); sky130_fd_sc_hd__a22oi_1 U26373 ( .A1(n23147), .A2(n21540), .B1(n23365), .B2(n21542), .Y(n21534) ); sky130_fd_sc_hd__clkinv_1 U26374 ( .A(\lsu/stbuf/stbuf_byteen[0][0] ), .Y( n23364) ); sky130_fd_sc_hd__a21oi_1 U26375 ( .A1(n21534), .A2(n23364), .B1(n21598), .Y( \lsu/stbuf/GenStBuf[0].stbuf_byteenff/din_new[0] ) ); sky130_fd_sc_hd__o21ai_1 U26376 ( .A1(\lsu/stbuf/store_byteen_ext_r[7] ), .A2(n21535), .B1(\lsu/lsu_pkt_r[store] ), .Y(n23336) ); sky130_fd_sc_hd__clkinv_1 U26377 ( .A(n23336), .Y(n21683) ); sky130_fd_sc_hd__nor2_1 U26378 ( .A(n21554), .B(n21536), .Y(n23335) ); sky130_fd_sc_hd__a22oi_1 U26379 ( .A1(n21540), .A2(n21683), .B1(n21542), .B2(n23335), .Y(n21537) ); sky130_fd_sc_hd__a21oi_1 U26380 ( .A1(n21537), .A2(n23334), .B1(n21598), .Y( \lsu/stbuf/GenStBuf[0].stbuf_byteenff/din_new[1] ) ); sky130_fd_sc_hd__nor2_1 U26381 ( .A(n21538), .B(n21554), .Y(n21688) ); sky130_fd_sc_hd__clkinv_1 U26382 ( .A(n21688), .Y(n23275) ); sky130_fd_sc_hd__nand2_1 U26383 ( .A(n23107), .B(n23275), .Y(n23303) ); sky130_fd_sc_hd__nor2_1 U26384 ( .A(n21554), .B(n21539), .Y(n23108) ); sky130_fd_sc_hd__a22oi_1 U26385 ( .A1(n21540), .A2(n23303), .B1(n21542), .B2(n23108), .Y(n21541) ); sky130_fd_sc_hd__clkinv_1 U26386 ( .A(\lsu/stbuf/stbuf_byteen[0][2] ), .Y( n23304) ); sky130_fd_sc_hd__a21oi_1 U26387 ( .A1(n21541), .A2(n23304), .B1(n21598), .Y( \lsu/stbuf/GenStBuf[0].stbuf_byteenff/din_new[2] ) ); sky130_fd_sc_hd__a21oi_1 U26388 ( .A1(n21542), .A2(n23274), .B1( \lsu/stbuf/stbuf_byteen[0][3] ), .Y(n21543) ); sky130_fd_sc_hd__a221oi_1 U26389 ( .A1(n21784), .A2(n21543), .B1(n23275), .B2(n21543), .C1(n21598), .Y( \lsu/stbuf/GenStBuf[0].stbuf_byteenff/din_new[3] ) ); sky130_fd_sc_hd__nand2_1 U26390 ( .A(n21544), .B(n23058), .Y(n21546) ); sky130_fd_sc_hd__nor2_1 U26391 ( .A(scan_mode), .B(dec_tlu_pic_clk_override), .Y(n21560) ); sky130_fd_sc_hd__o211ai_1 U26392 ( .A1(n21547), .A2(n21546), .B1(n21560), .C1(n21545), .Y(\pic_ctrl_inst/gw_config_c1_cgc/clkhdr/enable ) ); sky130_fd_sc_hd__nand2_1 U26393 ( .A(\pic_ctrl_inst/picm_wren_ff ), .B( n21548), .Y(n21550) ); sky130_fd_sc_hd__nand3_1 U26394 ( .A(n21550), .B(n21549), .C(n21560), .Y( \pic_ctrl_inst/pic_int_c1_cgc/clkhdr/enable ) ); sky130_fd_sc_hd__a21oi_1 U26395 ( .A1(\pic_ctrl_inst/picm_wren_ff ), .A2( n21552), .B1(n21551), .Y(n21553) ); sky130_fd_sc_hd__nand2_1 U26396 ( .A(n21560), .B(n21553), .Y( \pic_ctrl_inst/pic_pri_c1_cgc/clkhdr/enable ) ); sky130_fd_sc_hd__nor3_1 U26397 ( .A(dec_tlu_i0_kill_writeb_r), .B(n21555), .C(n21554), .Y(n21557) ); sky130_fd_sc_hd__a21o_1 U26398 ( .A1(n21557), .A2(\lsu/addr_in_pic_r ), .B1( n21556), .X(picm_wren) ); sky130_fd_sc_hd__or3_1 U26399 ( .A(scan_mode), .B(dec_tlu_pic_clk_override), .C(picm_wren), .X(\pic_ctrl_inst/pic_data_c1_cgc/clkhdr/enable ) ); sky130_fd_sc_hd__nor2_1 U26400 ( .A(n21558), .B(n21561), .Y(picm_mken) ); sky130_fd_sc_hd__nor2_1 U26401 ( .A(n21559), .B(n21561), .Y(picm_rden) ); sky130_fd_sc_hd__o21ai_1 U26402 ( .A1(n21562), .A2(n21561), .B1(n21560), .Y( \pic_ctrl_inst/pic_addr_c1_cgc/clkhdr/enable ) ); sky130_fd_sc_hd__nand3_1 U26403 ( .A(n21563), .B(n21575), .C(n24481), .Y( \dbg/sb_free_cgc/clkhdr/enable ) ); sky130_fd_sc_hd__nand2_1 U26404 ( .A(\dbg/dmcontrol_reg [30]), .B(n24497), .Y(n23013) ); sky130_fd_sc_hd__nand2_1 U26405 ( .A(\dbg/dmstatus_reg_9 ), .B( \dbg/dmcontrol_wren_Q ), .Y(n21565) ); sky130_fd_sc_hd__nor2_1 U26406 ( .A(\dbg/dmstatus_reg_9 ), .B( dec_tlu_mpc_halted_only), .Y(n23022) ); sky130_fd_sc_hd__nor3_1 U26407 ( .A(\dbg/dmcontrol_reg_1 ), .B(n21564), .C( n23022), .Y(n23042) ); sky130_fd_sc_hd__o21a_1 U26408 ( .A1(n23013), .A2(n21565), .B1(n23042), .X( n22476) ); sky130_fd_sc_hd__a21oi_1 U26409 ( .A1(\dbg/dmstatus_reg_17 ), .A2(n23037), .B1(n21566), .Y(n21574) ); sky130_fd_sc_hd__nand3_1 U26410 ( .A(n23039), .B(n23026), .C(n23019), .Y( n23021) ); sky130_fd_sc_hd__nand2_1 U26411 ( .A(\dbg/dmcontrol_reg [31]), .B(n24494), .Y(n22480) ); sky130_fd_sc_hd__o22ai_1 U26412 ( .A1(dec_tlu_debug_mode), .A2(n22480), .B1( \dbg/dmcontrol_reg_1 ), .B2(n23022), .Y(n21569) ); sky130_fd_sc_hd__nand2_1 U26413 ( .A(n23026), .B(\dbg/dbg_state [0]), .Y( n23038) ); sky130_fd_sc_hd__nor2_1 U26414 ( .A(n21571), .B(n21567), .Y(n22477) ); sky130_fd_sc_hd__a21oi_1 U26415 ( .A1(n22477), .A2(n23029), .B1(n24494), .Y( n21568) ); sky130_fd_sc_hd__a211oi_1 U26416 ( .A1(n23017), .A2(n21569), .B1( dbg_cmd_valid), .C1(n21568), .Y(n21573) ); sky130_fd_sc_hd__nand2b_1 U26417 ( .A_N(n23029), .B(n21570), .Y(n23012) ); sky130_fd_sc_hd__o21ai_1 U26418 ( .A1(\dbg/dbg_state [2]), .A2( \dbg/dmstatus_reg_9 ), .B1(n21571), .Y(n21572) ); sky130_fd_sc_hd__nand4_1 U26419 ( .A(n21574), .B(n21573), .C(n23012), .D( n21572), .Y(n23018) ); sky130_fd_sc_hd__clkinv_1 U26420 ( .A(n23018), .Y(n23028) ); sky130_fd_sc_hd__o21ai_1 U26421 ( .A1(n23014), .A2(n22476), .B1(n23028), .Y( n23027) ); sky130_fd_sc_hd__nand2_1 U26422 ( .A(n21575), .B(n24481), .Y(n21576) ); sky130_fd_sc_hd__or4_1 U26423 ( .A(n10898), .B(n23027), .C(n23021), .D( n21576), .X(\dbg/dbg_free_cgc/clkhdr/enable ) ); sky130_fd_sc_hd__a21oi_1 U26424 ( .A1(n21578), .A2(n21577), .B1(n22663), .Y( \lsu/stbuf/GenStBuf[3].stbuf_killff/din_new[0] ) ); sky130_fd_sc_hd__nand2_1 U26425 ( .A(n21660), .B(n21579), .Y(n21581) ); sky130_fd_sc_hd__nand2_1 U26426 ( .A(n22655), .B(\lsu/stbuf/WrPtr [1]), .Y( n21669) ); sky130_fd_sc_hd__a222oi_1 U26427 ( .A1(n21581), .A2(n21699), .B1(n22656), .B2(n21586), .C1(n22657), .C2(n21580), .Y(n21781) ); sky130_fd_sc_hd__a21oi_1 U26428 ( .A1(n21781), .A2(n21582), .B1(n22663), .Y( \lsu/stbuf/GenStBuf[3].stbuf_vldff/din_new[0] ) ); sky130_fd_sc_hd__o21a_1 U26429 ( .A1(\lsu/stbuf/stbuf_dma_kill [2]), .A2( n21584), .B1(n21583), .X( \lsu/stbuf/GenStBuf[2].stbuf_killff/din_new[0] ) ); sky130_fd_sc_hd__nand2_1 U26430 ( .A(n21668), .B(n21585), .Y(n21587) ); sky130_fd_sc_hd__nand2_1 U26431 ( .A(n22659), .B(\lsu/stbuf/WrPtr [0]), .Y( n21680) ); sky130_fd_sc_hd__a222oi_1 U26432 ( .A1(n21587), .A2(n21699), .B1(n22656), .B2(n21592), .C1(n22657), .C2(n21586), .Y(n21782) ); sky130_fd_sc_hd__a21oi_1 U26433 ( .A1(n21782), .A2(n21588), .B1(n21676), .Y( \lsu/stbuf/GenStBuf[2].stbuf_vldff/din_new[0] ) ); sky130_fd_sc_hd__o21a_1 U26434 ( .A1(\lsu/stbuf/stbuf_dma_kill [1]), .A2( n21590), .B1(n21589), .X( \lsu/stbuf/GenStBuf[1].stbuf_killff/din_new[0] ) ); sky130_fd_sc_hd__nand2_1 U26435 ( .A(n21679), .B(n21591), .Y(n21594) ); sky130_fd_sc_hd__a222oi_1 U26436 ( .A1(n21594), .A2(n21699), .B1(n21593), .B2(n22656), .C1(n22657), .C2(n21592), .Y(n21783) ); sky130_fd_sc_hd__a21oi_1 U26437 ( .A1(n21783), .A2(n21595), .B1(n21690), .Y( \lsu/stbuf/GenStBuf[1].stbuf_vldff/din_new[0] ) ); sky130_fd_sc_hd__o21a_1 U26438 ( .A1(\lsu/stbuf/stbuf_dma_kill [0]), .A2( n21597), .B1(n21596), .X( \lsu/stbuf/GenStBuf[0].stbuf_killff/din_new[0] ) ); sky130_fd_sc_hd__a21oi_1 U26439 ( .A1(n21784), .A2(n21599), .B1(n21598), .Y( \lsu/stbuf/GenStBuf[0].stbuf_vldff/din_new[0] ) ); sky130_fd_sc_hd__nor2_1 U26440 ( .A(n21658), .B(n21657), .Y(n21600) ); sky130_fd_sc_hd__xnor2_1 U26441 ( .A(exu_i0_pc_x[13]), .B(n21600), .Y( pred_correct_npc_x[13]) ); sky130_fd_sc_hd__nand2_1 U26442 ( .A(n21657), .B(exu_i0_pc_x[13]), .Y(n21601) ); sky130_fd_sc_hd__nand2_1 U26443 ( .A(n21601), .B(n21603), .Y(n21602) ); sky130_fd_sc_hd__xor2_1 U26444 ( .A(exu_i0_pc_x[14]), .B(n21602), .X( pred_correct_npc_x[14]) ); sky130_fd_sc_hd__nand3_1 U26445 ( .A(n21657), .B(exu_i0_pc_x[14]), .C( exu_i0_pc_x[13]), .Y(n21605) ); sky130_fd_sc_hd__o21ai_1 U26446 ( .A1(exu_i0_pc_x[14]), .A2(n21603), .B1( n21605), .Y(n21604) ); sky130_fd_sc_hd__xor2_1 U26447 ( .A(exu_i0_pc_x[15]), .B(n21604), .X( pred_correct_npc_x[15]) ); sky130_fd_sc_hd__nor2_1 U26448 ( .A(n21606), .B(n21605), .Y(n21610) ); sky130_fd_sc_hd__a21oi_1 U26449 ( .A1(n21607), .A2(n21606), .B1(n21610), .Y( n21608) ); sky130_fd_sc_hd__xnor2_1 U26450 ( .A(exu_i0_pc_x[16]), .B(n21608), .Y( pred_correct_npc_x[16]) ); sky130_fd_sc_hd__a21oi_1 U26451 ( .A1(exu_i0_pc_x[16]), .A2(n21610), .B1( n21613), .Y(n21609) ); sky130_fd_sc_hd__xor2_1 U26452 ( .A(n21612), .B(n21609), .X( pred_correct_npc_x[17]) ); sky130_fd_sc_hd__nand2_1 U26453 ( .A(exu_i0_pc_x[16]), .B(n21610), .Y(n21611) ); sky130_fd_sc_hd__nor2_1 U26454 ( .A(n21612), .B(n21611), .Y(n21617) ); sky130_fd_sc_hd__a21oi_1 U26455 ( .A1(n21613), .A2(n21612), .B1(n21617), .Y( n21614) ); sky130_fd_sc_hd__xnor2_1 U26456 ( .A(exu_i0_pc_x[18]), .B(n21614), .Y( pred_correct_npc_x[18]) ); sky130_fd_sc_hd__a21oi_1 U26457 ( .A1(exu_i0_pc_x[18]), .A2(n21617), .B1( n21615), .Y(n21616) ); sky130_fd_sc_hd__xor2_1 U26458 ( .A(n21619), .B(n21616), .X( pred_correct_npc_x[19]) ); sky130_fd_sc_hd__nand2_1 U26459 ( .A(exu_i0_pc_x[18]), .B(n21617), .Y(n21618) ); sky130_fd_sc_hd__nor2_1 U26460 ( .A(n21619), .B(n21618), .Y(n21620) ); sky130_fd_sc_hd__and2_0 U26461 ( .A(exu_i0_pc_x[20]), .B(n21620), .X(n21623) ); sky130_fd_sc_hd__o21ai_1 U26462 ( .A1(exu_i0_pc_x[20]), .A2(n21620), .B1( n21621), .Y(n21622) ); sky130_fd_sc_hd__o22ai_1 U26463 ( .A1(n21623), .A2(n21622), .B1( exu_i0_pc_x[20]), .B2(n21621), .Y(pred_correct_npc_x[20]) ); sky130_fd_sc_hd__nand2_1 U26464 ( .A(exu_i0_pc_x[21]), .B(n21623), .Y(n21628) ); sky130_fd_sc_hd__o21ai_1 U26465 ( .A1(exu_i0_pc_x[21]), .A2(n21623), .B1( n21628), .Y(n21624) ); sky130_fd_sc_hd__o21ai_1 U26466 ( .A1(n21625), .A2(n21624), .B1(n21626), .Y( pred_correct_npc_x[21]) ); sky130_fd_sc_hd__nand2_1 U26467 ( .A(n21628), .B(n21626), .Y(n21627) ); sky130_fd_sc_hd__xor2_1 U26468 ( .A(exu_i0_pc_x[22]), .B(n21627), .X( pred_correct_npc_x[22]) ); sky130_fd_sc_hd__nor2b_1 U26469 ( .B_N(exu_i0_pc_x[22]), .A(n21628), .Y( n21629) ); sky130_fd_sc_hd__nand3b_1 U26470 ( .A_N(n21628), .B(exu_i0_pc_x[23]), .C( exu_i0_pc_x[22]), .Y(n21635) ); sky130_fd_sc_hd__o21ai_1 U26471 ( .A1(exu_i0_pc_x[23]), .A2(n21629), .B1( n21635), .Y(n21630) ); sky130_fd_sc_hd__o21ai_1 U26472 ( .A1(n21631), .A2(n21630), .B1(n21632), .Y( pred_correct_npc_x[23]) ); sky130_fd_sc_hd__clkinv_1 U26473 ( .A(exu_i0_pc_x[24]), .Y(n21636) ); sky130_fd_sc_hd__nand2_1 U26474 ( .A(n21635), .B(n21632), .Y(n21634) ); sky130_fd_sc_hd__nand2_1 U26475 ( .A(n21634), .B(n21636), .Y(n21633) ); sky130_fd_sc_hd__o21ai_1 U26476 ( .A1(n21636), .A2(n21634), .B1(n21633), .Y( pred_correct_npc_x[24]) ); sky130_fd_sc_hd__nor2_1 U26477 ( .A(n21636), .B(n21635), .Y(n21637) ); sky130_fd_sc_hd__nor2_1 U26478 ( .A(exu_i0_pc_x[25]), .B(n21637), .Y(n21638) ); sky130_fd_sc_hd__o31ai_1 U26479 ( .A1(n21640), .A2(n21639), .A3(n21638), .B1(n21642), .Y(pred_correct_npc_x[25]) ); sky130_fd_sc_hd__o211ai_1 U26480 ( .A1(exu_i0_pc_x[26]), .A2(n21640), .B1( n21642), .C1(n21645), .Y(n21641) ); sky130_fd_sc_hd__o21ai_1 U26481 ( .A1(exu_i0_pc_x[26]), .A2(n21642), .B1( n21641), .Y(pred_correct_npc_x[26]) ); sky130_fd_sc_hd__a211o_1 U26482 ( .A1(n21645), .A2(n21644), .B1(n21643), .C1(n21648), .X(n21646) ); sky130_fd_sc_hd__nand2_1 U26483 ( .A(n21649), .B(n21646), .Y( pred_correct_npc_x[27]) ); sky130_fd_sc_hd__clkinv_1 U26484 ( .A(n21647), .Y(n21651) ); sky130_fd_sc_hd__a21oi_1 U26485 ( .A1(exu_i0_pc_x[28]), .A2(n21649), .B1( n21648), .Y(n21650) ); sky130_fd_sc_hd__o21bai_1 U26486 ( .A1(n21651), .A2(n21650), .B1_N(n21653), .Y(pred_correct_npc_x[28]) ); sky130_fd_sc_hd__nor2_1 U26487 ( .A(n21653), .B(n21651), .Y(n21654) ); sky130_fd_sc_hd__o22ai_1 U26488 ( .A1(exu_i0_pc_x[29]), .A2(n21654), .B1( n21653), .B2(n21652), .Y(pred_correct_npc_x[29]) ); sky130_fd_sc_hd__clkinv_1 U26489 ( .A(exu_i0_pc_x[30]), .Y(n21656) ); sky130_fd_sc_hd__a221oi_1 U26490 ( .A1(n21658), .A2(exu_i0_pc_x[30]), .B1( n21657), .B2(n21656), .C1(n21655), .Y(n21659) ); sky130_fd_sc_hd__xor2_1 U26491 ( .A(exu_i0_pc_x[31]), .B(n21659), .X( pred_correct_npc_x[31]) ); sky130_fd_sc_hd__o21ai_1 U26492 ( .A1(n21681), .A2(n21661), .B1(n21660), .Y( n23148) ); sky130_fd_sc_hd__nor2_1 U26493 ( .A(n21781), .B(n23148), .Y(n21664) ); sky130_fd_sc_hd__clkinv_1 U26494 ( .A(n23148), .Y(n23109) ); sky130_fd_sc_hd__nor2_1 U26495 ( .A(n23109), .B(n21781), .Y(n21666) ); sky130_fd_sc_hd__a22oi_1 U26496 ( .A1(n23147), .A2(n21664), .B1(n23365), .B2(n21666), .Y(n21662) ); sky130_fd_sc_hd__a21oi_1 U26497 ( .A1(n21662), .A2(n23146), .B1(n22663), .Y( \lsu/stbuf/GenStBuf[3].stbuf_byteenff/din_new[0] ) ); sky130_fd_sc_hd__a22oi_1 U26498 ( .A1(n21666), .A2(n23335), .B1(n21664), .B2(n21683), .Y(n21663) ); sky130_fd_sc_hd__clkinv_1 U26499 ( .A(\lsu/stbuf/stbuf_byteen[3][1] ), .Y( n23123) ); sky130_fd_sc_hd__a21oi_1 U26500 ( .A1(n21663), .A2(n23123), .B1(n22663), .Y( \lsu/stbuf/GenStBuf[3].stbuf_byteenff/din_new[1] ) ); sky130_fd_sc_hd__a22oi_1 U26501 ( .A1(n21666), .A2(n23108), .B1(n21664), .B2(n23303), .Y(n21665) ); sky130_fd_sc_hd__clkinv_1 U26502 ( .A(\lsu/stbuf/stbuf_byteen[3][2] ), .Y( n23105) ); sky130_fd_sc_hd__a21oi_1 U26503 ( .A1(n21665), .A2(n23105), .B1(n22663), .Y( \lsu/stbuf/GenStBuf[3].stbuf_byteenff/din_new[2] ) ); sky130_fd_sc_hd__a21oi_1 U26504 ( .A1(n21666), .A2(n23274), .B1( \lsu/stbuf/stbuf_byteen[3][3] ), .Y(n21667) ); sky130_fd_sc_hd__a221oi_1 U26505 ( .A1(n21781), .A2(n21667), .B1(n23275), .B2(n21667), .C1(n22663), .Y( \lsu/stbuf/GenStBuf[3].stbuf_byteenff/din_new[3] ) ); sky130_fd_sc_hd__o21ai_1 U26506 ( .A1(n21681), .A2(n21669), .B1(n21668), .Y( n23211) ); sky130_fd_sc_hd__nor2_1 U26507 ( .A(n21782), .B(n23211), .Y(n21675) ); sky130_fd_sc_hd__nor2_1 U26508 ( .A(n23186), .B(n21782), .Y(n21674) ); sky130_fd_sc_hd__a22oi_1 U26509 ( .A1(n23147), .A2(n21675), .B1(n23365), .B2(n21674), .Y(n21670) ); sky130_fd_sc_hd__a21oi_1 U26510 ( .A1(n21670), .A2(n23210), .B1(n21676), .Y( \lsu/stbuf/GenStBuf[2].stbuf_byteenff/din_new[0] ) ); sky130_fd_sc_hd__a22oi_1 U26511 ( .A1(n21674), .A2(n23335), .B1(n21675), .B2(n21683), .Y(n21671) ); sky130_fd_sc_hd__a21oi_1 U26512 ( .A1(n21671), .A2(n23198), .B1(n21676), .Y( \lsu/stbuf/GenStBuf[2].stbuf_byteenff/din_new[1] ) ); sky130_fd_sc_hd__a22oi_1 U26513 ( .A1(n21674), .A2(n23108), .B1(n21675), .B2(n23303), .Y(n21672) ); sky130_fd_sc_hd__a21oi_1 U26514 ( .A1(n21672), .A2(n23185), .B1(n21676), .Y( \lsu/stbuf/GenStBuf[2].stbuf_byteenff/din_new[2] ) ); sky130_fd_sc_hd__clkinv_1 U26515 ( .A(n21673), .Y(n21686) ); sky130_fd_sc_hd__a22oi_1 U26516 ( .A1(n21675), .A2(n21688), .B1(n21674), .B2(n21686), .Y(n21678) ); sky130_fd_sc_hd__a21oi_1 U26517 ( .A1(n21678), .A2(n21677), .B1(n21676), .Y( \lsu/stbuf/GenStBuf[2].stbuf_byteenff/din_new[3] ) ); sky130_fd_sc_hd__o21ai_1 U26518 ( .A1(n21681), .A2(n21680), .B1(n21679), .Y( n23261) ); sky130_fd_sc_hd__nor2_1 U26519 ( .A(n21783), .B(n23261), .Y(n21689) ); sky130_fd_sc_hd__clkinv_1 U26520 ( .A(n23261), .Y(n23236) ); sky130_fd_sc_hd__nor2_1 U26521 ( .A(n23236), .B(n21783), .Y(n21687) ); sky130_fd_sc_hd__a22oi_1 U26522 ( .A1(n23147), .A2(n21689), .B1(n23365), .B2(n21687), .Y(n21682) ); sky130_fd_sc_hd__a21oi_1 U26523 ( .A1(n21682), .A2(n23260), .B1(n21690), .Y( \lsu/stbuf/GenStBuf[1].stbuf_byteenff/din_new[0] ) ); sky130_fd_sc_hd__a22oi_1 U26524 ( .A1(n21687), .A2(n23335), .B1(n21689), .B2(n21683), .Y(n21684) ); sky130_fd_sc_hd__a21oi_1 U26525 ( .A1(n21684), .A2(n23248), .B1(n21690), .Y( \lsu/stbuf/GenStBuf[1].stbuf_byteenff/din_new[1] ) ); sky130_fd_sc_hd__a22oi_1 U26526 ( .A1(n21687), .A2(n23108), .B1(n21689), .B2(n23303), .Y(n21685) ); sky130_fd_sc_hd__clkinv_1 U26527 ( .A(\lsu/stbuf/stbuf_byteen[1][2] ), .Y( n23235) ); sky130_fd_sc_hd__a21oi_1 U26528 ( .A1(n21685), .A2(n23235), .B1(n21690), .Y( \lsu/stbuf/GenStBuf[1].stbuf_byteenff/din_new[2] ) ); sky130_fd_sc_hd__a22oi_1 U26529 ( .A1(n21689), .A2(n21688), .B1(n21687), .B2(n21686), .Y(n21692) ); sky130_fd_sc_hd__a21oi_1 U26530 ( .A1(n21692), .A2(n21691), .B1(n21690), .Y( \lsu/stbuf/GenStBuf[1].stbuf_byteenff/din_new[3] ) ); sky130_fd_sc_hd__nor3_1 U26531 ( .A(\lsu/lsu_pkt_r[valid] ), .B( \lsu/stbuf/stbuf_vld [3]), .C(\lsu/stbuf/stbuf_vld [0]), .Y(n21694) ); sky130_fd_sc_hd__nor4_1 U26532 ( .A(\lsu/stbuf/stbuf_vld [1]), .B( \lsu/stbuf/stbuf_vld [2]), .C(\lsu/lsu_pkt_m[valid] ), .D( dec_tlu_lsu_clk_override), .Y(n21693) ); sky130_fd_sc_hd__nand4_1 U26533 ( .A(n21695), .B( \lsu/lsu_bus_buffer_empty_any ), .C(n21694), .D(n21693), .Y( \lsu/clkdomain/lsu_free_c1_clken ) ); sky130_fd_sc_hd__nor2_1 U26534 ( .A(scan_mode), .B(dec_tlu_lsu_clk_override), .Y(n21700) ); sky130_fd_sc_hd__or3_1 U26535 ( .A(\lsu/clkdomain/lsu_free_c1_clken_q ), .B( \lsu/clkdomain/lsu_free_c1_clken ), .C(n21702), .X( \lsu/clkdomain/lsu_free_cgc/clkhdr/enable ) ); sky130_fd_sc_hd__nor2_1 U26536 ( .A(n21702), .B(\lsu/lsu_busreq_r ), .Y( n21696) ); sky130_fd_sc_hd__nand2_1 U26537 ( .A(\lsu/lsu_bus_buffer_empty_any ), .B( n21696), .Y(\lsu/clkdomain/lsu_bus_buf_c1_cgc/clkhdr/enable ) ); sky130_fd_sc_hd__clkinv_1 U26538 ( .A(n21696), .Y( \lsu/clkdomain/lsu_bus_ibuf_c1_cgc/clkhdr/enable ) ); sky130_fd_sc_hd__o21a_1 U26539 ( .A1(\lsu/lsu_bus_buffer_pend_any ), .A2( \lsu/clkdomain/lsu_bus_ibuf_c1_cgc/clkhdr/enable ), .B1( \lsu/clkdomain/lsu_busm_cgc/clkhdr/enable ), .X( \lsu/clkdomain/lsu_bus_obuf_c1_cgc/clkhdr/enable ) ); sky130_fd_sc_hd__nand4b_1 U26540 ( .A_N(n21699), .B(n21700), .C(n21698), .D( n21697), .Y(\lsu/clkdomain/lsu_stbuf_c1_cgc/clkhdr/enable ) ); sky130_fd_sc_hd__o21ai_1 U26541 ( .A1(n21703), .A2(n25067), .B1(n21700), .Y( \lsu/clkdomain/lsu_store_c1r_cgc/clkhdr/enable ) ); sky130_fd_sc_hd__a21o_1 U26542 ( .A1(\lsu/lsu_pkt_d[store] ), .A2( \lsu/clkdomain/lsu_c1_m_clken ), .B1(n21702), .X( \lsu/clkdomain/lsu_store_c1m_cgc/clkhdr/enable ) ); sky130_fd_sc_hd__clkinv_1 U26543 ( .A(n21703), .Y( \lsu/clkdomain/lsu_c1_r_clken ) ); sky130_fd_sc_hd__nor2_1 U26544 ( .A(scan_mode), .B(\exu/i_div/_0_net_ ), .Y( n21791) ); sky130_fd_sc_hd__or4_1 U26545 ( .A(\exu/i_div/run_state ), .B( \exu/i_div/finish_ff ), .C(n21704), .D( \exu/i_div/mff/genblock.genblock.clkhdr/clkhdr/enable ), .X( \exu/i_div/exu_div_cgc/clkhdr/enable ) ); sky130_fd_sc_hd__a22oi_1 U26546 ( .A1(n25080), .A2(\exu/ghr_x [0]), .B1( \exu/i0_predict_p_d[valid] ), .B2(\exu/i0_taken_d ), .Y(n21725) ); sky130_fd_sc_hd__o21ai_1 U26547 ( .A1(n24521), .A2(n21727), .B1(n21725), .Y( \exu/ghr_d_ns [0]) ); sky130_fd_sc_hd__a22oi_1 U26548 ( .A1(n25080), .A2(\exu/ghr_x [2]), .B1( \exu/i0_predict_p_d[valid] ), .B2(\exu/ghr_d [1]), .Y(n21717) ); sky130_fd_sc_hd__o21ai_1 U26549 ( .A1(n21727), .A2(n24525), .B1(n21717), .Y( \exu/ghr_d_ns [2]) ); sky130_fd_sc_hd__clkinv_1 U26550 ( .A(\exu/ghr_d [4]), .Y(n24529) ); sky130_fd_sc_hd__a22oi_1 U26551 ( .A1(n25080), .A2(\exu/ghr_x [4]), .B1( \exu/i0_predict_p_d[valid] ), .B2(\exu/ghr_d [3]), .Y(n21711) ); sky130_fd_sc_hd__o21ai_1 U26552 ( .A1(n21727), .A2(n24529), .B1(n21711), .Y( \exu/ghr_d_ns [4]) ); sky130_fd_sc_hd__clkinv_1 U26553 ( .A(\exu/ghr_d [1]), .Y(n24523) ); sky130_fd_sc_hd__a22oi_1 U26554 ( .A1(n25080), .A2(\exu/ghr_x [1]), .B1( \exu/ghr_d [0]), .B2(\exu/i0_predict_p_d[valid] ), .Y(n21706) ); sky130_fd_sc_hd__o21ai_1 U26555 ( .A1(n21727), .A2(n24523), .B1(n21706), .Y( \exu/ghr_d_ns [1]) ); sky130_fd_sc_hd__clkinv_1 U26556 ( .A(\exu/ghr_d [6]), .Y(n24533) ); sky130_fd_sc_hd__a22oi_1 U26557 ( .A1(n25080), .A2(\exu/ghr_x [6]), .B1( \exu/i0_predict_p_d[valid] ), .B2(\exu/ghr_d [5]), .Y(n21705) ); sky130_fd_sc_hd__o21ai_1 U26558 ( .A1(n21727), .A2(n24533), .B1(n21705), .Y( \exu/ghr_d_ns [6]) ); sky130_fd_sc_hd__clkinv_1 U26559 ( .A(\exu/ghr_d [3]), .Y(n24527) ); sky130_fd_sc_hd__a22oi_1 U26560 ( .A1(n25080), .A2(\exu/ghr_x [3]), .B1( \exu/i0_predict_p_d[valid] ), .B2(\exu/ghr_d [2]), .Y(n21708) ); sky130_fd_sc_hd__o21ai_1 U26561 ( .A1(n21727), .A2(n24527), .B1(n21708), .Y( \exu/ghr_d_ns [3]) ); sky130_fd_sc_hd__a22oi_1 U26562 ( .A1(n25080), .A2(\exu/ghr_x [7]), .B1( \exu/i0_predict_p_d[valid] ), .B2(\exu/ghr_d [6]), .Y(n21707) ); sky130_fd_sc_hd__o21ai_1 U26563 ( .A1(n21727), .A2(n24535), .B1(n21707), .Y( \exu/ghr_d_ns [7]) ); sky130_fd_sc_hd__o22ai_1 U26564 ( .A1(\exu/ghr_d [1]), .A2(n21706), .B1( \exu/ghr_d [6]), .B2(n21705), .Y(n21715) ); sky130_fd_sc_hd__o22ai_1 U26565 ( .A1(\exu/ghr_d [3]), .A2(n21708), .B1( \exu/ghr_d [7]), .B2(n21707), .Y(n21714) ); sky130_fd_sc_hd__o22ai_1 U26566 ( .A1(n21710), .A2(\mul_p[valid] ), .B1( n24520), .B2(\exu/flush_lower_ff ), .Y(n21709) ); sky130_fd_sc_hd__a221o_1 U26567 ( .A1(n21710), .A2(\mul_p[valid] ), .B1( \exu/flush_lower_ff ), .B2(n24520), .C1(n21709), .X(n21713) ); sky130_fd_sc_hd__o21ai_1 U26568 ( .A1(\exu/ghr_d [4]), .A2(n21711), .B1( n21804), .Y(n21712) ); sky130_fd_sc_hd__nor4_1 U26569 ( .A(n21715), .B(n21714), .C(n21713), .D( n21712), .Y(n21716) ); sky130_fd_sc_hd__o21ai_1 U26570 ( .A1(n24525), .A2(\exu/ghr_d_ns [2]), .B1( n21716), .Y(n21723) ); sky130_fd_sc_hd__o22ai_1 U26571 ( .A1(\exu/ghr_d [2]), .A2(n21717), .B1( \exu/ghr_d_ns [4]), .B2(n24529), .Y(n21722) ); sky130_fd_sc_hd__o22ai_1 U26572 ( .A1(n24523), .A2(\exu/ghr_d_ns [1]), .B1( n24533), .B2(\exu/ghr_d_ns [6]), .Y(n21721) ); sky130_fd_sc_hd__a22oi_1 U26573 ( .A1(n25080), .A2(\exu/ghr_x [5]), .B1( \exu/i0_predict_p_d[valid] ), .B2(\exu/ghr_d [4]), .Y(n21726) ); sky130_fd_sc_hd__o22ai_1 U26574 ( .A1(n24527), .A2(\exu/ghr_d_ns [3]), .B1( n24535), .B2(\exu/ghr_d_ns [7]), .Y(n21718) ); sky130_fd_sc_hd__a31oi_1 U26575 ( .A1(n21726), .A2(\exu/ghr_d [5]), .A3( n21727), .B1(n21718), .Y(n21719) ); sky130_fd_sc_hd__o21ai_1 U26576 ( .A1(n21726), .A2(\exu/ghr_d [5]), .B1( n21719), .Y(n21720) ); sky130_fd_sc_hd__nor4_1 U26577 ( .A(n21723), .B(n21722), .C(n21721), .D( n21720), .Y(n21724) ); sky130_fd_sc_hd__o221ai_1 U26578 ( .A1(\exu/ghr_d [0]), .A2(n21725), .B1( n24521), .B2(\exu/ghr_d_ns [0]), .C1(n21724), .Y( \exu/genblk1.i_data_gate_ff/genblock.genblock.clkhdr/clkhdr/enable ) ); sky130_fd_sc_hd__clkinv_1 U26579 ( .A(\exu/ghr_d [5]), .Y(n24531) ); sky130_fd_sc_hd__o21ai_1 U26580 ( .A1(n24531), .A2(n21727), .B1(n21726), .Y( \exu/ghr_d_ns [5]) ); sky130_fd_sc_hd__a21oi_1 U26581 ( .A1(\dec/decode/leak1_i1_stall ), .A2( dec_i0_decode_d), .B1(\dec/decode/leak1_i0_stall ), .Y(n21738) ); sky130_fd_sc_hd__nor2_1 U26582 ( .A(n25080), .B(n21738), .Y( \dec/decode/leak1_i0_stall_in ) ); sky130_fd_sc_hd__o21ai_1 U26583 ( .A1(n25080), .A2(n21744), .B1(n21740), .Y( \dec/decode/leak1_i1_stall_in ) ); sky130_fd_sc_hd__nor4_1 U26584 ( .A(\dec/decode/write_csr_data [23]), .B( \dec/decode/write_csr_data [24]), .C(\dec/decode/write_csr_data [25]), .D(\dec/decode/write_csr_data [26]), .Y(n21731) ); sky130_fd_sc_hd__nor4_1 U26585 ( .A(\dec/decode/write_csr_data [19]), .B( \dec/decode/write_csr_data [20]), .C(\dec/decode/write_csr_data [21]), .D(\dec/decode/write_csr_data [22]), .Y(n21730) ); sky130_fd_sc_hd__or4_1 U26586 ( .A(\dec/decode/write_csr_data [10]), .B( \dec/decode/write_csr_data [11]), .C(\dec/decode/write_csr_data [7]), .D(\dec/decode/write_csr_data [8]), .X(n21728) ); sky130_fd_sc_hd__nor2_1 U26587 ( .A(\dec/decode/write_csr_data [9]), .B( n21728), .Y(n24575) ); sky130_fd_sc_hd__nor4_1 U26588 ( .A(\dec/decode/write_csr_data [29]), .B( \dec/decode/write_csr_data [30]), .C(\dec/decode/write_csr_data [27]), .D(\dec/decode/write_csr_data [28]), .Y(n21729) ); sky130_fd_sc_hd__nand4_1 U26589 ( .A(n21731), .B(n21730), .C(n24575), .D( n21729), .Y(n21737) ); sky130_fd_sc_hd__nor4_1 U26590 ( .A(\dec/decode/write_csr_data [2]), .B( \dec/decode/write_csr_data [3]), .C(\dec/decode/write_csr_data [4]), .D(\dec/decode/write_csr_data [5]), .Y(n21735) ); sky130_fd_sc_hd__nor3_1 U26591 ( .A(\dec/decode/write_csr_data [31]), .B( \dec/decode/write_csr_data [1]), .C(n24780), .Y(n21734) ); sky130_fd_sc_hd__nor4_1 U26592 ( .A(\dec/decode/write_csr_data [15]), .B( \dec/decode/write_csr_data [16]), .C(\dec/decode/write_csr_data [17]), .D(\dec/decode/write_csr_data [18]), .Y(n21733) ); sky130_fd_sc_hd__nor4_1 U26593 ( .A(\dec/decode/write_csr_data [6]), .B( \dec/decode/write_csr_data [12]), .C(\dec/decode/write_csr_data [13]), .D(\dec/decode/write_csr_data [14]), .Y(n21732) ); sky130_fd_sc_hd__nand4_1 U26594 ( .A(n21735), .B(n21734), .C(n21733), .D( n21732), .Y(n21736) ); sky130_fd_sc_hd__o22ai_1 U26595 ( .A1(\dec/dec_tlu_flush_pause_r ), .A2( n24520), .B1(n21737), .B2(n21736), .Y(n21771) ); sky130_fd_sc_hd__clkinv_1 U26596 ( .A(\dec/dec_tlu_wr_pause_r ), .Y(n24573) ); sky130_fd_sc_hd__nor2_1 U26597 ( .A(n24573), .B(\dec/dec_pause_state ), .Y( n24793) ); sky130_fd_sc_hd__a21oi_1 U26598 ( .A1(\dec/decode/leak1_i0_stall ), .A2( n24520), .B1(n21738), .Y(n21748) ); sky130_fd_sc_hd__a21oi_1 U26599 ( .A1(\dec/decode/tlu_wr_pause_r1 ), .A2( \dec/dec_tlu_wr_pause_r ), .B1(n21739), .Y(n21747) ); sky130_fd_sc_hd__o21ai_1 U26600 ( .A1(\dec/decode/tlu_wr_pause_r2 ), .A2( n24573), .B1(n21804), .Y(n21746) ); sky130_fd_sc_hd__o22ai_1 U26601 ( .A1(\dec/dec_tlu_flush_extint ), .A2( n21742), .B1(n21740), .B2(\dec/decode/leak1_i1_stall ), .Y(n21741) ); sky130_fd_sc_hd__a21oi_1 U26602 ( .A1(\dec/dec_tlu_flush_extint ), .A2( n21742), .B1(n21741), .Y(n21743) ); sky130_fd_sc_hd__o21ai_1 U26603 ( .A1(n21744), .A2( \dec/decode/leak1_i1_stall_in ), .B1(n21743), .Y(n21745) ); sky130_fd_sc_hd__nor4_1 U26604 ( .A(n21748), .B(n21747), .C(n21746), .D( n21745), .Y(n21749) ); sky130_fd_sc_hd__o21ai_1 U26605 ( .A1(n24751), .A2(n21771), .B1(n21749), .Y( n21750) ); sky130_fd_sc_hd__a21oi_1 U26606 ( .A1(\dec/dec_pause_state ), .A2(n21771), .B1(n21750), .Y(n21764) ); sky130_fd_sc_hd__nand4_1 U26607 ( .A(n21752), .B(n21751), .C( \exu/i0_predict_p_d[toffset][6] ), .D(\exu/i0_predict_p_d[toffset][5] ), .Y(n21753) ); sky130_fd_sc_hd__nor4_1 U26608 ( .A(n24021), .B(n21755), .C(n21754), .D( n21753), .Y(n21763) ); sky130_fd_sc_hd__a211oi_1 U26609 ( .A1(dbg_cmd_wrdata[0]), .A2(n21757), .B1( n21756), .C1(\dec/dec_tlu_postsync_d ), .Y(n21760) ); sky130_fd_sc_hd__nand2_1 U26610 ( .A(n24053), .B(n24054), .Y(n21758) ); sky130_fd_sc_hd__nand4_1 U26611 ( .A(n21761), .B(n21760), .C(n21759), .D( n21758), .Y(n21762) ); sky130_fd_sc_hd__o31ai_1 U26612 ( .A1(n21763), .A2(n24025), .A3(n21762), .B1(dec_i0_decode_d), .Y(n21768) ); sky130_fd_sc_hd__nand2_1 U26613 ( .A(n21764), .B(n21768), .Y(n21765) ); sky130_fd_sc_hd__a21oi_1 U26614 ( .A1(\dec/dec_pmu_postsync_stall ), .A2( n21770), .B1(n21765), .Y(n21767) ); sky130_fd_sc_hd__o21ai_1 U26615 ( .A1(\dec/decode/illegal_lockout ), .A2( n21805), .B1(\dec/decode/flush_final_r ), .Y(n21766) ); sky130_fd_sc_hd__o211ai_1 U26616 ( .A1(n21805), .A2( \dec/decode/flush_final_r ), .B1(n21767), .C1(n21766), .Y( \dec/decode/data_gated_cgc/clkhdr/enable ) ); sky130_fd_sc_hd__o21ai_1 U26617 ( .A1(n21770), .A2(n21769), .B1(n21768), .Y( \dec/decode/ps_stall_in ) ); sky130_fd_sc_hd__nor2_1 U26618 ( .A(\dec/dec_pause_state ), .B( \dec/dec_tlu_wr_pause_r ), .Y(n24572) ); sky130_fd_sc_hd__nor2_1 U26619 ( .A(n24572), .B(n21771), .Y( \dec/decode/pause_state_in ) ); sky130_fd_sc_hd__nand2_1 U26620 ( .A(n21804), .B(n21772), .Y( \dbg/dbg_data1_reg/genblock.genblock.clkhdr/clkhdr/enable ) ); sky130_fd_sc_hd__nand3_1 U26621 ( .A(n21804), .B(n21774), .C(n21773), .Y( \dbg/dbg_data0_reg/genblock.genblock.clkhdr/clkhdr/enable ) ); sky130_fd_sc_hd__o21ai_1 U26622 ( .A1(n23014), .A2(n21775), .B1(n21804), .Y( \dbg/dmcommand_reg/genblock.genblock.clkhdr/clkhdr/enable ) ); sky130_fd_sc_hd__nand3_1 U26623 ( .A(n21804), .B(n21777), .C(n21776), .Y( \dbg/dbg_sbaddress0_reg/genblock.genblock.clkhdr/clkhdr/enable ) ); sky130_fd_sc_hd__nand3_1 U26624 ( .A(n21804), .B(n21780), .C(n21778), .Y( \dbg/dbg_sbdata1_reg/genblock.genblock.clkhdr/clkhdr/enable ) ); sky130_fd_sc_hd__nand3_1 U26625 ( .A(n21804), .B(n21780), .C(n21779), .Y( \dbg/dbg_sbdata0_reg/genblock.genblock.clkhdr/clkhdr/enable ) ); sky130_fd_sc_hd__nand2_1 U26626 ( .A(n21781), .B(n21804), .Y( \lsu/stbuf/GenStBuf[3].stbuf_addrff/genblock.genblock.clkhdr/clkhdr/enable ) ); sky130_fd_sc_hd__nand2_1 U26627 ( .A(n21782), .B(n21804), .Y( \lsu/stbuf/GenStBuf[2].stbuf_addrff/genblock.genblock.clkhdr/clkhdr/enable ) ); sky130_fd_sc_hd__nand2_1 U26628 ( .A(n21783), .B(n21804), .Y( \lsu/stbuf/GenStBuf[1].stbuf_addrff/genblock.genblock.clkhdr/clkhdr/enable ) ); sky130_fd_sc_hd__nand2_1 U26629 ( .A(n21784), .B(n21804), .Y( \lsu/stbuf/GenStBuf[0].stbuf_addrff/genblock.genblock.clkhdr/clkhdr/enable ) ); sky130_fd_sc_hd__a211oi_1 U26630 ( .A1(n21787), .A2(n21786), .B1( \lsu/lsu_double_ecc_error_r ), .C1(n21785), .Y(lsu_fastint_stall_any) ); sky130_fd_sc_hd__nand2b_1 U26631 ( .A_N(lsu_fastint_stall_any), .B(n21804), .Y( \lsu/dccm_ctl/ld_sec_addr_hi_rff/genblock.genblock.clkhdr/clkhdr/enable ) ); sky130_fd_sc_hd__nand2b_1 U26632 ( .A_N(\exu/i_div/shortq_enable ), .B( \exu/i_div/run_state ), .Y(n21790) ); sky130_fd_sc_hd__o211ai_1 U26633 ( .A1(n21789), .A2(n21790), .B1(n21791), .C1(n21788), .Y(\exu/i_div/aff/genblock.genblock.clkhdr/clkhdr/enable ) ); sky130_fd_sc_hd__nand2_1 U26634 ( .A(n21791), .B(n21790), .Y( \exu/i_div/qff/genblock.genblock.clkhdr/clkhdr/enable ) ); sky130_fd_sc_hd__nand2_1 U26635 ( .A(n21796), .B(n21792), .Y( \dec/decode/i0_result_r_ff/genblock.genblock.clkhdr/clkhdr/enable ) ); sky130_fd_sc_hd__nand2b_1 U26636 ( .A_N(\dec/decode/i0_pipe_en [0]), .B( n21796), .Y( \dec/decode/i0wb1instff/genblock.genblock.clkhdr/clkhdr/enable ) ); sky130_fd_sc_hd__nor3_1 U26637 ( .A(\dec/decode/i0_pipe_en [2]), .B( \dec/clk_override ), .C(\dec/decode/i0_pipe_en [1]), .Y(n22699) ); sky130_fd_sc_hd__nand2_1 U26638 ( .A(n22699), .B(n21804), .Y( \dec/decode/r_d_ff/genblock.genblock.clkhdr/clkhdr/enable ) ); sky130_fd_sc_hd__nand3_1 U26639 ( .A(n21796), .B(n21795), .C(n21792), .Y( \dec/decode/trap_xff/genblock.genblock.clkhdr/clkhdr/enable ) ); sky130_fd_sc_hd__o21ai_1 U26640 ( .A1(\dec/decode/illegal_lockout ), .A2( n21793), .B1(n21804), .Y( \dec/decode/illegal_any_ff/genblock.genblock.clkhdr/clkhdr/enable ) ); sky130_fd_sc_hd__or2_0 U26641 ( .A(\dec/decode/csr_set_x ), .B( \dec/decode/csr_write_x ), .X(n24571) ); sky130_fd_sc_hd__o21ai_1 U26642 ( .A1(\dec/decode/csr_clr_x ), .A2(n24571), .B1(\dec/decode/csr_read_x ), .Y(n21794) ); sky130_fd_sc_hd__nand3_1 U26643 ( .A(n24572), .B(n21804), .C(n21794), .Y( \dec/decode/write_csr_ff/genblock.genblock.clkhdr/clkhdr/enable ) ); sky130_fd_sc_hd__nand2_1 U26644 ( .A(n21796), .B(n21795), .Y( \dec/decode/csr_rddata_x_ff/genblock.genblock.clkhdr/clkhdr/enable ) ); sky130_fd_sc_hd__nand2b_1 U26645 ( .A_N(n21797), .B(n21804), .Y( \ifu/aln/brdata0ff/genblock.genblock.clkhdr/clkhdr/enable ) ); sky130_fd_sc_hd__nand2_1 U26646 ( .A(n21804), .B(n21798), .Y( \ifu/aln/brdata1ff/genblock.genblock.clkhdr/clkhdr/enable ) ); sky130_fd_sc_hd__nand2b_1 U26647 ( .A_N(n21799), .B(n21804), .Y( \ifu/aln/brdata2ff/genblock.genblock.clkhdr/clkhdr/enable ) ); sky130_fd_sc_hd__nand2_1 U26648 ( .A(n21800), .B(n21804), .Y( \ifu/aln/f0pcff/genblock.genblock.clkhdr/clkhdr/enable ) ); sky130_fd_sc_hd__nand2_1 U26649 ( .A(n21801), .B(n21804), .Y( \ifu/aln/f1pcff/genblock.genblock.clkhdr/clkhdr/enable ) ); sky130_fd_sc_hd__nand2_1 U26650 ( .A(n21804), .B(n21802), .Y( \ifu/aln/f2pcff/genblock.genblock.clkhdr/clkhdr/enable ) ); sky130_fd_sc_hd__nand3_1 U26651 ( .A(n21805), .B(n21804), .C(n21803), .Y( \ifu/ifc/faddrf1_ff/genblock.genblock.clkhdr/clkhdr/enable ) ); sky130_fd_sc_hd__nand2_1 U26652 ( .A(n22459), .B( \lsu/dccm_ctl/ld_sec_addr_hi_r_ff [0]), .Y(n21843) ); sky130_fd_sc_hd__a22oi_1 U26653 ( .A1(n22030), .A2( \lsu/stbuf/stbuf_addr[3][0] ), .B1(n22017), .B2( \lsu/stbuf/stbuf_addr[2][0] ), .Y(n21808) ); sky130_fd_sc_hd__a22oi_1 U26654 ( .A1(n22035), .A2( \lsu/stbuf/stbuf_addr[0][0] ), .B1(n15918), .B2( \lsu/stbuf/stbuf_addr[1][0] ), .Y(n21807) ); sky130_fd_sc_hd__a21oi_1 U26655 ( .A1(n21808), .A2(n21807), .B1(n22277), .Y( n21842) ); sky130_fd_sc_hd__a21oi_1 U26656 ( .A1(n22458), .A2(dccm_rd_addr_lo[0]), .B1( n21842), .Y(n21810) ); sky130_fd_sc_hd__nand2_1 U26657 ( .A(n22455), .B( \lsu/dccm_ctl/ld_sec_addr_lo_r_ff [0]), .Y(n21845) ); sky130_fd_sc_hd__o211ai_1 U26658 ( .A1( \lsu/dccm_ctl/ld_single_ecc_error_lo_r_ff ), .A2(n21843), .B1(n21810), .C1(n21845), .Y(dccm_wr_addr_lo[0]) ); sky130_fd_sc_hd__nand2_1 U26659 ( .A(n22042), .B( \lsu/dccm_ctl/ld_sec_addr_hi_r_ff [1]), .Y(n21847) ); sky130_fd_sc_hd__a22oi_1 U26660 ( .A1(n15918), .A2( \lsu/stbuf/stbuf_addr[1][1] ), .B1(n22030), .B2( \lsu/stbuf/stbuf_addr[3][1] ), .Y(n21812) ); sky130_fd_sc_hd__a22oi_1 U26661 ( .A1(n22035), .A2( \lsu/stbuf/stbuf_addr[0][1] ), .B1(n22017), .B2( \lsu/stbuf/stbuf_addr[2][1] ), .Y(n21811) ); sky130_fd_sc_hd__a21oi_1 U26662 ( .A1(n21812), .A2(n21811), .B1(n22277), .Y( n21846) ); sky130_fd_sc_hd__a21oi_1 U26663 ( .A1(n22458), .A2(dccm_rd_addr_lo[1]), .B1( n21846), .Y(n21813) ); sky130_fd_sc_hd__nand2_1 U26664 ( .A(n22455), .B( \lsu/dccm_ctl/ld_sec_addr_lo_r_ff [1]), .Y(n21849) ); sky130_fd_sc_hd__o211ai_1 U26665 ( .A1( \lsu/dccm_ctl/ld_single_ecc_error_lo_r_ff ), .A2(n21847), .B1(n21813), .C1(n21849), .Y(dccm_wr_addr_lo[1]) ); sky130_fd_sc_hd__nor2_1 U26666 ( .A(n23410), .B(n22277), .Y(n21850) ); sky130_fd_sc_hd__a31oi_1 U26667 ( .A1(n22459), .A2( \lsu/dccm_ctl/ld_sec_addr_hi_r_ff [2]), .A3(n22080), .B1(n21850), .Y( n21814) ); sky130_fd_sc_hd__nand2_1 U26668 ( .A(n22455), .B( \lsu/dccm_ctl/ld_sec_addr_lo_r_ff [2]), .Y(n21853) ); sky130_fd_sc_hd__o211ai_1 U26669 ( .A1(n22123), .A2(n21815), .B1(n21814), .C1(n21853), .Y(dccm_wr_addr_lo[2]) ); sky130_fd_sc_hd__nor2_1 U26670 ( .A(n23418), .B(n22277), .Y(n21854) ); sky130_fd_sc_hd__a31oi_1 U26671 ( .A1(n22459), .A2( \lsu/dccm_ctl/ld_sec_addr_hi_r_ff [3]), .A3(n22080), .B1(n21854), .Y( n21816) ); sky130_fd_sc_hd__nand2_1 U26672 ( .A(n22455), .B( \lsu/dccm_ctl/ld_sec_addr_lo_r_ff [3]), .Y(n21857) ); sky130_fd_sc_hd__o211ai_1 U26673 ( .A1(n22123), .A2(n21817), .B1(n21816), .C1(n21857), .Y(dccm_wr_addr_lo[3]) ); sky130_fd_sc_hd__nand2_1 U26674 ( .A(n22042), .B( \lsu/dccm_ctl/ld_sec_addr_hi_r_ff [4]), .Y(n21859) ); sky130_fd_sc_hd__o2bb2ai_1 U26675 ( .B1(n23400), .B2(n22277), .A1_N(n22455), .A2_N(\lsu/dccm_ctl/ld_sec_addr_lo_r_ff [4]), .Y(n21818) ); sky130_fd_sc_hd__a21oi_1 U26676 ( .A1(n22458), .A2(dccm_rd_addr_lo[4]), .B1( n21818), .Y(n21819) ); sky130_fd_sc_hd__o21ai_1 U26677 ( .A1( \lsu/dccm_ctl/ld_single_ecc_error_lo_r_ff ), .A2(n21859), .B1(n21819), .Y(dccm_wr_addr_lo[4]) ); sky130_fd_sc_hd__nor2_1 U26678 ( .A(n23404), .B(n22277), .Y(n21862) ); sky130_fd_sc_hd__a31oi_1 U26679 ( .A1(n22042), .A2( \lsu/dccm_ctl/ld_sec_addr_hi_r_ff [5]), .A3(n22080), .B1(n21862), .Y( n21820) ); sky130_fd_sc_hd__nand2_1 U26680 ( .A(n22455), .B( \lsu/dccm_ctl/ld_sec_addr_lo_r_ff [5]), .Y(n21865) ); sky130_fd_sc_hd__o211ai_1 U26681 ( .A1(n22123), .A2(n21821), .B1(n21820), .C1(n21865), .Y(dccm_wr_addr_lo[5]) ); sky130_fd_sc_hd__nor2_1 U26682 ( .A(n23415), .B(n22277), .Y(n21866) ); sky130_fd_sc_hd__a31oi_1 U26683 ( .A1(n22042), .A2( \lsu/dccm_ctl/ld_sec_addr_hi_r_ff [6]), .A3(n22080), .B1(n21866), .Y( n21822) ); sky130_fd_sc_hd__nand2_1 U26684 ( .A(n22455), .B( \lsu/dccm_ctl/ld_sec_addr_lo_r_ff [6]), .Y(n21869) ); sky130_fd_sc_hd__o211ai_1 U26685 ( .A1(n22123), .A2(n21823), .B1(n21822), .C1(n21869), .Y(dccm_wr_addr_lo[6]) ); sky130_fd_sc_hd__nor2_1 U26686 ( .A(n23409), .B(n22277), .Y(n21870) ); sky130_fd_sc_hd__a31oi_1 U26687 ( .A1(n22042), .A2( \lsu/dccm_ctl/ld_sec_addr_hi_r_ff [7]), .A3(n22080), .B1(n21870), .Y( n21824) ); sky130_fd_sc_hd__nand2_1 U26688 ( .A(n22455), .B( \lsu/dccm_ctl/ld_sec_addr_lo_r_ff [7]), .Y(n21873) ); sky130_fd_sc_hd__o211ai_1 U26689 ( .A1(n22123), .A2(n21825), .B1(n21824), .C1(n21873), .Y(dccm_wr_addr_lo[7]) ); sky130_fd_sc_hd__nand2_1 U26690 ( .A(n22042), .B( \lsu/dccm_ctl/ld_sec_addr_hi_r_ff [8]), .Y(n21876) ); sky130_fd_sc_hd__o2bb2ai_1 U26691 ( .B1(n23397), .B2(n22277), .A1_N(n22455), .A2_N(\lsu/dccm_ctl/ld_sec_addr_lo_r_ff [8]), .Y(n21826) ); sky130_fd_sc_hd__a21oi_1 U26692 ( .A1(n22458), .A2(dccm_rd_addr_lo[8]), .B1( n21826), .Y(n21827) ); sky130_fd_sc_hd__o21ai_1 U26693 ( .A1( \lsu/dccm_ctl/ld_single_ecc_error_lo_r_ff ), .A2(n21876), .B1(n21827), .Y(dccm_wr_addr_lo[8]) ); sky130_fd_sc_hd__nor2_1 U26694 ( .A(n23398), .B(n22277), .Y(n21878) ); sky130_fd_sc_hd__a31oi_1 U26695 ( .A1(n22042), .A2( \lsu/dccm_ctl/ld_sec_addr_hi_r_ff [9]), .A3(n22080), .B1(n21878), .Y( n21828) ); sky130_fd_sc_hd__nand2_1 U26696 ( .A(n22455), .B( \lsu/dccm_ctl/ld_sec_addr_lo_r_ff [9]), .Y(n21881) ); sky130_fd_sc_hd__o211ai_1 U26697 ( .A1(n22123), .A2(n21829), .B1(n21828), .C1(n21881), .Y(dccm_wr_addr_lo[9]) ); sky130_fd_sc_hd__nor2_1 U26698 ( .A(n23416), .B(n22277), .Y(n21882) ); sky130_fd_sc_hd__a31oi_1 U26699 ( .A1(n22042), .A2( \lsu/dccm_ctl/ld_sec_addr_hi_r_ff [10]), .A3(n22080), .B1(n21882), .Y( n21830) ); sky130_fd_sc_hd__nand2_1 U26700 ( .A(n22455), .B( \lsu/dccm_ctl/ld_sec_addr_lo_r_ff [10]), .Y(n21885) ); sky130_fd_sc_hd__o211ai_1 U26701 ( .A1(n22123), .A2(n21831), .B1(n21830), .C1(n21885), .Y(dccm_wr_addr_lo[10]) ); sky130_fd_sc_hd__nor2_1 U26702 ( .A(n23413), .B(n22277), .Y(n21886) ); sky130_fd_sc_hd__a31oi_1 U26703 ( .A1(n22042), .A2( \lsu/dccm_ctl/ld_sec_addr_hi_r_ff [11]), .A3(n22080), .B1(n21886), .Y( n21832) ); sky130_fd_sc_hd__nand2_1 U26704 ( .A(n22455), .B( \lsu/dccm_ctl/ld_sec_addr_lo_r_ff [11]), .Y(n21889) ); sky130_fd_sc_hd__o211ai_1 U26705 ( .A1(n22123), .A2(n21833), .B1(n21832), .C1(n21889), .Y(dccm_wr_addr_lo[11]) ); sky130_fd_sc_hd__nor2_1 U26706 ( .A(n23419), .B(n22277), .Y(n21890) ); sky130_fd_sc_hd__a31oi_1 U26707 ( .A1(n22042), .A2( \lsu/dccm_ctl/ld_sec_addr_hi_r_ff [12]), .A3(n22080), .B1(n21890), .Y( n21834) ); sky130_fd_sc_hd__nand2_1 U26708 ( .A(n22455), .B( \lsu/dccm_ctl/ld_sec_addr_lo_r_ff [12]), .Y(n21893) ); sky130_fd_sc_hd__o211ai_1 U26709 ( .A1(n22123), .A2(n21835), .B1(n21834), .C1(n21893), .Y(dccm_wr_addr_lo[12]) ); sky130_fd_sc_hd__nor2_1 U26710 ( .A(n23412), .B(n22277), .Y(n21894) ); sky130_fd_sc_hd__a31oi_1 U26711 ( .A1(n22042), .A2( \lsu/dccm_ctl/ld_sec_addr_hi_r_ff [13]), .A3(n22080), .B1(n21894), .Y( n21836) ); sky130_fd_sc_hd__nand2_1 U26712 ( .A(n22455), .B( \lsu/dccm_ctl/ld_sec_addr_lo_r_ff [13]), .Y(n21897) ); sky130_fd_sc_hd__o211ai_1 U26713 ( .A1(n21837), .A2(n22123), .B1(n21836), .C1(n21897), .Y(dccm_wr_addr_lo[13]) ); sky130_fd_sc_hd__nor2_1 U26714 ( .A(n23401), .B(n22277), .Y(n21898) ); sky130_fd_sc_hd__a31oi_1 U26715 ( .A1(n22459), .A2( \lsu/dccm_ctl/ld_sec_addr_hi_r_ff [14]), .A3(n22080), .B1(n21898), .Y( n21838) ); sky130_fd_sc_hd__nand2_1 U26716 ( .A(n22455), .B( \lsu/dccm_ctl/ld_sec_addr_lo_r_ff [14]), .Y(n21901) ); sky130_fd_sc_hd__o211ai_1 U26717 ( .A1(n22123), .A2(n21839), .B1(n21838), .C1(n21901), .Y(dccm_wr_addr_lo[14]) ); sky130_fd_sc_hd__nor2_1 U26718 ( .A(n23403), .B(n22277), .Y(n21902) ); sky130_fd_sc_hd__a31oi_1 U26719 ( .A1(n22042), .A2( \lsu/dccm_ctl/ld_sec_addr_hi_r_ff [15]), .A3(n22080), .B1(n21902), .Y( n21840) ); sky130_fd_sc_hd__nand2_1 U26720 ( .A(n22455), .B( \lsu/dccm_ctl/ld_sec_addr_lo_r_ff [15]), .Y(n21905) ); sky130_fd_sc_hd__o211ai_1 U26721 ( .A1(n21841), .A2(n22123), .B1(n21840), .C1(n21905), .Y(dccm_wr_addr_lo[15]) ); sky130_fd_sc_hd__a21oi_1 U26722 ( .A1(n22458), .A2(dccm_rd_addr_hi[0]), .B1( n21842), .Y(n21844) ); sky130_fd_sc_hd__o211ai_1 U26723 ( .A1( \lsu/dccm_ctl/ld_single_ecc_error_hi_r_ff ), .A2(n21845), .B1(n21844), .C1(n21843), .Y(dccm_wr_addr_hi[0]) ); sky130_fd_sc_hd__a21oi_1 U26724 ( .A1(n22458), .A2(dccm_rd_addr_hi[1]), .B1( n21846), .Y(n21848) ); sky130_fd_sc_hd__o211ai_1 U26725 ( .A1( \lsu/dccm_ctl/ld_single_ecc_error_hi_r_ff ), .A2(n21849), .B1(n21848), .C1(n21847), .Y(dccm_wr_addr_hi[1]) ); sky130_fd_sc_hd__a21oi_1 U26726 ( .A1(n22458), .A2(dccm_rd_addr_hi[2]), .B1( n21850), .Y(n21852) ); sky130_fd_sc_hd__nand2_1 U26727 ( .A(n22459), .B( \lsu/dccm_ctl/ld_sec_addr_hi_r_ff [2]), .Y(n21851) ); sky130_fd_sc_hd__o211ai_1 U26728 ( .A1( \lsu/dccm_ctl/ld_single_ecc_error_hi_r_ff ), .A2(n21853), .B1(n21852), .C1(n21851), .Y(dccm_wr_addr_hi[2]) ); sky130_fd_sc_hd__a21oi_1 U26729 ( .A1(n22458), .A2(dccm_rd_addr_hi[3]), .B1( n21854), .Y(n21856) ); sky130_fd_sc_hd__nand2_1 U26730 ( .A(n22459), .B( \lsu/dccm_ctl/ld_sec_addr_hi_r_ff [3]), .Y(n21855) ); sky130_fd_sc_hd__o211ai_1 U26731 ( .A1( \lsu/dccm_ctl/ld_single_ecc_error_hi_r_ff ), .A2(n21857), .B1(n21856), .C1(n21855), .Y(dccm_wr_addr_hi[3]) ); sky130_fd_sc_hd__nand2_1 U26732 ( .A(n22458), .B(dccm_rd_addr_hi[4]), .Y( n21861) ); sky130_fd_sc_hd__nor2_1 U26733 ( .A(n23400), .B(n22277), .Y(n21858) ); sky130_fd_sc_hd__a31oi_1 U26734 ( .A1(n22455), .A2( \lsu/dccm_ctl/ld_sec_addr_lo_r_ff [4]), .A3(n22454), .B1(n21858), .Y( n21860) ); sky130_fd_sc_hd__nand3_1 U26735 ( .A(n21861), .B(n21860), .C(n21859), .Y( dccm_wr_addr_hi[4]) ); sky130_fd_sc_hd__a21oi_1 U26736 ( .A1(n22458), .A2(dccm_rd_addr_hi[5]), .B1( n21862), .Y(n21864) ); sky130_fd_sc_hd__nand2_1 U26737 ( .A(n22459), .B( \lsu/dccm_ctl/ld_sec_addr_hi_r_ff [5]), .Y(n21863) ); sky130_fd_sc_hd__o211ai_1 U26738 ( .A1( \lsu/dccm_ctl/ld_single_ecc_error_hi_r_ff ), .A2(n21865), .B1(n21864), .C1(n21863), .Y(dccm_wr_addr_hi[5]) ); sky130_fd_sc_hd__a21oi_1 U26739 ( .A1(n22458), .A2(dccm_rd_addr_hi[6]), .B1( n21866), .Y(n21868) ); sky130_fd_sc_hd__nand2_1 U26740 ( .A(n22459), .B( \lsu/dccm_ctl/ld_sec_addr_hi_r_ff [6]), .Y(n21867) ); sky130_fd_sc_hd__o211ai_1 U26741 ( .A1( \lsu/dccm_ctl/ld_single_ecc_error_hi_r_ff ), .A2(n21869), .B1(n21868), .C1(n21867), .Y(dccm_wr_addr_hi[6]) ); sky130_fd_sc_hd__a21oi_1 U26742 ( .A1(n22458), .A2(dccm_rd_addr_hi[7]), .B1( n21870), .Y(n21872) ); sky130_fd_sc_hd__nand2_1 U26743 ( .A(n22459), .B( \lsu/dccm_ctl/ld_sec_addr_hi_r_ff [7]), .Y(n21871) ); sky130_fd_sc_hd__o211ai_1 U26744 ( .A1( \lsu/dccm_ctl/ld_single_ecc_error_hi_r_ff ), .A2(n21873), .B1(n21872), .C1(n21871), .Y(dccm_wr_addr_hi[7]) ); sky130_fd_sc_hd__nand2_1 U26745 ( .A(dccm_rd_addr_hi[8]), .B(n22458), .Y( n21877) ); sky130_fd_sc_hd__nor2_1 U26746 ( .A(n23397), .B(n22277), .Y(n21874) ); sky130_fd_sc_hd__a31oi_1 U26747 ( .A1(n22455), .A2( \lsu/dccm_ctl/ld_sec_addr_lo_r_ff [8]), .A3(n22454), .B1(n21874), .Y( n21875) ); sky130_fd_sc_hd__nand3_1 U26748 ( .A(n21877), .B(n21876), .C(n21875), .Y( dccm_wr_addr_hi[8]) ); sky130_fd_sc_hd__a21oi_1 U26749 ( .A1(n22458), .A2(dccm_rd_addr_hi[9]), .B1( n21878), .Y(n21880) ); sky130_fd_sc_hd__nand2_1 U26750 ( .A(n22459), .B( \lsu/dccm_ctl/ld_sec_addr_hi_r_ff [9]), .Y(n21879) ); sky130_fd_sc_hd__o211ai_1 U26751 ( .A1( \lsu/dccm_ctl/ld_single_ecc_error_hi_r_ff ), .A2(n21881), .B1(n21880), .C1(n21879), .Y(dccm_wr_addr_hi[9]) ); sky130_fd_sc_hd__a21oi_1 U26752 ( .A1(n22458), .A2(dccm_rd_addr_hi[10]), .B1(n21882), .Y(n21884) ); sky130_fd_sc_hd__nand2_1 U26753 ( .A(n22459), .B( \lsu/dccm_ctl/ld_sec_addr_hi_r_ff [10]), .Y(n21883) ); sky130_fd_sc_hd__o211ai_1 U26754 ( .A1( \lsu/dccm_ctl/ld_single_ecc_error_hi_r_ff ), .A2(n21885), .B1(n21884), .C1(n21883), .Y(dccm_wr_addr_hi[10]) ); sky130_fd_sc_hd__a21oi_1 U26755 ( .A1(n22458), .A2(dccm_rd_addr_hi[11]), .B1(n21886), .Y(n21888) ); sky130_fd_sc_hd__nand2_1 U26756 ( .A(n22459), .B( \lsu/dccm_ctl/ld_sec_addr_hi_r_ff [11]), .Y(n21887) ); sky130_fd_sc_hd__o211ai_1 U26757 ( .A1( \lsu/dccm_ctl/ld_single_ecc_error_hi_r_ff ), .A2(n21889), .B1(n21888), .C1(n21887), .Y(dccm_wr_addr_hi[11]) ); sky130_fd_sc_hd__a21oi_1 U26758 ( .A1(n22458), .A2(dccm_rd_addr_hi[12]), .B1(n21890), .Y(n21892) ); sky130_fd_sc_hd__nand2_1 U26759 ( .A(n22459), .B( \lsu/dccm_ctl/ld_sec_addr_hi_r_ff [12]), .Y(n21891) ); sky130_fd_sc_hd__o211ai_1 U26760 ( .A1( \lsu/dccm_ctl/ld_single_ecc_error_hi_r_ff ), .A2(n21893), .B1(n21892), .C1(n21891), .Y(dccm_wr_addr_hi[12]) ); sky130_fd_sc_hd__a21oi_1 U26761 ( .A1(n22458), .A2(dccm_rd_addr_hi[13]), .B1(n21894), .Y(n21896) ); sky130_fd_sc_hd__nand2_1 U26762 ( .A(n22042), .B( \lsu/dccm_ctl/ld_sec_addr_hi_r_ff [13]), .Y(n21895) ); sky130_fd_sc_hd__o211ai_1 U26763 ( .A1( \lsu/dccm_ctl/ld_single_ecc_error_hi_r_ff ), .A2(n21897), .B1(n21896), .C1(n21895), .Y(dccm_wr_addr_hi[13]) ); sky130_fd_sc_hd__a21oi_1 U26764 ( .A1(n22458), .A2(dccm_rd_addr_hi[14]), .B1(n21898), .Y(n21900) ); sky130_fd_sc_hd__nand2_1 U26765 ( .A(n22459), .B( \lsu/dccm_ctl/ld_sec_addr_hi_r_ff [14]), .Y(n21899) ); sky130_fd_sc_hd__o211ai_1 U26766 ( .A1( \lsu/dccm_ctl/ld_single_ecc_error_hi_r_ff ), .A2(n21901), .B1(n21900), .C1(n21899), .Y(dccm_wr_addr_hi[14]) ); sky130_fd_sc_hd__a21oi_1 U26767 ( .A1(dccm_rd_addr_hi[15]), .A2(n22458), .B1(n21902), .Y(n21904) ); sky130_fd_sc_hd__nand2_1 U26768 ( .A(n22459), .B( \lsu/dccm_ctl/ld_sec_addr_hi_r_ff [15]), .Y(n21903) ); sky130_fd_sc_hd__o211ai_1 U26769 ( .A1( \lsu/dccm_ctl/ld_single_ecc_error_hi_r_ff ), .A2(n21905), .B1(n21904), .C1(n21903), .Y(dccm_wr_addr_hi[15]) ); sky130_fd_sc_hd__nand2_1 U26770 ( .A(n22042), .B(\lsu/sec_data_hi_r_ff [0]), .Y(n22364) ); sky130_fd_sc_hd__clkinv_1 U26771 ( .A(n21906), .Y(n23716) ); sky130_fd_sc_hd__o22ai_1 U26772 ( .A1(dma_mem_addr[0]), .A2( dma_mem_wdata[32]), .B1(n22538), .B2(dma_mem_wdata[40]), .Y(n21971) ); sky130_fd_sc_hd__o22ai_1 U26773 ( .A1(dma_mem_addr[0]), .A2( dma_mem_wdata[48]), .B1(n22538), .B2(dma_mem_wdata[56]), .Y(n22192) ); sky130_fd_sc_hd__o22ai_1 U26774 ( .A1(dma_mem_addr[1]), .A2(n21971), .B1( n22539), .B2(n22192), .Y(n22140) ); sky130_fd_sc_hd__nor2_1 U26775 ( .A(n22539), .B(dma_mem_addr[2]), .Y(n22044) ); sky130_fd_sc_hd__o22ai_1 U26776 ( .A1(dma_mem_addr[0]), .A2( dma_mem_wdata[16]), .B1(n22538), .B2(dma_mem_wdata[24]), .Y(n21970) ); sky130_fd_sc_hd__nor2_1 U26777 ( .A(dma_mem_addr[2]), .B(dma_mem_addr[1]), .Y(n22108) ); sky130_fd_sc_hd__nand2_1 U26778 ( .A(dma_mem_addr[0]), .B(n22108), .Y(n21963) ); sky130_fd_sc_hd__nand2_1 U26779 ( .A(n22108), .B(n22538), .Y(n22122) ); sky130_fd_sc_hd__o22ai_1 U26780 ( .A1(dma_mem_wdata[8]), .A2(n21963), .B1( dma_mem_wdata[0]), .B2(n22122), .Y(n21907) ); sky130_fd_sc_hd__a21oi_1 U26781 ( .A1(n22044), .A2(n21970), .B1(n21907), .Y( n21908) ); sky130_fd_sc_hd__o21ai_1 U26782 ( .A1(n22540), .A2(n22140), .B1(n21908), .Y( n23776) ); sky130_fd_sc_hd__o22ai_1 U26783 ( .A1(n23716), .A2(n22277), .B1(n22123), .B2(n23776), .Y(n22089) ); sky130_fd_sc_hd__a21oi_1 U26784 ( .A1(n22455), .A2(\lsu/sec_data_lo_r_ff [0]), .B1(n22089), .Y(n21909) ); sky130_fd_sc_hd__o21ai_1 U26785 ( .A1( \lsu/dccm_ctl/ld_single_ecc_error_lo_r_ff ), .A2(n22364), .B1(n21909), .Y(dccm_wr_data_lo[0]) ); sky130_fd_sc_hd__nand2_1 U26786 ( .A(n22042), .B(\lsu/sec_data_hi_r_ff [1]), .Y(n22367) ); sky130_fd_sc_hd__o22ai_1 U26787 ( .A1(dma_mem_addr[0]), .A2( dma_mem_wdata[33]), .B1(n22538), .B2(dma_mem_wdata[41]), .Y(n21979) ); sky130_fd_sc_hd__o22ai_1 U26788 ( .A1(dma_mem_addr[0]), .A2( dma_mem_wdata[49]), .B1(n22538), .B2(dma_mem_wdata[57]), .Y(n22109) ); sky130_fd_sc_hd__o22ai_1 U26789 ( .A1(dma_mem_addr[1]), .A2(n21979), .B1( n22539), .B2(n22109), .Y(n22126) ); sky130_fd_sc_hd__o22ai_1 U26790 ( .A1(dma_mem_addr[0]), .A2( dma_mem_wdata[17]), .B1(n22538), .B2(dma_mem_wdata[25]), .Y(n21978) ); sky130_fd_sc_hd__o22ai_1 U26791 ( .A1(dma_mem_wdata[9]), .A2(n21963), .B1( dma_mem_wdata[1]), .B2(n22122), .Y(n21910) ); sky130_fd_sc_hd__a21oi_1 U26792 ( .A1(n22044), .A2(n21978), .B1(n21910), .Y( n21911) ); sky130_fd_sc_hd__o21ai_1 U26793 ( .A1(n22540), .A2(n22126), .B1(n21911), .Y( n23783) ); sky130_fd_sc_hd__o22ai_1 U26794 ( .A1(n23726), .A2(n22277), .B1(n22123), .B2(n23783), .Y(n22082) ); sky130_fd_sc_hd__a21oi_1 U26795 ( .A1(n22455), .A2(\lsu/sec_data_lo_r_ff [1]), .B1(n22082), .Y(n21912) ); sky130_fd_sc_hd__o21ai_1 U26796 ( .A1( \lsu/dccm_ctl/ld_single_ecc_error_lo_r_ff ), .A2(n22367), .B1(n21912), .Y(dccm_wr_data_lo[1]) ); sky130_fd_sc_hd__nand2_1 U26797 ( .A(n22042), .B(\lsu/sec_data_hi_r_ff [2]), .Y(n22370) ); sky130_fd_sc_hd__o22ai_1 U26798 ( .A1(dma_mem_addr[0]), .A2( dma_mem_wdata[18]), .B1(n22538), .B2(dma_mem_wdata[26]), .Y(n21986) ); sky130_fd_sc_hd__o22ai_1 U26799 ( .A1(dma_mem_addr[0]), .A2( dma_mem_wdata[34]), .B1(n22538), .B2(dma_mem_wdata[42]), .Y(n21987) ); sky130_fd_sc_hd__o22ai_1 U26800 ( .A1(dma_mem_addr[0]), .A2( dma_mem_wdata[50]), .B1(n22538), .B2(dma_mem_wdata[58]), .Y(n22276) ); sky130_fd_sc_hd__o22ai_1 U26801 ( .A1(dma_mem_addr[1]), .A2(n21987), .B1( n22539), .B2(n22276), .Y(n22182) ); sky130_fd_sc_hd__o22ai_1 U26802 ( .A1(dma_mem_wdata[10]), .A2(n21963), .B1( n22540), .B2(n22182), .Y(n21913) ); sky130_fd_sc_hd__a21oi_1 U26803 ( .A1(n22044), .A2(n21986), .B1(n21913), .Y( n21914) ); sky130_fd_sc_hd__o21ai_1 U26804 ( .A1(dma_mem_wdata[2]), .A2(n22122), .B1( n21914), .Y(n23787) ); sky130_fd_sc_hd__o22ai_1 U26805 ( .A1(n23733), .A2(n22277), .B1(n22123), .B2(n23787), .Y(n22151) ); sky130_fd_sc_hd__a21oi_1 U26806 ( .A1(n22455), .A2(\lsu/sec_data_lo_r_ff [2]), .B1(n22151), .Y(n21915) ); sky130_fd_sc_hd__o21ai_1 U26807 ( .A1( \lsu/dccm_ctl/ld_single_ecc_error_lo_r_ff ), .A2(n22370), .B1(n21915), .Y(dccm_wr_data_lo[2]) ); sky130_fd_sc_hd__nand2_1 U26808 ( .A(n22042), .B(\lsu/sec_data_hi_r_ff [3]), .Y(n22373) ); sky130_fd_sc_hd__o22ai_1 U26809 ( .A1(dma_mem_addr[0]), .A2( dma_mem_wdata[35]), .B1(n22538), .B2(dma_mem_wdata[43]), .Y(n21995) ); sky130_fd_sc_hd__a22oi_1 U26810 ( .A1(dma_mem_addr[0]), .A2( dma_mem_wdata[59]), .B1(n22538), .B2(dma_mem_wdata[51]), .Y(n22116) ); sky130_fd_sc_hd__o22ai_1 U26811 ( .A1(dma_mem_addr[1]), .A2(n21995), .B1( n22539), .B2(n22116), .Y(n22138) ); sky130_fd_sc_hd__o22ai_1 U26812 ( .A1(dma_mem_addr[0]), .A2( dma_mem_wdata[19]), .B1(n22538), .B2(dma_mem_wdata[27]), .Y(n21994) ); sky130_fd_sc_hd__o22ai_1 U26813 ( .A1(dma_mem_wdata[11]), .A2(n21963), .B1( dma_mem_wdata[3]), .B2(n22122), .Y(n21916) ); sky130_fd_sc_hd__a21oi_1 U26814 ( .A1(n22044), .A2(n21994), .B1(n21916), .Y( n21917) ); sky130_fd_sc_hd__o21ai_1 U26815 ( .A1(n22540), .A2(n22138), .B1(n21917), .Y( n23788) ); sky130_fd_sc_hd__o22ai_1 U26816 ( .A1(n23741), .A2(n22277), .B1(n22123), .B2(n23788), .Y(n22102) ); sky130_fd_sc_hd__a21oi_1 U26817 ( .A1(n22455), .A2(\lsu/sec_data_lo_r_ff [3]), .B1(n22102), .Y(n21918) ); sky130_fd_sc_hd__o21ai_1 U26818 ( .A1( \lsu/dccm_ctl/ld_single_ecc_error_lo_r_ff ), .A2(n22373), .B1(n21918), .Y(dccm_wr_data_lo[3]) ); sky130_fd_sc_hd__nand2_1 U26819 ( .A(n22042), .B(\lsu/sec_data_hi_r_ff [4]), .Y(n22376) ); sky130_fd_sc_hd__o22ai_1 U26820 ( .A1(dma_mem_addr[0]), .A2( dma_mem_wdata[36]), .B1(n22538), .B2(dma_mem_wdata[44]), .Y(n22004) ); sky130_fd_sc_hd__o22ai_1 U26821 ( .A1(dma_mem_addr[0]), .A2( dma_mem_wdata[52]), .B1(n22538), .B2(dma_mem_wdata[60]), .Y(n22189) ); sky130_fd_sc_hd__o22ai_1 U26822 ( .A1(dma_mem_addr[1]), .A2(n22004), .B1( n22539), .B2(n22189), .Y(n22112) ); sky130_fd_sc_hd__o22ai_1 U26823 ( .A1(dma_mem_addr[0]), .A2( dma_mem_wdata[20]), .B1(n22538), .B2(dma_mem_wdata[28]), .Y(n22003) ); sky130_fd_sc_hd__o22ai_1 U26824 ( .A1(dma_mem_wdata[4]), .A2(n22122), .B1( dma_mem_wdata[12]), .B2(n21963), .Y(n21919) ); sky130_fd_sc_hd__a21oi_1 U26825 ( .A1(n22044), .A2(n22003), .B1(n21919), .Y( n21920) ); sky130_fd_sc_hd__o21ai_1 U26826 ( .A1(n22540), .A2(n22112), .B1(n21920), .Y( n23795) ); sky130_fd_sc_hd__o22ai_1 U26827 ( .A1(n23748), .A2(n22277), .B1(n22123), .B2(n23795), .Y(n22087) ); sky130_fd_sc_hd__a21oi_1 U26828 ( .A1(n22455), .A2(\lsu/sec_data_lo_r_ff [4]), .B1(n22087), .Y(n21921) ); sky130_fd_sc_hd__o21ai_1 U26829 ( .A1( \lsu/dccm_ctl/ld_single_ecc_error_lo_r_ff ), .A2(n22376), .B1(n21921), .Y(dccm_wr_data_lo[4]) ); sky130_fd_sc_hd__nand2_1 U26830 ( .A(n22042), .B(\lsu/sec_data_hi_r_ff [5]), .Y(n22379) ); sky130_fd_sc_hd__o22ai_1 U26831 ( .A1(dma_mem_addr[0]), .A2( dma_mem_wdata[37]), .B1(n22538), .B2(dma_mem_wdata[45]), .Y(n22012) ); sky130_fd_sc_hd__a22oi_1 U26832 ( .A1(dma_mem_addr[0]), .A2( dma_mem_wdata[61]), .B1(n22538), .B2(dma_mem_wdata[53]), .Y(n22119) ); sky130_fd_sc_hd__o22ai_1 U26833 ( .A1(dma_mem_addr[1]), .A2(n22012), .B1( n22539), .B2(n22119), .Y(n22178) ); sky130_fd_sc_hd__o22ai_1 U26834 ( .A1(dma_mem_addr[0]), .A2( dma_mem_wdata[21]), .B1(n22538), .B2(dma_mem_wdata[29]), .Y(n22011) ); sky130_fd_sc_hd__o22ai_1 U26835 ( .A1(dma_mem_wdata[13]), .A2(n21963), .B1( dma_mem_wdata[5]), .B2(n22122), .Y(n21922) ); sky130_fd_sc_hd__a21oi_1 U26836 ( .A1(n22044), .A2(n22011), .B1(n21922), .Y( n21923) ); sky130_fd_sc_hd__o21ai_1 U26837 ( .A1(n22540), .A2(n22178), .B1(n21923), .Y( n23798) ); sky130_fd_sc_hd__o22ai_1 U26838 ( .A1(n23754), .A2(n22277), .B1(n22123), .B2(n23798), .Y(n22149) ); sky130_fd_sc_hd__a21oi_1 U26839 ( .A1(n22455), .A2(\lsu/sec_data_lo_r_ff [5]), .B1(n22149), .Y(n21924) ); sky130_fd_sc_hd__o21ai_1 U26840 ( .A1( \lsu/dccm_ctl/ld_single_ecc_error_lo_r_ff ), .A2(n22379), .B1(n21924), .Y(dccm_wr_data_lo[5]) ); sky130_fd_sc_hd__nand2_1 U26841 ( .A(n22042), .B(\lsu/sec_data_hi_r_ff [6]), .Y(n22382) ); sky130_fd_sc_hd__o22ai_1 U26842 ( .A1(dma_mem_addr[0]), .A2( dma_mem_wdata[38]), .B1(n22538), .B2(dma_mem_wdata[46]), .Y(n22022) ); sky130_fd_sc_hd__o22ai_1 U26843 ( .A1(dma_mem_addr[0]), .A2( dma_mem_wdata[54]), .B1(n22538), .B2(dma_mem_wdata[62]), .Y(n22246) ); sky130_fd_sc_hd__o22ai_1 U26844 ( .A1(dma_mem_addr[1]), .A2(n22022), .B1( n22539), .B2(n22246), .Y(n22136) ); sky130_fd_sc_hd__o22ai_1 U26845 ( .A1(dma_mem_addr[0]), .A2( dma_mem_wdata[22]), .B1(n22538), .B2(dma_mem_wdata[30]), .Y(n22021) ); sky130_fd_sc_hd__o22ai_1 U26846 ( .A1(dma_mem_wdata[6]), .A2(n22122), .B1( dma_mem_wdata[14]), .B2(n21963), .Y(n21925) ); sky130_fd_sc_hd__a21oi_1 U26847 ( .A1(n22044), .A2(n22021), .B1(n21925), .Y( n21926) ); sky130_fd_sc_hd__o21ai_1 U26848 ( .A1(n22540), .A2(n22136), .B1(n21926), .Y( n23801) ); sky130_fd_sc_hd__o22ai_1 U26849 ( .A1(n23762), .A2(n22277), .B1(n22123), .B2(n23801), .Y(n22088) ); sky130_fd_sc_hd__a21oi_1 U26850 ( .A1(n22455), .A2(\lsu/sec_data_lo_r_ff [6]), .B1(n22088), .Y(n21927) ); sky130_fd_sc_hd__o21ai_1 U26851 ( .A1( \lsu/dccm_ctl/ld_single_ecc_error_lo_r_ff ), .A2(n22382), .B1(n21927), .Y(dccm_wr_data_lo[6]) ); sky130_fd_sc_hd__nand2_1 U26852 ( .A(n22042), .B(\lsu/sec_data_hi_r_ff [7]), .Y(n22385) ); sky130_fd_sc_hd__o22ai_1 U26853 ( .A1(dma_mem_addr[0]), .A2( dma_mem_wdata[39]), .B1(n22538), .B2(dma_mem_wdata[47]), .Y(n22027) ); sky130_fd_sc_hd__a22oi_1 U26854 ( .A1(n22538), .A2(dma_mem_wdata[55]), .B1( dma_mem_addr[0]), .B2(dma_mem_wdata[63]), .Y(n22124) ); sky130_fd_sc_hd__o22ai_1 U26855 ( .A1(dma_mem_addr[1]), .A2(n22027), .B1( n22539), .B2(n22124), .Y(n22240) ); sky130_fd_sc_hd__o22ai_1 U26856 ( .A1(dma_mem_addr[0]), .A2( dma_mem_wdata[23]), .B1(n22538), .B2(dma_mem_wdata[31]), .Y(n22026) ); sky130_fd_sc_hd__o22ai_1 U26857 ( .A1(dma_mem_wdata[15]), .A2(n21963), .B1( dma_mem_wdata[7]), .B2(n22122), .Y(n21928) ); sky130_fd_sc_hd__a21oi_1 U26858 ( .A1(n22044), .A2(n22026), .B1(n21928), .Y( n21929) ); sky130_fd_sc_hd__o21ai_1 U26859 ( .A1(n22540), .A2(n22240), .B1(n21929), .Y( n23805) ); sky130_fd_sc_hd__o22ai_1 U26860 ( .A1(n23774), .A2(n22277), .B1(n22123), .B2(n23805), .Y(n22222) ); sky130_fd_sc_hd__a21oi_1 U26861 ( .A1(n22455), .A2(\lsu/sec_data_lo_r_ff [7]), .B1(n22222), .Y(n21930) ); sky130_fd_sc_hd__o21ai_1 U26862 ( .A1( \lsu/dccm_ctl/ld_single_ecc_error_lo_r_ff ), .A2(n22385), .B1(n21930), .Y(dccm_wr_data_lo[7]) ); sky130_fd_sc_hd__nand2_1 U26863 ( .A(n22042), .B(\lsu/sec_data_hi_r_ff [8]), .Y(n22388) ); sky130_fd_sc_hd__nor2_1 U26864 ( .A(dma_mem_addr[0]), .B(dma_mem_wdata[40]), .Y(n22037) ); sky130_fd_sc_hd__a21oi_1 U26865 ( .A1(dma_mem_wdata[48]), .A2(n22539), .B1( n22538), .Y(n21931) ); sky130_fd_sc_hd__a221oi_1 U26866 ( .A1(n22037), .A2(n22539), .B1(n22186), .B2(dma_mem_addr[1]), .C1(n21931), .Y(n22128) ); sky130_fd_sc_hd__o22ai_1 U26867 ( .A1(dma_mem_addr[0]), .A2( dma_mem_wdata[24]), .B1(n22538), .B2(dma_mem_wdata[32]), .Y(n21933) ); sky130_fd_sc_hd__o22ai_1 U26868 ( .A1(dma_mem_wdata[8]), .A2(n22122), .B1( dma_mem_wdata[16]), .B2(n21963), .Y(n21932) ); sky130_fd_sc_hd__a21oi_1 U26869 ( .A1(n22044), .A2(n21933), .B1(n21932), .Y( n21934) ); sky130_fd_sc_hd__o21ai_1 U26870 ( .A1(n22128), .A2(n22540), .B1(n21934), .Y( n23806) ); sky130_fd_sc_hd__o22ai_1 U26871 ( .A1(n23613), .A2(n22277), .B1(n22123), .B2(n23806), .Y(n22092) ); sky130_fd_sc_hd__a21oi_1 U26872 ( .A1(n22455), .A2(\lsu/sec_data_lo_r_ff [8]), .B1(n22092), .Y(n21935) ); sky130_fd_sc_hd__o21ai_1 U26873 ( .A1( \lsu/dccm_ctl/ld_single_ecc_error_lo_r_ff ), .A2(n22388), .B1(n21935), .Y(dccm_wr_data_lo[8]) ); sky130_fd_sc_hd__nand2_1 U26874 ( .A(n22459), .B(\lsu/sec_data_hi_r_ff [9]), .Y(n22198) ); sky130_fd_sc_hd__nor2_1 U26875 ( .A(dma_mem_addr[0]), .B(dma_mem_wdata[41]), .Y(n22045) ); sky130_fd_sc_hd__clkinv_1 U26876 ( .A(dma_mem_wdata[57]), .Y(n22125) ); sky130_fd_sc_hd__a21oi_1 U26877 ( .A1(dma_mem_wdata[49]), .A2(n22539), .B1( n22538), .Y(n21936) ); sky130_fd_sc_hd__a221oi_1 U26878 ( .A1(n22045), .A2(n22539), .B1(n22125), .B2(dma_mem_addr[1]), .C1(n21936), .Y(n22195) ); sky130_fd_sc_hd__o22ai_1 U26879 ( .A1(dma_mem_addr[0]), .A2( dma_mem_wdata[25]), .B1(n22538), .B2(dma_mem_wdata[33]), .Y(n21938) ); sky130_fd_sc_hd__o22ai_1 U26880 ( .A1(dma_mem_wdata[9]), .A2(n22122), .B1( dma_mem_wdata[17]), .B2(n21963), .Y(n21937) ); sky130_fd_sc_hd__a21oi_1 U26881 ( .A1(n22044), .A2(n21938), .B1(n21937), .Y( n21939) ); sky130_fd_sc_hd__o21ai_1 U26882 ( .A1(n22195), .A2(n22540), .B1(n21939), .Y( n23810) ); sky130_fd_sc_hd__o22ai_1 U26883 ( .A1(n23620), .A2(n22277), .B1(n22123), .B2(n23810), .Y(n22169) ); sky130_fd_sc_hd__nand2_1 U26884 ( .A(n22455), .B(\lsu/sec_data_lo_r_ff [9]), .Y(n22391) ); sky130_fd_sc_hd__o211ai_1 U26885 ( .A1( \lsu/dccm_ctl/ld_single_ecc_error_lo_r_ff ), .A2(n22198), .B1(n21940), .C1(n22391), .Y(dccm_wr_data_lo[9]) ); sky130_fd_sc_hd__nand2_1 U26886 ( .A(n22042), .B(\lsu/sec_data_hi_r_ff [10]), .Y(n22393) ); sky130_fd_sc_hd__clkinv_1 U26887 ( .A(n21941), .Y(n23625) ); sky130_fd_sc_hd__o22ai_1 U26888 ( .A1(dma_mem_addr[0]), .A2( dma_mem_wdata[42]), .B1(n22538), .B2(dma_mem_wdata[50]), .Y(n22052) ); sky130_fd_sc_hd__nand2_1 U26889 ( .A(dma_mem_wdata[58]), .B(n22538), .Y( n21942) ); sky130_fd_sc_hd__o22ai_1 U26890 ( .A1(dma_mem_addr[1]), .A2(n22052), .B1( n22539), .B2(n21942), .Y(n22120) ); sky130_fd_sc_hd__o22ai_1 U26891 ( .A1(dma_mem_addr[0]), .A2( dma_mem_wdata[26]), .B1(n22538), .B2(dma_mem_wdata[34]), .Y(n22051) ); sky130_fd_sc_hd__o22ai_1 U26892 ( .A1(dma_mem_wdata[10]), .A2(n22122), .B1( dma_mem_wdata[18]), .B2(n21963), .Y(n21943) ); sky130_fd_sc_hd__a21oi_1 U26893 ( .A1(n22044), .A2(n22051), .B1(n21943), .Y( n21944) ); sky130_fd_sc_hd__o21ai_1 U26894 ( .A1(n22540), .A2(n22120), .B1(n21944), .Y( n23817) ); sky130_fd_sc_hd__o22ai_1 U26895 ( .A1(n23625), .A2(n22277), .B1(n22123), .B2(n23817), .Y(n22083) ); sky130_fd_sc_hd__a21oi_1 U26896 ( .A1(n22455), .A2( \lsu/sec_data_lo_r_ff [10]), .B1(n22083), .Y(n21945) ); sky130_fd_sc_hd__o21ai_1 U26897 ( .A1( \lsu/dccm_ctl/ld_single_ecc_error_lo_r_ff ), .A2(n22393), .B1(n21945), .Y(dccm_wr_data_lo[10]) ); sky130_fd_sc_hd__nand2_1 U26898 ( .A(n22042), .B(\lsu/sec_data_hi_r_ff [11]), .Y(n22396) ); sky130_fd_sc_hd__o22ai_1 U26899 ( .A1(dma_mem_addr[0]), .A2( dma_mem_wdata[43]), .B1(n22538), .B2(dma_mem_wdata[51]), .Y(n22056) ); sky130_fd_sc_hd__nand2_1 U26900 ( .A(dma_mem_wdata[59]), .B(n22538), .Y( n22177) ); sky130_fd_sc_hd__o22ai_1 U26901 ( .A1(dma_mem_addr[1]), .A2(n22056), .B1( n22539), .B2(n22177), .Y(n22110) ); sky130_fd_sc_hd__o22ai_1 U26902 ( .A1(dma_mem_addr[0]), .A2( dma_mem_wdata[27]), .B1(n22538), .B2(dma_mem_wdata[35]), .Y(n22055) ); sky130_fd_sc_hd__o22ai_1 U26903 ( .A1(dma_mem_wdata[19]), .A2(n21963), .B1( dma_mem_wdata[11]), .B2(n22122), .Y(n21947) ); sky130_fd_sc_hd__a21oi_1 U26904 ( .A1(n22044), .A2(n22055), .B1(n21947), .Y( n21948) ); sky130_fd_sc_hd__o21ai_1 U26905 ( .A1(n22540), .A2(n22110), .B1(n21948), .Y( n23821) ); sky130_fd_sc_hd__o22ai_1 U26906 ( .A1(n23630), .A2(n22277), .B1(n22123), .B2(n23821), .Y(n22098) ); sky130_fd_sc_hd__a21oi_1 U26907 ( .A1(n22455), .A2( \lsu/sec_data_lo_r_ff [11]), .B1(n22098), .Y(n21949) ); sky130_fd_sc_hd__o21ai_1 U26908 ( .A1( \lsu/dccm_ctl/ld_single_ecc_error_lo_r_ff ), .A2(n22396), .B1(n21949), .Y(dccm_wr_data_lo[11]) ); sky130_fd_sc_hd__nand2_1 U26909 ( .A(n22042), .B(\lsu/sec_data_hi_r_ff [12]), .Y(n22399) ); sky130_fd_sc_hd__o22ai_1 U26910 ( .A1(dma_mem_addr[0]), .A2( dma_mem_wdata[28]), .B1(n22538), .B2(dma_mem_wdata[36]), .Y(n22060) ); sky130_fd_sc_hd__o22ai_1 U26911 ( .A1(dma_mem_addr[0]), .A2( dma_mem_wdata[44]), .B1(n22538), .B2(dma_mem_wdata[52]), .Y(n22061) ); sky130_fd_sc_hd__nand2_1 U26912 ( .A(dma_mem_wdata[60]), .B(n22538), .Y( n21950) ); sky130_fd_sc_hd__o22ai_1 U26913 ( .A1(dma_mem_addr[1]), .A2(n22061), .B1( n22539), .B2(n21950), .Y(n22190) ); sky130_fd_sc_hd__o22ai_1 U26914 ( .A1(dma_mem_wdata[20]), .A2(n21963), .B1( n22540), .B2(n22190), .Y(n21951) ); sky130_fd_sc_hd__a21oi_1 U26915 ( .A1(n22044), .A2(n22060), .B1(n21951), .Y( n21952) ); sky130_fd_sc_hd__o21ai_1 U26916 ( .A1(dma_mem_wdata[12]), .A2(n22122), .B1( n21952), .Y(n23822) ); sky130_fd_sc_hd__o22ai_1 U26917 ( .A1(n23637), .A2(n22277), .B1(n22123), .B2(n23822), .Y(n22150) ); sky130_fd_sc_hd__a21oi_1 U26918 ( .A1(n22455), .A2( \lsu/sec_data_lo_r_ff [12]), .B1(n22150), .Y(n21953) ); sky130_fd_sc_hd__o21ai_1 U26919 ( .A1( \lsu/dccm_ctl/ld_single_ecc_error_lo_r_ff ), .A2(n22399), .B1(n21953), .Y(dccm_wr_data_lo[12]) ); sky130_fd_sc_hd__nand2_1 U26920 ( .A(n22042), .B(\lsu/sec_data_hi_r_ff [13]), .Y(n22402) ); sky130_fd_sc_hd__o22ai_1 U26921 ( .A1(dma_mem_addr[0]), .A2( dma_mem_wdata[45]), .B1(n22538), .B2(dma_mem_wdata[53]), .Y(n22065) ); sky130_fd_sc_hd__nand2_1 U26922 ( .A(dma_mem_wdata[61]), .B(n22538), .Y( n22238) ); sky130_fd_sc_hd__o22ai_1 U26923 ( .A1(dma_mem_addr[1]), .A2(n22065), .B1( n22539), .B2(n22238), .Y(n22134) ); sky130_fd_sc_hd__o22ai_1 U26924 ( .A1(dma_mem_addr[0]), .A2( dma_mem_wdata[29]), .B1(n22538), .B2(dma_mem_wdata[37]), .Y(n22064) ); sky130_fd_sc_hd__o22ai_1 U26925 ( .A1(dma_mem_wdata[21]), .A2(n21963), .B1( dma_mem_wdata[13]), .B2(n22122), .Y(n21954) ); sky130_fd_sc_hd__a21oi_1 U26926 ( .A1(n22044), .A2(n22064), .B1(n21954), .Y( n21955) ); sky130_fd_sc_hd__o21ai_1 U26927 ( .A1(n22540), .A2(n22134), .B1(n21955), .Y( n23826) ); sky130_fd_sc_hd__o22ai_1 U26928 ( .A1(n23642), .A2(n22277), .B1(n22123), .B2(n23826), .Y(n22091) ); sky130_fd_sc_hd__a21oi_1 U26929 ( .A1(n22455), .A2( \lsu/sec_data_lo_r_ff [13]), .B1(n22091), .Y(n21956) ); sky130_fd_sc_hd__o21ai_1 U26930 ( .A1( \lsu/dccm_ctl/ld_single_ecc_error_lo_r_ff ), .A2(n22402), .B1(n21956), .Y(dccm_wr_data_lo[13]) ); sky130_fd_sc_hd__nand2_1 U26931 ( .A(n22042), .B(\lsu/sec_data_hi_r_ff [14]), .Y(n22405) ); sky130_fd_sc_hd__o22ai_1 U26932 ( .A1(dma_mem_addr[0]), .A2( dma_mem_wdata[46]), .B1(n22538), .B2(dma_mem_wdata[54]), .Y(n22070) ); sky130_fd_sc_hd__nand2_1 U26933 ( .A(dma_mem_wdata[62]), .B(n22538), .Y( n21957) ); sky130_fd_sc_hd__o22ai_1 U26934 ( .A1(dma_mem_addr[1]), .A2(n22070), .B1( n22539), .B2(n21957), .Y(n22249) ); sky130_fd_sc_hd__o22ai_1 U26935 ( .A1(dma_mem_addr[0]), .A2( dma_mem_wdata[30]), .B1(n22538), .B2(dma_mem_wdata[38]), .Y(n22069) ); sky130_fd_sc_hd__o22ai_1 U26936 ( .A1(dma_mem_wdata[14]), .A2(n22122), .B1( dma_mem_wdata[22]), .B2(n21963), .Y(n21958) ); sky130_fd_sc_hd__a21oi_1 U26937 ( .A1(n22044), .A2(n22069), .B1(n21958), .Y( n21959) ); sky130_fd_sc_hd__o21ai_1 U26938 ( .A1(n22540), .A2(n22249), .B1(n21959), .Y( n23829) ); sky130_fd_sc_hd__o22ai_1 U26939 ( .A1(n23648), .A2(n22277), .B1(n22123), .B2(n23829), .Y(n22210) ); sky130_fd_sc_hd__a21oi_1 U26940 ( .A1(n22455), .A2( \lsu/sec_data_lo_r_ff [14]), .B1(n22210), .Y(n21960) ); sky130_fd_sc_hd__o21ai_1 U26941 ( .A1( \lsu/dccm_ctl/ld_single_ecc_error_lo_r_ff ), .A2(n22405), .B1(n21960), .Y(dccm_wr_data_lo[14]) ); sky130_fd_sc_hd__nand2_1 U26942 ( .A(n22042), .B(\lsu/sec_data_hi_r_ff [15]), .Y(n22408) ); sky130_fd_sc_hd__o22ai_1 U26943 ( .A1(dma_mem_addr[0]), .A2( dma_mem_wdata[47]), .B1(n22538), .B2(dma_mem_wdata[55]), .Y(n22076) ); sky130_fd_sc_hd__nand2_1 U26944 ( .A(dma_mem_wdata[63]), .B(n22538), .Y( n21962) ); sky130_fd_sc_hd__o22ai_1 U26945 ( .A1(dma_mem_addr[1]), .A2(n22076), .B1( n22539), .B2(n21962), .Y(n22114) ); sky130_fd_sc_hd__o22ai_1 U26946 ( .A1(dma_mem_addr[0]), .A2( dma_mem_wdata[31]), .B1(n22538), .B2(dma_mem_wdata[39]), .Y(n22074) ); sky130_fd_sc_hd__o22ai_1 U26947 ( .A1(dma_mem_wdata[23]), .A2(n21963), .B1( dma_mem_wdata[15]), .B2(n22122), .Y(n21964) ); sky130_fd_sc_hd__a21oi_1 U26948 ( .A1(n22044), .A2(n22074), .B1(n21964), .Y( n21965) ); sky130_fd_sc_hd__o21ai_1 U26949 ( .A1(n22540), .A2(n22114), .B1(n21965), .Y( n23833) ); sky130_fd_sc_hd__o22ai_1 U26950 ( .A1(n23656), .A2(n22277), .B1(n22123), .B2(n23833), .Y(n22099) ); sky130_fd_sc_hd__a21oi_1 U26951 ( .A1(n22455), .A2( \lsu/sec_data_lo_r_ff [15]), .B1(n22099), .Y(n21966) ); sky130_fd_sc_hd__o21ai_1 U26952 ( .A1( \lsu/dccm_ctl/ld_single_ecc_error_lo_r_ff ), .A2(n22408), .B1(n21966), .Y(dccm_wr_data_lo[15]) ); sky130_fd_sc_hd__nand2_1 U26953 ( .A(n22042), .B(\lsu/sec_data_hi_r_ff [16]), .Y(n22411) ); sky130_fd_sc_hd__o2bb2ai_1 U26954 ( .B1(n21967), .B2(n21999), .A1_N( \lsu/stbuf/stbuf_data[3][16] ), .A2_N(n22030), .Y(n21968) ); sky130_fd_sc_hd__a21oi_1 U26955 ( .A1(n22035), .A2( \lsu/stbuf/stbuf_data[0][16] ), .B1(n21968), .Y(n21969) ); sky130_fd_sc_hd__a21boi_0 U26956 ( .A1(\lsu/stbuf/stbuf_data[2][16] ), .A2( n22017), .B1_N(n21969), .Y(n23543) ); sky130_fd_sc_hd__nor2_1 U26957 ( .A(dma_mem_addr[1]), .B(n22540), .Y(n22078) ); sky130_fd_sc_hd__clkinv_1 U26958 ( .A(n22192), .Y(n21973) ); sky130_fd_sc_hd__clkinv_1 U26959 ( .A(n22044), .Y(n22075) ); sky130_fd_sc_hd__clkinv_1 U26960 ( .A(n22108), .Y(n22073) ); sky130_fd_sc_hd__o22ai_1 U26961 ( .A1(n21971), .A2(n22075), .B1(n21970), .B2(n22073), .Y(n21972) ); sky130_fd_sc_hd__a21oi_1 U26962 ( .A1(n22078), .A2(n21973), .B1(n21972), .Y( n23838) ); sky130_fd_sc_hd__o22ai_1 U26963 ( .A1(n23543), .A2(n22277), .B1(n23838), .B2(n22123), .Y(n22159) ); sky130_fd_sc_hd__a21oi_1 U26964 ( .A1(n22455), .A2( \lsu/sec_data_lo_r_ff [16]), .B1(n22159), .Y(n21974) ); sky130_fd_sc_hd__o21ai_1 U26965 ( .A1( \lsu/dccm_ctl/ld_single_ecc_error_lo_r_ff ), .A2(n22411), .B1(n21974), .Y(dccm_wr_data_lo[16]) ); sky130_fd_sc_hd__nand2_1 U26966 ( .A(n22042), .B(\lsu/sec_data_hi_r_ff [17]), .Y(n22414) ); sky130_fd_sc_hd__o2bb2ai_1 U26967 ( .B1(n21975), .B2(n21999), .A1_N( \lsu/stbuf/stbuf_data[2][17] ), .A2_N(n22017), .Y(n21976) ); sky130_fd_sc_hd__a21oi_1 U26968 ( .A1(\lsu/stbuf/stbuf_data[3][17] ), .A2( n22030), .B1(n21976), .Y(n21977) ); sky130_fd_sc_hd__a21boi_0 U26969 ( .A1(n22035), .A2( \lsu/stbuf/stbuf_data[0][17] ), .B1_N(n21977), .Y(n23485) ); sky130_fd_sc_hd__o22ai_1 U26970 ( .A1(n21979), .A2(n22075), .B1(n21978), .B2(n22073), .Y(n21980) ); sky130_fd_sc_hd__a21oi_1 U26971 ( .A1(n22078), .A2(n21981), .B1(n21980), .Y( n23841) ); sky130_fd_sc_hd__o22ai_1 U26972 ( .A1(n23485), .A2(n22277), .B1(n23841), .B2(n22123), .Y(n22085) ); sky130_fd_sc_hd__a21oi_1 U26973 ( .A1(n22455), .A2( \lsu/sec_data_lo_r_ff [17]), .B1(n22085), .Y(n21982) ); sky130_fd_sc_hd__o21ai_1 U26974 ( .A1( \lsu/dccm_ctl/ld_single_ecc_error_lo_r_ff ), .A2(n22414), .B1(n21982), .Y(dccm_wr_data_lo[17]) ); sky130_fd_sc_hd__nand2_1 U26975 ( .A(n22042), .B(\lsu/sec_data_hi_r_ff [18]), .Y(n22417) ); sky130_fd_sc_hd__o2bb2ai_1 U26976 ( .B1(n21983), .B2(n21999), .A1_N( \lsu/stbuf/stbuf_data[2][18] ), .A2_N(n22017), .Y(n21984) ); sky130_fd_sc_hd__a21oi_1 U26977 ( .A1(\lsu/stbuf/stbuf_data[3][18] ), .A2( n22030), .B1(n21984), .Y(n21985) ); sky130_fd_sc_hd__a21boi_0 U26978 ( .A1(n22035), .A2( \lsu/stbuf/stbuf_data[0][18] ), .B1_N(n21985), .Y(n23491) ); sky130_fd_sc_hd__o22ai_1 U26979 ( .A1(n21987), .A2(n22075), .B1(n21986), .B2(n22073), .Y(n21988) ); sky130_fd_sc_hd__a21oi_1 U26980 ( .A1(n22078), .A2(n21989), .B1(n21988), .Y( n23845) ); sky130_fd_sc_hd__o22ai_1 U26981 ( .A1(n23491), .A2(n22277), .B1(n23845), .B2(n22123), .Y(n22261) ); sky130_fd_sc_hd__a21oi_1 U26982 ( .A1(n22455), .A2( \lsu/sec_data_lo_r_ff [18]), .B1(n22261), .Y(n21990) ); sky130_fd_sc_hd__o21ai_1 U26983 ( .A1( \lsu/dccm_ctl/ld_single_ecc_error_lo_r_ff ), .A2(n22417), .B1(n21990), .Y(dccm_wr_data_lo[18]) ); sky130_fd_sc_hd__nand2_1 U26984 ( .A(n22042), .B(\lsu/sec_data_hi_r_ff [19]), .Y(n22420) ); sky130_fd_sc_hd__o2bb2ai_1 U26985 ( .B1(n22020), .B2(n21991), .A1_N( \lsu/stbuf/stbuf_data[2][19] ), .A2_N(n22017), .Y(n21992) ); sky130_fd_sc_hd__a21oi_1 U26986 ( .A1(\lsu/stbuf/stbuf_data[1][19] ), .A2( n15918), .B1(n21992), .Y(n21993) ); sky130_fd_sc_hd__a21boi_0 U26987 ( .A1(\lsu/stbuf/stbuf_data[3][19] ), .A2( n22030), .B1_N(n21993), .Y(n23498) ); sky130_fd_sc_hd__clkinv_1 U26988 ( .A(n22116), .Y(n21997) ); sky130_fd_sc_hd__o22ai_1 U26989 ( .A1(n21995), .A2(n22075), .B1(n21994), .B2(n22073), .Y(n21996) ); sky130_fd_sc_hd__a21oi_1 U26990 ( .A1(n22078), .A2(n21997), .B1(n21996), .Y( n23849) ); sky130_fd_sc_hd__o22ai_1 U26991 ( .A1(n23498), .A2(n22277), .B1(n23849), .B2(n22123), .Y(n22100) ); sky130_fd_sc_hd__a21oi_1 U26992 ( .A1(n22455), .A2( \lsu/sec_data_lo_r_ff [19]), .B1(n22100), .Y(n21998) ); sky130_fd_sc_hd__o21ai_1 U26993 ( .A1( \lsu/dccm_ctl/ld_single_ecc_error_lo_r_ff ), .A2(n22420), .B1(n21998), .Y(dccm_wr_data_lo[19]) ); sky130_fd_sc_hd__nand2_1 U26994 ( .A(n22042), .B(\lsu/sec_data_hi_r_ff [20]), .Y(n22423) ); sky130_fd_sc_hd__o2bb2ai_1 U26995 ( .B1(n22000), .B2(n21999), .A1_N( \lsu/stbuf/stbuf_data[3][20] ), .A2_N(n22030), .Y(n22001) ); sky130_fd_sc_hd__a21oi_1 U26996 ( .A1(n22035), .A2( \lsu/stbuf/stbuf_data[0][20] ), .B1(n22001), .Y(n22002) ); sky130_fd_sc_hd__a21boi_0 U26997 ( .A1(\lsu/stbuf/stbuf_data[2][20] ), .A2( n22017), .B1_N(n22002), .Y(n23507) ); sky130_fd_sc_hd__o22ai_1 U26998 ( .A1(n22004), .A2(n22075), .B1(n22003), .B2(n22073), .Y(n22005) ); sky130_fd_sc_hd__a21oi_1 U26999 ( .A1(n22078), .A2(n22006), .B1(n22005), .Y( n23852) ); sky130_fd_sc_hd__o22ai_1 U27000 ( .A1(n23507), .A2(n22277), .B1(n23852), .B2(n22123), .Y(n22163) ); sky130_fd_sc_hd__a21oi_1 U27001 ( .A1(n22455), .A2( \lsu/sec_data_lo_r_ff [20]), .B1(n22163), .Y(n22007) ); sky130_fd_sc_hd__o21ai_1 U27002 ( .A1( \lsu/dccm_ctl/ld_single_ecc_error_lo_r_ff ), .A2(n22423), .B1(n22007), .Y(dccm_wr_data_lo[20]) ); sky130_fd_sc_hd__nand2_1 U27003 ( .A(n22042), .B(\lsu/sec_data_hi_r_ff [21]), .Y(n22426) ); sky130_fd_sc_hd__o2bb2ai_1 U27004 ( .B1(n22020), .B2(n22008), .A1_N( \lsu/stbuf/stbuf_data[1][21] ), .A2_N(n15918), .Y(n22009) ); sky130_fd_sc_hd__a21oi_1 U27005 ( .A1(\lsu/stbuf/stbuf_data[3][21] ), .A2( n22030), .B1(n22009), .Y(n22010) ); sky130_fd_sc_hd__a21boi_0 U27006 ( .A1(\lsu/stbuf/stbuf_data[2][21] ), .A2( n22017), .B1_N(n22010), .Y(n23515) ); sky130_fd_sc_hd__clkinv_1 U27007 ( .A(n22119), .Y(n22014) ); sky130_fd_sc_hd__o22ai_1 U27008 ( .A1(n22012), .A2(n22075), .B1(n22011), .B2(n22073), .Y(n22013) ); sky130_fd_sc_hd__a21oi_1 U27009 ( .A1(n22078), .A2(n22014), .B1(n22013), .Y( n23856) ); sky130_fd_sc_hd__o22ai_1 U27010 ( .A1(n23515), .A2(n22277), .B1(n23856), .B2(n22123), .Y(n22090) ); sky130_fd_sc_hd__a21oi_1 U27011 ( .A1(n22455), .A2( \lsu/sec_data_lo_r_ff [21]), .B1(n22090), .Y(n22015) ); sky130_fd_sc_hd__o21ai_1 U27012 ( .A1( \lsu/dccm_ctl/ld_single_ecc_error_lo_r_ff ), .A2(n22426), .B1(n22015), .Y(dccm_wr_data_lo[21]) ); sky130_fd_sc_hd__nand2_1 U27013 ( .A(n22459), .B(\lsu/sec_data_hi_r_ff [22]), .Y(n22429) ); sky130_fd_sc_hd__a22o_1 U27014 ( .A1(\lsu/stbuf/stbuf_data[3][22] ), .A2( n22030), .B1(\lsu/stbuf/stbuf_data[1][22] ), .B2(n15918), .X(n22016) ); sky130_fd_sc_hd__a21oi_1 U27015 ( .A1(\lsu/stbuf/stbuf_data[2][22] ), .A2( n22017), .B1(n22016), .Y(n22018) ); sky130_fd_sc_hd__o21ai_1 U27016 ( .A1(n22020), .A2(n22019), .B1(n22018), .Y( n23565) ); sky130_fd_sc_hd__o22ai_1 U27017 ( .A1(n22022), .A2(n22075), .B1(n22021), .B2(n22073), .Y(n22023) ); sky130_fd_sc_hd__a21oi_1 U27018 ( .A1(n22078), .A2(n22024), .B1(n22023), .Y( n23859) ); sky130_fd_sc_hd__o22ai_1 U27019 ( .A1(n23525), .A2(n22277), .B1(n23859), .B2(n22123), .Y(n22224) ); sky130_fd_sc_hd__a21oi_1 U27020 ( .A1(n22455), .A2( \lsu/sec_data_lo_r_ff [22]), .B1(n22224), .Y(n22025) ); sky130_fd_sc_hd__o21ai_1 U27021 ( .A1( \lsu/dccm_ctl/ld_single_ecc_error_lo_r_ff ), .A2(n22429), .B1(n22025), .Y(dccm_wr_data_lo[22]) ); sky130_fd_sc_hd__nand2_1 U27022 ( .A(n22042), .B(\lsu/sec_data_hi_r_ff [23]), .Y(n22432) ); sky130_fd_sc_hd__o22ai_1 U27023 ( .A1(n22027), .A2(n22075), .B1(n22026), .B2(n22073), .Y(n22028) ); sky130_fd_sc_hd__a21oi_1 U27024 ( .A1(n22078), .A2(n22029), .B1(n22028), .Y( n23864) ); sky130_fd_sc_hd__o2bb2ai_1 U27025 ( .B1(n22032), .B2(n22031), .A1_N( \lsu/stbuf/stbuf_data[3][23] ), .A2_N(n22030), .Y(n22033) ); sky130_fd_sc_hd__a21oi_1 U27026 ( .A1(\lsu/stbuf/stbuf_data[1][23] ), .A2( n15918), .B1(n22033), .Y(n22034) ); sky130_fd_sc_hd__a21boi_0 U27027 ( .A1(n22035), .A2( \lsu/stbuf/stbuf_data[0][23] ), .B1_N(n22034), .Y(n23531) ); sky130_fd_sc_hd__nand2_1 U27028 ( .A(n22197), .B(n23568), .Y(n22036) ); sky130_fd_sc_hd__nand2_1 U27029 ( .A(n22455), .B(\lsu/sec_data_lo_r_ff [23]), .Y(n22434) ); sky130_fd_sc_hd__o211a_2 U27030 ( .A1(n23864), .A2(n22123), .B1(n22036), .C1(n22434), .X(n22300) ); sky130_fd_sc_hd__o21ai_1 U27031 ( .A1( \lsu/dccm_ctl/ld_single_ecc_error_lo_r_ff ), .A2(n22432), .B1(n22300), .Y(dccm_wr_data_lo[23]) ); sky130_fd_sc_hd__a22oi_1 U27032 ( .A1(n22044), .A2(dma_mem_wdata[48]), .B1( n22108), .B2(dma_mem_wdata[32]), .Y(n22040) ); sky130_fd_sc_hd__o21ai_1 U27033 ( .A1(n22037), .A2(dma_mem_addr[2]), .B1( dma_mem_addr[1]), .Y(n22038) ); sky130_fd_sc_hd__o21ai_1 U27034 ( .A1(dma_mem_wdata[56]), .A2(n22540), .B1( n22038), .Y(n22039) ); sky130_fd_sc_hd__a21oi_1 U27035 ( .A1(n22040), .A2(dma_mem_addr[0]), .B1( n22039), .Y(n22041) ); sky130_fd_sc_hd__o21ai_1 U27036 ( .A1(dma_mem_wdata[24]), .A2(n22122), .B1( n22041), .Y(n23870) ); sky130_fd_sc_hd__o22ai_1 U27037 ( .A1(n23430), .A2(n22277), .B1(n22123), .B2(n23870), .Y(n22164) ); sky130_fd_sc_hd__a31oi_1 U27038 ( .A1(n22042), .A2( \lsu/sec_data_hi_r_ff [24]), .A3(n22080), .B1(n22164), .Y(n22043) ); sky130_fd_sc_hd__nand2_1 U27039 ( .A(n22455), .B(\lsu/sec_data_lo_r_ff [24]), .Y(n22436) ); sky130_fd_sc_hd__nand2_1 U27040 ( .A(n22043), .B(n22436), .Y( dccm_wr_data_lo[24]) ); sky130_fd_sc_hd__nand2_1 U27041 ( .A(n22459), .B(\lsu/sec_data_hi_r_ff [25]), .Y(n22438) ); sky130_fd_sc_hd__a22oi_1 U27042 ( .A1(n22044), .A2(dma_mem_wdata[49]), .B1( n22108), .B2(dma_mem_wdata[33]), .Y(n22048) ); sky130_fd_sc_hd__o21ai_1 U27043 ( .A1(n22045), .A2(dma_mem_addr[2]), .B1( dma_mem_addr[1]), .Y(n22046) ); sky130_fd_sc_hd__o21ai_1 U27044 ( .A1(dma_mem_wdata[57]), .A2(n22540), .B1( n22046), .Y(n22047) ); sky130_fd_sc_hd__a21oi_1 U27045 ( .A1(dma_mem_addr[0]), .A2(n22048), .B1( n22047), .Y(n22049) ); sky130_fd_sc_hd__o21ai_1 U27046 ( .A1(dma_mem_wdata[25]), .A2(n22122), .B1( n22049), .Y(n23873) ); sky130_fd_sc_hd__o22ai_1 U27047 ( .A1(n23088), .A2(n22277), .B1(n22123), .B2(n23873), .Y(n22086) ); sky130_fd_sc_hd__a21oi_1 U27048 ( .A1(n22455), .A2( \lsu/sec_data_lo_r_ff [25]), .B1(n22086), .Y(n22050) ); sky130_fd_sc_hd__o21ai_1 U27049 ( .A1( \lsu/dccm_ctl/ld_single_ecc_error_lo_r_ff ), .A2(n22438), .B1(n22050), .Y(dccm_wr_data_lo[25]) ); sky130_fd_sc_hd__nand2_1 U27050 ( .A(n22459), .B(\lsu/sec_data_hi_r_ff [26]), .Y(n22441) ); sky130_fd_sc_hd__o22ai_1 U27051 ( .A1(n22052), .A2(n22075), .B1(n22051), .B2(n22073), .Y(n22053) ); sky130_fd_sc_hd__a31oi_1 U27052 ( .A1(n22078), .A2(dma_mem_wdata[58]), .A3( n22538), .B1(n22053), .Y(n23875) ); sky130_fd_sc_hd__o22ai_1 U27053 ( .A1(n23090), .A2(n22277), .B1(n23875), .B2(n22123), .Y(n22081) ); sky130_fd_sc_hd__a21oi_1 U27054 ( .A1(n22455), .A2( \lsu/sec_data_lo_r_ff [26]), .B1(n22081), .Y(n22054) ); sky130_fd_sc_hd__o21ai_1 U27055 ( .A1( \lsu/dccm_ctl/ld_single_ecc_error_lo_r_ff ), .A2(n22441), .B1(n22054), .Y(dccm_wr_data_lo[26]) ); sky130_fd_sc_hd__nand2_1 U27056 ( .A(n22459), .B(\lsu/sec_data_hi_r_ff [27]), .Y(n22175) ); sky130_fd_sc_hd__clkinv_1 U27057 ( .A(n22177), .Y(n22058) ); sky130_fd_sc_hd__o22ai_1 U27058 ( .A1(n22056), .A2(n22075), .B1(n22055), .B2(n22073), .Y(n22057) ); sky130_fd_sc_hd__a21oi_1 U27059 ( .A1(n22078), .A2(n22058), .B1(n22057), .Y( n23878) ); sky130_fd_sc_hd__o22ai_1 U27060 ( .A1(n23092), .A2(n22277), .B1(n23878), .B2(n22123), .Y(n22148) ); sky130_fd_sc_hd__clkinv_1 U27061 ( .A(n22148), .Y(n22059) ); sky130_fd_sc_hd__nand2_1 U27062 ( .A(n22455), .B(\lsu/sec_data_lo_r_ff [27]), .Y(n22444) ); sky130_fd_sc_hd__o211ai_1 U27063 ( .A1( \lsu/dccm_ctl/ld_single_ecc_error_lo_r_ff ), .A2(n22175), .B1(n22059), .C1(n22444), .Y(dccm_wr_data_lo[27]) ); sky130_fd_sc_hd__nand2_1 U27064 ( .A(n22459), .B(\lsu/sec_data_hi_r_ff [28]), .Y(n22142) ); sky130_fd_sc_hd__o22ai_1 U27065 ( .A1(n22061), .A2(n22075), .B1(n22060), .B2(n22073), .Y(n22062) ); sky130_fd_sc_hd__a31oi_1 U27066 ( .A1(n22078), .A2(dma_mem_wdata[60]), .A3( n22538), .B1(n22062), .Y(n23882) ); sky130_fd_sc_hd__o22ai_1 U27067 ( .A1(n23094), .A2(n22277), .B1(n23882), .B2(n22123), .Y(n22095) ); sky130_fd_sc_hd__clkinv_1 U27068 ( .A(n22095), .Y(n22063) ); sky130_fd_sc_hd__nand2_1 U27069 ( .A(n22455), .B(\lsu/sec_data_lo_r_ff [28]), .Y(n22446) ); sky130_fd_sc_hd__o211ai_1 U27070 ( .A1( \lsu/dccm_ctl/ld_single_ecc_error_lo_r_ff ), .A2(n22142), .B1(n22063), .C1(n22446), .Y(dccm_wr_data_lo[28]) ); sky130_fd_sc_hd__nand2_1 U27071 ( .A(n22459), .B(\lsu/sec_data_hi_r_ff [29]), .Y(n22448) ); sky130_fd_sc_hd__clkinv_1 U27072 ( .A(n22238), .Y(n22067) ); sky130_fd_sc_hd__o22ai_1 U27073 ( .A1(n22065), .A2(n22075), .B1(n22064), .B2(n22073), .Y(n22066) ); sky130_fd_sc_hd__a21oi_1 U27074 ( .A1(n22078), .A2(n22067), .B1(n22066), .Y( n23888) ); sky130_fd_sc_hd__o22ai_1 U27075 ( .A1(n23096), .A2(n22277), .B1(n23888), .B2(n22123), .Y(n22223) ); sky130_fd_sc_hd__a21oi_1 U27076 ( .A1(n22455), .A2( \lsu/sec_data_lo_r_ff [29]), .B1(n22223), .Y(n22068) ); sky130_fd_sc_hd__o21ai_1 U27077 ( .A1( \lsu/dccm_ctl/ld_single_ecc_error_lo_r_ff ), .A2(n22448), .B1(n22068), .Y(dccm_wr_data_lo[29]) ); sky130_fd_sc_hd__nand2_1 U27078 ( .A(n22459), .B(\lsu/sec_data_hi_r_ff [30]), .Y(n22451) ); sky130_fd_sc_hd__o22ai_1 U27079 ( .A1(n22070), .A2(n22075), .B1(n22069), .B2(n22073), .Y(n22071) ); sky130_fd_sc_hd__a31oi_1 U27080 ( .A1(n22078), .A2(dma_mem_wdata[62]), .A3( n22538), .B1(n22071), .Y(n23894) ); sky130_fd_sc_hd__o22ai_1 U27081 ( .A1(n23098), .A2(n22277), .B1(n23894), .B2(n22123), .Y(n22096) ); sky130_fd_sc_hd__a21oi_1 U27082 ( .A1(n22455), .A2( \lsu/sec_data_lo_r_ff [30]), .B1(n22096), .Y(n22072) ); sky130_fd_sc_hd__o21ai_1 U27083 ( .A1( \lsu/dccm_ctl/ld_single_ecc_error_lo_r_ff ), .A2(n22451), .B1(n22072), .Y(dccm_wr_data_lo[30]) ); sky130_fd_sc_hd__nand2_1 U27084 ( .A(n22459), .B(\lsu/sec_data_hi_r_ff [31]), .Y(n22456) ); sky130_fd_sc_hd__o22ai_1 U27085 ( .A1(n22076), .A2(n22075), .B1(n22074), .B2(n22073), .Y(n22077) ); sky130_fd_sc_hd__a31oi_1 U27086 ( .A1(n22078), .A2(dma_mem_wdata[63]), .A3( n22538), .B1(n22077), .Y(n23900) ); sky130_fd_sc_hd__o22ai_1 U27087 ( .A1(n23100), .A2(n22277), .B1(n23900), .B2(n22123), .Y(n22168) ); sky130_fd_sc_hd__a21oi_1 U27088 ( .A1(n22455), .A2( \lsu/sec_data_lo_r_ff [31]), .B1(n22168), .Y(n22079) ); sky130_fd_sc_hd__o21ai_1 U27089 ( .A1( \lsu/dccm_ctl/ld_single_ecc_error_lo_r_ff ), .A2(n22456), .B1(n22079), .Y(dccm_wr_data_lo[31]) ); sky130_fd_sc_hd__nand2_1 U27090 ( .A(n22080), .B(n22459), .Y(n22361) ); sky130_fd_sc_hd__a21oi_1 U27091 ( .A1(\lsu/sec_data_lo_r_ff [26]), .A2( n22278), .B1(n22081), .Y(n22316) ); sky130_fd_sc_hd__a21oi_1 U27092 ( .A1(\lsu/sec_data_lo_r_ff [1]), .A2(n22278), .B1(n22082), .Y(n22084) ); sky130_fd_sc_hd__a21oi_1 U27093 ( .A1(\lsu/sec_data_lo_r_ff [10]), .A2( n22278), .B1(n22083), .Y(n22158) ); sky130_fd_sc_hd__xnor2_1 U27094 ( .A(n22158), .B(n22300), .Y(n22272) ); sky130_fd_sc_hd__xnor2_1 U27095 ( .A(n22084), .B(n22272), .Y(n22221) ); sky130_fd_sc_hd__xor2_1 U27096 ( .A(n22316), .B(n22221), .X(n22328) ); sky130_fd_sc_hd__a21oi_1 U27097 ( .A1(\lsu/sec_data_lo_r_ff [17]), .A2( n22278), .B1(n22085), .Y(n22330) ); sky130_fd_sc_hd__a21oi_1 U27098 ( .A1(\lsu/sec_data_lo_r_ff [25]), .A2( n22278), .B1(n22086), .Y(n22260) ); sky130_fd_sc_hd__xor2_1 U27099 ( .A(n22330), .B(n22260), .X(n22161) ); sky130_fd_sc_hd__a21oi_1 U27100 ( .A1(\lsu/sec_data_lo_r_ff [4]), .A2(n22278), .B1(n22087), .Y(n22329) ); sky130_fd_sc_hd__a21oi_1 U27101 ( .A1(\lsu/sec_data_lo_r_ff [6]), .A2(n22278), .B1(n22088), .Y(n22156) ); sky130_fd_sc_hd__xor2_1 U27102 ( .A(n22329), .B(n22156), .X(n22271) ); sky130_fd_sc_hd__xnor2_1 U27103 ( .A(n22161), .B(n22271), .Y(n22106) ); sky130_fd_sc_hd__a21oi_1 U27104 ( .A1(\lsu/sec_data_lo_r_ff [0]), .A2(n22278), .B1(n22089), .Y(n22155) ); sky130_fd_sc_hd__a21oi_1 U27105 ( .A1(\lsu/sec_data_lo_r_ff [21]), .A2( n22278), .B1(n22090), .Y(n22165) ); sky130_fd_sc_hd__xnor2_1 U27106 ( .A(n22155), .B(n22165), .Y(n22094) ); sky130_fd_sc_hd__a21oi_1 U27107 ( .A1(\lsu/sec_data_lo_r_ff [13]), .A2( n22278), .B1(n22091), .Y(n22162) ); sky130_fd_sc_hd__a21oi_1 U27108 ( .A1(\lsu/sec_data_lo_r_ff [8]), .A2(n22278), .B1(n22092), .Y(n22225) ); sky130_fd_sc_hd__xor2_1 U27109 ( .A(n22162), .B(n22225), .X(n22093) ); sky130_fd_sc_hd__xnor2_1 U27110 ( .A(n22094), .B(n22093), .Y(n22097) ); sky130_fd_sc_hd__a21oi_1 U27111 ( .A1(\lsu/sec_data_lo_r_ff [28]), .A2( n22278), .B1(n22095), .Y(n22157) ); sky130_fd_sc_hd__a21oi_1 U27112 ( .A1(\lsu/sec_data_lo_r_ff [30]), .A2( n22278), .B1(n22096), .Y(n22212) ); sky130_fd_sc_hd__xor2_1 U27113 ( .A(n22157), .B(n22212), .X(n22322) ); sky130_fd_sc_hd__xnor2_1 U27114 ( .A(n22097), .B(n22322), .Y(n22104) ); sky130_fd_sc_hd__a21oi_1 U27115 ( .A1(\lsu/sec_data_lo_r_ff [11]), .A2( n22278), .B1(n22098), .Y(n22332) ); sky130_fd_sc_hd__a21oi_1 U27116 ( .A1(\lsu/sec_data_lo_r_ff [15]), .A2( n22278), .B1(n22099), .Y(n22211) ); sky130_fd_sc_hd__xnor2_1 U27117 ( .A(n22332), .B(n22211), .Y(n22101) ); sky130_fd_sc_hd__a21oi_1 U27118 ( .A1(\lsu/sec_data_lo_r_ff [19]), .A2( n22278), .B1(n22100), .Y(n22259) ); sky130_fd_sc_hd__xnor2_1 U27119 ( .A(n22101), .B(n22259), .Y(n22295) ); sky130_fd_sc_hd__a21oi_1 U27120 ( .A1(\lsu/sec_data_lo_r_ff [3]), .A2(n22278), .B1(n22102), .Y(n22214) ); sky130_fd_sc_hd__xor2_1 U27121 ( .A(n22295), .B(n22214), .X(n22103) ); sky130_fd_sc_hd__xnor2_1 U27122 ( .A(n22104), .B(n22103), .Y(n22105) ); sky130_fd_sc_hd__xnor2_1 U27123 ( .A(n22106), .B(n22105), .Y(n22107) ); sky130_fd_sc_hd__xnor2_1 U27124 ( .A(n22328), .B(n22107), .Y(n22460) ); sky130_fd_sc_hd__nand2_1 U27125 ( .A(n22108), .B(n22458), .Y(n22275) ); sky130_fd_sc_hd__o22ai_1 U27126 ( .A1(n23485), .A2(n22277), .B1(n22109), .B2(n22275), .Y(n22413) ); sky130_fd_sc_hd__a21oi_1 U27127 ( .A1(\lsu/sec_data_hi_r_ff [17]), .A2( n22278), .B1(n22413), .Y(n22188) ); sky130_fd_sc_hd__clkinv_1 U27128 ( .A(n22110), .Y(n22111) ); sky130_fd_sc_hd__nand2_1 U27129 ( .A(n22458), .B(n22540), .Y(n22250) ); sky130_fd_sc_hd__o22ai_1 U27130 ( .A1(n23630), .A2(n22277), .B1(n22111), .B2(n22250), .Y(n22395) ); sky130_fd_sc_hd__a21oi_1 U27131 ( .A1(\lsu/sec_data_hi_r_ff [11]), .A2( n22278), .B1(n22395), .Y(n22308) ); sky130_fd_sc_hd__clkinv_1 U27132 ( .A(n22112), .Y(n22113) ); sky130_fd_sc_hd__o22ai_1 U27133 ( .A1(n23748), .A2(n22277), .B1(n22113), .B2(n22250), .Y(n22375) ); sky130_fd_sc_hd__a21oi_1 U27134 ( .A1(\lsu/sec_data_hi_r_ff [4]), .A2(n22278), .B1(n22375), .Y(n22281) ); sky130_fd_sc_hd__xor2_1 U27135 ( .A(n22308), .B(n22281), .X(n22342) ); sky130_fd_sc_hd__xnor2_1 U27136 ( .A(n22188), .B(n22342), .Y(n22118) ); sky130_fd_sc_hd__clkinv_1 U27137 ( .A(n22114), .Y(n22115) ); sky130_fd_sc_hd__o22ai_1 U27138 ( .A1(n23656), .A2(n22277), .B1(n22115), .B2(n22250), .Y(n22407) ); sky130_fd_sc_hd__a21oi_1 U27139 ( .A1(\lsu/sec_data_hi_r_ff [15]), .A2( n22278), .B1(n22407), .Y(n22254) ); sky130_fd_sc_hd__o22ai_1 U27140 ( .A1(n23498), .A2(n22277), .B1(n22116), .B2(n22275), .Y(n22419) ); sky130_fd_sc_hd__a21oi_1 U27141 ( .A1(\lsu/sec_data_hi_r_ff [19]), .A2( n22278), .B1(n22419), .Y(n22279) ); sky130_fd_sc_hd__xor2_1 U27142 ( .A(n22254), .B(n22279), .X(n22117) ); sky130_fd_sc_hd__xnor2_1 U27143 ( .A(n22118), .B(n22117), .Y(n22133) ); sky130_fd_sc_hd__o22ai_1 U27144 ( .A1(n23515), .A2(n22277), .B1(n22119), .B2(n22275), .Y(n22425) ); sky130_fd_sc_hd__a21oi_1 U27145 ( .A1(\lsu/sec_data_hi_r_ff [21]), .A2( n22278), .B1(n22425), .Y(n22305) ); sky130_fd_sc_hd__clkinv_1 U27146 ( .A(n22120), .Y(n22121) ); sky130_fd_sc_hd__o22ai_1 U27147 ( .A1(n23625), .A2(n22277), .B1(n22121), .B2(n22250), .Y(n22392) ); sky130_fd_sc_hd__a21oi_1 U27148 ( .A1(\lsu/sec_data_hi_r_ff [10]), .A2( n22278), .B1(n22392), .Y(n22231) ); sky130_fd_sc_hd__xor2_1 U27149 ( .A(n22305), .B(n22231), .X(n22181) ); sky130_fd_sc_hd__nor2_1 U27150 ( .A(n22123), .B(n22122), .Y(n22194) ); sky130_fd_sc_hd__o2bb2ai_1 U27151 ( .B1(n23090), .B2(n22277), .A1_N( dma_mem_wdata[58]), .A2_N(n22194), .Y(n22440) ); sky130_fd_sc_hd__a21oi_1 U27152 ( .A1(\lsu/sec_data_hi_r_ff [26]), .A2( n22278), .B1(n22440), .Y(n22345) ); sky130_fd_sc_hd__o2bb2ai_1 U27153 ( .B1(n23098), .B2(n22277), .A1_N( dma_mem_wdata[62]), .A2_N(n22194), .Y(n22450) ); sky130_fd_sc_hd__a21oi_1 U27154 ( .A1(\lsu/sec_data_hi_r_ff [30]), .A2( n22278), .B1(n22450), .Y(n22230) ); sky130_fd_sc_hd__xor2_1 U27155 ( .A(n22345), .B(n22230), .X(n22324) ); sky130_fd_sc_hd__xnor2_1 U27156 ( .A(n22181), .B(n22324), .Y(n22131) ); sky130_fd_sc_hd__o22ai_1 U27157 ( .A1(n23531), .A2(n22277), .B1(n22124), .B2(n22275), .Y(n22431) ); sky130_fd_sc_hd__a21oi_1 U27158 ( .A1(\lsu/sec_data_hi_r_ff [23]), .A2( n22278), .B1(n22431), .Y(n22348) ); sky130_fd_sc_hd__clkinv_1 U27159 ( .A(n22194), .Y(n22185) ); sky130_fd_sc_hd__o22ai_1 U27160 ( .A1(n23088), .A2(n22277), .B1(n22125), .B2(n22185), .Y(n22437) ); sky130_fd_sc_hd__a21oi_1 U27161 ( .A1(\lsu/sec_data_hi_r_ff [25]), .A2( n22278), .B1(n22437), .Y(n22203) ); sky130_fd_sc_hd__xor2_1 U27162 ( .A(n22348), .B(n22203), .X(n22248) ); sky130_fd_sc_hd__clkinv_1 U27163 ( .A(n22126), .Y(n22127) ); sky130_fd_sc_hd__o22ai_1 U27164 ( .A1(n23726), .A2(n22277), .B1(n22127), .B2(n22250), .Y(n22366) ); sky130_fd_sc_hd__a21oi_1 U27165 ( .A1(\lsu/sec_data_hi_r_ff [1]), .A2(n22278), .B1(n22366), .Y(n22347) ); sky130_fd_sc_hd__clkinv_1 U27166 ( .A(n22128), .Y(n22129) ); sky130_fd_sc_hd__o22ai_1 U27167 ( .A1(n23613), .A2(n22277), .B1(n22129), .B2(n22250), .Y(n22387) ); sky130_fd_sc_hd__a21oi_1 U27168 ( .A1(\lsu/sec_data_hi_r_ff [8]), .A2(n22278), .B1(n22387), .Y(n22280) ); sky130_fd_sc_hd__xor2_1 U27169 ( .A(n22347), .B(n22280), .X(n22236) ); sky130_fd_sc_hd__xor2_1 U27170 ( .A(n22248), .B(n22236), .X(n22130) ); sky130_fd_sc_hd__xnor2_1 U27171 ( .A(n22131), .B(n22130), .Y(n22132) ); sky130_fd_sc_hd__xnor2_1 U27172 ( .A(n22133), .B(n22132), .Y(n22147) ); sky130_fd_sc_hd__clkinv_1 U27173 ( .A(n22134), .Y(n22135) ); sky130_fd_sc_hd__o22ai_1 U27174 ( .A1(n23642), .A2(n22277), .B1(n22135), .B2(n22250), .Y(n22401) ); sky130_fd_sc_hd__a21oi_1 U27175 ( .A1(\lsu/sec_data_hi_r_ff [13]), .A2( n22278), .B1(n22401), .Y(n22306) ); sky130_fd_sc_hd__clkinv_1 U27176 ( .A(n22136), .Y(n22137) ); sky130_fd_sc_hd__o22ai_1 U27177 ( .A1(n23762), .A2(n22277), .B1(n22137), .B2(n22250), .Y(n22381) ); sky130_fd_sc_hd__a21oi_1 U27178 ( .A1(\lsu/sec_data_hi_r_ff [6]), .A2(n22278), .B1(n22381), .Y(n22283) ); sky130_fd_sc_hd__clkinv_1 U27179 ( .A(n22138), .Y(n22139) ); sky130_fd_sc_hd__o22ai_1 U27180 ( .A1(n23741), .A2(n22277), .B1(n22139), .B2(n22250), .Y(n22372) ); sky130_fd_sc_hd__a21oi_1 U27181 ( .A1(\lsu/sec_data_hi_r_ff [3]), .A2(n22278), .B1(n22372), .Y(n22237) ); sky130_fd_sc_hd__xnor2_1 U27182 ( .A(n22283), .B(n22237), .Y(n22145) ); sky130_fd_sc_hd__clkinv_1 U27183 ( .A(n22140), .Y(n22141) ); sky130_fd_sc_hd__o22ai_1 U27184 ( .A1(n23716), .A2(n22277), .B1(n22141), .B2(n22250), .Y(n22363) ); sky130_fd_sc_hd__a21oi_1 U27185 ( .A1(\lsu/sec_data_hi_r_ff [0]), .A2(n22278), .B1(n22363), .Y(n22346) ); sky130_fd_sc_hd__o21ai_1 U27186 ( .A1(n23094), .A2(n22277), .B1(n22142), .Y( n22143) ); sky130_fd_sc_hd__a21oi_1 U27187 ( .A1(dma_mem_wdata[60]), .A2(n22194), .B1( n22143), .Y(n22445) ); sky130_fd_sc_hd__xor2_1 U27188 ( .A(n22346), .B(n22445), .X(n22144) ); sky130_fd_sc_hd__xnor2_1 U27189 ( .A(n22145), .B(n22144), .Y(n22146) ); sky130_fd_sc_hd__xnor2_1 U27190 ( .A(n22306), .B(n22146), .Y(n22204) ); sky130_fd_sc_hd__xnor2_1 U27191 ( .A(n22147), .B(n22204), .Y(n22461) ); sky130_fd_sc_hd__o22ai_1 U27192 ( .A1(n22362), .A2(n22460), .B1(n22361), .B2(n22461), .Y(dccm_wr_data_lo[32]) ); sky130_fd_sc_hd__a21oi_1 U27193 ( .A1(\lsu/sec_data_lo_r_ff [27]), .A2( n22278), .B1(n22148), .Y(n22315) ); sky130_fd_sc_hd__a21oi_1 U27194 ( .A1(\lsu/sec_data_lo_r_ff [5]), .A2(n22278), .B1(n22149), .Y(n22258) ); sky130_fd_sc_hd__xnor2_1 U27195 ( .A(n22315), .B(n22258), .Y(n22153) ); sky130_fd_sc_hd__a21oi_1 U27196 ( .A1(\lsu/sec_data_lo_r_ff [12]), .A2( n22278), .B1(n22150), .Y(n22299) ); sky130_fd_sc_hd__a21oi_1 U27197 ( .A1(\lsu/sec_data_lo_r_ff [2]), .A2(n22278), .B1(n22151), .Y(n22209) ); sky130_fd_sc_hd__xor2_1 U27198 ( .A(n22299), .B(n22209), .X(n22152) ); sky130_fd_sc_hd__xnor2_1 U27199 ( .A(n22153), .B(n22152), .Y(n22154) ); sky130_fd_sc_hd__xor2_1 U27200 ( .A(n22155), .B(n22154), .X(n22327) ); sky130_fd_sc_hd__xnor2_1 U27201 ( .A(n22156), .B(n22214), .Y(n22173) ); sky130_fd_sc_hd__xnor2_1 U27202 ( .A(n22158), .B(n22157), .Y(n22171) ); sky130_fd_sc_hd__a21oi_1 U27203 ( .A1(\lsu/sec_data_lo_r_ff [16]), .A2( n22278), .B1(n22159), .Y(n22160) ); sky130_fd_sc_hd__xor2_1 U27204 ( .A(n22161), .B(n22160), .X(n22220) ); sky130_fd_sc_hd__xnor2_1 U27205 ( .A(n22162), .B(n22220), .Y(n22167) ); sky130_fd_sc_hd__a21oi_1 U27206 ( .A1(\lsu/sec_data_lo_r_ff [20]), .A2( n22278), .B1(n22163), .Y(n22166) ); sky130_fd_sc_hd__a21oi_1 U27207 ( .A1(\lsu/sec_data_lo_r_ff [24]), .A2( n22278), .B1(n22164), .Y(n22213) ); sky130_fd_sc_hd__xnor2_1 U27208 ( .A(n22165), .B(n22213), .Y(n22337) ); sky130_fd_sc_hd__xnor2_1 U27209 ( .A(n22166), .B(n22337), .Y(n22270) ); sky130_fd_sc_hd__xnor2_1 U27210 ( .A(n22167), .B(n22270), .Y(n22294) ); sky130_fd_sc_hd__a21oi_1 U27211 ( .A1(\lsu/sec_data_lo_r_ff [31]), .A2( n22278), .B1(n22168), .Y(n22318) ); sky130_fd_sc_hd__a21oi_1 U27212 ( .A1(\lsu/sec_data_lo_r_ff [9]), .A2(n22278), .B1(n22169), .Y(n22262) ); sky130_fd_sc_hd__xor2_1 U27213 ( .A(n22318), .B(n22262), .X(n22208) ); sky130_fd_sc_hd__xor2_1 U27214 ( .A(n22294), .B(n22208), .X(n22170) ); sky130_fd_sc_hd__xnor2_1 U27215 ( .A(n22171), .B(n22170), .Y(n22172) ); sky130_fd_sc_hd__xnor2_1 U27216 ( .A(n22173), .B(n22172), .Y(n22174) ); sky130_fd_sc_hd__xnor2_1 U27217 ( .A(n22327), .B(n22174), .Y(n22462) ); sky130_fd_sc_hd__nand2_1 U27218 ( .A(n22197), .B(n23444), .Y(n22176) ); sky130_fd_sc_hd__o211a_2 U27219 ( .A1(n22275), .A2(n22177), .B1(n22176), .C1(n22175), .X(n22443) ); sky130_fd_sc_hd__clkinv_1 U27220 ( .A(n22178), .Y(n22179) ); sky130_fd_sc_hd__o22ai_1 U27221 ( .A1(n23754), .A2(n22277), .B1(n22179), .B2(n22250), .Y(n22378) ); sky130_fd_sc_hd__a21oi_1 U27222 ( .A1(\lsu/sec_data_hi_r_ff [5]), .A2(n22278), .B1(n22378), .Y(n22180) ); sky130_fd_sc_hd__xor2_1 U27223 ( .A(n22181), .B(n22180), .X(n22282) ); sky130_fd_sc_hd__o22ai_1 U27224 ( .A1(n23733), .A2(n22277), .B1(n22183), .B2(n22250), .Y(n22369) ); sky130_fd_sc_hd__a21oi_1 U27225 ( .A1(\lsu/sec_data_hi_r_ff [2]), .A2(n22278), .B1(n22369), .Y(n22233) ); sky130_fd_sc_hd__xor2_1 U27226 ( .A(n22282), .B(n22233), .X(n22184) ); sky130_fd_sc_hd__xor2_1 U27227 ( .A(n22443), .B(n22184), .X(n22360) ); sky130_fd_sc_hd__o22ai_1 U27228 ( .A1(n23430), .A2(n22277), .B1(n22186), .B2(n22185), .Y(n22187) ); sky130_fd_sc_hd__a21oi_1 U27229 ( .A1(n22459), .A2( \lsu/sec_data_hi_r_ff [24]), .B1(n22187), .Y(n22435) ); sky130_fd_sc_hd__xor2_1 U27230 ( .A(n22188), .B(n22435), .X(n22253) ); sky130_fd_sc_hd__o22ai_1 U27231 ( .A1(n23507), .A2(n22277), .B1(n22189), .B2(n22275), .Y(n22422) ); sky130_fd_sc_hd__a21oi_1 U27232 ( .A1(\lsu/sec_data_hi_r_ff [20]), .A2( n22278), .B1(n22422), .Y(n22285) ); sky130_fd_sc_hd__clkinv_1 U27233 ( .A(n22190), .Y(n22191) ); sky130_fd_sc_hd__o22ai_1 U27234 ( .A1(n23637), .A2(n22277), .B1(n22191), .B2(n22250), .Y(n22398) ); sky130_fd_sc_hd__a21oi_1 U27235 ( .A1(\lsu/sec_data_hi_r_ff [12]), .A2( n22278), .B1(n22398), .Y(n22341) ); sky130_fd_sc_hd__o22ai_1 U27236 ( .A1(n23543), .A2(n22277), .B1(n22192), .B2(n22275), .Y(n22410) ); sky130_fd_sc_hd__a21oi_1 U27237 ( .A1(\lsu/sec_data_hi_r_ff [16]), .A2( n22278), .B1(n22410), .Y(n22232) ); sky130_fd_sc_hd__xor2_1 U27238 ( .A(n22341), .B(n22232), .X(n22193) ); sky130_fd_sc_hd__xnor2_1 U27239 ( .A(n22285), .B(n22193), .Y(n22311) ); sky130_fd_sc_hd__o2bb2ai_1 U27240 ( .B1(n23100), .B2(n22277), .A1_N( dma_mem_wdata[63]), .A2_N(n22194), .Y(n22453) ); sky130_fd_sc_hd__a21oi_1 U27241 ( .A1(\lsu/sec_data_hi_r_ff [31]), .A2( n22278), .B1(n22453), .Y(n22239) ); sky130_fd_sc_hd__xnor2_1 U27242 ( .A(n22311), .B(n22239), .Y(n22201) ); sky130_fd_sc_hd__clkinv_1 U27243 ( .A(n22195), .Y(n22200) ); sky130_fd_sc_hd__nand2_1 U27244 ( .A(n22197), .B(n22196), .Y(n22199) ); sky130_fd_sc_hd__o211a_2 U27245 ( .A1(n22250), .A2(n22200), .B1(n22199), .C1(n22198), .X(n22390) ); sky130_fd_sc_hd__xor2_1 U27246 ( .A(n22201), .B(n22390), .X(n22202) ); sky130_fd_sc_hd__xnor2_1 U27247 ( .A(n22253), .B(n22202), .Y(n22206) ); sky130_fd_sc_hd__xnor2_1 U27248 ( .A(n22204), .B(n22203), .Y(n22205) ); sky130_fd_sc_hd__xnor2_1 U27249 ( .A(n22206), .B(n22205), .Y(n22207) ); sky130_fd_sc_hd__xnor2_1 U27250 ( .A(n22360), .B(n22207), .Y(n22463) ); sky130_fd_sc_hd__o22ai_1 U27251 ( .A1(n22362), .A2(n22462), .B1(n22361), .B2(n22463), .Y(dccm_wr_data_lo[33]) ); sky130_fd_sc_hd__xnor2_1 U27252 ( .A(n22209), .B(n22208), .Y(n22219) ); sky130_fd_sc_hd__a21oi_1 U27253 ( .A1(\lsu/sec_data_lo_r_ff [14]), .A2( n22278), .B1(n22210), .Y(n22297) ); sky130_fd_sc_hd__xnor2_1 U27254 ( .A(n22212), .B(n22211), .Y(n22216) ); sky130_fd_sc_hd__xor2_1 U27255 ( .A(n22214), .B(n22213), .X(n22215) ); sky130_fd_sc_hd__xnor2_1 U27256 ( .A(n22216), .B(n22215), .Y(n22217) ); sky130_fd_sc_hd__xor2_1 U27257 ( .A(n22297), .B(n22217), .X(n22218) ); sky130_fd_sc_hd__xnor2_1 U27258 ( .A(n22219), .B(n22218), .Y(n22229) ); sky130_fd_sc_hd__xnor2_1 U27259 ( .A(n22221), .B(n22220), .Y(n22227) ); sky130_fd_sc_hd__a21oi_1 U27260 ( .A1(\lsu/sec_data_lo_r_ff [7]), .A2(n22278), .B1(n22222), .Y(n22257) ); sky130_fd_sc_hd__a21oi_1 U27261 ( .A1(\lsu/sec_data_lo_r_ff [29]), .A2( n22278), .B1(n22223), .Y(n22317) ); sky130_fd_sc_hd__xor2_1 U27262 ( .A(n22257), .B(n22317), .X(n22331) ); sky130_fd_sc_hd__a21oi_1 U27263 ( .A1(\lsu/sec_data_lo_r_ff [22]), .A2( n22278), .B1(n22224), .Y(n22298) ); sky130_fd_sc_hd__xor2_1 U27264 ( .A(n22225), .B(n22298), .X(n22266) ); sky130_fd_sc_hd__xor2_1 U27265 ( .A(n22331), .B(n22266), .X(n22226) ); sky130_fd_sc_hd__xnor2_1 U27266 ( .A(n22227), .B(n22226), .Y(n22228) ); sky130_fd_sc_hd__xnor2_1 U27267 ( .A(n22229), .B(n22228), .Y(n22464) ); sky130_fd_sc_hd__xnor2_1 U27268 ( .A(n22231), .B(n22230), .Y(n22235) ); sky130_fd_sc_hd__xor2_1 U27269 ( .A(n22233), .B(n22232), .X(n22234) ); sky130_fd_sc_hd__xnor2_1 U27270 ( .A(n22235), .B(n22234), .Y(n22245) ); sky130_fd_sc_hd__xnor2_1 U27271 ( .A(n22237), .B(n22236), .Y(n22243) ); sky130_fd_sc_hd__o22ai_1 U27272 ( .A1(n23096), .A2(n22277), .B1(n22238), .B2(n22275), .Y(n22447) ); sky130_fd_sc_hd__a21oi_1 U27273 ( .A1(\lsu/sec_data_hi_r_ff [29]), .A2( n22278), .B1(n22447), .Y(n22343) ); sky130_fd_sc_hd__xor2_1 U27274 ( .A(n22239), .B(n22343), .X(n22323) ); sky130_fd_sc_hd__clkinv_1 U27275 ( .A(n22240), .Y(n22241) ); sky130_fd_sc_hd__o22ai_1 U27276 ( .A1(n23774), .A2(n22277), .B1(n22241), .B2(n22250), .Y(n22384) ); sky130_fd_sc_hd__a21oi_1 U27277 ( .A1(\lsu/sec_data_hi_r_ff [7]), .A2(n22278), .B1(n22384), .Y(n22352) ); sky130_fd_sc_hd__xor2_1 U27278 ( .A(n22352), .B(n22390), .X(n22289) ); sky130_fd_sc_hd__xor2_1 U27279 ( .A(n22323), .B(n22289), .X(n22242) ); sky130_fd_sc_hd__xnor2_1 U27280 ( .A(n22243), .B(n22242), .Y(n22244) ); sky130_fd_sc_hd__xnor2_1 U27281 ( .A(n22245), .B(n22244), .Y(n22256) ); sky130_fd_sc_hd__o22ai_1 U27282 ( .A1(n23525), .A2(n22277), .B1(n22246), .B2(n22275), .Y(n22428) ); sky130_fd_sc_hd__a21oi_1 U27283 ( .A1(\lsu/sec_data_hi_r_ff [22]), .A2( n22278), .B1(n22428), .Y(n22247) ); sky130_fd_sc_hd__xor2_1 U27284 ( .A(n22248), .B(n22247), .X(n22284) ); sky130_fd_sc_hd__clkinv_1 U27285 ( .A(n22249), .Y(n22251) ); sky130_fd_sc_hd__o22ai_1 U27286 ( .A1(n23648), .A2(n22277), .B1(n22251), .B2(n22250), .Y(n22404) ); sky130_fd_sc_hd__a21oi_1 U27287 ( .A1(\lsu/sec_data_hi_r_ff [14]), .A2( n22278), .B1(n22404), .Y(n22252) ); sky130_fd_sc_hd__xor2_1 U27288 ( .A(n22253), .B(n22252), .X(n22344) ); sky130_fd_sc_hd__xor2_1 U27289 ( .A(n22254), .B(n22344), .X(n22255) ); sky130_fd_sc_hd__xnor2_1 U27290 ( .A(n22284), .B(n22255), .Y(n22313) ); sky130_fd_sc_hd__xnor2_1 U27291 ( .A(n22256), .B(n22313), .Y(n22465) ); sky130_fd_sc_hd__o22ai_1 U27292 ( .A1(n22362), .A2(n22464), .B1(n22361), .B2(n22465), .Y(dccm_wr_data_lo[34]) ); sky130_fd_sc_hd__xnor2_1 U27293 ( .A(n22258), .B(n22257), .Y(n22268) ); sky130_fd_sc_hd__xnor2_1 U27294 ( .A(n22260), .B(n22259), .Y(n22264) ); sky130_fd_sc_hd__a21oi_1 U27295 ( .A1(\lsu/sec_data_lo_r_ff [18]), .A2( n22278), .B1(n22261), .Y(n22296) ); sky130_fd_sc_hd__xor2_1 U27296 ( .A(n22262), .B(n22296), .X(n22263) ); sky130_fd_sc_hd__xnor2_1 U27297 ( .A(n22264), .B(n22263), .Y(n22265) ); sky130_fd_sc_hd__xor2_1 U27298 ( .A(n22266), .B(n22265), .X(n22267) ); sky130_fd_sc_hd__xnor2_1 U27299 ( .A(n22268), .B(n22267), .Y(n22269) ); sky130_fd_sc_hd__xnor2_1 U27300 ( .A(n22270), .B(n22269), .Y(n22274) ); sky130_fd_sc_hd__xnor2_1 U27301 ( .A(n22272), .B(n22271), .Y(n22273) ); sky130_fd_sc_hd__xnor2_1 U27302 ( .A(n22274), .B(n22273), .Y(n22466) ); sky130_fd_sc_hd__o22ai_1 U27303 ( .A1(n23491), .A2(n22277), .B1(n22276), .B2(n22275), .Y(n22416) ); sky130_fd_sc_hd__a21oi_1 U27304 ( .A1(\lsu/sec_data_hi_r_ff [18]), .A2( n22278), .B1(n22416), .Y(n22356) ); sky130_fd_sc_hd__xor2_1 U27305 ( .A(n22279), .B(n22356), .X(n22307) ); sky130_fd_sc_hd__xnor2_1 U27306 ( .A(n22280), .B(n22307), .Y(n22293) ); sky130_fd_sc_hd__xnor2_1 U27307 ( .A(n22281), .B(n22435), .Y(n22291) ); sky130_fd_sc_hd__xnor2_1 U27308 ( .A(n22283), .B(n22282), .Y(n22287) ); sky130_fd_sc_hd__xor2_1 U27309 ( .A(n22285), .B(n22284), .X(n22286) ); sky130_fd_sc_hd__xnor2_1 U27310 ( .A(n22287), .B(n22286), .Y(n22288) ); sky130_fd_sc_hd__xor2_1 U27311 ( .A(n22289), .B(n22288), .X(n22290) ); sky130_fd_sc_hd__xnor2_1 U27312 ( .A(n22291), .B(n22290), .Y(n22292) ); sky130_fd_sc_hd__xnor2_1 U27313 ( .A(n22293), .B(n22292), .Y(n22467) ); sky130_fd_sc_hd__o22ai_1 U27314 ( .A1(n22362), .A2(n22466), .B1(n22361), .B2(n22467), .Y(dccm_wr_data_lo[35]) ); sky130_fd_sc_hd__xnor2_1 U27315 ( .A(n22295), .B(n22294), .Y(n22304) ); sky130_fd_sc_hd__xor2_1 U27316 ( .A(n22297), .B(n22296), .X(n22336) ); sky130_fd_sc_hd__xnor2_1 U27317 ( .A(n22298), .B(n22336), .Y(n22302) ); sky130_fd_sc_hd__xor2_1 U27318 ( .A(n22300), .B(n22299), .X(n22301) ); sky130_fd_sc_hd__xnor2_1 U27319 ( .A(n22302), .B(n22301), .Y(n22303) ); sky130_fd_sc_hd__xnor2_1 U27320 ( .A(n22304), .B(n22303), .Y(n22468) ); sky130_fd_sc_hd__xnor2_1 U27321 ( .A(n22306), .B(n22305), .Y(n22310) ); sky130_fd_sc_hd__xor2_1 U27322 ( .A(n22308), .B(n22307), .X(n22309) ); sky130_fd_sc_hd__xnor2_1 U27323 ( .A(n22310), .B(n22309), .Y(n22312) ); sky130_fd_sc_hd__xnor2_1 U27324 ( .A(n22312), .B(n22311), .Y(n22314) ); sky130_fd_sc_hd__xnor2_1 U27325 ( .A(n22314), .B(n22313), .Y(n22469) ); sky130_fd_sc_hd__o22ai_1 U27326 ( .A1(n22362), .A2(n22468), .B1(n22361), .B2(n22469), .Y(dccm_wr_data_lo[36]) ); sky130_fd_sc_hd__xnor2_1 U27327 ( .A(n22316), .B(n22315), .Y(n22320) ); sky130_fd_sc_hd__xor2_1 U27328 ( .A(n22318), .B(n22317), .X(n22319) ); sky130_fd_sc_hd__xnor2_1 U27329 ( .A(n22320), .B(n22319), .Y(n22321) ); sky130_fd_sc_hd__xnor2_1 U27330 ( .A(n22322), .B(n22321), .Y(n22470) ); sky130_fd_sc_hd__xor2_1 U27331 ( .A(n22324), .B(n22323), .X(n22325) ); sky130_fd_sc_hd__xor2_1 U27332 ( .A(n22443), .B(n22325), .X(n22326) ); sky130_fd_sc_hd__xnor2_1 U27333 ( .A(n22445), .B(n22326), .Y(n22471) ); sky130_fd_sc_hd__o22ai_1 U27334 ( .A1(n22362), .A2(n22470), .B1(n22361), .B2(n22471), .Y(dccm_wr_data_lo[37]) ); sky130_fd_sc_hd__xnor2_1 U27335 ( .A(n22328), .B(n22327), .Y(n22340) ); sky130_fd_sc_hd__xnor2_1 U27336 ( .A(n22330), .B(n22329), .Y(n22334) ); sky130_fd_sc_hd__xor2_1 U27337 ( .A(n22332), .B(n22331), .X(n22333) ); sky130_fd_sc_hd__xnor2_1 U27338 ( .A(n22334), .B(n22333), .Y(n22335) ); sky130_fd_sc_hd__xnor2_1 U27339 ( .A(n22336), .B(n22335), .Y(n22338) ); sky130_fd_sc_hd__xnor2_1 U27340 ( .A(n22338), .B(n22337), .Y(n22339) ); sky130_fd_sc_hd__xnor2_1 U27341 ( .A(n22340), .B(n22339), .Y(n22472) ); sky130_fd_sc_hd__xnor2_1 U27342 ( .A(n22342), .B(n22341), .Y(n22358) ); sky130_fd_sc_hd__xnor2_1 U27343 ( .A(n22344), .B(n22343), .Y(n22354) ); sky130_fd_sc_hd__xnor2_1 U27344 ( .A(n22346), .B(n22345), .Y(n22350) ); sky130_fd_sc_hd__xor2_1 U27345 ( .A(n22348), .B(n22347), .X(n22349) ); sky130_fd_sc_hd__xnor2_1 U27346 ( .A(n22350), .B(n22349), .Y(n22351) ); sky130_fd_sc_hd__xor2_1 U27347 ( .A(n22352), .B(n22351), .X(n22353) ); sky130_fd_sc_hd__xnor2_1 U27348 ( .A(n22354), .B(n22353), .Y(n22355) ); sky130_fd_sc_hd__xor2_1 U27349 ( .A(n22356), .B(n22355), .X(n22357) ); sky130_fd_sc_hd__xnor2_1 U27350 ( .A(n22358), .B(n22357), .Y(n22359) ); sky130_fd_sc_hd__xnor2_1 U27351 ( .A(n22360), .B(n22359), .Y(n22474) ); sky130_fd_sc_hd__o22ai_1 U27352 ( .A1(n22362), .A2(n22472), .B1(n22361), .B2(n22474), .Y(dccm_wr_data_lo[38]) ); sky130_fd_sc_hd__a31oi_1 U27353 ( .A1(n22455), .A2(\lsu/sec_data_lo_r_ff [0]), .A3(n22454), .B1(n22363), .Y(n22365) ); sky130_fd_sc_hd__nand2_1 U27354 ( .A(n22365), .B(n22364), .Y( dccm_wr_data_hi[0]) ); sky130_fd_sc_hd__a31oi_1 U27355 ( .A1(n22455), .A2(\lsu/sec_data_lo_r_ff [1]), .A3(n22454), .B1(n22366), .Y(n22368) ); sky130_fd_sc_hd__nand2_1 U27356 ( .A(n22368), .B(n22367), .Y( dccm_wr_data_hi[1]) ); sky130_fd_sc_hd__a31oi_1 U27357 ( .A1(n22455), .A2(\lsu/sec_data_lo_r_ff [2]), .A3(n22454), .B1(n22369), .Y(n22371) ); sky130_fd_sc_hd__nand2_1 U27358 ( .A(n22371), .B(n22370), .Y( dccm_wr_data_hi[2]) ); sky130_fd_sc_hd__a31oi_1 U27359 ( .A1(n22455), .A2(\lsu/sec_data_lo_r_ff [3]), .A3(n22454), .B1(n22372), .Y(n22374) ); sky130_fd_sc_hd__nand2_1 U27360 ( .A(n22374), .B(n22373), .Y( dccm_wr_data_hi[3]) ); sky130_fd_sc_hd__a31oi_1 U27361 ( .A1(n22455), .A2(\lsu/sec_data_lo_r_ff [4]), .A3(n22454), .B1(n22375), .Y(n22377) ); sky130_fd_sc_hd__nand2_1 U27362 ( .A(n22377), .B(n22376), .Y( dccm_wr_data_hi[4]) ); sky130_fd_sc_hd__a31oi_1 U27363 ( .A1(n22455), .A2(\lsu/sec_data_lo_r_ff [5]), .A3(n22454), .B1(n22378), .Y(n22380) ); sky130_fd_sc_hd__nand2_1 U27364 ( .A(n22380), .B(n22379), .Y( dccm_wr_data_hi[5]) ); sky130_fd_sc_hd__a31oi_1 U27365 ( .A1(n22455), .A2(\lsu/sec_data_lo_r_ff [6]), .A3(n22454), .B1(n22381), .Y(n22383) ); sky130_fd_sc_hd__nand2_1 U27366 ( .A(n22383), .B(n22382), .Y( dccm_wr_data_hi[6]) ); sky130_fd_sc_hd__a31oi_1 U27367 ( .A1(n22455), .A2(\lsu/sec_data_lo_r_ff [7]), .A3(n22454), .B1(n22384), .Y(n22386) ); sky130_fd_sc_hd__nand2_1 U27368 ( .A(n22386), .B(n22385), .Y( dccm_wr_data_hi[7]) ); sky130_fd_sc_hd__a31oi_1 U27369 ( .A1(n22455), .A2(\lsu/sec_data_lo_r_ff [8]), .A3(n22454), .B1(n22387), .Y(n22389) ); sky130_fd_sc_hd__nand2_1 U27370 ( .A(n22389), .B(n22388), .Y( dccm_wr_data_hi[8]) ); sky130_fd_sc_hd__o21ai_1 U27371 ( .A1( \lsu/dccm_ctl/ld_single_ecc_error_hi_r_ff ), .A2(n22391), .B1(n22390), .Y(dccm_wr_data_hi[9]) ); sky130_fd_sc_hd__a31oi_1 U27372 ( .A1(n22455), .A2( \lsu/sec_data_lo_r_ff [10]), .A3(n22454), .B1(n22392), .Y(n22394) ); sky130_fd_sc_hd__nand2_1 U27373 ( .A(n22394), .B(n22393), .Y( dccm_wr_data_hi[10]) ); sky130_fd_sc_hd__a31oi_1 U27374 ( .A1(n22455), .A2( \lsu/sec_data_lo_r_ff [11]), .A3(n22454), .B1(n22395), .Y(n22397) ); sky130_fd_sc_hd__nand2_1 U27375 ( .A(n22397), .B(n22396), .Y( dccm_wr_data_hi[11]) ); sky130_fd_sc_hd__a31oi_1 U27376 ( .A1(n22455), .A2( \lsu/sec_data_lo_r_ff [12]), .A3(n22454), .B1(n22398), .Y(n22400) ); sky130_fd_sc_hd__nand2_1 U27377 ( .A(n22400), .B(n22399), .Y( dccm_wr_data_hi[12]) ); sky130_fd_sc_hd__a31oi_1 U27378 ( .A1(n22455), .A2( \lsu/sec_data_lo_r_ff [13]), .A3(n22454), .B1(n22401), .Y(n22403) ); sky130_fd_sc_hd__nand2_1 U27379 ( .A(n22403), .B(n22402), .Y( dccm_wr_data_hi[13]) ); sky130_fd_sc_hd__a31oi_1 U27380 ( .A1(n22455), .A2( \lsu/sec_data_lo_r_ff [14]), .A3(n22454), .B1(n22404), .Y(n22406) ); sky130_fd_sc_hd__nand2_1 U27381 ( .A(n22406), .B(n22405), .Y( dccm_wr_data_hi[14]) ); sky130_fd_sc_hd__a31oi_1 U27382 ( .A1(n22455), .A2( \lsu/sec_data_lo_r_ff [15]), .A3(n22454), .B1(n22407), .Y(n22409) ); sky130_fd_sc_hd__nand2_1 U27383 ( .A(n22409), .B(n22408), .Y( dccm_wr_data_hi[15]) ); sky130_fd_sc_hd__a31oi_1 U27384 ( .A1(n22455), .A2( \lsu/sec_data_lo_r_ff [16]), .A3(n22454), .B1(n22410), .Y(n22412) ); sky130_fd_sc_hd__nand2_1 U27385 ( .A(n22412), .B(n22411), .Y( dccm_wr_data_hi[16]) ); sky130_fd_sc_hd__a31oi_1 U27386 ( .A1(n22455), .A2( \lsu/sec_data_lo_r_ff [17]), .A3(n22454), .B1(n22413), .Y(n22415) ); sky130_fd_sc_hd__nand2_1 U27387 ( .A(n22415), .B(n22414), .Y( dccm_wr_data_hi[17]) ); sky130_fd_sc_hd__a31oi_1 U27388 ( .A1(n22455), .A2( \lsu/sec_data_lo_r_ff [18]), .A3(n22454), .B1(n22416), .Y(n22418) ); sky130_fd_sc_hd__nand2_1 U27389 ( .A(n22418), .B(n22417), .Y( dccm_wr_data_hi[18]) ); sky130_fd_sc_hd__a31oi_1 U27390 ( .A1(n22455), .A2( \lsu/sec_data_lo_r_ff [19]), .A3(n22454), .B1(n22419), .Y(n22421) ); sky130_fd_sc_hd__nand2_1 U27391 ( .A(n22421), .B(n22420), .Y( dccm_wr_data_hi[19]) ); sky130_fd_sc_hd__a31oi_1 U27392 ( .A1(n22455), .A2( \lsu/sec_data_lo_r_ff [20]), .A3(n22454), .B1(n22422), .Y(n22424) ); sky130_fd_sc_hd__nand2_1 U27393 ( .A(n22424), .B(n22423), .Y( dccm_wr_data_hi[20]) ); sky130_fd_sc_hd__a31oi_1 U27394 ( .A1(n22455), .A2( \lsu/sec_data_lo_r_ff [21]), .A3(n22454), .B1(n22425), .Y(n22427) ); sky130_fd_sc_hd__nand2_1 U27395 ( .A(n22427), .B(n22426), .Y( dccm_wr_data_hi[21]) ); sky130_fd_sc_hd__a31oi_1 U27396 ( .A1(n22455), .A2( \lsu/sec_data_lo_r_ff [22]), .A3(n22454), .B1(n22428), .Y(n22430) ); sky130_fd_sc_hd__nand2_1 U27397 ( .A(n22430), .B(n22429), .Y( dccm_wr_data_hi[22]) ); sky130_fd_sc_hd__o211ai_1 U27398 ( .A1( \lsu/dccm_ctl/ld_single_ecc_error_hi_r_ff ), .A2(n22434), .B1(n22433), .C1(n22432), .Y(dccm_wr_data_hi[23]) ); sky130_fd_sc_hd__o21ai_1 U27399 ( .A1( \lsu/dccm_ctl/ld_single_ecc_error_hi_r_ff ), .A2(n22436), .B1(n22435), .Y(dccm_wr_data_hi[24]) ); sky130_fd_sc_hd__a31oi_1 U27400 ( .A1(n22455), .A2( \lsu/sec_data_lo_r_ff [25]), .A3(n22454), .B1(n22437), .Y(n22439) ); sky130_fd_sc_hd__nand2_1 U27401 ( .A(n22439), .B(n22438), .Y( dccm_wr_data_hi[25]) ); sky130_fd_sc_hd__a31oi_1 U27402 ( .A1(n22455), .A2( \lsu/sec_data_lo_r_ff [26]), .A3(n22454), .B1(n22440), .Y(n22442) ); sky130_fd_sc_hd__nand2_1 U27403 ( .A(n22442), .B(n22441), .Y( dccm_wr_data_hi[26]) ); sky130_fd_sc_hd__o21ai_1 U27404 ( .A1( \lsu/dccm_ctl/ld_single_ecc_error_hi_r_ff ), .A2(n22444), .B1(n22443), .Y(dccm_wr_data_hi[27]) ); sky130_fd_sc_hd__o21ai_1 U27405 ( .A1( \lsu/dccm_ctl/ld_single_ecc_error_hi_r_ff ), .A2(n22446), .B1(n22445), .Y(dccm_wr_data_hi[28]) ); sky130_fd_sc_hd__a31oi_1 U27406 ( .A1(n22455), .A2( \lsu/sec_data_lo_r_ff [29]), .A3(n22454), .B1(n22447), .Y(n22449) ); sky130_fd_sc_hd__nand2_1 U27407 ( .A(n22449), .B(n22448), .Y( dccm_wr_data_hi[29]) ); sky130_fd_sc_hd__a31oi_1 U27408 ( .A1(n22455), .A2( \lsu/sec_data_lo_r_ff [30]), .A3(n22454), .B1(n22450), .Y(n22452) ); sky130_fd_sc_hd__nand2_1 U27409 ( .A(n22452), .B(n22451), .Y( dccm_wr_data_hi[30]) ); sky130_fd_sc_hd__a31oi_1 U27410 ( .A1(n22455), .A2( \lsu/sec_data_lo_r_ff [31]), .A3(n22454), .B1(n22453), .Y(n22457) ); sky130_fd_sc_hd__nand2_1 U27411 ( .A(n22457), .B(n22456), .Y( dccm_wr_data_hi[31]) ); sky130_fd_sc_hd__nor2_1 U27412 ( .A(n22459), .B(n22458), .Y(n22475) ); sky130_fd_sc_hd__o22ai_1 U27413 ( .A1(n22475), .A2(n22461), .B1(n22473), .B2(n22460), .Y(dccm_wr_data_hi[32]) ); sky130_fd_sc_hd__o22ai_1 U27414 ( .A1(n22475), .A2(n22463), .B1(n22473), .B2(n22462), .Y(dccm_wr_data_hi[33]) ); sky130_fd_sc_hd__o22ai_1 U27415 ( .A1(n22475), .A2(n22465), .B1(n22473), .B2(n22464), .Y(dccm_wr_data_hi[34]) ); sky130_fd_sc_hd__o22ai_1 U27416 ( .A1(n22475), .A2(n22467), .B1(n22473), .B2(n22466), .Y(dccm_wr_data_hi[35]) ); sky130_fd_sc_hd__o22ai_1 U27417 ( .A1(n22475), .A2(n22469), .B1(n22473), .B2(n22468), .Y(dccm_wr_data_hi[36]) ); sky130_fd_sc_hd__o22ai_1 U27418 ( .A1(n22475), .A2(n22471), .B1(n22473), .B2(n22470), .Y(dccm_wr_data_hi[37]) ); sky130_fd_sc_hd__o22ai_1 U27419 ( .A1(n22475), .A2(n22474), .B1(n22473), .B2(n22472), .Y(dccm_wr_data_hi[38]) ); sky130_fd_sc_hd__nor3_1 U27420 ( .A(\dbg/dmcontrol_reg_1 ), .B(n24353), .C( n23014), .Y(n23023) ); sky130_fd_sc_hd__nand2b_1 U27421 ( .A_N(n23013), .B(n23023), .Y(n23033) ); sky130_fd_sc_hd__nor2_1 U27422 ( .A(n22476), .B(n23033), .Y(dbg_resume_req) ); sky130_fd_sc_hd__o21ai_1 U27423 ( .A1(n22479), .A2(n22478), .B1( \dbg/dmcontrol_wren_Q ), .Y(n22481) ); sky130_fd_sc_hd__a21oi_1 U27424 ( .A1(n23021), .A2(n22481), .B1(n22480), .Y( dbg_halt_req) ); sky130_fd_sc_hd__a222oi_1 U27425 ( .A1(n22487), .A2(\ifu/aln/misc1 [0]), .B1(n22486), .B2(\ifu/aln/misc2 [0]), .C1(n22491), .C2( \ifu/aln/misc0 [0]), .Y(n22482) ); sky130_fd_sc_hd__clkinv_1 U27426 ( .A(n22482), .Y(i0_predict_fghr_d[0]) ); sky130_fd_sc_hd__a222oi_1 U27427 ( .A1(n22487), .A2(\ifu/aln/misc1 [1]), .B1(n22486), .B2(\ifu/aln/misc2 [1]), .C1(n22491), .C2( \ifu/aln/misc0 [1]), .Y(n22483) ); sky130_fd_sc_hd__clkinv_1 U27428 ( .A(n22483), .Y(i0_predict_fghr_d[1]) ); sky130_fd_sc_hd__a222oi_1 U27429 ( .A1(n22487), .A2(\ifu/aln/misc1 [2]), .B1(n22486), .B2(\ifu/aln/misc2 [2]), .C1(n22491), .C2( \ifu/aln/misc0 [2]), .Y(n22484) ); sky130_fd_sc_hd__clkinv_1 U27430 ( .A(n22484), .Y(i0_predict_fghr_d[2]) ); sky130_fd_sc_hd__a222oi_1 U27431 ( .A1(n22487), .A2(\ifu/aln/misc1 [3]), .B1(n22486), .B2(\ifu/aln/misc2 [3]), .C1(n22491), .C2( \ifu/aln/misc0 [3]), .Y(n22485) ); sky130_fd_sc_hd__clkinv_1 U27432 ( .A(n22485), .Y(i0_predict_fghr_d[3]) ); sky130_fd_sc_hd__a222oi_1 U27433 ( .A1(n22487), .A2(\ifu/aln/misc1 [4]), .B1(n22486), .B2(\ifu/aln/misc2 [4]), .C1(n22491), .C2( \ifu/aln/misc0 [4]), .Y(n22488) ); sky130_fd_sc_hd__clkinv_1 U27434 ( .A(n22488), .Y(i0_predict_fghr_d[4]) ); sky130_fd_sc_hd__a222oi_1 U27435 ( .A1(n22493), .A2(\ifu/aln/misc1 [5]), .B1(n10867), .B2(\ifu/aln/misc2 [5]), .C1(n22491), .C2( \ifu/aln/misc0 [5]), .Y(n22489) ); sky130_fd_sc_hd__clkinv_1 U27436 ( .A(n22489), .Y(i0_predict_fghr_d[5]) ); sky130_fd_sc_hd__a222oi_1 U27437 ( .A1(n22493), .A2(\ifu/aln/misc1 [6]), .B1(n10867), .B2(\ifu/aln/misc2 [6]), .C1(n22491), .C2( \ifu/aln/misc0 [6]), .Y(n22490) ); sky130_fd_sc_hd__clkinv_1 U27438 ( .A(n22490), .Y(i0_predict_fghr_d[6]) ); sky130_fd_sc_hd__a222oi_1 U27439 ( .A1(n22493), .A2(\ifu/aln/misc1 [7]), .B1(n10867), .B2(\ifu/aln/misc2 [7]), .C1(n22491), .C2( \ifu/aln/misc0 [7]), .Y(n22494) ); sky130_fd_sc_hd__a21oi_1 U27440 ( .A1(n22497), .A2(n22496), .B1(n22495), .Y( n22498) ); sky130_fd_sc_hd__nor2_1 U27441 ( .A(dec_tlu_force_halt), .B(n22498), .Y( \dec/decode/cam_in[3][valid] ) ); sky130_fd_sc_hd__o22ai_1 U27442 ( .A1(n24076), .A2(\dec/dec_i0_waddr_r [3]), .B1(n22500), .B2(\dec/decode/cam[2][rd][4] ), .Y(n22499) ); sky130_fd_sc_hd__a221oi_1 U27443 ( .A1(n24076), .A2(\dec/dec_i0_waddr_r [3]), .B1(\dec/decode/cam[2][rd][4] ), .B2(n22500), .C1(n22499), .Y(n22506) ); sky130_fd_sc_hd__o22ai_1 U27444 ( .A1(n24075), .A2(\dec/dec_i0_waddr_r [2]), .B1(n22502), .B2(\dec/decode/cam[2][rd][1] ), .Y(n22501) ); sky130_fd_sc_hd__a221oi_1 U27445 ( .A1(n24075), .A2(\dec/dec_i0_waddr_r [2]), .B1(\dec/decode/cam[2][rd][1] ), .B2(n22502), .C1(n22501), .Y(n22505) ); sky130_fd_sc_hd__o22ai_1 U27446 ( .A1(\dec/decode/cam[2][rd][0] ), .A2( \dec/dec_i0_waddr_r [0]), .B1(n24073), .B2(n22503), .Y(n22504) ); sky130_fd_sc_hd__nand4_1 U27447 ( .A(\dec/decode/cam[2][wb] ), .B(n22506), .C(n22505), .D(n22504), .Y(n22511) ); sky130_fd_sc_hd__clkinv_1 U27448 ( .A(\dec/decode/cam[2][tag][0] ), .Y( n24078) ); sky130_fd_sc_hd__a222oi_1 U27449 ( .A1(\dec/decode/cam[2][tag][0] ), .A2( n22507), .B1(\dec/decode/cam[2][tag][1] ), .B2(n22509), .C1(n24078), .C2(lsu_nonblock_load_inv_tag_r[0]), .Y(n22508) ); sky130_fd_sc_hd__o211ai_1 U27450 ( .A1(\dec/decode/cam[2][tag][1] ), .A2( n22509), .B1(n22513), .C1(n22508), .Y(n24072) ); sky130_fd_sc_hd__o22ai_1 U27451 ( .A1(n22512), .A2(n22511), .B1(n22510), .B2(n24072), .Y(n24069) ); sky130_fd_sc_hd__a221oi_1 U27452 ( .A1(n22513), .A2(n24069), .B1(n24067), .B2(n24068), .C1(dec_tlu_force_halt), .Y(\dec/decode/cam_in[2][valid] ) ); sky130_fd_sc_hd__nand2_1 U27453 ( .A(n22515), .B(n22514), .Y(n22516) ); sky130_fd_sc_hd__a21oi_1 U27454 ( .A1(n22516), .A2(n24088), .B1( dec_tlu_force_halt), .Y(\dec/decode/cam_in[1][valid] ) ); sky130_fd_sc_hd__a21oi_1 U27455 ( .A1(n22519), .A2(n22518), .B1(n22517), .Y( n22520) ); sky130_fd_sc_hd__nor2_1 U27456 ( .A(dec_tlu_force_halt), .B(n22520), .Y( \dec/decode/cam_in[0][valid] ) ); sky130_fd_sc_hd__nor2_1 U27457 ( .A(n22686), .B(n22521), .Y( \lsu/lsu_lsc_ctl/n113 ) ); sky130_fd_sc_hd__nand3_1 U27458 ( .A(n22524), .B(n22523), .C(n22522), .Y( n22525) ); sky130_fd_sc_hd__nor2_1 U27459 ( .A(n22526), .B(n22525), .Y(n22537) ); sky130_fd_sc_hd__clkinv_1 U27460 ( .A(n22537), .Y(n22535) ); sky130_fd_sc_hd__o22ai_1 U27461 ( .A1(n22537), .A2(n22527), .B1(n22535), .B2(n23543), .Y(n23307) ); sky130_fd_sc_hd__a22o_1 U27462 ( .A1(n17639), .A2(n23307), .B1(n22534), .B2( dma_mem_wdata[16]), .X(picm_wr_data[16]) ); sky130_fd_sc_hd__o22ai_1 U27463 ( .A1(n22537), .A2(n22528), .B1(n22535), .B2(n23485), .Y(n23310) ); sky130_fd_sc_hd__a22o_1 U27464 ( .A1(n17639), .A2(n23310), .B1(n22534), .B2( dma_mem_wdata[17]), .X(picm_wr_data[17]) ); sky130_fd_sc_hd__o22ai_1 U27465 ( .A1(n22537), .A2(n22529), .B1(n22535), .B2(n23491), .Y(n23313) ); sky130_fd_sc_hd__a22o_1 U27466 ( .A1(n17639), .A2(n23313), .B1(n22534), .B2( dma_mem_wdata[18]), .X(picm_wr_data[18]) ); sky130_fd_sc_hd__o22ai_1 U27467 ( .A1(n22537), .A2(n22530), .B1(n22535), .B2(n23498), .Y(n23316) ); sky130_fd_sc_hd__a22o_1 U27468 ( .A1(n17639), .A2(n23316), .B1(n22534), .B2( dma_mem_wdata[19]), .X(picm_wr_data[19]) ); sky130_fd_sc_hd__o22ai_1 U27469 ( .A1(n22537), .A2(n22531), .B1(n22535), .B2(n23507), .Y(n23319) ); sky130_fd_sc_hd__a22o_1 U27470 ( .A1(n17639), .A2(n23319), .B1(n22534), .B2( dma_mem_wdata[20]), .X(picm_wr_data[20]) ); sky130_fd_sc_hd__o22ai_1 U27471 ( .A1(n22537), .A2(n22532), .B1(n22535), .B2(n23515), .Y(n23322) ); sky130_fd_sc_hd__a22o_1 U27472 ( .A1(n17639), .A2(n23322), .B1(n22534), .B2( dma_mem_wdata[21]), .X(picm_wr_data[21]) ); sky130_fd_sc_hd__o22ai_1 U27473 ( .A1(n22537), .A2(n22533), .B1(n22535), .B2(n23525), .Y(n23325) ); sky130_fd_sc_hd__a22o_1 U27474 ( .A1(n17639), .A2(n23325), .B1(n22534), .B2( dma_mem_wdata[22]), .X(picm_wr_data[22]) ); sky130_fd_sc_hd__o22ai_1 U27475 ( .A1(n22537), .A2(n22536), .B1(n22535), .B2(n23531), .Y(n23328) ); sky130_fd_sc_hd__a22o_1 U27476 ( .A1(n17639), .A2(n23328), .B1(n22534), .B2( dma_mem_wdata[23]), .X(picm_wr_data[23]) ); sky130_fd_sc_hd__o22ai_1 U27477 ( .A1(n22534), .A2(n22551), .B1(n17639), .B2(n22538), .Y(picm_wraddr[0]) ); sky130_fd_sc_hd__o22ai_1 U27478 ( .A1(n22534), .A2(n22553), .B1(n17639), .B2(n22539), .Y(picm_wraddr[1]) ); sky130_fd_sc_hd__o22ai_1 U27479 ( .A1(n22534), .A2(n22555), .B1(n17639), .B2(n22540), .Y(picm_wraddr[2]) ); sky130_fd_sc_hd__o22ai_1 U27480 ( .A1(n22534), .A2(n22557), .B1(n17639), .B2(n22541), .Y(picm_wraddr[3]) ); sky130_fd_sc_hd__o22ai_1 U27481 ( .A1(n22534), .A2(n22561), .B1(n17639), .B2(n22542), .Y(picm_wraddr[5]) ); sky130_fd_sc_hd__o22ai_1 U27482 ( .A1(n22534), .A2(n22563), .B1(n17639), .B2(n22543), .Y(picm_wraddr[6]) ); sky130_fd_sc_hd__o22ai_1 U27483 ( .A1(n22534), .A2(n22565), .B1(n17639), .B2(n22544), .Y(picm_wraddr[7]) ); sky130_fd_sc_hd__o22ai_1 U27484 ( .A1(n22534), .A2(n22567), .B1(n17639), .B2(n22545), .Y(picm_wraddr[8]) ); sky130_fd_sc_hd__o22ai_1 U27485 ( .A1(n22534), .A2(n22571), .B1(n17639), .B2(n22546), .Y(picm_wraddr[10]) ); sky130_fd_sc_hd__o22ai_1 U27486 ( .A1(n22534), .A2(n22575), .B1(n17639), .B2(n22547), .Y(picm_wraddr[12]) ); sky130_fd_sc_hd__o22ai_1 U27487 ( .A1(n22534), .A2(n22577), .B1(n17639), .B2(n22548), .Y(picm_wraddr[13]) ); sky130_fd_sc_hd__o22ai_1 U27488 ( .A1(n22534), .A2(n22579), .B1(n17639), .B2(n22549), .Y(picm_wraddr[14]) ); sky130_fd_sc_hd__clkinv_1 U27489 ( .A(\lsu/end_addr_r [0]), .Y(n22550) ); sky130_fd_sc_hd__o22ai_1 U27490 ( .A1(n23305), .A2(n22551), .B1(n23366), .B2(n22550), .Y(\lsu/stbuf/stbuf_addrin[0][0] ) ); sky130_fd_sc_hd__o22ai_1 U27491 ( .A1(n23305), .A2(n22553), .B1(n23366), .B2(n22552), .Y(\lsu/stbuf/stbuf_addrin[0][1] ) ); sky130_fd_sc_hd__o22ai_1 U27492 ( .A1(n23305), .A2(n22555), .B1(n23366), .B2(n22554), .Y(\lsu/stbuf/stbuf_addrin[0][2] ) ); sky130_fd_sc_hd__o22ai_1 U27493 ( .A1(n23305), .A2(n22557), .B1(n23366), .B2(n22556), .Y(\lsu/stbuf/stbuf_addrin[0][3] ) ); sky130_fd_sc_hd__o22ai_1 U27494 ( .A1(n23305), .A2(n22559), .B1(n23366), .B2(n22558), .Y(\lsu/stbuf/stbuf_addrin[0][4] ) ); sky130_fd_sc_hd__o22ai_1 U27495 ( .A1(n23305), .A2(n22561), .B1(n23366), .B2(n22560), .Y(\lsu/stbuf/stbuf_addrin[0][5] ) ); sky130_fd_sc_hd__o22ai_1 U27496 ( .A1(n23305), .A2(n22563), .B1(n23366), .B2(n22562), .Y(\lsu/stbuf/stbuf_addrin[0][6] ) ); sky130_fd_sc_hd__o22ai_1 U27497 ( .A1(n23305), .A2(n22565), .B1(n23366), .B2(n22564), .Y(\lsu/stbuf/stbuf_addrin[0][7] ) ); sky130_fd_sc_hd__o22ai_1 U27498 ( .A1(n23305), .A2(n22567), .B1(n23366), .B2(n22566), .Y(\lsu/stbuf/stbuf_addrin[0][8] ) ); sky130_fd_sc_hd__o22ai_1 U27499 ( .A1(n23305), .A2(n22569), .B1(n23366), .B2(n22568), .Y(\lsu/stbuf/stbuf_addrin[0][9] ) ); sky130_fd_sc_hd__o22ai_1 U27500 ( .A1(n23305), .A2(n22571), .B1(n23366), .B2(n22570), .Y(\lsu/stbuf/stbuf_addrin[0][10] ) ); sky130_fd_sc_hd__o22ai_1 U27501 ( .A1(n23305), .A2(n22573), .B1(n23366), .B2(n22572), .Y(\lsu/stbuf/stbuf_addrin[0][11] ) ); sky130_fd_sc_hd__o22ai_1 U27502 ( .A1(n23305), .A2(n22575), .B1(n23366), .B2(n22574), .Y(\lsu/stbuf/stbuf_addrin[0][12] ) ); sky130_fd_sc_hd__o22ai_1 U27503 ( .A1(n23305), .A2(n22577), .B1(n23366), .B2(n22576), .Y(\lsu/stbuf/stbuf_addrin[0][13] ) ); sky130_fd_sc_hd__o22ai_1 U27504 ( .A1(n23305), .A2(n22579), .B1(n23366), .B2(n22578), .Y(\lsu/stbuf/stbuf_addrin[0][14] ) ); sky130_fd_sc_hd__o22ai_1 U27505 ( .A1(n23305), .A2(n22581), .B1(n23366), .B2(n22580), .Y(\lsu/stbuf/stbuf_addrin[0][15] ) ); sky130_fd_sc_hd__o22ai_1 U27506 ( .A1(n23236), .A2(n22551), .B1(n23261), .B2(n22550), .Y(\lsu/stbuf/stbuf_addrin[1][0] ) ); sky130_fd_sc_hd__o22ai_1 U27507 ( .A1(n23236), .A2(n22553), .B1(n23261), .B2(n22552), .Y(\lsu/stbuf/stbuf_addrin[1][1] ) ); sky130_fd_sc_hd__o22ai_1 U27508 ( .A1(n23236), .A2(n22555), .B1(n23261), .B2(n22554), .Y(\lsu/stbuf/stbuf_addrin[1][2] ) ); sky130_fd_sc_hd__o22ai_1 U27509 ( .A1(n23236), .A2(n22557), .B1(n23261), .B2(n22556), .Y(\lsu/stbuf/stbuf_addrin[1][3] ) ); sky130_fd_sc_hd__o22ai_1 U27510 ( .A1(n23236), .A2(n22559), .B1(n23261), .B2(n22558), .Y(\lsu/stbuf/stbuf_addrin[1][4] ) ); sky130_fd_sc_hd__o22ai_1 U27511 ( .A1(n23236), .A2(n22561), .B1(n23261), .B2(n22560), .Y(\lsu/stbuf/stbuf_addrin[1][5] ) ); sky130_fd_sc_hd__o22ai_1 U27512 ( .A1(n23236), .A2(n22563), .B1(n23261), .B2(n22562), .Y(\lsu/stbuf/stbuf_addrin[1][6] ) ); sky130_fd_sc_hd__o22ai_1 U27513 ( .A1(n23236), .A2(n22565), .B1(n23261), .B2(n22564), .Y(\lsu/stbuf/stbuf_addrin[1][7] ) ); sky130_fd_sc_hd__o22ai_1 U27514 ( .A1(n23236), .A2(n22567), .B1(n23261), .B2(n22566), .Y(\lsu/stbuf/stbuf_addrin[1][8] ) ); sky130_fd_sc_hd__o22ai_1 U27515 ( .A1(n23236), .A2(n22569), .B1(n23261), .B2(n22568), .Y(\lsu/stbuf/stbuf_addrin[1][9] ) ); sky130_fd_sc_hd__o22ai_1 U27516 ( .A1(n23236), .A2(n22571), .B1(n23261), .B2(n22570), .Y(\lsu/stbuf/stbuf_addrin[1][10] ) ); sky130_fd_sc_hd__o22ai_1 U27517 ( .A1(n23236), .A2(n22573), .B1(n23261), .B2(n22572), .Y(\lsu/stbuf/stbuf_addrin[1][11] ) ); sky130_fd_sc_hd__o22ai_1 U27518 ( .A1(n23236), .A2(n22575), .B1(n23261), .B2(n22574), .Y(\lsu/stbuf/stbuf_addrin[1][12] ) ); sky130_fd_sc_hd__o22ai_1 U27519 ( .A1(n23236), .A2(n22577), .B1(n23261), .B2(n22576), .Y(\lsu/stbuf/stbuf_addrin[1][13] ) ); sky130_fd_sc_hd__o22ai_1 U27520 ( .A1(n23236), .A2(n22579), .B1(n23261), .B2(n22578), .Y(\lsu/stbuf/stbuf_addrin[1][14] ) ); sky130_fd_sc_hd__o22ai_1 U27521 ( .A1(n23236), .A2(n22581), .B1(n23261), .B2(n22580), .Y(\lsu/stbuf/stbuf_addrin[1][15] ) ); sky130_fd_sc_hd__o22ai_1 U27522 ( .A1(n23186), .A2(n22551), .B1(n23211), .B2(n22550), .Y(\lsu/stbuf/stbuf_addrin[2][0] ) ); sky130_fd_sc_hd__o22ai_1 U27523 ( .A1(n23186), .A2(n22553), .B1(n23211), .B2(n22552), .Y(\lsu/stbuf/stbuf_addrin[2][1] ) ); sky130_fd_sc_hd__o22ai_1 U27524 ( .A1(n23186), .A2(n22555), .B1(n23211), .B2(n22554), .Y(\lsu/stbuf/stbuf_addrin[2][2] ) ); sky130_fd_sc_hd__o22ai_1 U27525 ( .A1(n23186), .A2(n22557), .B1(n23211), .B2(n22556), .Y(\lsu/stbuf/stbuf_addrin[2][3] ) ); sky130_fd_sc_hd__o22ai_1 U27526 ( .A1(n23186), .A2(n22559), .B1(n23211), .B2(n22558), .Y(\lsu/stbuf/stbuf_addrin[2][4] ) ); sky130_fd_sc_hd__o22ai_1 U27527 ( .A1(n23186), .A2(n22561), .B1(n23211), .B2(n22560), .Y(\lsu/stbuf/stbuf_addrin[2][5] ) ); sky130_fd_sc_hd__o22ai_1 U27528 ( .A1(n23186), .A2(n22563), .B1(n23211), .B2(n22562), .Y(\lsu/stbuf/stbuf_addrin[2][6] ) ); sky130_fd_sc_hd__o22ai_1 U27529 ( .A1(n23186), .A2(n22565), .B1(n23211), .B2(n22564), .Y(\lsu/stbuf/stbuf_addrin[2][7] ) ); sky130_fd_sc_hd__o22ai_1 U27530 ( .A1(n23186), .A2(n22567), .B1(n23211), .B2(n22566), .Y(\lsu/stbuf/stbuf_addrin[2][8] ) ); sky130_fd_sc_hd__o22ai_1 U27531 ( .A1(n23186), .A2(n22569), .B1(n23211), .B2(n22568), .Y(\lsu/stbuf/stbuf_addrin[2][9] ) ); sky130_fd_sc_hd__o22ai_1 U27532 ( .A1(n23186), .A2(n22571), .B1(n23211), .B2(n22570), .Y(\lsu/stbuf/stbuf_addrin[2][10] ) ); sky130_fd_sc_hd__o22ai_1 U27533 ( .A1(n23186), .A2(n22573), .B1(n23211), .B2(n22572), .Y(\lsu/stbuf/stbuf_addrin[2][11] ) ); sky130_fd_sc_hd__o22ai_1 U27534 ( .A1(n23186), .A2(n22575), .B1(n23211), .B2(n22574), .Y(\lsu/stbuf/stbuf_addrin[2][12] ) ); sky130_fd_sc_hd__o22ai_1 U27535 ( .A1(n23186), .A2(n22577), .B1(n23211), .B2(n22576), .Y(\lsu/stbuf/stbuf_addrin[2][13] ) ); sky130_fd_sc_hd__o22ai_1 U27536 ( .A1(n23186), .A2(n22579), .B1(n23211), .B2(n22578), .Y(\lsu/stbuf/stbuf_addrin[2][14] ) ); sky130_fd_sc_hd__o22ai_1 U27537 ( .A1(n23186), .A2(n22581), .B1(n23211), .B2(n22580), .Y(\lsu/stbuf/stbuf_addrin[2][15] ) ); sky130_fd_sc_hd__o22ai_1 U27538 ( .A1(n23109), .A2(n22551), .B1(n23148), .B2(n22550), .Y(\lsu/stbuf/stbuf_addrin[3][0] ) ); sky130_fd_sc_hd__o22ai_1 U27539 ( .A1(n23109), .A2(n22553), .B1(n23148), .B2(n22552), .Y(\lsu/stbuf/stbuf_addrin[3][1] ) ); sky130_fd_sc_hd__o22ai_1 U27540 ( .A1(n23109), .A2(n22555), .B1(n23148), .B2(n22554), .Y(\lsu/stbuf/stbuf_addrin[3][2] ) ); sky130_fd_sc_hd__o22ai_1 U27541 ( .A1(n23109), .A2(n22557), .B1(n23148), .B2(n22556), .Y(\lsu/stbuf/stbuf_addrin[3][3] ) ); sky130_fd_sc_hd__o22ai_1 U27542 ( .A1(n23109), .A2(n22559), .B1(n23148), .B2(n22558), .Y(\lsu/stbuf/stbuf_addrin[3][4] ) ); sky130_fd_sc_hd__o22ai_1 U27543 ( .A1(n23109), .A2(n22561), .B1(n23148), .B2(n22560), .Y(\lsu/stbuf/stbuf_addrin[3][5] ) ); sky130_fd_sc_hd__o22ai_1 U27544 ( .A1(n23109), .A2(n22563), .B1(n23148), .B2(n22562), .Y(\lsu/stbuf/stbuf_addrin[3][6] ) ); sky130_fd_sc_hd__o22ai_1 U27545 ( .A1(n23109), .A2(n22565), .B1(n23148), .B2(n22564), .Y(\lsu/stbuf/stbuf_addrin[3][7] ) ); sky130_fd_sc_hd__o22ai_1 U27546 ( .A1(n23109), .A2(n22567), .B1(n23148), .B2(n22566), .Y(\lsu/stbuf/stbuf_addrin[3][8] ) ); sky130_fd_sc_hd__o22ai_1 U27547 ( .A1(n23109), .A2(n22569), .B1(n23148), .B2(n22568), .Y(\lsu/stbuf/stbuf_addrin[3][9] ) ); sky130_fd_sc_hd__o22ai_1 U27548 ( .A1(n23109), .A2(n22571), .B1(n23148), .B2(n22570), .Y(\lsu/stbuf/stbuf_addrin[3][10] ) ); sky130_fd_sc_hd__o22ai_1 U27549 ( .A1(n23109), .A2(n22573), .B1(n23148), .B2(n22572), .Y(\lsu/stbuf/stbuf_addrin[3][11] ) ); sky130_fd_sc_hd__o22ai_1 U27550 ( .A1(n23109), .A2(n22575), .B1(n23148), .B2(n22574), .Y(\lsu/stbuf/stbuf_addrin[3][12] ) ); sky130_fd_sc_hd__o22ai_1 U27551 ( .A1(n23109), .A2(n22577), .B1(n23148), .B2(n22576), .Y(\lsu/stbuf/stbuf_addrin[3][13] ) ); sky130_fd_sc_hd__o22ai_1 U27552 ( .A1(n23109), .A2(n22579), .B1(n23148), .B2(n22578), .Y(\lsu/stbuf/stbuf_addrin[3][14] ) ); sky130_fd_sc_hd__o22ai_1 U27553 ( .A1(n23109), .A2(n22581), .B1(n23148), .B2(n22580), .Y(\lsu/stbuf/stbuf_addrin[3][15] ) ); sky130_fd_sc_hd__o22ai_1 U27554 ( .A1(n22633), .A2(n22584), .B1(n22583), .B2(n22582), .Y(n22589) ); sky130_fd_sc_hd__o22ai_1 U27555 ( .A1(n22587), .A2(n22586), .B1(n22632), .B2(n22585), .Y(n22588) ); sky130_fd_sc_hd__o22ai_1 U27556 ( .A1(n22634), .A2(n22589), .B1(n22647), .B2(n22588), .Y(n22603) ); sky130_fd_sc_hd__o31ai_1 U27557 ( .A1(n22593), .A2(n22592), .A3(n22591), .B1(n22590), .Y(n22594) ); sky130_fd_sc_hd__a21oi_1 U27558 ( .A1(n22595), .A2(n22594), .B1(n22635), .Y( n22600) ); sky130_fd_sc_hd__o22ai_1 U27559 ( .A1(n22598), .A2(n22597), .B1(n22636), .B2(n22596), .Y(n22599) ); sky130_fd_sc_hd__o32ai_1 U27560 ( .A1(n22645), .A2(n22601), .A3(n22600), .B1(n22637), .B2(n22599), .Y(n22602) ); sky130_fd_sc_hd__o22ai_1 U27561 ( .A1(n22650), .A2(n22603), .B1(n22646), .B2(n22602), .Y(n22623) ); sky130_fd_sc_hd__o22ai_1 U27562 ( .A1(n22606), .A2(n22605), .B1(n22626), .B2(n22604), .Y(n22611) ); sky130_fd_sc_hd__clkinv_1 U27563 ( .A(n22643), .Y(n22625) ); sky130_fd_sc_hd__o22ai_1 U27564 ( .A1(n22609), .A2(n22608), .B1(n22624), .B2(n22607), .Y(n22610) ); sky130_fd_sc_hd__o22ai_1 U27565 ( .A1(n22643), .A2(n22611), .B1(n22625), .B2(n22610), .Y(n22621) ); sky130_fd_sc_hd__clkinv_1 U27566 ( .A(n22644), .Y(n22651) ); sky130_fd_sc_hd__o22ai_1 U27567 ( .A1(n22614), .A2(n22613), .B1(n22628), .B2(n22612), .Y(n22619) ); sky130_fd_sc_hd__o22ai_1 U27568 ( .A1(n22617), .A2(n22616), .B1(n22627), .B2(n22615), .Y(n22618) ); sky130_fd_sc_hd__o22ai_1 U27569 ( .A1(n22629), .A2(n22619), .B1(n22642), .B2(n22618), .Y(n22620) ); sky130_fd_sc_hd__o22ai_1 U27570 ( .A1(n22644), .A2(n22621), .B1(n22651), .B2(n22620), .Y(n22622) ); sky130_fd_sc_hd__a22o_1 U27571 ( .A1(n22652), .A2(n22623), .B1(\intadd_4/n1 ), .B2(n22622), .X(\pic_ctrl_inst/claimid_in [0]) ); sky130_fd_sc_hd__o22ai_1 U27572 ( .A1(n22643), .A2(n22626), .B1(n22625), .B2(n22624), .Y(n22631) ); sky130_fd_sc_hd__o22ai_1 U27573 ( .A1(n22629), .A2(n22628), .B1(n22642), .B2(n22627), .Y(n22630) ); sky130_fd_sc_hd__o22ai_1 U27574 ( .A1(n22644), .A2(n22631), .B1(n22651), .B2(n22630), .Y(n22641) ); sky130_fd_sc_hd__o22ai_1 U27575 ( .A1(n22634), .A2(n22633), .B1(n22647), .B2(n22632), .Y(n22639) ); sky130_fd_sc_hd__o2bb2ai_1 U27576 ( .B1(n22637), .B2(n22636), .A1_N(n22637), .A2_N(n22635), .Y(n22638) ); sky130_fd_sc_hd__o22ai_1 U27577 ( .A1(n22650), .A2(n22639), .B1(n22646), .B2(n22638), .Y(n22640) ); sky130_fd_sc_hd__o22ai_1 U27578 ( .A1(n22652), .A2(n22641), .B1( \intadd_4/n1 ), .B2(n22640), .Y(\pic_ctrl_inst/claimid_in [1]) ); sky130_fd_sc_hd__o22ai_1 U27579 ( .A1(n22644), .A2(n22643), .B1(n22651), .B2(n22642), .Y(n22649) ); sky130_fd_sc_hd__o22ai_1 U27580 ( .A1(n22650), .A2(n22647), .B1(n22646), .B2(n22645), .Y(n22648) ); sky130_fd_sc_hd__o22ai_1 U27581 ( .A1(n22652), .A2(n22649), .B1( \intadd_4/n1 ), .B2(n22648), .Y(\pic_ctrl_inst/claimid_in [2]) ); sky130_fd_sc_hd__o22ai_1 U27582 ( .A1(n22652), .A2(n22651), .B1( \intadd_4/n1 ), .B2(n22650), .Y(\pic_ctrl_inst/claimid_in [3]) ); sky130_fd_sc_hd__nand2b_1 U27583 ( .A_N(n22656), .B(n22657), .Y(n22654) ); sky130_fd_sc_hd__nor3b_1 U27584 ( .C_N(n22657), .A(n22656), .B(n22655), .Y( n22653) ); sky130_fd_sc_hd__a21oi_1 U27585 ( .A1(n22655), .A2(n22654), .B1(n22653), .Y( \lsu/stbuf/WrPtrff/_0_net_[0] ) ); sky130_fd_sc_hd__a21oi_1 U27586 ( .A1(\lsu/stbuf/WrPtr [0]), .A2(n22657), .B1(n22656), .Y(n22658) ); sky130_fd_sc_hd__xor2_1 U27587 ( .A(n22659), .B(n22658), .X( \lsu/stbuf/WrPtrff/_0_net_[1] ) ); sky130_fd_sc_hd__o22ai_1 U27588 ( .A1(\lsu/stbuf/RdPtr [0]), .A2(n22661), .B1(n22660), .B2(n22662), .Y(\lsu/stbuf/RdPtrff/_0_net_[0] ) ); sky130_fd_sc_hd__nand2_1 U27589 ( .A(\lsu/stbuf/RdPtr [0]), .B(n22662), .Y( n22664) ); sky130_fd_sc_hd__a21oi_1 U27590 ( .A1(n22665), .A2(n22664), .B1(n22663), .Y( \lsu/stbuf/RdPtrff/_0_net_[1] ) ); sky130_fd_sc_hd__o22ai_1 U27591 ( .A1(\exu/i_div/_0_net_ ), .A2(n22666), .B1(n22695), .B2(n23928), .Y(\exu/i_div/miscf/_0_net_[0] ) ); sky130_fd_sc_hd__nand4_1 U27592 ( .A(n22670), .B(n22669), .C(n22668), .D( n22667), .Y(n22671) ); sky130_fd_sc_hd__nor4_1 U27593 ( .A(\exu/muldiv_rs2_d [31]), .B( \exu/muldiv_rs2_d [8]), .C(\exu/muldiv_rs2_d [6]), .D(n22671), .Y( n22684) ); sky130_fd_sc_hd__nor4_1 U27594 ( .A(\exu/muldiv_rs2_d [26]), .B( \exu/i0_rs2_d [4]), .C(\exu/muldiv_rs2_d [17]), .D( \exu/muldiv_rs2_d [5]), .Y(n22683) ); sky130_fd_sc_hd__nor4_1 U27595 ( .A(\exu/muldiv_rs2_d [14]), .B( \exu/muldiv_rs2_d [30]), .C(\exu/muldiv_rs2_d [10]), .D( \exu/i0_rs2_d [3]), .Y(n22682) ); sky130_fd_sc_hd__nor4_1 U27596 ( .A(\exu/muldiv_rs2_d [18]), .B( \exu/muldiv_rs2_d [16]), .C(\exu/muldiv_rs2_d [12]), .D( \exu/muldiv_rs2_d [15]), .Y(n22672) ); sky130_fd_sc_hd__nand3_1 U27597 ( .A(n22674), .B(n22673), .C(n22672), .Y( n22680) ); sky130_fd_sc_hd__nor4_1 U27598 ( .A(\exu/muldiv_rs2_d [23]), .B( \exu/muldiv_rs2_d [29]), .C(\exu/muldiv_rs2_d [24]), .D( \exu/muldiv_rs2_d [27]), .Y(n22675) ); sky130_fd_sc_hd__nand4_1 U27599 ( .A(n22678), .B(n22677), .C(n22676), .D( n22675), .Y(n22679) ); sky130_fd_sc_hd__nor4_1 U27600 ( .A(\exu/muldiv_rs2_d [19]), .B( \exu/muldiv_rs2_d [13]), .C(n22680), .D(n22679), .Y(n22681) ); sky130_fd_sc_hd__nand4_1 U27601 ( .A(n22684), .B(n22683), .C(n22682), .D( n22681), .Y(n22685) ); sky130_fd_sc_hd__a32o_1 U27602 ( .A1(n22686), .A2(\exu/i_div/_0_net_ ), .A3( n22685), .B1(n22695), .B2(\exu/i_div/sign_ff ), .X( \exu/i_div/miscf/_0_net_[1] ) ); sky130_fd_sc_hd__a22o_1 U27603 ( .A1(\exu/i_div/_0_net_ ), .A2( \exu/muldiv_rs1_d [31]), .B1(n22695), .B2(\exu/i_div/dividend_neg_ff ), .X(\exu/i_div/miscf/_0_net_[3] ) ); sky130_fd_sc_hd__nand2_1 U27604 ( .A(\dec/decode/i0_x_c[alu] ), .B(n22690), .Y(n22687) ); sky130_fd_sc_hd__o31ai_1 U27605 ( .A1(n22689), .A2(n22688), .A3(n24055), .B1(n22687), .Y(\dec/decode/i0_x_c_ff/_0_net_[0] ) ); sky130_fd_sc_hd__clkinv_1 U27606 ( .A(n22699), .Y(n22697) ); sky130_fd_sc_hd__a22o_1 U27607 ( .A1(n22699), .A2(\dec/decode/i0_r_c[alu] ), .B1(n22697), .B2(\dec/decode/i0_x_c[alu] ), .X( \dec/decode/i0_r_c_ff/_0_net_[0] ) ); sky130_fd_sc_hd__a22o_1 U27608 ( .A1(n22697), .A2(\dec/decode/i0_x_c[load] ), .B1(n22699), .B2(\dec/decode/i0_r_c[load] ), .X( \dec/decode/i0_r_c_ff/_0_net_[1] ) ); sky130_fd_sc_hd__a22o_1 U27609 ( .A1(n22699), .A2(\dec/decode/i0_r_c[mul] ), .B1(n22697), .B2(\dec/decode/i0_x_c[mul] ), .X( \dec/decode/i0_r_c_ff/_0_net_[2] ) ); sky130_fd_sc_hd__o22ai_1 U27610 ( .A1(\exu/i_div/_0_net_ ), .A2(n22691), .B1(n22695), .B2(n23916), .Y(\dec/decode/divff/_0_net_[0] ) ); sky130_fd_sc_hd__o22ai_1 U27611 ( .A1(\exu/i_div/_0_net_ ), .A2(n22692), .B1(n22695), .B2(n23918), .Y(\dec/decode/divff/_0_net_[1] ) ); sky130_fd_sc_hd__o22ai_1 U27612 ( .A1(\exu/i_div/_0_net_ ), .A2(n22693), .B1(n22695), .B2(n23920), .Y(\dec/decode/divff/_0_net_[2] ) ); sky130_fd_sc_hd__o22ai_1 U27613 ( .A1(\exu/i_div/_0_net_ ), .A2(n22694), .B1(n22695), .B2(n23922), .Y(\dec/decode/divff/_0_net_[3] ) ); sky130_fd_sc_hd__o22ai_1 U27614 ( .A1(\exu/i_div/_0_net_ ), .A2(n22696), .B1(n22695), .B2(n23924), .Y(\dec/decode/divff/_0_net_[4] ) ); sky130_fd_sc_hd__clkinv_1 U27615 ( .A( \dec/decode/nonblock_load_valid_m_delay ), .Y(n24071) ); sky130_fd_sc_hd__o22ai_1 U27616 ( .A1(n22699), .A2(n22698), .B1(n22697), .B2(n24071), .Y(\dec/decode/wbnbloaddelayff/_0_net_[0] ) ); sky130_fd_sc_hd__nand2_1 U27617 ( .A(n22702), .B(n22700), .Y( \lsu/lsu_lsc_ctl/misaligned_fault_d ) ); sky130_fd_sc_hd__nor2_1 U27618 ( .A(n22701), .B(n22705), .Y(n22703) ); sky130_fd_sc_hd__o21ai_1 U27619 ( .A1(n22704), .A2(n22703), .B1(n22702), .Y( \lsu/lsu_lsc_ctl/exc_mscause_d [1]) ); sky130_fd_sc_hd__nor3_1 U27620 ( .A(n22706), .B(n22705), .C( \lsu/lsu_lsc_ctl/misaligned_fault_d ), .Y( \lsu/lsu_lsc_ctl/exc_mscause_d [2]) ); sky130_fd_sc_hd__o22ai_1 U27621 ( .A1(n22709), .A2(n22708), .B1(n22707), .B2(n23064), .Y( \pic_ctrl_inst/SETREG[2].NON_ZERO_INT.gw_config_ff/_0_net_[0] ) ); sky130_fd_sc_hd__nor2_1 U27622 ( .A(n22828), .B(n22786), .Y(n22712) ); sky130_fd_sc_hd__clkinv_1 U27623 ( .A(n22712), .Y(n22710) ); sky130_fd_sc_hd__a22o_1 U27624 ( .A1(n22712), .A2( \pic_ctrl_inst/picm_wr_data_ff [0]), .B1(n22710), .B2( \pic_ctrl_inst/gw_config_reg[3][0] ), .X( \pic_ctrl_inst/SETREG[3].NON_ZERO_INT.gw_config_ff/_0_net_[0] ) ); sky130_fd_sc_hd__o22ai_1 U27625 ( .A1(n22712), .A2(n22711), .B1(n22710), .B2(n23004), .Y( \pic_ctrl_inst/SETREG[3].NON_ZERO_INT.gw_config_ff/_0_net_[1] ) ); sky130_fd_sc_hd__o22ai_1 U27626 ( .A1(n22715), .A2(n22714), .B1(n22713), .B2(n23064), .Y( \pic_ctrl_inst/SETREG[4].NON_ZERO_INT.gw_config_ff/_0_net_[0] ) ); sky130_fd_sc_hd__nor2_1 U27627 ( .A(n23048), .B(n22786), .Y(n22718) ); sky130_fd_sc_hd__clkinv_1 U27628 ( .A(n22718), .Y(n22716) ); sky130_fd_sc_hd__a22o_1 U27629 ( .A1(n22718), .A2( \pic_ctrl_inst/picm_wr_data_ff [0]), .B1(n22716), .B2( \pic_ctrl_inst/gw_config_reg[5][0] ), .X( \pic_ctrl_inst/SETREG[5].NON_ZERO_INT.gw_config_ff/_0_net_[0] ) ); sky130_fd_sc_hd__o22ai_1 U27630 ( .A1(n22718), .A2(n22717), .B1(n22716), .B2(n23004), .Y( \pic_ctrl_inst/SETREG[5].NON_ZERO_INT.gw_config_ff/_0_net_[1] ) ); sky130_fd_sc_hd__o22ai_1 U27631 ( .A1(n22721), .A2(n22720), .B1(n22719), .B2(n23064), .Y( \pic_ctrl_inst/SETREG[6].NON_ZERO_INT.gw_config_ff/_0_net_[0] ) ); sky130_fd_sc_hd__nor2_1 U27632 ( .A(n22853), .B(n22786), .Y(n22724) ); sky130_fd_sc_hd__clkinv_1 U27633 ( .A(n22724), .Y(n22722) ); sky130_fd_sc_hd__a22o_1 U27634 ( .A1(n22724), .A2( \pic_ctrl_inst/picm_wr_data_ff [0]), .B1(n22722), .B2( \pic_ctrl_inst/gw_config_reg[7][0] ), .X( \pic_ctrl_inst/SETREG[7].NON_ZERO_INT.gw_config_ff/_0_net_[0] ) ); sky130_fd_sc_hd__o22ai_1 U27635 ( .A1(n22724), .A2(n22723), .B1(n22722), .B2(n23004), .Y( \pic_ctrl_inst/SETREG[7].NON_ZERO_INT.gw_config_ff/_0_net_[1] ) ); sky130_fd_sc_hd__a22o_1 U27636 ( .A1(n22726), .A2( \pic_ctrl_inst/picm_wr_data_ff [0]), .B1(n22725), .B2( \pic_ctrl_inst/gw_config_reg[8][0] ), .X( \pic_ctrl_inst/SETREG[8].NON_ZERO_INT.gw_config_ff/_0_net_[0] ) ); sky130_fd_sc_hd__a22o_1 U27637 ( .A1(n22728), .A2( \pic_ctrl_inst/picm_wr_data_ff [0]), .B1(n22727), .B2( \pic_ctrl_inst/gw_config_reg[9][0] ), .X( \pic_ctrl_inst/SETREG[9].NON_ZERO_INT.gw_config_ff/_0_net_[0] ) ); sky130_fd_sc_hd__nor2_1 U27638 ( .A(n22877), .B(n22777), .Y(n22731) ); sky130_fd_sc_hd__clkinv_1 U27639 ( .A(n22731), .Y(n22729) ); sky130_fd_sc_hd__a22o_1 U27640 ( .A1(n22731), .A2( \pic_ctrl_inst/picm_wr_data_ff [0]), .B1(n22729), .B2( \pic_ctrl_inst/gw_config_reg[10][0] ), .X( \pic_ctrl_inst/SETREG[10].NON_ZERO_INT.gw_config_ff/_0_net_[0] ) ); sky130_fd_sc_hd__o22ai_1 U27641 ( .A1(n22731), .A2(n22730), .B1(n22729), .B2(n23004), .Y( \pic_ctrl_inst/SETREG[10].NON_ZERO_INT.gw_config_ff/_0_net_[1] ) ); sky130_fd_sc_hd__a22o_1 U27642 ( .A1(n22733), .A2( \pic_ctrl_inst/picm_wr_data_ff [0]), .B1(n22732), .B2( \pic_ctrl_inst/gw_config_reg[11][0] ), .X( \pic_ctrl_inst/SETREG[11].NON_ZERO_INT.gw_config_ff/_0_net_[0] ) ); sky130_fd_sc_hd__nor2_1 U27643 ( .A(n22890), .B(n22777), .Y(n22736) ); sky130_fd_sc_hd__clkinv_1 U27644 ( .A(n22736), .Y(n22734) ); sky130_fd_sc_hd__a22o_1 U27645 ( .A1(n22736), .A2( \pic_ctrl_inst/picm_wr_data_ff [0]), .B1(n22734), .B2( \pic_ctrl_inst/gw_config_reg[12][0] ), .X( \pic_ctrl_inst/SETREG[12].NON_ZERO_INT.gw_config_ff/_0_net_[0] ) ); sky130_fd_sc_hd__o22ai_1 U27646 ( .A1(n22736), .A2(n22735), .B1(n22734), .B2(n23004), .Y( \pic_ctrl_inst/SETREG[12].NON_ZERO_INT.gw_config_ff/_0_net_[1] ) ); sky130_fd_sc_hd__a22o_1 U27647 ( .A1(n22738), .A2( \pic_ctrl_inst/picm_wr_data_ff [0]), .B1(n22737), .B2( \pic_ctrl_inst/gw_config_reg[13][0] ), .X( \pic_ctrl_inst/SETREG[13].NON_ZERO_INT.gw_config_ff/_0_net_[0] ) ); sky130_fd_sc_hd__nor2_1 U27648 ( .A(n22903), .B(n22777), .Y(n22741) ); sky130_fd_sc_hd__clkinv_1 U27649 ( .A(n22741), .Y(n22739) ); sky130_fd_sc_hd__a22o_1 U27650 ( .A1(n22741), .A2( \pic_ctrl_inst/picm_wr_data_ff [0]), .B1(n22739), .B2( \pic_ctrl_inst/gw_config_reg[14][0] ), .X( \pic_ctrl_inst/SETREG[14].NON_ZERO_INT.gw_config_ff/_0_net_[0] ) ); sky130_fd_sc_hd__o22ai_1 U27651 ( .A1(n22741), .A2(n22740), .B1(n22739), .B2(n23004), .Y( \pic_ctrl_inst/SETREG[14].NON_ZERO_INT.gw_config_ff/_0_net_[1] ) ); sky130_fd_sc_hd__a22o_1 U27652 ( .A1(n22743), .A2( \pic_ctrl_inst/picm_wr_data_ff [0]), .B1(n22742), .B2( \pic_ctrl_inst/gw_config_reg[15][0] ), .X( \pic_ctrl_inst/SETREG[15].NON_ZERO_INT.gw_config_ff/_0_net_[0] ) ); sky130_fd_sc_hd__a22o_1 U27653 ( .A1(n22745), .A2( \pic_ctrl_inst/picm_wr_data_ff [0]), .B1(n22744), .B2( \pic_ctrl_inst/gw_config_reg[16][0] ), .X( \pic_ctrl_inst/SETREG[16].NON_ZERO_INT.gw_config_ff/_0_net_[0] ) ); sky130_fd_sc_hd__a22o_1 U27654 ( .A1(n22747), .A2( \pic_ctrl_inst/picm_wr_data_ff [0]), .B1(n22746), .B2( \pic_ctrl_inst/gw_config_reg[17][0] ), .X( \pic_ctrl_inst/SETREG[17].NON_ZERO_INT.gw_config_ff/_0_net_[0] ) ); sky130_fd_sc_hd__nor2_1 U27655 ( .A(n22777), .B(n22927), .Y(n22751) ); sky130_fd_sc_hd__clkinv_1 U27656 ( .A(n22751), .Y(n22749) ); sky130_fd_sc_hd__o22ai_1 U27657 ( .A1(n22751), .A2(n22748), .B1(n22749), .B2(n23064), .Y( \pic_ctrl_inst/SETREG[18].NON_ZERO_INT.gw_config_ff/_0_net_[0] ) ); sky130_fd_sc_hd__o22ai_1 U27658 ( .A1(n22751), .A2(n22750), .B1(n22749), .B2(n23004), .Y( \pic_ctrl_inst/SETREG[18].NON_ZERO_INT.gw_config_ff/_0_net_[1] ) ); sky130_fd_sc_hd__a22o_1 U27659 ( .A1(n22753), .A2( \pic_ctrl_inst/picm_wr_data_ff [0]), .B1(n22752), .B2( \pic_ctrl_inst/gw_config_reg[19][0] ), .X( \pic_ctrl_inst/SETREG[19].NON_ZERO_INT.gw_config_ff/_0_net_[0] ) ); sky130_fd_sc_hd__nor2_1 U27660 ( .A(n22777), .B(n22938), .Y(n22756) ); sky130_fd_sc_hd__clkinv_1 U27661 ( .A(n22756), .Y(n22754) ); sky130_fd_sc_hd__a22o_1 U27662 ( .A1(n22756), .A2( \pic_ctrl_inst/picm_wr_data_ff [0]), .B1(n22754), .B2( \pic_ctrl_inst/gw_config_reg[20][0] ), .X( \pic_ctrl_inst/SETREG[20].NON_ZERO_INT.gw_config_ff/_0_net_[0] ) ); sky130_fd_sc_hd__o22ai_1 U27663 ( .A1(n22756), .A2(n22755), .B1(n22754), .B2(n23004), .Y( \pic_ctrl_inst/SETREG[20].NON_ZERO_INT.gw_config_ff/_0_net_[1] ) ); sky130_fd_sc_hd__a22o_1 U27664 ( .A1(n22758), .A2( \pic_ctrl_inst/picm_wr_data_ff [0]), .B1(n22757), .B2( \pic_ctrl_inst/gw_config_reg[21][0] ), .X( \pic_ctrl_inst/SETREG[21].NON_ZERO_INT.gw_config_ff/_0_net_[0] ) ); sky130_fd_sc_hd__nor2_1 U27665 ( .A(n22777), .B(n22951), .Y(n22762) ); sky130_fd_sc_hd__clkinv_1 U27666 ( .A(n22762), .Y(n22760) ); sky130_fd_sc_hd__o22ai_1 U27667 ( .A1(n22762), .A2(n22759), .B1(n22760), .B2(n23064), .Y( \pic_ctrl_inst/SETREG[22].NON_ZERO_INT.gw_config_ff/_0_net_[0] ) ); sky130_fd_sc_hd__o22ai_1 U27668 ( .A1(n22762), .A2(n22761), .B1(n22760), .B2(n23004), .Y( \pic_ctrl_inst/SETREG[22].NON_ZERO_INT.gw_config_ff/_0_net_[1] ) ); sky130_fd_sc_hd__a22o_1 U27669 ( .A1(n22764), .A2( \pic_ctrl_inst/picm_wr_data_ff [0]), .B1(n22763), .B2( \pic_ctrl_inst/gw_config_reg[23][0] ), .X( \pic_ctrl_inst/SETREG[23].NON_ZERO_INT.gw_config_ff/_0_net_[0] ) ); sky130_fd_sc_hd__nor2_1 U27670 ( .A(n22777), .B(n22963), .Y(n22768) ); sky130_fd_sc_hd__o22ai_1 U27671 ( .A1(n22768), .A2(n22765), .B1(n22766), .B2(n23064), .Y( \pic_ctrl_inst/SETREG[24].NON_ZERO_INT.gw_config_ff/_0_net_[0] ) ); sky130_fd_sc_hd__o22ai_1 U27672 ( .A1(n22768), .A2(n22767), .B1(n22766), .B2(n23004), .Y( \pic_ctrl_inst/SETREG[24].NON_ZERO_INT.gw_config_ff/_0_net_[1] ) ); sky130_fd_sc_hd__a22o_1 U27673 ( .A1(n22770), .A2( \pic_ctrl_inst/picm_wr_data_ff [0]), .B1(n22769), .B2( \pic_ctrl_inst/gw_config_reg[25][0] ), .X( \pic_ctrl_inst/SETREG[25].NON_ZERO_INT.gw_config_ff/_0_net_[0] ) ); sky130_fd_sc_hd__nor2_1 U27674 ( .A(n22777), .B(n22976), .Y(n22774) ); sky130_fd_sc_hd__o22ai_1 U27675 ( .A1(n22774), .A2(n22771), .B1(n22772), .B2(n23064), .Y( \pic_ctrl_inst/SETREG[26].NON_ZERO_INT.gw_config_ff/_0_net_[0] ) ); sky130_fd_sc_hd__o22ai_1 U27676 ( .A1(n22774), .A2(n22773), .B1(n22772), .B2(n23004), .Y( \pic_ctrl_inst/SETREG[26].NON_ZERO_INT.gw_config_ff/_0_net_[1] ) ); sky130_fd_sc_hd__a22o_1 U27677 ( .A1(n22776), .A2( \pic_ctrl_inst/picm_wr_data_ff [0]), .B1(n22775), .B2( \pic_ctrl_inst/gw_config_reg[27][0] ), .X( \pic_ctrl_inst/SETREG[27].NON_ZERO_INT.gw_config_ff/_0_net_[0] ) ); sky130_fd_sc_hd__nor2_1 U27678 ( .A(n22988), .B(n22777), .Y(n22781) ); sky130_fd_sc_hd__clkinv_1 U27679 ( .A(n22781), .Y(n22779) ); sky130_fd_sc_hd__o22ai_1 U27680 ( .A1(n22781), .A2(n22778), .B1(n22779), .B2(n23064), .Y( \pic_ctrl_inst/SETREG[28].NON_ZERO_INT.gw_config_ff/_0_net_[0] ) ); sky130_fd_sc_hd__o22ai_1 U27681 ( .A1(n22781), .A2(n22780), .B1(n22779), .B2(n23004), .Y( \pic_ctrl_inst/SETREG[28].NON_ZERO_INT.gw_config_ff/_0_net_[1] ) ); sky130_fd_sc_hd__a22o_1 U27682 ( .A1(n22783), .A2( \pic_ctrl_inst/picm_wr_data_ff [0]), .B1(n22782), .B2( \pic_ctrl_inst/gw_config_reg[29][0] ), .X( \pic_ctrl_inst/SETREG[29].NON_ZERO_INT.gw_config_ff/_0_net_[0] ) ); sky130_fd_sc_hd__a22o_1 U27683 ( .A1(n22785), .A2( \pic_ctrl_inst/picm_wr_data_ff [0]), .B1(n22784), .B2( \pic_ctrl_inst/gw_config_reg[30][0] ), .X( \pic_ctrl_inst/SETREG[30].NON_ZERO_INT.gw_config_ff/_0_net_[0] ) ); sky130_fd_sc_hd__nor2_1 U27684 ( .A(n23057), .B(n22786), .Y(n22790) ); sky130_fd_sc_hd__clkinv_1 U27685 ( .A(n22790), .Y(n22788) ); sky130_fd_sc_hd__o22ai_1 U27686 ( .A1(n22790), .A2(n22787), .B1(n22788), .B2(n23064), .Y( \pic_ctrl_inst/SETREG[31].NON_ZERO_INT.gw_config_ff/_0_net_[0] ) ); sky130_fd_sc_hd__o22ai_1 U27687 ( .A1(n22790), .A2(n22789), .B1(n22788), .B2(n23004), .Y( \pic_ctrl_inst/SETREG[31].NON_ZERO_INT.gw_config_ff/_0_net_[1] ) ); sky130_fd_sc_hd__nor2_1 U27688 ( .A(n22791), .B(n22812), .Y(n24502) ); sky130_fd_sc_hd__o21ai_1 U27689 ( .A1(n22792), .A2(n24514), .B1(n24502), .Y( n22798) ); sky130_fd_sc_hd__nand3_1 U27690 ( .A(sb_axi_wvalid), .B(sb_axi_wready), .C( sb_axi_awready), .Y(n22808) ); sky130_fd_sc_hd__nand3_1 U27691 ( .A(sb_axi_awvalid), .B(n22801), .C(n22808), .Y(n22807) ); sky130_fd_sc_hd__clkinv_1 U27692 ( .A(sb_axi_wready), .Y(n22796) ); sky130_fd_sc_hd__nor2_1 U27693 ( .A(n22794), .B(n22793), .Y(n22803) ); sky130_fd_sc_hd__clkinv_1 U27694 ( .A(n22803), .Y(n22795) ); sky130_fd_sc_hd__o22ai_1 U27695 ( .A1(n22807), .A2(n22796), .B1(n22804), .B2(n22795), .Y(n22797) ); sky130_fd_sc_hd__nor4_1 U27696 ( .A(n22799), .B(n22817), .C(n22798), .D( n22797), .Y(n22800) ); sky130_fd_sc_hd__a21oi_1 U27697 ( .A1(n22802), .A2(n22801), .B1(n22800), .Y( \dbg/sb_state_reg/_0_net_[0] ) ); sky130_fd_sc_hd__o21ai_1 U27698 ( .A1(\dbg/sb_state [0]), .A2(n22804), .B1( n22803), .Y(n22806) ); sky130_fd_sc_hd__a32oi_1 U27699 ( .A1(n22807), .A2(n22815), .A3(n22806), .B1(n22817), .B2(n22805), .Y(\dbg/sb_state_reg/_0_net_[2] ) ); sky130_fd_sc_hd__nor2_1 U27700 ( .A(\dbg/sb_state [0]), .B(\dbg/sb_state [1]), .Y(n22810) ); sky130_fd_sc_hd__o21ai_1 U27701 ( .A1(n22810), .A2(n22809), .B1(n22808), .Y( n22811) ); sky130_fd_sc_hd__nor3_1 U27702 ( .A(n22813), .B(n22812), .C(n22811), .Y( n22816) ); sky130_fd_sc_hd__o22ai_1 U27703 ( .A1(n22817), .A2(n22816), .B1(n22815), .B2(n22814), .Y(\dbg/sb_state_reg/_0_net_[3] ) ); sky130_fd_sc_hd__o22ai_1 U27704 ( .A1(n22822), .A2(n22818), .B1(n22820), .B2(n23064), .Y( \pic_ctrl_inst/SETREG[1].NON_ZERO_INT.intpriority_ff/_0_net_[0] ) ); sky130_fd_sc_hd__o22ai_1 U27705 ( .A1(n22822), .A2(n22819), .B1(n22820), .B2(n23004), .Y( \pic_ctrl_inst/SETREG[1].NON_ZERO_INT.intpriority_ff/_0_net_[1] ) ); sky130_fd_sc_hd__clkinv_1 U27706 ( .A(\pic_ctrl_inst/picm_wr_data_ff [2]), .Y(n23006) ); sky130_fd_sc_hd__o22ai_1 U27707 ( .A1(n22822), .A2(n22821), .B1(n22820), .B2(n23006), .Y( \pic_ctrl_inst/SETREG[1].NON_ZERO_INT.intpriority_ff/_0_net_[2] ) ); sky130_fd_sc_hd__o22ai_1 U27708 ( .A1(n22827), .A2(n22823), .B1(n22825), .B2(n23064), .Y( \pic_ctrl_inst/SETREG[2].NON_ZERO_INT.intpriority_ff/_0_net_[0] ) ); sky130_fd_sc_hd__o22ai_1 U27709 ( .A1(n22827), .A2(n22824), .B1(n22825), .B2(n23006), .Y( \pic_ctrl_inst/SETREG[2].NON_ZERO_INT.intpriority_ff/_0_net_[2] ) ); sky130_fd_sc_hd__o22ai_1 U27710 ( .A1(n22827), .A2(n22826), .B1(n22825), .B2(n23008), .Y( \pic_ctrl_inst/SETREG[2].NON_ZERO_INT.intpriority_ff/_0_net_[3] ) ); sky130_fd_sc_hd__nor2_1 U27711 ( .A(n22828), .B(n23002), .Y(n22834) ); sky130_fd_sc_hd__clkinv_1 U27712 ( .A(n22834), .Y(n22832) ); sky130_fd_sc_hd__o22ai_1 U27713 ( .A1(n22834), .A2(n22829), .B1(n22832), .B2(n23064), .Y( \pic_ctrl_inst/SETREG[3].NON_ZERO_INT.intpriority_ff/_0_net_[0] ) ); sky130_fd_sc_hd__o22ai_1 U27714 ( .A1(n22834), .A2(n22830), .B1(n22832), .B2(n23004), .Y( \pic_ctrl_inst/SETREG[3].NON_ZERO_INT.intpriority_ff/_0_net_[1] ) ); sky130_fd_sc_hd__o22ai_1 U27715 ( .A1(n22834), .A2(n22831), .B1(n22832), .B2(n23006), .Y( \pic_ctrl_inst/SETREG[3].NON_ZERO_INT.intpriority_ff/_0_net_[2] ) ); sky130_fd_sc_hd__o22ai_1 U27716 ( .A1(n22834), .A2(n22833), .B1(n22832), .B2(n23008), .Y( \pic_ctrl_inst/SETREG[3].NON_ZERO_INT.intpriority_ff/_0_net_[3] ) ); sky130_fd_sc_hd__nor2_1 U27717 ( .A(n23048), .B(n22995), .Y(n22840) ); sky130_fd_sc_hd__clkinv_1 U27718 ( .A(n22840), .Y(n22838) ); sky130_fd_sc_hd__o22ai_1 U27719 ( .A1(n22840), .A2(n22835), .B1(n22838), .B2(n23064), .Y( \pic_ctrl_inst/SETREG[4].NON_ZERO_INT.intpriority_ff/_0_net_[0] ) ); sky130_fd_sc_hd__o22ai_1 U27720 ( .A1(n22840), .A2(n22836), .B1(n22838), .B2(n23004), .Y( \pic_ctrl_inst/SETREG[4].NON_ZERO_INT.intpriority_ff/_0_net_[1] ) ); sky130_fd_sc_hd__o22ai_1 U27721 ( .A1(n22840), .A2(n22837), .B1(n22838), .B2(n23006), .Y( \pic_ctrl_inst/SETREG[4].NON_ZERO_INT.intpriority_ff/_0_net_[2] ) ); sky130_fd_sc_hd__o22ai_1 U27722 ( .A1(n22840), .A2(n22839), .B1(n22838), .B2(n23008), .Y( \pic_ctrl_inst/SETREG[4].NON_ZERO_INT.intpriority_ff/_0_net_[3] ) ); sky130_fd_sc_hd__nor2_1 U27723 ( .A(n23048), .B(n23002), .Y(n22846) ); sky130_fd_sc_hd__clkinv_1 U27724 ( .A(n22846), .Y(n22844) ); sky130_fd_sc_hd__o22ai_1 U27725 ( .A1(n22846), .A2(n22841), .B1(n22844), .B2(n23064), .Y( \pic_ctrl_inst/SETREG[5].NON_ZERO_INT.intpriority_ff/_0_net_[0] ) ); sky130_fd_sc_hd__o22ai_1 U27726 ( .A1(n22846), .A2(n22842), .B1(n22844), .B2(n23004), .Y( \pic_ctrl_inst/SETREG[5].NON_ZERO_INT.intpriority_ff/_0_net_[1] ) ); sky130_fd_sc_hd__o22ai_1 U27727 ( .A1(n22846), .A2(n22843), .B1(n22844), .B2(n23006), .Y( \pic_ctrl_inst/SETREG[5].NON_ZERO_INT.intpriority_ff/_0_net_[2] ) ); sky130_fd_sc_hd__o22ai_1 U27728 ( .A1(n22846), .A2(n22845), .B1(n22844), .B2(n23008), .Y( \pic_ctrl_inst/SETREG[5].NON_ZERO_INT.intpriority_ff/_0_net_[3] ) ); sky130_fd_sc_hd__nor2_1 U27729 ( .A(n22853), .B(n22995), .Y(n22852) ); sky130_fd_sc_hd__clkinv_1 U27730 ( .A(n22852), .Y(n22850) ); sky130_fd_sc_hd__o22ai_1 U27731 ( .A1(n22852), .A2(n22847), .B1(n22850), .B2(n23064), .Y( \pic_ctrl_inst/SETREG[6].NON_ZERO_INT.intpriority_ff/_0_net_[0] ) ); sky130_fd_sc_hd__o22ai_1 U27732 ( .A1(n22852), .A2(n22848), .B1(n22850), .B2(n23004), .Y( \pic_ctrl_inst/SETREG[6].NON_ZERO_INT.intpriority_ff/_0_net_[1] ) ); sky130_fd_sc_hd__o22ai_1 U27733 ( .A1(n22852), .A2(n22849), .B1(n22850), .B2(n23006), .Y( \pic_ctrl_inst/SETREG[6].NON_ZERO_INT.intpriority_ff/_0_net_[2] ) ); sky130_fd_sc_hd__o22ai_1 U27734 ( .A1(n22852), .A2(n22851), .B1(n22850), .B2(n23008), .Y( \pic_ctrl_inst/SETREG[6].NON_ZERO_INT.intpriority_ff/_0_net_[3] ) ); sky130_fd_sc_hd__nor2_1 U27735 ( .A(n22853), .B(n23002), .Y(n22859) ); sky130_fd_sc_hd__o22ai_1 U27736 ( .A1(n22859), .A2(n22854), .B1(n22857), .B2(n23064), .Y( \pic_ctrl_inst/SETREG[7].NON_ZERO_INT.intpriority_ff/_0_net_[0] ) ); sky130_fd_sc_hd__o22ai_1 U27737 ( .A1(n22859), .A2(n22855), .B1(n22857), .B2(n23004), .Y( \pic_ctrl_inst/SETREG[7].NON_ZERO_INT.intpriority_ff/_0_net_[1] ) ); sky130_fd_sc_hd__o22ai_1 U27738 ( .A1(n22859), .A2(n22856), .B1(n22857), .B2(n23006), .Y( \pic_ctrl_inst/SETREG[7].NON_ZERO_INT.intpriority_ff/_0_net_[2] ) ); sky130_fd_sc_hd__o22ai_1 U27739 ( .A1(n22859), .A2(n22858), .B1(n22857), .B2(n23008), .Y( \pic_ctrl_inst/SETREG[7].NON_ZERO_INT.intpriority_ff/_0_net_[3] ) ); sky130_fd_sc_hd__o22ai_1 U27740 ( .A1(n22864), .A2(n22860), .B1(n22862), .B2(n23064), .Y( \pic_ctrl_inst/SETREG[8].NON_ZERO_INT.intpriority_ff/_0_net_[0] ) ); sky130_fd_sc_hd__o22ai_1 U27741 ( .A1(n22864), .A2(n22861), .B1(n22862), .B2(n23006), .Y( \pic_ctrl_inst/SETREG[8].NON_ZERO_INT.intpriority_ff/_0_net_[2] ) ); sky130_fd_sc_hd__o22ai_1 U27742 ( .A1(n22864), .A2(n22863), .B1(n22862), .B2(n23008), .Y( \pic_ctrl_inst/SETREG[8].NON_ZERO_INT.intpriority_ff/_0_net_[3] ) ); sky130_fd_sc_hd__nor2_1 U27743 ( .A(n23050), .B(n23002), .Y(n22870) ); sky130_fd_sc_hd__clkinv_1 U27744 ( .A(n22870), .Y(n22868) ); sky130_fd_sc_hd__o22ai_1 U27745 ( .A1(n22870), .A2(n22865), .B1(n22868), .B2(n23064), .Y( \pic_ctrl_inst/SETREG[9].NON_ZERO_INT.intpriority_ff/_0_net_[0] ) ); sky130_fd_sc_hd__o22ai_1 U27746 ( .A1(n22870), .A2(n22866), .B1(n22868), .B2(n23004), .Y( \pic_ctrl_inst/SETREG[9].NON_ZERO_INT.intpriority_ff/_0_net_[1] ) ); sky130_fd_sc_hd__o22ai_1 U27747 ( .A1(n22870), .A2(n22867), .B1(n22868), .B2(n23006), .Y( \pic_ctrl_inst/SETREG[9].NON_ZERO_INT.intpriority_ff/_0_net_[2] ) ); sky130_fd_sc_hd__o22ai_1 U27748 ( .A1(n22870), .A2(n22869), .B1(n22868), .B2(n23008), .Y( \pic_ctrl_inst/SETREG[9].NON_ZERO_INT.intpriority_ff/_0_net_[3] ) ); sky130_fd_sc_hd__nor2_1 U27749 ( .A(n22877), .B(n22995), .Y(n22876) ); sky130_fd_sc_hd__clkinv_1 U27750 ( .A(n22876), .Y(n22874) ); sky130_fd_sc_hd__o22ai_1 U27751 ( .A1(n22876), .A2(n22871), .B1(n22874), .B2(n23064), .Y( \pic_ctrl_inst/SETREG[10].NON_ZERO_INT.intpriority_ff/_0_net_[0] ) ); sky130_fd_sc_hd__o22ai_1 U27752 ( .A1(n22876), .A2(n22872), .B1(n22874), .B2(n23004), .Y( \pic_ctrl_inst/SETREG[10].NON_ZERO_INT.intpriority_ff/_0_net_[1] ) ); sky130_fd_sc_hd__o22ai_1 U27753 ( .A1(n22876), .A2(n22873), .B1(n22874), .B2(n23006), .Y( \pic_ctrl_inst/SETREG[10].NON_ZERO_INT.intpriority_ff/_0_net_[2] ) ); sky130_fd_sc_hd__o22ai_1 U27754 ( .A1(n22876), .A2(n22875), .B1(n22874), .B2(n23008), .Y( \pic_ctrl_inst/SETREG[10].NON_ZERO_INT.intpriority_ff/_0_net_[3] ) ); sky130_fd_sc_hd__nor2_1 U27755 ( .A(n22877), .B(n23002), .Y(n22883) ); sky130_fd_sc_hd__clkinv_1 U27756 ( .A(n22883), .Y(n22881) ); sky130_fd_sc_hd__o22ai_1 U27757 ( .A1(n22883), .A2(n22878), .B1(n22881), .B2(n23064), .Y( \pic_ctrl_inst/SETREG[11].NON_ZERO_INT.intpriority_ff/_0_net_[0] ) ); sky130_fd_sc_hd__o22ai_1 U27758 ( .A1(n22883), .A2(n22879), .B1(n22881), .B2(n23004), .Y( \pic_ctrl_inst/SETREG[11].NON_ZERO_INT.intpriority_ff/_0_net_[1] ) ); sky130_fd_sc_hd__o22ai_1 U27759 ( .A1(n22883), .A2(n22880), .B1(n22881), .B2(n23006), .Y( \pic_ctrl_inst/SETREG[11].NON_ZERO_INT.intpriority_ff/_0_net_[2] ) ); sky130_fd_sc_hd__o22ai_1 U27760 ( .A1(n22883), .A2(n22882), .B1(n22881), .B2(n23008), .Y( \pic_ctrl_inst/SETREG[11].NON_ZERO_INT.intpriority_ff/_0_net_[3] ) ); sky130_fd_sc_hd__nor2_1 U27761 ( .A(n22890), .B(n22995), .Y(n22889) ); sky130_fd_sc_hd__o22ai_1 U27762 ( .A1(n22889), .A2(n22884), .B1(n22887), .B2(n23064), .Y( \pic_ctrl_inst/SETREG[12].NON_ZERO_INT.intpriority_ff/_0_net_[0] ) ); sky130_fd_sc_hd__o22ai_1 U27763 ( .A1(n22889), .A2(n22885), .B1(n22887), .B2(n23004), .Y( \pic_ctrl_inst/SETREG[12].NON_ZERO_INT.intpriority_ff/_0_net_[1] ) ); sky130_fd_sc_hd__o22ai_1 U27764 ( .A1(n22889), .A2(n22886), .B1(n22887), .B2(n23006), .Y( \pic_ctrl_inst/SETREG[12].NON_ZERO_INT.intpriority_ff/_0_net_[2] ) ); sky130_fd_sc_hd__o22ai_1 U27765 ( .A1(n22889), .A2(n22888), .B1(n22887), .B2(n23008), .Y( \pic_ctrl_inst/SETREG[12].NON_ZERO_INT.intpriority_ff/_0_net_[3] ) ); sky130_fd_sc_hd__nor2_1 U27766 ( .A(n22890), .B(n23002), .Y(n22896) ); sky130_fd_sc_hd__clkinv_1 U27767 ( .A(n22896), .Y(n22894) ); sky130_fd_sc_hd__o22ai_1 U27768 ( .A1(n22896), .A2(n22891), .B1(n22894), .B2(n23064), .Y( \pic_ctrl_inst/SETREG[13].NON_ZERO_INT.intpriority_ff/_0_net_[0] ) ); sky130_fd_sc_hd__o22ai_1 U27769 ( .A1(n22896), .A2(n22892), .B1(n22894), .B2(n23004), .Y( \pic_ctrl_inst/SETREG[13].NON_ZERO_INT.intpriority_ff/_0_net_[1] ) ); sky130_fd_sc_hd__o22ai_1 U27770 ( .A1(n22896), .A2(n22893), .B1(n22894), .B2(n23006), .Y( \pic_ctrl_inst/SETREG[13].NON_ZERO_INT.intpriority_ff/_0_net_[2] ) ); sky130_fd_sc_hd__o22ai_1 U27771 ( .A1(n22896), .A2(n22895), .B1(n22894), .B2(n23008), .Y( \pic_ctrl_inst/SETREG[13].NON_ZERO_INT.intpriority_ff/_0_net_[3] ) ); sky130_fd_sc_hd__nor2_1 U27772 ( .A(n22903), .B(n22995), .Y(n22902) ); sky130_fd_sc_hd__clkinv_1 U27773 ( .A(n22902), .Y(n22900) ); sky130_fd_sc_hd__o22ai_1 U27774 ( .A1(n22902), .A2(n22897), .B1(n22900), .B2(n23064), .Y( \pic_ctrl_inst/SETREG[14].NON_ZERO_INT.intpriority_ff/_0_net_[0] ) ); sky130_fd_sc_hd__o22ai_1 U27775 ( .A1(n22902), .A2(n22898), .B1(n22900), .B2(n23004), .Y( \pic_ctrl_inst/SETREG[14].NON_ZERO_INT.intpriority_ff/_0_net_[1] ) ); sky130_fd_sc_hd__o22ai_1 U27776 ( .A1(n22902), .A2(n22899), .B1(n22900), .B2(n23006), .Y( \pic_ctrl_inst/SETREG[14].NON_ZERO_INT.intpriority_ff/_0_net_[2] ) ); sky130_fd_sc_hd__o22ai_1 U27777 ( .A1(n22902), .A2(n22901), .B1(n22900), .B2(n23008), .Y( \pic_ctrl_inst/SETREG[14].NON_ZERO_INT.intpriority_ff/_0_net_[3] ) ); sky130_fd_sc_hd__nor2_1 U27778 ( .A(n22903), .B(n23002), .Y(n22909) ); sky130_fd_sc_hd__clkinv_1 U27779 ( .A(n22909), .Y(n22907) ); sky130_fd_sc_hd__o22ai_1 U27780 ( .A1(n22909), .A2(n22904), .B1(n22907), .B2(n23064), .Y( \pic_ctrl_inst/SETREG[15].NON_ZERO_INT.intpriority_ff/_0_net_[0] ) ); sky130_fd_sc_hd__o22ai_1 U27781 ( .A1(n22909), .A2(n22905), .B1(n22907), .B2(n23004), .Y( \pic_ctrl_inst/SETREG[15].NON_ZERO_INT.intpriority_ff/_0_net_[1] ) ); sky130_fd_sc_hd__o22ai_1 U27782 ( .A1(n22909), .A2(n22906), .B1(n22907), .B2(n23006), .Y( \pic_ctrl_inst/SETREG[15].NON_ZERO_INT.intpriority_ff/_0_net_[2] ) ); sky130_fd_sc_hd__o22ai_1 U27783 ( .A1(n22909), .A2(n22908), .B1(n22907), .B2(n23008), .Y( \pic_ctrl_inst/SETREG[15].NON_ZERO_INT.intpriority_ff/_0_net_[3] ) ); sky130_fd_sc_hd__nor2_1 U27784 ( .A(n23051), .B(n22995), .Y(n22915) ); sky130_fd_sc_hd__clkinv_1 U27785 ( .A(n22915), .Y(n22913) ); sky130_fd_sc_hd__o22ai_1 U27786 ( .A1(n22915), .A2(n22910), .B1(n22913), .B2(n23064), .Y( \pic_ctrl_inst/SETREG[16].NON_ZERO_INT.intpriority_ff/_0_net_[0] ) ); sky130_fd_sc_hd__o22ai_1 U27787 ( .A1(n22915), .A2(n22911), .B1(n22913), .B2(n23004), .Y( \pic_ctrl_inst/SETREG[16].NON_ZERO_INT.intpriority_ff/_0_net_[1] ) ); sky130_fd_sc_hd__o22ai_1 U27788 ( .A1(n22915), .A2(n22912), .B1(n22913), .B2(n23006), .Y( \pic_ctrl_inst/SETREG[16].NON_ZERO_INT.intpriority_ff/_0_net_[2] ) ); sky130_fd_sc_hd__o22ai_1 U27789 ( .A1(n22915), .A2(n22914), .B1(n22913), .B2(n23008), .Y( \pic_ctrl_inst/SETREG[16].NON_ZERO_INT.intpriority_ff/_0_net_[3] ) ); sky130_fd_sc_hd__o22ai_1 U27790 ( .A1(n22920), .A2(n22916), .B1(n22918), .B2(n23064), .Y( \pic_ctrl_inst/SETREG[17].NON_ZERO_INT.intpriority_ff/_0_net_[0] ) ); sky130_fd_sc_hd__o22ai_1 U27791 ( .A1(n22920), .A2(n22917), .B1(n22918), .B2(n23004), .Y( \pic_ctrl_inst/SETREG[17].NON_ZERO_INT.intpriority_ff/_0_net_[1] ) ); sky130_fd_sc_hd__o22ai_1 U27792 ( .A1(n22920), .A2(n22919), .B1(n22918), .B2(n23006), .Y( \pic_ctrl_inst/SETREG[17].NON_ZERO_INT.intpriority_ff/_0_net_[2] ) ); sky130_fd_sc_hd__nor2_1 U27793 ( .A(n22927), .B(n22995), .Y(n22926) ); sky130_fd_sc_hd__clkinv_1 U27794 ( .A(n22926), .Y(n22924) ); sky130_fd_sc_hd__o22ai_1 U27795 ( .A1(n22926), .A2(n22921), .B1(n22924), .B2(n23064), .Y( \pic_ctrl_inst/SETREG[18].NON_ZERO_INT.intpriority_ff/_0_net_[0] ) ); sky130_fd_sc_hd__o22ai_1 U27796 ( .A1(n22926), .A2(n22922), .B1(n22924), .B2(n23004), .Y( \pic_ctrl_inst/SETREG[18].NON_ZERO_INT.intpriority_ff/_0_net_[1] ) ); sky130_fd_sc_hd__o22ai_1 U27797 ( .A1(n22926), .A2(n22923), .B1(n22924), .B2(n23006), .Y( \pic_ctrl_inst/SETREG[18].NON_ZERO_INT.intpriority_ff/_0_net_[2] ) ); sky130_fd_sc_hd__o22ai_1 U27798 ( .A1(n22926), .A2(n22925), .B1(n22924), .B2(n23008), .Y( \pic_ctrl_inst/SETREG[18].NON_ZERO_INT.intpriority_ff/_0_net_[3] ) ); sky130_fd_sc_hd__nor2_1 U27799 ( .A(n22927), .B(n23002), .Y(n22933) ); sky130_fd_sc_hd__clkinv_1 U27800 ( .A(n22933), .Y(n22931) ); sky130_fd_sc_hd__o22ai_1 U27801 ( .A1(n22933), .A2(n22928), .B1(n22931), .B2(n23064), .Y( \pic_ctrl_inst/SETREG[19].NON_ZERO_INT.intpriority_ff/_0_net_[0] ) ); sky130_fd_sc_hd__o22ai_1 U27802 ( .A1(n22933), .A2(n22929), .B1(n22931), .B2(n23004), .Y( \pic_ctrl_inst/SETREG[19].NON_ZERO_INT.intpriority_ff/_0_net_[1] ) ); sky130_fd_sc_hd__o22ai_1 U27803 ( .A1(n22933), .A2(n22930), .B1(n22931), .B2(n23006), .Y( \pic_ctrl_inst/SETREG[19].NON_ZERO_INT.intpriority_ff/_0_net_[2] ) ); sky130_fd_sc_hd__o22ai_1 U27804 ( .A1(n22933), .A2(n22932), .B1(n22931), .B2(n23008), .Y( \pic_ctrl_inst/SETREG[19].NON_ZERO_INT.intpriority_ff/_0_net_[3] ) ); sky130_fd_sc_hd__o22ai_1 U27805 ( .A1(n22937), .A2(n22934), .B1(n22935), .B2(n23064), .Y( \pic_ctrl_inst/SETREG[20].NON_ZERO_INT.intpriority_ff/_0_net_[0] ) ); sky130_fd_sc_hd__o22ai_1 U27806 ( .A1(n22937), .A2(n22936), .B1(n22935), .B2(n23006), .Y( \pic_ctrl_inst/SETREG[20].NON_ZERO_INT.intpriority_ff/_0_net_[2] ) ); sky130_fd_sc_hd__nor2_1 U27807 ( .A(n22938), .B(n23002), .Y(n22944) ); sky130_fd_sc_hd__clkinv_1 U27808 ( .A(n22944), .Y(n22942) ); sky130_fd_sc_hd__o22ai_1 U27809 ( .A1(n22944), .A2(n22939), .B1(n22942), .B2(n23064), .Y( \pic_ctrl_inst/SETREG[21].NON_ZERO_INT.intpriority_ff/_0_net_[0] ) ); sky130_fd_sc_hd__o22ai_1 U27810 ( .A1(n22944), .A2(n22940), .B1(n22942), .B2(n23004), .Y( \pic_ctrl_inst/SETREG[21].NON_ZERO_INT.intpriority_ff/_0_net_[1] ) ); sky130_fd_sc_hd__o22ai_1 U27811 ( .A1(n22944), .A2(n22941), .B1(n22942), .B2(n23006), .Y( \pic_ctrl_inst/SETREG[21].NON_ZERO_INT.intpriority_ff/_0_net_[2] ) ); sky130_fd_sc_hd__o22ai_1 U27812 ( .A1(n22944), .A2(n22943), .B1(n22942), .B2(n23008), .Y( \pic_ctrl_inst/SETREG[21].NON_ZERO_INT.intpriority_ff/_0_net_[3] ) ); sky130_fd_sc_hd__nor2_1 U27813 ( .A(n22951), .B(n22995), .Y(n22950) ); sky130_fd_sc_hd__o22ai_1 U27814 ( .A1(n22950), .A2(n22945), .B1(n22948), .B2(n23064), .Y( \pic_ctrl_inst/SETREG[22].NON_ZERO_INT.intpriority_ff/_0_net_[0] ) ); sky130_fd_sc_hd__o22ai_1 U27815 ( .A1(n22950), .A2(n22946), .B1(n22948), .B2(n23004), .Y( \pic_ctrl_inst/SETREG[22].NON_ZERO_INT.intpriority_ff/_0_net_[1] ) ); sky130_fd_sc_hd__o22ai_1 U27816 ( .A1(n22950), .A2(n22947), .B1(n22948), .B2(n23006), .Y( \pic_ctrl_inst/SETREG[22].NON_ZERO_INT.intpriority_ff/_0_net_[2] ) ); sky130_fd_sc_hd__o22ai_1 U27817 ( .A1(n22950), .A2(n22949), .B1(n22948), .B2(n23008), .Y( \pic_ctrl_inst/SETREG[22].NON_ZERO_INT.intpriority_ff/_0_net_[3] ) ); sky130_fd_sc_hd__nor2_1 U27818 ( .A(n22951), .B(n23002), .Y(n22957) ); sky130_fd_sc_hd__clkinv_1 U27819 ( .A(n22957), .Y(n22955) ); sky130_fd_sc_hd__o22ai_1 U27820 ( .A1(n22957), .A2(n22952), .B1(n22955), .B2(n23064), .Y( \pic_ctrl_inst/SETREG[23].NON_ZERO_INT.intpriority_ff/_0_net_[0] ) ); sky130_fd_sc_hd__o22ai_1 U27821 ( .A1(n22957), .A2(n22953), .B1(n22955), .B2(n23004), .Y( \pic_ctrl_inst/SETREG[23].NON_ZERO_INT.intpriority_ff/_0_net_[1] ) ); sky130_fd_sc_hd__o22ai_1 U27822 ( .A1(n22957), .A2(n22954), .B1(n22955), .B2(n23006), .Y( \pic_ctrl_inst/SETREG[23].NON_ZERO_INT.intpriority_ff/_0_net_[2] ) ); sky130_fd_sc_hd__o22ai_1 U27823 ( .A1(n22957), .A2(n22956), .B1(n22955), .B2(n23008), .Y( \pic_ctrl_inst/SETREG[23].NON_ZERO_INT.intpriority_ff/_0_net_[3] ) ); sky130_fd_sc_hd__o22ai_1 U27824 ( .A1(n22962), .A2(n22958), .B1(n22960), .B2(n23004), .Y( \pic_ctrl_inst/SETREG[24].NON_ZERO_INT.intpriority_ff/_0_net_[1] ) ); sky130_fd_sc_hd__o22ai_1 U27825 ( .A1(n22962), .A2(n22959), .B1(n22960), .B2(n23006), .Y( \pic_ctrl_inst/SETREG[24].NON_ZERO_INT.intpriority_ff/_0_net_[2] ) ); sky130_fd_sc_hd__o22ai_1 U27826 ( .A1(n22962), .A2(n22961), .B1(n22960), .B2(n23008), .Y( \pic_ctrl_inst/SETREG[24].NON_ZERO_INT.intpriority_ff/_0_net_[3] ) ); sky130_fd_sc_hd__nor2_1 U27827 ( .A(n22963), .B(n23002), .Y(n22969) ); sky130_fd_sc_hd__clkinv_1 U27828 ( .A(n22969), .Y(n22967) ); sky130_fd_sc_hd__o22ai_1 U27829 ( .A1(n22969), .A2(n22964), .B1(n22967), .B2(n23064), .Y( \pic_ctrl_inst/SETREG[25].NON_ZERO_INT.intpriority_ff/_0_net_[0] ) ); sky130_fd_sc_hd__o22ai_1 U27830 ( .A1(n22969), .A2(n22965), .B1(n22967), .B2(n23004), .Y( \pic_ctrl_inst/SETREG[25].NON_ZERO_INT.intpriority_ff/_0_net_[1] ) ); sky130_fd_sc_hd__o22ai_1 U27831 ( .A1(n22969), .A2(n22966), .B1(n22967), .B2(n23006), .Y( \pic_ctrl_inst/SETREG[25].NON_ZERO_INT.intpriority_ff/_0_net_[2] ) ); sky130_fd_sc_hd__o22ai_1 U27832 ( .A1(n22969), .A2(n22968), .B1(n22967), .B2(n23008), .Y( \pic_ctrl_inst/SETREG[25].NON_ZERO_INT.intpriority_ff/_0_net_[3] ) ); sky130_fd_sc_hd__nor2_1 U27833 ( .A(n22976), .B(n22995), .Y(n22975) ); sky130_fd_sc_hd__clkinv_1 U27834 ( .A(n22975), .Y(n22973) ); sky130_fd_sc_hd__o22ai_1 U27835 ( .A1(n22975), .A2(n22970), .B1(n22973), .B2(n23064), .Y( \pic_ctrl_inst/SETREG[26].NON_ZERO_INT.intpriority_ff/_0_net_[0] ) ); sky130_fd_sc_hd__o22ai_1 U27836 ( .A1(n22975), .A2(n22971), .B1(n22973), .B2(n23004), .Y( \pic_ctrl_inst/SETREG[26].NON_ZERO_INT.intpriority_ff/_0_net_[1] ) ); sky130_fd_sc_hd__o22ai_1 U27837 ( .A1(n22975), .A2(n22972), .B1(n22973), .B2(n23006), .Y( \pic_ctrl_inst/SETREG[26].NON_ZERO_INT.intpriority_ff/_0_net_[2] ) ); sky130_fd_sc_hd__o22ai_1 U27838 ( .A1(n22975), .A2(n22974), .B1(n22973), .B2(n23008), .Y( \pic_ctrl_inst/SETREG[26].NON_ZERO_INT.intpriority_ff/_0_net_[3] ) ); sky130_fd_sc_hd__nor2_1 U27839 ( .A(n22976), .B(n23002), .Y(n22982) ); sky130_fd_sc_hd__clkinv_1 U27840 ( .A(n22982), .Y(n22980) ); sky130_fd_sc_hd__o22ai_1 U27841 ( .A1(n22982), .A2(n22977), .B1(n22980), .B2(n23064), .Y( \pic_ctrl_inst/SETREG[27].NON_ZERO_INT.intpriority_ff/_0_net_[0] ) ); sky130_fd_sc_hd__o22ai_1 U27842 ( .A1(n22982), .A2(n22978), .B1(n22980), .B2(n23004), .Y( \pic_ctrl_inst/SETREG[27].NON_ZERO_INT.intpriority_ff/_0_net_[1] ) ); sky130_fd_sc_hd__o22ai_1 U27843 ( .A1(n22982), .A2(n22979), .B1(n22980), .B2(n23006), .Y( \pic_ctrl_inst/SETREG[27].NON_ZERO_INT.intpriority_ff/_0_net_[2] ) ); sky130_fd_sc_hd__o22ai_1 U27844 ( .A1(n22982), .A2(n22981), .B1(n22980), .B2(n23008), .Y( \pic_ctrl_inst/SETREG[27].NON_ZERO_INT.intpriority_ff/_0_net_[3] ) ); sky130_fd_sc_hd__o22ai_1 U27845 ( .A1(n22987), .A2(n22983), .B1(n22985), .B2(n23064), .Y( \pic_ctrl_inst/SETREG[28].NON_ZERO_INT.intpriority_ff/_0_net_[0] ) ); sky130_fd_sc_hd__o22ai_1 U27846 ( .A1(n22987), .A2(n22984), .B1(n22985), .B2(n23006), .Y( \pic_ctrl_inst/SETREG[28].NON_ZERO_INT.intpriority_ff/_0_net_[2] ) ); sky130_fd_sc_hd__o22ai_1 U27847 ( .A1(n22987), .A2(n22986), .B1(n22985), .B2(n23008), .Y( \pic_ctrl_inst/SETREG[28].NON_ZERO_INT.intpriority_ff/_0_net_[3] ) ); sky130_fd_sc_hd__nor2_1 U27848 ( .A(n22988), .B(n23002), .Y(n22994) ); sky130_fd_sc_hd__clkinv_1 U27849 ( .A(n22994), .Y(n22992) ); sky130_fd_sc_hd__o22ai_1 U27850 ( .A1(n22994), .A2(n22989), .B1(n22992), .B2(n23064), .Y( \pic_ctrl_inst/SETREG[29].NON_ZERO_INT.intpriority_ff/_0_net_[0] ) ); sky130_fd_sc_hd__o22ai_1 U27851 ( .A1(n22994), .A2(n22990), .B1(n22992), .B2(n23004), .Y( \pic_ctrl_inst/SETREG[29].NON_ZERO_INT.intpriority_ff/_0_net_[1] ) ); sky130_fd_sc_hd__o22ai_1 U27852 ( .A1(n22994), .A2(n22991), .B1(n22992), .B2(n23006), .Y( \pic_ctrl_inst/SETREG[29].NON_ZERO_INT.intpriority_ff/_0_net_[2] ) ); sky130_fd_sc_hd__o22ai_1 U27853 ( .A1(n22994), .A2(n22993), .B1(n22992), .B2(n23008), .Y( \pic_ctrl_inst/SETREG[29].NON_ZERO_INT.intpriority_ff/_0_net_[3] ) ); sky130_fd_sc_hd__nor2_1 U27854 ( .A(n23057), .B(n22995), .Y(n23001) ); sky130_fd_sc_hd__clkinv_1 U27855 ( .A(n23001), .Y(n22999) ); sky130_fd_sc_hd__o22ai_1 U27856 ( .A1(n23001), .A2(n22996), .B1(n22999), .B2(n23064), .Y( \pic_ctrl_inst/SETREG[30].NON_ZERO_INT.intpriority_ff/_0_net_[0] ) ); sky130_fd_sc_hd__o22ai_1 U27857 ( .A1(n23001), .A2(n22997), .B1(n22999), .B2(n23004), .Y( \pic_ctrl_inst/SETREG[30].NON_ZERO_INT.intpriority_ff/_0_net_[1] ) ); sky130_fd_sc_hd__o22ai_1 U27858 ( .A1(n23001), .A2(n22998), .B1(n22999), .B2(n23006), .Y( \pic_ctrl_inst/SETREG[30].NON_ZERO_INT.intpriority_ff/_0_net_[2] ) ); sky130_fd_sc_hd__o22ai_1 U27859 ( .A1(n23001), .A2(n23000), .B1(n22999), .B2(n23008), .Y( \pic_ctrl_inst/SETREG[30].NON_ZERO_INT.intpriority_ff/_0_net_[3] ) ); sky130_fd_sc_hd__nor2_1 U27860 ( .A(n23057), .B(n23002), .Y(n23011) ); sky130_fd_sc_hd__clkinv_1 U27861 ( .A(n23011), .Y(n23009) ); sky130_fd_sc_hd__o22ai_1 U27862 ( .A1(n23011), .A2(n23003), .B1(n23009), .B2(n23064), .Y( \pic_ctrl_inst/SETREG[31].NON_ZERO_INT.intpriority_ff/_0_net_[0] ) ); sky130_fd_sc_hd__o22ai_1 U27863 ( .A1(n23011), .A2(n23005), .B1(n23009), .B2(n23004), .Y( \pic_ctrl_inst/SETREG[31].NON_ZERO_INT.intpriority_ff/_0_net_[1] ) ); sky130_fd_sc_hd__o22ai_1 U27864 ( .A1(n23011), .A2(n23007), .B1(n23009), .B2(n23006), .Y( \pic_ctrl_inst/SETREG[31].NON_ZERO_INT.intpriority_ff/_0_net_[2] ) ); sky130_fd_sc_hd__o22ai_1 U27865 ( .A1(n23011), .A2(n23010), .B1(n23009), .B2(n23008), .Y( \pic_ctrl_inst/SETREG[31].NON_ZERO_INT.intpriority_ff/_0_net_[3] ) ); sky130_fd_sc_hd__clkinv_1 U27866 ( .A(n23027), .Y(n23034) ); sky130_fd_sc_hd__a21oi_1 U27867 ( .A1(n23012), .A2(n23030), .B1( \dbg/dmcontrol_reg_1 ), .Y(n23016) ); sky130_fd_sc_hd__nand2_1 U27868 ( .A(n23023), .B(n23013), .Y(n23041) ); sky130_fd_sc_hd__o21ai_1 U27869 ( .A1(n23014), .A2(n24497), .B1(n23041), .Y( n23015) ); sky130_fd_sc_hd__a211oi_1 U27870 ( .A1(n23017), .A2(n23022), .B1(n23016), .C1(n23015), .Y(n23020) ); sky130_fd_sc_hd__o22ai_1 U27871 ( .A1(n23034), .A2(n23020), .B1(n23019), .B2(n23018), .Y(\dbg/dbg_state_reg/_0_net_[0] ) ); sky130_fd_sc_hd__o22ai_1 U27872 ( .A1(n23022), .A2(n23021), .B1( \dbg/dmcontrol_reg_1 ), .B2(n23038), .Y(n23024) ); sky130_fd_sc_hd__o21ai_1 U27873 ( .A1(n23024), .A2(n23023), .B1(n23027), .Y( n23025) ); sky130_fd_sc_hd__o21ai_1 U27874 ( .A1(n23027), .A2(n23026), .B1(n23025), .Y( \dbg/dbg_state_reg/_0_net_[1] ) ); sky130_fd_sc_hd__a211oi_1 U27875 ( .A1(n23030), .A2(n23029), .B1(n23028), .C1(\dbg/dmcontrol_reg_1 ), .Y(n23031) ); sky130_fd_sc_hd__a21oi_1 U27876 ( .A1(\dbg/dbg_state [2]), .A2(n23034), .B1( n23031), .Y(n23032) ); sky130_fd_sc_hd__o21ai_1 U27877 ( .A1(n23034), .A2(n23033), .B1(n23032), .Y( \dbg/dbg_state_reg/_0_net_[2] ) ); sky130_fd_sc_hd__a21o_1 U27878 ( .A1(\dbg/sbcs_reg_21 ), .A2(n23036), .B1( n23035), .X(\dbg/sbcs_sbbusy_reg/_0_net_[0] ) ); sky130_fd_sc_hd__a22o_1 U27879 ( .A1(\dbg/dmcontrol_reg [30]), .A2( \dbg/dmstatus_reg_17 ), .B1(n23037), .B2(dec_tlu_resume_ack), .X( \dbg/dmstatus_resumeack_reg/_0_net_[0] ) ); sky130_fd_sc_hd__o21ai_1 U27880 ( .A1(n23039), .A2(n23038), .B1( \dbg/abstractcs_reg_12 ), .Y(n23040) ); sky130_fd_sc_hd__o21ai_1 U27881 ( .A1(n23042), .A2(n23041), .B1(n23040), .Y( \dbg/dmabstractcs_busy_reg/_0_net_[0] ) ); sky130_fd_sc_hd__nor2_1 U27882 ( .A(n23061), .B(n23043), .Y(n23045) ); sky130_fd_sc_hd__nand2_1 U27883 ( .A(n23045), .B( \pic_ctrl_inst/picm_wr_data_ff [0]), .Y(n23044) ); sky130_fd_sc_hd__o21ai_1 U27884 ( .A1(n23046), .A2(n23045), .B1(n23044), .Y( \pic_ctrl_inst/SETREG[1].NON_ZERO_INT.intenable_ff/_0_net_[0] ) ); sky130_fd_sc_hd__or2_0 U27885 ( .A(n23054), .B(n23064), .X(n23056) ); sky130_fd_sc_hd__o21ai_1 U27886 ( .A1(n23048), .A2(n23054), .B1( \pic_ctrl_inst/intenable_reg [4]), .Y(n23047) ); sky130_fd_sc_hd__o21ai_1 U27887 ( .A1(n23048), .A2(n23056), .B1(n23047), .Y( \pic_ctrl_inst/SETREG[4].NON_ZERO_INT.intenable_ff/_0_net_[0] ) ); sky130_fd_sc_hd__o21ai_1 U27888 ( .A1(n23050), .A2(n23054), .B1( \pic_ctrl_inst/intenable_reg [8]), .Y(n23049) ); sky130_fd_sc_hd__o21ai_1 U27889 ( .A1(n23050), .A2(n23056), .B1(n23049), .Y( \pic_ctrl_inst/SETREG[8].NON_ZERO_INT.intenable_ff/_0_net_[0] ) ); sky130_fd_sc_hd__or2_0 U27890 ( .A(n23051), .B(n23054), .X(n23053) ); sky130_fd_sc_hd__nand2_1 U27891 ( .A(n23053), .B( \pic_ctrl_inst/intenable_reg [16]), .Y(n23052) ); sky130_fd_sc_hd__o21ai_1 U27892 ( .A1(n23064), .A2(n23053), .B1(n23052), .Y( \pic_ctrl_inst/SETREG[16].NON_ZERO_INT.intenable_ff/_0_net_[0] ) ); sky130_fd_sc_hd__o21ai_1 U27893 ( .A1(n23057), .A2(n23054), .B1( \pic_ctrl_inst/intenable_reg [30]), .Y(n23055) ); sky130_fd_sc_hd__o21ai_1 U27894 ( .A1(n23057), .A2(n23056), .B1(n23055), .Y( \pic_ctrl_inst/SETREG[30].NON_ZERO_INT.intenable_ff/_0_net_[0] ) ); sky130_fd_sc_hd__or4_1 U27895 ( .A(\pic_ctrl_inst/picm_waddr_ff [1]), .B( \pic_ctrl_inst/picm_waddr_ff [0]), .C(\pic_ctrl_inst/picm_waddr_ff [2]), .D(n23058), .X(n23059) ); sky130_fd_sc_hd__nor3_1 U27896 ( .A(n23061), .B(n23060), .C(n23059), .Y( n23063) ); sky130_fd_sc_hd__nand2_1 U27897 ( .A(n23063), .B( \pic_ctrl_inst/picm_wr_data_ff [0]), .Y(n23062) ); sky130_fd_sc_hd__o21ai_1 U27898 ( .A1(n10878), .A2(n23063), .B1(n23062), .Y( \pic_ctrl_inst/config_reg_ff/_0_net_[0] ) ); sky130_fd_sc_hd__o22ai_1 U27899 ( .A1(n23067), .A2(n23066), .B1(n23065), .B2(n23064), .Y( \pic_ctrl_inst/SETREG[1].NON_ZERO_INT.gw_config_ff/_0_net_[0] ) ); sky130_fd_sc_hd__nand2_1 U27900 ( .A(\lsu/stbuf/stbuf_byteen[3][3] ), .B( n23275), .Y(n23068) ); sky130_fd_sc_hd__o21ai_1 U27901 ( .A1(n23274), .A2(n23068), .B1(n23148), .Y( n23104) ); sky130_fd_sc_hd__a21oi_1 U27902 ( .A1(\lsu/stbuf/stbuf_byteen[3][3] ), .A2( n23275), .B1(n23148), .Y(n23101) ); sky130_fd_sc_hd__nor2b_1 U27903 ( .B_N(n23104), .A(n23101), .Y(n23102) ); sky130_fd_sc_hd__o22ai_1 U27904 ( .A1(\lsu/end_addr_r [12]), .A2(n23419), .B1(\lsu/end_addr_r [15]), .B2(n23403), .Y(n23069) ); sky130_fd_sc_hd__a221oi_1 U27905 ( .A1(\lsu/end_addr_r [12]), .A2(n23419), .B1(n23403), .B2(\lsu/end_addr_r [15]), .C1(n23069), .Y(n23085) ); sky130_fd_sc_hd__o22ai_1 U27906 ( .A1(\lsu/end_addr_r [13]), .A2(n23412), .B1(\lsu/end_addr_r [14]), .B2(n23401), .Y(n23070) ); sky130_fd_sc_hd__a221oi_1 U27907 ( .A1(\lsu/end_addr_r [13]), .A2(n23412), .B1(n23401), .B2(\lsu/end_addr_r [14]), .C1(n23070), .Y(n23084) ); sky130_fd_sc_hd__o22ai_1 U27908 ( .A1(\lsu/end_addr_r [6]), .A2(n23415), .B1(\lsu/end_addr_r [8]), .B2(n23397), .Y(n23071) ); sky130_fd_sc_hd__a221oi_1 U27909 ( .A1(\lsu/end_addr_r [6]), .A2(n23415), .B1(n23397), .B2(\lsu/end_addr_r [8]), .C1(n23071), .Y(n23083) ); sky130_fd_sc_hd__o22ai_1 U27910 ( .A1(\lsu/end_addr_r [5]), .A2(n23404), .B1(n23410), .B2(\lsu/end_addr_r [2]), .Y(n23072) ); sky130_fd_sc_hd__a221oi_1 U27911 ( .A1(\lsu/end_addr_r [5]), .A2(n23404), .B1(\lsu/end_addr_r [2]), .B2(n23410), .C1(n23072), .Y(n23079) ); sky130_fd_sc_hd__o22ai_1 U27912 ( .A1(\lsu/end_addr_r [9]), .A2(n23398), .B1(n23400), .B2(\lsu/end_addr_r [4]), .Y(n23073) ); sky130_fd_sc_hd__a221oi_1 U27913 ( .A1(\lsu/end_addr_r [9]), .A2(n23398), .B1(\lsu/end_addr_r [4]), .B2(n23400), .C1(n23073), .Y(n23078) ); sky130_fd_sc_hd__o22ai_1 U27914 ( .A1(\lsu/end_addr_r [3]), .A2(n23418), .B1(\lsu/end_addr_r [7]), .B2(n23409), .Y(n23074) ); sky130_fd_sc_hd__a221oi_1 U27915 ( .A1(\lsu/end_addr_r [3]), .A2(n23418), .B1(n23409), .B2(\lsu/end_addr_r [7]), .C1(n23074), .Y(n23077) ); sky130_fd_sc_hd__o22ai_1 U27916 ( .A1(\lsu/end_addr_r [10]), .A2(n23416), .B1(n23413), .B2(\lsu/end_addr_r [11]), .Y(n23075) ); sky130_fd_sc_hd__a221oi_1 U27917 ( .A1(\lsu/end_addr_r [10]), .A2(n23416), .B1(\lsu/end_addr_r [11]), .B2(n23413), .C1(n23075), .Y(n23076) ); sky130_fd_sc_hd__nand4_1 U27918 ( .A(n23079), .B(n23078), .C(n23077), .D( n23076), .Y(n23080) ); sky130_fd_sc_hd__nor2_1 U27919 ( .A(n23081), .B(n23080), .Y(n23082) ); sky130_fd_sc_hd__nand4_1 U27920 ( .A(n23085), .B(n23084), .C(n23083), .D( n23082), .Y(n23153) ); sky130_fd_sc_hd__nand2_1 U27921 ( .A(\lsu/store_data_hi_r [24]), .B(n23153), .Y(n23086) ); sky130_fd_sc_hd__o21ai_1 U27922 ( .A1(n23430), .A2(n23153), .B1(n23086), .Y( n23276) ); sky130_fd_sc_hd__a22oi_1 U27923 ( .A1(\lsu/stbuf/stbuf_data[3][24] ), .A2( n23102), .B1(n23101), .B2(n23276), .Y(n23087) ); sky130_fd_sc_hd__o21ai_1 U27924 ( .A1(n23278), .A2(n23104), .B1(n23087), .Y( \lsu/stbuf/stbuf_datain[3][24] ) ); sky130_fd_sc_hd__clkinv_1 U27925 ( .A(n23153), .Y(n23106) ); sky130_fd_sc_hd__clkinv_1 U27926 ( .A(\lsu/store_data_hi_r [25]), .Y(n24817) ); sky130_fd_sc_hd__o22ai_1 U27927 ( .A1(n23106), .A2(n24817), .B1(n23153), .B2(n23088), .Y(n23279) ); sky130_fd_sc_hd__a22oi_1 U27928 ( .A1(\lsu/stbuf/stbuf_data[3][25] ), .A2( n23102), .B1(n23101), .B2(n23279), .Y(n23089) ); sky130_fd_sc_hd__o21ai_1 U27929 ( .A1(n23281), .A2(n23104), .B1(n23089), .Y( \lsu/stbuf/stbuf_datain[3][25] ) ); sky130_fd_sc_hd__clkinv_1 U27930 ( .A(\lsu/store_data_hi_r [26]), .Y(n24831) ); sky130_fd_sc_hd__o22ai_1 U27931 ( .A1(n23106), .A2(n24831), .B1(n23153), .B2(n23090), .Y(n23282) ); sky130_fd_sc_hd__a22oi_1 U27932 ( .A1(\lsu/stbuf/stbuf_data[3][26] ), .A2( n23102), .B1(n23101), .B2(n23282), .Y(n23091) ); sky130_fd_sc_hd__o21ai_1 U27933 ( .A1(n23284), .A2(n23104), .B1(n23091), .Y( \lsu/stbuf/stbuf_datain[3][26] ) ); sky130_fd_sc_hd__o22ai_1 U27934 ( .A1(n23106), .A2(n24836), .B1(n23153), .B2(n23092), .Y(n23285) ); sky130_fd_sc_hd__a22oi_1 U27935 ( .A1(\lsu/stbuf/stbuf_data[3][27] ), .A2( n23102), .B1(n23101), .B2(n23285), .Y(n23093) ); sky130_fd_sc_hd__o21ai_1 U27936 ( .A1(n23287), .A2(n23104), .B1(n23093), .Y( \lsu/stbuf/stbuf_datain[3][27] ) ); sky130_fd_sc_hd__clkinv_1 U27937 ( .A(\lsu/store_data_hi_r [28]), .Y(n24842) ); sky130_fd_sc_hd__o22ai_1 U27938 ( .A1(n23106), .A2(n24842), .B1(n23153), .B2(n23094), .Y(n23288) ); sky130_fd_sc_hd__a22oi_1 U27939 ( .A1(\lsu/stbuf/stbuf_data[3][28] ), .A2( n23102), .B1(n23101), .B2(n23288), .Y(n23095) ); sky130_fd_sc_hd__o21ai_1 U27940 ( .A1(n23290), .A2(n23104), .B1(n23095), .Y( \lsu/stbuf/stbuf_datain[3][28] ) ); sky130_fd_sc_hd__clkinv_1 U27941 ( .A(\lsu/store_data_hi_r [29]), .Y(n24864) ); sky130_fd_sc_hd__o22ai_1 U27942 ( .A1(n23106), .A2(n24864), .B1(n23153), .B2(n23096), .Y(n23291) ); sky130_fd_sc_hd__a22oi_1 U27943 ( .A1(\lsu/stbuf/stbuf_data[3][29] ), .A2( n23102), .B1(n23101), .B2(n23291), .Y(n23097) ); sky130_fd_sc_hd__o21ai_1 U27944 ( .A1(n23293), .A2(n23104), .B1(n23097), .Y( \lsu/stbuf/stbuf_datain[3][29] ) ); sky130_fd_sc_hd__clkinv_1 U27945 ( .A(\lsu/store_data_hi_r [30]), .Y(n24873) ); sky130_fd_sc_hd__o22ai_1 U27946 ( .A1(n23106), .A2(n24873), .B1(n23153), .B2(n23098), .Y(n23294) ); sky130_fd_sc_hd__a22oi_1 U27947 ( .A1(\lsu/stbuf/stbuf_data[3][30] ), .A2( n23102), .B1(n23101), .B2(n23294), .Y(n23099) ); sky130_fd_sc_hd__o21ai_1 U27948 ( .A1(n23296), .A2(n23104), .B1(n23099), .Y( \lsu/stbuf/stbuf_datain[3][30] ) ); sky130_fd_sc_hd__clkinv_1 U27949 ( .A(\lsu/store_data_hi_r [31]), .Y(n24879) ); sky130_fd_sc_hd__o22ai_1 U27950 ( .A1(n23106), .A2(n24879), .B1(n23153), .B2(n23100), .Y(n23298) ); sky130_fd_sc_hd__a22oi_1 U27951 ( .A1(\lsu/stbuf/stbuf_data[3][31] ), .A2( n23102), .B1(n23101), .B2(n23298), .Y(n23103) ); sky130_fd_sc_hd__o21ai_1 U27952 ( .A1(n23302), .A2(n23104), .B1(n23103), .Y( \lsu/stbuf/stbuf_datain[3][31] ) ); sky130_fd_sc_hd__o21ai_1 U27953 ( .A1(n23105), .A2(n23303), .B1(n23109), .Y( n23122) ); sky130_fd_sc_hd__nand2_1 U27954 ( .A(n23107), .B(n23106), .Y(n23117) ); sky130_fd_sc_hd__clkinv_1 U27955 ( .A(n23117), .Y(n23118) ); sky130_fd_sc_hd__o2bb2ai_1 U27956 ( .B1(n23118), .B2( \lsu/store_data_hi_r [16]), .A1_N(n23118), .A2_N(n23543), .Y(n23309) ); sky130_fd_sc_hd__clkinv_1 U27957 ( .A(n23108), .Y(n23306) ); sky130_fd_sc_hd__a21oi_1 U27958 ( .A1(\lsu/stbuf/stbuf_byteen[3][2] ), .A2( n23306), .B1(n23109), .Y(n23119) ); sky130_fd_sc_hd__nor2b_1 U27959 ( .B_N(n23122), .A(n23119), .Y(n23120) ); sky130_fd_sc_hd__a22oi_1 U27960 ( .A1(\lsu/stbuf/stbuf_data[3][16] ), .A2( n23120), .B1(n23119), .B2(n23307), .Y(n23110) ); sky130_fd_sc_hd__o21ai_1 U27961 ( .A1(n23122), .A2(n23309), .B1(n23110), .Y( \lsu/stbuf/stbuf_datain[3][16] ) ); sky130_fd_sc_hd__o22ai_1 U27962 ( .A1(n23118), .A2(\lsu/store_data_hi_r [17]), .B1(n23117), .B2(n23547), .Y(n23312) ); sky130_fd_sc_hd__a22oi_1 U27963 ( .A1(\lsu/stbuf/stbuf_data[3][17] ), .A2( n23120), .B1(n23119), .B2(n23310), .Y(n23111) ); sky130_fd_sc_hd__o21ai_1 U27964 ( .A1(n23122), .A2(n23312), .B1(n23111), .Y( \lsu/stbuf/stbuf_datain[3][17] ) ); sky130_fd_sc_hd__clkinv_1 U27965 ( .A(n23491), .Y(n23550) ); sky130_fd_sc_hd__o22ai_1 U27966 ( .A1(n23118), .A2(\lsu/store_data_hi_r [18]), .B1(n23117), .B2(n23550), .Y(n23315) ); sky130_fd_sc_hd__a22oi_1 U27967 ( .A1(\lsu/stbuf/stbuf_data[3][18] ), .A2( n23120), .B1(n23119), .B2(n23313), .Y(n23112) ); sky130_fd_sc_hd__o21ai_1 U27968 ( .A1(n23122), .A2(n23315), .B1(n23112), .Y( \lsu/stbuf/stbuf_datain[3][18] ) ); sky130_fd_sc_hd__o22ai_1 U27969 ( .A1(n23118), .A2(\lsu/store_data_hi_r [19]), .B1(n23117), .B2(n23553), .Y(n23318) ); sky130_fd_sc_hd__a22oi_1 U27970 ( .A1(\lsu/stbuf/stbuf_data[3][19] ), .A2( n23120), .B1(n23119), .B2(n23316), .Y(n23113) ); sky130_fd_sc_hd__o21ai_1 U27971 ( .A1(n23122), .A2(n23318), .B1(n23113), .Y( \lsu/stbuf/stbuf_datain[3][19] ) ); sky130_fd_sc_hd__o22ai_1 U27972 ( .A1(n23118), .A2(\lsu/store_data_hi_r [20]), .B1(n23117), .B2(n23557), .Y(n23321) ); sky130_fd_sc_hd__a22oi_1 U27973 ( .A1(\lsu/stbuf/stbuf_data[3][20] ), .A2( n23120), .B1(n23119), .B2(n23319), .Y(n23114) ); sky130_fd_sc_hd__o21ai_1 U27974 ( .A1(n23122), .A2(n23321), .B1(n23114), .Y( \lsu/stbuf/stbuf_datain[3][20] ) ); sky130_fd_sc_hd__clkinv_1 U27975 ( .A(n23515), .Y(n23560) ); sky130_fd_sc_hd__o22ai_1 U27976 ( .A1(n23118), .A2(\lsu/store_data_hi_r [21]), .B1(n23117), .B2(n23560), .Y(n23324) ); sky130_fd_sc_hd__a22oi_1 U27977 ( .A1(\lsu/stbuf/stbuf_data[3][21] ), .A2( n23120), .B1(n23119), .B2(n23322), .Y(n23115) ); sky130_fd_sc_hd__o21ai_1 U27978 ( .A1(n23122), .A2(n23324), .B1(n23115), .Y( \lsu/stbuf/stbuf_datain[3][21] ) ); sky130_fd_sc_hd__o22ai_1 U27979 ( .A1(n23118), .A2(\lsu/store_data_hi_r [22]), .B1(n23117), .B2(n23565), .Y(n23327) ); sky130_fd_sc_hd__a22oi_1 U27980 ( .A1(\lsu/stbuf/stbuf_data[3][22] ), .A2( n23120), .B1(n23119), .B2(n23325), .Y(n23116) ); sky130_fd_sc_hd__o21ai_1 U27981 ( .A1(n23122), .A2(n23327), .B1(n23116), .Y( \lsu/stbuf/stbuf_datain[3][22] ) ); sky130_fd_sc_hd__o22ai_1 U27982 ( .A1(n23118), .A2(\lsu/store_data_hi_r [23]), .B1(n23117), .B2(n23568), .Y(n23333) ); sky130_fd_sc_hd__a22oi_1 U27983 ( .A1(\lsu/stbuf/stbuf_data[3][23] ), .A2( n23120), .B1(n23119), .B2(n23328), .Y(n23121) ); sky130_fd_sc_hd__o21ai_1 U27984 ( .A1(n23122), .A2(n23333), .B1(n23121), .Y( \lsu/stbuf/stbuf_datain[3][23] ) ); sky130_fd_sc_hd__o21ai_1 U27985 ( .A1(n23335), .A2(n23123), .B1(n23148), .Y( n23145) ); sky130_fd_sc_hd__a21oi_1 U27986 ( .A1(\lsu/stbuf/stbuf_byteen[3][1] ), .A2( n23336), .B1(n23148), .Y(n23142) ); sky130_fd_sc_hd__nor2b_1 U27987 ( .B_N(n23145), .A(n23142), .Y(n23143) ); sky130_fd_sc_hd__nor2_1 U27988 ( .A(n23124), .B(n23153), .Y(n23141) ); sky130_fd_sc_hd__clkinv_1 U27989 ( .A(n23141), .Y(n23139) ); sky130_fd_sc_hd__o22ai_1 U27990 ( .A1(n23141), .A2(n23125), .B1(n23139), .B2(n23613), .Y(n23337) ); sky130_fd_sc_hd__a22oi_1 U27991 ( .A1(\lsu/stbuf/stbuf_data[3][8] ), .A2( n23143), .B1(n23142), .B2(n23337), .Y(n23126) ); sky130_fd_sc_hd__o21ai_1 U27992 ( .A1(n23339), .A2(n23145), .B1(n23126), .Y( \lsu/stbuf/stbuf_datain[3][8] ) ); sky130_fd_sc_hd__o22ai_1 U27993 ( .A1(n23141), .A2(n23127), .B1(n23139), .B2(n23620), .Y(n23340) ); sky130_fd_sc_hd__a22oi_1 U27994 ( .A1(\lsu/stbuf/stbuf_data[3][9] ), .A2( n23143), .B1(n23142), .B2(n23340), .Y(n23128) ); sky130_fd_sc_hd__o21ai_1 U27995 ( .A1(n23342), .A2(n23145), .B1(n23128), .Y( \lsu/stbuf/stbuf_datain[3][9] ) ); sky130_fd_sc_hd__o22ai_1 U27996 ( .A1(n23141), .A2(n23129), .B1(n23139), .B2(n23625), .Y(n23343) ); sky130_fd_sc_hd__a22oi_1 U27997 ( .A1(\lsu/stbuf/stbuf_data[3][10] ), .A2( n23143), .B1(n23142), .B2(n23343), .Y(n23130) ); sky130_fd_sc_hd__o21ai_1 U27998 ( .A1(n23345), .A2(n23145), .B1(n23130), .Y( \lsu/stbuf/stbuf_datain[3][10] ) ); sky130_fd_sc_hd__o22ai_1 U27999 ( .A1(n23141), .A2(n23131), .B1(n23139), .B2(n23630), .Y(n23346) ); sky130_fd_sc_hd__a22oi_1 U28000 ( .A1(\lsu/stbuf/stbuf_data[3][11] ), .A2( n23143), .B1(n23142), .B2(n23346), .Y(n23132) ); sky130_fd_sc_hd__o21ai_1 U28001 ( .A1(n23348), .A2(n23145), .B1(n23132), .Y( \lsu/stbuf/stbuf_datain[3][11] ) ); sky130_fd_sc_hd__o22ai_1 U28002 ( .A1(n23141), .A2(n23133), .B1(n23139), .B2(n23637), .Y(n23349) ); sky130_fd_sc_hd__a22oi_1 U28003 ( .A1(\lsu/stbuf/stbuf_data[3][12] ), .A2( n23143), .B1(n23142), .B2(n23349), .Y(n23134) ); sky130_fd_sc_hd__o21ai_1 U28004 ( .A1(n23351), .A2(n23145), .B1(n23134), .Y( \lsu/stbuf/stbuf_datain[3][12] ) ); sky130_fd_sc_hd__o22ai_1 U28005 ( .A1(n23141), .A2(n23135), .B1(n23139), .B2(n23642), .Y(n23352) ); sky130_fd_sc_hd__a22oi_1 U28006 ( .A1(\lsu/stbuf/stbuf_data[3][13] ), .A2( n23143), .B1(n23142), .B2(n23352), .Y(n23136) ); sky130_fd_sc_hd__o21ai_1 U28007 ( .A1(n23354), .A2(n23145), .B1(n23136), .Y( \lsu/stbuf/stbuf_datain[3][13] ) ); sky130_fd_sc_hd__o22ai_1 U28008 ( .A1(n23141), .A2(n23137), .B1(n23139), .B2(n23648), .Y(n23355) ); sky130_fd_sc_hd__a22oi_1 U28009 ( .A1(\lsu/stbuf/stbuf_data[3][14] ), .A2( n23143), .B1(n23142), .B2(n23355), .Y(n23138) ); sky130_fd_sc_hd__o21ai_1 U28010 ( .A1(n23357), .A2(n23145), .B1(n23138), .Y( \lsu/stbuf/stbuf_datain[3][14] ) ); sky130_fd_sc_hd__o22ai_1 U28011 ( .A1(n23141), .A2(n23140), .B1(n23139), .B2(n23656), .Y(n23359) ); sky130_fd_sc_hd__a22oi_1 U28012 ( .A1(\lsu/stbuf/stbuf_data[3][15] ), .A2( n23143), .B1(n23142), .B2(n23359), .Y(n23144) ); sky130_fd_sc_hd__o21ai_1 U28013 ( .A1(n23363), .A2(n23145), .B1(n23144), .Y( \lsu/stbuf/stbuf_datain[3][15] ) ); sky130_fd_sc_hd__o21ai_1 U28014 ( .A1(n23365), .A2(n23146), .B1(n23148), .Y( n23172) ); sky130_fd_sc_hd__clkinv_1 U28015 ( .A(n23147), .Y(n23367) ); sky130_fd_sc_hd__a21oi_1 U28016 ( .A1(\lsu/stbuf/stbuf_byteen[3][0] ), .A2( n23367), .B1(n23148), .Y(n23169) ); sky130_fd_sc_hd__nor2b_1 U28017 ( .B_N(n23172), .A(n23169), .Y(n23170) ); sky130_fd_sc_hd__o21ai_1 U28018 ( .A1(n23151), .A2(n23150), .B1(n23149), .Y( n23152) ); sky130_fd_sc_hd__nor2_1 U28019 ( .A(n23153), .B(n23152), .Y(n23168) ); sky130_fd_sc_hd__clkinv_1 U28020 ( .A(n23168), .Y(n23166) ); sky130_fd_sc_hd__o22ai_1 U28021 ( .A1(n23168), .A2(n23154), .B1(n23166), .B2(n23716), .Y(n23368) ); sky130_fd_sc_hd__a22oi_1 U28022 ( .A1(\lsu/stbuf/stbuf_data[3][0] ), .A2( n23170), .B1(n23169), .B2(n23368), .Y(n23155) ); sky130_fd_sc_hd__o21ai_1 U28023 ( .A1(n23172), .A2(n23370), .B1(n23155), .Y( \lsu/stbuf/stbuf_datain[3][0] ) ); sky130_fd_sc_hd__o22ai_1 U28024 ( .A1(n23168), .A2(n25062), .B1(n23166), .B2(n23726), .Y(n23371) ); sky130_fd_sc_hd__a22oi_1 U28025 ( .A1(\lsu/stbuf/stbuf_data[3][1] ), .A2( n23170), .B1(n23169), .B2(n23371), .Y(n23156) ); sky130_fd_sc_hd__o21ai_1 U28026 ( .A1(n23172), .A2(n23373), .B1(n23156), .Y( \lsu/stbuf/stbuf_datain[3][1] ) ); sky130_fd_sc_hd__o22ai_1 U28027 ( .A1(n23168), .A2(n23157), .B1(n23166), .B2(n23733), .Y(n23374) ); sky130_fd_sc_hd__a22oi_1 U28028 ( .A1(\lsu/stbuf/stbuf_data[3][2] ), .A2( n23170), .B1(n23169), .B2(n23374), .Y(n23158) ); sky130_fd_sc_hd__o21ai_1 U28029 ( .A1(n23172), .A2(n23376), .B1(n23158), .Y( \lsu/stbuf/stbuf_datain[3][2] ) ); sky130_fd_sc_hd__o22ai_1 U28030 ( .A1(n23168), .A2(n23159), .B1(n23166), .B2(n23741), .Y(n23377) ); sky130_fd_sc_hd__a22oi_1 U28031 ( .A1(\lsu/stbuf/stbuf_data[3][3] ), .A2( n23170), .B1(n23169), .B2(n23377), .Y(n23160) ); sky130_fd_sc_hd__o21ai_1 U28032 ( .A1(n23172), .A2(n23379), .B1(n23160), .Y( \lsu/stbuf/stbuf_datain[3][3] ) ); sky130_fd_sc_hd__o22ai_1 U28033 ( .A1(n23168), .A2(n23161), .B1(n23166), .B2(n23748), .Y(n23380) ); sky130_fd_sc_hd__a22oi_1 U28034 ( .A1(\lsu/stbuf/stbuf_data[3][4] ), .A2( n23170), .B1(n23169), .B2(n23380), .Y(n23162) ); sky130_fd_sc_hd__o21ai_1 U28035 ( .A1(n23172), .A2(n23382), .B1(n23162), .Y( \lsu/stbuf/stbuf_datain[3][4] ) ); sky130_fd_sc_hd__o22ai_1 U28036 ( .A1(n23168), .A2(n23163), .B1(n23166), .B2(n23754), .Y(n23383) ); sky130_fd_sc_hd__a22oi_1 U28037 ( .A1(\lsu/stbuf/stbuf_data[3][5] ), .A2( n23170), .B1(n23169), .B2(n23383), .Y(n23164) ); sky130_fd_sc_hd__o21ai_1 U28038 ( .A1(n23172), .A2(n23385), .B1(n23164), .Y( \lsu/stbuf/stbuf_datain[3][5] ) ); sky130_fd_sc_hd__o22ai_1 U28039 ( .A1(n23168), .A2(n25058), .B1(n23166), .B2(n23762), .Y(n23386) ); sky130_fd_sc_hd__a22oi_1 U28040 ( .A1(\lsu/stbuf/stbuf_data[3][6] ), .A2( n23170), .B1(n23169), .B2(n23386), .Y(n23165) ); sky130_fd_sc_hd__o21ai_1 U28041 ( .A1(n23172), .A2(n23388), .B1(n23165), .Y( \lsu/stbuf/stbuf_datain[3][6] ) ); sky130_fd_sc_hd__o22ai_1 U28042 ( .A1(n23168), .A2(n23167), .B1(n23166), .B2(n23774), .Y(n23389) ); sky130_fd_sc_hd__a22oi_1 U28043 ( .A1(\lsu/stbuf/stbuf_data[3][7] ), .A2( n23170), .B1(n23169), .B2(n23389), .Y(n23171) ); sky130_fd_sc_hd__o21ai_1 U28044 ( .A1(n23172), .A2(n23394), .B1(n23171), .Y( \lsu/stbuf/stbuf_datain[3][7] ) ); sky130_fd_sc_hd__nand2_1 U28045 ( .A(\lsu/stbuf/stbuf_byteen[2][3] ), .B( n23275), .Y(n23173) ); sky130_fd_sc_hd__o21ai_1 U28046 ( .A1(n23274), .A2(n23173), .B1(n23211), .Y( n23184) ); sky130_fd_sc_hd__a21oi_1 U28047 ( .A1(\lsu/stbuf/stbuf_byteen[2][3] ), .A2( n23275), .B1(n23211), .Y(n23181) ); sky130_fd_sc_hd__nor2b_1 U28048 ( .B_N(n23184), .A(n23181), .Y(n23182) ); sky130_fd_sc_hd__a22oi_1 U28049 ( .A1(\lsu/stbuf/stbuf_data[2][24] ), .A2( n23182), .B1(n23276), .B2(n23181), .Y(n23174) ); sky130_fd_sc_hd__o21ai_1 U28050 ( .A1(n23278), .A2(n23184), .B1(n23174), .Y( \lsu/stbuf/stbuf_datain[2][24] ) ); sky130_fd_sc_hd__a22oi_1 U28051 ( .A1(\lsu/stbuf/stbuf_data[2][25] ), .A2( n23182), .B1(n23279), .B2(n23181), .Y(n23175) ); sky130_fd_sc_hd__o21ai_1 U28052 ( .A1(n23281), .A2(n23184), .B1(n23175), .Y( \lsu/stbuf/stbuf_datain[2][25] ) ); sky130_fd_sc_hd__a22oi_1 U28053 ( .A1(\lsu/stbuf/stbuf_data[2][26] ), .A2( n23182), .B1(n23282), .B2(n23181), .Y(n23176) ); sky130_fd_sc_hd__o21ai_1 U28054 ( .A1(n23284), .A2(n23184), .B1(n23176), .Y( \lsu/stbuf/stbuf_datain[2][26] ) ); sky130_fd_sc_hd__a22oi_1 U28055 ( .A1(\lsu/stbuf/stbuf_data[2][27] ), .A2( n23182), .B1(n23285), .B2(n23181), .Y(n23177) ); sky130_fd_sc_hd__o21ai_1 U28056 ( .A1(n23287), .A2(n23184), .B1(n23177), .Y( \lsu/stbuf/stbuf_datain[2][27] ) ); sky130_fd_sc_hd__a22oi_1 U28057 ( .A1(\lsu/stbuf/stbuf_data[2][28] ), .A2( n23182), .B1(n23288), .B2(n23181), .Y(n23178) ); sky130_fd_sc_hd__o21ai_1 U28058 ( .A1(n23290), .A2(n23184), .B1(n23178), .Y( \lsu/stbuf/stbuf_datain[2][28] ) ); sky130_fd_sc_hd__a22oi_1 U28059 ( .A1(\lsu/stbuf/stbuf_data[2][29] ), .A2( n23182), .B1(n23291), .B2(n23181), .Y(n23179) ); sky130_fd_sc_hd__o21ai_1 U28060 ( .A1(n23293), .A2(n23184), .B1(n23179), .Y( \lsu/stbuf/stbuf_datain[2][29] ) ); sky130_fd_sc_hd__a22oi_1 U28061 ( .A1(\lsu/stbuf/stbuf_data[2][30] ), .A2( n23182), .B1(n23294), .B2(n23181), .Y(n23180) ); sky130_fd_sc_hd__o21ai_1 U28062 ( .A1(n23296), .A2(n23184), .B1(n23180), .Y( \lsu/stbuf/stbuf_datain[2][30] ) ); sky130_fd_sc_hd__a22oi_1 U28063 ( .A1(\lsu/stbuf/stbuf_data[2][31] ), .A2( n23182), .B1(n23298), .B2(n23181), .Y(n23183) ); sky130_fd_sc_hd__o21ai_1 U28064 ( .A1(n23302), .A2(n23184), .B1(n23183), .Y( \lsu/stbuf/stbuf_datain[2][31] ) ); sky130_fd_sc_hd__o21ai_1 U28065 ( .A1(n23185), .A2(n23303), .B1(n23186), .Y( n23197) ); sky130_fd_sc_hd__a21oi_1 U28066 ( .A1(\lsu/stbuf/stbuf_byteen[2][2] ), .A2( n23306), .B1(n23186), .Y(n23194) ); sky130_fd_sc_hd__nor2b_1 U28067 ( .B_N(n23197), .A(n23194), .Y(n23195) ); sky130_fd_sc_hd__a22oi_1 U28068 ( .A1(\lsu/stbuf/stbuf_data[2][16] ), .A2( n23195), .B1(n23194), .B2(n23307), .Y(n23187) ); sky130_fd_sc_hd__o21ai_1 U28069 ( .A1(n23309), .A2(n23197), .B1(n23187), .Y( \lsu/stbuf/stbuf_datain[2][16] ) ); sky130_fd_sc_hd__a22oi_1 U28070 ( .A1(\lsu/stbuf/stbuf_data[2][17] ), .A2( n23195), .B1(n23194), .B2(n23310), .Y(n23188) ); sky130_fd_sc_hd__o21ai_1 U28071 ( .A1(n23312), .A2(n23197), .B1(n23188), .Y( \lsu/stbuf/stbuf_datain[2][17] ) ); sky130_fd_sc_hd__a22oi_1 U28072 ( .A1(\lsu/stbuf/stbuf_data[2][18] ), .A2( n23195), .B1(n23194), .B2(n23313), .Y(n23189) ); sky130_fd_sc_hd__o21ai_1 U28073 ( .A1(n23315), .A2(n23197), .B1(n23189), .Y( \lsu/stbuf/stbuf_datain[2][18] ) ); sky130_fd_sc_hd__a22oi_1 U28074 ( .A1(\lsu/stbuf/stbuf_data[2][19] ), .A2( n23195), .B1(n23194), .B2(n23316), .Y(n23190) ); sky130_fd_sc_hd__o21ai_1 U28075 ( .A1(n23318), .A2(n23197), .B1(n23190), .Y( \lsu/stbuf/stbuf_datain[2][19] ) ); sky130_fd_sc_hd__a22oi_1 U28076 ( .A1(\lsu/stbuf/stbuf_data[2][20] ), .A2( n23195), .B1(n23194), .B2(n23319), .Y(n23191) ); sky130_fd_sc_hd__o21ai_1 U28077 ( .A1(n23321), .A2(n23197), .B1(n23191), .Y( \lsu/stbuf/stbuf_datain[2][20] ) ); sky130_fd_sc_hd__a22oi_1 U28078 ( .A1(\lsu/stbuf/stbuf_data[2][21] ), .A2( n23195), .B1(n23194), .B2(n23322), .Y(n23192) ); sky130_fd_sc_hd__o21ai_1 U28079 ( .A1(n23324), .A2(n23197), .B1(n23192), .Y( \lsu/stbuf/stbuf_datain[2][21] ) ); sky130_fd_sc_hd__a22oi_1 U28080 ( .A1(\lsu/stbuf/stbuf_data[2][22] ), .A2( n23195), .B1(n23194), .B2(n23325), .Y(n23193) ); sky130_fd_sc_hd__o21ai_1 U28081 ( .A1(n23327), .A2(n23197), .B1(n23193), .Y( \lsu/stbuf/stbuf_datain[2][22] ) ); sky130_fd_sc_hd__a22oi_1 U28082 ( .A1(\lsu/stbuf/stbuf_data[2][23] ), .A2( n23195), .B1(n23194), .B2(n23328), .Y(n23196) ); sky130_fd_sc_hd__o21ai_1 U28083 ( .A1(n23333), .A2(n23197), .B1(n23196), .Y( \lsu/stbuf/stbuf_datain[2][23] ) ); sky130_fd_sc_hd__o21ai_1 U28084 ( .A1(n23335), .A2(n23198), .B1(n23211), .Y( n23209) ); sky130_fd_sc_hd__a21oi_1 U28085 ( .A1(\lsu/stbuf/stbuf_byteen[2][1] ), .A2( n23336), .B1(n23211), .Y(n23206) ); sky130_fd_sc_hd__nor2b_1 U28086 ( .B_N(n23209), .A(n23206), .Y(n23207) ); sky130_fd_sc_hd__a22oi_1 U28087 ( .A1(\lsu/stbuf/stbuf_data[2][8] ), .A2( n23207), .B1(n23337), .B2(n23206), .Y(n23199) ); sky130_fd_sc_hd__o21ai_1 U28088 ( .A1(n23339), .A2(n23209), .B1(n23199), .Y( \lsu/stbuf/stbuf_datain[2][8] ) ); sky130_fd_sc_hd__a22oi_1 U28089 ( .A1(\lsu/stbuf/stbuf_data[2][9] ), .A2( n23207), .B1(n23340), .B2(n23206), .Y(n23200) ); sky130_fd_sc_hd__o21ai_1 U28090 ( .A1(n23342), .A2(n23209), .B1(n23200), .Y( \lsu/stbuf/stbuf_datain[2][9] ) ); sky130_fd_sc_hd__a22oi_1 U28091 ( .A1(\lsu/stbuf/stbuf_data[2][10] ), .A2( n23207), .B1(n23343), .B2(n23206), .Y(n23201) ); sky130_fd_sc_hd__o21ai_1 U28092 ( .A1(n23345), .A2(n23209), .B1(n23201), .Y( \lsu/stbuf/stbuf_datain[2][10] ) ); sky130_fd_sc_hd__a22oi_1 U28093 ( .A1(\lsu/stbuf/stbuf_data[2][11] ), .A2( n23207), .B1(n23346), .B2(n23206), .Y(n23202) ); sky130_fd_sc_hd__o21ai_1 U28094 ( .A1(n23348), .A2(n23209), .B1(n23202), .Y( \lsu/stbuf/stbuf_datain[2][11] ) ); sky130_fd_sc_hd__a22oi_1 U28095 ( .A1(\lsu/stbuf/stbuf_data[2][12] ), .A2( n23207), .B1(n23349), .B2(n23206), .Y(n23203) ); sky130_fd_sc_hd__o21ai_1 U28096 ( .A1(n23351), .A2(n23209), .B1(n23203), .Y( \lsu/stbuf/stbuf_datain[2][12] ) ); sky130_fd_sc_hd__a22oi_1 U28097 ( .A1(\lsu/stbuf/stbuf_data[2][13] ), .A2( n23207), .B1(n23352), .B2(n23206), .Y(n23204) ); sky130_fd_sc_hd__o21ai_1 U28098 ( .A1(n23354), .A2(n23209), .B1(n23204), .Y( \lsu/stbuf/stbuf_datain[2][13] ) ); sky130_fd_sc_hd__a22oi_1 U28099 ( .A1(\lsu/stbuf/stbuf_data[2][14] ), .A2( n23207), .B1(n23355), .B2(n23206), .Y(n23205) ); sky130_fd_sc_hd__o21ai_1 U28100 ( .A1(n23357), .A2(n23209), .B1(n23205), .Y( \lsu/stbuf/stbuf_datain[2][14] ) ); sky130_fd_sc_hd__a22oi_1 U28101 ( .A1(\lsu/stbuf/stbuf_data[2][15] ), .A2( n23207), .B1(n23359), .B2(n23206), .Y(n23208) ); sky130_fd_sc_hd__o21ai_1 U28102 ( .A1(n23363), .A2(n23209), .B1(n23208), .Y( \lsu/stbuf/stbuf_datain[2][15] ) ); sky130_fd_sc_hd__o21ai_1 U28103 ( .A1(n23365), .A2(n23210), .B1(n23211), .Y( n23222) ); sky130_fd_sc_hd__a21oi_1 U28104 ( .A1(\lsu/stbuf/stbuf_byteen[2][0] ), .A2( n23367), .B1(n23211), .Y(n23219) ); sky130_fd_sc_hd__nor2b_1 U28105 ( .B_N(n23222), .A(n23219), .Y(n23220) ); sky130_fd_sc_hd__a22oi_1 U28106 ( .A1(\lsu/stbuf/stbuf_data[2][0] ), .A2( n23220), .B1(n23219), .B2(n23368), .Y(n23212) ); sky130_fd_sc_hd__o21ai_1 U28107 ( .A1(n23370), .A2(n23222), .B1(n23212), .Y( \lsu/stbuf/stbuf_datain[2][0] ) ); sky130_fd_sc_hd__a22oi_1 U28108 ( .A1(\lsu/stbuf/stbuf_data[2][1] ), .A2( n23220), .B1(n23219), .B2(n23371), .Y(n23213) ); sky130_fd_sc_hd__o21ai_1 U28109 ( .A1(n23373), .A2(n23222), .B1(n23213), .Y( \lsu/stbuf/stbuf_datain[2][1] ) ); sky130_fd_sc_hd__a22oi_1 U28110 ( .A1(\lsu/stbuf/stbuf_data[2][2] ), .A2( n23220), .B1(n23219), .B2(n23374), .Y(n23214) ); sky130_fd_sc_hd__o21ai_1 U28111 ( .A1(n23376), .A2(n23222), .B1(n23214), .Y( \lsu/stbuf/stbuf_datain[2][2] ) ); sky130_fd_sc_hd__a22oi_1 U28112 ( .A1(\lsu/stbuf/stbuf_data[2][3] ), .A2( n23220), .B1(n23219), .B2(n23377), .Y(n23215) ); sky130_fd_sc_hd__o21ai_1 U28113 ( .A1(n23379), .A2(n23222), .B1(n23215), .Y( \lsu/stbuf/stbuf_datain[2][3] ) ); sky130_fd_sc_hd__a22oi_1 U28114 ( .A1(\lsu/stbuf/stbuf_data[2][4] ), .A2( n23220), .B1(n23219), .B2(n23380), .Y(n23216) ); sky130_fd_sc_hd__o21ai_1 U28115 ( .A1(n23382), .A2(n23222), .B1(n23216), .Y( \lsu/stbuf/stbuf_datain[2][4] ) ); sky130_fd_sc_hd__a22oi_1 U28116 ( .A1(\lsu/stbuf/stbuf_data[2][5] ), .A2( n23220), .B1(n23219), .B2(n23383), .Y(n23217) ); sky130_fd_sc_hd__o21ai_1 U28117 ( .A1(n23385), .A2(n23222), .B1(n23217), .Y( \lsu/stbuf/stbuf_datain[2][5] ) ); sky130_fd_sc_hd__a22oi_1 U28118 ( .A1(\lsu/stbuf/stbuf_data[2][6] ), .A2( n23220), .B1(n23219), .B2(n23386), .Y(n23218) ); sky130_fd_sc_hd__o21ai_1 U28119 ( .A1(n23388), .A2(n23222), .B1(n23218), .Y( \lsu/stbuf/stbuf_datain[2][6] ) ); sky130_fd_sc_hd__a22oi_1 U28120 ( .A1(\lsu/stbuf/stbuf_data[2][7] ), .A2( n23220), .B1(n23219), .B2(n23389), .Y(n23221) ); sky130_fd_sc_hd__o21ai_1 U28121 ( .A1(n23394), .A2(n23222), .B1(n23221), .Y( \lsu/stbuf/stbuf_datain[2][7] ) ); sky130_fd_sc_hd__nand2_1 U28122 ( .A(\lsu/stbuf/stbuf_byteen[1][3] ), .B( n23275), .Y(n23223) ); sky130_fd_sc_hd__o21ai_1 U28123 ( .A1(n23274), .A2(n23223), .B1(n23261), .Y( n23234) ); sky130_fd_sc_hd__a21oi_1 U28124 ( .A1(\lsu/stbuf/stbuf_byteen[1][3] ), .A2( n23275), .B1(n23261), .Y(n23231) ); sky130_fd_sc_hd__nor2b_1 U28125 ( .B_N(n23234), .A(n23231), .Y(n23232) ); sky130_fd_sc_hd__a22oi_1 U28126 ( .A1(\lsu/stbuf/stbuf_data[1][24] ), .A2( n23232), .B1(n23276), .B2(n23231), .Y(n23224) ); sky130_fd_sc_hd__o21ai_1 U28127 ( .A1(n23278), .A2(n23234), .B1(n23224), .Y( \lsu/stbuf/stbuf_datain[1][24] ) ); sky130_fd_sc_hd__a22oi_1 U28128 ( .A1(\lsu/stbuf/stbuf_data[1][25] ), .A2( n23232), .B1(n23279), .B2(n23231), .Y(n23225) ); sky130_fd_sc_hd__o21ai_1 U28129 ( .A1(n23281), .A2(n23234), .B1(n23225), .Y( \lsu/stbuf/stbuf_datain[1][25] ) ); sky130_fd_sc_hd__a22oi_1 U28130 ( .A1(\lsu/stbuf/stbuf_data[1][26] ), .A2( n23232), .B1(n23282), .B2(n23231), .Y(n23226) ); sky130_fd_sc_hd__o21ai_1 U28131 ( .A1(n23284), .A2(n23234), .B1(n23226), .Y( \lsu/stbuf/stbuf_datain[1][26] ) ); sky130_fd_sc_hd__a22oi_1 U28132 ( .A1(\lsu/stbuf/stbuf_data[1][27] ), .A2( n23232), .B1(n23285), .B2(n23231), .Y(n23227) ); sky130_fd_sc_hd__o21ai_1 U28133 ( .A1(n23287), .A2(n23234), .B1(n23227), .Y( \lsu/stbuf/stbuf_datain[1][27] ) ); sky130_fd_sc_hd__a22oi_1 U28134 ( .A1(\lsu/stbuf/stbuf_data[1][28] ), .A2( n23232), .B1(n23288), .B2(n23231), .Y(n23228) ); sky130_fd_sc_hd__o21ai_1 U28135 ( .A1(n23290), .A2(n23234), .B1(n23228), .Y( \lsu/stbuf/stbuf_datain[1][28] ) ); sky130_fd_sc_hd__a22oi_1 U28136 ( .A1(\lsu/stbuf/stbuf_data[1][29] ), .A2( n23232), .B1(n23291), .B2(n23231), .Y(n23229) ); sky130_fd_sc_hd__o21ai_1 U28137 ( .A1(n23293), .A2(n23234), .B1(n23229), .Y( \lsu/stbuf/stbuf_datain[1][29] ) ); sky130_fd_sc_hd__a22oi_1 U28138 ( .A1(\lsu/stbuf/stbuf_data[1][30] ), .A2( n23232), .B1(n23294), .B2(n23231), .Y(n23230) ); sky130_fd_sc_hd__o21ai_1 U28139 ( .A1(n23296), .A2(n23234), .B1(n23230), .Y( \lsu/stbuf/stbuf_datain[1][30] ) ); sky130_fd_sc_hd__a22oi_1 U28140 ( .A1(\lsu/stbuf/stbuf_data[1][31] ), .A2( n23232), .B1(n23298), .B2(n23231), .Y(n23233) ); sky130_fd_sc_hd__o21ai_1 U28141 ( .A1(n23302), .A2(n23234), .B1(n23233), .Y( \lsu/stbuf/stbuf_datain[1][31] ) ); sky130_fd_sc_hd__o21ai_1 U28142 ( .A1(n23235), .A2(n23303), .B1(n23236), .Y( n23247) ); sky130_fd_sc_hd__a21oi_1 U28143 ( .A1(\lsu/stbuf/stbuf_byteen[1][2] ), .A2( n23306), .B1(n23236), .Y(n23244) ); sky130_fd_sc_hd__nor2b_1 U28144 ( .B_N(n23247), .A(n23244), .Y(n23245) ); sky130_fd_sc_hd__a22oi_1 U28145 ( .A1(\lsu/stbuf/stbuf_data[1][16] ), .A2( n23245), .B1(n23244), .B2(n23307), .Y(n23237) ); sky130_fd_sc_hd__o21ai_1 U28146 ( .A1(n23309), .A2(n23247), .B1(n23237), .Y( \lsu/stbuf/stbuf_datain[1][16] ) ); sky130_fd_sc_hd__a22oi_1 U28147 ( .A1(\lsu/stbuf/stbuf_data[1][17] ), .A2( n23245), .B1(n23244), .B2(n23310), .Y(n23238) ); sky130_fd_sc_hd__o21ai_1 U28148 ( .A1(n23312), .A2(n23247), .B1(n23238), .Y( \lsu/stbuf/stbuf_datain[1][17] ) ); sky130_fd_sc_hd__a22oi_1 U28149 ( .A1(\lsu/stbuf/stbuf_data[1][18] ), .A2( n23245), .B1(n23244), .B2(n23313), .Y(n23239) ); sky130_fd_sc_hd__o21ai_1 U28150 ( .A1(n23315), .A2(n23247), .B1(n23239), .Y( \lsu/stbuf/stbuf_datain[1][18] ) ); sky130_fd_sc_hd__a22oi_1 U28151 ( .A1(\lsu/stbuf/stbuf_data[1][19] ), .A2( n23245), .B1(n23244), .B2(n23316), .Y(n23240) ); sky130_fd_sc_hd__o21ai_1 U28152 ( .A1(n23318), .A2(n23247), .B1(n23240), .Y( \lsu/stbuf/stbuf_datain[1][19] ) ); sky130_fd_sc_hd__a22oi_1 U28153 ( .A1(\lsu/stbuf/stbuf_data[1][20] ), .A2( n23245), .B1(n23244), .B2(n23319), .Y(n23241) ); sky130_fd_sc_hd__o21ai_1 U28154 ( .A1(n23321), .A2(n23247), .B1(n23241), .Y( \lsu/stbuf/stbuf_datain[1][20] ) ); sky130_fd_sc_hd__a22oi_1 U28155 ( .A1(\lsu/stbuf/stbuf_data[1][21] ), .A2( n23245), .B1(n23244), .B2(n23322), .Y(n23242) ); sky130_fd_sc_hd__o21ai_1 U28156 ( .A1(n23324), .A2(n23247), .B1(n23242), .Y( \lsu/stbuf/stbuf_datain[1][21] ) ); sky130_fd_sc_hd__a22oi_1 U28157 ( .A1(\lsu/stbuf/stbuf_data[1][22] ), .A2( n23245), .B1(n23244), .B2(n23325), .Y(n23243) ); sky130_fd_sc_hd__o21ai_1 U28158 ( .A1(n23327), .A2(n23247), .B1(n23243), .Y( \lsu/stbuf/stbuf_datain[1][22] ) ); sky130_fd_sc_hd__a22oi_1 U28159 ( .A1(\lsu/stbuf/stbuf_data[1][23] ), .A2( n23245), .B1(n23244), .B2(n23328), .Y(n23246) ); sky130_fd_sc_hd__o21ai_1 U28160 ( .A1(n23333), .A2(n23247), .B1(n23246), .Y( \lsu/stbuf/stbuf_datain[1][23] ) ); sky130_fd_sc_hd__o21ai_1 U28161 ( .A1(n23335), .A2(n23248), .B1(n23261), .Y( n23259) ); sky130_fd_sc_hd__a21oi_1 U28162 ( .A1(\lsu/stbuf/stbuf_byteen[1][1] ), .A2( n23336), .B1(n23261), .Y(n23256) ); sky130_fd_sc_hd__nor2b_1 U28163 ( .B_N(n23259), .A(n23256), .Y(n23257) ); sky130_fd_sc_hd__a22oi_1 U28164 ( .A1(\lsu/stbuf/stbuf_data[1][8] ), .A2( n23257), .B1(n23337), .B2(n23256), .Y(n23249) ); sky130_fd_sc_hd__o21ai_1 U28165 ( .A1(n23339), .A2(n23259), .B1(n23249), .Y( \lsu/stbuf/stbuf_datain[1][8] ) ); sky130_fd_sc_hd__a22oi_1 U28166 ( .A1(\lsu/stbuf/stbuf_data[1][9] ), .A2( n23257), .B1(n23340), .B2(n23256), .Y(n23250) ); sky130_fd_sc_hd__o21ai_1 U28167 ( .A1(n23342), .A2(n23259), .B1(n23250), .Y( \lsu/stbuf/stbuf_datain[1][9] ) ); sky130_fd_sc_hd__a22oi_1 U28168 ( .A1(\lsu/stbuf/stbuf_data[1][10] ), .A2( n23257), .B1(n23343), .B2(n23256), .Y(n23251) ); sky130_fd_sc_hd__o21ai_1 U28169 ( .A1(n23345), .A2(n23259), .B1(n23251), .Y( \lsu/stbuf/stbuf_datain[1][10] ) ); sky130_fd_sc_hd__a22oi_1 U28170 ( .A1(\lsu/stbuf/stbuf_data[1][11] ), .A2( n23257), .B1(n23346), .B2(n23256), .Y(n23252) ); sky130_fd_sc_hd__o21ai_1 U28171 ( .A1(n23348), .A2(n23259), .B1(n23252), .Y( \lsu/stbuf/stbuf_datain[1][11] ) ); sky130_fd_sc_hd__a22oi_1 U28172 ( .A1(\lsu/stbuf/stbuf_data[1][12] ), .A2( n23257), .B1(n23349), .B2(n23256), .Y(n23253) ); sky130_fd_sc_hd__o21ai_1 U28173 ( .A1(n23351), .A2(n23259), .B1(n23253), .Y( \lsu/stbuf/stbuf_datain[1][12] ) ); sky130_fd_sc_hd__a22oi_1 U28174 ( .A1(\lsu/stbuf/stbuf_data[1][13] ), .A2( n23257), .B1(n23352), .B2(n23256), .Y(n23254) ); sky130_fd_sc_hd__o21ai_1 U28175 ( .A1(n23354), .A2(n23259), .B1(n23254), .Y( \lsu/stbuf/stbuf_datain[1][13] ) ); sky130_fd_sc_hd__a22oi_1 U28176 ( .A1(\lsu/stbuf/stbuf_data[1][14] ), .A2( n23257), .B1(n23355), .B2(n23256), .Y(n23255) ); sky130_fd_sc_hd__o21ai_1 U28177 ( .A1(n23357), .A2(n23259), .B1(n23255), .Y( \lsu/stbuf/stbuf_datain[1][14] ) ); sky130_fd_sc_hd__a22oi_1 U28178 ( .A1(\lsu/stbuf/stbuf_data[1][15] ), .A2( n23257), .B1(n23359), .B2(n23256), .Y(n23258) ); sky130_fd_sc_hd__o21ai_1 U28179 ( .A1(n23363), .A2(n23259), .B1(n23258), .Y( \lsu/stbuf/stbuf_datain[1][15] ) ); sky130_fd_sc_hd__o21ai_1 U28180 ( .A1(n23365), .A2(n23260), .B1(n23261), .Y( n23272) ); sky130_fd_sc_hd__a21oi_1 U28181 ( .A1(\lsu/stbuf/stbuf_byteen[1][0] ), .A2( n23367), .B1(n23261), .Y(n23269) ); sky130_fd_sc_hd__nor2b_1 U28182 ( .B_N(n23272), .A(n23269), .Y(n23270) ); sky130_fd_sc_hd__a22oi_1 U28183 ( .A1(\lsu/stbuf/stbuf_data[1][0] ), .A2( n23270), .B1(n23269), .B2(n23368), .Y(n23262) ); sky130_fd_sc_hd__o21ai_1 U28184 ( .A1(n23370), .A2(n23272), .B1(n23262), .Y( \lsu/stbuf/stbuf_datain[1][0] ) ); sky130_fd_sc_hd__a22oi_1 U28185 ( .A1(\lsu/stbuf/stbuf_data[1][1] ), .A2( n23270), .B1(n23269), .B2(n23371), .Y(n23263) ); sky130_fd_sc_hd__o21ai_1 U28186 ( .A1(n23373), .A2(n23272), .B1(n23263), .Y( \lsu/stbuf/stbuf_datain[1][1] ) ); sky130_fd_sc_hd__a22oi_1 U28187 ( .A1(\lsu/stbuf/stbuf_data[1][2] ), .A2( n23270), .B1(n23269), .B2(n23374), .Y(n23264) ); sky130_fd_sc_hd__o21ai_1 U28188 ( .A1(n23376), .A2(n23272), .B1(n23264), .Y( \lsu/stbuf/stbuf_datain[1][2] ) ); sky130_fd_sc_hd__a22oi_1 U28189 ( .A1(\lsu/stbuf/stbuf_data[1][3] ), .A2( n23270), .B1(n23269), .B2(n23377), .Y(n23265) ); sky130_fd_sc_hd__o21ai_1 U28190 ( .A1(n23379), .A2(n23272), .B1(n23265), .Y( \lsu/stbuf/stbuf_datain[1][3] ) ); sky130_fd_sc_hd__a22oi_1 U28191 ( .A1(\lsu/stbuf/stbuf_data[1][4] ), .A2( n23270), .B1(n23269), .B2(n23380), .Y(n23266) ); sky130_fd_sc_hd__o21ai_1 U28192 ( .A1(n23382), .A2(n23272), .B1(n23266), .Y( \lsu/stbuf/stbuf_datain[1][4] ) ); sky130_fd_sc_hd__a22oi_1 U28193 ( .A1(\lsu/stbuf/stbuf_data[1][5] ), .A2( n23270), .B1(n23269), .B2(n23383), .Y(n23267) ); sky130_fd_sc_hd__o21ai_1 U28194 ( .A1(n23385), .A2(n23272), .B1(n23267), .Y( \lsu/stbuf/stbuf_datain[1][5] ) ); sky130_fd_sc_hd__a22oi_1 U28195 ( .A1(\lsu/stbuf/stbuf_data[1][6] ), .A2( n23270), .B1(n23269), .B2(n23386), .Y(n23268) ); sky130_fd_sc_hd__o21ai_1 U28196 ( .A1(n23388), .A2(n23272), .B1(n23268), .Y( \lsu/stbuf/stbuf_datain[1][6] ) ); sky130_fd_sc_hd__a22oi_1 U28197 ( .A1(\lsu/stbuf/stbuf_data[1][7] ), .A2( n23270), .B1(n23269), .B2(n23389), .Y(n23271) ); sky130_fd_sc_hd__o21ai_1 U28198 ( .A1(n23394), .A2(n23272), .B1(n23271), .Y( \lsu/stbuf/stbuf_datain[1][7] ) ); sky130_fd_sc_hd__nand2_1 U28199 ( .A(\lsu/stbuf/stbuf_byteen[0][3] ), .B( n23275), .Y(n23273) ); sky130_fd_sc_hd__o21ai_1 U28200 ( .A1(n23274), .A2(n23273), .B1(n23366), .Y( n23301) ); sky130_fd_sc_hd__a21oi_1 U28201 ( .A1(\lsu/stbuf/stbuf_byteen[0][3] ), .A2( n23275), .B1(n23366), .Y(n23297) ); sky130_fd_sc_hd__nor2b_1 U28202 ( .B_N(n23301), .A(n23297), .Y(n23299) ); sky130_fd_sc_hd__a22oi_1 U28203 ( .A1(\lsu/stbuf/stbuf_data[0][24] ), .A2( n23299), .B1(n23276), .B2(n23297), .Y(n23277) ); sky130_fd_sc_hd__o21ai_1 U28204 ( .A1(n23278), .A2(n23301), .B1(n23277), .Y( \lsu/stbuf/stbuf_datain[0][24] ) ); sky130_fd_sc_hd__a22oi_1 U28205 ( .A1(\lsu/stbuf/stbuf_data[0][25] ), .A2( n23299), .B1(n23279), .B2(n23297), .Y(n23280) ); sky130_fd_sc_hd__o21ai_1 U28206 ( .A1(n23281), .A2(n23301), .B1(n23280), .Y( \lsu/stbuf/stbuf_datain[0][25] ) ); sky130_fd_sc_hd__a22oi_1 U28207 ( .A1(\lsu/stbuf/stbuf_data[0][26] ), .A2( n23299), .B1(n23282), .B2(n23297), .Y(n23283) ); sky130_fd_sc_hd__o21ai_1 U28208 ( .A1(n23284), .A2(n23301), .B1(n23283), .Y( \lsu/stbuf/stbuf_datain[0][26] ) ); sky130_fd_sc_hd__a22oi_1 U28209 ( .A1(\lsu/stbuf/stbuf_data[0][27] ), .A2( n23299), .B1(n23285), .B2(n23297), .Y(n23286) ); sky130_fd_sc_hd__o21ai_1 U28210 ( .A1(n23287), .A2(n23301), .B1(n23286), .Y( \lsu/stbuf/stbuf_datain[0][27] ) ); sky130_fd_sc_hd__a22oi_1 U28211 ( .A1(\lsu/stbuf/stbuf_data[0][28] ), .A2( n23299), .B1(n23288), .B2(n23297), .Y(n23289) ); sky130_fd_sc_hd__o21ai_1 U28212 ( .A1(n23290), .A2(n23301), .B1(n23289), .Y( \lsu/stbuf/stbuf_datain[0][28] ) ); sky130_fd_sc_hd__a22oi_1 U28213 ( .A1(\lsu/stbuf/stbuf_data[0][29] ), .A2( n23299), .B1(n23291), .B2(n23297), .Y(n23292) ); sky130_fd_sc_hd__o21ai_1 U28214 ( .A1(n23293), .A2(n23301), .B1(n23292), .Y( \lsu/stbuf/stbuf_datain[0][29] ) ); sky130_fd_sc_hd__a22oi_1 U28215 ( .A1(\lsu/stbuf/stbuf_data[0][30] ), .A2( n23299), .B1(n23294), .B2(n23297), .Y(n23295) ); sky130_fd_sc_hd__o21ai_1 U28216 ( .A1(n23296), .A2(n23301), .B1(n23295), .Y( \lsu/stbuf/stbuf_datain[0][30] ) ); sky130_fd_sc_hd__a22oi_1 U28217 ( .A1(\lsu/stbuf/stbuf_data[0][31] ), .A2( n23299), .B1(n23298), .B2(n23297), .Y(n23300) ); sky130_fd_sc_hd__o21ai_1 U28218 ( .A1(n23302), .A2(n23301), .B1(n23300), .Y( \lsu/stbuf/stbuf_datain[0][31] ) ); sky130_fd_sc_hd__o21ai_1 U28219 ( .A1(n23304), .A2(n23303), .B1(n23305), .Y( n23332) ); sky130_fd_sc_hd__a21oi_1 U28220 ( .A1(\lsu/stbuf/stbuf_byteen[0][2] ), .A2( n23306), .B1(n23305), .Y(n23329) ); sky130_fd_sc_hd__nor2b_1 U28221 ( .B_N(n23332), .A(n23329), .Y(n23330) ); sky130_fd_sc_hd__a22oi_1 U28222 ( .A1(\lsu/stbuf/stbuf_data[0][16] ), .A2( n23330), .B1(n23329), .B2(n23307), .Y(n23308) ); sky130_fd_sc_hd__o21ai_1 U28223 ( .A1(n23309), .A2(n23332), .B1(n23308), .Y( \lsu/stbuf/stbuf_datain[0][16] ) ); sky130_fd_sc_hd__a22oi_1 U28224 ( .A1(\lsu/stbuf/stbuf_data[0][17] ), .A2( n23330), .B1(n23329), .B2(n23310), .Y(n23311) ); sky130_fd_sc_hd__o21ai_1 U28225 ( .A1(n23312), .A2(n23332), .B1(n23311), .Y( \lsu/stbuf/stbuf_datain[0][17] ) ); sky130_fd_sc_hd__a22oi_1 U28226 ( .A1(\lsu/stbuf/stbuf_data[0][18] ), .A2( n23330), .B1(n23329), .B2(n23313), .Y(n23314) ); sky130_fd_sc_hd__o21ai_1 U28227 ( .A1(n23315), .A2(n23332), .B1(n23314), .Y( \lsu/stbuf/stbuf_datain[0][18] ) ); sky130_fd_sc_hd__a22oi_1 U28228 ( .A1(\lsu/stbuf/stbuf_data[0][19] ), .A2( n23330), .B1(n23329), .B2(n23316), .Y(n23317) ); sky130_fd_sc_hd__o21ai_1 U28229 ( .A1(n23318), .A2(n23332), .B1(n23317), .Y( \lsu/stbuf/stbuf_datain[0][19] ) ); sky130_fd_sc_hd__a22oi_1 U28230 ( .A1(\lsu/stbuf/stbuf_data[0][20] ), .A2( n23330), .B1(n23329), .B2(n23319), .Y(n23320) ); sky130_fd_sc_hd__o21ai_1 U28231 ( .A1(n23321), .A2(n23332), .B1(n23320), .Y( \lsu/stbuf/stbuf_datain[0][20] ) ); sky130_fd_sc_hd__a22oi_1 U28232 ( .A1(\lsu/stbuf/stbuf_data[0][21] ), .A2( n23330), .B1(n23329), .B2(n23322), .Y(n23323) ); sky130_fd_sc_hd__o21ai_1 U28233 ( .A1(n23324), .A2(n23332), .B1(n23323), .Y( \lsu/stbuf/stbuf_datain[0][21] ) ); sky130_fd_sc_hd__a22oi_1 U28234 ( .A1(\lsu/stbuf/stbuf_data[0][22] ), .A2( n23330), .B1(n23329), .B2(n23325), .Y(n23326) ); sky130_fd_sc_hd__o21ai_1 U28235 ( .A1(n23327), .A2(n23332), .B1(n23326), .Y( \lsu/stbuf/stbuf_datain[0][22] ) ); sky130_fd_sc_hd__a22oi_1 U28236 ( .A1(\lsu/stbuf/stbuf_data[0][23] ), .A2( n23330), .B1(n23329), .B2(n23328), .Y(n23331) ); sky130_fd_sc_hd__o21ai_1 U28237 ( .A1(n23333), .A2(n23332), .B1(n23331), .Y( \lsu/stbuf/stbuf_datain[0][23] ) ); sky130_fd_sc_hd__o21ai_1 U28238 ( .A1(n23335), .A2(n23334), .B1(n23366), .Y( n23362) ); sky130_fd_sc_hd__a21oi_1 U28239 ( .A1(\lsu/stbuf/stbuf_byteen[0][1] ), .A2( n23336), .B1(n23366), .Y(n23358) ); sky130_fd_sc_hd__nor2b_1 U28240 ( .B_N(n23362), .A(n23358), .Y(n23360) ); sky130_fd_sc_hd__a22oi_1 U28241 ( .A1(\lsu/stbuf/stbuf_data[0][8] ), .A2( n23360), .B1(n23337), .B2(n23358), .Y(n23338) ); sky130_fd_sc_hd__o21ai_1 U28242 ( .A1(n23339), .A2(n23362), .B1(n23338), .Y( \lsu/stbuf/stbuf_datain[0][8] ) ); sky130_fd_sc_hd__a22oi_1 U28243 ( .A1(\lsu/stbuf/stbuf_data[0][9] ), .A2( n23360), .B1(n23340), .B2(n23358), .Y(n23341) ); sky130_fd_sc_hd__o21ai_1 U28244 ( .A1(n23342), .A2(n23362), .B1(n23341), .Y( \lsu/stbuf/stbuf_datain[0][9] ) ); sky130_fd_sc_hd__a22oi_1 U28245 ( .A1(\lsu/stbuf/stbuf_data[0][10] ), .A2( n23360), .B1(n23343), .B2(n23358), .Y(n23344) ); sky130_fd_sc_hd__o21ai_1 U28246 ( .A1(n23345), .A2(n23362), .B1(n23344), .Y( \lsu/stbuf/stbuf_datain[0][10] ) ); sky130_fd_sc_hd__a22oi_1 U28247 ( .A1(\lsu/stbuf/stbuf_data[0][11] ), .A2( n23360), .B1(n23346), .B2(n23358), .Y(n23347) ); sky130_fd_sc_hd__o21ai_1 U28248 ( .A1(n23348), .A2(n23362), .B1(n23347), .Y( \lsu/stbuf/stbuf_datain[0][11] ) ); sky130_fd_sc_hd__a22oi_1 U28249 ( .A1(\lsu/stbuf/stbuf_data[0][12] ), .A2( n23360), .B1(n23349), .B2(n23358), .Y(n23350) ); sky130_fd_sc_hd__o21ai_1 U28250 ( .A1(n23351), .A2(n23362), .B1(n23350), .Y( \lsu/stbuf/stbuf_datain[0][12] ) ); sky130_fd_sc_hd__a22oi_1 U28251 ( .A1(\lsu/stbuf/stbuf_data[0][13] ), .A2( n23360), .B1(n23352), .B2(n23358), .Y(n23353) ); sky130_fd_sc_hd__o21ai_1 U28252 ( .A1(n23354), .A2(n23362), .B1(n23353), .Y( \lsu/stbuf/stbuf_datain[0][13] ) ); sky130_fd_sc_hd__a22oi_1 U28253 ( .A1(\lsu/stbuf/stbuf_data[0][14] ), .A2( n23360), .B1(n23355), .B2(n23358), .Y(n23356) ); sky130_fd_sc_hd__o21ai_1 U28254 ( .A1(n23357), .A2(n23362), .B1(n23356), .Y( \lsu/stbuf/stbuf_datain[0][14] ) ); sky130_fd_sc_hd__a22oi_1 U28255 ( .A1(\lsu/stbuf/stbuf_data[0][15] ), .A2( n23360), .B1(n23359), .B2(n23358), .Y(n23361) ); sky130_fd_sc_hd__o21ai_1 U28256 ( .A1(n23363), .A2(n23362), .B1(n23361), .Y( \lsu/stbuf/stbuf_datain[0][15] ) ); sky130_fd_sc_hd__o21ai_1 U28257 ( .A1(n23365), .A2(n23364), .B1(n23366), .Y( n23393) ); sky130_fd_sc_hd__a21oi_1 U28258 ( .A1(\lsu/stbuf/stbuf_byteen[0][0] ), .A2( n23367), .B1(n23366), .Y(n23390) ); sky130_fd_sc_hd__nor2b_1 U28259 ( .B_N(n23393), .A(n23390), .Y(n23391) ); sky130_fd_sc_hd__a22oi_1 U28260 ( .A1(\lsu/stbuf/stbuf_data[0][0] ), .A2( n23391), .B1(n23390), .B2(n23368), .Y(n23369) ); sky130_fd_sc_hd__o21ai_1 U28261 ( .A1(n23370), .A2(n23393), .B1(n23369), .Y( \lsu/stbuf/stbuf_datain[0][0] ) ); sky130_fd_sc_hd__a22oi_1 U28262 ( .A1(\lsu/stbuf/stbuf_data[0][1] ), .A2( n23391), .B1(n23390), .B2(n23371), .Y(n23372) ); sky130_fd_sc_hd__o21ai_1 U28263 ( .A1(n23373), .A2(n23393), .B1(n23372), .Y( \lsu/stbuf/stbuf_datain[0][1] ) ); sky130_fd_sc_hd__a22oi_1 U28264 ( .A1(\lsu/stbuf/stbuf_data[0][2] ), .A2( n23391), .B1(n23390), .B2(n23374), .Y(n23375) ); sky130_fd_sc_hd__o21ai_1 U28265 ( .A1(n23376), .A2(n23393), .B1(n23375), .Y( \lsu/stbuf/stbuf_datain[0][2] ) ); sky130_fd_sc_hd__a22oi_1 U28266 ( .A1(\lsu/stbuf/stbuf_data[0][3] ), .A2( n23391), .B1(n23390), .B2(n23377), .Y(n23378) ); sky130_fd_sc_hd__o21ai_1 U28267 ( .A1(n23379), .A2(n23393), .B1(n23378), .Y( \lsu/stbuf/stbuf_datain[0][3] ) ); sky130_fd_sc_hd__a22oi_1 U28268 ( .A1(\lsu/stbuf/stbuf_data[0][4] ), .A2( n23391), .B1(n23390), .B2(n23380), .Y(n23381) ); sky130_fd_sc_hd__o21ai_1 U28269 ( .A1(n23382), .A2(n23393), .B1(n23381), .Y( \lsu/stbuf/stbuf_datain[0][4] ) ); sky130_fd_sc_hd__a22oi_1 U28270 ( .A1(\lsu/stbuf/stbuf_data[0][5] ), .A2( n23391), .B1(n23390), .B2(n23383), .Y(n23384) ); sky130_fd_sc_hd__o21ai_1 U28271 ( .A1(n23385), .A2(n23393), .B1(n23384), .Y( \lsu/stbuf/stbuf_datain[0][5] ) ); sky130_fd_sc_hd__a22oi_1 U28272 ( .A1(\lsu/stbuf/stbuf_data[0][6] ), .A2( n23391), .B1(n23390), .B2(n23386), .Y(n23387) ); sky130_fd_sc_hd__o21ai_1 U28273 ( .A1(n23388), .A2(n23393), .B1(n23387), .Y( \lsu/stbuf/stbuf_datain[0][6] ) ); sky130_fd_sc_hd__a22oi_1 U28274 ( .A1(\lsu/stbuf/stbuf_data[0][7] ), .A2( n23391), .B1(n23390), .B2(n23389), .Y(n23392) ); sky130_fd_sc_hd__o21ai_1 U28275 ( .A1(n23394), .A2(n23393), .B1(n23392), .Y( \lsu/stbuf/stbuf_datain[0][7] ) ); sky130_fd_sc_hd__clkinv_1 U28276 ( .A(n23517), .Y(n23499) ); sky130_fd_sc_hd__clkinv_1 U28277 ( .A(n23501), .Y(n23447) ); sky130_fd_sc_hd__nand2_1 U28278 ( .A(n23500), .B(n23447), .Y(n23516) ); sky130_fd_sc_hd__nor2_1 U28279 ( .A(n23499), .B(n23516), .Y(n23669) ); sky130_fd_sc_hd__nand2_1 U28280 ( .A(\lsu/ecc/single_ecc_error_lo_any ), .B( n23669), .Y(n23677) ); sky130_fd_sc_hd__nor2_1 U28281 ( .A(n23437), .B(n23573), .Y(n23579) ); sky130_fd_sc_hd__nand2_1 U28282 ( .A(n23580), .B(n23579), .Y(n23518) ); sky130_fd_sc_hd__nor2_1 U28283 ( .A(n23677), .B(n23518), .Y(n23395) ); sky130_fd_sc_hd__xor2_1 U28284 ( .A(\lsu/dccm_rdata_lo_m [24]), .B(n23395), .X(\lsu/sec_data_lo_m [24]) ); sky130_fd_sc_hd__o22ai_1 U28285 ( .A1(\lsu/lsu_addr_m [9]), .A2(n23398), .B1(\lsu/lsu_addr_m [8]), .B2(n23397), .Y(n23396) ); sky130_fd_sc_hd__a221oi_1 U28286 ( .A1(\lsu/lsu_addr_m [9]), .A2(n23398), .B1(n23397), .B2(\lsu/lsu_addr_m [8]), .C1(n23396), .Y(n23407) ); sky130_fd_sc_hd__o22ai_1 U28287 ( .A1(\lsu/lsu_addr_m [14]), .A2(n23401), .B1(n23400), .B2(\lsu/lsu_addr_m [4]), .Y(n23399) ); sky130_fd_sc_hd__a221oi_1 U28288 ( .A1(\lsu/lsu_addr_m [14]), .A2(n23401), .B1(\lsu/lsu_addr_m [4]), .B2(n23400), .C1(n23399), .Y(n23406) ); sky130_fd_sc_hd__o22ai_1 U28289 ( .A1(\lsu/lsu_addr_m [5]), .A2(n23404), .B1(\lsu/lsu_addr_m [15]), .B2(n23403), .Y(n23402) ); sky130_fd_sc_hd__a221oi_1 U28290 ( .A1(\lsu/lsu_addr_m [5]), .A2(n23404), .B1(n23403), .B2(\lsu/lsu_addr_m [15]), .C1(n23402), .Y(n23405) ); sky130_fd_sc_hd__nand3_1 U28291 ( .A(n23407), .B(n23406), .C(n23405), .Y( n23425) ); sky130_fd_sc_hd__o22ai_1 U28292 ( .A1(\lsu/lsu_addr_m [2]), .A2(n23410), .B1(\lsu/lsu_addr_m [7]), .B2(n23409), .Y(n23408) ); sky130_fd_sc_hd__a221oi_1 U28293 ( .A1(\lsu/lsu_addr_m [2]), .A2(n23410), .B1(n23409), .B2(\lsu/lsu_addr_m [7]), .C1(n23408), .Y(n23423) ); sky130_fd_sc_hd__o22ai_1 U28294 ( .A1(\lsu/lsu_addr_m [11]), .A2(n23413), .B1(\lsu/lsu_addr_m [13]), .B2(n23412), .Y(n23411) ); sky130_fd_sc_hd__a221oi_1 U28295 ( .A1(\lsu/lsu_addr_m [11]), .A2(n23413), .B1(n23412), .B2(\lsu/lsu_addr_m [13]), .C1(n23411), .Y(n23422) ); sky130_fd_sc_hd__o22ai_1 U28296 ( .A1(\lsu/lsu_addr_m [10]), .A2(n23416), .B1(\lsu/lsu_addr_m [6]), .B2(n23415), .Y(n23414) ); sky130_fd_sc_hd__a221oi_1 U28297 ( .A1(\lsu/lsu_addr_m [10]), .A2(n23416), .B1(n23415), .B2(\lsu/lsu_addr_m [6]), .C1(n23414), .Y(n23421) ); sky130_fd_sc_hd__o22ai_1 U28298 ( .A1(\lsu/lsu_addr_m [12]), .A2(n23419), .B1(n23418), .B2(\lsu/lsu_addr_m [3]), .Y(n23417) ); sky130_fd_sc_hd__a221oi_1 U28299 ( .A1(\lsu/lsu_addr_m [12]), .A2(n23419), .B1(\lsu/lsu_addr_m [3]), .B2(n23418), .C1(n23417), .Y(n23420) ); sky130_fd_sc_hd__nand4_1 U28300 ( .A(n23423), .B(n23422), .C(n23421), .D( n23420), .Y(n23424) ); sky130_fd_sc_hd__nor4_1 U28301 ( .A(n23427), .B(n23426), .C(n23425), .D( n23424), .Y(n23661) ); sky130_fd_sc_hd__nand2_1 U28302 ( .A(\lsu/lsu_pkt_m[store] ), .B( \lsu/bus_intf/ldst_byteen_ext_m [3]), .Y(n23470) ); sky130_fd_sc_hd__nand2_1 U28303 ( .A(n23661), .B(n23470), .Y(n23433) ); sky130_fd_sc_hd__nor2b_1 U28304 ( .B_N(n23470), .A(n23661), .Y(n23467) ); sky130_fd_sc_hd__o22ai_1 U28305 ( .A1(\lsu/lsu_addr_m [0]), .A2(n23472), .B1(n25027), .B2(n23662), .Y(n23578) ); sky130_fd_sc_hd__o22ai_1 U28306 ( .A1(\lsu/lsu_addr_m [0]), .A2(n23711), .B1(n25027), .B2(n23473), .Y(n23616) ); sky130_fd_sc_hd__a221oi_1 U28307 ( .A1(n23578), .A2(\lsu/lsu_addr_m [1]), .B1(n23616), .B2(n25056), .C1(n23470), .Y(n23428) ); sky130_fd_sc_hd__a21oi_1 U28308 ( .A1(\lsu/sec_data_lo_m [24]), .A2(n23467), .B1(n23428), .Y(n23429) ); sky130_fd_sc_hd__o21ai_1 U28309 ( .A1(n23430), .A2(n23433), .B1(n23429), .Y( \lsu/dccm_ctl/store_data_lo_r_in [24]) ); sky130_fd_sc_hd__nand2_1 U28310 ( .A(\lsu/ecc/single_ecc_error_lo_any ), .B( n23580), .Y(n23478) ); sky130_fd_sc_hd__nor3_1 U28311 ( .A(n23574), .B(n23573), .C(n23478), .Y( n23526) ); sky130_fd_sc_hd__nand2_1 U28312 ( .A(n23526), .B(n23669), .Y(n23432) ); sky130_fd_sc_hd__nand2_1 U28313 ( .A(n23432), .B(\lsu/dccm_rdata_lo_m [25]), .Y(n23431) ); sky130_fd_sc_hd__o21ai_1 U28314 ( .A1(\lsu/dccm_rdata_lo_m [25]), .A2(n23432), .B1(n23431), .Y(\lsu/sec_data_lo_m [25]) ); sky130_fd_sc_hd__o22ai_1 U28315 ( .A1(\lsu/lsu_addr_m [0]), .A2(n23722), .B1(n25027), .B2(n23481), .Y(n23618) ); sky130_fd_sc_hd__o2bb2ai_1 U28316 ( .B1(n23539), .B2(n23668), .A1_N(n25027), .A2_N(n23482), .Y(n23582) ); sky130_fd_sc_hd__o22ai_1 U28317 ( .A1(\lsu/lsu_addr_m [1]), .A2(n23618), .B1(n25056), .B2(n23582), .Y(n23436) ); sky130_fd_sc_hd__a22oi_1 U28318 ( .A1(\lsu/sec_data_lo_m [25]), .A2(n23467), .B1(n23466), .B2(n23434), .Y(n23435) ); sky130_fd_sc_hd__o21ai_1 U28319 ( .A1(n23470), .A2(n23436), .B1(n23435), .Y( \lsu/dccm_ctl/store_data_lo_r_in [25]) ); sky130_fd_sc_hd__nand2_1 U28320 ( .A(n23437), .B(n23573), .Y(n23477) ); sky130_fd_sc_hd__nor2_1 U28321 ( .A(n23580), .B(n23477), .Y(n23676) ); sky130_fd_sc_hd__nand2_1 U28322 ( .A(\lsu/ecc/single_ecc_error_lo_any ), .B( n23676), .Y(n23660) ); sky130_fd_sc_hd__nor4_1 U28323 ( .A(n23517), .B(n23500), .C(n23447), .D( n23660), .Y(n23438) ); sky130_fd_sc_hd__xor2_1 U28324 ( .A(n23438), .B(\lsu/dccm_rdata_lo_m [26]), .X(\lsu/sec_data_lo_m [26]) ); sky130_fd_sc_hd__o22ai_1 U28325 ( .A1(\lsu/lsu_addr_m [0]), .A2(n23729), .B1(n25027), .B2(n23487), .Y(n23623) ); sky130_fd_sc_hd__o22ai_1 U28326 ( .A1(\lsu/lsu_addr_m [0]), .A2(n23488), .B1(n25027), .B2(n23675), .Y(n23585) ); sky130_fd_sc_hd__o22ai_1 U28327 ( .A1(\lsu/lsu_addr_m [1]), .A2(n23623), .B1(n25056), .B2(n23585), .Y(n23441) ); sky130_fd_sc_hd__a22oi_1 U28328 ( .A1(\lsu/sec_data_lo_m [26]), .A2(n23467), .B1(n23466), .B2(n23439), .Y(n23440) ); sky130_fd_sc_hd__o21ai_1 U28329 ( .A1(n23470), .A2(n23441), .B1(n23440), .Y( \lsu/dccm_ctl/store_data_lo_r_in [26]) ); sky130_fd_sc_hd__nand2_1 U28330 ( .A(\lsu/ecc/single_ecc_error_lo_any ), .B( n23442), .Y(n23461) ); sky130_fd_sc_hd__nor4_1 U28331 ( .A(n23500), .B(n23447), .C(n23499), .D( n23461), .Y(n23443) ); sky130_fd_sc_hd__xor2_1 U28332 ( .A(n23443), .B(\lsu/dccm_rdata_lo_m [27]), .X(\lsu/sec_data_lo_m [27]) ); sky130_fd_sc_hd__o22ai_1 U28333 ( .A1(\lsu/lsu_addr_m [0]), .A2(n23737), .B1(n25027), .B2(n23494), .Y(n23628) ); sky130_fd_sc_hd__o22ai_1 U28334 ( .A1(\lsu/lsu_addr_m [0]), .A2(n23495), .B1(n25027), .B2(n23682), .Y(n23590) ); sky130_fd_sc_hd__o22ai_1 U28335 ( .A1(\lsu/lsu_addr_m [1]), .A2(n23628), .B1(n25056), .B2(n23590), .Y(n23446) ); sky130_fd_sc_hd__a22oi_1 U28336 ( .A1(\lsu/sec_data_lo_m [27]), .A2(n23467), .B1(n23466), .B2(n23444), .Y(n23445) ); sky130_fd_sc_hd__o21ai_1 U28337 ( .A1(n23470), .A2(n23446), .B1(n23445), .Y( \lsu/dccm_ctl/store_data_lo_r_in [27]) ); sky130_fd_sc_hd__nor4_1 U28338 ( .A(n23500), .B(n23447), .C(n23499), .D( n23660), .Y(n23448) ); sky130_fd_sc_hd__xor2_1 U28339 ( .A(n23448), .B(\lsu/dccm_rdata_lo_m [28]), .X(\lsu/sec_data_lo_m [28]) ); sky130_fd_sc_hd__o22ai_1 U28340 ( .A1(\lsu/lsu_addr_m [0]), .A2(n23744), .B1(n25027), .B2(n23503), .Y(n23635) ); sky130_fd_sc_hd__o22ai_1 U28341 ( .A1(\lsu/lsu_addr_m [0]), .A2(n23504), .B1(n25027), .B2(n23687), .Y(n23593) ); sky130_fd_sc_hd__o22ai_1 U28342 ( .A1(\lsu/lsu_addr_m [1]), .A2(n23635), .B1(n25056), .B2(n23593), .Y(n23451) ); sky130_fd_sc_hd__a22oi_1 U28343 ( .A1(\lsu/sec_data_lo_m [28]), .A2(n23467), .B1(n23466), .B2(n23449), .Y(n23450) ); sky130_fd_sc_hd__o21ai_1 U28344 ( .A1(n23470), .A2(n23451), .B1(n23450), .Y( \lsu/dccm_ctl/store_data_lo_r_in [28]) ); sky130_fd_sc_hd__nand3_1 U28345 ( .A(n23501), .B(n23500), .C(n23499), .Y( n23456) ); sky130_fd_sc_hd__o21ai_1 U28346 ( .A1(n23461), .A2(n23456), .B1( \lsu/dccm_rdata_lo_m [29]), .Y(n23452) ); sky130_fd_sc_hd__o31ai_1 U28347 ( .A1(n23461), .A2(\lsu/dccm_rdata_lo_m [29]), .A3(n23456), .B1(n23452), .Y(\lsu/sec_data_lo_m [29]) ); sky130_fd_sc_hd__o22ai_1 U28348 ( .A1(\lsu/lsu_addr_m [0]), .A2(n23750), .B1(n25027), .B2(n23510), .Y(n23640) ); sky130_fd_sc_hd__o22ai_1 U28349 ( .A1(\lsu/lsu_addr_m [0]), .A2(n23511), .B1(n25027), .B2(n23691), .Y(n23597) ); sky130_fd_sc_hd__o22ai_1 U28350 ( .A1(\lsu/lsu_addr_m [1]), .A2(n23640), .B1(n25056), .B2(n23597), .Y(n23455) ); sky130_fd_sc_hd__a22oi_1 U28351 ( .A1(\lsu/sec_data_lo_m [29]), .A2(n23467), .B1(n23466), .B2(n23453), .Y(n23454) ); sky130_fd_sc_hd__o21ai_1 U28352 ( .A1(n23470), .A2(n23455), .B1(n23454), .Y( \lsu/dccm_ctl/store_data_lo_r_in [29]) ); sky130_fd_sc_hd__nor2_1 U28353 ( .A(n23660), .B(n23456), .Y(n23457) ); sky130_fd_sc_hd__xor2_1 U28354 ( .A(\lsu/dccm_rdata_lo_m [30]), .B(n23457), .X(\lsu/sec_data_lo_m [30]) ); sky130_fd_sc_hd__o22ai_1 U28355 ( .A1(\lsu/lsu_addr_m [0]), .A2(n23758), .B1(n25027), .B2(n23520), .Y(n23646) ); sky130_fd_sc_hd__o2bb2ai_1 U28356 ( .B1(n23539), .B2(n23699), .A1_N(n23539), .A2_N(n23521), .Y(n23601) ); sky130_fd_sc_hd__o22ai_1 U28357 ( .A1(\lsu/lsu_addr_m [1]), .A2(n23646), .B1(n25056), .B2(n23601), .Y(n23460) ); sky130_fd_sc_hd__a22oi_1 U28358 ( .A1(\lsu/sec_data_lo_m [30]), .A2(n23467), .B1(n23466), .B2(n23458), .Y(n23459) ); sky130_fd_sc_hd__o21ai_1 U28359 ( .A1(n23470), .A2(n23460), .B1(n23459), .Y( \lsu/dccm_ctl/store_data_lo_r_in [30]) ); sky130_fd_sc_hd__nand4_1 U28360 ( .A(n23517), .B(n23501), .C(n23500), .D( n23670), .Y(n23462) ); sky130_fd_sc_hd__xor2_1 U28361 ( .A(n23463), .B(n23462), .X( \lsu/sec_data_lo_m [31]) ); sky130_fd_sc_hd__o22ai_1 U28362 ( .A1(\lsu/lsu_addr_m [0]), .A2(n23767), .B1(n25027), .B2(n23530), .Y(n23651) ); sky130_fd_sc_hd__o22ai_1 U28363 ( .A1(\lsu/lsu_addr_m [0]), .A2(n23529), .B1(n25027), .B2(n23464), .Y(n23607) ); sky130_fd_sc_hd__o22ai_1 U28364 ( .A1(\lsu/lsu_addr_m [1]), .A2(n23651), .B1(n25056), .B2(n23607), .Y(n23469) ); sky130_fd_sc_hd__a22oi_1 U28365 ( .A1(\lsu/sec_data_lo_m [31]), .A2(n23467), .B1(n23466), .B2(n23465), .Y(n23468) ); sky130_fd_sc_hd__o21ai_1 U28366 ( .A1(n23470), .A2(n23469), .B1(n23468), .Y( \lsu/dccm_ctl/store_data_lo_r_in [31]) ); sky130_fd_sc_hd__nand3_1 U28367 ( .A(n23580), .B(n23574), .C(n23573), .Y( n23599) ); sky130_fd_sc_hd__nor2_1 U28368 ( .A(n23677), .B(n23599), .Y(n23471) ); sky130_fd_sc_hd__xor2_1 U28369 ( .A(\lsu/dccm_rdata_lo_m [16]), .B(n23471), .X(\lsu/sec_data_lo_m [16]) ); sky130_fd_sc_hd__nand2_1 U28370 ( .A(\lsu/lsu_pkt_m[store] ), .B( \lsu/bus_intf/ldst_byteen_ext_m [2]), .Y(n23536) ); sky130_fd_sc_hd__nand2_1 U28371 ( .A(n23661), .B(n23536), .Y(n23532) ); sky130_fd_sc_hd__o22ai_1 U28372 ( .A1(\lsu/lsu_addr_m [0]), .A2(n23473), .B1(n25027), .B2(n23472), .Y(n23712) ); sky130_fd_sc_hd__o22ai_1 U28373 ( .A1(\lsu/lsu_addr_m [1]), .A2(n23712), .B1(n23474), .B2(n23512), .Y(n23475) ); sky130_fd_sc_hd__nor2_1 U28374 ( .A(n23661), .B(n23523), .Y(n23533) ); sky130_fd_sc_hd__a22oi_1 U28375 ( .A1(n23523), .A2(n23475), .B1( \lsu/sec_data_lo_m [16]), .B2(n23533), .Y(n23476) ); sky130_fd_sc_hd__o21ai_1 U28376 ( .A1(n23543), .A2(n23532), .B1(n23476), .Y( \lsu/dccm_ctl/store_data_lo_r_in [16]) ); sky130_fd_sc_hd__nor2_1 U28377 ( .A(n23478), .B(n23477), .Y(n23603) ); sky130_fd_sc_hd__nand2_1 U28378 ( .A(n23669), .B(n23603), .Y(n23479) ); sky130_fd_sc_hd__xor2_1 U28379 ( .A(n23480), .B(n23479), .X( \lsu/sec_data_lo_m [17]) ); sky130_fd_sc_hd__o2bb2ai_1 U28380 ( .B1(n25027), .B2(n23482), .A1_N(n25027), .A2_N(n23481), .Y(n23723) ); sky130_fd_sc_hd__o22ai_1 U28381 ( .A1(\lsu/lsu_addr_m [1]), .A2(n23723), .B1(n23668), .B2(n23512), .Y(n23483) ); sky130_fd_sc_hd__a22oi_1 U28382 ( .A1(n23523), .A2(n23483), .B1(n23533), .B2(\lsu/sec_data_lo_m [17]), .Y(n23484) ); sky130_fd_sc_hd__o21ai_1 U28383 ( .A1(n23485), .A2(n23532), .B1(n23484), .Y( \lsu/dccm_ctl/store_data_lo_r_in [17]) ); sky130_fd_sc_hd__nand2_1 U28384 ( .A(\lsu/ecc/single_ecc_error_lo_any ), .B( n23587), .Y(n23683) ); sky130_fd_sc_hd__o21ai_1 U28385 ( .A1(n23518), .A2(n23683), .B1( \lsu/dccm_rdata_lo_m [18]), .Y(n23486) ); sky130_fd_sc_hd__o31ai_1 U28386 ( .A1(n23518), .A2(\lsu/dccm_rdata_lo_m [18]), .A3(n23683), .B1(n23486), .Y(\lsu/sec_data_lo_m [18]) ); sky130_fd_sc_hd__a22o_1 U28387 ( .A1(\lsu/lsu_addr_m [0]), .A2(n23488), .B1( n23539), .B2(n23487), .X(n23730) ); sky130_fd_sc_hd__o22ai_1 U28388 ( .A1(\lsu/lsu_addr_m [1]), .A2(n23730), .B1(n23675), .B2(n23512), .Y(n23489) ); sky130_fd_sc_hd__a22oi_1 U28389 ( .A1(n23523), .A2(n23489), .B1(n23533), .B2(\lsu/sec_data_lo_m [18]), .Y(n23490) ); sky130_fd_sc_hd__o21ai_1 U28390 ( .A1(n23491), .A2(n23532), .B1(n23490), .Y( \lsu/dccm_ctl/store_data_lo_r_in [18]) ); sky130_fd_sc_hd__nand2_1 U28391 ( .A(n23587), .B(n23526), .Y(n23493) ); sky130_fd_sc_hd__nand2_1 U28392 ( .A(n23493), .B(\lsu/dccm_rdata_lo_m [19]), .Y(n23492) ); sky130_fd_sc_hd__o21ai_1 U28393 ( .A1(\lsu/dccm_rdata_lo_m [19]), .A2(n23493), .B1(n23492), .Y(\lsu/sec_data_lo_m [19]) ); sky130_fd_sc_hd__a22o_1 U28394 ( .A1(\lsu/lsu_addr_m [0]), .A2(n23495), .B1( n23539), .B2(n23494), .X(n23738) ); sky130_fd_sc_hd__o22ai_1 U28395 ( .A1(\lsu/lsu_addr_m [1]), .A2(n23738), .B1(n23682), .B2(n23512), .Y(n23496) ); sky130_fd_sc_hd__a22oi_1 U28396 ( .A1(n23523), .A2(n23496), .B1(n23533), .B2(\lsu/sec_data_lo_m [19]), .Y(n23497) ); sky130_fd_sc_hd__o21ai_1 U28397 ( .A1(n23498), .A2(n23532), .B1(n23497), .Y( \lsu/dccm_ctl/store_data_lo_r_in [19]) ); sky130_fd_sc_hd__nor3_1 U28398 ( .A(n23501), .B(n23500), .C(n23499), .Y( n23657) ); sky130_fd_sc_hd__nand2_1 U28399 ( .A(\lsu/ecc/single_ecc_error_lo_any ), .B( n23657), .Y(n23693) ); sky130_fd_sc_hd__nor2_1 U28400 ( .A(n23518), .B(n23693), .Y(n23502) ); sky130_fd_sc_hd__xor2_1 U28401 ( .A(\lsu/dccm_rdata_lo_m [20]), .B(n23502), .X(\lsu/sec_data_lo_m [20]) ); sky130_fd_sc_hd__a22o_1 U28402 ( .A1(\lsu/lsu_addr_m [0]), .A2(n23504), .B1( n23539), .B2(n23503), .X(n23745) ); sky130_fd_sc_hd__o22ai_1 U28403 ( .A1(\lsu/lsu_addr_m [1]), .A2(n23745), .B1(n23687), .B2(n23512), .Y(n23505) ); sky130_fd_sc_hd__a22oi_1 U28404 ( .A1(n23523), .A2(n23505), .B1(n23533), .B2(\lsu/sec_data_lo_m [20]), .Y(n23506) ); sky130_fd_sc_hd__o21ai_1 U28405 ( .A1(n23507), .A2(n23532), .B1(n23506), .Y( \lsu/dccm_ctl/store_data_lo_r_in [20]) ); sky130_fd_sc_hd__nand2_1 U28406 ( .A(n23657), .B(n23526), .Y(n23509) ); sky130_fd_sc_hd__nand2_1 U28407 ( .A(n23509), .B(\lsu/dccm_rdata_lo_m [21]), .Y(n23508) ); sky130_fd_sc_hd__o21ai_1 U28408 ( .A1(\lsu/dccm_rdata_lo_m [21]), .A2(n23509), .B1(n23508), .Y(\lsu/sec_data_lo_m [21]) ); sky130_fd_sc_hd__a22o_1 U28409 ( .A1(\lsu/lsu_addr_m [0]), .A2(n23511), .B1( n23539), .B2(n23510), .X(n23751) ); sky130_fd_sc_hd__o22ai_1 U28410 ( .A1(\lsu/lsu_addr_m [1]), .A2(n23751), .B1(n23691), .B2(n23512), .Y(n23513) ); sky130_fd_sc_hd__a22oi_1 U28411 ( .A1(n23523), .A2(n23513), .B1(n23533), .B2(\lsu/sec_data_lo_m [21]), .Y(n23514) ); sky130_fd_sc_hd__o21ai_1 U28412 ( .A1(n23515), .A2(n23532), .B1(n23514), .Y( \lsu/dccm_ctl/store_data_lo_r_in [21]) ); sky130_fd_sc_hd__nor2_1 U28413 ( .A(n23517), .B(n23516), .Y(n23604) ); sky130_fd_sc_hd__nand2_1 U28414 ( .A(\lsu/ecc/single_ecc_error_lo_any ), .B( n23604), .Y(n23702) ); sky130_fd_sc_hd__nor2_1 U28415 ( .A(n23518), .B(n23702), .Y(n23519) ); sky130_fd_sc_hd__xor2_1 U28416 ( .A(\lsu/dccm_rdata_lo_m [22]), .B(n23519), .X(\lsu/sec_data_lo_m [22]) ); sky130_fd_sc_hd__o2bb2ai_1 U28417 ( .B1(n23539), .B2(n23521), .A1_N(n23539), .A2_N(n23520), .Y(n23759) ); sky130_fd_sc_hd__o22ai_1 U28418 ( .A1(\lsu/lsu_addr_m [1]), .A2(n23759), .B1(n23699), .B2(n23512), .Y(n23522) ); sky130_fd_sc_hd__a22oi_1 U28419 ( .A1(n23523), .A2(n23522), .B1(n23533), .B2(\lsu/sec_data_lo_m [22]), .Y(n23524) ); sky130_fd_sc_hd__o21ai_1 U28420 ( .A1(n23525), .A2(n23532), .B1(n23524), .Y( \lsu/dccm_ctl/store_data_lo_r_in [22]) ); sky130_fd_sc_hd__nand2_1 U28421 ( .A(n23604), .B(n23526), .Y(n23528) ); sky130_fd_sc_hd__nand2_1 U28422 ( .A(n23528), .B(\lsu/dccm_rdata_lo_m [23]), .Y(n23527) ); sky130_fd_sc_hd__o21ai_1 U28423 ( .A1(\lsu/dccm_rdata_lo_m [23]), .A2(n23528), .B1(n23527), .Y(\lsu/sec_data_lo_m [23]) ); sky130_fd_sc_hd__o22ai_1 U28424 ( .A1(\lsu/lsu_addr_m [0]), .A2(n23530), .B1(n25027), .B2(n23529), .Y(n23766) ); sky130_fd_sc_hd__o22ai_1 U28425 ( .A1(\lsu/lsu_addr_m [1]), .A2(n23766), .B1(n25056), .B2(n23705), .Y(n23535) ); sky130_fd_sc_hd__a2bb2oi_1 U28426 ( .B1(\lsu/sec_data_lo_m [23]), .B2(n23533), .A1_N(n23532), .A2_N(n23531), .Y(n23534) ); sky130_fd_sc_hd__o21ai_1 U28427 ( .A1(n23536), .A2(n23535), .B1(n23534), .Y( \lsu/dccm_ctl/store_data_lo_r_in [23]) ); sky130_fd_sc_hd__nand2_1 U28428 ( .A(n23632), .B( \lsu/ecc/single_ecc_error_hi_any ), .Y(n23735) ); sky130_fd_sc_hd__nand2_1 U28429 ( .A(n23719), .B(n23537), .Y(n23644) ); sky130_fd_sc_hd__nor2_1 U28430 ( .A(n23735), .B(n23644), .Y(n23538) ); sky130_fd_sc_hd__xor2_1 U28431 ( .A(\lsu/dccm_rdata_hi_m [16]), .B(n23538), .X(\lsu/sec_data_hi_m [16]) ); sky130_fd_sc_hd__nand2_1 U28432 ( .A(\lsu/lsu_pkt_m[store] ), .B( \lsu/bus_intf/ldst_byteen_ext_m [5]), .Y(n23615) ); sky130_fd_sc_hd__nor2_1 U28433 ( .A(n23539), .B(n23615), .Y(n23546) ); sky130_fd_sc_hd__nor2_1 U28434 ( .A(n23540), .B(n23546), .Y(n23569) ); sky130_fd_sc_hd__nor2_1 U28435 ( .A(n23713), .B(n23546), .Y(n23570) ); sky130_fd_sc_hd__a22oi_1 U28436 ( .A1(n23711), .A2(n23546), .B1( \lsu/sec_data_hi_m [16]), .B2(n23570), .Y(n23541) ); sky130_fd_sc_hd__o21ai_1 U28437 ( .A1(n23543), .A2(n23542), .B1(n23541), .Y( \lsu/dccm_ctl/store_data_hi_r_in [16]) ); sky130_fd_sc_hd__nand3_1 U28438 ( .A(n23719), .B(n23544), .C(n23718), .Y( n23649) ); sky130_fd_sc_hd__nor2_1 U28439 ( .A(n23735), .B(n23649), .Y(n23545) ); sky130_fd_sc_hd__xor2_1 U28440 ( .A(\lsu/dccm_rdata_hi_m [17]), .B(n23545), .X(\lsu/sec_data_hi_m [17]) ); sky130_fd_sc_hd__a22oi_1 U28441 ( .A1(\lsu/sec_data_hi_m [17]), .A2(n23570), .B1(n23569), .B2(n23547), .Y(n23548) ); sky130_fd_sc_hd__o21ai_1 U28442 ( .A1(n23722), .A2(n23572), .B1(n23548), .Y( \lsu/dccm_ctl/store_data_hi_r_in [17]) ); sky130_fd_sc_hd__nand3_1 U28443 ( .A(n23562), .B(n23643), .C(n23555), .Y( n23742) ); sky130_fd_sc_hd__nor2_1 U28444 ( .A(n23742), .B(n23633), .Y(n23549) ); sky130_fd_sc_hd__xor2_1 U28445 ( .A(\lsu/dccm_rdata_hi_m [18]), .B(n23549), .X(\lsu/sec_data_hi_m [18]) ); sky130_fd_sc_hd__a22oi_1 U28446 ( .A1(\lsu/sec_data_hi_m [18]), .A2(n23570), .B1(n23569), .B2(n23550), .Y(n23551) ); sky130_fd_sc_hd__o21ai_1 U28447 ( .A1(n23729), .A2(n23572), .B1(n23551), .Y( \lsu/dccm_ctl/store_data_hi_r_in [18]) ); sky130_fd_sc_hd__o21ai_1 U28448 ( .A1(n23742), .A2(n23638), .B1( \lsu/dccm_rdata_hi_m [19]), .Y(n23552) ); sky130_fd_sc_hd__o31ai_1 U28449 ( .A1(n23742), .A2(\lsu/dccm_rdata_hi_m [19]), .A3(n23638), .B1(n23552), .Y(\lsu/sec_data_hi_m [19]) ); sky130_fd_sc_hd__a22oi_1 U28450 ( .A1(\lsu/sec_data_hi_m [19]), .A2(n23570), .B1(n23569), .B2(n23553), .Y(n23554) ); sky130_fd_sc_hd__o21ai_1 U28451 ( .A1(n23737), .A2(n23572), .B1(n23554), .Y( \lsu/dccm_ctl/store_data_hi_r_in [19]) ); sky130_fd_sc_hd__nand3_1 U28452 ( .A(n23632), .B(n23562), .C(n23555), .Y( n23756) ); sky130_fd_sc_hd__o21ai_1 U28453 ( .A1(n23633), .A2(n23756), .B1( \lsu/dccm_rdata_hi_m [20]), .Y(n23556) ); sky130_fd_sc_hd__o31ai_1 U28454 ( .A1(n23633), .A2(\lsu/dccm_rdata_hi_m [20]), .A3(n23756), .B1(n23556), .Y(\lsu/sec_data_hi_m [20]) ); sky130_fd_sc_hd__a22oi_1 U28455 ( .A1(\lsu/sec_data_hi_m [20]), .A2(n23570), .B1(n23569), .B2(n23557), .Y(n23558) ); sky130_fd_sc_hd__o21ai_1 U28456 ( .A1(n23744), .A2(n23572), .B1(n23558), .Y( \lsu/dccm_ctl/store_data_hi_r_in [20]) ); sky130_fd_sc_hd__o21ai_1 U28457 ( .A1(n23638), .A2(n23756), .B1( \lsu/dccm_rdata_hi_m [21]), .Y(n23559) ); sky130_fd_sc_hd__o31ai_1 U28458 ( .A1(n23638), .A2(\lsu/dccm_rdata_hi_m [21]), .A3(n23756), .B1(n23559), .Y(\lsu/sec_data_hi_m [21]) ); sky130_fd_sc_hd__a22oi_1 U28459 ( .A1(\lsu/sec_data_hi_m [21]), .A2(n23570), .B1(n23569), .B2(n23560), .Y(n23561) ); sky130_fd_sc_hd__o21ai_1 U28460 ( .A1(n23750), .A2(n23572), .B1(n23561), .Y( \lsu/dccm_ctl/store_data_hi_r_in [21]) ); sky130_fd_sc_hd__nand3_1 U28461 ( .A(n23563), .B(n23562), .C(n23643), .Y( n23764) ); sky130_fd_sc_hd__o21ai_1 U28462 ( .A1(n23633), .A2(n23764), .B1( \lsu/dccm_rdata_hi_m [22]), .Y(n23564) ); sky130_fd_sc_hd__o31ai_1 U28463 ( .A1(n23633), .A2(\lsu/dccm_rdata_hi_m [22]), .A3(n23764), .B1(n23564), .Y(\lsu/sec_data_hi_m [22]) ); sky130_fd_sc_hd__a22oi_1 U28464 ( .A1(\lsu/sec_data_hi_m [22]), .A2(n23570), .B1(n23569), .B2(n23565), .Y(n23566) ); sky130_fd_sc_hd__o21ai_1 U28465 ( .A1(n23758), .A2(n23572), .B1(n23566), .Y( \lsu/dccm_ctl/store_data_hi_r_in [22]) ); sky130_fd_sc_hd__nor2_1 U28466 ( .A(n23638), .B(n23764), .Y(n23567) ); sky130_fd_sc_hd__xor2_1 U28467 ( .A(\lsu/dccm_rdata_hi_m [23]), .B(n23567), .X(\lsu/sec_data_hi_m [23]) ); sky130_fd_sc_hd__a22oi_1 U28468 ( .A1(\lsu/sec_data_hi_m [23]), .A2(n23570), .B1(n23569), .B2(n23568), .Y(n23571) ); sky130_fd_sc_hd__o21ai_1 U28469 ( .A1(n23767), .A2(n23572), .B1(n23571), .Y( \lsu/dccm_ctl/store_data_hi_r_in [23]) ); sky130_fd_sc_hd__or3_1 U28470 ( .A(n23580), .B(n23574), .C(n23573), .X( n23692) ); sky130_fd_sc_hd__nor2_1 U28471 ( .A(n23702), .B(n23692), .Y(n23575) ); sky130_fd_sc_hd__xor2_1 U28472 ( .A(\lsu/dccm_rdata_lo_m [8]), .B(n23575), .X(\lsu/sec_data_lo_m [8]) ); sky130_fd_sc_hd__nand2_1 U28473 ( .A(\lsu/lsu_pkt_m[store] ), .B( \lsu/bus_intf/ldst_byteen_ext_m [1]), .Y(n23577) ); sky130_fd_sc_hd__clkinv_1 U28474 ( .A(n23577), .Y(n23608) ); sky130_fd_sc_hd__nor2_1 U28475 ( .A(n23661), .B(n23608), .Y(n23609) ); sky130_fd_sc_hd__nand2_1 U28476 ( .A(n23577), .B(n23661), .Y(n23611) ); sky130_fd_sc_hd__a2bb2oi_1 U28477 ( .B1(n23609), .B2(\lsu/sec_data_lo_m [8]), .A1_N(n23611), .A2_N(n23613), .Y(n23576) ); sky130_fd_sc_hd__o21ai_1 U28478 ( .A1(n23578), .A2(n23577), .B1(n23576), .Y( \lsu/dccm_ctl/store_data_lo_r_in [8]) ); sky130_fd_sc_hd__nand2b_1 U28479 ( .A_N(n23580), .B(n23579), .Y(n23701) ); sky130_fd_sc_hd__o21ai_1 U28480 ( .A1(n23677), .A2(n23701), .B1( \lsu/dccm_rdata_lo_m [9]), .Y(n23581) ); sky130_fd_sc_hd__o31ai_1 U28481 ( .A1(n23677), .A2(\lsu/dccm_rdata_lo_m [9]), .A3(n23701), .B1(n23581), .Y(\lsu/sec_data_lo_m [9]) ); sky130_fd_sc_hd__a22oi_1 U28482 ( .A1(\lsu/sec_data_lo_m [9]), .A2(n23609), .B1(n23608), .B2(n23582), .Y(n23583) ); sky130_fd_sc_hd__o21ai_1 U28483 ( .A1(n23620), .A2(n23611), .B1(n23583), .Y( \lsu/dccm_ctl/store_data_lo_r_in [9]) ); sky130_fd_sc_hd__nor2_1 U28484 ( .A(n23677), .B(n23692), .Y(n23584) ); sky130_fd_sc_hd__xor2_1 U28485 ( .A(\lsu/dccm_rdata_lo_m [10]), .B(n23584), .X(\lsu/sec_data_lo_m [10]) ); sky130_fd_sc_hd__a22oi_1 U28486 ( .A1(\lsu/sec_data_lo_m [10]), .A2(n23609), .B1(n23608), .B2(n23585), .Y(n23586) ); sky130_fd_sc_hd__o21ai_1 U28487 ( .A1(n23625), .A2(n23611), .B1(n23586), .Y( \lsu/dccm_ctl/store_data_lo_r_in [10]) ); sky130_fd_sc_hd__nand2_1 U28488 ( .A(n23587), .B(n23603), .Y(n23589) ); sky130_fd_sc_hd__nand2_1 U28489 ( .A(n23589), .B(\lsu/dccm_rdata_lo_m [11]), .Y(n23588) ); sky130_fd_sc_hd__o21ai_1 U28490 ( .A1(\lsu/dccm_rdata_lo_m [11]), .A2(n23589), .B1(n23588), .Y(\lsu/sec_data_lo_m [11]) ); sky130_fd_sc_hd__a22oi_1 U28491 ( .A1(\lsu/sec_data_lo_m [11]), .A2(n23609), .B1(n23608), .B2(n23590), .Y(n23591) ); sky130_fd_sc_hd__o21ai_1 U28492 ( .A1(n23630), .A2(n23611), .B1(n23591), .Y( \lsu/dccm_ctl/store_data_lo_r_in [11]) ); sky130_fd_sc_hd__nor2_1 U28493 ( .A(n23599), .B(n23693), .Y(n23592) ); sky130_fd_sc_hd__xor2_1 U28494 ( .A(\lsu/dccm_rdata_lo_m [12]), .B(n23592), .X(\lsu/sec_data_lo_m [12]) ); sky130_fd_sc_hd__a22oi_1 U28495 ( .A1(\lsu/sec_data_lo_m [12]), .A2(n23609), .B1(n23608), .B2(n23593), .Y(n23594) ); sky130_fd_sc_hd__o21ai_1 U28496 ( .A1(n23637), .A2(n23611), .B1(n23594), .Y( \lsu/dccm_ctl/store_data_lo_r_in [12]) ); sky130_fd_sc_hd__nand2_1 U28497 ( .A(n23657), .B(n23603), .Y(n23596) ); sky130_fd_sc_hd__nand2_1 U28498 ( .A(n23596), .B(\lsu/dccm_rdata_lo_m [13]), .Y(n23595) ); sky130_fd_sc_hd__o21ai_1 U28499 ( .A1(\lsu/dccm_rdata_lo_m [13]), .A2(n23596), .B1(n23595), .Y(\lsu/sec_data_lo_m [13]) ); sky130_fd_sc_hd__a22oi_1 U28500 ( .A1(\lsu/sec_data_lo_m [13]), .A2(n23609), .B1(n23608), .B2(n23597), .Y(n23598) ); sky130_fd_sc_hd__o21ai_1 U28501 ( .A1(n23642), .A2(n23611), .B1(n23598), .Y( \lsu/dccm_ctl/store_data_lo_r_in [13]) ); sky130_fd_sc_hd__nor2_1 U28502 ( .A(n23599), .B(n23702), .Y(n23600) ); sky130_fd_sc_hd__xor2_1 U28503 ( .A(\lsu/dccm_rdata_lo_m [14]), .B(n23600), .X(\lsu/sec_data_lo_m [14]) ); sky130_fd_sc_hd__a22oi_1 U28504 ( .A1(\lsu/sec_data_lo_m [14]), .A2(n23609), .B1(n23608), .B2(n23601), .Y(n23602) ); sky130_fd_sc_hd__o21ai_1 U28505 ( .A1(n23648), .A2(n23611), .B1(n23602), .Y( \lsu/dccm_ctl/store_data_lo_r_in [14]) ); sky130_fd_sc_hd__nand2_1 U28506 ( .A(n23604), .B(n23603), .Y(n23606) ); sky130_fd_sc_hd__nand2_1 U28507 ( .A(n23606), .B(\lsu/dccm_rdata_lo_m [15]), .Y(n23605) ); sky130_fd_sc_hd__o21ai_1 U28508 ( .A1(\lsu/dccm_rdata_lo_m [15]), .A2(n23606), .B1(n23605), .Y(\lsu/sec_data_lo_m [15]) ); sky130_fd_sc_hd__a22oi_1 U28509 ( .A1(\lsu/sec_data_lo_m [15]), .A2(n23609), .B1(n23608), .B2(n23607), .Y(n23610) ); sky130_fd_sc_hd__o21ai_1 U28510 ( .A1(n23656), .A2(n23611), .B1(n23610), .Y( \lsu/dccm_ctl/store_data_lo_r_in [15]) ); sky130_fd_sc_hd__o21ai_1 U28511 ( .A1(n23764), .A2(n23755), .B1( \lsu/dccm_rdata_hi_m [8]), .Y(n23612) ); sky130_fd_sc_hd__o31ai_1 U28512 ( .A1(n23764), .A2(\lsu/dccm_rdata_hi_m [8]), .A3(n23755), .B1(n23612), .Y(\lsu/sec_data_hi_m [8]) ); sky130_fd_sc_hd__clkinv_1 U28513 ( .A(n23615), .Y(n23652) ); sky130_fd_sc_hd__nor2_1 U28514 ( .A(n23713), .B(n23652), .Y(n23653) ); sky130_fd_sc_hd__nand2_1 U28515 ( .A(n23615), .B(n23713), .Y(n23655) ); sky130_fd_sc_hd__a2bb2oi_1 U28516 ( .B1(n23653), .B2(\lsu/sec_data_hi_m [8]), .A1_N(n23655), .A2_N(n23613), .Y(n23614) ); sky130_fd_sc_hd__o21ai_1 U28517 ( .A1(n23616), .A2(n23615), .B1(n23614), .Y( \lsu/dccm_ctl/store_data_hi_r_in [8]) ); sky130_fd_sc_hd__nor2_1 U28518 ( .A(n23763), .B(n23621), .Y(n23617) ); sky130_fd_sc_hd__xor2_1 U28519 ( .A(\lsu/dccm_rdata_hi_m [9]), .B(n23617), .X(\lsu/sec_data_hi_m [9]) ); sky130_fd_sc_hd__a22oi_1 U28520 ( .A1(\lsu/sec_data_hi_m [9]), .A2(n23653), .B1(n23652), .B2(n23618), .Y(n23619) ); sky130_fd_sc_hd__o21ai_1 U28521 ( .A1(n23620), .A2(n23655), .B1(n23619), .Y( \lsu/dccm_ctl/store_data_hi_r_in [9]) ); sky130_fd_sc_hd__nor2_1 U28522 ( .A(n23755), .B(n23621), .Y(n23622) ); sky130_fd_sc_hd__xor2_1 U28523 ( .A(\lsu/dccm_rdata_hi_m [10]), .B(n23622), .X(\lsu/sec_data_hi_m [10]) ); sky130_fd_sc_hd__a22oi_1 U28524 ( .A1(\lsu/sec_data_hi_m [10]), .A2(n23653), .B1(n23652), .B2(n23623), .Y(n23624) ); sky130_fd_sc_hd__o21ai_1 U28525 ( .A1(n23625), .A2(n23655), .B1(n23624), .Y( \lsu/dccm_ctl/store_data_hi_r_in [10]) ); sky130_fd_sc_hd__nand2_1 U28526 ( .A(n23643), .B(n23631), .Y(n23627) ); sky130_fd_sc_hd__o21ai_1 U28527 ( .A1(n23638), .A2(n23627), .B1( \lsu/dccm_rdata_hi_m [11]), .Y(n23626) ); sky130_fd_sc_hd__o31ai_1 U28528 ( .A1(n23638), .A2(\lsu/dccm_rdata_hi_m [11]), .A3(n23627), .B1(n23626), .Y(\lsu/sec_data_hi_m [11]) ); sky130_fd_sc_hd__a22oi_1 U28529 ( .A1(\lsu/sec_data_hi_m [11]), .A2(n23653), .B1(n23652), .B2(n23628), .Y(n23629) ); sky130_fd_sc_hd__o21ai_1 U28530 ( .A1(n23630), .A2(n23655), .B1(n23629), .Y( \lsu/dccm_ctl/store_data_hi_r_in [11]) ); sky130_fd_sc_hd__nand2_1 U28531 ( .A(n23632), .B(n23631), .Y(n23709) ); sky130_fd_sc_hd__nor2_1 U28532 ( .A(n23633), .B(n23709), .Y(n23634) ); sky130_fd_sc_hd__xor2_1 U28533 ( .A(\lsu/dccm_rdata_hi_m [12]), .B(n23634), .X(\lsu/sec_data_hi_m [12]) ); sky130_fd_sc_hd__a22oi_1 U28534 ( .A1(\lsu/sec_data_hi_m [12]), .A2(n23653), .B1(n23652), .B2(n23635), .Y(n23636) ); sky130_fd_sc_hd__o21ai_1 U28535 ( .A1(n23637), .A2(n23655), .B1(n23636), .Y( \lsu/dccm_ctl/store_data_hi_r_in [12]) ); sky130_fd_sc_hd__nor2_1 U28536 ( .A(n23638), .B(n23709), .Y(n23639) ); sky130_fd_sc_hd__xor2_1 U28537 ( .A(\lsu/dccm_rdata_hi_m [13]), .B(n23639), .X(\lsu/sec_data_hi_m [13]) ); sky130_fd_sc_hd__a22oi_1 U28538 ( .A1(\lsu/sec_data_hi_m [13]), .A2(n23653), .B1(n23652), .B2(n23640), .Y(n23641) ); sky130_fd_sc_hd__o21ai_1 U28539 ( .A1(n23642), .A2(n23655), .B1(n23641), .Y( \lsu/dccm_ctl/store_data_hi_r_in [13]) ); sky130_fd_sc_hd__nand2_1 U28540 ( .A(\lsu/ecc/single_ecc_error_hi_any ), .B( n23643), .Y(n23721) ); sky130_fd_sc_hd__nor2_1 U28541 ( .A(n23644), .B(n23721), .Y(n23645) ); sky130_fd_sc_hd__xor2_1 U28542 ( .A(\lsu/dccm_rdata_hi_m [14]), .B(n23645), .X(\lsu/sec_data_hi_m [14]) ); sky130_fd_sc_hd__a22oi_1 U28543 ( .A1(\lsu/sec_data_hi_m [14]), .A2(n23653), .B1(n23652), .B2(n23646), .Y(n23647) ); sky130_fd_sc_hd__o21ai_1 U28544 ( .A1(n23648), .A2(n23655), .B1(n23647), .Y( \lsu/dccm_ctl/store_data_hi_r_in [14]) ); sky130_fd_sc_hd__nor2_1 U28545 ( .A(n23649), .B(n23721), .Y(n23650) ); sky130_fd_sc_hd__xor2_1 U28546 ( .A(\lsu/dccm_rdata_hi_m [15]), .B(n23650), .X(\lsu/sec_data_hi_m [15]) ); sky130_fd_sc_hd__a22oi_1 U28547 ( .A1(\lsu/sec_data_hi_m [15]), .A2(n23653), .B1(n23652), .B2(n23651), .Y(n23654) ); sky130_fd_sc_hd__o21ai_1 U28548 ( .A1(n23656), .A2(n23655), .B1(n23654), .Y( \lsu/dccm_ctl/store_data_hi_r_in [15]) ); sky130_fd_sc_hd__o21ai_1 U28549 ( .A1(n23660), .A2(n23659), .B1( \lsu/dccm_rdata_lo_m [0]), .Y(n23658) ); sky130_fd_sc_hd__o31ai_1 U28550 ( .A1(n23660), .A2(\lsu/dccm_rdata_lo_m [0]), .A3(n23659), .B1(n23658), .Y(\lsu/sec_data_lo_m [0]) ); sky130_fd_sc_hd__nor2_1 U28551 ( .A(n25067), .B(n25066), .Y(n23704) ); sky130_fd_sc_hd__nand2_1 U28552 ( .A(n23661), .B(n23698), .Y(n23707) ); sky130_fd_sc_hd__nor2_1 U28553 ( .A(n23661), .B(n23704), .Y(n23703) ); sky130_fd_sc_hd__a22oi_1 U28554 ( .A1(n23662), .A2(n23704), .B1( \lsu/sec_data_lo_m [0]), .B2(n23703), .Y(n23663) ); sky130_fd_sc_hd__o21ai_1 U28555 ( .A1(n23716), .A2(n23707), .B1(n23663), .Y( \lsu/dccm_ctl/store_data_lo_r_in [0]) ); sky130_fd_sc_hd__nand2b_1 U28556 ( .A_N(n23702), .B(n23676), .Y(n23665) ); sky130_fd_sc_hd__nand2_1 U28557 ( .A(n23665), .B(\lsu/dccm_rdata_lo_m [1]), .Y(n23664) ); sky130_fd_sc_hd__o21ai_1 U28558 ( .A1(\lsu/dccm_rdata_lo_m [1]), .A2(n23665), .B1(n23664), .Y(\lsu/sec_data_lo_m [1]) ); sky130_fd_sc_hd__clkinv_1 U28559 ( .A(n23707), .Y(n23696) ); sky130_fd_sc_hd__a22oi_1 U28560 ( .A1(\lsu/sec_data_lo_m [1]), .A2(n23703), .B1(n23696), .B2(n23666), .Y(n23667) ); sky130_fd_sc_hd__o21ai_1 U28561 ( .A1(n23668), .A2(n23698), .B1(n23667), .Y( \lsu/dccm_ctl/store_data_lo_r_in [1]) ); sky130_fd_sc_hd__nand2_1 U28562 ( .A(n23670), .B(n23669), .Y(n23672) ); sky130_fd_sc_hd__nand2_1 U28563 ( .A(n23672), .B(\lsu/dccm_rdata_lo_m [2]), .Y(n23671) ); sky130_fd_sc_hd__o21ai_1 U28564 ( .A1(\lsu/dccm_rdata_lo_m [2]), .A2(n23672), .B1(n23671), .Y(\lsu/sec_data_lo_m [2]) ); sky130_fd_sc_hd__a22oi_1 U28565 ( .A1(\lsu/sec_data_lo_m [2]), .A2(n23703), .B1(n23696), .B2(n23673), .Y(n23674) ); sky130_fd_sc_hd__o21ai_1 U28566 ( .A1(n23675), .A2(n23698), .B1(n23674), .Y( \lsu/dccm_ctl/store_data_lo_r_in [2]) ); sky130_fd_sc_hd__nand2b_1 U28567 ( .A_N(n23677), .B(n23676), .Y(n23679) ); sky130_fd_sc_hd__nand2_1 U28568 ( .A(n23679), .B(\lsu/dccm_rdata_lo_m [3]), .Y(n23678) ); sky130_fd_sc_hd__o21ai_1 U28569 ( .A1(\lsu/dccm_rdata_lo_m [3]), .A2(n23679), .B1(n23678), .Y(\lsu/sec_data_lo_m [3]) ); sky130_fd_sc_hd__a22oi_1 U28570 ( .A1(\lsu/sec_data_lo_m [3]), .A2(n23703), .B1(n23696), .B2(n23680), .Y(n23681) ); sky130_fd_sc_hd__o21ai_1 U28571 ( .A1(n23682), .A2(n23698), .B1(n23681), .Y( \lsu/dccm_ctl/store_data_lo_r_in [3]) ); sky130_fd_sc_hd__nor2_1 U28572 ( .A(n23683), .B(n23692), .Y(n23684) ); sky130_fd_sc_hd__xor2_1 U28573 ( .A(\lsu/dccm_rdata_lo_m [4]), .B(n23684), .X(\lsu/sec_data_lo_m [4]) ); sky130_fd_sc_hd__a22oi_1 U28574 ( .A1(\lsu/sec_data_lo_m [4]), .A2(n23703), .B1(n23696), .B2(n23685), .Y(n23686) ); sky130_fd_sc_hd__o21ai_1 U28575 ( .A1(n23687), .A2(n23698), .B1(n23686), .Y( \lsu/dccm_ctl/store_data_lo_r_in [4]) ); sky130_fd_sc_hd__o21ai_1 U28576 ( .A1(n23693), .A2(n23701), .B1( \lsu/dccm_rdata_lo_m [5]), .Y(n23688) ); sky130_fd_sc_hd__o31ai_1 U28577 ( .A1(n23693), .A2(\lsu/dccm_rdata_lo_m [5]), .A3(n23701), .B1(n23688), .Y(\lsu/sec_data_lo_m [5]) ); sky130_fd_sc_hd__a22oi_1 U28578 ( .A1(\lsu/sec_data_lo_m [5]), .A2(n23703), .B1(n23696), .B2(n23689), .Y(n23690) ); sky130_fd_sc_hd__o21ai_1 U28579 ( .A1(n23691), .A2(n23698), .B1(n23690), .Y( \lsu/dccm_ctl/store_data_lo_r_in [5]) ); sky130_fd_sc_hd__nor2_1 U28580 ( .A(n23693), .B(n23692), .Y(n23694) ); sky130_fd_sc_hd__xor2_1 U28581 ( .A(\lsu/dccm_rdata_lo_m [6]), .B(n23694), .X(\lsu/sec_data_lo_m [6]) ); sky130_fd_sc_hd__a22oi_1 U28582 ( .A1(\lsu/sec_data_lo_m [6]), .A2(n23703), .B1(n23696), .B2(n23695), .Y(n23697) ); sky130_fd_sc_hd__o21ai_1 U28583 ( .A1(n23699), .A2(n23698), .B1(n23697), .Y( \lsu/dccm_ctl/store_data_lo_r_in [6]) ); sky130_fd_sc_hd__o21ai_1 U28584 ( .A1(n23702), .A2(n23701), .B1( \lsu/dccm_rdata_lo_m [7]), .Y(n23700) ); sky130_fd_sc_hd__o31ai_1 U28585 ( .A1(n23702), .A2(\lsu/dccm_rdata_lo_m [7]), .A3(n23701), .B1(n23700), .Y(\lsu/sec_data_lo_m [7]) ); sky130_fd_sc_hd__a22oi_1 U28586 ( .A1(n23705), .A2(n23704), .B1(n23703), .B2(\lsu/sec_data_lo_m [7]), .Y(n23706) ); sky130_fd_sc_hd__o21ai_1 U28587 ( .A1(n23774), .A2(n23707), .B1(n23706), .Y( \lsu/dccm_ctl/store_data_lo_r_in [7]) ); sky130_fd_sc_hd__o21ai_1 U28588 ( .A1(n23755), .A2(n23709), .B1( \lsu/dccm_rdata_hi_m [0]), .Y(n23708) ); sky130_fd_sc_hd__o31ai_1 U28589 ( .A1(n23755), .A2(\lsu/dccm_rdata_hi_m [0]), .A3(n23709), .B1(n23708), .Y(\lsu/sec_data_hi_m [0]) ); sky130_fd_sc_hd__nor2_1 U28590 ( .A(n25067), .B(n23710), .Y(n23771) ); sky130_fd_sc_hd__nand2b_1 U28591 ( .A_N(n23771), .B(n23713), .Y(n23773) ); sky130_fd_sc_hd__o2bb2ai_1 U28592 ( .B1(n23712), .B2(n25056), .A1_N(n25052), .A2_N(n23711), .Y(n23714) ); sky130_fd_sc_hd__nor2_1 U28593 ( .A(n23713), .B(n23771), .Y(n23769) ); sky130_fd_sc_hd__a22oi_1 U28594 ( .A1(n23771), .A2(n23714), .B1( \lsu/sec_data_hi_m [0]), .B2(n23769), .Y(n23715) ); sky130_fd_sc_hd__o21ai_1 U28595 ( .A1(n23716), .A2(n23773), .B1(n23715), .Y( \lsu/dccm_ctl/store_data_hi_r_in [0]) ); sky130_fd_sc_hd__nand3_1 U28596 ( .A(n23719), .B(n23718), .C(n23717), .Y( n23734) ); sky130_fd_sc_hd__o21ai_1 U28597 ( .A1(n23721), .A2(n23734), .B1( \lsu/dccm_rdata_hi_m [1]), .Y(n23720) ); sky130_fd_sc_hd__o31ai_1 U28598 ( .A1(n23721), .A2(\lsu/dccm_rdata_hi_m [1]), .A3(n23734), .B1(n23720), .Y(\lsu/sec_data_hi_m [1]) ); sky130_fd_sc_hd__o22ai_1 U28599 ( .A1(n23723), .A2(n25056), .B1(n23768), .B2(n23722), .Y(n23724) ); sky130_fd_sc_hd__a22oi_1 U28600 ( .A1(n23771), .A2(n23724), .B1(n23769), .B2(\lsu/sec_data_hi_m [1]), .Y(n23725) ); sky130_fd_sc_hd__o21ai_1 U28601 ( .A1(n23726), .A2(n23773), .B1(n23725), .Y( \lsu/dccm_ctl/store_data_hi_r_in [1]) ); sky130_fd_sc_hd__o21ai_1 U28602 ( .A1(n23735), .A2(n23728), .B1( \lsu/dccm_rdata_hi_m [2]), .Y(n23727) ); sky130_fd_sc_hd__o31ai_1 U28603 ( .A1(n23735), .A2(\lsu/dccm_rdata_hi_m [2]), .A3(n23728), .B1(n23727), .Y(\lsu/sec_data_hi_m [2]) ); sky130_fd_sc_hd__o22ai_1 U28604 ( .A1(n23730), .A2(n25056), .B1(n23768), .B2(n23729), .Y(n23731) ); sky130_fd_sc_hd__a22oi_1 U28605 ( .A1(n23771), .A2(n23731), .B1(n23769), .B2(\lsu/sec_data_hi_m [2]), .Y(n23732) ); sky130_fd_sc_hd__o21ai_1 U28606 ( .A1(n23733), .A2(n23773), .B1(n23732), .Y( \lsu/dccm_ctl/store_data_hi_r_in [2]) ); sky130_fd_sc_hd__nor2_1 U28607 ( .A(n23735), .B(n23734), .Y(n23736) ); sky130_fd_sc_hd__xor2_1 U28608 ( .A(\lsu/dccm_rdata_hi_m [3]), .B(n23736), .X(\lsu/sec_data_hi_m [3]) ); sky130_fd_sc_hd__o22ai_1 U28609 ( .A1(n23738), .A2(n25056), .B1(n23768), .B2(n23737), .Y(n23739) ); sky130_fd_sc_hd__a22oi_1 U28610 ( .A1(n23771), .A2(n23739), .B1(n23769), .B2(\lsu/sec_data_hi_m [3]), .Y(n23740) ); sky130_fd_sc_hd__o21ai_1 U28611 ( .A1(n23741), .A2(n23773), .B1(n23740), .Y( \lsu/dccm_ctl/store_data_hi_r_in [3]) ); sky130_fd_sc_hd__nor2_1 U28612 ( .A(n23742), .B(n23755), .Y(n23743) ); sky130_fd_sc_hd__xor2_1 U28613 ( .A(\lsu/dccm_rdata_hi_m [4]), .B(n23743), .X(\lsu/sec_data_hi_m [4]) ); sky130_fd_sc_hd__o22ai_1 U28614 ( .A1(n23745), .A2(n25056), .B1(n23768), .B2(n23744), .Y(n23746) ); sky130_fd_sc_hd__a22oi_1 U28615 ( .A1(n23771), .A2(n23746), .B1(n23769), .B2(\lsu/sec_data_hi_m [4]), .Y(n23747) ); sky130_fd_sc_hd__o21ai_1 U28616 ( .A1(n23748), .A2(n23773), .B1(n23747), .Y( \lsu/dccm_ctl/store_data_hi_r_in [4]) ); sky130_fd_sc_hd__nor2_1 U28617 ( .A(n23756), .B(n23763), .Y(n23749) ); sky130_fd_sc_hd__xor2_1 U28618 ( .A(\lsu/dccm_rdata_hi_m [5]), .B(n23749), .X(\lsu/sec_data_hi_m [5]) ); sky130_fd_sc_hd__o22ai_1 U28619 ( .A1(n23751), .A2(n25056), .B1(n23768), .B2(n23750), .Y(n23752) ); sky130_fd_sc_hd__a22oi_1 U28620 ( .A1(n23771), .A2(n23752), .B1(n23769), .B2(\lsu/sec_data_hi_m [5]), .Y(n23753) ); sky130_fd_sc_hd__o21ai_1 U28621 ( .A1(n23754), .A2(n23773), .B1(n23753), .Y( \lsu/dccm_ctl/store_data_hi_r_in [5]) ); sky130_fd_sc_hd__nor2_1 U28622 ( .A(n23756), .B(n23755), .Y(n23757) ); sky130_fd_sc_hd__xor2_1 U28623 ( .A(\lsu/dccm_rdata_hi_m [6]), .B(n23757), .X(\lsu/sec_data_hi_m [6]) ); sky130_fd_sc_hd__o22ai_1 U28624 ( .A1(n23759), .A2(n25056), .B1(n23768), .B2(n23758), .Y(n23760) ); sky130_fd_sc_hd__a22oi_1 U28625 ( .A1(n23771), .A2(n23760), .B1(n23769), .B2(\lsu/sec_data_hi_m [6]), .Y(n23761) ); sky130_fd_sc_hd__o21ai_1 U28626 ( .A1(n23762), .A2(n23773), .B1(n23761), .Y( \lsu/dccm_ctl/store_data_hi_r_in [6]) ); sky130_fd_sc_hd__nor2_1 U28627 ( .A(n23764), .B(n23763), .Y(n23765) ); sky130_fd_sc_hd__xor2_1 U28628 ( .A(\lsu/dccm_rdata_hi_m [7]), .B(n23765), .X(\lsu/sec_data_hi_m [7]) ); sky130_fd_sc_hd__o2bb2ai_1 U28629 ( .B1(n23768), .B2(n23767), .A1_N(n23766), .A2_N(\lsu/lsu_addr_m [1]), .Y(n23770) ); sky130_fd_sc_hd__a22oi_1 U28630 ( .A1(n23771), .A2(n23770), .B1(n23769), .B2(\lsu/sec_data_hi_m [7]), .Y(n23772) ); sky130_fd_sc_hd__o21ai_1 U28631 ( .A1(n23774), .A2(n23773), .B1(n23772), .Y( \lsu/dccm_ctl/store_data_hi_r_in [7]) ); sky130_fd_sc_hd__nand2_1 U28632 ( .A(n23892), .B(n23775), .Y(n23874) ); sky130_fd_sc_hd__nor3_1 U28633 ( .A(dec_extint_stall), .B(dma_dccm_req), .C( n23883), .Y(n23891) ); sky130_fd_sc_hd__nand2_1 U28634 ( .A(dma_dccm_req), .B(n23874), .Y(n23899) ); sky130_fd_sc_hd__o22ai_1 U28635 ( .A1(n23898), .A2(n23777), .B1(n23776), .B2(n23899), .Y(n23778) ); sky130_fd_sc_hd__a22oi_1 U28636 ( .A1(n23781), .A2(n23883), .B1(n23891), .B2(n23780), .Y(n23782) ); sky130_fd_sc_hd__o21ai_1 U28637 ( .A1(n23783), .A2(n23899), .B1(n23782), .Y( \lsu/lsu_lsc_ctl/store_data_m_in [1]) ); sky130_fd_sc_hd__a22oi_1 U28638 ( .A1(n23785), .A2(n23883), .B1(n23891), .B2(n23784), .Y(n23786) ); sky130_fd_sc_hd__o21ai_1 U28639 ( .A1(n23787), .A2(n23899), .B1(n23786), .Y( \lsu/lsu_lsc_ctl/store_data_m_in [2]) ); sky130_fd_sc_hd__o22ai_1 U28640 ( .A1(n23898), .A2(n23789), .B1(n23788), .B2(n23899), .Y(n23790) ); sky130_fd_sc_hd__a22oi_1 U28641 ( .A1(n23892), .A2(n23793), .B1(n23891), .B2(n23792), .Y(n23794) ); sky130_fd_sc_hd__o21ai_1 U28642 ( .A1(n23795), .A2(n23899), .B1(n23794), .Y( \lsu/lsu_lsc_ctl/store_data_m_in [4]) ); sky130_fd_sc_hd__a2bb2oi_1 U28643 ( .B1(n23891), .B2(n23796), .A1_N(n23953), .A2_N(n23874), .Y(n23797) ); sky130_fd_sc_hd__o21ai_1 U28644 ( .A1(n23798), .A2(n23899), .B1(n23797), .Y( \lsu/lsu_lsc_ctl/store_data_m_in [5]) ); sky130_fd_sc_hd__a2bb2oi_1 U28645 ( .B1(n23891), .B2(n23799), .A1_N(n23955), .A2_N(n23874), .Y(n23800) ); sky130_fd_sc_hd__o21ai_1 U28646 ( .A1(n23801), .A2(n23899), .B1(n23800), .Y( \lsu/lsu_lsc_ctl/store_data_m_in [6]) ); sky130_fd_sc_hd__a22oi_1 U28647 ( .A1(n23803), .A2(n23883), .B1(n23891), .B2(n23802), .Y(n23804) ); sky130_fd_sc_hd__o21ai_1 U28648 ( .A1(n23805), .A2(n23899), .B1(n23804), .Y( \lsu/lsu_lsc_ctl/store_data_m_in [7]) ); sky130_fd_sc_hd__o22ai_1 U28649 ( .A1(n23898), .A2(n23807), .B1(n23806), .B2(n23899), .Y(n23808) ); sky130_fd_sc_hd__o22ai_1 U28650 ( .A1(n23898), .A2(n23811), .B1(n23810), .B2(n23899), .Y(n23812) ); sky130_fd_sc_hd__a21o_1 U28651 ( .A1(n23891), .A2(n23813), .B1(n23812), .X( \lsu/lsu_lsc_ctl/store_data_m_in [9]) ); sky130_fd_sc_hd__a22oi_1 U28652 ( .A1(n23891), .A2(n23815), .B1(n23883), .B2(n23814), .Y(n23816) ); sky130_fd_sc_hd__o21ai_1 U28653 ( .A1(n23817), .A2(n23899), .B1(n23816), .Y( \lsu/lsu_lsc_ctl/store_data_m_in [10]) ); sky130_fd_sc_hd__a22oi_1 U28654 ( .A1(n23891), .A2(n23819), .B1(n23883), .B2(n23818), .Y(n23820) ); sky130_fd_sc_hd__o21ai_1 U28655 ( .A1(n23821), .A2(n23899), .B1(n23820), .Y( \lsu/lsu_lsc_ctl/store_data_m_in [11]) ); sky130_fd_sc_hd__clkinv_1 U28656 ( .A(n23891), .Y(n23902) ); sky130_fd_sc_hd__o21ai_1 U28657 ( .A1(n23825), .A2(n23902), .B1(n23824), .Y( \lsu/lsu_lsc_ctl/store_data_m_in [12]) ); sky130_fd_sc_hd__a2bb2oi_1 U28658 ( .B1(n23883), .B2(n23969), .A1_N(n23899), .A2_N(n23826), .Y(n23827) ); sky130_fd_sc_hd__o21ai_1 U28659 ( .A1(n23828), .A2(n23902), .B1(n23827), .Y( \lsu/lsu_lsc_ctl/store_data_m_in [13]) ); sky130_fd_sc_hd__o21ai_1 U28660 ( .A1(n23832), .A2(n23902), .B1(n23831), .Y( \lsu/lsu_lsc_ctl/store_data_m_in [14]) ); sky130_fd_sc_hd__o2bb2a_1 U28661 ( .A1_N(n23892), .A2_N(n23834), .B1(n23833), .B2(n23899), .X(n23835) ); sky130_fd_sc_hd__o21ai_1 U28662 ( .A1(n23836), .A2(n23902), .B1(n23835), .Y( \lsu/lsu_lsc_ctl/store_data_m_in [15]) ); sky130_fd_sc_hd__o21ai_1 U28663 ( .A1(n23840), .A2(n23902), .B1(n23839), .Y( \lsu/lsu_lsc_ctl/store_data_m_in [16]) ); sky130_fd_sc_hd__o21ai_1 U28664 ( .A1(n23844), .A2(n23902), .B1(n23843), .Y( \lsu/lsu_lsc_ctl/store_data_m_in [17]) ); sky130_fd_sc_hd__a2bb2oi_1 U28665 ( .B1(n23846), .B2(n23883), .A1_N(n23845), .A2_N(n23899), .Y(n23847) ); sky130_fd_sc_hd__o21ai_1 U28666 ( .A1(n23848), .A2(n23902), .B1(n23847), .Y( \lsu/lsu_lsc_ctl/store_data_m_in [18]) ); sky130_fd_sc_hd__o22a_1 U28667 ( .A1(n23849), .A2(n23899), .B1(n23981), .B2( n23874), .X(n23850) ); sky130_fd_sc_hd__o21ai_1 U28668 ( .A1(n23851), .A2(n23902), .B1(n23850), .Y( \lsu/lsu_lsc_ctl/store_data_m_in [19]) ); sky130_fd_sc_hd__a2bb2oi_1 U28669 ( .B1(n23853), .B2(n23883), .A1_N(n23852), .A2_N(n23899), .Y(n23854) ); sky130_fd_sc_hd__o21ai_1 U28670 ( .A1(n23855), .A2(n23902), .B1(n23854), .Y( \lsu/lsu_lsc_ctl/store_data_m_in [20]) ); sky130_fd_sc_hd__o22a_1 U28671 ( .A1(n23856), .A2(n23899), .B1(n23985), .B2( n23874), .X(n23857) ); sky130_fd_sc_hd__o21ai_1 U28672 ( .A1(n23858), .A2(n23902), .B1(n23857), .Y( \lsu/lsu_lsc_ctl/store_data_m_in [21]) ); sky130_fd_sc_hd__a2bb2oi_1 U28673 ( .B1(n23860), .B2(n23883), .A1_N(n23859), .A2_N(n23899), .Y(n23861) ); sky130_fd_sc_hd__o21ai_1 U28674 ( .A1(n23862), .A2(n23902), .B1(n23861), .Y( \lsu/lsu_lsc_ctl/store_data_m_in [22]) ); sky130_fd_sc_hd__o22ai_1 U28675 ( .A1(n23864), .A2(n23899), .B1(n23898), .B2(n23863), .Y(n23865) ); sky130_fd_sc_hd__a22oi_1 U28676 ( .A1(n23891), .A2(n23868), .B1(n23883), .B2(n23867), .Y(n23869) ); sky130_fd_sc_hd__o21ai_1 U28677 ( .A1(n23870), .A2(n23899), .B1(n23869), .Y( \lsu/lsu_lsc_ctl/store_data_m_in [24]) ); sky130_fd_sc_hd__a2bb2oi_1 U28678 ( .B1(n23891), .B2(n23871), .A1_N(n23874), .A2_N(n23993), .Y(n23872) ); sky130_fd_sc_hd__o21ai_1 U28679 ( .A1(n23873), .A2(n23899), .B1(n23872), .Y( \lsu/lsu_lsc_ctl/store_data_m_in [25]) ); sky130_fd_sc_hd__o22a_1 U28680 ( .A1(n23875), .A2(n23899), .B1(n23995), .B2( n23874), .X(n23876) ); sky130_fd_sc_hd__o21ai_1 U28681 ( .A1(n23877), .A2(n23902), .B1(n23876), .Y( \lsu/lsu_lsc_ctl/store_data_m_in [26]) ); sky130_fd_sc_hd__o2bb2a_1 U28682 ( .A1_N(n23892), .A2_N(n23879), .B1(n23878), .B2(n23899), .X(n23880) ); sky130_fd_sc_hd__o21ai_1 U28683 ( .A1(n23881), .A2(n23902), .B1(n23880), .Y( \lsu/lsu_lsc_ctl/store_data_m_in [27]) ); sky130_fd_sc_hd__a2bb2oi_1 U28684 ( .B1(n23884), .B2(n23883), .A1_N(n23882), .A2_N(n23899), .Y(n23885) ); sky130_fd_sc_hd__o21ai_1 U28685 ( .A1(n23886), .A2(n23902), .B1(n23885), .Y( \lsu/lsu_lsc_ctl/store_data_m_in [28]) ); sky130_fd_sc_hd__o22ai_1 U28686 ( .A1(n23888), .A2(n23899), .B1(n23898), .B2(n23887), .Y(n23889) ); sky130_fd_sc_hd__a21oi_1 U28687 ( .A1(n23893), .A2(n23892), .B1(n23891), .Y( n23895) ); sky130_fd_sc_hd__o22ai_1 U28688 ( .A1(n23896), .A2(n23895), .B1(n23894), .B2(n23899), .Y(\lsu/lsu_lsc_ctl/store_data_m_in [30]) ); sky130_fd_sc_hd__o21ai_1 U28689 ( .A1(n23903), .A2(n23902), .B1(n23901), .Y( \lsu/lsu_lsc_ctl/store_data_m_in [31]) ); sky130_fd_sc_hd__nand2b_1 U28690 ( .A_N( \lsu/lsu_lsc_ctl/fir_nondccm_access_error_m ), .B(n23904), .Y( \lsu/lsu_lsc_ctl/L2U_Plus1_0.lsu_fir_error_m [1]) ); sky130_fd_sc_hd__nand2b_1 U28691 ( .A_N(\lsu/lsu_lsc_ctl/exc_mscause_m [0]), .B(n23905), .Y(\lsu/lsu_lsc_ctl/lsu_error_pkt_m[mscause][0] ) ); sky130_fd_sc_hd__o22ai_1 U28692 ( .A1(\exu/i0_predict_p_d[pc4] ), .A2(n23907), .B1(n24119), .B2(n23906), .Y(\dec/decode/i0_inst_d [2]) ); sky130_fd_sc_hd__o22ai_1 U28693 ( .A1(\exu/i0_predict_p_d[pc4] ), .A2(n23909), .B1(n24119), .B2(n23908), .Y(\dec/decode/i0_inst_d [3]) ); sky130_fd_sc_hd__a21o_1 U28694 ( .A1(\exu/i0_predict_p_d[pc4] ), .A2(n23911), .B1(n23910), .X(\dec/decode/i0_inst_d [4]) ); sky130_fd_sc_hd__o21ai_1 U28695 ( .A1(n23913), .A2(n24119), .B1(n23912), .Y( \dec/decode/i0_inst_d [5]) ); sky130_fd_sc_hd__o22ai_1 U28696 ( .A1(\exu/i0_predict_p_d[pc4] ), .A2(n23915), .B1(n24119), .B2(n23914), .Y(\dec/decode/i0_inst_d [6]) ); sky130_fd_sc_hd__o22ai_1 U28697 ( .A1(\exu/i0_predict_p_d[pc4] ), .A2(n23917), .B1(n24119), .B2(n23916), .Y(\dec/decode/i0_inst_d [7]) ); sky130_fd_sc_hd__o22ai_1 U28698 ( .A1(\exu/i0_predict_p_d[pc4] ), .A2(n23919), .B1(n24119), .B2(n23918), .Y(\dec/decode/i0_inst_d [8]) ); sky130_fd_sc_hd__o22ai_1 U28699 ( .A1(\exu/i0_predict_p_d[pc4] ), .A2(n23921), .B1(n24119), .B2(n23920), .Y(\dec/decode/i0_inst_d [9]) ); sky130_fd_sc_hd__o22ai_1 U28700 ( .A1(\exu/i0_predict_p_d[pc4] ), .A2(n23923), .B1(n24119), .B2(n23922), .Y(\dec/decode/i0_inst_d [10]) ); sky130_fd_sc_hd__o22ai_1 U28701 ( .A1(\exu/i0_predict_p_d[pc4] ), .A2(n23925), .B1(n24119), .B2(n23924), .Y(\dec/decode/i0_inst_d [11]) ); sky130_fd_sc_hd__o22ai_1 U28702 ( .A1(\exu/i0_predict_p_d[pc4] ), .A2(n23927), .B1(n24119), .B2(n23926), .Y(\dec/decode/i0_inst_d [12]) ); sky130_fd_sc_hd__o22ai_1 U28703 ( .A1(\exu/i0_predict_p_d[pc4] ), .A2(n23929), .B1(n24119), .B2(n23928), .Y(\dec/decode/i0_inst_d [13]) ); sky130_fd_sc_hd__o22ai_1 U28704 ( .A1(n23932), .A2(n23931), .B1(n23930), .B2(n24119), .Y(\dec/decode/i0_inst_d [14]) ); sky130_fd_sc_hd__o22ai_1 U28705 ( .A1(\exu/i0_predict_p_d[pc4] ), .A2(n23934), .B1(n24119), .B2(n23933), .Y(\dec/decode/i0_inst_d [15]) ); sky130_fd_sc_hd__nor2_1 U28706 ( .A(n23935), .B(n24119), .Y( \dec/decode/i0_inst_d [16]) ); sky130_fd_sc_hd__nor2_1 U28707 ( .A(n23936), .B(n24119), .Y( \dec/decode/i0_inst_d [17]) ); sky130_fd_sc_hd__nor2_1 U28708 ( .A(n23937), .B(n24119), .Y( \dec/decode/i0_inst_d [18]) ); sky130_fd_sc_hd__nor2_1 U28709 ( .A(n23938), .B(n24119), .Y( \dec/decode/i0_inst_d [19]) ); sky130_fd_sc_hd__nor2_1 U28710 ( .A(n23939), .B(n24119), .Y( \dec/decode/i0_inst_d [20]) ); sky130_fd_sc_hd__nor2_1 U28711 ( .A(n23940), .B(n24119), .Y( \dec/decode/i0_inst_d [21]) ); sky130_fd_sc_hd__nor2_1 U28712 ( .A(n24054), .B(n24119), .Y( \dec/decode/i0_inst_d [22]) ); sky130_fd_sc_hd__nor2_1 U28713 ( .A(n23941), .B(n24119), .Y( \dec/decode/i0_inst_d [23]) ); sky130_fd_sc_hd__nor2_1 U28714 ( .A(n23942), .B(n24119), .Y( \dec/decode/i0_inst_d [24]) ); sky130_fd_sc_hd__nor2_1 U28715 ( .A(n24017), .B(n24119), .Y( \dec/decode/i0_inst_d [25]) ); sky130_fd_sc_hd__nor2_1 U28716 ( .A(n24018), .B(n24119), .Y( \dec/decode/i0_inst_d [26]) ); sky130_fd_sc_hd__nor2_1 U28717 ( .A(n24019), .B(n24119), .Y( \dec/decode/i0_inst_d [27]) ); sky130_fd_sc_hd__nor2_1 U28718 ( .A(n24043), .B(n24119), .Y( \dec/decode/i0_inst_d [28]) ); sky130_fd_sc_hd__nor2_1 U28719 ( .A(n24020), .B(n24119), .Y( \dec/decode/i0_inst_d [29]) ); sky130_fd_sc_hd__nor2_1 U28720 ( .A(n24021), .B(n24119), .Y( \dec/decode/i0_inst_d [30]) ); sky130_fd_sc_hd__nor2_1 U28721 ( .A(n24024), .B(n24119), .Y( \dec/decode/i0_inst_d [31]) ); sky130_fd_sc_hd__a22o_1 U28722 ( .A1(n24005), .A2(n23944), .B1(n24003), .B2( n23943), .X(\dec/decode/i0_result_x [0]) ); sky130_fd_sc_hd__o22ai_1 U28723 ( .A1(n24003), .A2(n23946), .B1(n24005), .B2(n23945), .Y(\dec/decode/i0_result_x [1]) ); sky130_fd_sc_hd__o22ai_1 U28724 ( .A1(n24003), .A2(n23948), .B1(n24005), .B2(n23947), .Y(\dec/decode/i0_result_x [2]) ); sky130_fd_sc_hd__o22ai_1 U28725 ( .A1(n24003), .A2(n23950), .B1(n24005), .B2(n23949), .Y(\dec/decode/i0_result_x [3]) ); sky130_fd_sc_hd__o22ai_1 U28726 ( .A1(n24003), .A2(n23952), .B1(n24005), .B2(n23951), .Y(\dec/decode/i0_result_x [4]) ); sky130_fd_sc_hd__o22ai_1 U28727 ( .A1(n24003), .A2(n23954), .B1(n24005), .B2(n23953), .Y(\dec/decode/i0_result_x [5]) ); sky130_fd_sc_hd__o22ai_1 U28728 ( .A1(n24003), .A2(n23956), .B1(n24005), .B2(n23955), .Y(\dec/decode/i0_result_x [6]) ); sky130_fd_sc_hd__o22ai_1 U28729 ( .A1(n24003), .A2(n23958), .B1(n24005), .B2(n23957), .Y(\dec/decode/i0_result_x [7]) ); sky130_fd_sc_hd__a22o_1 U28730 ( .A1(n24005), .A2(n23960), .B1(n24003), .B2( n23959), .X(\dec/decode/i0_result_x [8]) ); sky130_fd_sc_hd__a22o_1 U28731 ( .A1(n24003), .A2(n23962), .B1(n24005), .B2( n23961), .X(\dec/decode/i0_result_x [9]) ); sky130_fd_sc_hd__o22ai_1 U28732 ( .A1(n24003), .A2(n23964), .B1(n24005), .B2(n23963), .Y(\dec/decode/i0_result_x [10]) ); sky130_fd_sc_hd__o22ai_1 U28733 ( .A1(n24003), .A2(n23966), .B1(n24005), .B2(n23965), .Y(\dec/decode/i0_result_x [11]) ); sky130_fd_sc_hd__a22o_1 U28734 ( .A1(n24003), .A2(n23968), .B1(n24005), .B2( n23967), .X(\dec/decode/i0_result_x [12]) ); sky130_fd_sc_hd__a22o_1 U28735 ( .A1(n24005), .A2(n23970), .B1(n24003), .B2( n23969), .X(\dec/decode/i0_result_x [13]) ); sky130_fd_sc_hd__o22ai_1 U28736 ( .A1(n24003), .A2(n23972), .B1(n24005), .B2(n23971), .Y(\dec/decode/i0_result_x [14]) ); sky130_fd_sc_hd__o22ai_1 U28737 ( .A1(n24003), .A2(n23974), .B1(n24005), .B2(n23973), .Y(\dec/decode/i0_result_x [15]) ); sky130_fd_sc_hd__a22o_1 U28738 ( .A1(n24003), .A2(n23976), .B1(n24005), .B2( n23975), .X(\dec/decode/i0_result_x [16]) ); sky130_fd_sc_hd__o22ai_1 U28739 ( .A1(n24003), .A2(n23978), .B1(n24005), .B2(n23977), .Y(\dec/decode/i0_result_x [17]) ); sky130_fd_sc_hd__o22ai_1 U28740 ( .A1(n24003), .A2(n23980), .B1(n24005), .B2(n23979), .Y(\dec/decode/i0_result_x [18]) ); sky130_fd_sc_hd__o22ai_1 U28741 ( .A1(n24003), .A2(n23982), .B1(n24005), .B2(n23981), .Y(\dec/decode/i0_result_x [19]) ); sky130_fd_sc_hd__o22ai_1 U28742 ( .A1(n24003), .A2(n23984), .B1(n24005), .B2(n23983), .Y(\dec/decode/i0_result_x [20]) ); sky130_fd_sc_hd__o22ai_1 U28743 ( .A1(n24003), .A2(n23986), .B1(n24005), .B2(n23985), .Y(\dec/decode/i0_result_x [21]) ); sky130_fd_sc_hd__o22ai_1 U28744 ( .A1(n24003), .A2(n23988), .B1(n24005), .B2(n23987), .Y(\dec/decode/i0_result_x [22]) ); sky130_fd_sc_hd__o22ai_1 U28745 ( .A1(n24003), .A2(n23990), .B1(n24005), .B2(n23989), .Y(\dec/decode/i0_result_x [23]) ); sky130_fd_sc_hd__o22ai_1 U28746 ( .A1(n24003), .A2(n23992), .B1(n24005), .B2(n23991), .Y(\dec/decode/i0_result_x [24]) ); sky130_fd_sc_hd__o22ai_1 U28747 ( .A1(n24003), .A2(n23994), .B1(n24005), .B2(n23993), .Y(\dec/decode/i0_result_x [25]) ); sky130_fd_sc_hd__o22ai_1 U28748 ( .A1(n24003), .A2(n23996), .B1(n24005), .B2(n23995), .Y(\dec/decode/i0_result_x [26]) ); sky130_fd_sc_hd__o22ai_1 U28749 ( .A1(n24003), .A2(n23998), .B1(n24005), .B2(n23997), .Y(\dec/decode/i0_result_x [27]) ); sky130_fd_sc_hd__o22ai_1 U28750 ( .A1(n24003), .A2(n24000), .B1(n24005), .B2(n23999), .Y(\dec/decode/i0_result_x [28]) ); sky130_fd_sc_hd__o22ai_1 U28751 ( .A1(n24003), .A2(n24002), .B1(n24005), .B2(n24001), .Y(\dec/decode/i0_result_x [30]) ); sky130_fd_sc_hd__a22o_1 U28752 ( .A1(n24003), .A2(n24006), .B1(n24005), .B2( n24004), .X(\dec/decode/i0_result_x [31]) ); sky130_fd_sc_hd__nor2b_1 U28753 ( .B_N(\dec/decode/lsu_pmu_misaligned_r ), .A(n24012), .Y(\dec/dec_tlu_packet_r[pmu_lsu_misaligned] ) ); sky130_fd_sc_hd__nor2b_1 U28754 ( .B_N(\dec/decode/r_t[pmu_i0_br_unpred] ), .A(n24012), .Y(\dec/dec_tlu_packet_r[pmu_i0_br_unpred] ) ); sky130_fd_sc_hd__nor2b_1 U28755 ( .B_N(\dec/decode/r_t[pmu_i0_itype][1] ), .A(n24012), .Y(\dec/dec_tlu_packet_r[pmu_i0_itype][1] ) ); sky130_fd_sc_hd__nor2b_1 U28756 ( .B_N(\dec/decode/r_t[pmu_i0_itype][2] ), .A(n24012), .Y(\dec/dec_tlu_packet_r[pmu_i0_itype][2] ) ); sky130_fd_sc_hd__nor2b_1 U28757 ( .B_N(\dec/decode/r_t[pmu_i0_itype][3] ), .A(n24012), .Y(\dec/dec_tlu_packet_r[pmu_i0_itype][3] ) ); sky130_fd_sc_hd__a21oi_1 U28758 ( .A1(\dec/decode/lsu_trigger_match_r [0]), .A2(n24010), .B1(\dec/decode/r_t[i0trigger][0] ), .Y(n24007) ); sky130_fd_sc_hd__nor2_1 U28759 ( .A(n24012), .B(n24007), .Y( \dec/dec_tlu_packet_r[i0trigger][0] ) ); sky130_fd_sc_hd__a21oi_1 U28760 ( .A1(\dec/decode/lsu_trigger_match_r [1]), .A2(n24010), .B1(\dec/decode/r_t[i0trigger][1] ), .Y(n24008) ); sky130_fd_sc_hd__nor2_1 U28761 ( .A(n24012), .B(n24008), .Y( \dec/dec_tlu_packet_r[i0trigger][1] ) ); sky130_fd_sc_hd__a21oi_1 U28762 ( .A1(\dec/decode/lsu_trigger_match_r [2]), .A2(n24010), .B1(\dec/decode/r_t[i0trigger][2] ), .Y(n24009) ); sky130_fd_sc_hd__nor2_1 U28763 ( .A(n24012), .B(n24009), .Y( \dec/dec_tlu_packet_r[i0trigger][2] ) ); sky130_fd_sc_hd__a21oi_1 U28764 ( .A1(\dec/decode/lsu_trigger_match_r [3]), .A2(n24010), .B1(\dec/decode/r_t[i0trigger][3] ), .Y(n24011) ); sky130_fd_sc_hd__nor2_1 U28765 ( .A(n24012), .B(n24011), .Y( \dec/dec_tlu_packet_r[i0trigger][3] ) ); sky130_fd_sc_hd__nor2b_1 U28766 ( .B_N(\dec/decode/r_t[fence_i] ), .A(n24012), .Y(\dec/dec_tlu_packet_r[fence_i] ) ); sky130_fd_sc_hd__nor2b_1 U28767 ( .B_N(\dec/decode/r_t[icaf_type][0] ), .A( n24012), .Y(\dec/dec_tlu_packet_r[icaf_type][0] ) ); sky130_fd_sc_hd__nor2b_1 U28768 ( .B_N(\dec/decode/r_t[icaf_type][1] ), .A( n24012), .Y(\dec/dec_tlu_packet_r[icaf_type][1] ) ); sky130_fd_sc_hd__nor2b_1 U28769 ( .B_N(\dec/decode/r_t[icaf] ), .A(n24012), .Y(\dec/dec_tlu_packet_r[icaf] ) ); sky130_fd_sc_hd__o22ai_1 U28770 ( .A1(n24023), .A2(n24014), .B1(n24013), .B2(n24119), .Y(\dec/decode/last_br_immed_d [2]) ); sky130_fd_sc_hd__nor2_1 U28771 ( .A(n24023), .B(n24015), .Y( \dec/decode/last_br_immed_d [3]) ); sky130_fd_sc_hd__nor2_1 U28772 ( .A(n24023), .B(n24016), .Y( \dec/decode/last_br_immed_d [4]) ); sky130_fd_sc_hd__nor2_1 U28773 ( .A(n24017), .B(n24023), .Y( \dec/decode/last_br_immed_d [5]) ); sky130_fd_sc_hd__nor2_1 U28774 ( .A(n24018), .B(n24023), .Y( \dec/decode/last_br_immed_d [6]) ); sky130_fd_sc_hd__nor2_1 U28775 ( .A(n24019), .B(n24023), .Y( \dec/decode/last_br_immed_d [7]) ); sky130_fd_sc_hd__nor2_1 U28776 ( .A(n24043), .B(n24023), .Y( \dec/decode/last_br_immed_d [8]) ); sky130_fd_sc_hd__nor2_1 U28777 ( .A(n24020), .B(n24023), .Y( \dec/decode/last_br_immed_d [9]) ); sky130_fd_sc_hd__nor2_1 U28778 ( .A(n24021), .B(n24023), .Y( \dec/decode/last_br_immed_d [10]) ); sky130_fd_sc_hd__nor2_1 U28779 ( .A(n24023), .B(n24022), .Y( \dec/decode/last_br_immed_d [11]) ); sky130_fd_sc_hd__nor2_1 U28780 ( .A(n24024), .B(n24023), .Y( \dec/decode/last_br_immed_d [12]) ); sky130_fd_sc_hd__nor2_1 U28781 ( .A(n10879), .B(n24051), .Y(n24031) ); sky130_fd_sc_hd__nor4_1 U28782 ( .A(n24026), .B(\dec/dec_i0_rs2_d [1]), .C( n25081), .D(n24025), .Y(n24027) ); sky130_fd_sc_hd__nor3_1 U28783 ( .A(n24037), .B(n24028), .C(n24027), .Y( n24030) ); sky130_fd_sc_hd__a31oi_1 U28784 ( .A1(n24031), .A2(n24030), .A3(n24029), .B1(n24055), .Y(\dec/decode/i0_itype [0]) ); sky130_fd_sc_hd__nor2_1 U28785 ( .A(n24033), .B(n24032), .Y(n24034) ); sky130_fd_sc_hd__nor2_1 U28786 ( .A(n24035), .B(n24034), .Y(n4498) ); sky130_fd_sc_hd__nor2_1 U28787 ( .A(n4498), .B(n24055), .Y( \dec/decode/d_d[csrwen] ) ); sky130_fd_sc_hd__nor2_1 U28788 ( .A(n24036), .B(n24055), .Y( \dec/decode/d_d[i0store] ) ); sky130_fd_sc_hd__nor3_1 U28789 ( .A(n24050), .B(n24037), .C(n24049), .Y( n24039) ); sky130_fd_sc_hd__a31oi_1 U28790 ( .A1(n4498), .A2(n24039), .A3(n24038), .B1( n24055), .Y(\dec/decode/i0_itype [1]) ); sky130_fd_sc_hd__nor3_1 U28791 ( .A(n10879), .B(n24049), .C(n24051), .Y( n24048) ); sky130_fd_sc_hd__o22ai_1 U28792 ( .A1(n24043), .A2(n24042), .B1(n24041), .B2(n24040), .Y(n24045) ); sky130_fd_sc_hd__o21ai_1 U28793 ( .A1(n24046), .A2(n24045), .B1(n24044), .Y( n24047) ); sky130_fd_sc_hd__a31oi_1 U28794 ( .A1(n4498), .A2(n24048), .A3(n24047), .B1( n24055), .Y(\dec/decode/i0_itype [2]) ); sky130_fd_sc_hd__nor2_1 U28795 ( .A(n24050), .B(n24049), .Y(n24057) ); sky130_fd_sc_hd__a31oi_1 U28796 ( .A1(n24054), .A2(n24053), .A3(n24052), .B1(n24051), .Y(n24056) ); sky130_fd_sc_hd__a21oi_1 U28797 ( .A1(n24057), .A2(n24056), .B1(n24055), .Y( \dec/decode/i0_itype [3]) ); sky130_fd_sc_hd__o22ai_1 U28798 ( .A1(n24058), .A2(n24065), .B1(n24091), .B2(n24064), .Y(\dec/decode/cam_in[3][rd][0] ) ); sky130_fd_sc_hd__o22ai_1 U28799 ( .A1(n24093), .A2(n24064), .B1(n24059), .B2(n24065), .Y(\dec/decode/cam_in[3][rd][1] ) ); sky130_fd_sc_hd__o22ai_1 U28800 ( .A1(n24060), .A2(n24065), .B1(n24095), .B2(n24064), .Y(\dec/decode/cam_in[3][rd][2] ) ); sky130_fd_sc_hd__o22ai_1 U28801 ( .A1(n24097), .A2(n24064), .B1(n24061), .B2(n24065), .Y(\dec/decode/cam_in[3][rd][3] ) ); sky130_fd_sc_hd__o22ai_1 U28802 ( .A1(n24062), .A2(n24065), .B1(n24099), .B2(n24064), .Y(\dec/decode/cam_in[3][rd][4] ) ); sky130_fd_sc_hd__o22ai_1 U28803 ( .A1(n24063), .A2(n24065), .B1(n24064), .B2(n24101), .Y(\dec/decode/cam_in[3][tag][0] ) ); sky130_fd_sc_hd__clkinv_1 U28804 ( .A(lsu_nonblock_load_tag_m[1]), .Y(n24103) ); sky130_fd_sc_hd__o22ai_1 U28805 ( .A1(n24066), .A2(n24065), .B1(n24064), .B2(n24103), .Y(\dec/decode/cam_in[3][tag][1] ) ); sky130_fd_sc_hd__clkinv_1 U28806 ( .A(\dec/decode/cam[2][wb] ), .Y(n24070) ); sky130_fd_sc_hd__nand2b_1 U28807 ( .A_N(n24068), .B(n24067), .Y(n24079) ); sky130_fd_sc_hd__nand2b_1 U28808 ( .A_N(n24069), .B(n24079), .Y(n24080) ); sky130_fd_sc_hd__o22ai_1 U28809 ( .A1(n24072), .A2(n24071), .B1(n24070), .B2(n24080), .Y(\dec/decode/cam_in[2][wb] ) ); sky130_fd_sc_hd__o22ai_1 U28810 ( .A1(n24073), .A2(n24080), .B1(n24091), .B2(n24079), .Y(\dec/decode/cam_in[2][rd][0] ) ); sky130_fd_sc_hd__o22ai_1 U28811 ( .A1(n24093), .A2(n24079), .B1(n24074), .B2(n24080), .Y(\dec/decode/cam_in[2][rd][1] ) ); sky130_fd_sc_hd__o22ai_1 U28812 ( .A1(n24075), .A2(n24080), .B1(n24095), .B2(n24079), .Y(\dec/decode/cam_in[2][rd][2] ) ); sky130_fd_sc_hd__o22ai_1 U28813 ( .A1(n24097), .A2(n24079), .B1(n24076), .B2(n24080), .Y(\dec/decode/cam_in[2][rd][3] ) ); sky130_fd_sc_hd__o22ai_1 U28814 ( .A1(n24077), .A2(n24080), .B1(n24099), .B2(n24079), .Y(\dec/decode/cam_in[2][rd][4] ) ); sky130_fd_sc_hd__o22ai_1 U28815 ( .A1(n24078), .A2(n24080), .B1(n24079), .B2(n24101), .Y(\dec/decode/cam_in[2][tag][0] ) ); sky130_fd_sc_hd__clkinv_1 U28816 ( .A(\dec/decode/cam[2][tag][1] ), .Y( n24081) ); sky130_fd_sc_hd__o22ai_1 U28817 ( .A1(n24081), .A2(n24080), .B1(n24079), .B2(n24103), .Y(\dec/decode/cam_in[2][tag][1] ) ); sky130_fd_sc_hd__o22ai_1 U28818 ( .A1(n24082), .A2(n24089), .B1(n24091), .B2(n24088), .Y(\dec/decode/cam_in[1][rd][0] ) ); sky130_fd_sc_hd__o22ai_1 U28819 ( .A1(n24093), .A2(n24088), .B1(n24083), .B2(n24089), .Y(\dec/decode/cam_in[1][rd][1] ) ); sky130_fd_sc_hd__o22ai_1 U28820 ( .A1(n24084), .A2(n24089), .B1(n24095), .B2(n24088), .Y(\dec/decode/cam_in[1][rd][2] ) ); sky130_fd_sc_hd__o22ai_1 U28821 ( .A1(n24097), .A2(n24088), .B1(n24085), .B2(n24089), .Y(\dec/decode/cam_in[1][rd][3] ) ); sky130_fd_sc_hd__o22ai_1 U28822 ( .A1(n24086), .A2(n24089), .B1(n24099), .B2(n24088), .Y(\dec/decode/cam_in[1][rd][4] ) ); sky130_fd_sc_hd__o22ai_1 U28823 ( .A1(n24087), .A2(n24089), .B1(n24088), .B2(n24101), .Y(\dec/decode/cam_in[1][tag][0] ) ); sky130_fd_sc_hd__o22ai_1 U28824 ( .A1(n24090), .A2(n24089), .B1(n24088), .B2(n24103), .Y(\dec/decode/cam_in[1][tag][1] ) ); sky130_fd_sc_hd__o22ai_1 U28825 ( .A1(n24092), .A2(n24105), .B1(n24091), .B2(n24104), .Y(\dec/decode/cam_in[0][rd][0] ) ); sky130_fd_sc_hd__o22ai_1 U28826 ( .A1(n24094), .A2(n24105), .B1(n24093), .B2(n24104), .Y(\dec/decode/cam_in[0][rd][1] ) ); sky130_fd_sc_hd__o22ai_1 U28827 ( .A1(n24096), .A2(n24105), .B1(n24095), .B2(n24104), .Y(\dec/decode/cam_in[0][rd][2] ) ); sky130_fd_sc_hd__o22ai_1 U28828 ( .A1(n24098), .A2(n24105), .B1(n24097), .B2(n24104), .Y(\dec/decode/cam_in[0][rd][3] ) ); sky130_fd_sc_hd__o22ai_1 U28829 ( .A1(n24100), .A2(n24105), .B1(n24099), .B2(n24104), .Y(\dec/decode/cam_in[0][rd][4] ) ); sky130_fd_sc_hd__o22ai_1 U28830 ( .A1(n24102), .A2(n24105), .B1(n24104), .B2(n24101), .Y(\dec/decode/cam_in[0][tag][0] ) ); sky130_fd_sc_hd__o22ai_1 U28831 ( .A1(n24106), .A2(n24105), .B1(n24104), .B2(n24103), .Y(\dec/decode/cam_in[0][tag][1] ) ); sky130_fd_sc_hd__nor4_1 U28832 ( .A(n24110), .B(n24109), .C(n24108), .D( n24107), .Y(\mul_p[low] ) ); sky130_fd_sc_hd__o22ai_1 U28833 ( .A1(\ifu/aln/f0val [1]), .A2(n24112), .B1( n24258), .B2(n24111), .Y(n24172) ); sky130_fd_sc_hd__o22ai_1 U28834 ( .A1(\ifu/aln/f0val [1]), .A2(n24114), .B1( n24258), .B2(n24113), .Y(n24232) ); sky130_fd_sc_hd__o22ai_1 U28835 ( .A1(\ifu/aln/f0val [1]), .A2(n24116), .B1( n24258), .B2(n24115), .Y(n24193) ); sky130_fd_sc_hd__xor2_1 U28836 ( .A(n24232), .B(n24193), .X(n24117) ); sky130_fd_sc_hd__xnor2_1 U28837 ( .A(n24172), .B(n24117), .Y(n24123) ); sky130_fd_sc_hd__nor2_1 U28838 ( .A(n24119), .B(n24118), .Y(n24294) ); sky130_fd_sc_hd__clkinv_1 U28839 ( .A(n24294), .Y(n24290) ); sky130_fd_sc_hd__o22ai_1 U28840 ( .A1(dec_i0_pc_d[15]), .A2(n24174), .B1( n24233), .B2(dec_i0_pc_d[10]), .Y(n24121) ); sky130_fd_sc_hd__a21oi_1 U28841 ( .A1(dec_i0_pc_d[20]), .A2(n24121), .B1( n24294), .Y(n24120) ); sky130_fd_sc_hd__o21ai_1 U28842 ( .A1(dec_i0_pc_d[20]), .A2(n24121), .B1( n24120), .Y(n24122) ); sky130_fd_sc_hd__o21ai_1 U28843 ( .A1(n24123), .A2(n24290), .B1(n24122), .Y( i0_predict_btag_d[0]) ); sky130_fd_sc_hd__o22ai_1 U28844 ( .A1(\ifu/aln/f0val [1]), .A2(n24125), .B1( n24258), .B2(n24124), .Y(n24181) ); sky130_fd_sc_hd__o22ai_1 U28845 ( .A1(\ifu/aln/f0val [1]), .A2(n24127), .B1( n24258), .B2(n24126), .Y(n24244) ); sky130_fd_sc_hd__o22ai_1 U28846 ( .A1(\ifu/aln/f0val [1]), .A2(n24129), .B1( n24258), .B2(n24128), .Y(n24205) ); sky130_fd_sc_hd__xor2_1 U28847 ( .A(n24244), .B(n24205), .X(n24130) ); sky130_fd_sc_hd__xnor2_1 U28848 ( .A(n24181), .B(n24130), .Y(n24135) ); sky130_fd_sc_hd__o22ai_1 U28849 ( .A1(dec_i0_pc_d[11]), .A2(n24245), .B1( n24131), .B2(dec_i0_pc_d[16]), .Y(n24133) ); sky130_fd_sc_hd__a21oi_1 U28850 ( .A1(dec_i0_pc_d[21]), .A2(n24133), .B1( n24294), .Y(n24132) ); sky130_fd_sc_hd__o21ai_1 U28851 ( .A1(dec_i0_pc_d[21]), .A2(n24133), .B1( n24132), .Y(n24134) ); sky130_fd_sc_hd__o21ai_1 U28852 ( .A1(n24135), .A2(n24290), .B1(n24134), .Y( i0_predict_btag_d[1]) ); sky130_fd_sc_hd__o22ai_1 U28853 ( .A1(\ifu/aln/f0val [1]), .A2(n24137), .B1( n24258), .B2(n24136), .Y(n24194) ); sky130_fd_sc_hd__o22ai_1 U28854 ( .A1(\ifu/aln/f0val [1]), .A2(n24139), .B1( n24258), .B2(n24138), .Y(n24263) ); sky130_fd_sc_hd__o22ai_1 U28855 ( .A1(\ifu/aln/f0val [1]), .A2(n24141), .B1( n24258), .B2(n24140), .Y(n24217) ); sky130_fd_sc_hd__xor2_1 U28856 ( .A(n24263), .B(n24217), .X(n24142) ); sky130_fd_sc_hd__xnor2_1 U28857 ( .A(n24194), .B(n24142), .Y(n24146) ); sky130_fd_sc_hd__o22ai_1 U28858 ( .A1(dec_i0_pc_d[22]), .A2(n24252), .B1( n24221), .B2(dec_i0_pc_d[17]), .Y(n24144) ); sky130_fd_sc_hd__a21oi_1 U28859 ( .A1(dec_i0_pc_d[12]), .A2(n24144), .B1( n24294), .Y(n24143) ); sky130_fd_sc_hd__o21ai_1 U28860 ( .A1(dec_i0_pc_d[12]), .A2(n24144), .B1( n24143), .Y(n24145) ); sky130_fd_sc_hd__o21ai_1 U28861 ( .A1(n24146), .A2(n24290), .B1(n24145), .Y( i0_predict_btag_d[2]) ); sky130_fd_sc_hd__o22ai_1 U28862 ( .A1(\ifu/aln/f0val [1]), .A2(n24148), .B1( n24258), .B2(n24147), .Y(n24169) ); sky130_fd_sc_hd__o22ai_1 U28863 ( .A1(\ifu/aln/f0val [1]), .A2(n24150), .B1( n24258), .B2(n24149), .Y(n24230) ); sky130_fd_sc_hd__o22ai_1 U28864 ( .A1(\ifu/aln/f0val [1]), .A2(n24152), .B1( n24258), .B2(n24151), .Y(n24206) ); sky130_fd_sc_hd__xor2_1 U28865 ( .A(n24230), .B(n24206), .X(n24153) ); sky130_fd_sc_hd__xnor2_1 U28866 ( .A(n24169), .B(n24153), .Y(n24156) ); sky130_fd_sc_hd__o22ai_1 U28867 ( .A1(dec_i0_pc_d[18]), .A2(n24234), .B1( n24173), .B2(dec_i0_pc_d[23]), .Y(n24154) ); sky130_fd_sc_hd__xor2_1 U28868 ( .A(n24209), .B(n24154), .X(n24155) ); sky130_fd_sc_hd__o22ai_1 U28869 ( .A1(n24290), .A2(n24156), .B1(n24294), .B2(n24155), .Y(i0_predict_btag_d[3]) ); sky130_fd_sc_hd__o22ai_1 U28870 ( .A1(\ifu/aln/f0val [1]), .A2(n24158), .B1( n24258), .B2(n24157), .Y(n24182) ); sky130_fd_sc_hd__o22ai_1 U28871 ( .A1(\ifu/aln/f0val [1]), .A2(n24160), .B1( n24258), .B2(n24159), .Y(n24242) ); sky130_fd_sc_hd__o22ai_1 U28872 ( .A1(\ifu/aln/f0val [1]), .A2(n24162), .B1( n24258), .B2(n24161), .Y(n24218) ); sky130_fd_sc_hd__xor2_1 U28873 ( .A(n24242), .B(n24218), .X(n24163) ); sky130_fd_sc_hd__xnor2_1 U28874 ( .A(n24182), .B(n24163), .Y(n24166) ); sky130_fd_sc_hd__o22ai_1 U28875 ( .A1(dec_i0_pc_d[24]), .A2(n24222), .B1( n24246), .B2(dec_i0_pc_d[14]), .Y(n24164) ); sky130_fd_sc_hd__xor2_1 U28876 ( .A(n24185), .B(n24164), .X(n24165) ); sky130_fd_sc_hd__o22ai_1 U28877 ( .A1(n24290), .A2(n24166), .B1(n24294), .B2(n24165), .Y(i0_predict_btag_d[4]) ); sky130_fd_sc_hd__o22ai_1 U28878 ( .A1(\ifu/aln/f0val [1]), .A2(n24168), .B1( n24258), .B2(n24167), .Y(n24170) ); sky130_fd_sc_hd__xor2_1 U28879 ( .A(n24170), .B(n24169), .X(n24171) ); sky130_fd_sc_hd__xnor2_1 U28880 ( .A(n24172), .B(n24171), .Y(n24178) ); sky130_fd_sc_hd__o22ai_1 U28881 ( .A1(dec_i0_pc_d[18]), .A2(n24174), .B1( n24173), .B2(dec_i0_pc_d[10]), .Y(n24176) ); sky130_fd_sc_hd__a21oi_1 U28882 ( .A1(dec_i0_pc_d[2]), .A2(n24176), .B1( n24294), .Y(n24175) ); sky130_fd_sc_hd__o21ai_1 U28883 ( .A1(dec_i0_pc_d[2]), .A2(n24176), .B1( n24175), .Y(n24177) ); sky130_fd_sc_hd__o21ai_1 U28884 ( .A1(n24290), .A2(n24178), .B1(n24177), .Y( i0_predict_index_d[2]) ); sky130_fd_sc_hd__o22ai_1 U28885 ( .A1(\ifu/aln/f0val [1]), .A2(n24180), .B1( n24258), .B2(n24179), .Y(n24184) ); sky130_fd_sc_hd__xor2_1 U28886 ( .A(n24182), .B(n24181), .X(n24183) ); sky130_fd_sc_hd__xnor2_1 U28887 ( .A(n24184), .B(n24183), .Y(n24190) ); sky130_fd_sc_hd__o22ai_1 U28888 ( .A1(dec_i0_pc_d[19]), .A2(n24186), .B1( n24185), .B2(dec_i0_pc_d[3]), .Y(n24188) ); sky130_fd_sc_hd__a21oi_1 U28889 ( .A1(dec_i0_pc_d[11]), .A2(n24188), .B1( n24294), .Y(n24187) ); sky130_fd_sc_hd__o21ai_1 U28890 ( .A1(dec_i0_pc_d[11]), .A2(n24188), .B1( n24187), .Y(n24189) ); sky130_fd_sc_hd__o21ai_1 U28891 ( .A1(n24190), .A2(n24290), .B1(n24189), .Y( i0_predict_index_d[3]) ); sky130_fd_sc_hd__o22ai_1 U28892 ( .A1(\ifu/aln/f0val [1]), .A2(n24192), .B1( n24258), .B2(n24191), .Y(n24196) ); sky130_fd_sc_hd__xor2_1 U28893 ( .A(n24194), .B(n24193), .X(n24195) ); sky130_fd_sc_hd__xnor2_1 U28894 ( .A(n24196), .B(n24195), .Y(n24202) ); sky130_fd_sc_hd__o22ai_1 U28895 ( .A1(dec_i0_pc_d[20]), .A2(n24198), .B1( n24197), .B2(dec_i0_pc_d[12]), .Y(n24200) ); sky130_fd_sc_hd__a21oi_1 U28896 ( .A1(dec_i0_pc_d[4]), .A2(n24200), .B1( n24294), .Y(n24199) ); sky130_fd_sc_hd__o21ai_1 U28897 ( .A1(dec_i0_pc_d[4]), .A2(n24200), .B1( n24199), .Y(n24201) ); sky130_fd_sc_hd__o21ai_1 U28898 ( .A1(n24202), .A2(n24290), .B1(n24201), .Y( i0_predict_index_d[4]) ); sky130_fd_sc_hd__o22ai_1 U28899 ( .A1(\ifu/aln/f0val [1]), .A2(n24204), .B1( n24258), .B2(n24203), .Y(n24208) ); sky130_fd_sc_hd__xor2_1 U28900 ( .A(n24206), .B(n24205), .X(n24207) ); sky130_fd_sc_hd__xnor2_1 U28901 ( .A(n24208), .B(n24207), .Y(n24214) ); sky130_fd_sc_hd__o22ai_1 U28902 ( .A1(dec_i0_pc_d[13]), .A2(n24210), .B1( n24209), .B2(dec_i0_pc_d[5]), .Y(n24212) ); sky130_fd_sc_hd__a21oi_1 U28903 ( .A1(dec_i0_pc_d[21]), .A2(n24212), .B1( n24294), .Y(n24211) ); sky130_fd_sc_hd__o21ai_1 U28904 ( .A1(dec_i0_pc_d[21]), .A2(n24212), .B1( n24211), .Y(n24213) ); sky130_fd_sc_hd__o21ai_1 U28905 ( .A1(n24214), .A2(n24290), .B1(n24213), .Y( i0_predict_index_d[5]) ); sky130_fd_sc_hd__o22ai_1 U28906 ( .A1(\ifu/aln/f0val [1]), .A2(n24216), .B1( n24258), .B2(n24215), .Y(n24220) ); sky130_fd_sc_hd__xor2_1 U28907 ( .A(n24218), .B(n24217), .X(n24219) ); sky130_fd_sc_hd__xnor2_1 U28908 ( .A(n24220), .B(n24219), .Y(n24226) ); sky130_fd_sc_hd__o22ai_1 U28909 ( .A1(dec_i0_pc_d[22]), .A2(n24222), .B1( n24221), .B2(dec_i0_pc_d[14]), .Y(n24224) ); sky130_fd_sc_hd__a21oi_1 U28910 ( .A1(dec_i0_pc_d[6]), .A2(n24224), .B1( n24294), .Y(n24223) ); sky130_fd_sc_hd__o21ai_1 U28911 ( .A1(dec_i0_pc_d[6]), .A2(n24224), .B1( n24223), .Y(n24225) ); sky130_fd_sc_hd__o21ai_1 U28912 ( .A1(n24226), .A2(n24290), .B1(n24225), .Y( i0_predict_index_d[6]) ); sky130_fd_sc_hd__o22ai_1 U28913 ( .A1(\ifu/aln/f0val [1]), .A2(n24228), .B1( n24258), .B2(n24227), .Y(n24229) ); sky130_fd_sc_hd__xor2_1 U28914 ( .A(n24230), .B(n24229), .X(n24231) ); sky130_fd_sc_hd__xnor2_1 U28915 ( .A(n24232), .B(n24231), .Y(n24238) ); sky130_fd_sc_hd__o22ai_1 U28916 ( .A1(dec_i0_pc_d[15]), .A2(n24234), .B1( n24233), .B2(dec_i0_pc_d[23]), .Y(n24235) ); sky130_fd_sc_hd__xor2_1 U28917 ( .A(n24236), .B(n24235), .X(n24237) ); sky130_fd_sc_hd__o22ai_1 U28918 ( .A1(n24290), .A2(n24238), .B1(n24294), .B2(n24237), .Y(i0_predict_index_d[7]) ); sky130_fd_sc_hd__o22ai_1 U28919 ( .A1(\ifu/aln/f0val [1]), .A2(n24240), .B1( n24258), .B2(n24239), .Y(n24241) ); sky130_fd_sc_hd__xor2_1 U28920 ( .A(n24242), .B(n24241), .X(n24243) ); sky130_fd_sc_hd__xnor2_1 U28921 ( .A(n24244), .B(n24243), .Y(n24250) ); sky130_fd_sc_hd__o22ai_1 U28922 ( .A1(dec_i0_pc_d[16]), .A2(n24246), .B1( n24245), .B2(dec_i0_pc_d[24]), .Y(n24247) ); sky130_fd_sc_hd__xor2_1 U28923 ( .A(n24248), .B(n24247), .X(n24249) ); sky130_fd_sc_hd__o22ai_1 U28924 ( .A1(n24290), .A2(n24250), .B1(n24294), .B2(n24249), .Y(i0_predict_index_d[8]) ); sky130_fd_sc_hd__o22ai_1 U28925 ( .A1(dec_i0_pc_d[9]), .A2(n24252), .B1( n24251), .B2(dec_i0_pc_d[17]), .Y(n24253) ); sky130_fd_sc_hd__xor2_1 U28926 ( .A(n24254), .B(n24253), .X(n24265) ); sky130_fd_sc_hd__o22ai_1 U28927 ( .A1(\ifu/aln/f0val [1]), .A2(n24256), .B1( n24258), .B2(n24255), .Y(n24261) ); sky130_fd_sc_hd__o22ai_1 U28928 ( .A1(\ifu/aln/f0val [1]), .A2(n24259), .B1( n24258), .B2(n24257), .Y(n24260) ); sky130_fd_sc_hd__xor2_1 U28929 ( .A(n24261), .B(n24260), .X(n24262) ); sky130_fd_sc_hd__xnor2_1 U28930 ( .A(n24263), .B(n24262), .Y(n24264) ); sky130_fd_sc_hd__o22ai_1 U28931 ( .A1(n24294), .A2(n24265), .B1(n24290), .B2(n24264), .Y(i0_predict_index_d[9]) ); sky130_fd_sc_hd__nor2_1 U28932 ( .A(n24267), .B(n24266), .Y(n24274) ); sky130_fd_sc_hd__a222oi_1 U28933 ( .A1(n24270), .A2(\ifu/aln/brdata2 [8]), .B1(n24269), .B2(\ifu/aln/brdata1 [8]), .C1(n24268), .C2( \ifu/aln/brdata0 [8]), .Y(n24277) ); sky130_fd_sc_hd__a22oi_1 U28934 ( .A1(n24272), .A2(\ifu/aln/brdata2 [2]), .B1(n24271), .B2(\ifu/aln/brdata1 [2]), .Y(n24273) ); sky130_fd_sc_hd__o21ai_1 U28935 ( .A1(n24274), .A2(n24277), .B1(n24273), .Y( n24275) ); sky130_fd_sc_hd__a21oi_1 U28936 ( .A1(n24276), .A2(\ifu/aln/brdata0 [2]), .B1(n24275), .Y(n24292) ); sky130_fd_sc_hd__clkinv_1 U28937 ( .A(n24277), .Y(n24288) ); sky130_fd_sc_hd__a22oi_1 U28938 ( .A1(n24279), .A2(\ifu/aln/brdata0 [8]), .B1(n24278), .B2(\ifu/aln/brdata2 [8]), .Y(n24286) ); sky130_fd_sc_hd__a22oi_1 U28939 ( .A1(n24281), .A2(\ifu/aln/brdata1 [8]), .B1(n24280), .B2(\ifu/aln/brdata0 [2]), .Y(n24285) ); sky130_fd_sc_hd__a22oi_1 U28940 ( .A1(n24283), .A2(\ifu/aln/brdata2 [2]), .B1(n24282), .B2(\ifu/aln/brdata1 [2]), .Y(n24284) ); sky130_fd_sc_hd__a31oi_1 U28941 ( .A1(n24286), .A2(n24285), .A3(n24284), .B1(\ifu/aln/f0val [1]), .Y(n24287) ); sky130_fd_sc_hd__a21oi_1 U28942 ( .A1(n24289), .A2(n24288), .B1(n24287), .Y( n24291) ); sky130_fd_sc_hd__o32ai_1 U28943 ( .A1(n24294), .A2(n24293), .A3(n24292), .B1(n24291), .B2(n24290), .Y(\exu/i0_predict_p_d[way] ) ); sky130_fd_sc_hd__nand2_1 U28944 ( .A(n24296), .B(n24295), .Y(n24299) ); sky130_fd_sc_hd__o22ai_1 U28945 ( .A1(n24301), .A2(n24298), .B1(n24299), .B2(n24297), .Y(n24305) ); sky130_fd_sc_hd__o22ai_1 U28946 ( .A1(n24301), .A2(n24297), .B1(n24299), .B2(n24300), .Y(n24304) ); sky130_fd_sc_hd__o22ai_1 U28947 ( .A1(n24301), .A2(n24300), .B1(n24299), .B2(n24298), .Y(n24303) ); sky130_fd_sc_hd__a222oi_1 U28948 ( .A1(n24305), .A2(\ifu/aln/misc1 [51]), .B1(n24304), .B2(\ifu/aln/misc0 [51]), .C1(n24303), .C2( \ifu/aln/misc2 [51]), .Y(n24302) ); sky130_fd_sc_hd__clkinv_1 U28949 ( .A(n24302), .Y( \dec/dec_i0_icaf_type_d [0]) ); sky130_fd_sc_hd__a222oi_1 U28950 ( .A1(n24305), .A2(\ifu/aln/misc1 [52]), .B1(n24304), .B2(\ifu/aln/misc0 [52]), .C1(n24303), .C2( \ifu/aln/misc2 [52]), .Y(n24306) ); sky130_fd_sc_hd__a22oi_1 U28951 ( .A1(n24409), .A2(\dbg/sbdata0_reg [0]), .B1(\dbg/dmcontrol_reg_0 ), .B2(n24316), .Y(n24313) ); sky130_fd_sc_hd__a22oi_1 U28952 ( .A1(n24413), .A2(sb_axi_awaddr[0]), .B1( \dbg/data1_reg [0]), .B2(n24411), .Y(n24312) ); sky130_fd_sc_hd__nor2_1 U28953 ( .A(n24410), .B(n24481), .Y(n24515) ); sky130_fd_sc_hd__or2_0 U28954 ( .A(dmi_reg_addr[2]), .B(dmi_reg_addr[0]), .X(n24308) ); sky130_fd_sc_hd__nor4_1 U28955 ( .A(n24309), .B(n24308), .C(n24353), .D( n24307), .Y(n24310) ); sky130_fd_sc_hd__a21oi_1 U28956 ( .A1(n24412), .A2(\dbg/sbdata1_reg [0]), .B1(n24310), .Y(n24311) ); sky130_fd_sc_hd__nand4_1 U28957 ( .A(n24313), .B(n24312), .C(n24515), .D( n24311), .Y(n24315) ); sky130_fd_sc_hd__nor2_1 U28958 ( .A(n24318), .B(n24322), .Y(n24414) ); sky130_fd_sc_hd__clkinv_1 U28959 ( .A(n24384), .Y(n24416) ); sky130_fd_sc_hd__a22o_1 U28960 ( .A1(\dbg/command_reg [0]), .A2(n24414), .B1(dbg_cmd_wrdata[0]), .B2(n24416), .X(n24314) ); sky130_fd_sc_hd__o22a_1 U28961 ( .A1(dmi_reg_en), .A2(dmi_reg_rdata[0]), .B1(n24315), .B2(n24314), .X(\dbg/dmi_rddata_reg/_0_net_[0] ) ); sky130_fd_sc_hd__nand2_1 U28962 ( .A(dmi_reg_en), .B(n24316), .Y(n24467) ); sky130_fd_sc_hd__a22oi_1 U28963 ( .A1(n24409), .A2(\dbg/sbdata0_reg [1]), .B1(\dbg/data1_reg [1]), .B2(n24411), .Y(n24321) ); sky130_fd_sc_hd__a22oi_1 U28964 ( .A1(dbg_cmd_wrdata[1]), .A2(n24416), .B1( n24412), .B2(\dbg/sbdata1_reg [1]), .Y(n24320) ); sky130_fd_sc_hd__nor2_1 U28965 ( .A(dmi_reg_addr[0]), .B(n24322), .Y(n24381) ); sky130_fd_sc_hd__a21oi_1 U28966 ( .A1(sb_axi_awaddr[1]), .A2(n24413), .B1( n24381), .Y(n24319) ); sky130_fd_sc_hd__nor3_1 U28967 ( .A(dmi_reg_addr[2]), .B(n24318), .C(n24317), .Y(n24426) ); sky130_fd_sc_hd__nand4_1 U28968 ( .A(n24321), .B(n24320), .C(n24319), .D( n24367), .Y(n24325) ); sky130_fd_sc_hd__o21ai_1 U28969 ( .A1(n24323), .A2(n24322), .B1(n24515), .Y( n24324) ); sky130_fd_sc_hd__o22ai_1 U28970 ( .A1(dmi_reg_en), .A2(dmi_reg_rdata[1]), .B1(n24325), .B2(n24324), .Y(n24326) ); sky130_fd_sc_hd__o21ai_1 U28971 ( .A1(n24467), .A2(n24494), .B1(n24326), .Y( \dbg/dmi_rddata_reg/_0_net_[1] ) ); sky130_fd_sc_hd__nor2b_1 U28972 ( .B_N(n24410), .A(n24481), .Y(n24472) ); sky130_fd_sc_hd__a21oi_1 U28973 ( .A1(dmi_reg_rdata[2]), .A2(n24481), .B1( n24472), .Y(n24330) ); sky130_fd_sc_hd__and2_0 U28974 ( .A(n24414), .B(dmi_reg_en), .X(n24484) ); sky130_fd_sc_hd__a22oi_1 U28975 ( .A1(\dbg/command_reg [2]), .A2(n24484), .B1(dbg_cmd_wrdata[2]), .B2(n24488), .Y(n24329) ); sky130_fd_sc_hd__clkinv_1 U28976 ( .A(n24413), .Y(n24395) ); sky130_fd_sc_hd__nor2_1 U28977 ( .A(n24481), .B(n24395), .Y(n24486) ); sky130_fd_sc_hd__and2_0 U28978 ( .A(n24412), .B(dmi_reg_en), .X(n24483) ); sky130_fd_sc_hd__a22oi_1 U28979 ( .A1(\dbg/N205 ), .A2(n24486), .B1(n24483), .B2(\dbg/sbdata1_reg [2]), .Y(n24328) ); sky130_fd_sc_hd__a22oi_1 U28980 ( .A1(\dbg/data1_reg [2]), .A2(n24485), .B1( n24487), .B2(\dbg/sbdata0_reg [2]), .Y(n24327) ); sky130_fd_sc_hd__nand4_1 U28981 ( .A(n24330), .B(n24329), .C(n24328), .D( n24327), .Y(\dbg/dmi_rddata_reg/_0_net_[2] ) ); sky130_fd_sc_hd__a21oi_1 U28982 ( .A1(dmi_reg_rdata[3]), .A2(n24481), .B1( n24472), .Y(n24334) ); sky130_fd_sc_hd__a22oi_1 U28983 ( .A1(\dbg/command_reg [3]), .A2(n24484), .B1(\dbg/data1_reg [3]), .B2(n24485), .Y(n24333) ); sky130_fd_sc_hd__a22oi_1 U28984 ( .A1(sb_axi_awaddr[3]), .A2(n24486), .B1( n24483), .B2(\dbg/sbdata1_reg [3]), .Y(n24332) ); sky130_fd_sc_hd__a22oi_1 U28985 ( .A1(dbg_cmd_wrdata[3]), .A2(n24488), .B1( n24487), .B2(\dbg/sbdata0_reg [3]), .Y(n24331) ); sky130_fd_sc_hd__nand4_1 U28986 ( .A(n24334), .B(n24333), .C(n24332), .D( n24331), .Y(\dbg/dmi_rddata_reg/_0_net_[3] ) ); sky130_fd_sc_hd__a22oi_1 U28987 ( .A1(\dbg/command_reg [4]), .A2(n24484), .B1(dmi_reg_rdata[4]), .B2(n24481), .Y(n24338) ); sky130_fd_sc_hd__a22oi_1 U28988 ( .A1(\dbg/data1_reg [4]), .A2(n24485), .B1( dbg_cmd_wrdata[4]), .B2(n24488), .Y(n24337) ); sky130_fd_sc_hd__a22oi_1 U28989 ( .A1(n24487), .A2(\dbg/sbdata0_reg [4]), .B1(n24483), .B2(\dbg/sbdata1_reg [4]), .Y(n24336) ); sky130_fd_sc_hd__nand2_1 U28990 ( .A(sb_axi_awaddr[4]), .B(n24486), .Y( n24335) ); sky130_fd_sc_hd__nand4_1 U28991 ( .A(n24338), .B(n24337), .C(n24336), .D( n24335), .Y(\dbg/dmi_rddata_reg/_0_net_[4] ) ); sky130_fd_sc_hd__a22oi_1 U28992 ( .A1(\dbg/data1_reg [5]), .A2(n24485), .B1( dmi_reg_rdata[5]), .B2(n24481), .Y(n24342) ); sky130_fd_sc_hd__a22oi_1 U28993 ( .A1(sb_axi_awaddr[5]), .A2(n24486), .B1( n24483), .B2(\dbg/sbdata1_reg [5]), .Y(n24341) ); sky130_fd_sc_hd__a22oi_1 U28994 ( .A1(\dbg/command_reg [5]), .A2(n24484), .B1(n24487), .B2(\dbg/sbdata0_reg [5]), .Y(n24340) ); sky130_fd_sc_hd__nand2_1 U28995 ( .A(dbg_cmd_wrdata[5]), .B(n24488), .Y( n24339) ); sky130_fd_sc_hd__nand4_1 U28996 ( .A(n24342), .B(n24341), .C(n24340), .D( n24339), .Y(\dbg/dmi_rddata_reg/_0_net_[5] ) ); sky130_fd_sc_hd__a22oi_1 U28997 ( .A1(\dbg/sbdata1_reg [6]), .A2(n24483), .B1(dmi_reg_rdata[6]), .B2(n24481), .Y(n24346) ); sky130_fd_sc_hd__a22oi_1 U28998 ( .A1(\dbg/data1_reg [6]), .A2(n24485), .B1( sb_axi_awaddr[6]), .B2(n24486), .Y(n24345) ); sky130_fd_sc_hd__a22oi_1 U28999 ( .A1(dbg_cmd_wrdata[6]), .A2(n24488), .B1( n24487), .B2(\dbg/sbdata0_reg [6]), .Y(n24344) ); sky130_fd_sc_hd__nand2_1 U29000 ( .A(\dbg/command_reg [6]), .B(n24484), .Y( n24343) ); sky130_fd_sc_hd__nand4_1 U29001 ( .A(n24346), .B(n24345), .C(n24344), .D( n24343), .Y(\dbg/dmi_rddata_reg/_0_net_[6] ) ); sky130_fd_sc_hd__a22oi_1 U29002 ( .A1(dbg_cmd_wrdata[7]), .A2(n24488), .B1( n24487), .B2(\dbg/sbdata0_reg [7]), .Y(n24351) ); sky130_fd_sc_hd__a22o_1 U29003 ( .A1(sb_axi_awaddr[7]), .A2(n24486), .B1( \dbg/data1_reg [7]), .B2(n24485), .X(n24347) ); sky130_fd_sc_hd__a21oi_1 U29004 ( .A1(n24483), .A2(\dbg/sbdata1_reg [7]), .B1(n24347), .Y(n24350) ); sky130_fd_sc_hd__o22ai_1 U29005 ( .A1(dmi_reg_en), .A2(dmi_reg_rdata[7]), .B1(n24481), .B2(n24426), .Y(n24349) ); sky130_fd_sc_hd__nand2_1 U29006 ( .A(\dbg/command_reg [7]), .B(n24484), .Y( n24348) ); sky130_fd_sc_hd__nand4_1 U29007 ( .A(n24351), .B(n24350), .C(n24349), .D( n24348), .Y(\dbg/dmi_rddata_reg/_0_net_[7] ) ); sky130_fd_sc_hd__a22oi_1 U29008 ( .A1(\dbg/abstractcs_reg [8]), .A2(n24381), .B1(\dbg/data1_reg [8]), .B2(n24411), .Y(n24358) ); sky130_fd_sc_hd__a22oi_1 U29009 ( .A1(dbg_cmd_wrdata[8]), .A2(n24416), .B1( n24412), .B2(\dbg/sbdata1_reg [8]), .Y(n24357) ); sky130_fd_sc_hd__o2bb2ai_1 U29010 ( .B1(n24377), .B2(n24352), .A1_N(n24413), .A2_N(sb_axi_awaddr[8]), .Y(n24354) ); sky130_fd_sc_hd__o21ai_1 U29011 ( .A1(n24353), .A2(n24367), .B1(dmi_reg_en), .Y(n24362) ); sky130_fd_sc_hd__a211oi_1 U29012 ( .A1(\dbg/command_reg [8]), .A2(n24414), .B1(n24354), .C1(n24362), .Y(n24356) ); sky130_fd_sc_hd__nor2_1 U29013 ( .A(dmi_reg_en), .B(dmi_reg_rdata[8]), .Y( n24355) ); sky130_fd_sc_hd__a31oi_1 U29014 ( .A1(n24358), .A2(n24357), .A3(n24356), .B1(n24355), .Y(\dbg/dmi_rddata_reg/_0_net_[8] ) ); sky130_fd_sc_hd__a22oi_1 U29015 ( .A1(\dbg/abstractcs_reg [9]), .A2(n24381), .B1(\dbg/data1_reg [9]), .B2(n24411), .Y(n24366) ); sky130_fd_sc_hd__a22oi_1 U29016 ( .A1(dbg_cmd_wrdata[9]), .A2(n24416), .B1( n24412), .B2(\dbg/sbdata1_reg [9]), .Y(n24365) ); sky130_fd_sc_hd__o22ai_1 U29017 ( .A1(n24395), .A2(n24360), .B1(n24377), .B2(n24359), .Y(n24361) ); sky130_fd_sc_hd__a211oi_1 U29018 ( .A1(\dbg/command_reg [9]), .A2(n24414), .B1(n24362), .C1(n24361), .Y(n24364) ); sky130_fd_sc_hd__nor2_1 U29019 ( .A(dmi_reg_en), .B(dmi_reg_rdata[9]), .Y( n24363) ); sky130_fd_sc_hd__a31oi_1 U29020 ( .A1(n24366), .A2(n24365), .A3(n24364), .B1(n24363), .Y(\dbg/dmi_rddata_reg/_0_net_[9] ) ); sky130_fd_sc_hd__a22oi_1 U29021 ( .A1(\dbg/command_reg [10]), .A2(n24414), .B1(n24409), .B2(\dbg/sbdata0_reg [10]), .Y(n24370) ); sky130_fd_sc_hd__a22oi_1 U29022 ( .A1(\dbg/data1_reg [10]), .A2(n24411), .B1(n24412), .B2(\dbg/sbdata1_reg [10]), .Y(n24369) ); sky130_fd_sc_hd__nand2_1 U29023 ( .A(rst_l), .B(n24494), .Y(n24382) ); sky130_fd_sc_hd__nor3_1 U29024 ( .A(\dbg/dmstatus_reg_9 ), .B(n24367), .C( n24382), .Y(n24373) ); sky130_fd_sc_hd__a21oi_1 U29025 ( .A1(sb_axi_awaddr[10]), .A2(n24413), .B1( n24373), .Y(n24368) ); sky130_fd_sc_hd__nand4_1 U29026 ( .A(n24515), .B(n24370), .C(n24369), .D( n24368), .Y(n24372) ); sky130_fd_sc_hd__a22o_1 U29027 ( .A1(\dbg/abstractcs_reg [10]), .A2(n24381), .B1(dbg_cmd_wrdata[10]), .B2(n24416), .X(n24371) ); sky130_fd_sc_hd__a22oi_1 U29028 ( .A1(\dbg/data1_reg [11]), .A2(n24485), .B1(dbg_cmd_wrdata[11]), .B2(n24488), .Y(n24380) ); sky130_fd_sc_hd__a21oi_1 U29029 ( .A1(sb_axi_awaddr[11]), .A2(n24413), .B1( n24373), .Y(n24375) ); sky130_fd_sc_hd__a22oi_1 U29030 ( .A1(\dbg/command_reg [11]), .A2(n24414), .B1(n24412), .B2(\dbg/sbdata1_reg [11]), .Y(n24374) ); sky130_fd_sc_hd__o211ai_1 U29031 ( .A1(n24377), .A2(n24376), .B1(n24375), .C1(n24374), .Y(n24378) ); sky130_fd_sc_hd__o22ai_1 U29032 ( .A1(dmi_reg_en), .A2(dmi_reg_rdata[11]), .B1(n24481), .B2(n24378), .Y(n24379) ); sky130_fd_sc_hd__nand2_1 U29033 ( .A(n24380), .B(n24379), .Y( \dbg/dmi_rddata_reg/_0_net_[11] ) ); sky130_fd_sc_hd__a22oi_1 U29034 ( .A1(n24410), .A2(\dbg/sbcs_reg [12]), .B1( \dbg/data1_reg [12]), .B2(n24411), .Y(n24389) ); sky130_fd_sc_hd__a22oi_1 U29035 ( .A1(\dbg/command_reg [12]), .A2(n24414), .B1(n24412), .B2(\dbg/sbdata1_reg [12]), .Y(n24388) ); sky130_fd_sc_hd__a22oi_1 U29036 ( .A1(n24409), .A2(\dbg/sbdata0_reg [12]), .B1(\dbg/abstractcs_reg_12 ), .B2(n24381), .Y(n24387) ); sky130_fd_sc_hd__clkinv_1 U29037 ( .A(dbg_cmd_wrdata[12]), .Y(n24383) ); sky130_fd_sc_hd__nand2_1 U29038 ( .A(n24426), .B(n24382), .Y(n24394) ); sky130_fd_sc_hd__o21ai_1 U29039 ( .A1(n24384), .A2(n24383), .B1(n24394), .Y( n24385) ); sky130_fd_sc_hd__a21oi_1 U29040 ( .A1(n24413), .A2(sb_axi_awaddr[12]), .B1( n24385), .Y(n24386) ); sky130_fd_sc_hd__nand4_1 U29041 ( .A(n24389), .B(n24388), .C(n24387), .D( n24386), .Y(n24390) ); sky130_fd_sc_hd__a22o_1 U29042 ( .A1(dmi_reg_en), .A2(n24390), .B1(n24481), .B2(dmi_reg_rdata[12]), .X(\dbg/dmi_rddata_reg/_0_net_[12] ) ); sky130_fd_sc_hd__a22oi_1 U29043 ( .A1(dbg_cmd_wrdata[13]), .A2(n24416), .B1( n24409), .B2(\dbg/sbdata0_reg [13]), .Y(n24393) ); sky130_fd_sc_hd__a22oi_1 U29044 ( .A1(\dbg/command_reg [13]), .A2(n24414), .B1(n24412), .B2(\dbg/sbdata1_reg [13]), .Y(n24392) ); sky130_fd_sc_hd__a22oi_1 U29045 ( .A1(n24410), .A2(\dbg/sbcs_reg [13]), .B1( n24411), .B2(\dbg/data1_reg [13]), .Y(n24391) ); sky130_fd_sc_hd__nand3_1 U29046 ( .A(n24393), .B(n24392), .C(n24391), .Y( n24398) ); sky130_fd_sc_hd__o21ai_1 U29047 ( .A1(n24396), .A2(n24395), .B1(n24394), .Y( n24397) ); sky130_fd_sc_hd__o21ai_1 U29048 ( .A1(n24398), .A2(n24397), .B1(dmi_reg_en), .Y(n24399) ); sky130_fd_sc_hd__o21ai_1 U29049 ( .A1(dmi_reg_en), .A2(n24400), .B1(n24399), .Y(\dbg/dmi_rddata_reg/_0_net_[13] ) ); sky130_fd_sc_hd__a22oi_1 U29050 ( .A1(\dbg/command_reg [14]), .A2(n24484), .B1(dmi_reg_rdata[14]), .B2(n24481), .Y(n24404) ); sky130_fd_sc_hd__a22oi_1 U29051 ( .A1(dbg_cmd_wrdata[14]), .A2(n24488), .B1( n24487), .B2(\dbg/sbdata0_reg [14]), .Y(n24403) ); sky130_fd_sc_hd__a22oi_1 U29052 ( .A1(sb_axi_awaddr[14]), .A2(n24486), .B1( \dbg/sbcs_reg [14]), .B2(n24472), .Y(n24402) ); sky130_fd_sc_hd__a22oi_1 U29053 ( .A1(n24485), .A2(\dbg/data1_reg [14]), .B1(n24483), .B2(\dbg/sbdata1_reg [14]), .Y(n24401) ); sky130_fd_sc_hd__nand4_1 U29054 ( .A(n24404), .B(n24403), .C(n24402), .D( n24401), .Y(\dbg/dmi_rddata_reg/_0_net_[14] ) ); sky130_fd_sc_hd__a22oi_1 U29055 ( .A1(\dbg/sbdata0_reg [15]), .A2(n24487), .B1(dmi_reg_rdata[15]), .B2(n24481), .Y(n24408) ); sky130_fd_sc_hd__a22oi_1 U29056 ( .A1(dbg_cmd_wrdata[15]), .A2(n24488), .B1( sb_axi_awaddr[15]), .B2(n24486), .Y(n24407) ); sky130_fd_sc_hd__a22oi_1 U29057 ( .A1(n24485), .A2(\dbg/data1_reg [15]), .B1(n24483), .B2(\dbg/sbdata1_reg [15]), .Y(n24406) ); sky130_fd_sc_hd__a22oi_1 U29058 ( .A1(\dbg/command_reg [15]), .A2(n24484), .B1(\dbg/sbcs_reg [15]), .B2(n24472), .Y(n24405) ); sky130_fd_sc_hd__nand4_1 U29059 ( .A(n24408), .B(n24407), .C(n24406), .D( n24405), .Y(\dbg/dmi_rddata_reg/_0_net_[15] ) ); sky130_fd_sc_hd__a22oi_1 U29060 ( .A1(\dbg/sbcs_reg [16]), .A2(n24410), .B1( n24409), .B2(\dbg/sbdata0_reg [16]), .Y(n24420) ); sky130_fd_sc_hd__a22oi_1 U29061 ( .A1(n24412), .A2(\dbg/sbdata1_reg [16]), .B1(n24411), .B2(\dbg/data1_reg [16]), .Y(n24419) ); sky130_fd_sc_hd__a22o_1 U29062 ( .A1(dbg_cmd_write), .A2(n24414), .B1(n24413), .B2(sb_axi_awaddr[16]), .X(n24415) ); sky130_fd_sc_hd__a211oi_1 U29063 ( .A1(n24416), .A2(dbg_cmd_wrdata[16]), .B1(n24421), .C1(n24415), .Y(n24418) ); sky130_fd_sc_hd__nor2_1 U29064 ( .A(dmi_reg_en), .B(dmi_reg_rdata[16]), .Y( n24417) ); sky130_fd_sc_hd__a31oi_1 U29065 ( .A1(n24420), .A2(n24419), .A3(n24418), .B1(n24417), .Y(\dbg/dmi_rddata_reg/_0_net_[16] ) ); sky130_fd_sc_hd__a22oi_1 U29066 ( .A1(dbg_cmd_wrdata[17]), .A2(n24488), .B1( n24485), .B2(\dbg/data1_reg [17]), .Y(n24425) ); sky130_fd_sc_hd__a22oi_1 U29067 ( .A1(sb_axi_awaddr[17]), .A2(n24486), .B1( sb_axi_awsize[0]), .B2(n24472), .Y(n24424) ); sky130_fd_sc_hd__a22oi_1 U29068 ( .A1(n24487), .A2(\dbg/sbdata0_reg [17]), .B1(n24483), .B2(\dbg/sbdata1_reg [17]), .Y(n24423) ); sky130_fd_sc_hd__o21ai_1 U29069 ( .A1(dmi_reg_en), .A2(dmi_reg_rdata[17]), .B1(n24421), .Y(n24422) ); sky130_fd_sc_hd__nand4_1 U29070 ( .A(n24425), .B(n24424), .C(n24423), .D( n24422), .Y(\dbg/dmi_rddata_reg/_0_net_[17] ) ); sky130_fd_sc_hd__and3_1 U29071 ( .A(dmi_reg_en), .B(n24426), .C( \dbg/dmstatus_reg[19] ), .X(n24431) ); sky130_fd_sc_hd__a21oi_1 U29072 ( .A1(dmi_reg_rdata[18]), .A2(n24481), .B1( n24431), .Y(n24430) ); sky130_fd_sc_hd__a22oi_1 U29073 ( .A1(n24487), .A2(\dbg/sbdata0_reg [18]), .B1(n24485), .B2(\dbg/data1_reg [18]), .Y(n24429) ); sky130_fd_sc_hd__a22oi_1 U29074 ( .A1(dbg_cmd_wrdata[18]), .A2(n24488), .B1( sb_axi_awsize[1]), .B2(n24472), .Y(n24428) ); sky130_fd_sc_hd__a22oi_1 U29075 ( .A1(sb_axi_awaddr[18]), .A2(n24486), .B1( n24483), .B2(\dbg/sbdata1_reg [18]), .Y(n24427) ); sky130_fd_sc_hd__nand4_1 U29076 ( .A(n24430), .B(n24429), .C(n24428), .D( n24427), .Y(\dbg/dmi_rddata_reg/_0_net_[18] ) ); sky130_fd_sc_hd__a21oi_1 U29077 ( .A1(dmi_reg_rdata[19]), .A2(n24481), .B1( n24431), .Y(n24435) ); sky130_fd_sc_hd__a22oi_1 U29078 ( .A1(dbg_cmd_wrdata[19]), .A2(n24488), .B1( n24487), .B2(\dbg/sbdata0_reg [19]), .Y(n24434) ); sky130_fd_sc_hd__a22oi_1 U29079 ( .A1(sb_axi_awaddr[19]), .A2(n24486), .B1( sb_axi_awsize[2]), .B2(n24472), .Y(n24433) ); sky130_fd_sc_hd__a22oi_1 U29080 ( .A1(n24485), .A2(\dbg/data1_reg [19]), .B1(n24483), .B2(\dbg/sbdata1_reg [19]), .Y(n24432) ); sky130_fd_sc_hd__nand4_1 U29081 ( .A(n24435), .B(n24434), .C(n24433), .D( n24432), .Y(\dbg/dmi_rddata_reg/_0_net_[19] ) ); sky130_fd_sc_hd__a22oi_1 U29082 ( .A1(n24472), .A2(\dbg/sbcs_reg_20 ), .B1( dmi_reg_rdata[20]), .B2(n24481), .Y(n24439) ); sky130_fd_sc_hd__a22oi_1 U29083 ( .A1(n24485), .A2(\dbg/data1_reg [20]), .B1(n24483), .B2(\dbg/sbdata1_reg [20]), .Y(n24438) ); sky130_fd_sc_hd__a22oi_1 U29084 ( .A1(sb_axi_awaddr[20]), .A2(n24486), .B1( n24484), .B2(dbg_cmd_size[0]), .Y(n24437) ); sky130_fd_sc_hd__a22oi_1 U29085 ( .A1(dbg_cmd_wrdata[20]), .A2(n24488), .B1( n24487), .B2(\dbg/sbdata0_reg [20]), .Y(n24436) ); sky130_fd_sc_hd__nand4_1 U29086 ( .A(n24439), .B(n24438), .C(n24437), .D( n24436), .Y(\dbg/dmi_rddata_reg/_0_net_[20] ) ); sky130_fd_sc_hd__a22oi_1 U29087 ( .A1(dbg_cmd_size[1]), .A2(n24484), .B1( dmi_reg_rdata[21]), .B2(n24481), .Y(n24443) ); sky130_fd_sc_hd__a22oi_1 U29088 ( .A1(n24487), .A2(\dbg/sbdata0_reg [21]), .B1(n24485), .B2(\dbg/data1_reg [21]), .Y(n24442) ); sky130_fd_sc_hd__a22oi_1 U29089 ( .A1(sb_axi_awaddr[21]), .A2(n24486), .B1( \dbg/sbcs_reg_21 ), .B2(n24472), .Y(n24441) ); sky130_fd_sc_hd__a22oi_1 U29090 ( .A1(dbg_cmd_wrdata[21]), .A2(n24488), .B1( n24483), .B2(\dbg/sbdata1_reg [21]), .Y(n24440) ); sky130_fd_sc_hd__nand4_1 U29091 ( .A(n24443), .B(n24442), .C(n24441), .D( n24440), .Y(\dbg/dmi_rddata_reg/_0_net_[21] ) ); sky130_fd_sc_hd__a22oi_1 U29092 ( .A1(\dbg/sbdata1_reg [22]), .A2(n24483), .B1(dmi_reg_rdata[22]), .B2(n24481), .Y(n24447) ); sky130_fd_sc_hd__a22oi_1 U29093 ( .A1(dbg_cmd_wrdata[22]), .A2(n24488), .B1( n24487), .B2(\dbg/sbdata0_reg [22]), .Y(n24446) ); sky130_fd_sc_hd__a22oi_1 U29094 ( .A1(n24472), .A2(\dbg/sbcs_reg_22 ), .B1( n24484), .B2(\dbg/command_reg_22 ), .Y(n24445) ); sky130_fd_sc_hd__a22oi_1 U29095 ( .A1(sb_axi_awaddr[22]), .A2(n24486), .B1( n24485), .B2(\dbg/data1_reg [22]), .Y(n24444) ); sky130_fd_sc_hd__nand4_1 U29096 ( .A(n24447), .B(n24446), .C(n24445), .D( n24444), .Y(\dbg/dmi_rddata_reg/_0_net_[22] ) ); sky130_fd_sc_hd__a22oi_1 U29097 ( .A1(\dbg/sbdata1_reg [23]), .A2(n24483), .B1(dmi_reg_rdata[23]), .B2(n24481), .Y(n24450) ); sky130_fd_sc_hd__a22oi_1 U29098 ( .A1(dbg_cmd_wrdata[23]), .A2(n24488), .B1( n24485), .B2(\dbg/data1_reg [23]), .Y(n24449) ); sky130_fd_sc_hd__a22oi_1 U29099 ( .A1(sb_axi_awaddr[23]), .A2(n24486), .B1( n24487), .B2(\dbg/sbdata0_reg [23]), .Y(n24448) ); sky130_fd_sc_hd__nand3_1 U29100 ( .A(n24450), .B(n24449), .C(n24448), .Y( \dbg/dmi_rddata_reg/_0_net_[23] ) ); sky130_fd_sc_hd__a22oi_1 U29101 ( .A1(\dbg/sbdata1_reg [24]), .A2(n24483), .B1(dmi_reg_rdata[24]), .B2(n24481), .Y(n24454) ); sky130_fd_sc_hd__a22oi_1 U29102 ( .A1(sb_axi_awaddr[24]), .A2(n24486), .B1( n24485), .B2(\dbg/data1_reg [24]), .Y(n24453) ); sky130_fd_sc_hd__a22oi_1 U29103 ( .A1(\dbg/command_reg_24 ), .A2(n24484), .B1(n24487), .B2(\dbg/sbdata0_reg [24]), .Y(n24452) ); sky130_fd_sc_hd__nand2_1 U29104 ( .A(dbg_cmd_wrdata[24]), .B(n24488), .Y( n24451) ); sky130_fd_sc_hd__nand4_1 U29105 ( .A(n24454), .B(n24453), .C(n24452), .D( n24451), .Y(\dbg/dmi_rddata_reg/_0_net_[24] ) ); sky130_fd_sc_hd__a22oi_1 U29106 ( .A1(n24486), .A2(sb_axi_awaddr[25]), .B1( dmi_reg_rdata[25]), .B2(n24481), .Y(n24458) ); sky130_fd_sc_hd__a22oi_1 U29107 ( .A1(n24487), .A2(\dbg/sbdata0_reg [25]), .B1(n24483), .B2(\dbg/sbdata1_reg [25]), .Y(n24457) ); sky130_fd_sc_hd__a22oi_1 U29108 ( .A1(\dbg/command_reg_25 ), .A2(n24484), .B1(dbg_cmd_wrdata[25]), .B2(n24488), .Y(n24456) ); sky130_fd_sc_hd__nand2_1 U29109 ( .A(n24485), .B(\dbg/data1_reg [25]), .Y( n24455) ); sky130_fd_sc_hd__nand4_1 U29110 ( .A(n24458), .B(n24457), .C(n24456), .D( n24455), .Y(\dbg/dmi_rddata_reg/_0_net_[25] ) ); sky130_fd_sc_hd__a22oi_1 U29111 ( .A1(n24486), .A2(sb_axi_awaddr[26]), .B1( dmi_reg_rdata[26]), .B2(n24481), .Y(n24462) ); sky130_fd_sc_hd__a22oi_1 U29112 ( .A1(dbg_cmd_wrdata[26]), .A2(n24488), .B1( n24487), .B2(\dbg/sbdata0_reg [26]), .Y(n24461) ); sky130_fd_sc_hd__a22oi_1 U29113 ( .A1(n24485), .A2(\dbg/data1_reg [26]), .B1(n24483), .B2(\dbg/sbdata1_reg [26]), .Y(n24460) ); sky130_fd_sc_hd__nand2_1 U29114 ( .A(\dbg/command_reg_26 ), .B(n24484), .Y( n24459) ); sky130_fd_sc_hd__nand4_1 U29115 ( .A(n24462), .B(n24461), .C(n24460), .D( n24459), .Y(\dbg/dmi_rddata_reg/_0_net_[26] ) ); sky130_fd_sc_hd__a22oi_1 U29116 ( .A1(dbg_cmd_wrdata[27]), .A2(n24488), .B1( dmi_reg_rdata[27]), .B2(n24481), .Y(n24466) ); sky130_fd_sc_hd__a22oi_1 U29117 ( .A1(sb_axi_awaddr[27]), .A2(n24486), .B1( n24483), .B2(\dbg/sbdata1_reg [27]), .Y(n24465) ); sky130_fd_sc_hd__a22oi_1 U29118 ( .A1(\dbg/command_reg_27 ), .A2(n24484), .B1(n24487), .B2(\dbg/sbdata0_reg [27]), .Y(n24464) ); sky130_fd_sc_hd__nand2_1 U29119 ( .A(n24485), .B(\dbg/data1_reg [27]), .Y( n24463) ); sky130_fd_sc_hd__nand4_1 U29120 ( .A(n24466), .B(n24465), .C(n24464), .D( n24463), .Y(\dbg/dmi_rddata_reg/_0_net_[27] ) ); sky130_fd_sc_hd__a22oi_1 U29121 ( .A1(dbg_cmd_wrdata[28]), .A2(n24488), .B1( dmi_reg_rdata[28]), .B2(n24481), .Y(n24471) ); sky130_fd_sc_hd__clkinv_1 U29122 ( .A(n24467), .Y(n24482) ); sky130_fd_sc_hd__a22oi_1 U29123 ( .A1(n24487), .A2(\dbg/sbdata0_reg [28]), .B1(n24482), .B2(\dbg/dmcontrol_reg_28 ), .Y(n24470) ); sky130_fd_sc_hd__a22oi_1 U29124 ( .A1(n24485), .A2(\dbg/data1_reg [28]), .B1(n24483), .B2(\dbg/sbdata1_reg [28]), .Y(n24469) ); sky130_fd_sc_hd__a22oi_1 U29125 ( .A1(\dbg/command_reg_28 ), .A2(n24484), .B1(sb_axi_awaddr[28]), .B2(n24486), .Y(n24468) ); sky130_fd_sc_hd__nand4_1 U29126 ( .A(n24471), .B(n24470), .C(n24469), .D( n24468), .Y(\dbg/dmi_rddata_reg/_0_net_[28] ) ); sky130_fd_sc_hd__a21oi_1 U29127 ( .A1(dmi_reg_rdata[29]), .A2(n24481), .B1( n24472), .Y(n24476) ); sky130_fd_sc_hd__a22oi_1 U29128 ( .A1(\dbg/command_reg_29 ), .A2(n24484), .B1(dbg_cmd_wrdata[29]), .B2(n24488), .Y(n24475) ); sky130_fd_sc_hd__a22oi_1 U29129 ( .A1(sb_axi_awaddr[29]), .A2(n24486), .B1( n24487), .B2(\dbg/sbdata0_reg [29]), .Y(n24474) ); sky130_fd_sc_hd__a22oi_1 U29130 ( .A1(n24485), .A2(\dbg/data1_reg [29]), .B1(n24483), .B2(\dbg/sbdata1_reg [29]), .Y(n24473) ); sky130_fd_sc_hd__nand4_1 U29131 ( .A(n24476), .B(n24475), .C(n24474), .D( n24473), .Y(\dbg/dmi_rddata_reg/_0_net_[29] ) ); sky130_fd_sc_hd__a22oi_1 U29132 ( .A1(\dbg/command_reg_30 ), .A2(n24484), .B1(dmi_reg_rdata[30]), .B2(n24481), .Y(n24480) ); sky130_fd_sc_hd__a22oi_1 U29133 ( .A1(dbg_cmd_wrdata[30]), .A2(n24488), .B1( n24487), .B2(\dbg/sbdata0_reg [30]), .Y(n24479) ); sky130_fd_sc_hd__a22oi_1 U29134 ( .A1(\dbg/dmcontrol_reg [30]), .A2(n24482), .B1(n24483), .B2(\dbg/sbdata1_reg [30]), .Y(n24478) ); sky130_fd_sc_hd__a22oi_1 U29135 ( .A1(sb_axi_awaddr[30]), .A2(n24486), .B1( n24485), .B2(\dbg/data1_reg [30]), .Y(n24477) ); sky130_fd_sc_hd__nand4_1 U29136 ( .A(n24480), .B(n24479), .C(n24478), .D( n24477), .Y(\dbg/dmi_rddata_reg/_0_net_[30] ) ); sky130_fd_sc_hd__a22oi_1 U29137 ( .A1(n24482), .A2(\dbg/dmcontrol_reg [31]), .B1(dmi_reg_rdata[31]), .B2(n24481), .Y(n24492) ); sky130_fd_sc_hd__a22oi_1 U29138 ( .A1(\dbg/command_reg_31 ), .A2(n24484), .B1(n24483), .B2(\dbg/sbdata1_reg [31]), .Y(n24491) ); sky130_fd_sc_hd__a22oi_1 U29139 ( .A1(sb_axi_awaddr[31]), .A2(n24486), .B1( n24485), .B2(\dbg/data1_reg [31]), .Y(n24490) ); sky130_fd_sc_hd__a22oi_1 U29140 ( .A1(dbg_cmd_wrdata[31]), .A2(n24488), .B1( n24487), .B2(\dbg/sbdata0_reg [31]), .Y(n24489) ); sky130_fd_sc_hd__nand4_1 U29141 ( .A(n24492), .B(n24491), .C(n24490), .D( n24489), .Y(\dbg/dmi_rddata_reg/_0_net_[31] ) ); sky130_fd_sc_hd__o22ai_1 U29142 ( .A1(\dbg/dmcontrol_wren ), .A2(n24494), .B1(n24496), .B2(n24493), .Y(\dbg/dmcontrolff/_0_net_[0] ) ); sky130_fd_sc_hd__o22ai_1 U29143 ( .A1(\dbg/dmcontrol_wren ), .A2(n24497), .B1(n24496), .B2(n24495), .Y(\dbg/dmcontrolff/_0_net_[3] ) ); sky130_fd_sc_hd__o21ai_1 U29144 ( .A1(dmi_reg_wdata[12]), .A2(n24514), .B1( n24503), .Y(n24498) ); sky130_fd_sc_hd__clkinv_1 U29145 ( .A(n24507), .Y(n24499) ); sky130_fd_sc_hd__a22o_1 U29146 ( .A1(\dbg/sbcs_reg [12]), .A2(n24498), .B1( n24499), .B2(n24506), .X(\dbg/sbcs_error_reg/_0_net_[0] ) ); sky130_fd_sc_hd__o21ai_1 U29147 ( .A1(dmi_reg_wdata[13]), .A2(n24514), .B1( n24503), .Y(n24500) ); sky130_fd_sc_hd__a22oi_1 U29148 ( .A1(\dbg/sbcs_reg [13]), .A2(n24500), .B1( n24499), .B2(n24506), .Y(n24501) ); sky130_fd_sc_hd__o21ai_1 U29149 ( .A1(n24508), .A2(n24502), .B1(n24501), .Y( \dbg/sbcs_error_reg/_0_net_[1] ) ); sky130_fd_sc_hd__o21ai_1 U29150 ( .A1(n24514), .A2(dmi_reg_wdata[14]), .B1( n24503), .Y(n24504) ); sky130_fd_sc_hd__nand2_1 U29151 ( .A(n24504), .B(\dbg/sbcs_reg [14]), .Y( n24505) ); sky130_fd_sc_hd__o31ai_1 U29152 ( .A1(n24508), .A2(n24507), .A3(n24506), .B1(n24505), .Y(\dbg/sbcs_error_reg/_0_net_[2] ) ); sky130_fd_sc_hd__clkinv_1 U29153 ( .A(n24512), .Y(n24518) ); sky130_fd_sc_hd__o22ai_1 U29154 ( .A1(n24518), .A2(n24510), .B1(n24512), .B2(n24509), .Y(\dbg/sbcs_misc_reg/_0_net_[2] ) ); sky130_fd_sc_hd__a22o_1 U29155 ( .A1(n24518), .A2(dmi_reg_wdata[18]), .B1( n24512), .B2(sb_axi_awsize[1]), .X(\dbg/sbcs_misc_reg/_0_net_[3] ) ); sky130_fd_sc_hd__o22ai_1 U29156 ( .A1(n24518), .A2(n24513), .B1(n24512), .B2(n24511), .Y(\dbg/sbcs_misc_reg/_0_net_[4] ) ); sky130_fd_sc_hd__a31oi_1 U29157 ( .A1(n24516), .A2(n24515), .A3(n24514), .B1(\dbg/sbcs_reg_22 ), .Y(n24517) ); sky130_fd_sc_hd__a21oi_1 U29158 ( .A1(n24518), .A2(dmi_reg_wdata[22]), .B1( n24517), .Y(\dbg/sbcs_sbbusyerror_reg/_0_net_[0] ) ); sky130_fd_sc_hd__clkinv_1 U29159 ( .A(\exu/i0_pred_correct_upper_r ), .Y( n24519) ); sky130_fd_sc_hd__a22o_1 U29160 ( .A1(\exu/i0_pred_correct_upper_r ), .A2( \exu/pred_correct_npc_r [1]), .B1(n24519), .B2( \exu/i0_flush_path_upper_r [1]), .X(exu_npc_r[1]) ); sky130_fd_sc_hd__a22o_1 U29161 ( .A1(\exu/i0_pred_correct_upper_r ), .A2( \exu/pred_correct_npc_r [2]), .B1(n24519), .B2( \exu/i0_flush_path_upper_r [2]), .X(exu_npc_r[2]) ); sky130_fd_sc_hd__a22o_1 U29162 ( .A1(\exu/i0_pred_correct_upper_r ), .A2( \exu/pred_correct_npc_r [3]), .B1(n24519), .B2( \exu/i0_flush_path_upper_r [3]), .X(exu_npc_r[3]) ); sky130_fd_sc_hd__a22o_1 U29163 ( .A1(\exu/i0_pred_correct_upper_r ), .A2( \exu/pred_correct_npc_r [4]), .B1(n24519), .B2( \exu/i0_flush_path_upper_r [4]), .X(exu_npc_r[4]) ); sky130_fd_sc_hd__a22o_1 U29164 ( .A1(\exu/i0_pred_correct_upper_r ), .A2( \exu/pred_correct_npc_r [5]), .B1(n24519), .B2( \exu/i0_flush_path_upper_r [5]), .X(exu_npc_r[5]) ); sky130_fd_sc_hd__a22o_1 U29165 ( .A1(\exu/i0_pred_correct_upper_r ), .A2( \exu/pred_correct_npc_r [6]), .B1(n24519), .B2( \exu/i0_flush_path_upper_r [6]), .X(exu_npc_r[6]) ); sky130_fd_sc_hd__a22o_1 U29166 ( .A1(\exu/i0_pred_correct_upper_r ), .A2( \exu/pred_correct_npc_r [7]), .B1(n24519), .B2( \exu/i0_flush_path_upper_r [7]), .X(exu_npc_r[7]) ); sky130_fd_sc_hd__a22o_1 U29167 ( .A1(\exu/i0_pred_correct_upper_r ), .A2( \exu/pred_correct_npc_r [8]), .B1(n24519), .B2( \exu/i0_flush_path_upper_r [8]), .X(exu_npc_r[8]) ); sky130_fd_sc_hd__a22o_1 U29168 ( .A1(\exu/i0_pred_correct_upper_r ), .A2( \exu/pred_correct_npc_r [9]), .B1(n24519), .B2( \exu/i0_flush_path_upper_r [9]), .X(exu_npc_r[9]) ); sky130_fd_sc_hd__a22o_1 U29169 ( .A1(\exu/i0_pred_correct_upper_r ), .A2( \exu/pred_correct_npc_r [10]), .B1(n24519), .B2( \exu/i0_flush_path_upper_r [10]), .X(exu_npc_r[10]) ); sky130_fd_sc_hd__a22o_1 U29170 ( .A1(\exu/i0_pred_correct_upper_r ), .A2( \exu/pred_correct_npc_r [11]), .B1(n24519), .B2( \exu/i0_flush_path_upper_r [11]), .X(exu_npc_r[11]) ); sky130_fd_sc_hd__a22o_1 U29171 ( .A1(\exu/i0_pred_correct_upper_r ), .A2( \exu/pred_correct_npc_r [12]), .B1(n24519), .B2( \exu/i0_flush_path_upper_r [12]), .X(exu_npc_r[12]) ); sky130_fd_sc_hd__a22o_1 U29172 ( .A1(\exu/i0_pred_correct_upper_r ), .A2( \exu/pred_correct_npc_r [13]), .B1(n24519), .B2( \exu/i0_flush_path_upper_r [13]), .X(exu_npc_r[13]) ); sky130_fd_sc_hd__a22o_1 U29173 ( .A1(\exu/i0_pred_correct_upper_r ), .A2( \exu/pred_correct_npc_r [14]), .B1(n24519), .B2( \exu/i0_flush_path_upper_r [14]), .X(exu_npc_r[14]) ); sky130_fd_sc_hd__a22o_1 U29174 ( .A1(\exu/i0_pred_correct_upper_r ), .A2( \exu/pred_correct_npc_r [15]), .B1(n24519), .B2( \exu/i0_flush_path_upper_r [15]), .X(exu_npc_r[15]) ); sky130_fd_sc_hd__a22o_1 U29175 ( .A1(\exu/i0_pred_correct_upper_r ), .A2( \exu/pred_correct_npc_r [16]), .B1(n24519), .B2( \exu/i0_flush_path_upper_r [16]), .X(exu_npc_r[16]) ); sky130_fd_sc_hd__a22o_1 U29176 ( .A1(\exu/i0_pred_correct_upper_r ), .A2( \exu/pred_correct_npc_r [17]), .B1(n24519), .B2( \exu/i0_flush_path_upper_r [17]), .X(exu_npc_r[17]) ); sky130_fd_sc_hd__a22o_1 U29177 ( .A1(\exu/i0_pred_correct_upper_r ), .A2( \exu/pred_correct_npc_r [18]), .B1(n24519), .B2( \exu/i0_flush_path_upper_r [18]), .X(exu_npc_r[18]) ); sky130_fd_sc_hd__a22o_1 U29178 ( .A1(\exu/i0_pred_correct_upper_r ), .A2( \exu/pred_correct_npc_r [19]), .B1(n24519), .B2( \exu/i0_flush_path_upper_r [19]), .X(exu_npc_r[19]) ); sky130_fd_sc_hd__a22o_1 U29179 ( .A1(\exu/i0_pred_correct_upper_r ), .A2( \exu/pred_correct_npc_r [20]), .B1(n24519), .B2( \exu/i0_flush_path_upper_r [20]), .X(exu_npc_r[20]) ); sky130_fd_sc_hd__a22o_1 U29180 ( .A1(\exu/i0_pred_correct_upper_r ), .A2( \exu/pred_correct_npc_r [21]), .B1(n24519), .B2( \exu/i0_flush_path_upper_r [21]), .X(exu_npc_r[21]) ); sky130_fd_sc_hd__a22o_1 U29181 ( .A1(\exu/i0_pred_correct_upper_r ), .A2( \exu/pred_correct_npc_r [22]), .B1(n24519), .B2( \exu/i0_flush_path_upper_r [22]), .X(exu_npc_r[22]) ); sky130_fd_sc_hd__a22o_1 U29182 ( .A1(\exu/i0_pred_correct_upper_r ), .A2( \exu/pred_correct_npc_r [23]), .B1(n24519), .B2( \exu/i0_flush_path_upper_r [23]), .X(exu_npc_r[23]) ); sky130_fd_sc_hd__a22o_1 U29183 ( .A1(\exu/i0_pred_correct_upper_r ), .A2( \exu/pred_correct_npc_r [24]), .B1(n24519), .B2( \exu/i0_flush_path_upper_r [24]), .X(exu_npc_r[24]) ); sky130_fd_sc_hd__a22o_1 U29184 ( .A1(\exu/i0_pred_correct_upper_r ), .A2( \exu/pred_correct_npc_r [25]), .B1(n24519), .B2( \exu/i0_flush_path_upper_r [25]), .X(exu_npc_r[25]) ); sky130_fd_sc_hd__a22o_1 U29185 ( .A1(\exu/i0_pred_correct_upper_r ), .A2( \exu/pred_correct_npc_r [26]), .B1(n24519), .B2( \exu/i0_flush_path_upper_r [26]), .X(exu_npc_r[26]) ); sky130_fd_sc_hd__a22o_1 U29186 ( .A1(\exu/i0_pred_correct_upper_r ), .A2( \exu/pred_correct_npc_r [27]), .B1(n24519), .B2( \exu/i0_flush_path_upper_r [27]), .X(exu_npc_r[27]) ); sky130_fd_sc_hd__a22o_1 U29187 ( .A1(\exu/i0_pred_correct_upper_r ), .A2( \exu/pred_correct_npc_r [28]), .B1(n24519), .B2( \exu/i0_flush_path_upper_r [28]), .X(exu_npc_r[28]) ); sky130_fd_sc_hd__a22o_1 U29188 ( .A1(\exu/i0_pred_correct_upper_r ), .A2( \exu/pred_correct_npc_r [29]), .B1(n24519), .B2( \exu/i0_flush_path_upper_r [29]), .X(exu_npc_r[29]) ); sky130_fd_sc_hd__a22o_1 U29189 ( .A1(\exu/i0_pred_correct_upper_r ), .A2( \exu/pred_correct_npc_r [30]), .B1(n24519), .B2( \exu/i0_flush_path_upper_r [30]), .X(exu_npc_r[30]) ); sky130_fd_sc_hd__a22o_1 U29190 ( .A1(\exu/i0_pred_correct_upper_r ), .A2( \exu/pred_correct_npc_r [31]), .B1(n24519), .B2( \exu/i0_flush_path_upper_r [31]), .X(exu_npc_r[31]) ); sky130_fd_sc_hd__nand2_1 U29191 ( .A(n24520), .B(\exu/i0_flush_upper_x ), .Y(n24536) ); sky130_fd_sc_hd__clkinv_1 U29192 ( .A(n24536), .Y(n24538) ); sky130_fd_sc_hd__o22ai_1 U29193 ( .A1(n24538), .A2(n24522), .B1(n24536), .B2(n24521), .Y(exu_mp_fghr[0]) ); sky130_fd_sc_hd__o22ai_1 U29194 ( .A1(n24538), .A2(n24524), .B1(n24536), .B2(n24523), .Y(exu_mp_fghr[1]) ); sky130_fd_sc_hd__o22ai_1 U29195 ( .A1(n24538), .A2(n24526), .B1(n24536), .B2(n24525), .Y(exu_mp_fghr[2]) ); sky130_fd_sc_hd__o22ai_1 U29196 ( .A1(n24538), .A2(n24528), .B1(n24536), .B2(n24527), .Y(exu_mp_fghr[3]) ); sky130_fd_sc_hd__o22ai_1 U29197 ( .A1(n24538), .A2(n24530), .B1(n24536), .B2(n24529), .Y(exu_mp_fghr[4]) ); sky130_fd_sc_hd__o22ai_1 U29198 ( .A1(n24538), .A2(n24532), .B1(n24536), .B2(n24531), .Y(exu_mp_fghr[5]) ); sky130_fd_sc_hd__o22ai_1 U29199 ( .A1(n24538), .A2(n24534), .B1(n24536), .B2(n24533), .Y(exu_mp_fghr[6]) ); sky130_fd_sc_hd__o22ai_1 U29200 ( .A1(n24538), .A2(n24537), .B1(n24536), .B2(n24535), .Y(exu_mp_fghr[7]) ); sky130_fd_sc_hd__clkinv_1 U29201 ( .A(exu_csr_rs1_x[5]), .Y(n24765) ); sky130_fd_sc_hd__o22ai_1 U29202 ( .A1(n10879), .A2(n24765), .B1(n24562), .B2(n24539), .Y(\exu/csr_rs1_in_d [5]) ); sky130_fd_sc_hd__clkinv_1 U29203 ( .A(exu_csr_rs1_x[6]), .Y(n24756) ); sky130_fd_sc_hd__o22ai_1 U29204 ( .A1(n10879), .A2(n24756), .B1(n24562), .B2(n24540), .Y(\exu/csr_rs1_in_d [6]) ); sky130_fd_sc_hd__clkinv_1 U29205 ( .A(exu_csr_rs1_x[7]), .Y(n24748) ); sky130_fd_sc_hd__o22ai_1 U29206 ( .A1(n10879), .A2(n24748), .B1(n24562), .B2(n24541), .Y(\exu/csr_rs1_in_d [7]) ); sky130_fd_sc_hd__clkinv_1 U29207 ( .A(exu_csr_rs1_x[8]), .Y(n24740) ); sky130_fd_sc_hd__o22ai_1 U29208 ( .A1(n10879), .A2(n24740), .B1(n24562), .B2(n24542), .Y(\exu/csr_rs1_in_d [8]) ); sky130_fd_sc_hd__o22ai_1 U29209 ( .A1(n10879), .A2(n24733), .B1(n24562), .B2(n24543), .Y(\exu/csr_rs1_in_d [9]) ); sky130_fd_sc_hd__clkinv_1 U29210 ( .A(exu_csr_rs1_x[10]), .Y(n24726) ); sky130_fd_sc_hd__o22ai_1 U29211 ( .A1(n10879), .A2(n24726), .B1(n24562), .B2(n24544), .Y(\exu/csr_rs1_in_d [10]) ); sky130_fd_sc_hd__clkinv_1 U29212 ( .A(exu_csr_rs1_x[11]), .Y(n24719) ); sky130_fd_sc_hd__o22ai_1 U29213 ( .A1(n10879), .A2(n24719), .B1(n24562), .B2(n24545), .Y(\exu/csr_rs1_in_d [11]) ); sky130_fd_sc_hd__clkinv_1 U29214 ( .A(exu_csr_rs1_x[12]), .Y(n24712) ); sky130_fd_sc_hd__o22ai_1 U29215 ( .A1(n10879), .A2(n24712), .B1(n24562), .B2(n24546), .Y(\exu/csr_rs1_in_d [12]) ); sky130_fd_sc_hd__clkinv_1 U29216 ( .A(exu_csr_rs1_x[13]), .Y(n24705) ); sky130_fd_sc_hd__o22ai_1 U29217 ( .A1(n10879), .A2(n24705), .B1(n24562), .B2(n24547), .Y(\exu/csr_rs1_in_d [13]) ); sky130_fd_sc_hd__clkinv_1 U29218 ( .A(exu_csr_rs1_x[14]), .Y(n24698) ); sky130_fd_sc_hd__o22ai_1 U29219 ( .A1(n10879), .A2(n24698), .B1(n24562), .B2(n24548), .Y(\exu/csr_rs1_in_d [14]) ); sky130_fd_sc_hd__clkinv_1 U29220 ( .A(exu_csr_rs1_x[15]), .Y(n24691) ); sky130_fd_sc_hd__o22ai_1 U29221 ( .A1(n10879), .A2(n24691), .B1(n24562), .B2(n24549), .Y(\exu/csr_rs1_in_d [15]) ); sky130_fd_sc_hd__clkinv_1 U29222 ( .A(exu_csr_rs1_x[16]), .Y(n24684) ); sky130_fd_sc_hd__o22ai_1 U29223 ( .A1(n10879), .A2(n24684), .B1(n24562), .B2(n24550), .Y(\exu/csr_rs1_in_d [16]) ); sky130_fd_sc_hd__clkinv_1 U29224 ( .A(exu_csr_rs1_x[17]), .Y(n24677) ); sky130_fd_sc_hd__o22ai_1 U29225 ( .A1(n10879), .A2(n24677), .B1(n24562), .B2(n24551), .Y(\exu/csr_rs1_in_d [17]) ); sky130_fd_sc_hd__clkinv_1 U29226 ( .A(exu_csr_rs1_x[18]), .Y(n24670) ); sky130_fd_sc_hd__o22ai_1 U29227 ( .A1(n10879), .A2(n24670), .B1(n24562), .B2(n24552), .Y(\exu/csr_rs1_in_d [18]) ); sky130_fd_sc_hd__clkinv_1 U29228 ( .A(exu_csr_rs1_x[19]), .Y(n24663) ); sky130_fd_sc_hd__o22ai_1 U29229 ( .A1(n10879), .A2(n24663), .B1(n24562), .B2(n24553), .Y(\exu/csr_rs1_in_d [19]) ); sky130_fd_sc_hd__o22ai_1 U29230 ( .A1(n10879), .A2(n24656), .B1(n24562), .B2(n24554), .Y(\exu/csr_rs1_in_d [20]) ); sky130_fd_sc_hd__clkinv_1 U29231 ( .A(exu_csr_rs1_x[21]), .Y(n24649) ); sky130_fd_sc_hd__o22ai_1 U29232 ( .A1(n10879), .A2(n24649), .B1(n24562), .B2(n24555), .Y(\exu/csr_rs1_in_d [21]) ); sky130_fd_sc_hd__clkinv_1 U29233 ( .A(exu_csr_rs1_x[22]), .Y(n24642) ); sky130_fd_sc_hd__o22ai_1 U29234 ( .A1(n10879), .A2(n24642), .B1(n24562), .B2(n24556), .Y(\exu/csr_rs1_in_d [22]) ); sky130_fd_sc_hd__clkinv_1 U29235 ( .A(exu_csr_rs1_x[24]), .Y(n24628) ); sky130_fd_sc_hd__o22ai_1 U29236 ( .A1(n10879), .A2(n24628), .B1(n24562), .B2(n24557), .Y(\exu/csr_rs1_in_d [24]) ); sky130_fd_sc_hd__clkinv_1 U29237 ( .A(exu_csr_rs1_x[26]), .Y(n24614) ); sky130_fd_sc_hd__o22ai_1 U29238 ( .A1(n10879), .A2(n24614), .B1(n24562), .B2(n24558), .Y(\exu/csr_rs1_in_d [26]) ); sky130_fd_sc_hd__clkinv_1 U29239 ( .A(exu_csr_rs1_x[28]), .Y(n24600) ); sky130_fd_sc_hd__o22ai_1 U29240 ( .A1(n10879), .A2(n24600), .B1(n24562), .B2(n24559), .Y(\exu/csr_rs1_in_d [28]) ); sky130_fd_sc_hd__clkinv_1 U29241 ( .A(exu_csr_rs1_x[30]), .Y(n24586) ); sky130_fd_sc_hd__o22ai_1 U29242 ( .A1(n10879), .A2(n24586), .B1(n24562), .B2(n24560), .Y(\exu/csr_rs1_in_d [30]) ); sky130_fd_sc_hd__clkinv_1 U29243 ( .A(exu_csr_rs1_x[31]), .Y(n24579) ); sky130_fd_sc_hd__o22ai_1 U29244 ( .A1(n10879), .A2(n24579), .B1(n24562), .B2(n24561), .Y(\exu/csr_rs1_in_d [31]) ); sky130_fd_sc_hd__nand3_1 U29245 ( .A(n24565), .B(n24564), .C(n24563), .Y( n24566) ); sky130_fd_sc_hd__a21oi_1 U29246 ( .A1(n24567), .A2(n24568), .B1(n24566), .Y( \pic_ctrl_inst/mhwakeup_in ) ); sky130_fd_sc_hd__nand2_1 U29247 ( .A(n24568), .B(n24567), .Y(n24570) ); sky130_fd_sc_hd__nand2_1 U29248 ( .A(n24570), .B(n10878), .Y(n24569) ); sky130_fd_sc_hd__o21ai_1 U29249 ( .A1(n10878), .A2(n24570), .B1(n24569), .Y( \pic_ctrl_inst/pl_in_q [3]) ); sky130_fd_sc_hd__nand2_1 U29250 ( .A(n24571), .B(n24572), .Y(n24799) ); sky130_fd_sc_hd__clkinv_1 U29251 ( .A(\dec/decode/csr_imm_x ), .Y(n24792) ); sky130_fd_sc_hd__nand2b_1 U29252 ( .A_N(n24799), .B(n24792), .Y(n24766) ); sky130_fd_sc_hd__nand2_1 U29253 ( .A(n24572), .B(\dec/decode/csr_clr_x ), .Y(n24758) ); sky130_fd_sc_hd__and3_1 U29254 ( .A(\dec/decode/csr_set_x ), .B(n24780), .C( n24573), .X(n24795) ); sky130_fd_sc_hd__a21oi_1 U29255 ( .A1(\dec/decode/csr_imm_x ), .A2(n24796), .B1(n24795), .Y(n24757) ); sky130_fd_sc_hd__o21ai_1 U29256 ( .A1(exu_csr_rs1_x[31]), .A2(n24758), .B1( n24757), .Y(n24574) ); sky130_fd_sc_hd__a22oi_1 U29257 ( .A1(n24793), .A2( \dec/dec_csr_wrdata_r [31]), .B1(\dec/decode/csr_rddata_x [31]), .B2( n24574), .Y(n24578) ); sky130_fd_sc_hd__nor3_1 U29258 ( .A(\dec/decode/write_csr_data [1]), .B( \dec/decode/write_csr_data [2]), .C(\dec/decode/write_csr_data [0]), .Y(n24779) ); sky130_fd_sc_hd__nand2_1 U29259 ( .A(n24779), .B(n24774), .Y(n24773) ); sky130_fd_sc_hd__nor2_1 U29260 ( .A(\dec/decode/write_csr_data [4]), .B( n24773), .Y(n24767) ); sky130_fd_sc_hd__nand2_1 U29261 ( .A(n24767), .B(n24761), .Y(n24760) ); sky130_fd_sc_hd__nor2_1 U29262 ( .A(\dec/decode/write_csr_data [6]), .B( n24760), .Y(n24749) ); sky130_fd_sc_hd__nand2_1 U29263 ( .A(n24575), .B(n24749), .Y(n24713) ); sky130_fd_sc_hd__nor2_1 U29264 ( .A(\dec/decode/write_csr_data [12]), .B( n24713), .Y(n24706) ); sky130_fd_sc_hd__nand2_1 U29265 ( .A(n24706), .B(n24700), .Y(n24699) ); sky130_fd_sc_hd__nor2_1 U29266 ( .A(\dec/decode/write_csr_data [14]), .B( n24699), .Y(n24692) ); sky130_fd_sc_hd__nand2_1 U29267 ( .A(n24692), .B(n24686), .Y(n24685) ); sky130_fd_sc_hd__nor2_1 U29268 ( .A(\dec/decode/write_csr_data [16]), .B( n24685), .Y(n24678) ); sky130_fd_sc_hd__nand2_1 U29269 ( .A(n24678), .B(n24672), .Y(n24671) ); sky130_fd_sc_hd__nor2_1 U29270 ( .A(\dec/decode/write_csr_data [18]), .B( n24671), .Y(n24664) ); sky130_fd_sc_hd__nand2_1 U29271 ( .A(n24664), .B(n24658), .Y(n24657) ); sky130_fd_sc_hd__nor2_1 U29272 ( .A(\dec/decode/write_csr_data [20]), .B( n24657), .Y(n24650) ); sky130_fd_sc_hd__nand2_1 U29273 ( .A(n24650), .B(n24644), .Y(n24643) ); sky130_fd_sc_hd__nor2_1 U29274 ( .A(\dec/decode/write_csr_data [22]), .B( n24643), .Y(n24636) ); sky130_fd_sc_hd__nand2_1 U29275 ( .A(n24636), .B(n24630), .Y(n24629) ); sky130_fd_sc_hd__nor2_1 U29276 ( .A(\dec/decode/write_csr_data [24]), .B( n24629), .Y(n24622) ); sky130_fd_sc_hd__nand2_1 U29277 ( .A(n24622), .B(n24616), .Y(n24615) ); sky130_fd_sc_hd__nor2_1 U29278 ( .A(\dec/decode/write_csr_data [26]), .B( n24615), .Y(n24608) ); sky130_fd_sc_hd__nand2_1 U29279 ( .A(n24608), .B(n24602), .Y(n24601) ); sky130_fd_sc_hd__nor2_1 U29280 ( .A(\dec/decode/write_csr_data [28]), .B( n24601), .Y(n24594) ); sky130_fd_sc_hd__nand2_1 U29281 ( .A(n24594), .B(n24588), .Y(n24587) ); sky130_fd_sc_hd__nor2_1 U29282 ( .A(\dec/decode/write_csr_data [30]), .B( n24587), .Y(n24580) ); sky130_fd_sc_hd__a21oi_1 U29283 ( .A1(\dec/decode/write_csr_data [31]), .A2( n24580), .B1(n24780), .Y(n24576) ); sky130_fd_sc_hd__o21ai_1 U29284 ( .A1(\dec/decode/write_csr_data [31]), .A2( n24580), .B1(n24576), .Y(n24577) ); sky130_fd_sc_hd__o211ai_1 U29285 ( .A1(n24766), .A2(n24579), .B1(n24578), .C1(n24577), .Y(n10855) ); sky130_fd_sc_hd__o21ai_1 U29286 ( .A1(exu_csr_rs1_x[30]), .A2(n24758), .B1( n24757), .Y(n24584) ); sky130_fd_sc_hd__a21oi_1 U29287 ( .A1(\dec/decode/write_csr_data [30]), .A2( n24587), .B1(n24580), .Y(n24581) ); sky130_fd_sc_hd__o22ai_1 U29288 ( .A1(n24582), .A2(n24751), .B1(n24581), .B2(n24780), .Y(n24583) ); sky130_fd_sc_hd__a21oi_1 U29289 ( .A1(\dec/decode/csr_rddata_x [30]), .A2( n24584), .B1(n24583), .Y(n24585) ); sky130_fd_sc_hd__o21ai_1 U29290 ( .A1(n24586), .A2(n24766), .B1(n24585), .Y( n10854) ); sky130_fd_sc_hd__o21ai_1 U29291 ( .A1(n24594), .A2(n24588), .B1(n24587), .Y( n24589) ); sky130_fd_sc_hd__a22oi_1 U29292 ( .A1(\dec/dec_pause_state ), .A2(n24589), .B1(n24793), .B2(\dec/dec_csr_wrdata_r [29]), .Y(n24592) ); sky130_fd_sc_hd__o21ai_1 U29293 ( .A1(n24758), .A2(exu_csr_rs1_x[29]), .B1( n24757), .Y(n24590) ); sky130_fd_sc_hd__nand2_1 U29294 ( .A(n24590), .B( \dec/decode/csr_rddata_x [29]), .Y(n24591) ); sky130_fd_sc_hd__o211ai_1 U29295 ( .A1(n24766), .A2(n24593), .B1(n24592), .C1(n24591), .Y(n10853) ); sky130_fd_sc_hd__o21ai_1 U29296 ( .A1(exu_csr_rs1_x[28]), .A2(n24758), .B1( n24757), .Y(n24598) ); sky130_fd_sc_hd__a21oi_1 U29297 ( .A1(\dec/decode/write_csr_data [28]), .A2( n24601), .B1(n24594), .Y(n24595) ); sky130_fd_sc_hd__o22ai_1 U29298 ( .A1(n24596), .A2(n24751), .B1(n24595), .B2(n24780), .Y(n24597) ); sky130_fd_sc_hd__a21oi_1 U29299 ( .A1(\dec/decode/csr_rddata_x [28]), .A2( n24598), .B1(n24597), .Y(n24599) ); sky130_fd_sc_hd__o21ai_1 U29300 ( .A1(n24600), .A2(n24766), .B1(n24599), .Y( n10852) ); sky130_fd_sc_hd__o21ai_1 U29301 ( .A1(n24608), .A2(n24602), .B1(n24601), .Y( n24603) ); sky130_fd_sc_hd__a22oi_1 U29302 ( .A1(\dec/dec_pause_state ), .A2(n24603), .B1(n24793), .B2(\dec/dec_csr_wrdata_r [27]), .Y(n24606) ); sky130_fd_sc_hd__o21ai_1 U29303 ( .A1(n24758), .A2(exu_csr_rs1_x[27]), .B1( n24757), .Y(n24604) ); sky130_fd_sc_hd__nand2_1 U29304 ( .A(n24604), .B( \dec/decode/csr_rddata_x [27]), .Y(n24605) ); sky130_fd_sc_hd__o211ai_1 U29305 ( .A1(n24766), .A2(n24607), .B1(n24606), .C1(n24605), .Y(n10851) ); sky130_fd_sc_hd__o21ai_1 U29306 ( .A1(exu_csr_rs1_x[26]), .A2(n24758), .B1( n24757), .Y(n24612) ); sky130_fd_sc_hd__a21oi_1 U29307 ( .A1(\dec/decode/write_csr_data [26]), .A2( n24615), .B1(n24608), .Y(n24609) ); sky130_fd_sc_hd__o22ai_1 U29308 ( .A1(n24610), .A2(n24751), .B1(n24609), .B2(n24780), .Y(n24611) ); sky130_fd_sc_hd__a21oi_1 U29309 ( .A1(\dec/decode/csr_rddata_x [26]), .A2( n24612), .B1(n24611), .Y(n24613) ); sky130_fd_sc_hd__o21ai_1 U29310 ( .A1(n24614), .A2(n24766), .B1(n24613), .Y( n10850) ); sky130_fd_sc_hd__o21ai_1 U29311 ( .A1(n24622), .A2(n24616), .B1(n24615), .Y( n24617) ); sky130_fd_sc_hd__a22oi_1 U29312 ( .A1(\dec/dec_pause_state ), .A2(n24617), .B1(n24793), .B2(\dec/dec_csr_wrdata_r [25]), .Y(n24620) ); sky130_fd_sc_hd__o21ai_1 U29313 ( .A1(n24758), .A2(exu_csr_rs1_x[25]), .B1( n24757), .Y(n24618) ); sky130_fd_sc_hd__nand2_1 U29314 ( .A(n24618), .B( \dec/decode/csr_rddata_x [25]), .Y(n24619) ); sky130_fd_sc_hd__o211ai_1 U29315 ( .A1(n24766), .A2(n24621), .B1(n24620), .C1(n24619), .Y(n10849) ); sky130_fd_sc_hd__o21ai_1 U29316 ( .A1(exu_csr_rs1_x[24]), .A2(n24758), .B1( n24757), .Y(n24626) ); sky130_fd_sc_hd__a21oi_1 U29317 ( .A1(\dec/decode/write_csr_data [24]), .A2( n24629), .B1(n24622), .Y(n24623) ); sky130_fd_sc_hd__o22ai_1 U29318 ( .A1(n24624), .A2(n24751), .B1(n24623), .B2(n24780), .Y(n24625) ); sky130_fd_sc_hd__a21oi_1 U29319 ( .A1(\dec/decode/csr_rddata_x [24]), .A2( n24626), .B1(n24625), .Y(n24627) ); sky130_fd_sc_hd__o21ai_1 U29320 ( .A1(n24628), .A2(n24766), .B1(n24627), .Y( n10848) ); sky130_fd_sc_hd__o21ai_1 U29321 ( .A1(n24636), .A2(n24630), .B1(n24629), .Y( n24631) ); sky130_fd_sc_hd__a22oi_1 U29322 ( .A1(\dec/dec_pause_state ), .A2(n24631), .B1(n24793), .B2(\dec/dec_csr_wrdata_r [23]), .Y(n24634) ); sky130_fd_sc_hd__o21ai_1 U29323 ( .A1(n24758), .A2(exu_csr_rs1_x[23]), .B1( n24757), .Y(n24632) ); sky130_fd_sc_hd__nand2_1 U29324 ( .A(n24632), .B( \dec/decode/csr_rddata_x [23]), .Y(n24633) ); sky130_fd_sc_hd__o211ai_1 U29325 ( .A1(n24766), .A2(n24635), .B1(n24634), .C1(n24633), .Y(n10847) ); sky130_fd_sc_hd__o21ai_1 U29326 ( .A1(exu_csr_rs1_x[22]), .A2(n24758), .B1( n24757), .Y(n24640) ); sky130_fd_sc_hd__a21oi_1 U29327 ( .A1(\dec/decode/write_csr_data [22]), .A2( n24643), .B1(n24636), .Y(n24637) ); sky130_fd_sc_hd__o22ai_1 U29328 ( .A1(n24638), .A2(n24751), .B1(n24637), .B2(n24780), .Y(n24639) ); sky130_fd_sc_hd__a21oi_1 U29329 ( .A1(\dec/decode/csr_rddata_x [22]), .A2( n24640), .B1(n24639), .Y(n24641) ); sky130_fd_sc_hd__o21ai_1 U29330 ( .A1(n24642), .A2(n24766), .B1(n24641), .Y( n10846) ); sky130_fd_sc_hd__o21ai_1 U29331 ( .A1(n24650), .A2(n24644), .B1(n24643), .Y( n24645) ); sky130_fd_sc_hd__a22oi_1 U29332 ( .A1(\dec/dec_pause_state ), .A2(n24645), .B1(n24793), .B2(\dec/dec_csr_wrdata_r [21]), .Y(n24648) ); sky130_fd_sc_hd__o21ai_1 U29333 ( .A1(n24758), .A2(exu_csr_rs1_x[21]), .B1( n24757), .Y(n24646) ); sky130_fd_sc_hd__nand2_1 U29334 ( .A(n24646), .B( \dec/decode/csr_rddata_x [21]), .Y(n24647) ); sky130_fd_sc_hd__o211ai_1 U29335 ( .A1(n24766), .A2(n24649), .B1(n24648), .C1(n24647), .Y(n10845) ); sky130_fd_sc_hd__o21ai_1 U29336 ( .A1(exu_csr_rs1_x[20]), .A2(n24758), .B1( n24757), .Y(n24654) ); sky130_fd_sc_hd__a21oi_1 U29337 ( .A1(\dec/decode/write_csr_data [20]), .A2( n24657), .B1(n24650), .Y(n24651) ); sky130_fd_sc_hd__o22ai_1 U29338 ( .A1(n24652), .A2(n24751), .B1(n24651), .B2(n24780), .Y(n24653) ); sky130_fd_sc_hd__a21oi_1 U29339 ( .A1(\dec/decode/csr_rddata_x [20]), .A2( n24654), .B1(n24653), .Y(n24655) ); sky130_fd_sc_hd__o21ai_1 U29340 ( .A1(n24656), .A2(n24766), .B1(n24655), .Y( n10844) ); sky130_fd_sc_hd__o21ai_1 U29341 ( .A1(n24664), .A2(n24658), .B1(n24657), .Y( n24659) ); sky130_fd_sc_hd__a22oi_1 U29342 ( .A1(\dec/dec_pause_state ), .A2(n24659), .B1(n24793), .B2(\dec/dec_csr_wrdata_r [19]), .Y(n24662) ); sky130_fd_sc_hd__o21ai_1 U29343 ( .A1(n24758), .A2(exu_csr_rs1_x[19]), .B1( n24757), .Y(n24660) ); sky130_fd_sc_hd__nand2_1 U29344 ( .A(n24660), .B( \dec/decode/csr_rddata_x [19]), .Y(n24661) ); sky130_fd_sc_hd__o211ai_1 U29345 ( .A1(n24766), .A2(n24663), .B1(n24662), .C1(n24661), .Y(n10843) ); sky130_fd_sc_hd__o21ai_1 U29346 ( .A1(exu_csr_rs1_x[18]), .A2(n24758), .B1( n24757), .Y(n24668) ); sky130_fd_sc_hd__a21oi_1 U29347 ( .A1(\dec/decode/write_csr_data [18]), .A2( n24671), .B1(n24664), .Y(n24665) ); sky130_fd_sc_hd__o22ai_1 U29348 ( .A1(n24666), .A2(n24751), .B1(n24665), .B2(n24780), .Y(n24667) ); sky130_fd_sc_hd__a21oi_1 U29349 ( .A1(\dec/decode/csr_rddata_x [18]), .A2( n24668), .B1(n24667), .Y(n24669) ); sky130_fd_sc_hd__o21ai_1 U29350 ( .A1(n24670), .A2(n24766), .B1(n24669), .Y( n10842) ); sky130_fd_sc_hd__o21ai_1 U29351 ( .A1(n24678), .A2(n24672), .B1(n24671), .Y( n24673) ); sky130_fd_sc_hd__a22oi_1 U29352 ( .A1(\dec/dec_pause_state ), .A2(n24673), .B1(n24793), .B2(\dec/dec_csr_wrdata_r [17]), .Y(n24676) ); sky130_fd_sc_hd__o21ai_1 U29353 ( .A1(n24758), .A2(exu_csr_rs1_x[17]), .B1( n24757), .Y(n24674) ); sky130_fd_sc_hd__nand2_1 U29354 ( .A(n24674), .B( \dec/decode/csr_rddata_x [17]), .Y(n24675) ); sky130_fd_sc_hd__o211ai_1 U29355 ( .A1(n24766), .A2(n24677), .B1(n24676), .C1(n24675), .Y(n10841) ); sky130_fd_sc_hd__o21ai_1 U29356 ( .A1(exu_csr_rs1_x[16]), .A2(n24758), .B1( n24757), .Y(n24682) ); sky130_fd_sc_hd__a21oi_1 U29357 ( .A1(\dec/decode/write_csr_data [16]), .A2( n24685), .B1(n24678), .Y(n24679) ); sky130_fd_sc_hd__o22ai_1 U29358 ( .A1(n24680), .A2(n24751), .B1(n24679), .B2(n24780), .Y(n24681) ); sky130_fd_sc_hd__a21oi_1 U29359 ( .A1(\dec/decode/csr_rddata_x [16]), .A2( n24682), .B1(n24681), .Y(n24683) ); sky130_fd_sc_hd__o21ai_1 U29360 ( .A1(n24684), .A2(n24766), .B1(n24683), .Y( n10840) ); sky130_fd_sc_hd__o21ai_1 U29361 ( .A1(n24692), .A2(n24686), .B1(n24685), .Y( n24687) ); sky130_fd_sc_hd__a22oi_1 U29362 ( .A1(\dec/dec_pause_state ), .A2(n24687), .B1(n24793), .B2(\dec/dec_csr_wrdata_r [15]), .Y(n24690) ); sky130_fd_sc_hd__o21ai_1 U29363 ( .A1(n24758), .A2(exu_csr_rs1_x[15]), .B1( n24757), .Y(n24688) ); sky130_fd_sc_hd__nand2_1 U29364 ( .A(n24688), .B( \dec/decode/csr_rddata_x [15]), .Y(n24689) ); sky130_fd_sc_hd__o211ai_1 U29365 ( .A1(n24766), .A2(n24691), .B1(n24690), .C1(n24689), .Y(n10839) ); sky130_fd_sc_hd__o21ai_1 U29366 ( .A1(exu_csr_rs1_x[14]), .A2(n24758), .B1( n24757), .Y(n24696) ); sky130_fd_sc_hd__a21oi_1 U29367 ( .A1(\dec/decode/write_csr_data [14]), .A2( n24699), .B1(n24692), .Y(n24693) ); sky130_fd_sc_hd__o22ai_1 U29368 ( .A1(n24694), .A2(n24751), .B1(n24693), .B2(n24780), .Y(n24695) ); sky130_fd_sc_hd__a21oi_1 U29369 ( .A1(\dec/decode/csr_rddata_x [14]), .A2( n24696), .B1(n24695), .Y(n24697) ); sky130_fd_sc_hd__o21ai_1 U29370 ( .A1(n24698), .A2(n24766), .B1(n24697), .Y( n10838) ); sky130_fd_sc_hd__o21ai_1 U29371 ( .A1(n24706), .A2(n24700), .B1(n24699), .Y( n24701) ); sky130_fd_sc_hd__a22oi_1 U29372 ( .A1(\dec/dec_pause_state ), .A2(n24701), .B1(n24793), .B2(\dec/dec_csr_wrdata_r [13]), .Y(n24704) ); sky130_fd_sc_hd__o21ai_1 U29373 ( .A1(n24758), .A2(exu_csr_rs1_x[13]), .B1( n24757), .Y(n24702) ); sky130_fd_sc_hd__nand2_1 U29374 ( .A(n24702), .B( \dec/decode/csr_rddata_x [13]), .Y(n24703) ); sky130_fd_sc_hd__o211ai_1 U29375 ( .A1(n24766), .A2(n24705), .B1(n24704), .C1(n24703), .Y(n10837) ); sky130_fd_sc_hd__o21ai_1 U29376 ( .A1(exu_csr_rs1_x[12]), .A2(n24758), .B1( n24757), .Y(n24710) ); sky130_fd_sc_hd__a21oi_1 U29377 ( .A1(\dec/decode/write_csr_data [12]), .A2( n24713), .B1(n24706), .Y(n24707) ); sky130_fd_sc_hd__o22ai_1 U29378 ( .A1(n24708), .A2(n24751), .B1(n24707), .B2(n24780), .Y(n24709) ); sky130_fd_sc_hd__a21oi_1 U29379 ( .A1(\dec/decode/csr_rddata_x [12]), .A2( n24710), .B1(n24709), .Y(n24711) ); sky130_fd_sc_hd__o21ai_1 U29380 ( .A1(n24712), .A2(n24766), .B1(n24711), .Y( n10836) ); sky130_fd_sc_hd__o21ai_1 U29381 ( .A1(exu_csr_rs1_x[11]), .A2(n24758), .B1( n24757), .Y(n24717) ); sky130_fd_sc_hd__clkinv_1 U29382 ( .A(n24749), .Y(n24742) ); sky130_fd_sc_hd__nor2_1 U29383 ( .A(\dec/decode/write_csr_data [7]), .B( n24742), .Y(n24741) ); sky130_fd_sc_hd__nand2_1 U29384 ( .A(n24741), .B(n24735), .Y(n24734) ); sky130_fd_sc_hd__nor2_1 U29385 ( .A(\dec/decode/write_csr_data [9]), .B( n24734), .Y(n24727) ); sky130_fd_sc_hd__nand2_1 U29386 ( .A(n24727), .B(n24721), .Y(n24720) ); sky130_fd_sc_hd__a21boi_0 U29387 ( .A1(\dec/decode/write_csr_data [11]), .A2(n24720), .B1_N(n24713), .Y(n24714) ); sky130_fd_sc_hd__o22ai_1 U29388 ( .A1(n24715), .A2(n24751), .B1(n24714), .B2(n24780), .Y(n24716) ); sky130_fd_sc_hd__a21oi_1 U29389 ( .A1(\dec/decode/csr_rddata_x [11]), .A2( n24717), .B1(n24716), .Y(n24718) ); sky130_fd_sc_hd__o21ai_1 U29390 ( .A1(n24719), .A2(n24766), .B1(n24718), .Y( n10835) ); sky130_fd_sc_hd__o21ai_1 U29391 ( .A1(n24727), .A2(n24721), .B1(n24720), .Y( n24722) ); sky130_fd_sc_hd__a22oi_1 U29392 ( .A1(\dec/dec_pause_state ), .A2(n24722), .B1(n24793), .B2(\dec/dec_csr_wrdata_r [10]), .Y(n24725) ); sky130_fd_sc_hd__o21ai_1 U29393 ( .A1(n24758), .A2(exu_csr_rs1_x[10]), .B1( n24757), .Y(n24723) ); sky130_fd_sc_hd__nand2_1 U29394 ( .A(n24723), .B( \dec/decode/csr_rddata_x [10]), .Y(n24724) ); sky130_fd_sc_hd__o211ai_1 U29395 ( .A1(n24766), .A2(n24726), .B1(n24725), .C1(n24724), .Y(n10834) ); sky130_fd_sc_hd__o21ai_1 U29396 ( .A1(exu_csr_rs1_x[9]), .A2(n24758), .B1( n24757), .Y(n24731) ); sky130_fd_sc_hd__a21oi_1 U29397 ( .A1(\dec/decode/write_csr_data [9]), .A2( n24734), .B1(n24727), .Y(n24728) ); sky130_fd_sc_hd__o22ai_1 U29398 ( .A1(n24729), .A2(n24751), .B1(n24728), .B2(n24780), .Y(n24730) ); sky130_fd_sc_hd__a21oi_1 U29399 ( .A1(\dec/decode/csr_rddata_x [9]), .A2( n24731), .B1(n24730), .Y(n24732) ); sky130_fd_sc_hd__o21ai_1 U29400 ( .A1(n24733), .A2(n24766), .B1(n24732), .Y( n10833) ); sky130_fd_sc_hd__o21ai_1 U29401 ( .A1(n24741), .A2(n24735), .B1(n24734), .Y( n24736) ); sky130_fd_sc_hd__a22oi_1 U29402 ( .A1(\dec/dec_pause_state ), .A2(n24736), .B1(n24793), .B2(\dec/dec_csr_wrdata_r [8]), .Y(n24739) ); sky130_fd_sc_hd__o21ai_1 U29403 ( .A1(n24758), .A2(exu_csr_rs1_x[8]), .B1( n24757), .Y(n24737) ); sky130_fd_sc_hd__nand2_1 U29404 ( .A(n24737), .B( \dec/decode/csr_rddata_x [8]), .Y(n24738) ); sky130_fd_sc_hd__o211ai_1 U29405 ( .A1(n24766), .A2(n24740), .B1(n24739), .C1(n24738), .Y(n10832) ); sky130_fd_sc_hd__o21ai_1 U29406 ( .A1(exu_csr_rs1_x[7]), .A2(n24758), .B1( n24757), .Y(n24746) ); sky130_fd_sc_hd__a21oi_1 U29407 ( .A1(\dec/decode/write_csr_data [7]), .A2( n24742), .B1(n24741), .Y(n24743) ); sky130_fd_sc_hd__o22ai_1 U29408 ( .A1(n24744), .A2(n24751), .B1(n24743), .B2(n24780), .Y(n24745) ); sky130_fd_sc_hd__a21oi_1 U29409 ( .A1(\dec/decode/csr_rddata_x [7]), .A2( n24746), .B1(n24745), .Y(n24747) ); sky130_fd_sc_hd__o21ai_1 U29410 ( .A1(n24748), .A2(n24766), .B1(n24747), .Y( n10831) ); sky130_fd_sc_hd__o21ai_1 U29411 ( .A1(exu_csr_rs1_x[6]), .A2(n24758), .B1( n24757), .Y(n24754) ); sky130_fd_sc_hd__a21oi_1 U29412 ( .A1(\dec/decode/write_csr_data [6]), .A2( n24760), .B1(n24749), .Y(n24750) ); sky130_fd_sc_hd__o22ai_1 U29413 ( .A1(n24752), .A2(n24751), .B1(n24750), .B2(n24780), .Y(n24753) ); sky130_fd_sc_hd__a21oi_1 U29414 ( .A1(\dec/decode/csr_rddata_x [6]), .A2( n24754), .B1(n24753), .Y(n24755) ); sky130_fd_sc_hd__o21ai_1 U29415 ( .A1(n24756), .A2(n24766), .B1(n24755), .Y( n10830) ); sky130_fd_sc_hd__o21ai_1 U29416 ( .A1(exu_csr_rs1_x[5]), .A2(n24758), .B1( n24757), .Y(n24759) ); sky130_fd_sc_hd__a22oi_1 U29417 ( .A1(n24793), .A2(\dec/dec_csr_wrdata_r [5]), .B1(\dec/decode/csr_rddata_x [5]), .B2(n24759), .Y(n24764) ); sky130_fd_sc_hd__o21ai_1 U29418 ( .A1(n24761), .A2(n24767), .B1(n24760), .Y( n24762) ); sky130_fd_sc_hd__nand2_1 U29419 ( .A(n24762), .B(\dec/dec_pause_state ), .Y( n24763) ); sky130_fd_sc_hd__o211ai_1 U29420 ( .A1(n24766), .A2(n24765), .B1(n24764), .C1(n24763), .Y(n10829) ); sky130_fd_sc_hd__o22ai_1 U29421 ( .A1(\dec/decode/csr_imm_x ), .A2( exu_csr_rs1_x[4]), .B1(n24792), .B2(\dec/decode/csrimm_x [4]), .Y( n24770) ); sky130_fd_sc_hd__a21oi_1 U29422 ( .A1(\dec/decode/write_csr_data [4]), .A2( n24773), .B1(n24767), .Y(n24768) ); sky130_fd_sc_hd__o22ai_1 U29423 ( .A1(n24770), .A2(n24799), .B1(n24768), .B2(n24780), .Y(n24769) ); sky130_fd_sc_hd__a21oi_1 U29424 ( .A1(n24793), .A2(\dec/dec_csr_wrdata_r [4]), .B1(n24769), .Y(n24772) ); sky130_fd_sc_hd__a32oi_1 U29425 ( .A1(n24796), .A2( \dec/decode/csr_rddata_x [4]), .A3(n24770), .B1(n24795), .B2( \dec/decode/csr_rddata_x [4]), .Y(n24771) ); sky130_fd_sc_hd__nand2_1 U29426 ( .A(n24772), .B(n24771), .Y(n10828) ); sky130_fd_sc_hd__o22ai_1 U29427 ( .A1(\dec/decode/csr_imm_x ), .A2( exu_csr_rs1_x[3]), .B1(n24792), .B2(\dec/decode/csrimm_x [3]), .Y( n24778) ); sky130_fd_sc_hd__o21ai_1 U29428 ( .A1(n24779), .A2(n24774), .B1(n24773), .Y( n24775) ); sky130_fd_sc_hd__a22oi_1 U29429 ( .A1(\dec/dec_pause_state ), .A2(n24775), .B1(n24793), .B2(\dec/dec_csr_wrdata_r [3]), .Y(n24777) ); sky130_fd_sc_hd__a32oi_1 U29430 ( .A1(n24796), .A2( \dec/decode/csr_rddata_x [3]), .A3(n24778), .B1(n24795), .B2( \dec/decode/csr_rddata_x [3]), .Y(n24776) ); sky130_fd_sc_hd__o211ai_1 U29431 ( .A1(n24778), .A2(n24799), .B1(n24777), .C1(n24776), .Y(n10827) ); sky130_fd_sc_hd__nand2_1 U29432 ( .A(n24787), .B(n24794), .Y(n24786) ); sky130_fd_sc_hd__a21oi_1 U29433 ( .A1(\dec/decode/write_csr_data [2]), .A2( n24786), .B1(n24779), .Y(n24781) ); sky130_fd_sc_hd__o22ai_1 U29434 ( .A1(\dec/decode/csr_imm_x ), .A2( exu_csr_rs1_x[2]), .B1(n24792), .B2(\dec/decode/csrimm_x [2]), .Y( n24783) ); sky130_fd_sc_hd__o22ai_1 U29435 ( .A1(n24781), .A2(n24780), .B1(n24783), .B2(n24799), .Y(n24782) ); sky130_fd_sc_hd__a21oi_1 U29436 ( .A1(n24793), .A2(\dec/dec_csr_wrdata_r [2]), .B1(n24782), .Y(n24785) ); sky130_fd_sc_hd__a32oi_1 U29437 ( .A1(n24796), .A2( \dec/decode/csr_rddata_x [2]), .A3(n24783), .B1(n24795), .B2( \dec/decode/csr_rddata_x [2]), .Y(n24784) ); sky130_fd_sc_hd__nand2_1 U29438 ( .A(n24785), .B(n24784), .Y(n10826) ); sky130_fd_sc_hd__o22ai_1 U29439 ( .A1(\dec/decode/csr_imm_x ), .A2( exu_csr_rs1_x[1]), .B1(n24792), .B2(\dec/decode/csrimm_x [1]), .Y( n24791) ); sky130_fd_sc_hd__o21ai_1 U29440 ( .A1(n24794), .A2(n24787), .B1(n24786), .Y( n24788) ); sky130_fd_sc_hd__a22oi_1 U29441 ( .A1(\dec/dec_pause_state ), .A2(n24788), .B1(n24793), .B2(\dec/dec_csr_wrdata_r [1]), .Y(n24790) ); sky130_fd_sc_hd__a32oi_1 U29442 ( .A1(n24796), .A2( \dec/decode/csr_rddata_x [1]), .A3(n24791), .B1(n24795), .B2( \dec/decode/csr_rddata_x [1]), .Y(n24789) ); sky130_fd_sc_hd__o211ai_1 U29443 ( .A1(n24791), .A2(n24799), .B1(n24790), .C1(n24789), .Y(n10825) ); sky130_fd_sc_hd__o22ai_1 U29444 ( .A1(\dec/decode/csr_imm_x ), .A2( exu_csr_rs1_x[0]), .B1(n24792), .B2(\dec/decode/csrimm_x [0]), .Y( n24800) ); sky130_fd_sc_hd__a22oi_1 U29445 ( .A1(\dec/dec_pause_state ), .A2(n24794), .B1(n24793), .B2(\dec/dec_csr_wrdata_r [0]), .Y(n24798) ); sky130_fd_sc_hd__a32oi_1 U29446 ( .A1(n24796), .A2( \dec/decode/csr_rddata_x [0]), .A3(n24800), .B1(n24795), .B2( \dec/decode/csr_rddata_x [0]), .Y(n24797) ); sky130_fd_sc_hd__o211ai_1 U29447 ( .A1(n24800), .A2(n24799), .B1(n24798), .C1(n24797), .Y(n10824) ); sky130_fd_sc_hd__nand2_1 U29448 ( .A(\lsu/addr_in_pic_m ), .B(n24801), .Y( n24896) ); sky130_fd_sc_hd__nor2_1 U29449 ( .A(\lsu/addr_in_pic_m ), .B(n24872), .Y( n24883) ); sky130_fd_sc_hd__o2bb2ai_1 U29450 ( .B1(n24802), .B2(n24880), .A1_N( \lsu/store_data_hi_r [24]), .A2_N(n24807), .Y(n24803) ); sky130_fd_sc_hd__a21oi_1 U29451 ( .A1(\lsu/sec_data_hi_m [24]), .A2(n24883), .B1(n24803), .Y(n24811) ); sky130_fd_sc_hd__a22o_1 U29452 ( .A1(n24846), .A2( \lsu/stbuf/stbuf_data[2][24] ), .B1(n24884), .B2( \lsu/stbuf/stbuf_data[3][24] ), .X(n24809) ); sky130_fd_sc_hd__o2bb2ai_1 U29453 ( .B1(n24889), .B2(n24804), .A1_N(n24847), .A2_N(\lsu/stbuf/stbuf_data[0][24] ), .Y(n24808) ); sky130_fd_sc_hd__nor3_1 U29454 ( .A(n24807), .B(n24806), .C(n24805), .Y( n24891) ); sky130_fd_sc_hd__o21ai_1 U29455 ( .A1(n24809), .A2(n24808), .B1(n24891), .Y( n24810) ); sky130_fd_sc_hd__o211ai_1 U29456 ( .A1(n24812), .A2(n24896), .B1(n24811), .C1(n24810), .Y(n10823) ); sky130_fd_sc_hd__a22oi_1 U29457 ( .A1(n24832), .A2( \lsu/stbuf/stbuf_data[3][25] ), .B1(n24845), .B2( \lsu/stbuf/stbuf_data[1][25] ), .Y(n24814) ); sky130_fd_sc_hd__a22oi_1 U29458 ( .A1(n24833), .A2( \lsu/stbuf/stbuf_data[2][25] ), .B1(n24847), .B2( \lsu/stbuf/stbuf_data[0][25] ), .Y(n24813) ); sky130_fd_sc_hd__nand2_1 U29459 ( .A(n24814), .B(n24813), .Y(n24819) ); sky130_fd_sc_hd__o21ai_1 U29460 ( .A1(\lsu/addr_in_pic_m ), .A2( \lsu/sec_data_hi_m [25]), .B1(n24815), .Y(n24816) ); sky130_fd_sc_hd__o22ai_1 U29461 ( .A1(n24817), .A2(n24878), .B1(n24872), .B2(n24816), .Y(n24818) ); sky130_fd_sc_hd__a21oi_1 U29462 ( .A1(n24819), .A2(n24891), .B1(n24818), .Y( n24820) ); sky130_fd_sc_hd__o21ai_1 U29463 ( .A1(n24821), .A2(n24880), .B1(n24820), .Y( n10822) ); sky130_fd_sc_hd__a22o_1 U29464 ( .A1(n24833), .A2( \lsu/stbuf/stbuf_data[2][26] ), .B1(n24884), .B2( \lsu/stbuf/stbuf_data[3][26] ), .X(n24822) ); sky130_fd_sc_hd__a21oi_1 U29465 ( .A1(n24847), .A2( \lsu/stbuf/stbuf_data[0][26] ), .B1(n24822), .Y(n24823) ); sky130_fd_sc_hd__o21ai_1 U29466 ( .A1(n24889), .A2(n24824), .B1(n24823), .Y( n24829) ); sky130_fd_sc_hd__o21ai_1 U29467 ( .A1(\lsu/addr_in_pic_m ), .A2( \lsu/sec_data_hi_m [26]), .B1(n24825), .Y(n24826) ); sky130_fd_sc_hd__o22ai_1 U29468 ( .A1(n24827), .A2(n24880), .B1(n24872), .B2(n24826), .Y(n24828) ); sky130_fd_sc_hd__a21oi_1 U29469 ( .A1(n24891), .A2(n24829), .B1(n24828), .Y( n24830) ); sky130_fd_sc_hd__o21ai_1 U29470 ( .A1(n24831), .A2(n24878), .B1(n24830), .Y( n10821) ); sky130_fd_sc_hd__a22oi_1 U29471 ( .A1(n24833), .A2( \lsu/stbuf/stbuf_data[2][27] ), .B1(n24832), .B2( \lsu/stbuf/stbuf_data[3][27] ), .Y(n24835) ); sky130_fd_sc_hd__a22oi_1 U29472 ( .A1(n24847), .A2( \lsu/stbuf/stbuf_data[0][27] ), .B1(n24845), .B2( \lsu/stbuf/stbuf_data[1][27] ), .Y(n24834) ); sky130_fd_sc_hd__a21boi_0 U29473 ( .A1(n24835), .A2(n24834), .B1_N(n24891), .Y(n24839) ); sky130_fd_sc_hd__o22ai_1 U29474 ( .A1(n24837), .A2(n24880), .B1(n24836), .B2(n24878), .Y(n24838) ); sky130_fd_sc_hd__a211oi_1 U29475 ( .A1(n24883), .A2(\lsu/sec_data_hi_m [27]), .B1(n24839), .C1(n24838), .Y(n24840) ); sky130_fd_sc_hd__o21ai_1 U29476 ( .A1(n24841), .A2(n24896), .B1(n24840), .Y( n10820) ); sky130_fd_sc_hd__o22ai_1 U29477 ( .A1(n24843), .A2(n24880), .B1(n24842), .B2(n24878), .Y(n24844) ); sky130_fd_sc_hd__a21oi_1 U29478 ( .A1(\lsu/sec_data_hi_m [28]), .A2(n24883), .B1(n24844), .Y(n24851) ); sky130_fd_sc_hd__a22o_1 U29479 ( .A1(n24846), .A2( \lsu/stbuf/stbuf_data[2][28] ), .B1(n24845), .B2( \lsu/stbuf/stbuf_data[1][28] ), .X(n24849) ); sky130_fd_sc_hd__a22o_1 U29480 ( .A1(n24847), .A2( \lsu/stbuf/stbuf_data[0][28] ), .B1(n24884), .B2( \lsu/stbuf/stbuf_data[3][28] ), .X(n24848) ); sky130_fd_sc_hd__o21ai_1 U29481 ( .A1(n24849), .A2(n24848), .B1(n24891), .Y( n24850) ); sky130_fd_sc_hd__o211ai_1 U29482 ( .A1(n24852), .A2(n24896), .B1(n24851), .C1(n24850), .Y(n10819) ); sky130_fd_sc_hd__o22ai_1 U29483 ( .A1(n24885), .A2(n24854), .B1(n24889), .B2(n24853), .Y(n24855) ); sky130_fd_sc_hd__a21oi_1 U29484 ( .A1(n24884), .A2( \lsu/stbuf/stbuf_data[3][29] ), .B1(n24855), .Y(n24856) ); sky130_fd_sc_hd__o21ai_1 U29485 ( .A1(n24887), .A2(n24857), .B1(n24856), .Y( n24862) ); sky130_fd_sc_hd__o21ai_1 U29486 ( .A1(\lsu/addr_in_pic_m ), .A2( \lsu/sec_data_hi_m [29]), .B1(n24858), .Y(n24859) ); sky130_fd_sc_hd__o22ai_1 U29487 ( .A1(n24860), .A2(n24880), .B1(n24872), .B2(n24859), .Y(n24861) ); sky130_fd_sc_hd__a21oi_1 U29488 ( .A1(n24891), .A2(n24862), .B1(n24861), .Y( n24863) ); sky130_fd_sc_hd__o21ai_1 U29489 ( .A1(n24864), .A2(n24878), .B1(n24863), .Y( n10818) ); sky130_fd_sc_hd__o22ai_1 U29490 ( .A1(n24885), .A2(n24866), .B1(n24889), .B2(n24865), .Y(n24867) ); sky130_fd_sc_hd__a21oi_1 U29491 ( .A1(n24884), .A2( \lsu/stbuf/stbuf_data[3][30] ), .B1(n24867), .Y(n24868) ); sky130_fd_sc_hd__o21ai_1 U29492 ( .A1(n24887), .A2(n24869), .B1(n24868), .Y( n24875) ); sky130_fd_sc_hd__o21ai_1 U29493 ( .A1(\lsu/addr_in_pic_m ), .A2( \lsu/sec_data_hi_m [30]), .B1(n24870), .Y(n24871) ); sky130_fd_sc_hd__o22ai_1 U29494 ( .A1(n24873), .A2(n24878), .B1(n24872), .B2(n24871), .Y(n24874) ); sky130_fd_sc_hd__a21oi_1 U29495 ( .A1(n24891), .A2(n24875), .B1(n24874), .Y( n24876) ); sky130_fd_sc_hd__o21ai_1 U29496 ( .A1(n24877), .A2(n24880), .B1(n24876), .Y( n10817) ); sky130_fd_sc_hd__o22ai_1 U29497 ( .A1(n24881), .A2(n24880), .B1(n24879), .B2(n24878), .Y(n24882) ); sky130_fd_sc_hd__a21oi_1 U29498 ( .A1(\lsu/sec_data_hi_m [31]), .A2(n24883), .B1(n24882), .Y(n24895) ); sky130_fd_sc_hd__o2bb2ai_1 U29499 ( .B1(n24886), .B2(n24885), .A1_N( \lsu/stbuf/stbuf_data[3][31] ), .A2_N(n24884), .Y(n24893) ); sky130_fd_sc_hd__o22ai_1 U29500 ( .A1(n24890), .A2(n24889), .B1(n24888), .B2(n24887), .Y(n24892) ); sky130_fd_sc_hd__o21ai_1 U29501 ( .A1(n24893), .A2(n24892), .B1(n24891), .Y( n24894) ); sky130_fd_sc_hd__o211ai_1 U29502 ( .A1(n24897), .A2(n24896), .B1(n24895), .C1(n24894), .Y(n10816) ); sky130_fd_sc_hd__nand2_1 U29503 ( .A(n24898), .B(\ifu/ifc_fetch_addr_bf [29]), .Y(n24911) ); sky130_fd_sc_hd__nor2_1 U29504 ( .A(dec_tlu_mrac_ff[22]), .B(n24911), .Y( n24906) ); sky130_fd_sc_hd__o21ai_1 U29505 ( .A1(dec_tlu_mrac_ff[30]), .A2(n24913), .B1(\ifu/ifc_fetch_addr_bf [31]), .Y(n24905) ); sky130_fd_sc_hd__nand2_1 U29506 ( .A(n24899), .B(n24898), .Y(n24912) ); sky130_fd_sc_hd__nand2_1 U29507 ( .A(n24899), .B(\ifu/ifc_fetch_addr_bf [30]), .Y(n24910) ); sky130_fd_sc_hd__o22ai_1 U29508 ( .A1(dec_tlu_mrac_ff[18]), .A2(n24912), .B1(dec_tlu_mrac_ff[26]), .B2(n24910), .Y(n24904) ); sky130_fd_sc_hd__nor2_1 U29509 ( .A(n24910), .B(dec_tlu_mrac_ff[10]), .Y( n24901) ); sky130_fd_sc_hd__o22ai_1 U29510 ( .A1(dec_tlu_mrac_ff[2]), .A2(n24912), .B1( dec_tlu_mrac_ff[14]), .B2(n24913), .Y(n24900) ); sky130_fd_sc_hd__nor3_1 U29511 ( .A(n24901), .B(n24900), .C( \ifu/ifc_fetch_addr_bf [31]), .Y(n24902) ); sky130_fd_sc_hd__o21ai_1 U29512 ( .A1(dec_tlu_mrac_ff[6]), .A2(n24911), .B1( n24902), .Y(n24903) ); sky130_fd_sc_hd__o31ai_1 U29513 ( .A1(n24906), .A2(n24905), .A3(n24904), .B1(n24903), .Y(n24918) ); sky130_fd_sc_hd__o22ai_1 U29514 ( .A1(n24910), .A2(dec_tlu_mrac_ff[24]), .B1(dec_tlu_mrac_ff[16]), .B2(n24912), .Y(n24908) ); sky130_fd_sc_hd__o22ai_1 U29515 ( .A1(dec_tlu_mrac_ff[28]), .A2(n24913), .B1(dec_tlu_mrac_ff[20]), .B2(n24911), .Y(n24907) ); sky130_fd_sc_hd__nor4_1 U29516 ( .A(n24909), .B(\ifu/ifc_fetch_addr_bf [28]), .C(n24908), .D(n24907), .Y(n24917) ); sky130_fd_sc_hd__o22ai_1 U29517 ( .A1(dec_tlu_mrac_ff[4]), .A2(n24911), .B1( dec_tlu_mrac_ff[8]), .B2(n24910), .Y(n24915) ); sky130_fd_sc_hd__o22ai_1 U29518 ( .A1(dec_tlu_mrac_ff[12]), .A2(n24913), .B1(dec_tlu_mrac_ff[0]), .B2(n24912), .Y(n24914) ); sky130_fd_sc_hd__nor4_1 U29519 ( .A(\ifu/ifc_fetch_addr_bf [31]), .B( \ifu/ifc_fetch_addr_bf [28]), .C(n24915), .D(n24914), .Y(n24916) ); sky130_fd_sc_hd__a211oi_1 U29520 ( .A1(\ifu/ifc_fetch_addr_bf [28]), .A2( n24918), .B1(n24917), .C1(n24916), .Y(n10815) ); sky130_fd_sc_hd__clkinv_1 U29521 ( .A(\lsu/sec_data_lo_m [25]), .Y(n24922) ); sky130_fd_sc_hd__o21ai_1 U29522 ( .A1(n24965), .A2(n24922), .B1(n24921), .Y( \ashr_306/A[25] ) ); sky130_fd_sc_hd__a21o_1 U29523 ( .A1(n25004), .A2(\lsu/sec_data_hi_m [1]), .B1(n24923), .X(\ashr_306/A[33] ) ); sky130_fd_sc_hd__clkinv_1 U29524 ( .A(\lsu/sec_data_lo_m [9]), .Y(n24925) ); sky130_fd_sc_hd__o21ai_1 U29525 ( .A1(n24989), .A2(n24925), .B1(n24924), .Y( \ashr_306/A[9] ) ); sky130_fd_sc_hd__clkinv_1 U29526 ( .A(\lsu/sec_data_lo_m [17]), .Y(n24927) ); sky130_fd_sc_hd__o21ai_1 U29527 ( .A1(n24962), .A2(n24927), .B1(n24926), .Y( \ashr_306/A[17] ) ); sky130_fd_sc_hd__o22ai_1 U29528 ( .A1(\lsu/lsu_addr_m [0]), .A2( \ashr_306/A[25] ), .B1(n25027), .B2(\ashr_306/A[33] ), .Y(n25011) ); sky130_fd_sc_hd__a22oi_1 U29529 ( .A1(n25053), .A2(\ashr_306/A[9] ), .B1( n25052), .B2(\ashr_306/A[17] ), .Y(n24928) ); sky130_fd_sc_hd__o21ai_1 U29530 ( .A1(n25056), .A2(n25011), .B1(n24928), .Y( \lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_m [9]) ); sky130_fd_sc_hd__a21o_1 U29531 ( .A1(n24985), .A2(\lsu/sec_data_lo_m [24]), .B1(n24929), .X(\ashr_306/A[24] ) ); sky130_fd_sc_hd__a21o_1 U29532 ( .A1(n25004), .A2(\lsu/sec_data_hi_m [0]), .B1(n24930), .X(\ashr_306/A[32] ) ); sky130_fd_sc_hd__clkinv_1 U29533 ( .A(\lsu/sec_data_lo_m [8]), .Y(n24932) ); sky130_fd_sc_hd__o21ai_1 U29534 ( .A1(n24989), .A2(n24932), .B1(n24931), .Y( \ashr_306/A[8] ) ); sky130_fd_sc_hd__a21o_1 U29535 ( .A1(n24983), .A2(\lsu/sec_data_lo_m [16]), .B1(n24933), .X(\ashr_306/A[16] ) ); sky130_fd_sc_hd__o22ai_1 U29536 ( .A1(\lsu/lsu_addr_m [0]), .A2( \ashr_306/A[24] ), .B1(n25027), .B2(\ashr_306/A[32] ), .Y(n25017) ); sky130_fd_sc_hd__a22oi_1 U29537 ( .A1(n25053), .A2(\ashr_306/A[8] ), .B1( n25052), .B2(\ashr_306/A[16] ), .Y(n24934) ); sky130_fd_sc_hd__o21ai_1 U29538 ( .A1(n25056), .A2(n25017), .B1(n24934), .Y( \lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_m [8]) ); sky130_fd_sc_hd__o21ai_1 U29539 ( .A1(n24939), .A2(n24938), .B1(n24937), .Y( \ashr_306/A[7] ) ); sky130_fd_sc_hd__clkinv_1 U29540 ( .A(\lsu/sec_data_lo_m [15]), .Y(n24941) ); sky130_fd_sc_hd__o21ai_1 U29541 ( .A1(n24989), .A2(n24941), .B1(n24940), .Y( \ashr_306/A[15] ) ); sky130_fd_sc_hd__o22ai_1 U29542 ( .A1(\lsu/lsu_addr_m [0]), .A2( \ashr_306/A[23] ), .B1(n25027), .B2(\ashr_306/A[31] ), .Y(n25019) ); sky130_fd_sc_hd__a22oi_1 U29543 ( .A1(n25053), .A2(\ashr_306/A[7] ), .B1( n25052), .B2(\ashr_306/A[15] ), .Y(n24942) ); sky130_fd_sc_hd__o21ai_1 U29544 ( .A1(n25056), .A2(n25019), .B1(n24942), .Y( \lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_m [7]) ); sky130_fd_sc_hd__a21o_1 U29545 ( .A1(n24983), .A2(\lsu/sec_data_lo_m [22]), .B1(n24943), .X(\ashr_306/A[22] ) ); sky130_fd_sc_hd__a21o_1 U29546 ( .A1(n24985), .A2(\lsu/sec_data_lo_m [30]), .B1(n24944), .X(\ashr_306/A[30] ) ); sky130_fd_sc_hd__a21o_1 U29547 ( .A1(n25051), .A2(\lsu/sec_data_lo_m [6]), .B1(n24945), .X(\ashr_306/A[6] ) ); sky130_fd_sc_hd__a21o_1 U29548 ( .A1(n24968), .A2(\lsu/sec_data_lo_m [14]), .B1(n24946), .X(\ashr_306/A[14] ) ); sky130_fd_sc_hd__o22ai_1 U29549 ( .A1(\lsu/lsu_addr_m [0]), .A2( \ashr_306/A[22] ), .B1(n25027), .B2(\ashr_306/A[30] ), .Y(n25021) ); sky130_fd_sc_hd__a22oi_1 U29550 ( .A1(n25053), .A2(\ashr_306/A[6] ), .B1( n25052), .B2(\ashr_306/A[14] ), .Y(n24947) ); sky130_fd_sc_hd__o21ai_1 U29551 ( .A1(n25056), .A2(n25021), .B1(n24947), .Y( \lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_m [6]) ); sky130_fd_sc_hd__a21o_1 U29552 ( .A1(n24983), .A2(\lsu/sec_data_lo_m [21]), .B1(n24948), .X(\ashr_306/A[21] ) ); sky130_fd_sc_hd__a21o_1 U29553 ( .A1(n24985), .A2(\lsu/sec_data_lo_m [29]), .B1(n24949), .X(\ashr_306/A[29] ) ); sky130_fd_sc_hd__a21o_1 U29554 ( .A1(n25051), .A2(\lsu/sec_data_lo_m [5]), .B1(n24950), .X(\ashr_306/A[5] ) ); sky130_fd_sc_hd__o21ai_1 U29555 ( .A1(n24989), .A2(n24952), .B1(n24951), .Y( \ashr_306/A[13] ) ); sky130_fd_sc_hd__o22ai_1 U29556 ( .A1(\lsu/lsu_addr_m [0]), .A2( \ashr_306/A[21] ), .B1(n25027), .B2(\ashr_306/A[29] ), .Y(n25023) ); sky130_fd_sc_hd__a22oi_1 U29557 ( .A1(n25053), .A2(\ashr_306/A[5] ), .B1( n25052), .B2(\ashr_306/A[13] ), .Y(n24953) ); sky130_fd_sc_hd__o21ai_1 U29558 ( .A1(n25056), .A2(n25023), .B1(n24953), .Y( \lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_m [5]) ); sky130_fd_sc_hd__a21o_1 U29559 ( .A1(n24983), .A2(\lsu/sec_data_lo_m [20]), .B1(n24954), .X(\ashr_306/A[20] ) ); sky130_fd_sc_hd__a21o_1 U29560 ( .A1(n24985), .A2(\lsu/sec_data_lo_m [28]), .B1(n24955), .X(\ashr_306/A[28] ) ); sky130_fd_sc_hd__clkinv_1 U29561 ( .A(\lsu/sec_data_lo_m [12]), .Y(n24958) ); sky130_fd_sc_hd__o21ai_1 U29562 ( .A1(n24989), .A2(n24958), .B1(n24957), .Y( \ashr_306/A[12] ) ); sky130_fd_sc_hd__o22ai_1 U29563 ( .A1(\lsu/lsu_addr_m [0]), .A2( \ashr_306/A[20] ), .B1(n25027), .B2(\ashr_306/A[28] ), .Y(n25025) ); sky130_fd_sc_hd__a22oi_1 U29564 ( .A1(n25053), .A2(\ashr_306/A[4] ), .B1( n25052), .B2(\ashr_306/A[12] ), .Y(n24959) ); sky130_fd_sc_hd__o21ai_1 U29565 ( .A1(n25056), .A2(n25025), .B1(n24959), .Y( \lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_m [4]) ); sky130_fd_sc_hd__o21ai_1 U29566 ( .A1(n24962), .A2(n24961), .B1(n24960), .Y( \ashr_306/A[19] ) ); sky130_fd_sc_hd__clkinv_1 U29567 ( .A(\lsu/sec_data_lo_m [27]), .Y(n24964) ); sky130_fd_sc_hd__o21ai_1 U29568 ( .A1(n24965), .A2(n24964), .B1(n24963), .Y( \ashr_306/A[27] ) ); sky130_fd_sc_hd__a21o_1 U29569 ( .A1(n24968), .A2(\lsu/sec_data_lo_m [11]), .B1(n24967), .X(\ashr_306/A[11] ) ); sky130_fd_sc_hd__o22ai_1 U29570 ( .A1(\lsu/lsu_addr_m [0]), .A2( \ashr_306/A[19] ), .B1(n25027), .B2(\ashr_306/A[27] ), .Y(n25030) ); sky130_fd_sc_hd__a22oi_1 U29571 ( .A1(n25053), .A2(\ashr_306/A[3] ), .B1( n25052), .B2(\ashr_306/A[11] ), .Y(n24969) ); sky130_fd_sc_hd__o21ai_1 U29572 ( .A1(n25056), .A2(n25030), .B1(n24969), .Y( \lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_m [3]) ); sky130_fd_sc_hd__a21o_1 U29573 ( .A1(n25004), .A2(\lsu/sec_data_hi_m [7]), .B1(n24970), .X(\ashr_306/A[39] ) ); sky130_fd_sc_hd__clkinv_1 U29574 ( .A(\lsu/sec_data_hi_m [15]), .Y(n24972) ); sky130_fd_sc_hd__o21ai_1 U29575 ( .A1(n24973), .A2(n24972), .B1(n24971), .Y( \ashr_306/A[47] ) ); sky130_fd_sc_hd__clkinv_1 U29576 ( .A(\lsu/sec_data_hi_m [23]), .Y(n24975) ); sky130_fd_sc_hd__o21ai_1 U29577 ( .A1(n24976), .A2(n24975), .B1(n24974), .Y( \ashr_306/A[55] ) ); sky130_fd_sc_hd__o22ai_1 U29578 ( .A1(\lsu/lsu_addr_m [0]), .A2( \ashr_306/A[31] ), .B1(n25027), .B2(\ashr_306/A[39] ), .Y(n25039) ); sky130_fd_sc_hd__a22oi_1 U29579 ( .A1(n25036), .A2(\ashr_306/A[47] ), .B1( n25035), .B2(\ashr_306/A[55] ), .Y(n24977) ); sky130_fd_sc_hd__o21ai_1 U29580 ( .A1(\lsu/lsu_addr_m [1]), .A2(n25039), .B1(n24977), .Y(\lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_m [31]) ); sky130_fd_sc_hd__a21o_1 U29581 ( .A1(n25004), .A2(\lsu/sec_data_hi_m [6]), .B1(n24978), .X(\ashr_306/A[38] ) ); sky130_fd_sc_hd__a21o_1 U29582 ( .A1(n25013), .A2(\lsu/sec_data_hi_m [14]), .B1(n24979), .X(\ashr_306/A[46] ) ); sky130_fd_sc_hd__a21o_1 U29583 ( .A1(n25015), .A2(\lsu/sec_data_hi_m [22]), .B1(n24980), .X(\ashr_306/A[54] ) ); sky130_fd_sc_hd__o22ai_1 U29584 ( .A1(\lsu/lsu_addr_m [0]), .A2( \ashr_306/A[30] ), .B1(n25027), .B2(\ashr_306/A[38] ), .Y(n25041) ); sky130_fd_sc_hd__a22oi_1 U29585 ( .A1(n25036), .A2(\ashr_306/A[46] ), .B1( n25035), .B2(\ashr_306/A[54] ), .Y(n24981) ); sky130_fd_sc_hd__o21ai_1 U29586 ( .A1(\lsu/lsu_addr_m [1]), .A2(n25041), .B1(n24981), .Y(\lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_m [30]) ); sky130_fd_sc_hd__a21o_1 U29587 ( .A1(n24983), .A2(\lsu/sec_data_lo_m [18]), .B1(n24982), .X(\ashr_306/A[18] ) ); sky130_fd_sc_hd__a21o_1 U29588 ( .A1(n24985), .A2(\lsu/sec_data_lo_m [26]), .B1(n24984), .X(\ashr_306/A[26] ) ); sky130_fd_sc_hd__clkinv_1 U29589 ( .A(\lsu/sec_data_lo_m [10]), .Y(n24988) ); sky130_fd_sc_hd__o21ai_1 U29590 ( .A1(n24989), .A2(n24988), .B1(n24987), .Y( \ashr_306/A[10] ) ); sky130_fd_sc_hd__o22ai_1 U29591 ( .A1(\lsu/lsu_addr_m [0]), .A2( \ashr_306/A[18] ), .B1(n25027), .B2(\ashr_306/A[26] ), .Y(n25032) ); sky130_fd_sc_hd__a22oi_1 U29592 ( .A1(n25053), .A2(\ashr_306/A[2] ), .B1( n25052), .B2(\ashr_306/A[10] ), .Y(n24990) ); sky130_fd_sc_hd__o21ai_1 U29593 ( .A1(n25056), .A2(n25032), .B1(n24990), .Y( \lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_m [2]) ); sky130_fd_sc_hd__a21o_1 U29594 ( .A1(n25004), .A2(\lsu/sec_data_hi_m [5]), .B1(n24991), .X(\ashr_306/A[37] ) ); sky130_fd_sc_hd__a21o_1 U29595 ( .A1(n25013), .A2(\lsu/sec_data_hi_m [13]), .B1(n24992), .X(\ashr_306/A[45] ) ); sky130_fd_sc_hd__o22ai_1 U29596 ( .A1(\lsu/lsu_addr_m [0]), .A2( \ashr_306/A[29] ), .B1(n25027), .B2(\ashr_306/A[37] ), .Y(n25043) ); sky130_fd_sc_hd__a22oi_1 U29597 ( .A1(n25036), .A2(\ashr_306/A[45] ), .B1( n25035), .B2(\ashr_306/A[53] ), .Y(n24994) ); sky130_fd_sc_hd__o21ai_1 U29598 ( .A1(\lsu/lsu_addr_m [1]), .A2(n25043), .B1(n24994), .Y(\lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_m [29]) ); sky130_fd_sc_hd__a21o_1 U29599 ( .A1(n25004), .A2(\lsu/sec_data_hi_m [4]), .B1(n24995), .X(\ashr_306/A[36] ) ); sky130_fd_sc_hd__a21o_1 U29600 ( .A1(n25013), .A2(\lsu/sec_data_hi_m [12]), .B1(n24996), .X(\ashr_306/A[44] ) ); sky130_fd_sc_hd__a21o_1 U29601 ( .A1(n25015), .A2(\lsu/sec_data_hi_m [20]), .B1(n24997), .X(\ashr_306/A[52] ) ); sky130_fd_sc_hd__o22ai_1 U29602 ( .A1(\lsu/lsu_addr_m [0]), .A2( \ashr_306/A[28] ), .B1(n25027), .B2(\ashr_306/A[36] ), .Y(n25045) ); sky130_fd_sc_hd__a22oi_1 U29603 ( .A1(n25036), .A2(\ashr_306/A[44] ), .B1( n25035), .B2(\ashr_306/A[52] ), .Y(n24998) ); sky130_fd_sc_hd__o21ai_1 U29604 ( .A1(\lsu/lsu_addr_m [1]), .A2(n25045), .B1(n24998), .Y(\lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_m [28]) ); sky130_fd_sc_hd__a21o_1 U29605 ( .A1(n25004), .A2(\lsu/sec_data_hi_m [3]), .B1(n24999), .X(\ashr_306/A[35] ) ); sky130_fd_sc_hd__a21o_1 U29606 ( .A1(n25013), .A2(\lsu/sec_data_hi_m [11]), .B1(n25000), .X(\ashr_306/A[43] ) ); sky130_fd_sc_hd__a21o_1 U29607 ( .A1(n25015), .A2(\lsu/sec_data_hi_m [19]), .B1(n25001), .X(\ashr_306/A[51] ) ); sky130_fd_sc_hd__o22ai_1 U29608 ( .A1(\lsu/lsu_addr_m [0]), .A2( \ashr_306/A[27] ), .B1(n25027), .B2(\ashr_306/A[35] ), .Y(n25047) ); sky130_fd_sc_hd__a22oi_1 U29609 ( .A1(n25036), .A2(\ashr_306/A[43] ), .B1( n25035), .B2(\ashr_306/A[51] ), .Y(n25002) ); sky130_fd_sc_hd__o21ai_1 U29610 ( .A1(\lsu/lsu_addr_m [1]), .A2(n25047), .B1(n25002), .Y(\lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_m [27]) ); sky130_fd_sc_hd__a21o_1 U29611 ( .A1(n25004), .A2(\lsu/sec_data_hi_m [2]), .B1(n25003), .X(\ashr_306/A[34] ) ); sky130_fd_sc_hd__a21o_1 U29612 ( .A1(n25013), .A2(\lsu/sec_data_hi_m [10]), .B1(n25005), .X(\ashr_306/A[42] ) ); sky130_fd_sc_hd__a21o_1 U29613 ( .A1(n25015), .A2(\lsu/sec_data_hi_m [18]), .B1(n25006), .X(\ashr_306/A[50] ) ); sky130_fd_sc_hd__o22ai_1 U29614 ( .A1(\lsu/lsu_addr_m [0]), .A2( \ashr_306/A[26] ), .B1(n25027), .B2(\ashr_306/A[34] ), .Y(n25049) ); sky130_fd_sc_hd__a22oi_1 U29615 ( .A1(n25036), .A2(\ashr_306/A[42] ), .B1( n25035), .B2(\ashr_306/A[50] ), .Y(n25007) ); sky130_fd_sc_hd__o21ai_1 U29616 ( .A1(\lsu/lsu_addr_m [1]), .A2(n25049), .B1(n25007), .Y(\lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_m [26]) ); sky130_fd_sc_hd__a21o_1 U29617 ( .A1(n25013), .A2(\lsu/sec_data_hi_m [9]), .B1(n25008), .X(\ashr_306/A[41] ) ); sky130_fd_sc_hd__a21o_1 U29618 ( .A1(n25015), .A2(\lsu/sec_data_hi_m [17]), .B1(n25009), .X(\ashr_306/A[49] ) ); sky130_fd_sc_hd__a22oi_1 U29619 ( .A1(n25036), .A2(\ashr_306/A[41] ), .B1( n25035), .B2(\ashr_306/A[49] ), .Y(n25010) ); sky130_fd_sc_hd__o21ai_1 U29620 ( .A1(\lsu/lsu_addr_m [1]), .A2(n25011), .B1(n25010), .Y(\lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_m [25]) ); sky130_fd_sc_hd__a21o_1 U29621 ( .A1(n25013), .A2(\lsu/sec_data_hi_m [8]), .B1(n25012), .X(\ashr_306/A[40] ) ); sky130_fd_sc_hd__a21o_1 U29622 ( .A1(n25015), .A2(\lsu/sec_data_hi_m [16]), .B1(n25014), .X(\ashr_306/A[48] ) ); sky130_fd_sc_hd__a22oi_1 U29623 ( .A1(n25036), .A2(\ashr_306/A[40] ), .B1( n25035), .B2(\ashr_306/A[48] ), .Y(n25016) ); sky130_fd_sc_hd__o21ai_1 U29624 ( .A1(\lsu/lsu_addr_m [1]), .A2(n25017), .B1(n25016), .Y(\lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_m [24]) ); sky130_fd_sc_hd__a22oi_1 U29625 ( .A1(n25036), .A2(\ashr_306/A[39] ), .B1( n25035), .B2(\ashr_306/A[47] ), .Y(n25018) ); sky130_fd_sc_hd__o21ai_1 U29626 ( .A1(\lsu/lsu_addr_m [1]), .A2(n25019), .B1(n25018), .Y(\lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_m [23]) ); sky130_fd_sc_hd__a22oi_1 U29627 ( .A1(n25036), .A2(\ashr_306/A[38] ), .B1( n25035), .B2(\ashr_306/A[46] ), .Y(n25020) ); sky130_fd_sc_hd__o21ai_1 U29628 ( .A1(\lsu/lsu_addr_m [1]), .A2(n25021), .B1(n25020), .Y(\lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_m [22]) ); sky130_fd_sc_hd__a22oi_1 U29629 ( .A1(n25036), .A2(\ashr_306/A[37] ), .B1( n25035), .B2(\ashr_306/A[45] ), .Y(n25022) ); sky130_fd_sc_hd__o21ai_1 U29630 ( .A1(\lsu/lsu_addr_m [1]), .A2(n25023), .B1(n25022), .Y(\lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_m [21]) ); sky130_fd_sc_hd__a22oi_1 U29631 ( .A1(n25036), .A2(\ashr_306/A[36] ), .B1( n25035), .B2(\ashr_306/A[44] ), .Y(n25024) ); sky130_fd_sc_hd__o21ai_1 U29632 ( .A1(\lsu/lsu_addr_m [1]), .A2(n25025), .B1(n25024), .Y(\lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_m [20]) ); sky130_fd_sc_hd__o22ai_1 U29633 ( .A1(\lsu/lsu_addr_m [0]), .A2( \ashr_306/A[17] ), .B1(n25027), .B2(\ashr_306/A[25] ), .Y(n25034) ); sky130_fd_sc_hd__a22oi_1 U29634 ( .A1(n25053), .A2(\ashr_306/A[1] ), .B1( n25052), .B2(\ashr_306/A[9] ), .Y(n25028) ); sky130_fd_sc_hd__o21ai_1 U29635 ( .A1(n25056), .A2(n25034), .B1(n25028), .Y( \lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_m [1]) ); sky130_fd_sc_hd__a22oi_1 U29636 ( .A1(n25036), .A2(\ashr_306/A[35] ), .B1( n25035), .B2(\ashr_306/A[43] ), .Y(n25029) ); sky130_fd_sc_hd__o21ai_1 U29637 ( .A1(\lsu/lsu_addr_m [1]), .A2(n25030), .B1(n25029), .Y(\lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_m [19]) ); sky130_fd_sc_hd__a22oi_1 U29638 ( .A1(n25036), .A2(\ashr_306/A[34] ), .B1( n25035), .B2(\ashr_306/A[42] ), .Y(n25031) ); sky130_fd_sc_hd__o21ai_1 U29639 ( .A1(\lsu/lsu_addr_m [1]), .A2(n25032), .B1(n25031), .Y(\lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_m [18]) ); sky130_fd_sc_hd__a22oi_1 U29640 ( .A1(n25036), .A2(\ashr_306/A[33] ), .B1( n25035), .B2(\ashr_306/A[41] ), .Y(n25033) ); sky130_fd_sc_hd__o21ai_1 U29641 ( .A1(\lsu/lsu_addr_m [1]), .A2(n25034), .B1(n25033), .Y(\lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_m [17]) ); sky130_fd_sc_hd__o22ai_1 U29642 ( .A1(\lsu/lsu_addr_m [0]), .A2( \ashr_306/A[16] ), .B1(n25027), .B2(\ashr_306/A[24] ), .Y(n25055) ); sky130_fd_sc_hd__a22oi_1 U29643 ( .A1(n25036), .A2(\ashr_306/A[32] ), .B1( n25035), .B2(\ashr_306/A[40] ), .Y(n25037) ); sky130_fd_sc_hd__o21ai_1 U29644 ( .A1(\lsu/lsu_addr_m [1]), .A2(n25055), .B1(n25037), .Y(\lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_m [16]) ); sky130_fd_sc_hd__a22oi_1 U29645 ( .A1(n25053), .A2(\ashr_306/A[15] ), .B1( n25052), .B2(\ashr_306/A[23] ), .Y(n25038) ); sky130_fd_sc_hd__o21ai_1 U29646 ( .A1(n25056), .A2(n25039), .B1(n25038), .Y( \lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_m [15]) ); sky130_fd_sc_hd__a22oi_1 U29647 ( .A1(n25053), .A2(\ashr_306/A[14] ), .B1( n25052), .B2(\ashr_306/A[22] ), .Y(n25040) ); sky130_fd_sc_hd__o21ai_1 U29648 ( .A1(n25056), .A2(n25041), .B1(n25040), .Y( \lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_m [14]) ); sky130_fd_sc_hd__a22oi_1 U29649 ( .A1(n25053), .A2(\ashr_306/A[13] ), .B1( n25052), .B2(\ashr_306/A[21] ), .Y(n25042) ); sky130_fd_sc_hd__o21ai_1 U29650 ( .A1(n25056), .A2(n25043), .B1(n25042), .Y( \lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_m [13]) ); sky130_fd_sc_hd__a22oi_1 U29651 ( .A1(n25053), .A2(\ashr_306/A[12] ), .B1( n25052), .B2(\ashr_306/A[20] ), .Y(n25044) ); sky130_fd_sc_hd__o21ai_1 U29652 ( .A1(n25056), .A2(n25045), .B1(n25044), .Y( \lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_m [12]) ); sky130_fd_sc_hd__a22oi_1 U29653 ( .A1(n25053), .A2(\ashr_306/A[11] ), .B1( n25052), .B2(\ashr_306/A[19] ), .Y(n25046) ); sky130_fd_sc_hd__o21ai_1 U29654 ( .A1(n25056), .A2(n25047), .B1(n25046), .Y( \lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_m [11]) ); sky130_fd_sc_hd__a22oi_1 U29655 ( .A1(n25053), .A2(\ashr_306/A[10] ), .B1( n25052), .B2(\ashr_306/A[18] ), .Y(n25048) ); sky130_fd_sc_hd__o21ai_1 U29656 ( .A1(n25056), .A2(n25049), .B1(n25048), .Y( \lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_m [10]) ); sky130_fd_sc_hd__a21o_1 U29657 ( .A1(n25051), .A2(\lsu/sec_data_lo_m [0]), .B1(n25050), .X(\ashr_306/A[0] ) ); sky130_fd_sc_hd__a22oi_1 U29658 ( .A1(n25053), .A2(\ashr_306/A[0] ), .B1( n25052), .B2(\ashr_306/A[8] ), .Y(n25054) ); sky130_fd_sc_hd__o21ai_1 U29659 ( .A1(n25056), .A2(n25055), .B1(n25054), .Y( \lsu/dccm_ctl/L2U_Plus1_0.lsu_ld_data_corr_m [0]) ); sky130_fd_sc_hd__o21bai_1 U29660 ( .A1(n25063), .A2(n25058), .B1_N(n25057), .Y(\lsu/store_data_r [22]) ); sky130_fd_sc_hd__nor2_1 U29661 ( .A(n25060), .B(n25059), .Y(n10812) ); sky130_fd_sc_hd__o21ai_1 U29662 ( .A1(n25063), .A2(n25062), .B1(n25061), .Y( \lsu/store_data_r [17]) ); sky130_fd_sc_hd__clkinv_1 U29663 ( .A(n25065), .Y(dbg_cmd_addr[5]) ); sky130_fd_sc_hd__nor2_1 U29664 ( .A(n25068), .B(n25067), .Y( lsu_pmu_store_external_m) ); endmodule