From f6c1f5dc0fd4177340eb989f2289dc3278a8d1fa Mon Sep 17 00:00:00 2001 From: Alexey Rybalchenko Date: Thu, 13 Sep 2018 16:31:08 +0200 Subject: [PATCH] Fix theoretical race in signal handler --- fairmq/plugins/Control.cxx | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) diff --git a/fairmq/plugins/Control.cxx b/fairmq/plugins/Control.cxx index ce5b83976..d4fc99884 100644 --- a/fairmq/plugins/Control.cxx +++ b/fairmq/plugins/Control.cxx @@ -12,12 +12,13 @@ #include // for the interactive mode #include // catching system signals #include +#include using namespace std; namespace { - volatile sig_atomic_t gSignalStatus = 0; + std::atomic gSignalStatus(0); extern "C" auto signal_handler(int signal) -> void {