cd /media/haris/1TB/testrocket/rocket-chip && java -Xmx2G -Xss8M -jar /media/haris/1TB/testrocket/rocket-chip/sbt-launch.jar assembly [info] welcome to sbt 1.3.13 (Ubuntu Java 11.0.20.1) [info] loading settings for project rocket-chip-build from plugins.sbt ... [info] loading project definition from /media/haris/1TB/testrocket/rocket-chip/project [info] loading settings for project rocketchip from build.sbt ... [info] loading settings for project hardfloat from build.sbt ... Using addons: chiffre/le-chiffre chiffre [info] set current project to rocketchip (in build file:/media/haris/1TB/testrocket/rocket-chip/) [info] Compiling 29 Scala sources to /media/haris/1TB/testrocket/rocket-chip/target/scala-2.13/classes ... [error] /media/haris/1TB/testrocket/rocket-chip/chiffre/le-chiffre/src/main/scala/leChiffre/Header.scala:17:29: object config is not a member of package freechips.rocketchip [error] import freechips.rocketchip.config.{Field, Parameters} [error] ^ [error] /media/haris/1TB/testrocket/rocket-chip/chiffre/le-chiffre/src/main/scala/leChiffre/Header.scala:19:34: not found: type Field [error] case object BuildChiffre extends Field[ChiffreParameters] [error] ^ [error] /media/haris/1TB/testrocket/rocket-chip/chiffre/le-chiffre/src/main/scala/leChiffre/Header.scala:28:19: not found: type Parameters [error] implicit val p: Parameters [error] ^ [warn] /media/haris/1TB/testrocket/rocket-chip/chiffre/le-chiffre/src/main/scala/leChiffre/Header.scala:17:37: Unused import [warn] import freechips.rocketchip.config.{Field, Parameters} [warn] ^ [warn] /media/haris/1TB/testrocket/rocket-chip/chiffre/le-chiffre/src/main/scala/leChiffre/Header.scala:17:44: Unused import [warn] import freechips.rocketchip.config.{Field, Parameters} [warn] ^ [error] /media/haris/1TB/testrocket/rocket-chip/chiffre/le-chiffre/src/main/scala/leChiffre/LeChiffre.scala:24:29: object config is not a member of package freechips.rocketchip [error] import freechips.rocketchip.config.Parameters [error] ^ [error] /media/haris/1TB/testrocket/rocket-chip/chiffre/le-chiffre/src/main/scala/leChiffre/LeChiffre.scala:34:29: not found: type Parameters [error] (implicit p: Parameters) extends LazyRoCC(opcode) { [error] ^ [error] /media/haris/1TB/testrocket/rocket-chip/chiffre/le-chiffre/src/main/scala/leChiffre/LeChiffre.scala:34:49: could not find implicit value for parameter p: org.chipsalliance.cde.config.Parameters [error] Error occurred in an application involving default arguments. [error] (implicit p: Parameters) extends LazyRoCC(opcode) { [error] ^ [error] /media/haris/1TB/testrocket/rocket-chip/chiffre/le-chiffre/src/main/scala/leChiffre/LeChiffre.scala:41:38: not found: type Parameters [error] (implicit p: Parameters) [error] ^ [warn] /media/haris/1TB/testrocket/rocket-chip/chiffre/le-chiffre/src/main/scala/leChiffre/LeChiffre.scala:24:36: Unused import [warn] import freechips.rocketchip.config.Parameters [warn] ^ [warn] /media/haris/1TB/testrocket/rocket-chip/chiffre/le-chiffre/src/main/scala/leChiffre/LeChiffre.scala:31:23: Unused import [warn] import perfect.random._ [warn] ^ [warn] /media/haris/1TB/testrocket/rocket-chip/chiffre/le-chiffre/src/main/scala/leChiffre/LeChiffre.scala:34:26: parameter value p in class LeChiffre is never used [warn] (implicit p: Parameters) extends LazyRoCC(opcode) { [warn] ^ [warn] /media/haris/1TB/testrocket/rocket-chip/chiffre/le-chiffre/src/main/scala/leChiffre/LeChiffre.scala:41:35: parameter value p in class LeChiffreModuleImp is never used [warn] (implicit p: Parameters) [warn] ^ [error] /media/haris/1TB/testrocket/rocket-chip/chiffre/le-chiffre/src/main/scala/rocketchip/Configs.scala:18:29: object config is not a member of package freechips.rocketchip [error] import freechips.rocketchip.config.{Config, Parameters} [error] ^ [error] /media/haris/1TB/testrocket/rocket-chip/chiffre/le-chiffre/src/main/scala/rocketchip/Configs.scala:20:8: object RocketTilesKey is not a member of package freechips.rocketchip.subsystem [error] import freechips.rocketchip.subsystem.RocketTilesKey [error] ^ [error] /media/haris/1TB/testrocket/rocket-chip/chiffre/le-chiffre/src/main/scala/rocketchip/Configs.scala:23:29: not found: type Config [error] class WithLeChiffre extends Config ( [error] ^ [error] /media/haris/1TB/testrocket/rocket-chip/chiffre/le-chiffre/src/main/scala/rocketchip/Configs.scala:24:20: no arguments allowed for nullary constructor Object: (): Object [error] (site, here, up) => { [error] ^ [error] /media/haris/1TB/testrocket/rocket-chip/chiffre/le-chiffre/src/main/scala/rocketchip/Configs.scala:32:31: not found: type Config [error] class LeChiffreConfig extends Config(new WithLeChiffre ++ new DefaultConfig) [error] ^ [error] /media/haris/1TB/testrocket/rocket-chip/chiffre/le-chiffre/src/main/scala/rocketchip/Configs.scala:32:56: no arguments allowed for nullary constructor Object: (): Object [error] class LeChiffreConfig extends Config(new WithLeChiffre ++ new DefaultConfig) [error] ^ [warn] /media/haris/1TB/testrocket/rocket-chip/chiffre/le-chiffre/src/main/scala/rocketchip/Configs.scala:16:16: Unused import [warn] import chisel3._ [warn] ^ [warn] /media/haris/1TB/testrocket/rocket-chip/chiffre/le-chiffre/src/main/scala/rocketchip/Configs.scala:17:17: Unused import [warn] import chiffre.{ChiffreParameters, LeChiffre, BuildChiffre} [warn] ^ [warn] /media/haris/1TB/testrocket/rocket-chip/chiffre/le-chiffre/src/main/scala/rocketchip/Configs.scala:17:36: Unused import [warn] import chiffre.{ChiffreParameters, LeChiffre, BuildChiffre} [warn] ^ [warn] /media/haris/1TB/testrocket/rocket-chip/chiffre/le-chiffre/src/main/scala/rocketchip/Configs.scala:17:47: Unused import [warn] import chiffre.{ChiffreParameters, LeChiffre, BuildChiffre} [warn] ^ [warn] /media/haris/1TB/testrocket/rocket-chip/chiffre/le-chiffre/src/main/scala/rocketchip/Configs.scala:18:37: Unused import [warn] import freechips.rocketchip.config.{Config, Parameters} [warn] ^ [warn] /media/haris/1TB/testrocket/rocket-chip/chiffre/le-chiffre/src/main/scala/rocketchip/Configs.scala:18:45: Unused import [warn] import freechips.rocketchip.config.{Config, Parameters} [warn] ^ [warn] /media/haris/1TB/testrocket/rocket-chip/chiffre/le-chiffre/src/main/scala/rocketchip/Configs.scala:19:35: Unused import [warn] import freechips.rocketchip.tile.{BuildRoCC, OpcodeSet} [warn] ^ [warn] /media/haris/1TB/testrocket/rocket-chip/chiffre/le-chiffre/src/main/scala/rocketchip/Configs.scala:19:46: Unused import [warn] import freechips.rocketchip.tile.{BuildRoCC, OpcodeSet} [warn] ^ [warn] /media/haris/1TB/testrocket/rocket-chip/chiffre/le-chiffre/src/main/scala/rocketchip/Configs.scala:20:39: Unused import [warn] import freechips.rocketchip.subsystem.RocketTilesKey [warn] ^ [warn] /media/haris/1TB/testrocket/rocket-chip/chiffre/le-chiffre/src/main/scala/rocketchip/Configs.scala:21:39: Unused import [warn] import freechips.rocketchip.diplomacy.LazyModule [warn] ^ [warn] /media/haris/1TB/testrocket/rocket-chip/chiffre/src/main/scala/chiffre/InjectorInfo.scala:47:41: Auto-application to `()` is deprecated. Supply the empty argument list `()` explicitly to invoke method isBound, [warn] or remove the empty argument list from its definition (Java-defined methods are exempt). [warn] In Scala 3, an unapplied method like this will be eta-expanded into a function. [warn] def isBound(): Boolean = fields.map(_.isBound).reduceOption(_ && _).getOrElse(true) [warn] ^ [warn] /media/haris/1TB/testrocket/rocket-chip/chiffre/src/main/scala/chiffre/InjectorInfo.scala:50:18: Auto-application to `()` is deprecated. Supply the empty argument list `()` explicitly to invoke method unbind, [warn] or remove the empty argument list from its definition (Java-defined methods are exempt). [warn] In Scala 3, an unapplied method like this will be eta-expanded into a function. [warn] fields.map(_.unbind) [warn] ^ [warn] /media/haris/1TB/testrocket/rocket-chip/chiffre/src/main/scala/chiffre/Instrumentation.scala:19:3: Unused import [warn] ScanChainTransform, FaultInstrumentationTransform} [warn] ^ [warn] /media/haris/1TB/testrocket/rocket-chip/chiffre/src/main/scala/chiffre/Instrumentation.scala:21:23: Unused import [warn] import chiffre.passes.ScanChainDescriptionAnnotation [warn] ^ [warn] /media/haris/1TB/testrocket/rocket-chip/chiffre/src/main/scala/chiffre/ScanField.scala:63:30: Auto-application to `()` is deprecated. Supply the empty argument list `()` explicitly to invoke method toBits, [warn] or remove the empty argument list from its definition (Java-defined methods are exempt). [warn] In Scala 3, an unapplied method like this will be eta-expanded into a function. [warn] |${indent} value: ${toBits}""" [warn] ^ [warn] /media/haris/1TB/testrocket/rocket-chip/chiffre/src/main/scala/chiffre/inject/CycleInjector.scala:19:42: Unused import [warn] import chiffre.{InjectorInfo, ScanField, HasWidth} [warn] ^ [warn] /media/haris/1TB/testrocket/rocket-chip/chiffre/src/main/scala/chiffre/inject/IdentityInjector.scala:18:16: Unused import [warn] import chisel3._ [warn] ^ [warn] /media/haris/1TB/testrocket/rocket-chip/chiffre/src/main/scala/chiffre/inject/Injector.scala:18:30: Unused import [warn] import chisel3.experimental.{ChiselAnnotation, annotate, RunFirrtlTransform} [warn] ^ [warn] /media/haris/1TB/testrocket/rocket-chip/chiffre/src/main/scala/chiffre/inject/Injector.scala:18:48: Unused import [warn] import chisel3.experimental.{ChiselAnnotation, annotate, RunFirrtlTransform} [warn] ^ [warn] /media/haris/1TB/testrocket/rocket-chip/chiffre/src/main/scala/chiffre/inject/Injector.scala:18:58: Unused import [warn] import chisel3.experimental.{ChiselAnnotation, annotate, RunFirrtlTransform} [warn] ^ [warn] /media/haris/1TB/testrocket/rocket-chip/chiffre/src/main/scala/chiffre/passes/FaultInstrumentation.scala:19:50: Unused import [warn] import chisel3.stage.{ChiselGeneratorAnnotation, CircuitSerializationAnnotation} [warn] ^ [warn] /media/haris/1TB/testrocket/rocket-chip/chiffre/src/main/scala/chiffre/passes/FaultInstrumentation.scala:87:56: private default argument in class FaultInstrumentation is never used [warn] private def inlineCompile(gen: () => chisel3.Module, ns: Option[Namespace] = None): CircuitState = { [warn] ^ [warn] /media/haris/1TB/testrocket/rocket-chip/chiffre/src/main/scala/chiffre/passes/FaultInstrumentation.scala:88:31: local default argument in method inlineCompile is never used [warn] def genName(name: String, n: Option[Namespace] = None): String = n.map(_.newName(name)).getOrElse(name) [warn] ^ [warn] /media/haris/1TB/testrocket/rocket-chip/chiffre/src/main/scala/chiffre/passes/FaultInstrumentation.scala:126:15: local var scanIn in value $anonfun is never used [warn] var scanIn: Option[String] = None [warn] ^ [warn] /media/haris/1TB/testrocket/rocket-chip/chiffre/src/main/scala/chiffre/passes/FaultInstrumentation.scala:127:15: local var scanOut in value $anonfun is never used [warn] var scanOut: String = "" [warn] ^ [warn] /media/haris/1TB/testrocket/rocket-chip/chiffre/src/main/scala/chiffre/passes/FaultInstrumentation.scala:144:18: pattern var subcir in value $anonfun is never used: use a wildcard `_` or suppress this warning with `subcir@_` [warn] val (subcir, defms, annosx) = if (cmods.contains(comp)) { [warn] ^ [warn] /media/haris/1TB/testrocket/rocket-chip/chiffre/src/main/scala/chiffre/passes/FaultInstrumentation.scala:150:22: pattern var e in value x$6 is never used: use a wildcard `_` or suppress this warning with `e@_` [warn] case e: java.lang.IllegalArgumentException => throw new FaultInstrumentationException( [warn] ^ [warn] /media/haris/1TB/testrocket/rocket-chip/chiffre/src/main/scala/chiffre/passes/FaultInstrumentation.scala:192:14: pattern var m in value $anonfun is never used: use a wildcard `_` or suppress this warning with `m@_` [warn] case m: ExtModule => [warn] ^ [warn] /media/haris/1TB/testrocket/rocket-chip/chiffre/src/main/scala/chiffre/passes/FaultInstrumentation.scala:209:17: pattern var l in method onModule is never used: use a wildcard `_` or suppress this warning with `l@_` [warn] case Some(l) => m match { [warn] ^ [warn] /media/haris/1TB/testrocket/rocket-chip/chiffre/src/main/scala/chiffre/passes/FaultInstrumentationTransform.scala:24:23: Unused import [warn] import scala.language.existentials [warn] ^ [warn] /media/haris/1TB/testrocket/rocket-chip/chiffre/src/main/scala/chiffre/passes/ScanChainTransform.scala:20:55: Unused import [warn] import firrtl.annotations.{ComponentName, ModuleName, CircuitName, SingleTargetAnnotation, Annotation} [warn] ^ [warn] /media/haris/1TB/testrocket/rocket-chip/chiffre/src/main/scala/chiffre/passes/ScanChainTransform.scala:22:22: Unused import [warn] import chiffre.scan.{ScanChain, JsonProtocol} [warn] ^ [warn] /media/haris/1TB/testrocket/rocket-chip/chiffre/src/main/scala/chiffre/passes/ScanChainTransform.scala:50:19: pattern var m in value $anonfun is never used: use a wildcard `_` or suppress this warning with `m@_` [warn] .map{ case(c, m) => FaultyComponent(c.serialize, description(c)) } [warn] ^ [warn] /media/haris/1TB/testrocket/rocket-chip/chiffre/src/main/scala/chiffre/passes/ScanChainTransform.scala:93:53: pattern var key in value $anonfun is never used: use a wildcard `_` or suppress this warning with `key@_` [warn] case ScanChainAnnotation(comp, ctrl, dir, id, key) => (ctrl, dir) match { [warn] ^ [warn] /media/haris/1TB/testrocket/rocket-chip/chiffre/src/main/scala/chiffre/passes/ScanChainTransform.scala:90:15: parameter value circuit in method analyze is never used [warn] def analyze(circuit: Circuit, annos: Seq[Annotation]): Map[String, ScanChainInfo] = { [warn] ^ [warn] /media/haris/1TB/testrocket/rocket-chip/chiffre/src/main/scala/chiffre/scan/JsonProtocol.scala:20:26: Unused import [warn] import org.json4s.native.Serialization [warn] ^ [warn] /media/haris/1TB/testrocket/rocket-chip/chiffre/src/main/scala/chiffre/scan/JsonProtocol.scala:21:47: Unused import [warn] import org.json4s.native.Serialization.{read, write, writePretty} [warn] ^ [warn] /media/haris/1TB/testrocket/rocket-chip/chiffre/src/main/scala/chiffre/scan/JsonProtocol.scala:130:9: local method throwError in method deserialize is never used [warn] def throwError() = [warn] ^ [warn] /media/haris/1TB/testrocket/rocket-chip/chiffre/src/main/scala/chiffre/scan/JsonProtocol.scala:42:22: pattern var k in value $anonfun is never used: use a wildcard `_` or suppress this warning with `k@_` [warn] .flatMap { case (k, v) => v.map(fc => [warn] ^ [warn] /media/haris/1TB/testrocket/rocket-chip/chiffre/src/main/scala/chiffre/scan/JsonProtocol.scala:60:5: parameter value format in anonymous function is never used [warn] format => { [warn] ^ [warn] /media/haris/1TB/testrocket/rocket-chip/chiffre/src/main/scala/chiffre/scan/JsonProtocol.scala:90:5: parameter value format in anonymous function is never used [warn] format => { [warn] ^ [warn] /media/haris/1TB/testrocket/rocket-chip/chiffre/src/main/scala/chiffre/util/ScanChainConfig.scala:21:17: Unused import [warn] import scala.io.Source [warn] ^ [warn] /media/haris/1TB/testrocket/rocket-chip/chiffre/src/main/scala/chiffre/util/ScanChainConfig.scala:80:11: pattern var name in value $anonfun is never used: use a wildcard `_` or suppress this warning with `name@_` [warn] case (name, chain) => { chain.foreach { bind(_) } } [warn] ^ [warn] /media/haris/1TB/testrocket/rocket-chip/chiffre/src/main/scala/chiffre/util/ScanChainConfig.scala:202:29: pattern var name in value $anonfun is never used: use a wildcard `_` or suppress this warning with `name@_` [warn] chains.foreach{ case (name, c) => util.toBinary(c) } [warn] ^ [warn] /media/haris/1TB/testrocket/rocket-chip/chiffre/src/main/scala/perfect/src/main/scala/perfect/random/Lfsr.scala:17:21: Unused import [warn] import chisel3.util._ [warn] ^ [warn] /media/haris/1TB/testrocket/rocket-chip/chiffre/src/main/scala/perfect/src/main/scala/perfect/util/Counter.scala:55:13: pattern var value in method apply is never used: use a wildcard `_` or suppress this warning with `value@_` [warn] val Seq(value, wrap) = Seq(UInt(), Bool()) [warn] ^ [warn] /media/haris/1TB/testrocket/rocket-chip/chiffre/src/main/scala/perfect/src/main/scala/perfect/util/Counter.scala:55:20: pattern var wrap in method apply is never used: use a wildcard `_` or suppress this warning with `wrap@_` [warn] val Seq(value, wrap) = Seq(UInt(), Bool()) [warn] ^ [warn] /media/haris/1TB/testrocket/rocket-chip/chiffre/src/main/scala/perfect/src/main/scala/perfect/util/Printf.scala:24:40: procedure syntax is deprecated: instead, add `: Unit =` to explicitly declare `printfInfo`'s return type [warn] def printfInfo (m: String, a: Bits*) { pp("[INFO] ", printfSigil++m, a:_*) } [warn] ^ [warn] /media/haris/1TB/testrocket/rocket-chip/chiffre/src/main/scala/perfect/src/main/scala/perfect/util/Printf.scala:25:40: procedure syntax is deprecated: instead, add `: Unit =` to explicitly declare `printfWarn`'s return type [warn] def printfWarn (m: String, a: Bits*) { pp("[WARN] ", printfSigil++m, a:_*) } [warn] ^ [warn] /media/haris/1TB/testrocket/rocket-chip/chiffre/src/main/scala/perfect/src/main/scala/perfect/util/Printf.scala:26:40: procedure syntax is deprecated: instead, add `: Unit =` to explicitly declare `printfError`'s return type [warn] def printfError(m: String, a: Bits*) { pp("[ERROR] ", printfSigil++m, a:_*) } [warn] ^ [warn] /media/haris/1TB/testrocket/rocket-chip/chiffre/src/main/scala/perfect/src/main/scala/perfect/util/Printf.scala:27:40: procedure syntax is deprecated: instead, add `: Unit =` to explicitly declare `printfDebug`'s return type [warn] def printfDebug(m: String, a: Bits*) { pp("[DEBUG] ", printfSigil++m, a:_*) } [warn] ^ [warn] /media/haris/1TB/testrocket/rocket-chip/chiffre/src/main/scala/perfect/src/main/scala/perfect/util/Printf.scala:28:40: procedure syntax is deprecated: instead, add `: Unit =` to explicitly declare `printfTodo`'s return type [warn] def printfTodo (m: String, a: Bits*) { pp("[TODO] ", printfSigil++m, a:_*) } [warn] ^ [warn] /media/haris/1TB/testrocket/rocket-chip/chiffre/src/main/scala/perfect/src/test/scala/random/Lfsr.scala:49:29: Auto-application to `()` is deprecated. Supply the empty argument list `()` explicitly to invoke method stop, [warn] or remove the empty argument list from its definition (Java-defined methods are exempt). [warn] In Scala 3, an unapplied method like this will be eta-expanded into a function. [warn] when (state === s_DONE) { stop } [warn] ^ [warn] /media/haris/1TB/testrocket/rocket-chip/chiffre/src/main/scala/perfect/src/test/scala/random/Lfsr.scala:19:13: Unused import [warn] import math.pow [warn] ^ [warn] /media/haris/1TB/testrocket/rocket-chip/src/main/scala/rocket/CSR.scala:13:16: Unused import [warn] import chiffre._ [warn] ^ [warn] /media/haris/1TB/testrocket/rocket-chip/src/main/scala/rocket/CSR.scala:842:14: pattern var is_break in value $anonfun is never used: use a wildcard `_` or suppress this warning with `is_break@_` [warn] val _ :: is_break :: is_ret :: _ :: is_wfi :: is_sfence :: is_hfence_vvma :: is_hfence_gvma :: is_hlsv :: Nil = [warn] ^ [warn] /media/haris/1TB/testrocket/rocket-chip/src/main/scala/rocket/CSR.scala:1113:41: pattern var v in value $anonfun is never used: use a wildcard `_` or suppress this warning with `v@_` [warn] coverable_counters.foreach( {case (k, v) => { [warn] ^ [warn] /media/haris/1TB/testrocket/rocket-chip/src/main/scala/rocket/CSR.scala:556:38: parameter value c in anonymous function is never used [warn] val reg_hpmevent = io.counters.map(c => RegInit(0.U(xLen.W))) [warn] ^ [warn] /media/haris/1TB/testrocket/rocket-chip/src/main/scala/rocket/CSR.scala:833:46: parameter value x in anonymous function is never used [warn] DecodeLogic(insn, decode_table(0)._2.map(x=>X), decode_table).map(system_insn && _.asBool) [warn] ^ [warn] /media/haris/1TB/testrocket/rocket-chip/src/main/scala/rocket/CSR.scala:843:55: parameter value x in anonymous function is never used [warn] DecodeLogic(io_dec.inst, decode_table(0)._2.map(x=>X), decode_table).map(_.asBool) [warn] ^ [warn] /media/haris/1TB/testrocket/rocket-chip/src/main/scala/rocket/CSR.scala:1122:23: parameter value vio in anonymous function is never used [warn] io.vector.foreach { vio => [warn] ^ [warn] 67 warnings found [error] 13 errors found [error] (Compile / compileIncremental) Compilation failed [error] Total time: 10 s, completed Sep 11, 2023, 1:13:06 AM make: *** [/media/haris/1TB/testrocket/rocket-chip/Makefrag:50: /media/haris/1TB/testrocket/rocket-chip/rocketchip.jar] Error 1