{"payload":{"header_redesign_enabled":false,"results":[{"id":"325869034","archived":false,"color":"#adb2cb","followers":5,"has_funding_file":false,"hl_name":"Joash09/UART_FPGA_Comm","hl_trunc_description":"VHDL Code for UART Tx and Rx modules. To be used for future projects.","language":"VHDL","mirror":false,"owned_by_organization":false,"public":true,"repo":{"repository":{"id":325869034,"name":"UART_FPGA_Comm","owner_id":31129983,"owner_login":"Joash09","updated_at":"2021-12-17T12:50:50.114Z","has_issues":true}},"sponsorable":false,"topics":["uart","serial-communication"],"type":"Public","help_wanted_issues_count":0,"good_first_issue_issues_count":0,"starred_by_current_user":false}],"type":"repositories","page":1,"page_count":1,"elapsed_millis":127,"errors":[],"result_count":1,"facets":[],"protected_org_logins":[],"topics":null,"query_id":"","logged_in":false,"sign_up_path":"/signup?source=code_search_results","sign_in_path":"/login?return_to=https%3A%2F%2Fgithub.com%2Fsearch%3Fq%3Drepo%253AJoash09%252FUART_FPGA_Comm%2B%2Blanguage%253AVHDL","metadata":null,"warn_limited_results":false,"csrf_tokens":{"/Joash09/UART_FPGA_Comm/star":{"post":"G4faE3Nx5SwgLhBIUlJ88SPiK2sL7hmVBL7ImGTiABUtBk6ZjTFPNQlwt-DVjDrXLM3JCdQTsb6353ef4I28OA"},"/Joash09/UART_FPGA_Comm/unstar":{"post":"ZrxatLfHDThP8vbpwX4_3fXQ-UamAxb97B7GKKOlFHBUVyNpZ_w0liRZV2i6F0b7Mwhzkr1nfg3aRILGLMCdKg"},"/sponsors/batch_deferred_sponsor_buttons":{"post":"jDAg70H8oJGuLYlZWFLRhRrW5EKw1Ojy8q0MQWh_Qpbv792k0YPz4xR4gcnfKDbWoNGx-IibdtJ6gPbe5EXH8g"}}},"title":"Repository search results"}