From 885f7581e5b8d3cb86e5d81fa536e808a869d83c Mon Sep 17 00:00:00 2001 From: Vincent Louis Date: Thu, 1 Jun 2023 12:22:45 +0200 Subject: [PATCH] Demo Safran --- hierarchy.ys | 2 +- sonar-project.properties | 2 +- src/sleep.vhd | 10 +++++++--- 3 files changed, 9 insertions(+), 5 deletions(-) diff --git a/hierarchy.ys b/hierarchy.ys index 9b9661e..55d623c 100644 --- a/hierarchy.ys +++ b/hierarchy.ys @@ -1 +1 @@ -hierarchy -top sleep +hierarchy -top Dream diff --git a/sonar-project.properties b/sonar-project.properties index 0cba713..13ff76f 100644 --- a/sonar-project.properties +++ b/sonar-project.properties @@ -1,4 +1,4 @@ sonar.projectKey=github-bugfinder-sample sonar.projectName=GitHub - BugFinder Sample sonar.sources=./src -sonar.vhdl.topLevelEntity=sleep +sonar.vhdl.topLevelEntity=Dream diff --git a/src/sleep.vhd b/src/sleep.vhd index ebedf0a..d676e5b 100644 --- a/src/sleep.vhd +++ b/src/sleep.vhd @@ -1,18 +1,19 @@ library ieee; use ieee.std_logic_1164.all; -entity sleep is +entity Dream is port ( clk : in std_logic; rst : in std_logic; i1 : in std_logic; i2 : in std_logic; o1 : out std_logic; - o2 : out std_logic + o2 : out std_logic: + blabla ; out std_logic_vector(0 to 3) ); end entity; -architecture rtl of sleep is +architecture rtl of Dream is begin p1 : process (clk) is begin @@ -32,7 +33,10 @@ begin o2 <= '0'; else o2 <= i1 or i2; + blabla(0) <= '1'; + blabla(2) <= i1 or i2; end if; end if; end process; + blabla(3) <= i1 or i2; end architecture; \ No newline at end of file