From 72853a6406b9014b07504931e674a9530e3e50dd Mon Sep 17 00:00:00 2001 From: Paulo Moura Date: Thu, 21 Aug 2014 16:37:05 +0100 Subject: [PATCH] Fix output alignment issue in the `ports` tool --- tools/ports/ports.lgt | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/tools/ports/ports.lgt b/tools/ports/ports.lgt index de94dbb8ca..36d051505b 100644 --- a/tools/ports/ports.lgt +++ b/tools/ports/ports.lgt @@ -273,7 +273,7 @@ table_ruler('----------------------------------------------------------------------------------------------------------------------'). - table_label('Entity Predicate Fact Rule Call Exit *Exit Fail Redo'). + table_label('Entity Predicate Fact Rule Call Exit *Exit Fail Redo'). reset :- retractall(port_(_, _, _, _, _)).