diff --git a/e.pas b/e.pas new file mode 100644 index 00000000..d435fcdc --- /dev/null +++ b/e.pas @@ -0,0 +1,11 @@ +program e; + +var + e:integer; +begin + e := 1; + while true do + begin + writeln('e'); + end; +end.