From 28d11c1fefa2ecd9a3ce8fbd8e8671eb60388cd3 Mon Sep 17 00:00:00 2001 From: Wu Chenzhi <38396747+timmy61109@users.noreply.github.com> Date: Thu, 24 Oct 2019 22:38:55 +0800 Subject: [PATCH] =?UTF-8?q?Revert=20"=E5=AE=8C=E6=88=90=E7=AC=AC=E5=85=AD?= =?UTF-8?q?=E7=AB=A0=E7=AC=AC=E4=B8=80=E7=AF=80"?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- .gitignore | 109 -- CH6/CH6-1/BCD_to_decimal_decoder.bdf | 1333 ---------------- CH6/CH6-1/BCD_to_decimal_decoder.qpf | 30 - CH6/CH6-1/BCD_to_decimal_decoder.qsf | 59 - CH6/CH6-1/BCD_to_decimal_decoder.vwf | 458 ------ .../Ten_line_to_four_line_BCD_encoder.bdf | 621 -------- .../Ten_line_to_four_line_BCD_encoder.qpf | 30 - .../Ten_line_to_four_line_BCD_encoder.qsf | 72 - ...r_line_BCD_encoder_assignment_defaults.qdf | 728 --------- CH6/CH6-1/db/.cmp.kpt | Bin 225 -> 0 bytes .../db/BCD_to_decimal_decoder.(0).cnf.cdb | Bin 1474 -> 0 bytes .../db/BCD_to_decimal_decoder.(0).cnf.hdb | Bin 829 -> 0 bytes CH6/CH6-1/db/BCD_to_decimal_decoder.asm.qmsg | 6 - CH6/CH6-1/db/BCD_to_decimal_decoder.asm.rdb | Bin 1359 -> 0 bytes .../db/BCD_to_decimal_decoder.asm_labs.ddb | Bin 9975 -> 0 bytes CH6/CH6-1/db/BCD_to_decimal_decoder.cbx.xml | 5 - CH6/CH6-1/db/BCD_to_decimal_decoder.cmp.bpm | Bin 738 -> 0 bytes CH6/CH6-1/db/BCD_to_decimal_decoder.cmp.cdb | Bin 5294 -> 0 bytes CH6/CH6-1/db/BCD_to_decimal_decoder.cmp.hdb | Bin 10834 -> 0 bytes CH6/CH6-1/db/BCD_to_decimal_decoder.cmp.idb | Bin 1185 -> 0 bytes CH6/CH6-1/db/BCD_to_decimal_decoder.cmp.logdb | 56 - CH6/CH6-1/db/BCD_to_decimal_decoder.cmp.rdb | Bin 22371 -> 0 bytes .../db/BCD_to_decimal_decoder.cmp_merge.kpt | Bin 223 -> 0 bytes ...da_io_sim_cache.31um_ff_1200mv_0c_fast.hsd | Bin 388256 -> 0 bytes ...a_io_sim_cache.31um_tt_1200mv_85c_slow.hsd | Bin 382865 -> 0 bytes CH6/CH6-1/db/BCD_to_decimal_decoder.eda.qmsg | 5 - CH6/CH6-1/db/BCD_to_decimal_decoder.fit.qmsg | 48 - CH6/CH6-1/db/BCD_to_decimal_decoder.hier_info | 32 - CH6/CH6-1/db/BCD_to_decimal_decoder.hif | Bin 345 -> 0 bytes CH6/CH6-1/db/BCD_to_decimal_decoder.ipinfo | Bin 162 -> 0 bytes CH6/CH6-1/db/BCD_to_decimal_decoder.lpc.html | 18 - CH6/CH6-1/db/BCD_to_decimal_decoder.lpc.rdb | Bin 399 -> 0 bytes CH6/CH6-1/db/BCD_to_decimal_decoder.lpc.txt | 5 - CH6/CH6-1/db/BCD_to_decimal_decoder.map.ammdb | Bin 128 -> 0 bytes CH6/CH6-1/db/BCD_to_decimal_decoder.map.bpm | Bin 707 -> 0 bytes CH6/CH6-1/db/BCD_to_decimal_decoder.map.cdb | Bin 2823 -> 0 bytes CH6/CH6-1/db/BCD_to_decimal_decoder.map.hdb | Bin 10082 -> 0 bytes CH6/CH6-1/db/BCD_to_decimal_decoder.map.kpt | Bin 222 -> 0 bytes CH6/CH6-1/db/BCD_to_decimal_decoder.map.logdb | 1 - CH6/CH6-1/db/BCD_to_decimal_decoder.map.qmsg | 10 - CH6/CH6-1/db/BCD_to_decimal_decoder.map.rdb | Bin 1309 -> 0 bytes .../db/BCD_to_decimal_decoder.map_bb.cdb | Bin 1892 -> 0 bytes .../db/BCD_to_decimal_decoder.map_bb.hdb | Bin 9139 -> 0 bytes .../db/BCD_to_decimal_decoder.map_bb.logdb | 1 - .../db/BCD_to_decimal_decoder.pre_map.hdb | Bin 10039 -> 0 bytes .../db/BCD_to_decimal_decoder.pti_db_list.ddb | Bin 245 -> 0 bytes ...imal_decoder.root_partition.map.reg_db.cdb | Bin 232 -> 0 bytes .../db/BCD_to_decimal_decoder.routing.rdb | Bin 4595 -> 0 bytes CH6/CH6-1/db/BCD_to_decimal_decoder.rtlv.hdb | Bin 10008 -> 0 bytes .../db/BCD_to_decimal_decoder.rtlv_sg.cdb | Bin 1302 -> 0 bytes .../BCD_to_decimal_decoder.rtlv_sg_swap.cdb | Bin 203 -> 0 bytes .../db/BCD_to_decimal_decoder.sgdiff.cdb | Bin 2409 -> 0 bytes .../db/BCD_to_decimal_decoder.sgdiff.hdb | Bin 10158 -> 0 bytes ...CD_to_decimal_decoder.sld_design_entry.sci | Bin 276 -> 0 bytes ...o_decimal_decoder.sld_design_entry_dsc.sci | Bin 276 -> 0 bytes .../BCD_to_decimal_decoder.smart_action.txt | 1 - CH6/CH6-1/db/BCD_to_decimal_decoder.sta.qmsg | 49 - CH6/CH6-1/db/BCD_to_decimal_decoder.sta.rdb | Bin 10221 -> 0 bytes ...imal_decoder.sta_cmp.6_slow_1200mv_85c.tdb | Bin 4388 -> 0 bytes .../db/BCD_to_decimal_decoder.tis_db_list.ddb | Bin 301 -> 0 bytes ..._decimal_decoder.tiscmp.fast_1200mv_0c.ddb | Bin 106380 -> 0 bytes ..._decimal_decoder.tiscmp.slow_1200mv_0c.ddb | Bin 106930 -> 0 bytes ...decimal_decoder.tiscmp.slow_1200mv_85c.ddb | Bin 106894 -> 0 bytes CH6/CH6-1/db/BCD_to_decimal_decoder.tmw_info | 5 - CH6/CH6-1/db/BCD_to_decimal_decoder.vpr.ammdb | Bin 313 -> 0 bytes .../Ten_line_to_four_line_BCD_encoder.db_info | 3 - ...o_sixteen_line_decimal_decoder.(0).cnf.cdb | Bin 1233 -> 0 bytes ...o_sixteen_line_decimal_decoder.(0).cnf.hdb | Bin 800 -> 0 bytes ...o_sixteen_line_decimal_decoder.(1).cnf.cdb | Bin 1394 -> 0 bytes ...o_sixteen_line_decimal_decoder.(1).cnf.hdb | Bin 905 -> 0 bytes ...e_to_sixteen_line_decimal_decoder.asm.qmsg | 6 - ...ne_to_sixteen_line_decimal_decoder.asm.rdb | Bin 1374 -> 0 bytes ..._sixteen_line_decimal_decoder.asm_labs.ddb | Bin 10304 -> 0 bytes ...ne_to_sixteen_line_decimal_decoder.cbx.xml | 5 - ...ne_to_sixteen_line_decimal_decoder.cmp.bpm | Bin 807 -> 0 bytes ...ne_to_sixteen_line_decimal_decoder.cmp.cdb | Bin 6012 -> 0 bytes ...ne_to_sixteen_line_decimal_decoder.cmp.hdb | Bin 11368 -> 0 bytes ...ne_to_sixteen_line_decimal_decoder.cmp.idb | Bin 1372 -> 0 bytes ..._to_sixteen_line_decimal_decoder.cmp.logdb | 62 - ...ne_to_sixteen_line_decimal_decoder.cmp.rdb | Bin 23324 -> 0 bytes ...sixteen_line_decimal_decoder.cmp_merge.kpt | Bin 232 -> 0 bytes ...da_io_sim_cache.31um_ff_1200mv_0c_fast.hsd | Bin 388822 -> 0 bytes ...a_io_sim_cache.31um_tt_1200mv_85c_slow.hsd | Bin 382423 -> 0 bytes ...e_to_sixteen_line_decimal_decoder.eda.qmsg | 5 - ...e_to_sixteen_line_decimal_decoder.fit.qmsg | 48 - ..._to_sixteen_line_decimal_decoder.hier_info | 61 - ...r_line_to_sixteen_line_decimal_decoder.hif | Bin 414 -> 0 bytes ...ine_to_sixteen_line_decimal_decoder.ipinfo | Bin 162 -> 0 bytes ...e_to_sixteen_line_decimal_decoder.lpc.html | 18 - ...ne_to_sixteen_line_decimal_decoder.lpc.rdb | Bin 399 -> 0 bytes ...ne_to_sixteen_line_decimal_decoder.lpc.txt | 5 - ..._to_sixteen_line_decimal_decoder.map.ammdb | Bin 128 -> 0 bytes ...ne_to_sixteen_line_decimal_decoder.map.bpm | Bin 768 -> 0 bytes ...ne_to_sixteen_line_decimal_decoder.map.cdb | Bin 2837 -> 0 bytes ...ne_to_sixteen_line_decimal_decoder.map.hdb | Bin 10125 -> 0 bytes ...ne_to_sixteen_line_decimal_decoder.map.kpt | Bin 229 -> 0 bytes ..._to_sixteen_line_decimal_decoder.map.logdb | 1 - ...e_to_sixteen_line_decimal_decoder.map.qmsg | 12 - ...ne_to_sixteen_line_decimal_decoder.map.rdb | Bin 1322 -> 0 bytes ...to_sixteen_line_decimal_decoder.map_bb.cdb | Bin 1930 -> 0 bytes ...to_sixteen_line_decimal_decoder.map_bb.hdb | Bin 8944 -> 0 bytes ..._sixteen_line_decimal_decoder.map_bb.logdb | 1 - ...o_sixteen_line_decimal_decoder.pre_map.hdb | Bin 10338 -> 0 bytes ...xteen_line_decimal_decoder.pti_db_list.ddb | Bin 245 -> 0 bytes ...imal_decoder.root_partition.map.reg_db.cdb | Bin 248 -> 0 bytes ...o_sixteen_line_decimal_decoder.routing.rdb | Bin 5166 -> 0 bytes ...e_to_sixteen_line_decimal_decoder.rtlv.hdb | Bin 10271 -> 0 bytes ...o_sixteen_line_decimal_decoder.rtlv_sg.cdb | Bin 2121 -> 0 bytes ...teen_line_decimal_decoder.rtlv_sg_swap.cdb | Bin 658 -> 0 bytes ...to_sixteen_line_decimal_decoder.sgdiff.cdb | Bin 2433 -> 0 bytes ...to_sixteen_line_decimal_decoder.sgdiff.hdb | Bin 10083 -> 0 bytes ..._line_decimal_decoder.sld_design_entry.sci | Bin 276 -> 0 bytes ...e_decimal_decoder.sld_design_entry_dsc.sci | Bin 276 -> 0 bytes ...teen_line_decimal_decoder.smart_action.txt | 1 - ...e_to_sixteen_line_decimal_decoder.sta.qmsg | 49 - ...ne_to_sixteen_line_decimal_decoder.sta.rdb | Bin 13326 -> 0 bytes ...imal_decoder.sta_cmp.6_slow_1200mv_85c.tdb | Bin 5854 -> 0 bytes ...xteen_line_decimal_decoder.tis_db_list.ddb | Bin 301 -> 0 bytes ..._decimal_decoder.tiscmp.fast_1200mv_0c.ddb | Bin 109061 -> 0 bytes ..._decimal_decoder.tiscmp.slow_1200mv_0c.ddb | Bin 109417 -> 0 bytes ...decimal_decoder.tiscmp.slow_1200mv_85c.ddb | Bin 109322 -> 0 bytes ..._to_sixteen_line_decimal_decoder.vpr.ammdb | Bin 313 -> 0 bytes CH6/CH6-1/db/logic_util_heursitic.dat | Bin 2948 -> 0 bytes .../db/prev_cmp_BCD_to_decimal_decoder.qmsg | 126 -- ...cmp_Ten_line_to_four_line_BCD_encoder.qmsg | 135 -- ...ine_to_four_line_BCD_priority_encoder.qmsg | 125 -- ...p_three_line_to_eight_decimal_decoder.qmsg | 128 -- ...four_line_BCD_priority_encoder.(0).cnf.cdb | Bin 1104 -> 0 bytes ...four_line_BCD_priority_encoder.(0).cnf.hdb | Bin 793 -> 0 bytes ...four_line_BCD_priority_encoder.(1).cnf.cdb | Bin 1820 -> 0 bytes ...four_line_BCD_priority_encoder.(1).cnf.hdb | Bin 1161 -> 0 bytes ...to_four_line_BCD_priority_encoder.asm.qmsg | 6 - ..._to_four_line_BCD_priority_encoder.asm.rdb | Bin 1381 -> 0 bytes ...our_line_BCD_priority_encoder.asm_labs.ddb | Bin 10258 -> 0 bytes ..._to_four_line_BCD_priority_encoder.cbx.xml | 5 - ..._to_four_line_BCD_priority_encoder.cmp.bpm | Bin 752 -> 0 bytes ..._to_four_line_BCD_priority_encoder.cmp.cdb | Bin 5283 -> 0 bytes ..._to_four_line_BCD_priority_encoder.cmp.hdb | Bin 11585 -> 0 bytes ..._to_four_line_BCD_priority_encoder.cmp.idb | Bin 1200 -> 0 bytes ...o_four_line_BCD_priority_encoder.cmp.logdb | 54 - ..._to_four_line_BCD_priority_encoder.cmp.rdb | Bin 22385 -> 0 bytes ...ur_line_BCD_priority_encoder.cmp_merge.kpt | Bin 233 -> 0 bytes ...da_io_sim_cache.31um_ff_1200mv_0c_fast.hsd | Bin 388256 -> 0 bytes ...a_io_sim_cache.31um_tt_1200mv_85c_slow.hsd | Bin 382865 -> 0 bytes ...to_four_line_BCD_priority_encoder.eda.qmsg | 5 - ...to_four_line_BCD_priority_encoder.fit.qmsg | 45 - ...o_four_line_BCD_priority_encoder.hier_info | 31 - ...line_to_four_line_BCD_priority_encoder.hif | Bin 414 -> 0 bytes ...e_to_four_line_BCD_priority_encoder.ipinfo | Bin 162 -> 0 bytes ...to_four_line_BCD_priority_encoder.lpc.html | 18 - ..._to_four_line_BCD_priority_encoder.lpc.rdb | Bin 399 -> 0 bytes ..._to_four_line_BCD_priority_encoder.lpc.txt | 5 - ...o_four_line_BCD_priority_encoder.map.ammdb | Bin 128 -> 0 bytes ..._to_four_line_BCD_priority_encoder.map.bpm | Bin 726 -> 0 bytes ..._to_four_line_BCD_priority_encoder.map.cdb | Bin 2908 -> 0 bytes ..._to_four_line_BCD_priority_encoder.map.hdb | Bin 10763 -> 0 bytes ..._to_four_line_BCD_priority_encoder.map.kpt | Bin 231 -> 0 bytes ...o_four_line_BCD_priority_encoder.map.logdb | 1 - ...to_four_line_BCD_priority_encoder.map.qmsg | 12 - ..._to_four_line_BCD_priority_encoder.map.rdb | Bin 1321 -> 0 bytes ..._four_line_BCD_priority_encoder.map_bb.cdb | Bin 1898 -> 0 bytes ..._four_line_BCD_priority_encoder.map_bb.hdb | Bin 9694 -> 0 bytes ...our_line_BCD_priority_encoder.map_bb.logdb | 1 - ...to_four_line_BCD_priority_encoder.pplq.rdb | Bin 295 -> 0 bytes ...four_line_BCD_priority_encoder.pre_map.hdb | Bin 11390 -> 0 bytes ..._line_BCD_priority_encoder.pti_db_list.ddb | Bin 245 -> 0 bytes ...rity_encoder.root_partition.map.reg_db.cdb | Bin 250 -> 0 bytes ...four_line_BCD_priority_encoder.routing.rdb | Bin 4434 -> 0 bytes ...to_four_line_BCD_priority_encoder.rtlv.hdb | Bin 11331 -> 0 bytes ...four_line_BCD_priority_encoder.rtlv_sg.cdb | Bin 2597 -> 0 bytes ...line_BCD_priority_encoder.rtlv_sg_swap.cdb | Bin 564 -> 0 bytes ..._four_line_BCD_priority_encoder.sgdiff.cdb | Bin 2745 -> 0 bytes ..._four_line_BCD_priority_encoder.sgdiff.hdb | Bin 11161 -> 0 bytes ..._BCD_priority_encoder.sld_design_entry.sci | Bin 276 -> 0 bytes ..._priority_encoder.sld_design_entry_dsc.sci | Bin 276 -> 0 bytes ...line_BCD_priority_encoder.smart_action.txt | 1 - ...to_four_line_BCD_priority_encoder.sta.qmsg | 49 - ..._to_four_line_BCD_priority_encoder.sta.rdb | Bin 8172 -> 0 bytes ...rity_encoder.sta_cmp.6_slow_1200mv_85c.tdb | Bin 3672 -> 0 bytes ..._line_BCD_priority_encoder.tis_db_list.ddb | Bin 301 -> 0 bytes ...priority_encoder.tiscmp.fast_1200mv_0c.ddb | Bin 101996 -> 0 bytes ...priority_encoder.tiscmp.slow_1200mv_0c.ddb | Bin 102377 -> 0 bytes ...riority_encoder.tiscmp.slow_1200mv_85c.ddb | Bin 102335 -> 0 bytes ...to_four_line_BCD_priority_encoder.tmw_info | 5 - ...o_four_line_BCD_priority_encoder.vpr.ammdb | Bin 292 -> 0 bytes ..._line_to_eight_decimal_decoder.(0).cnf.cdb | Bin 1078 -> 0 bytes ..._line_to_eight_decimal_decoder.(0).cnf.hdb | Bin 708 -> 0 bytes ..._line_to_eight_decimal_decoder.(1).cnf.cdb | Bin 1400 -> 0 bytes ..._line_to_eight_decimal_decoder.(1).cnf.hdb | Bin 981 -> 0 bytes ...ree_line_to_eight_decimal_decoder.asm.qmsg | 6 - ...hree_line_to_eight_decimal_decoder.asm.rdb | Bin 1372 -> 0 bytes ...line_to_eight_decimal_decoder.asm_labs.ddb | Bin 9914 -> 0 bytes ...hree_line_to_eight_decimal_decoder.cbx.xml | 5 - ...hree_line_to_eight_decimal_decoder.cmp.bpm | Bin 717 -> 0 bytes ...hree_line_to_eight_decimal_decoder.cmp.cdb | Bin 4737 -> 0 bytes ...hree_line_to_eight_decimal_decoder.cmp.hdb | Bin 11357 -> 0 bytes ...hree_line_to_eight_decimal_decoder.cmp.idb | Bin 1185 -> 0 bytes ...ee_line_to_eight_decimal_decoder.cmp.logdb | 53 - ...hree_line_to_eight_decimal_decoder.cmp.rdb | Bin 22168 -> 0 bytes ...ine_to_eight_decimal_decoder.cmp_merge.kpt | Bin 229 -> 0 bytes ...da_io_sim_cache.31um_ff_1200mv_0c_fast.hsd | Bin 388822 -> 0 bytes ...a_io_sim_cache.31um_tt_1200mv_85c_slow.hsd | Bin 382423 -> 0 bytes ...ree_line_to_eight_decimal_decoder.eda.qmsg | 5 - ...ree_line_to_eight_decimal_decoder.fit.qmsg | 45 - ...ee_line_to_eight_decimal_decoder.hier_info | 34 - .../three_line_to_eight_decimal_decoder.hif | Bin 411 -> 0 bytes ...three_line_to_eight_decimal_decoder.ipinfo | Bin 162 -> 0 bytes ...ree_line_to_eight_decimal_decoder.lpc.html | 18 - ...hree_line_to_eight_decimal_decoder.lpc.rdb | Bin 399 -> 0 bytes ...hree_line_to_eight_decimal_decoder.lpc.txt | 5 - ...ee_line_to_eight_decimal_decoder.map.ammdb | Bin 128 -> 0 bytes ...hree_line_to_eight_decimal_decoder.map.bpm | Bin 694 -> 0 bytes ...hree_line_to_eight_decimal_decoder.map.cdb | Bin 2773 -> 0 bytes ...hree_line_to_eight_decimal_decoder.map.hdb | Bin 10611 -> 0 bytes ...hree_line_to_eight_decimal_decoder.map.kpt | Bin 228 -> 0 bytes ...ee_line_to_eight_decimal_decoder.map.logdb | 1 - ...ree_line_to_eight_decimal_decoder.map.qmsg | 12 - ...hree_line_to_eight_decimal_decoder.map.rdb | Bin 1316 -> 0 bytes ...e_line_to_eight_decimal_decoder.map_bb.cdb | Bin 1883 -> 0 bytes ...e_line_to_eight_decimal_decoder.map_bb.hdb | Bin 9595 -> 0 bytes ...line_to_eight_decimal_decoder.map_bb.logdb | 1 - ...ree_line_to_eight_decimal_decoder.pplq.rdb | Bin 295 -> 0 bytes ..._line_to_eight_decimal_decoder.pre_map.hdb | Bin 11042 -> 0 bytes ...e_to_eight_decimal_decoder.pti_db_list.ddb | Bin 245 -> 0 bytes ...imal_decoder.root_partition.map.reg_db.cdb | Bin 245 -> 0 bytes ..._line_to_eight_decimal_decoder.routing.rdb | Bin 4480 -> 0 bytes ...ree_line_to_eight_decimal_decoder.rtlv.hdb | Bin 10965 -> 0 bytes ..._line_to_eight_decimal_decoder.rtlv_sg.cdb | Bin 1945 -> 0 bytes ..._to_eight_decimal_decoder.rtlv_sg_swap.cdb | Bin 583 -> 0 bytes ...e_line_to_eight_decimal_decoder.sgdiff.cdb | Bin 2253 -> 0 bytes ...e_line_to_eight_decimal_decoder.sgdiff.hdb | Bin 10799 -> 0 bytes ...eight_decimal_decoder.sld_design_entry.sci | Bin 276 -> 0 bytes ...t_decimal_decoder.sld_design_entry_dsc.sci | Bin 276 -> 0 bytes ..._to_eight_decimal_decoder.smart_action.txt | 1 - ...ree_line_to_eight_decimal_decoder.sta.qmsg | 49 - ...hree_line_to_eight_decimal_decoder.sta.rdb | Bin 8343 -> 0 bytes ...imal_decoder.sta_cmp.6_slow_1200mv_85c.tdb | Bin 3411 -> 0 bytes ...e_to_eight_decimal_decoder.tis_db_list.ddb | Bin 301 -> 0 bytes ..._decimal_decoder.tiscmp.fast_1200mv_0c.ddb | Bin 102368 -> 0 bytes ..._decimal_decoder.tiscmp.slow_1200mv_0c.ddb | Bin 102733 -> 0 bytes ...decimal_decoder.tiscmp.slow_1200mv_85c.ddb | Bin 102708 -> 0 bytes ...ree_line_to_eight_decimal_decoder.tmw_info | 5 - ...ee_line_to_eight_decimal_decoder.vpr.ammdb | Bin 300 -> 0 bytes ...r_line_to_sixteen_line_decimal_decoder.bdf | 779 ---------- ...r_line_to_sixteen_line_decimal_decoder.qpf | 30 - ...r_line_to_sixteen_line_decimal_decoder.qsf | 59 - ...r_line_to_sixteen_line_decimal_decoder.vwf | 646 -------- CH6/CH6-1/incremental_db/README | 11 - ...o_decimal_decoder.root_partition.cmp.ammdb | Bin 256 -> 0 bytes ..._to_decimal_decoder.root_partition.cmp.cdb | Bin 3381 -> 0 bytes ..._to_decimal_decoder.root_partition.cmp.dfp | Bin 33 -> 0 bytes ..._to_decimal_decoder.root_partition.cmp.hdb | Bin 10294 -> 0 bytes ...o_decimal_decoder.root_partition.cmp.logdb | 1 - ...o_decimal_decoder.root_partition.cmp.rcfdb | Bin 2137 -> 0 bytes ..._to_decimal_decoder.root_partition.map.cdb | Bin 2509 -> 0 bytes ..._to_decimal_decoder.root_partition.map.dpi | Bin 703 -> 0 bytes ...ecimal_decoder.root_partition.map.hbdb.cdb | Bin 1441 -> 0 bytes ...al_decoder.root_partition.map.hbdb.hb_info | Bin 46 -> 0 bytes ...ecimal_decoder.root_partition.map.hbdb.hdb | Bin 9807 -> 0 bytes ...ecimal_decoder.root_partition.map.hbdb.sig | 1 - ..._to_decimal_decoder.root_partition.map.hdb | Bin 9864 -> 0 bytes ..._to_decimal_decoder.root_partition.map.kpt | Bin 224 -> 0 bytes .../Ten_line_to_four_line_BCD_encoder.db_info | 3 - ...ur_line_BCD_encoder.root_partition.cmp.dfp | Bin 33 -> 0 bytes ..._line_BCD_encoder.root_partition.cmp.logdb | 1 - ...ur_line_BCD_encoder.root_partition.map.dpi | Bin 711 -> 0 bytes ...ur_line_BCD_encoder.root_partition.map.kpt | Bin 229 -> 0 bytes ...e_decimal_decoder.root_partition.cmp.ammdb | Bin 265 -> 0 bytes ...ine_decimal_decoder.root_partition.cmp.cdb | Bin 3562 -> 0 bytes ...ine_decimal_decoder.root_partition.cmp.dfp | Bin 33 -> 0 bytes ...ine_decimal_decoder.root_partition.cmp.hdb | Bin 10397 -> 0 bytes ...e_decimal_decoder.root_partition.cmp.logdb | 1 - ...e_decimal_decoder.root_partition.cmp.rcfdb | Bin 2774 -> 0 bytes ...ine_decimal_decoder.root_partition.map.cdb | Bin 2620 -> 0 bytes ...ine_decimal_decoder.root_partition.map.dpi | Bin 894 -> 0 bytes ...ecimal_decoder.root_partition.map.hbdb.cdb | Bin 1441 -> 0 bytes ...al_decoder.root_partition.map.hbdb.hb_info | Bin 46 -> 0 bytes ...ecimal_decoder.root_partition.map.hbdb.hdb | Bin 9818 -> 0 bytes ...ecimal_decoder.root_partition.map.hbdb.sig | 1 - ...ine_decimal_decoder.root_partition.map.hdb | Bin 9869 -> 0 bytes ...ine_decimal_decoder.root_partition.map.kpt | Bin 231 -> 0 bytes ..._priority_encoder.root_partition.cmp.ammdb | Bin 267 -> 0 bytes ...CD_priority_encoder.root_partition.cmp.cdb | Bin 3635 -> 0 bytes ...CD_priority_encoder.root_partition.cmp.dfp | Bin 33 -> 0 bytes ...CD_priority_encoder.root_partition.cmp.hdb | Bin 11051 -> 0 bytes ..._priority_encoder.root_partition.cmp.logdb | 1 - ..._priority_encoder.root_partition.cmp.rcfdb | Bin 1926 -> 0 bytes ...CD_priority_encoder.root_partition.map.cdb | Bin 2661 -> 0 bytes ...CD_priority_encoder.root_partition.map.dpi | Bin 845 -> 0 bytes ...iority_encoder.root_partition.map.hbdb.cdb | Bin 1442 -> 0 bytes ...ty_encoder.root_partition.map.hbdb.hb_info | Bin 46 -> 0 bytes ...iority_encoder.root_partition.map.hbdb.hdb | Bin 10481 -> 0 bytes ...iority_encoder.root_partition.map.hbdb.sig | 1 - ...CD_priority_encoder.root_partition.map.hdb | Bin 10669 -> 0 bytes ...CD_priority_encoder.root_partition.map.kpt | Bin 233 -> 0 bytes ...t_decimal_decoder.root_partition.cmp.ammdb | Bin 267 -> 0 bytes ...ght_decimal_decoder.root_partition.cmp.cdb | Bin 3187 -> 0 bytes ...ght_decimal_decoder.root_partition.cmp.dfp | Bin 33 -> 0 bytes ...ght_decimal_decoder.root_partition.cmp.hdb | Bin 10925 -> 0 bytes ...t_decimal_decoder.root_partition.cmp.logdb | 1 - ...t_decimal_decoder.root_partition.cmp.rcfdb | Bin 1787 -> 0 bytes ...ght_decimal_decoder.root_partition.map.cdb | Bin 2551 -> 0 bytes ...ght_decimal_decoder.root_partition.map.dpi | Bin 840 -> 0 bytes ...ecimal_decoder.root_partition.map.hbdb.cdb | Bin 1441 -> 0 bytes ...al_decoder.root_partition.map.hbdb.hb_info | Bin 46 -> 0 bytes ...ecimal_decoder.root_partition.map.hbdb.hdb | Bin 10315 -> 0 bytes ...ecimal_decoder.root_partition.map.hbdb.sig | 1 - ...ght_decimal_decoder.root_partition.map.hdb | Bin 10470 -> 0 bytes ...ght_decimal_decoder.root_partition.map.kpt | Bin 229 -> 0 bytes .../BCD_to_decimal_decoder.asm.rpt | 116 -- .../output_files/BCD_to_decimal_decoder.done | 1 - .../BCD_to_decimal_decoder.eda.rpt | 92 -- .../BCD_to_decimal_decoder.fit.rpt | 1303 ---------------- .../BCD_to_decimal_decoder.fit.smsg | 8 - .../BCD_to_decimal_decoder.fit.summary | 16 - .../BCD_to_decimal_decoder.flow.rpt | 130 -- .../output_files/BCD_to_decimal_decoder.jdi | 8 - .../BCD_to_decimal_decoder.map.rpt | 257 --- .../BCD_to_decimal_decoder.map.summary | 14 - .../output_files/BCD_to_decimal_decoder.pin | 554 ------- .../output_files/BCD_to_decimal_decoder.sof | Bin 496874 -> 0 bytes .../BCD_to_decimal_decoder.sta.rpt | 790 ---------- .../BCD_to_decimal_decoder.sta.summary | 5 - CH6/CH6-1/output_files/Chain1.cdf | 13 - .../Ten_line_to_four_line_BCD_encoder.asm.rpt | 116 -- .../Ten_line_to_four_line_BCD_encoder.done | 1 - .../Ten_line_to_four_line_BCD_encoder.eda.rpt | 107 -- .../Ten_line_to_four_line_BCD_encoder.fit.rpt | 1242 --------------- ...Ten_line_to_four_line_BCD_encoder.fit.smsg | 8 - ..._line_to_four_line_BCD_encoder.fit.summary | 16 - ...Ten_line_to_four_line_BCD_encoder.flow.rpt | 128 -- .../Ten_line_to_four_line_BCD_encoder.jdi | 8 - .../Ten_line_to_four_line_BCD_encoder.map.rpt | 260 ---- ..._line_to_four_line_BCD_encoder.map.summary | 14 - .../Ten_line_to_four_line_BCD_encoder.pin | 554 ------- .../Ten_line_to_four_line_BCD_encoder.sof | Bin 496896 -> 0 bytes .../Ten_line_to_four_line_BCD_encoder.sta.rpt | 578 ------- ..._line_to_four_line_BCD_encoder.sta.summary | 5 - ...ne_to_sixteen_line_decimal_decoder.asm.rpt | 116 -- ..._line_to_sixteen_line_decimal_decoder.done | 1 - ...ne_to_sixteen_line_decimal_decoder.eda.rpt | 105 -- ...ne_to_sixteen_line_decimal_decoder.fit.rpt | 1376 ----------------- ...e_to_sixteen_line_decimal_decoder.fit.smsg | 8 - ...o_sixteen_line_decimal_decoder.fit.summary | 16 - ...e_to_sixteen_line_decimal_decoder.flow.rpt | 140 -- ...r_line_to_sixteen_line_decimal_decoder.jdi | 8 - ...ne_to_sixteen_line_decimal_decoder.map.rpt | 261 ---- ...o_sixteen_line_decimal_decoder.map.summary | 14 - ...r_line_to_sixteen_line_decimal_decoder.pin | 554 ------- ...r_line_to_sixteen_line_decimal_decoder.sof | Bin 496912 -> 0 bytes ...ne_to_sixteen_line_decimal_decoder.sta.rpt | 1000 ------------ ...o_sixteen_line_decimal_decoder.sta.summary | 5 - ..._to_four_line_BCD_priority_encoder.asm.rpt | 116 -- ...ine_to_four_line_BCD_priority_encoder.done | 1 - ..._to_four_line_BCD_priority_encoder.eda.rpt | 92 -- ..._to_four_line_BCD_priority_encoder.fit.rpt | 1241 --------------- ...to_four_line_BCD_priority_encoder.fit.smsg | 8 - ...four_line_BCD_priority_encoder.fit.summary | 16 - ...to_four_line_BCD_priority_encoder.flow.rpt | 130 -- ...line_to_four_line_BCD_priority_encoder.jdi | 8 - ..._to_four_line_BCD_priority_encoder.map.rpt | 261 ---- ...four_line_BCD_priority_encoder.map.summary | 14 - ...line_to_four_line_BCD_priority_encoder.pin | 554 ------- ...line_to_four_line_BCD_priority_encoder.sof | Bin 496914 -> 0 bytes ..._to_four_line_BCD_priority_encoder.sta.rpt | 640 -------- ...four_line_BCD_priority_encoder.sta.summary | 5 - ...hree_line_to_eight_decimal_decoder.asm.rpt | 116 -- .../three_line_to_eight_decimal_decoder.done | 1 - ...hree_line_to_eight_decimal_decoder.eda.rpt | 92 -- ...hree_line_to_eight_decimal_decoder.fit.rpt | 1238 --------------- ...ree_line_to_eight_decimal_decoder.fit.smsg | 8 - ..._line_to_eight_decimal_decoder.fit.summary | 16 - ...ree_line_to_eight_decimal_decoder.flow.rpt | 130 -- .../three_line_to_eight_decimal_decoder.jdi | 8 - ...hree_line_to_eight_decimal_decoder.map.rpt | 261 ---- ..._line_to_eight_decimal_decoder.map.summary | 14 - .../three_line_to_eight_decimal_decoder.pin | 554 ------- .../three_line_to_eight_decimal_decoder.sof | Bin 496900 -> 0 bytes ...hree_line_to_eight_decimal_decoder.sta.rpt | 655 -------- ..._line_to_eight_decimal_decoder.sta.summary | 5 - .../modelsim/BCD_to_decimal_decoder.sft | 6 - .../modelsim/BCD_to_decimal_decoder.vho | 494 ------ ...CD_to_decimal_decoder_6_1200mv_0c_slow.vho | 494 ------ ...o_decimal_decoder_6_1200mv_0c_vhd_slow.sdo | 335 ---- ...D_to_decimal_decoder_6_1200mv_85c_slow.vho | 494 ------ ..._decimal_decoder_6_1200mv_85c_vhd_slow.sdo | 335 ---- ..._to_decimal_decoder_min_1200mv_0c_fast.vho | 494 ------ ...decimal_decoder_min_1200mv_0c_vhd_fast.sdo | 335 ---- .../BCD_to_decimal_decoder_modelsim.xrf | 18 - .../modelsim/BCD_to_decimal_decoder_vhd.sdo | 335 ---- .../Ten_line_to_four_line_BCD_encoder.sft | 6 - .../Ten_line_to_four_line_BCD_encoder.vho | 382 ----- ...four_line_BCD_encoder_6_1200mv_0c_slow.vho | 382 ----- ..._line_BCD_encoder_6_1200mv_0c_vhd_slow.sdo | 232 --- ...our_line_BCD_encoder_6_1200mv_85c_slow.vho | 382 ----- ...line_BCD_encoder_6_1200mv_85c_vhd_slow.sdo | 232 --- ...ur_line_BCD_encoder_min_1200mv_0c_fast.vho | 382 ----- ...ine_BCD_encoder_min_1200mv_0c_vhd_fast.sdo | 232 --- ...line_to_four_line_BCD_encoder_modelsim.xrf | 22 - .../Ten_line_to_four_line_BCD_encoder_vhd.sdo | 232 --- ...r_line_to_sixteen_line_decimal_decoder.sft | 6 - ...r_line_to_sixteen_line_decimal_decoder.vho | 748 --------- ..._line_decimal_decoder_6_1200mv_0c_slow.vho | 748 --------- ...e_decimal_decoder_6_1200mv_0c_vhd_slow.sdo | 491 ------ ...line_decimal_decoder_6_1200mv_85c_slow.vho | 748 --------- ..._decimal_decoder_6_1200mv_85c_vhd_slow.sdo | 491 ------ ...ine_decimal_decoder_min_1200mv_0c_fast.vho | 748 --------- ...decimal_decoder_min_1200mv_0c_vhd_fast.sdo | 491 ------ ..._sixteen_line_decimal_decoder_modelsim.xrf | 41 - ...ne_to_sixteen_line_decimal_decoder_vhd.sdo | 491 ------ ...line_to_four_line_BCD_priority_encoder.sft | 6 - ...line_to_four_line_BCD_priority_encoder.vho | 386 ----- ..._BCD_priority_encoder_6_1200mv_0c_slow.vho | 386 ----- ..._priority_encoder_6_1200mv_0c_vhd_slow.sdo | 255 --- ...BCD_priority_encoder_6_1200mv_85c_slow.vho | 386 ----- ...priority_encoder_6_1200mv_85c_vhd_slow.sdo | 255 --- ...CD_priority_encoder_min_1200mv_0c_fast.vho | 386 ----- ...riority_encoder_min_1200mv_0c_vhd_fast.sdo | 255 --- ...our_line_BCD_priority_encoder_modelsim.xrf | 25 - ..._to_four_line_BCD_priority_encoder_vhd.sdo | 255 --- .../three_line_to_eight_decimal_decoder.sft | 6 - .../three_line_to_eight_decimal_decoder.vho | 412 ----- ...eight_decimal_decoder_6_1200mv_0c_slow.vho | 412 ----- ...t_decimal_decoder_6_1200mv_0c_vhd_slow.sdo | 258 ---- ...ight_decimal_decoder_6_1200mv_85c_slow.vho | 412 ----- ..._decimal_decoder_6_1200mv_85c_vhd_slow.sdo | 258 ---- ...ght_decimal_decoder_min_1200mv_0c_fast.vho | 412 ----- ...decimal_decoder_min_1200mv_0c_vhd_fast.sdo | 258 ---- ...line_to_eight_decimal_decoder_modelsim.xrf | 25 - ...hree_line_to_eight_decimal_decoder_vhd.sdo | 258 ---- .../simulation/qsim/BCD_to_decimal_decoder.do | 10 - .../qsim/BCD_to_decimal_decoder.msim.vcd | 216 --- .../qsim/BCD_to_decimal_decoder.sft | 1 - .../qsim/BCD_to_decimal_decoder.sim.vwf | 522 ------- .../simulation/qsim/BCD_to_decimal_decoder.vo | 475 ------ .../qsim/BCD_to_decimal_decoder.vwf.vt | 513 ------ .../qsim/BCD_to_decimal_decoder_modelsim.xrf | 19 - ...ur_line_to_sixteen_line_decimal_decoder.do | 10 - ...e_to_sixteen_line_decimal_decoder.msim.vcd | 250 --- ...r_line_to_sixteen_line_decimal_decoder.sft | 1 - ...ne_to_sixteen_line_decimal_decoder.sim.vwf | 732 --------- ...ur_line_to_sixteen_line_decimal_decoder.vo | 697 --------- ...ine_to_sixteen_line_decimal_decoder.vwf.vt | 691 --------- ..._sixteen_line_decimal_decoder_modelsim.xrf | 42 - ..._line_to_four_line_BCD_priority_encoder.do | 10 - ...to_four_line_BCD_priority_encoder.msim.vcd | 196 --- ...line_to_four_line_BCD_priority_encoder.sft | 1 - ..._to_four_line_BCD_priority_encoder.sim.vwf | 454 ------ ..._line_to_four_line_BCD_priority_encoder.vo | 363 ----- ...e_to_four_line_BCD_priority_encoder.vwf.vt | 370 ----- ...our_line_BCD_priority_encoder_modelsim.xrf | 25 - .../three_line_to_eight_decimal_decoder.do | 10 - ...ree_line_to_eight_decimal_decoder.msim.vcd | 174 --- .../three_line_to_eight_decimal_decoder.sft | 1 - ...hree_line_to_eight_decimal_decoder.sim.vwf | 417 ----- .../three_line_to_eight_decimal_decoder.vo | 387 ----- ...three_line_to_eight_decimal_decoder.vwf.vt | 430 ------ ...line_to_eight_decimal_decoder_modelsim.xrf | 25 - CH6/CH6-1/simulation/qsim/transcript | 73 - .../@b@c@d_to_decimal_decoder/_primary.dat | Bin 6514 -> 0 bytes .../@b@c@d_to_decimal_decoder/_primary.dbs | Bin 7022 -> 0 bytes .../@b@c@d_to_decimal_decoder/_primary.vhd | 20 - .../@b@c@d_to_decimal_decoder/verilog.prw | Bin 2111 -> 0 bytes .../@b@c@d_to_decimal_decoder/verilog.psm | Bin 44312 -> 0 bytes .../_primary.dat | Bin 5683 -> 0 bytes .../_primary.dbs | Bin 5138 -> 0 bytes .../_primary.vhd | 17 - .../verilog.prw | Bin 2908 -> 0 bytes .../verilog.psm | Bin 57696 -> 0 bytes .../_primary.dat | Bin 526 -> 0 bytes .../_primary.dbs | Bin 715 -> 0 bytes .../_primary.vhd | 11 - .../verilog.prw | Bin 368 -> 0 bytes .../verilog.psm | Bin 6288 -> 0 bytes .../_primary.dat | Bin 1722 -> 0 bytes .../_primary.dbs | Bin 2385 -> 0 bytes .../_primary.vhd | 4 - .../verilog.prw | Bin 1163 -> 0 bytes .../verilog.psm | Bin 16096 -> 0 bytes CH6/CH6-1/simulation/qsim/work/_info | 317 ---- CH6/CH6-1/simulation/qsim/work/_vmake | 3 - .../_primary.dat | Bin 9884 -> 0 bytes .../_primary.dbs | Bin 10718 -> 0 bytes .../_primary.vhd | 26 - .../verilog.prw | Bin 6181 -> 0 bytes .../verilog.psm | Bin 74744 -> 0 bytes .../_primary.dat | Bin 8661 -> 0 bytes .../_primary.dbs | Bin 7709 -> 0 bytes .../_primary.vhd | 23 - .../verilog.prw | Bin 4547 -> 0 bytes .../verilog.psm | Bin 88688 -> 0 bytes .../_primary.dat | Bin 564 -> 0 bytes .../_primary.dbs | Bin 772 -> 0 bytes .../_primary.vhd | 11 - .../verilog.prw | Bin 408 -> 0 bytes .../verilog.psm | Bin 6328 -> 0 bytes .../_primary.dat | Bin 1811 -> 0 bytes .../_primary.dbs | Bin 2694 -> 0 bytes .../_primary.vhd | 4 - .../verilog.prw | Bin 1178 -> 0 bytes .../verilog.psm | Bin 15280 -> 0 bytes .../_primary.dat | Bin 5015 -> 0 bytes .../_primary.dbs | Bin 5089 -> 0 bytes .../_primary.vhd | 18 - .../verilog.prw | Bin 1035 -> 0 bytes .../verilog.psm | Bin 32704 -> 0 bytes .../_primary.dat | Bin 2795 -> 0 bytes .../_primary.dbs | Bin 2694 -> 0 bytes .../_primary.vhd | 11 - .../verilog.prw | Bin 1468 -> 0 bytes .../verilog.psm | Bin 27096 -> 0 bytes .../_primary.dat | Bin 666 -> 0 bytes .../_primary.dbs | Bin 911 -> 0 bytes .../_primary.vhd | 15 - .../verilog.prw | Bin 456 -> 0 bytes .../verilog.psm | Bin 7544 -> 0 bytes .../_primary.dat | Bin 1862 -> 0 bytes .../_primary.dbs | Bin 2774 -> 0 bytes .../_primary.vhd | 4 - .../verilog.prw | Bin 2208 -> 0 bytes .../verilog.psm | Bin 20896 -> 0 bytes .../_primary.dat | Bin 5415 -> 0 bytes .../_primary.dbs | Bin 5830 -> 0 bytes .../_primary.vhd | 17 - .../verilog.prw | Bin 3202 -> 0 bytes .../verilog.psm | Bin 40672 -> 0 bytes .../_primary.dat | Bin 4741 -> 0 bytes .../_primary.dbs | Bin 4349 -> 0 bytes .../_primary.vhd | 15 - .../verilog.prw | Bin 2452 -> 0 bytes .../verilog.psm | Bin 47496 -> 0 bytes .../_primary.dat | Bin 529 -> 0 bytes .../_primary.dbs | Bin 722 -> 0 bytes .../_primary.vhd | 10 - .../verilog.prw | Bin 380 -> 0 bytes .../verilog.psm | Bin 6008 -> 0 bytes .../_primary.dat | Bin 1236 -> 0 bytes .../_primary.dbs | Bin 1787 -> 0 bytes .../_primary.vhd | 4 - .../verilog.prw | Bin 900 -> 0 bytes .../verilog.psm | Bin 10776 -> 0 bytes ...line_to_four_line_BCD_priority_encoder.bdf | 612 -------- ...e_to_four_line_BCD_priority_encoder.ipinfo | Bin 162 -> 0 bytes ...line_to_four_line_BCD_priority_encoder.qpf | 30 - ...line_to_four_line_BCD_priority_encoder.qsf | 72 - ...line_to_four_line_BCD_priority_encoder.vwf | 395 ----- .../three_line_to_eight_decimal_decoder.bdf | 429 ----- .../three_line_to_eight_decimal_decoder.qpf | 30 - .../three_line_to_eight_decimal_decoder.qsf | 71 - .../three_line_to_eight_decimal_decoder.vwf | 371 ----- 550 files changed, 48408 deletions(-) delete mode 100644 .gitignore delete mode 100644 CH6/CH6-1/BCD_to_decimal_decoder.bdf delete mode 100644 CH6/CH6-1/BCD_to_decimal_decoder.qpf delete mode 100644 CH6/CH6-1/BCD_to_decimal_decoder.qsf delete mode 100644 CH6/CH6-1/BCD_to_decimal_decoder.vwf delete mode 100644 CH6/CH6-1/Ten_line_to_four_line_BCD_encoder.bdf delete mode 100644 CH6/CH6-1/Ten_line_to_four_line_BCD_encoder.qpf delete mode 100644 CH6/CH6-1/Ten_line_to_four_line_BCD_encoder.qsf delete mode 100644 CH6/CH6-1/Ten_line_to_four_line_BCD_encoder_assignment_defaults.qdf delete mode 100644 CH6/CH6-1/db/.cmp.kpt delete mode 100644 CH6/CH6-1/db/BCD_to_decimal_decoder.(0).cnf.cdb delete mode 100644 CH6/CH6-1/db/BCD_to_decimal_decoder.(0).cnf.hdb delete mode 100644 CH6/CH6-1/db/BCD_to_decimal_decoder.asm.qmsg delete mode 100644 CH6/CH6-1/db/BCD_to_decimal_decoder.asm.rdb delete mode 100644 CH6/CH6-1/db/BCD_to_decimal_decoder.asm_labs.ddb delete mode 100644 CH6/CH6-1/db/BCD_to_decimal_decoder.cbx.xml delete mode 100644 CH6/CH6-1/db/BCD_to_decimal_decoder.cmp.bpm delete mode 100644 CH6/CH6-1/db/BCD_to_decimal_decoder.cmp.cdb delete mode 100644 CH6/CH6-1/db/BCD_to_decimal_decoder.cmp.hdb delete mode 100644 CH6/CH6-1/db/BCD_to_decimal_decoder.cmp.idb delete mode 100644 CH6/CH6-1/db/BCD_to_decimal_decoder.cmp.logdb delete mode 100644 CH6/CH6-1/db/BCD_to_decimal_decoder.cmp.rdb delete mode 100644 CH6/CH6-1/db/BCD_to_decimal_decoder.cmp_merge.kpt delete mode 100644 CH6/CH6-1/db/BCD_to_decimal_decoder.cuda_io_sim_cache.31um_ff_1200mv_0c_fast.hsd delete mode 100644 CH6/CH6-1/db/BCD_to_decimal_decoder.cuda_io_sim_cache.31um_tt_1200mv_85c_slow.hsd delete mode 100644 CH6/CH6-1/db/BCD_to_decimal_decoder.eda.qmsg delete mode 100644 CH6/CH6-1/db/BCD_to_decimal_decoder.fit.qmsg delete mode 100644 CH6/CH6-1/db/BCD_to_decimal_decoder.hier_info delete mode 100644 CH6/CH6-1/db/BCD_to_decimal_decoder.hif delete mode 100644 CH6/CH6-1/db/BCD_to_decimal_decoder.ipinfo delete mode 100644 CH6/CH6-1/db/BCD_to_decimal_decoder.lpc.html delete mode 100644 CH6/CH6-1/db/BCD_to_decimal_decoder.lpc.rdb delete mode 100644 CH6/CH6-1/db/BCD_to_decimal_decoder.lpc.txt delete mode 100644 CH6/CH6-1/db/BCD_to_decimal_decoder.map.ammdb delete mode 100644 CH6/CH6-1/db/BCD_to_decimal_decoder.map.bpm delete mode 100644 CH6/CH6-1/db/BCD_to_decimal_decoder.map.cdb delete mode 100644 CH6/CH6-1/db/BCD_to_decimal_decoder.map.hdb delete mode 100644 CH6/CH6-1/db/BCD_to_decimal_decoder.map.kpt delete mode 100644 CH6/CH6-1/db/BCD_to_decimal_decoder.map.logdb delete mode 100644 CH6/CH6-1/db/BCD_to_decimal_decoder.map.qmsg delete mode 100644 CH6/CH6-1/db/BCD_to_decimal_decoder.map.rdb delete mode 100644 CH6/CH6-1/db/BCD_to_decimal_decoder.map_bb.cdb delete mode 100644 CH6/CH6-1/db/BCD_to_decimal_decoder.map_bb.hdb delete mode 100644 CH6/CH6-1/db/BCD_to_decimal_decoder.map_bb.logdb delete mode 100644 CH6/CH6-1/db/BCD_to_decimal_decoder.pre_map.hdb delete mode 100644 CH6/CH6-1/db/BCD_to_decimal_decoder.pti_db_list.ddb delete mode 100644 CH6/CH6-1/db/BCD_to_decimal_decoder.root_partition.map.reg_db.cdb delete mode 100644 CH6/CH6-1/db/BCD_to_decimal_decoder.routing.rdb delete mode 100644 CH6/CH6-1/db/BCD_to_decimal_decoder.rtlv.hdb delete mode 100644 CH6/CH6-1/db/BCD_to_decimal_decoder.rtlv_sg.cdb delete mode 100644 CH6/CH6-1/db/BCD_to_decimal_decoder.rtlv_sg_swap.cdb delete mode 100644 CH6/CH6-1/db/BCD_to_decimal_decoder.sgdiff.cdb delete mode 100644 CH6/CH6-1/db/BCD_to_decimal_decoder.sgdiff.hdb delete mode 100644 CH6/CH6-1/db/BCD_to_decimal_decoder.sld_design_entry.sci delete mode 100644 CH6/CH6-1/db/BCD_to_decimal_decoder.sld_design_entry_dsc.sci delete mode 100644 CH6/CH6-1/db/BCD_to_decimal_decoder.smart_action.txt delete mode 100644 CH6/CH6-1/db/BCD_to_decimal_decoder.sta.qmsg delete mode 100644 CH6/CH6-1/db/BCD_to_decimal_decoder.sta.rdb delete mode 100644 CH6/CH6-1/db/BCD_to_decimal_decoder.sta_cmp.6_slow_1200mv_85c.tdb delete mode 100644 CH6/CH6-1/db/BCD_to_decimal_decoder.tis_db_list.ddb delete mode 100644 CH6/CH6-1/db/BCD_to_decimal_decoder.tiscmp.fast_1200mv_0c.ddb delete mode 100644 CH6/CH6-1/db/BCD_to_decimal_decoder.tiscmp.slow_1200mv_0c.ddb delete mode 100644 CH6/CH6-1/db/BCD_to_decimal_decoder.tiscmp.slow_1200mv_85c.ddb delete mode 100644 CH6/CH6-1/db/BCD_to_decimal_decoder.tmw_info delete mode 100644 CH6/CH6-1/db/BCD_to_decimal_decoder.vpr.ammdb delete mode 100644 CH6/CH6-1/db/Ten_line_to_four_line_BCD_encoder.db_info delete mode 100644 CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.(0).cnf.cdb delete mode 100644 CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.(0).cnf.hdb delete mode 100644 CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.(1).cnf.cdb delete mode 100644 CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.(1).cnf.hdb delete mode 100644 CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.asm.qmsg delete mode 100644 CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.asm.rdb delete mode 100644 CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.asm_labs.ddb delete mode 100644 CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.cbx.xml delete mode 100644 CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.cmp.bpm delete mode 100644 CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.cmp.cdb delete mode 100644 CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.cmp.hdb delete mode 100644 CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.cmp.idb delete mode 100644 CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.cmp.logdb delete mode 100644 CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.cmp.rdb delete mode 100644 CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.cmp_merge.kpt delete mode 100644 CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.cuda_io_sim_cache.31um_ff_1200mv_0c_fast.hsd delete mode 100644 CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.cuda_io_sim_cache.31um_tt_1200mv_85c_slow.hsd delete mode 100644 CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.eda.qmsg delete mode 100644 CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.fit.qmsg delete mode 100644 CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.hier_info delete mode 100644 CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.hif delete mode 100644 CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.ipinfo delete mode 100644 CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.lpc.html delete mode 100644 CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.lpc.rdb delete mode 100644 CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.lpc.txt delete mode 100644 CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.map.ammdb delete mode 100644 CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.map.bpm delete mode 100644 CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.map.cdb delete mode 100644 CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.map.hdb delete mode 100644 CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.map.kpt delete mode 100644 CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.map.logdb delete mode 100644 CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.map.qmsg delete mode 100644 CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.map.rdb delete mode 100644 CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.map_bb.cdb delete mode 100644 CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.map_bb.hdb delete mode 100644 CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.map_bb.logdb delete mode 100644 CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.pre_map.hdb delete mode 100644 CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.pti_db_list.ddb delete mode 100644 CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.root_partition.map.reg_db.cdb delete mode 100644 CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.routing.rdb delete mode 100644 CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.rtlv.hdb delete mode 100644 CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.rtlv_sg.cdb delete mode 100644 CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.rtlv_sg_swap.cdb delete mode 100644 CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.sgdiff.cdb delete mode 100644 CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.sgdiff.hdb delete mode 100644 CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.sld_design_entry.sci delete mode 100644 CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.sld_design_entry_dsc.sci delete mode 100644 CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.smart_action.txt delete mode 100644 CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.sta.qmsg delete mode 100644 CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.sta.rdb delete mode 100644 CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.sta_cmp.6_slow_1200mv_85c.tdb delete mode 100644 CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.tis_db_list.ddb delete mode 100644 CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.tiscmp.fast_1200mv_0c.ddb delete mode 100644 CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.tiscmp.slow_1200mv_0c.ddb delete mode 100644 CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.tiscmp.slow_1200mv_85c.ddb delete mode 100644 CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.vpr.ammdb delete mode 100644 CH6/CH6-1/db/logic_util_heursitic.dat delete mode 100644 CH6/CH6-1/db/prev_cmp_BCD_to_decimal_decoder.qmsg delete mode 100644 CH6/CH6-1/db/prev_cmp_Ten_line_to_four_line_BCD_encoder.qmsg delete mode 100644 CH6/CH6-1/db/prev_cmp_ten_line_to_four_line_BCD_priority_encoder.qmsg delete mode 100644 CH6/CH6-1/db/prev_cmp_three_line_to_eight_decimal_decoder.qmsg delete mode 100644 CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.(0).cnf.cdb delete mode 100644 CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.(0).cnf.hdb delete mode 100644 CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.(1).cnf.cdb delete mode 100644 CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.(1).cnf.hdb delete mode 100644 CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.asm.qmsg delete mode 100644 CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.asm.rdb delete mode 100644 CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.asm_labs.ddb delete mode 100644 CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.cbx.xml delete mode 100644 CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.cmp.bpm delete mode 100644 CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.cmp.cdb delete mode 100644 CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.cmp.hdb delete mode 100644 CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.cmp.idb delete mode 100644 CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.cmp.logdb delete mode 100644 CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.cmp.rdb delete mode 100644 CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.cmp_merge.kpt delete mode 100644 CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.cuda_io_sim_cache.31um_ff_1200mv_0c_fast.hsd delete mode 100644 CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.cuda_io_sim_cache.31um_tt_1200mv_85c_slow.hsd delete mode 100644 CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.eda.qmsg delete mode 100644 CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.fit.qmsg delete mode 100644 CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.hier_info delete mode 100644 CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.hif delete mode 100644 CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.ipinfo delete mode 100644 CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.lpc.html delete mode 100644 CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.lpc.rdb delete mode 100644 CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.lpc.txt delete mode 100644 CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.map.ammdb delete mode 100644 CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.map.bpm delete mode 100644 CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.map.cdb delete mode 100644 CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.map.hdb delete mode 100644 CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.map.kpt delete mode 100644 CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.map.logdb delete mode 100644 CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.map.qmsg delete mode 100644 CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.map.rdb delete mode 100644 CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.map_bb.cdb delete mode 100644 CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.map_bb.hdb delete mode 100644 CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.map_bb.logdb delete mode 100644 CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.pplq.rdb delete mode 100644 CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.pre_map.hdb delete mode 100644 CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.pti_db_list.ddb delete mode 100644 CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.root_partition.map.reg_db.cdb delete mode 100644 CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.routing.rdb delete mode 100644 CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.rtlv.hdb delete mode 100644 CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.rtlv_sg.cdb delete mode 100644 CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.rtlv_sg_swap.cdb delete mode 100644 CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.sgdiff.cdb delete mode 100644 CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.sgdiff.hdb delete mode 100644 CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.sld_design_entry.sci delete mode 100644 CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.sld_design_entry_dsc.sci delete mode 100644 CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.smart_action.txt delete mode 100644 CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.sta.qmsg delete mode 100644 CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.sta.rdb delete mode 100644 CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.sta_cmp.6_slow_1200mv_85c.tdb delete mode 100644 CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.tis_db_list.ddb delete mode 100644 CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.tiscmp.fast_1200mv_0c.ddb delete mode 100644 CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.tiscmp.slow_1200mv_0c.ddb delete mode 100644 CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.tiscmp.slow_1200mv_85c.ddb delete mode 100644 CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.tmw_info delete mode 100644 CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.vpr.ammdb delete mode 100644 CH6/CH6-1/db/three_line_to_eight_decimal_decoder.(0).cnf.cdb delete mode 100644 CH6/CH6-1/db/three_line_to_eight_decimal_decoder.(0).cnf.hdb delete mode 100644 CH6/CH6-1/db/three_line_to_eight_decimal_decoder.(1).cnf.cdb delete mode 100644 CH6/CH6-1/db/three_line_to_eight_decimal_decoder.(1).cnf.hdb delete mode 100644 CH6/CH6-1/db/three_line_to_eight_decimal_decoder.asm.qmsg delete mode 100644 CH6/CH6-1/db/three_line_to_eight_decimal_decoder.asm.rdb delete mode 100644 CH6/CH6-1/db/three_line_to_eight_decimal_decoder.asm_labs.ddb delete mode 100644 CH6/CH6-1/db/three_line_to_eight_decimal_decoder.cbx.xml delete mode 100644 CH6/CH6-1/db/three_line_to_eight_decimal_decoder.cmp.bpm delete mode 100644 CH6/CH6-1/db/three_line_to_eight_decimal_decoder.cmp.cdb delete mode 100644 CH6/CH6-1/db/three_line_to_eight_decimal_decoder.cmp.hdb delete mode 100644 CH6/CH6-1/db/three_line_to_eight_decimal_decoder.cmp.idb delete mode 100644 CH6/CH6-1/db/three_line_to_eight_decimal_decoder.cmp.logdb delete mode 100644 CH6/CH6-1/db/three_line_to_eight_decimal_decoder.cmp.rdb delete mode 100644 CH6/CH6-1/db/three_line_to_eight_decimal_decoder.cmp_merge.kpt delete mode 100644 CH6/CH6-1/db/three_line_to_eight_decimal_decoder.cuda_io_sim_cache.31um_ff_1200mv_0c_fast.hsd delete mode 100644 CH6/CH6-1/db/three_line_to_eight_decimal_decoder.cuda_io_sim_cache.31um_tt_1200mv_85c_slow.hsd delete mode 100644 CH6/CH6-1/db/three_line_to_eight_decimal_decoder.eda.qmsg delete mode 100644 CH6/CH6-1/db/three_line_to_eight_decimal_decoder.fit.qmsg delete mode 100644 CH6/CH6-1/db/three_line_to_eight_decimal_decoder.hier_info delete mode 100644 CH6/CH6-1/db/three_line_to_eight_decimal_decoder.hif delete mode 100644 CH6/CH6-1/db/three_line_to_eight_decimal_decoder.ipinfo delete mode 100644 CH6/CH6-1/db/three_line_to_eight_decimal_decoder.lpc.html delete mode 100644 CH6/CH6-1/db/three_line_to_eight_decimal_decoder.lpc.rdb delete mode 100644 CH6/CH6-1/db/three_line_to_eight_decimal_decoder.lpc.txt delete mode 100644 CH6/CH6-1/db/three_line_to_eight_decimal_decoder.map.ammdb delete mode 100644 CH6/CH6-1/db/three_line_to_eight_decimal_decoder.map.bpm delete mode 100644 CH6/CH6-1/db/three_line_to_eight_decimal_decoder.map.cdb delete mode 100644 CH6/CH6-1/db/three_line_to_eight_decimal_decoder.map.hdb delete mode 100644 CH6/CH6-1/db/three_line_to_eight_decimal_decoder.map.kpt delete mode 100644 CH6/CH6-1/db/three_line_to_eight_decimal_decoder.map.logdb delete mode 100644 CH6/CH6-1/db/three_line_to_eight_decimal_decoder.map.qmsg delete mode 100644 CH6/CH6-1/db/three_line_to_eight_decimal_decoder.map.rdb delete mode 100644 CH6/CH6-1/db/three_line_to_eight_decimal_decoder.map_bb.cdb delete mode 100644 CH6/CH6-1/db/three_line_to_eight_decimal_decoder.map_bb.hdb delete mode 100644 CH6/CH6-1/db/three_line_to_eight_decimal_decoder.map_bb.logdb delete mode 100644 CH6/CH6-1/db/three_line_to_eight_decimal_decoder.pplq.rdb delete mode 100644 CH6/CH6-1/db/three_line_to_eight_decimal_decoder.pre_map.hdb delete mode 100644 CH6/CH6-1/db/three_line_to_eight_decimal_decoder.pti_db_list.ddb delete mode 100644 CH6/CH6-1/db/three_line_to_eight_decimal_decoder.root_partition.map.reg_db.cdb delete mode 100644 CH6/CH6-1/db/three_line_to_eight_decimal_decoder.routing.rdb delete mode 100644 CH6/CH6-1/db/three_line_to_eight_decimal_decoder.rtlv.hdb delete mode 100644 CH6/CH6-1/db/three_line_to_eight_decimal_decoder.rtlv_sg.cdb delete mode 100644 CH6/CH6-1/db/three_line_to_eight_decimal_decoder.rtlv_sg_swap.cdb delete mode 100644 CH6/CH6-1/db/three_line_to_eight_decimal_decoder.sgdiff.cdb delete mode 100644 CH6/CH6-1/db/three_line_to_eight_decimal_decoder.sgdiff.hdb delete mode 100644 CH6/CH6-1/db/three_line_to_eight_decimal_decoder.sld_design_entry.sci delete mode 100644 CH6/CH6-1/db/three_line_to_eight_decimal_decoder.sld_design_entry_dsc.sci delete mode 100644 CH6/CH6-1/db/three_line_to_eight_decimal_decoder.smart_action.txt delete mode 100644 CH6/CH6-1/db/three_line_to_eight_decimal_decoder.sta.qmsg delete mode 100644 CH6/CH6-1/db/three_line_to_eight_decimal_decoder.sta.rdb delete mode 100644 CH6/CH6-1/db/three_line_to_eight_decimal_decoder.sta_cmp.6_slow_1200mv_85c.tdb delete mode 100644 CH6/CH6-1/db/three_line_to_eight_decimal_decoder.tis_db_list.ddb delete mode 100644 CH6/CH6-1/db/three_line_to_eight_decimal_decoder.tiscmp.fast_1200mv_0c.ddb delete mode 100644 CH6/CH6-1/db/three_line_to_eight_decimal_decoder.tiscmp.slow_1200mv_0c.ddb delete mode 100644 CH6/CH6-1/db/three_line_to_eight_decimal_decoder.tiscmp.slow_1200mv_85c.ddb delete mode 100644 CH6/CH6-1/db/three_line_to_eight_decimal_decoder.tmw_info delete mode 100644 CH6/CH6-1/db/three_line_to_eight_decimal_decoder.vpr.ammdb delete mode 100644 CH6/CH6-1/four_line_to_sixteen_line_decimal_decoder.bdf delete mode 100644 CH6/CH6-1/four_line_to_sixteen_line_decimal_decoder.qpf delete mode 100644 CH6/CH6-1/four_line_to_sixteen_line_decimal_decoder.qsf delete mode 100644 CH6/CH6-1/four_line_to_sixteen_line_decimal_decoder.vwf delete mode 100644 CH6/CH6-1/incremental_db/README delete mode 100644 CH6/CH6-1/incremental_db/compiled_partitions/BCD_to_decimal_decoder.root_partition.cmp.ammdb delete mode 100644 CH6/CH6-1/incremental_db/compiled_partitions/BCD_to_decimal_decoder.root_partition.cmp.cdb delete mode 100644 CH6/CH6-1/incremental_db/compiled_partitions/BCD_to_decimal_decoder.root_partition.cmp.dfp delete mode 100644 CH6/CH6-1/incremental_db/compiled_partitions/BCD_to_decimal_decoder.root_partition.cmp.hdb delete mode 100644 CH6/CH6-1/incremental_db/compiled_partitions/BCD_to_decimal_decoder.root_partition.cmp.logdb delete mode 100644 CH6/CH6-1/incremental_db/compiled_partitions/BCD_to_decimal_decoder.root_partition.cmp.rcfdb delete mode 100644 CH6/CH6-1/incremental_db/compiled_partitions/BCD_to_decimal_decoder.root_partition.map.cdb delete mode 100644 CH6/CH6-1/incremental_db/compiled_partitions/BCD_to_decimal_decoder.root_partition.map.dpi delete mode 100644 CH6/CH6-1/incremental_db/compiled_partitions/BCD_to_decimal_decoder.root_partition.map.hbdb.cdb delete mode 100644 CH6/CH6-1/incremental_db/compiled_partitions/BCD_to_decimal_decoder.root_partition.map.hbdb.hb_info delete mode 100644 CH6/CH6-1/incremental_db/compiled_partitions/BCD_to_decimal_decoder.root_partition.map.hbdb.hdb delete mode 100644 CH6/CH6-1/incremental_db/compiled_partitions/BCD_to_decimal_decoder.root_partition.map.hbdb.sig delete mode 100644 CH6/CH6-1/incremental_db/compiled_partitions/BCD_to_decimal_decoder.root_partition.map.hdb delete mode 100644 CH6/CH6-1/incremental_db/compiled_partitions/BCD_to_decimal_decoder.root_partition.map.kpt delete mode 100644 CH6/CH6-1/incremental_db/compiled_partitions/Ten_line_to_four_line_BCD_encoder.db_info delete mode 100644 CH6/CH6-1/incremental_db/compiled_partitions/Ten_line_to_four_line_BCD_encoder.root_partition.cmp.dfp delete mode 100644 CH6/CH6-1/incremental_db/compiled_partitions/Ten_line_to_four_line_BCD_encoder.root_partition.cmp.logdb delete mode 100644 CH6/CH6-1/incremental_db/compiled_partitions/Ten_line_to_four_line_BCD_encoder.root_partition.map.dpi delete mode 100644 CH6/CH6-1/incremental_db/compiled_partitions/Ten_line_to_four_line_BCD_encoder.root_partition.map.kpt delete mode 100644 CH6/CH6-1/incremental_db/compiled_partitions/four_line_to_sixteen_line_decimal_decoder.root_partition.cmp.ammdb delete mode 100644 CH6/CH6-1/incremental_db/compiled_partitions/four_line_to_sixteen_line_decimal_decoder.root_partition.cmp.cdb delete mode 100644 CH6/CH6-1/incremental_db/compiled_partitions/four_line_to_sixteen_line_decimal_decoder.root_partition.cmp.dfp delete mode 100644 CH6/CH6-1/incremental_db/compiled_partitions/four_line_to_sixteen_line_decimal_decoder.root_partition.cmp.hdb delete mode 100644 CH6/CH6-1/incremental_db/compiled_partitions/four_line_to_sixteen_line_decimal_decoder.root_partition.cmp.logdb delete mode 100644 CH6/CH6-1/incremental_db/compiled_partitions/four_line_to_sixteen_line_decimal_decoder.root_partition.cmp.rcfdb delete mode 100644 CH6/CH6-1/incremental_db/compiled_partitions/four_line_to_sixteen_line_decimal_decoder.root_partition.map.cdb delete mode 100644 CH6/CH6-1/incremental_db/compiled_partitions/four_line_to_sixteen_line_decimal_decoder.root_partition.map.dpi delete mode 100644 CH6/CH6-1/incremental_db/compiled_partitions/four_line_to_sixteen_line_decimal_decoder.root_partition.map.hbdb.cdb delete mode 100644 CH6/CH6-1/incremental_db/compiled_partitions/four_line_to_sixteen_line_decimal_decoder.root_partition.map.hbdb.hb_info delete mode 100644 CH6/CH6-1/incremental_db/compiled_partitions/four_line_to_sixteen_line_decimal_decoder.root_partition.map.hbdb.hdb delete mode 100644 CH6/CH6-1/incremental_db/compiled_partitions/four_line_to_sixteen_line_decimal_decoder.root_partition.map.hbdb.sig delete mode 100644 CH6/CH6-1/incremental_db/compiled_partitions/four_line_to_sixteen_line_decimal_decoder.root_partition.map.hdb delete mode 100644 CH6/CH6-1/incremental_db/compiled_partitions/four_line_to_sixteen_line_decimal_decoder.root_partition.map.kpt delete mode 100644 CH6/CH6-1/incremental_db/compiled_partitions/ten_line_to_four_line_BCD_priority_encoder.root_partition.cmp.ammdb delete mode 100644 CH6/CH6-1/incremental_db/compiled_partitions/ten_line_to_four_line_BCD_priority_encoder.root_partition.cmp.cdb delete mode 100644 CH6/CH6-1/incremental_db/compiled_partitions/ten_line_to_four_line_BCD_priority_encoder.root_partition.cmp.dfp delete mode 100644 CH6/CH6-1/incremental_db/compiled_partitions/ten_line_to_four_line_BCD_priority_encoder.root_partition.cmp.hdb delete mode 100644 CH6/CH6-1/incremental_db/compiled_partitions/ten_line_to_four_line_BCD_priority_encoder.root_partition.cmp.logdb delete mode 100644 CH6/CH6-1/incremental_db/compiled_partitions/ten_line_to_four_line_BCD_priority_encoder.root_partition.cmp.rcfdb delete mode 100644 CH6/CH6-1/incremental_db/compiled_partitions/ten_line_to_four_line_BCD_priority_encoder.root_partition.map.cdb delete mode 100644 CH6/CH6-1/incremental_db/compiled_partitions/ten_line_to_four_line_BCD_priority_encoder.root_partition.map.dpi delete mode 100644 CH6/CH6-1/incremental_db/compiled_partitions/ten_line_to_four_line_BCD_priority_encoder.root_partition.map.hbdb.cdb delete mode 100644 CH6/CH6-1/incremental_db/compiled_partitions/ten_line_to_four_line_BCD_priority_encoder.root_partition.map.hbdb.hb_info delete mode 100644 CH6/CH6-1/incremental_db/compiled_partitions/ten_line_to_four_line_BCD_priority_encoder.root_partition.map.hbdb.hdb delete mode 100644 CH6/CH6-1/incremental_db/compiled_partitions/ten_line_to_four_line_BCD_priority_encoder.root_partition.map.hbdb.sig delete mode 100644 CH6/CH6-1/incremental_db/compiled_partitions/ten_line_to_four_line_BCD_priority_encoder.root_partition.map.hdb delete mode 100644 CH6/CH6-1/incremental_db/compiled_partitions/ten_line_to_four_line_BCD_priority_encoder.root_partition.map.kpt delete mode 100644 CH6/CH6-1/incremental_db/compiled_partitions/three_line_to_eight_decimal_decoder.root_partition.cmp.ammdb delete mode 100644 CH6/CH6-1/incremental_db/compiled_partitions/three_line_to_eight_decimal_decoder.root_partition.cmp.cdb delete mode 100644 CH6/CH6-1/incremental_db/compiled_partitions/three_line_to_eight_decimal_decoder.root_partition.cmp.dfp delete mode 100644 CH6/CH6-1/incremental_db/compiled_partitions/three_line_to_eight_decimal_decoder.root_partition.cmp.hdb delete mode 100644 CH6/CH6-1/incremental_db/compiled_partitions/three_line_to_eight_decimal_decoder.root_partition.cmp.logdb delete mode 100644 CH6/CH6-1/incremental_db/compiled_partitions/three_line_to_eight_decimal_decoder.root_partition.cmp.rcfdb delete mode 100644 CH6/CH6-1/incremental_db/compiled_partitions/three_line_to_eight_decimal_decoder.root_partition.map.cdb delete mode 100644 CH6/CH6-1/incremental_db/compiled_partitions/three_line_to_eight_decimal_decoder.root_partition.map.dpi delete mode 100644 CH6/CH6-1/incremental_db/compiled_partitions/three_line_to_eight_decimal_decoder.root_partition.map.hbdb.cdb delete mode 100644 CH6/CH6-1/incremental_db/compiled_partitions/three_line_to_eight_decimal_decoder.root_partition.map.hbdb.hb_info delete mode 100644 CH6/CH6-1/incremental_db/compiled_partitions/three_line_to_eight_decimal_decoder.root_partition.map.hbdb.hdb delete mode 100644 CH6/CH6-1/incremental_db/compiled_partitions/three_line_to_eight_decimal_decoder.root_partition.map.hbdb.sig delete mode 100644 CH6/CH6-1/incremental_db/compiled_partitions/three_line_to_eight_decimal_decoder.root_partition.map.hdb delete mode 100644 CH6/CH6-1/incremental_db/compiled_partitions/three_line_to_eight_decimal_decoder.root_partition.map.kpt delete mode 100644 CH6/CH6-1/output_files/BCD_to_decimal_decoder.asm.rpt delete mode 100644 CH6/CH6-1/output_files/BCD_to_decimal_decoder.done delete mode 100644 CH6/CH6-1/output_files/BCD_to_decimal_decoder.eda.rpt delete mode 100644 CH6/CH6-1/output_files/BCD_to_decimal_decoder.fit.rpt delete mode 100644 CH6/CH6-1/output_files/BCD_to_decimal_decoder.fit.smsg delete mode 100644 CH6/CH6-1/output_files/BCD_to_decimal_decoder.fit.summary delete mode 100644 CH6/CH6-1/output_files/BCD_to_decimal_decoder.flow.rpt delete mode 100644 CH6/CH6-1/output_files/BCD_to_decimal_decoder.jdi delete mode 100644 CH6/CH6-1/output_files/BCD_to_decimal_decoder.map.rpt delete mode 100644 CH6/CH6-1/output_files/BCD_to_decimal_decoder.map.summary delete mode 100644 CH6/CH6-1/output_files/BCD_to_decimal_decoder.pin delete mode 100644 CH6/CH6-1/output_files/BCD_to_decimal_decoder.sof delete mode 100644 CH6/CH6-1/output_files/BCD_to_decimal_decoder.sta.rpt delete mode 100644 CH6/CH6-1/output_files/BCD_to_decimal_decoder.sta.summary delete mode 100644 CH6/CH6-1/output_files/Chain1.cdf delete mode 100644 CH6/CH6-1/output_files/Ten_line_to_four_line_BCD_encoder.asm.rpt delete mode 100644 CH6/CH6-1/output_files/Ten_line_to_four_line_BCD_encoder.done delete mode 100644 CH6/CH6-1/output_files/Ten_line_to_four_line_BCD_encoder.eda.rpt delete mode 100644 CH6/CH6-1/output_files/Ten_line_to_four_line_BCD_encoder.fit.rpt delete mode 100644 CH6/CH6-1/output_files/Ten_line_to_four_line_BCD_encoder.fit.smsg delete mode 100644 CH6/CH6-1/output_files/Ten_line_to_four_line_BCD_encoder.fit.summary delete mode 100644 CH6/CH6-1/output_files/Ten_line_to_four_line_BCD_encoder.flow.rpt delete mode 100644 CH6/CH6-1/output_files/Ten_line_to_four_line_BCD_encoder.jdi delete mode 100644 CH6/CH6-1/output_files/Ten_line_to_four_line_BCD_encoder.map.rpt delete mode 100644 CH6/CH6-1/output_files/Ten_line_to_four_line_BCD_encoder.map.summary delete mode 100644 CH6/CH6-1/output_files/Ten_line_to_four_line_BCD_encoder.pin delete mode 100644 CH6/CH6-1/output_files/Ten_line_to_four_line_BCD_encoder.sof delete mode 100644 CH6/CH6-1/output_files/Ten_line_to_four_line_BCD_encoder.sta.rpt delete mode 100644 CH6/CH6-1/output_files/Ten_line_to_four_line_BCD_encoder.sta.summary delete mode 100644 CH6/CH6-1/output_files/four_line_to_sixteen_line_decimal_decoder.asm.rpt delete mode 100644 CH6/CH6-1/output_files/four_line_to_sixteen_line_decimal_decoder.done delete mode 100644 CH6/CH6-1/output_files/four_line_to_sixteen_line_decimal_decoder.eda.rpt delete mode 100644 CH6/CH6-1/output_files/four_line_to_sixteen_line_decimal_decoder.fit.rpt delete mode 100644 CH6/CH6-1/output_files/four_line_to_sixteen_line_decimal_decoder.fit.smsg delete mode 100644 CH6/CH6-1/output_files/four_line_to_sixteen_line_decimal_decoder.fit.summary delete mode 100644 CH6/CH6-1/output_files/four_line_to_sixteen_line_decimal_decoder.flow.rpt delete mode 100644 CH6/CH6-1/output_files/four_line_to_sixteen_line_decimal_decoder.jdi delete mode 100644 CH6/CH6-1/output_files/four_line_to_sixteen_line_decimal_decoder.map.rpt delete mode 100644 CH6/CH6-1/output_files/four_line_to_sixteen_line_decimal_decoder.map.summary delete mode 100644 CH6/CH6-1/output_files/four_line_to_sixteen_line_decimal_decoder.pin delete mode 100644 CH6/CH6-1/output_files/four_line_to_sixteen_line_decimal_decoder.sof delete mode 100644 CH6/CH6-1/output_files/four_line_to_sixteen_line_decimal_decoder.sta.rpt delete mode 100644 CH6/CH6-1/output_files/four_line_to_sixteen_line_decimal_decoder.sta.summary delete mode 100644 CH6/CH6-1/output_files/ten_line_to_four_line_BCD_priority_encoder.asm.rpt delete mode 100644 CH6/CH6-1/output_files/ten_line_to_four_line_BCD_priority_encoder.done delete mode 100644 CH6/CH6-1/output_files/ten_line_to_four_line_BCD_priority_encoder.eda.rpt delete mode 100644 CH6/CH6-1/output_files/ten_line_to_four_line_BCD_priority_encoder.fit.rpt delete mode 100644 CH6/CH6-1/output_files/ten_line_to_four_line_BCD_priority_encoder.fit.smsg delete mode 100644 CH6/CH6-1/output_files/ten_line_to_four_line_BCD_priority_encoder.fit.summary delete mode 100644 CH6/CH6-1/output_files/ten_line_to_four_line_BCD_priority_encoder.flow.rpt delete mode 100644 CH6/CH6-1/output_files/ten_line_to_four_line_BCD_priority_encoder.jdi delete mode 100644 CH6/CH6-1/output_files/ten_line_to_four_line_BCD_priority_encoder.map.rpt delete mode 100644 CH6/CH6-1/output_files/ten_line_to_four_line_BCD_priority_encoder.map.summary delete mode 100644 CH6/CH6-1/output_files/ten_line_to_four_line_BCD_priority_encoder.pin delete mode 100644 CH6/CH6-1/output_files/ten_line_to_four_line_BCD_priority_encoder.sof delete mode 100644 CH6/CH6-1/output_files/ten_line_to_four_line_BCD_priority_encoder.sta.rpt delete mode 100644 CH6/CH6-1/output_files/ten_line_to_four_line_BCD_priority_encoder.sta.summary delete mode 100644 CH6/CH6-1/output_files/three_line_to_eight_decimal_decoder.asm.rpt delete mode 100644 CH6/CH6-1/output_files/three_line_to_eight_decimal_decoder.done delete mode 100644 CH6/CH6-1/output_files/three_line_to_eight_decimal_decoder.eda.rpt delete mode 100644 CH6/CH6-1/output_files/three_line_to_eight_decimal_decoder.fit.rpt delete mode 100644 CH6/CH6-1/output_files/three_line_to_eight_decimal_decoder.fit.smsg delete mode 100644 CH6/CH6-1/output_files/three_line_to_eight_decimal_decoder.fit.summary delete mode 100644 CH6/CH6-1/output_files/three_line_to_eight_decimal_decoder.flow.rpt delete mode 100644 CH6/CH6-1/output_files/three_line_to_eight_decimal_decoder.jdi delete mode 100644 CH6/CH6-1/output_files/three_line_to_eight_decimal_decoder.map.rpt delete mode 100644 CH6/CH6-1/output_files/three_line_to_eight_decimal_decoder.map.summary delete mode 100644 CH6/CH6-1/output_files/three_line_to_eight_decimal_decoder.pin delete mode 100644 CH6/CH6-1/output_files/three_line_to_eight_decimal_decoder.sof delete mode 100644 CH6/CH6-1/output_files/three_line_to_eight_decimal_decoder.sta.rpt delete mode 100644 CH6/CH6-1/output_files/three_line_to_eight_decimal_decoder.sta.summary delete mode 100644 CH6/CH6-1/simulation/modelsim/BCD_to_decimal_decoder.sft delete mode 100644 CH6/CH6-1/simulation/modelsim/BCD_to_decimal_decoder.vho delete mode 100644 CH6/CH6-1/simulation/modelsim/BCD_to_decimal_decoder_6_1200mv_0c_slow.vho delete mode 100644 CH6/CH6-1/simulation/modelsim/BCD_to_decimal_decoder_6_1200mv_0c_vhd_slow.sdo delete mode 100644 CH6/CH6-1/simulation/modelsim/BCD_to_decimal_decoder_6_1200mv_85c_slow.vho delete mode 100644 CH6/CH6-1/simulation/modelsim/BCD_to_decimal_decoder_6_1200mv_85c_vhd_slow.sdo delete mode 100644 CH6/CH6-1/simulation/modelsim/BCD_to_decimal_decoder_min_1200mv_0c_fast.vho delete mode 100644 CH6/CH6-1/simulation/modelsim/BCD_to_decimal_decoder_min_1200mv_0c_vhd_fast.sdo delete mode 100644 CH6/CH6-1/simulation/modelsim/BCD_to_decimal_decoder_modelsim.xrf delete mode 100644 CH6/CH6-1/simulation/modelsim/BCD_to_decimal_decoder_vhd.sdo delete mode 100644 CH6/CH6-1/simulation/modelsim/Ten_line_to_four_line_BCD_encoder.sft delete mode 100644 CH6/CH6-1/simulation/modelsim/Ten_line_to_four_line_BCD_encoder.vho delete mode 100644 CH6/CH6-1/simulation/modelsim/Ten_line_to_four_line_BCD_encoder_6_1200mv_0c_slow.vho delete mode 100644 CH6/CH6-1/simulation/modelsim/Ten_line_to_four_line_BCD_encoder_6_1200mv_0c_vhd_slow.sdo delete mode 100644 CH6/CH6-1/simulation/modelsim/Ten_line_to_four_line_BCD_encoder_6_1200mv_85c_slow.vho delete mode 100644 CH6/CH6-1/simulation/modelsim/Ten_line_to_four_line_BCD_encoder_6_1200mv_85c_vhd_slow.sdo delete mode 100644 CH6/CH6-1/simulation/modelsim/Ten_line_to_four_line_BCD_encoder_min_1200mv_0c_fast.vho delete mode 100644 CH6/CH6-1/simulation/modelsim/Ten_line_to_four_line_BCD_encoder_min_1200mv_0c_vhd_fast.sdo delete mode 100644 CH6/CH6-1/simulation/modelsim/Ten_line_to_four_line_BCD_encoder_modelsim.xrf delete mode 100644 CH6/CH6-1/simulation/modelsim/Ten_line_to_four_line_BCD_encoder_vhd.sdo delete mode 100644 CH6/CH6-1/simulation/modelsim/four_line_to_sixteen_line_decimal_decoder.sft delete mode 100644 CH6/CH6-1/simulation/modelsim/four_line_to_sixteen_line_decimal_decoder.vho delete mode 100644 CH6/CH6-1/simulation/modelsim/four_line_to_sixteen_line_decimal_decoder_6_1200mv_0c_slow.vho delete mode 100644 CH6/CH6-1/simulation/modelsim/four_line_to_sixteen_line_decimal_decoder_6_1200mv_0c_vhd_slow.sdo delete mode 100644 CH6/CH6-1/simulation/modelsim/four_line_to_sixteen_line_decimal_decoder_6_1200mv_85c_slow.vho delete mode 100644 CH6/CH6-1/simulation/modelsim/four_line_to_sixteen_line_decimal_decoder_6_1200mv_85c_vhd_slow.sdo delete mode 100644 CH6/CH6-1/simulation/modelsim/four_line_to_sixteen_line_decimal_decoder_min_1200mv_0c_fast.vho delete mode 100644 CH6/CH6-1/simulation/modelsim/four_line_to_sixteen_line_decimal_decoder_min_1200mv_0c_vhd_fast.sdo delete mode 100644 CH6/CH6-1/simulation/modelsim/four_line_to_sixteen_line_decimal_decoder_modelsim.xrf delete mode 100644 CH6/CH6-1/simulation/modelsim/four_line_to_sixteen_line_decimal_decoder_vhd.sdo delete mode 100644 CH6/CH6-1/simulation/modelsim/ten_line_to_four_line_BCD_priority_encoder.sft delete mode 100644 CH6/CH6-1/simulation/modelsim/ten_line_to_four_line_BCD_priority_encoder.vho delete mode 100644 CH6/CH6-1/simulation/modelsim/ten_line_to_four_line_BCD_priority_encoder_6_1200mv_0c_slow.vho delete mode 100644 CH6/CH6-1/simulation/modelsim/ten_line_to_four_line_BCD_priority_encoder_6_1200mv_0c_vhd_slow.sdo delete mode 100644 CH6/CH6-1/simulation/modelsim/ten_line_to_four_line_BCD_priority_encoder_6_1200mv_85c_slow.vho delete mode 100644 CH6/CH6-1/simulation/modelsim/ten_line_to_four_line_BCD_priority_encoder_6_1200mv_85c_vhd_slow.sdo delete mode 100644 CH6/CH6-1/simulation/modelsim/ten_line_to_four_line_BCD_priority_encoder_min_1200mv_0c_fast.vho delete mode 100644 CH6/CH6-1/simulation/modelsim/ten_line_to_four_line_BCD_priority_encoder_min_1200mv_0c_vhd_fast.sdo delete mode 100644 CH6/CH6-1/simulation/modelsim/ten_line_to_four_line_BCD_priority_encoder_modelsim.xrf delete mode 100644 CH6/CH6-1/simulation/modelsim/ten_line_to_four_line_BCD_priority_encoder_vhd.sdo delete mode 100644 CH6/CH6-1/simulation/modelsim/three_line_to_eight_decimal_decoder.sft delete mode 100644 CH6/CH6-1/simulation/modelsim/three_line_to_eight_decimal_decoder.vho delete mode 100644 CH6/CH6-1/simulation/modelsim/three_line_to_eight_decimal_decoder_6_1200mv_0c_slow.vho delete mode 100644 CH6/CH6-1/simulation/modelsim/three_line_to_eight_decimal_decoder_6_1200mv_0c_vhd_slow.sdo delete mode 100644 CH6/CH6-1/simulation/modelsim/three_line_to_eight_decimal_decoder_6_1200mv_85c_slow.vho delete mode 100644 CH6/CH6-1/simulation/modelsim/three_line_to_eight_decimal_decoder_6_1200mv_85c_vhd_slow.sdo delete mode 100644 CH6/CH6-1/simulation/modelsim/three_line_to_eight_decimal_decoder_min_1200mv_0c_fast.vho delete mode 100644 CH6/CH6-1/simulation/modelsim/three_line_to_eight_decimal_decoder_min_1200mv_0c_vhd_fast.sdo delete mode 100644 CH6/CH6-1/simulation/modelsim/three_line_to_eight_decimal_decoder_modelsim.xrf delete mode 100644 CH6/CH6-1/simulation/modelsim/three_line_to_eight_decimal_decoder_vhd.sdo delete mode 100644 CH6/CH6-1/simulation/qsim/BCD_to_decimal_decoder.do delete mode 100644 CH6/CH6-1/simulation/qsim/BCD_to_decimal_decoder.msim.vcd delete mode 100644 CH6/CH6-1/simulation/qsim/BCD_to_decimal_decoder.sft delete mode 100644 CH6/CH6-1/simulation/qsim/BCD_to_decimal_decoder.sim.vwf delete mode 100644 CH6/CH6-1/simulation/qsim/BCD_to_decimal_decoder.vo delete mode 100644 CH6/CH6-1/simulation/qsim/BCD_to_decimal_decoder.vwf.vt delete mode 100644 CH6/CH6-1/simulation/qsim/BCD_to_decimal_decoder_modelsim.xrf delete mode 100644 CH6/CH6-1/simulation/qsim/four_line_to_sixteen_line_decimal_decoder.do delete mode 100644 CH6/CH6-1/simulation/qsim/four_line_to_sixteen_line_decimal_decoder.msim.vcd delete mode 100644 CH6/CH6-1/simulation/qsim/four_line_to_sixteen_line_decimal_decoder.sft delete mode 100644 CH6/CH6-1/simulation/qsim/four_line_to_sixteen_line_decimal_decoder.sim.vwf delete mode 100644 CH6/CH6-1/simulation/qsim/four_line_to_sixteen_line_decimal_decoder.vo delete mode 100644 CH6/CH6-1/simulation/qsim/four_line_to_sixteen_line_decimal_decoder.vwf.vt delete mode 100644 CH6/CH6-1/simulation/qsim/four_line_to_sixteen_line_decimal_decoder_modelsim.xrf delete mode 100644 CH6/CH6-1/simulation/qsim/ten_line_to_four_line_BCD_priority_encoder.do delete mode 100644 CH6/CH6-1/simulation/qsim/ten_line_to_four_line_BCD_priority_encoder.msim.vcd delete mode 100644 CH6/CH6-1/simulation/qsim/ten_line_to_four_line_BCD_priority_encoder.sft delete mode 100644 CH6/CH6-1/simulation/qsim/ten_line_to_four_line_BCD_priority_encoder.sim.vwf delete mode 100644 CH6/CH6-1/simulation/qsim/ten_line_to_four_line_BCD_priority_encoder.vo delete mode 100644 CH6/CH6-1/simulation/qsim/ten_line_to_four_line_BCD_priority_encoder.vwf.vt delete mode 100644 CH6/CH6-1/simulation/qsim/ten_line_to_four_line_BCD_priority_encoder_modelsim.xrf delete mode 100644 CH6/CH6-1/simulation/qsim/three_line_to_eight_decimal_decoder.do delete mode 100644 CH6/CH6-1/simulation/qsim/three_line_to_eight_decimal_decoder.msim.vcd delete mode 100644 CH6/CH6-1/simulation/qsim/three_line_to_eight_decimal_decoder.sft delete mode 100644 CH6/CH6-1/simulation/qsim/three_line_to_eight_decimal_decoder.sim.vwf delete mode 100644 CH6/CH6-1/simulation/qsim/three_line_to_eight_decimal_decoder.vo delete mode 100644 CH6/CH6-1/simulation/qsim/three_line_to_eight_decimal_decoder.vwf.vt delete mode 100644 CH6/CH6-1/simulation/qsim/three_line_to_eight_decimal_decoder_modelsim.xrf delete mode 100644 CH6/CH6-1/simulation/qsim/transcript delete mode 100644 CH6/CH6-1/simulation/qsim/work/@b@c@d_to_decimal_decoder/_primary.dat delete mode 100644 CH6/CH6-1/simulation/qsim/work/@b@c@d_to_decimal_decoder/_primary.dbs delete mode 100644 CH6/CH6-1/simulation/qsim/work/@b@c@d_to_decimal_decoder/_primary.vhd delete mode 100644 CH6/CH6-1/simulation/qsim/work/@b@c@d_to_decimal_decoder/verilog.prw delete mode 100644 CH6/CH6-1/simulation/qsim/work/@b@c@d_to_decimal_decoder/verilog.psm delete mode 100644 CH6/CH6-1/simulation/qsim/work/@b@c@d_to_decimal_decoder_vlg_check_tst/_primary.dat delete mode 100644 CH6/CH6-1/simulation/qsim/work/@b@c@d_to_decimal_decoder_vlg_check_tst/_primary.dbs delete mode 100644 CH6/CH6-1/simulation/qsim/work/@b@c@d_to_decimal_decoder_vlg_check_tst/_primary.vhd delete mode 100644 CH6/CH6-1/simulation/qsim/work/@b@c@d_to_decimal_decoder_vlg_check_tst/verilog.prw delete mode 100644 CH6/CH6-1/simulation/qsim/work/@b@c@d_to_decimal_decoder_vlg_check_tst/verilog.psm delete mode 100644 CH6/CH6-1/simulation/qsim/work/@b@c@d_to_decimal_decoder_vlg_sample_tst/_primary.dat delete mode 100644 CH6/CH6-1/simulation/qsim/work/@b@c@d_to_decimal_decoder_vlg_sample_tst/_primary.dbs delete mode 100644 CH6/CH6-1/simulation/qsim/work/@b@c@d_to_decimal_decoder_vlg_sample_tst/_primary.vhd delete mode 100644 CH6/CH6-1/simulation/qsim/work/@b@c@d_to_decimal_decoder_vlg_sample_tst/verilog.prw delete mode 100644 CH6/CH6-1/simulation/qsim/work/@b@c@d_to_decimal_decoder_vlg_sample_tst/verilog.psm delete mode 100644 CH6/CH6-1/simulation/qsim/work/@b@c@d_to_decimal_decoder_vlg_vec_tst/_primary.dat delete mode 100644 CH6/CH6-1/simulation/qsim/work/@b@c@d_to_decimal_decoder_vlg_vec_tst/_primary.dbs delete mode 100644 CH6/CH6-1/simulation/qsim/work/@b@c@d_to_decimal_decoder_vlg_vec_tst/_primary.vhd delete mode 100644 CH6/CH6-1/simulation/qsim/work/@b@c@d_to_decimal_decoder_vlg_vec_tst/verilog.prw delete mode 100644 CH6/CH6-1/simulation/qsim/work/@b@c@d_to_decimal_decoder_vlg_vec_tst/verilog.psm delete mode 100644 CH6/CH6-1/simulation/qsim/work/_info delete mode 100644 CH6/CH6-1/simulation/qsim/work/_vmake delete mode 100644 CH6/CH6-1/simulation/qsim/work/four_line_to_sixteen_line_decimal_decoder/_primary.dat delete mode 100644 CH6/CH6-1/simulation/qsim/work/four_line_to_sixteen_line_decimal_decoder/_primary.dbs delete mode 100644 CH6/CH6-1/simulation/qsim/work/four_line_to_sixteen_line_decimal_decoder/_primary.vhd delete mode 100644 CH6/CH6-1/simulation/qsim/work/four_line_to_sixteen_line_decimal_decoder/verilog.prw delete mode 100644 CH6/CH6-1/simulation/qsim/work/four_line_to_sixteen_line_decimal_decoder/verilog.psm delete mode 100644 CH6/CH6-1/simulation/qsim/work/four_line_to_sixteen_line_decimal_decoder_vlg_check_tst/_primary.dat delete mode 100644 CH6/CH6-1/simulation/qsim/work/four_line_to_sixteen_line_decimal_decoder_vlg_check_tst/_primary.dbs delete mode 100644 CH6/CH6-1/simulation/qsim/work/four_line_to_sixteen_line_decimal_decoder_vlg_check_tst/_primary.vhd delete mode 100644 CH6/CH6-1/simulation/qsim/work/four_line_to_sixteen_line_decimal_decoder_vlg_check_tst/verilog.prw delete mode 100644 CH6/CH6-1/simulation/qsim/work/four_line_to_sixteen_line_decimal_decoder_vlg_check_tst/verilog.psm delete mode 100644 CH6/CH6-1/simulation/qsim/work/four_line_to_sixteen_line_decimal_decoder_vlg_sample_tst/_primary.dat delete mode 100644 CH6/CH6-1/simulation/qsim/work/four_line_to_sixteen_line_decimal_decoder_vlg_sample_tst/_primary.dbs delete mode 100644 CH6/CH6-1/simulation/qsim/work/four_line_to_sixteen_line_decimal_decoder_vlg_sample_tst/_primary.vhd delete mode 100644 CH6/CH6-1/simulation/qsim/work/four_line_to_sixteen_line_decimal_decoder_vlg_sample_tst/verilog.prw delete mode 100644 CH6/CH6-1/simulation/qsim/work/four_line_to_sixteen_line_decimal_decoder_vlg_sample_tst/verilog.psm delete mode 100644 CH6/CH6-1/simulation/qsim/work/four_line_to_sixteen_line_decimal_decoder_vlg_vec_tst/_primary.dat delete mode 100644 CH6/CH6-1/simulation/qsim/work/four_line_to_sixteen_line_decimal_decoder_vlg_vec_tst/_primary.dbs delete mode 100644 CH6/CH6-1/simulation/qsim/work/four_line_to_sixteen_line_decimal_decoder_vlg_vec_tst/_primary.vhd delete mode 100644 CH6/CH6-1/simulation/qsim/work/four_line_to_sixteen_line_decimal_decoder_vlg_vec_tst/verilog.prw delete mode 100644 CH6/CH6-1/simulation/qsim/work/four_line_to_sixteen_line_decimal_decoder_vlg_vec_tst/verilog.psm delete mode 100644 CH6/CH6-1/simulation/qsim/work/ten_line_to_four_line_@b@c@d_priority_encoder/_primary.dat delete mode 100644 CH6/CH6-1/simulation/qsim/work/ten_line_to_four_line_@b@c@d_priority_encoder/_primary.dbs delete mode 100644 CH6/CH6-1/simulation/qsim/work/ten_line_to_four_line_@b@c@d_priority_encoder/_primary.vhd delete mode 100644 CH6/CH6-1/simulation/qsim/work/ten_line_to_four_line_@b@c@d_priority_encoder/verilog.prw delete mode 100644 CH6/CH6-1/simulation/qsim/work/ten_line_to_four_line_@b@c@d_priority_encoder/verilog.psm delete mode 100644 CH6/CH6-1/simulation/qsim/work/ten_line_to_four_line_@b@c@d_priority_encoder_vlg_check_tst/_primary.dat delete mode 100644 CH6/CH6-1/simulation/qsim/work/ten_line_to_four_line_@b@c@d_priority_encoder_vlg_check_tst/_primary.dbs delete mode 100644 CH6/CH6-1/simulation/qsim/work/ten_line_to_four_line_@b@c@d_priority_encoder_vlg_check_tst/_primary.vhd delete mode 100644 CH6/CH6-1/simulation/qsim/work/ten_line_to_four_line_@b@c@d_priority_encoder_vlg_check_tst/verilog.prw delete mode 100644 CH6/CH6-1/simulation/qsim/work/ten_line_to_four_line_@b@c@d_priority_encoder_vlg_check_tst/verilog.psm delete mode 100644 CH6/CH6-1/simulation/qsim/work/ten_line_to_four_line_@b@c@d_priority_encoder_vlg_sample_tst/_primary.dat delete mode 100644 CH6/CH6-1/simulation/qsim/work/ten_line_to_four_line_@b@c@d_priority_encoder_vlg_sample_tst/_primary.dbs delete mode 100644 CH6/CH6-1/simulation/qsim/work/ten_line_to_four_line_@b@c@d_priority_encoder_vlg_sample_tst/_primary.vhd delete mode 100644 CH6/CH6-1/simulation/qsim/work/ten_line_to_four_line_@b@c@d_priority_encoder_vlg_sample_tst/verilog.prw delete mode 100644 CH6/CH6-1/simulation/qsim/work/ten_line_to_four_line_@b@c@d_priority_encoder_vlg_sample_tst/verilog.psm delete mode 100644 CH6/CH6-1/simulation/qsim/work/ten_line_to_four_line_@b@c@d_priority_encoder_vlg_vec_tst/_primary.dat delete mode 100644 CH6/CH6-1/simulation/qsim/work/ten_line_to_four_line_@b@c@d_priority_encoder_vlg_vec_tst/_primary.dbs delete mode 100644 CH6/CH6-1/simulation/qsim/work/ten_line_to_four_line_@b@c@d_priority_encoder_vlg_vec_tst/_primary.vhd delete mode 100644 CH6/CH6-1/simulation/qsim/work/ten_line_to_four_line_@b@c@d_priority_encoder_vlg_vec_tst/verilog.prw delete mode 100644 CH6/CH6-1/simulation/qsim/work/ten_line_to_four_line_@b@c@d_priority_encoder_vlg_vec_tst/verilog.psm delete mode 100644 CH6/CH6-1/simulation/qsim/work/three_line_to_eight_decimal_decoder/_primary.dat delete mode 100644 CH6/CH6-1/simulation/qsim/work/three_line_to_eight_decimal_decoder/_primary.dbs delete mode 100644 CH6/CH6-1/simulation/qsim/work/three_line_to_eight_decimal_decoder/_primary.vhd delete mode 100644 CH6/CH6-1/simulation/qsim/work/three_line_to_eight_decimal_decoder/verilog.prw delete mode 100644 CH6/CH6-1/simulation/qsim/work/three_line_to_eight_decimal_decoder/verilog.psm delete mode 100644 CH6/CH6-1/simulation/qsim/work/three_line_to_eight_decimal_decoder_vlg_check_tst/_primary.dat delete mode 100644 CH6/CH6-1/simulation/qsim/work/three_line_to_eight_decimal_decoder_vlg_check_tst/_primary.dbs delete mode 100644 CH6/CH6-1/simulation/qsim/work/three_line_to_eight_decimal_decoder_vlg_check_tst/_primary.vhd delete mode 100644 CH6/CH6-1/simulation/qsim/work/three_line_to_eight_decimal_decoder_vlg_check_tst/verilog.prw delete mode 100644 CH6/CH6-1/simulation/qsim/work/three_line_to_eight_decimal_decoder_vlg_check_tst/verilog.psm delete mode 100644 CH6/CH6-1/simulation/qsim/work/three_line_to_eight_decimal_decoder_vlg_sample_tst/_primary.dat delete mode 100644 CH6/CH6-1/simulation/qsim/work/three_line_to_eight_decimal_decoder_vlg_sample_tst/_primary.dbs delete mode 100644 CH6/CH6-1/simulation/qsim/work/three_line_to_eight_decimal_decoder_vlg_sample_tst/_primary.vhd delete mode 100644 CH6/CH6-1/simulation/qsim/work/three_line_to_eight_decimal_decoder_vlg_sample_tst/verilog.prw delete mode 100644 CH6/CH6-1/simulation/qsim/work/three_line_to_eight_decimal_decoder_vlg_sample_tst/verilog.psm delete mode 100644 CH6/CH6-1/simulation/qsim/work/three_line_to_eight_decimal_decoder_vlg_vec_tst/_primary.dat delete mode 100644 CH6/CH6-1/simulation/qsim/work/three_line_to_eight_decimal_decoder_vlg_vec_tst/_primary.dbs delete mode 100644 CH6/CH6-1/simulation/qsim/work/three_line_to_eight_decimal_decoder_vlg_vec_tst/_primary.vhd delete mode 100644 CH6/CH6-1/simulation/qsim/work/three_line_to_eight_decimal_decoder_vlg_vec_tst/verilog.prw delete mode 100644 CH6/CH6-1/simulation/qsim/work/three_line_to_eight_decimal_decoder_vlg_vec_tst/verilog.psm delete mode 100644 CH6/CH6-1/ten_line_to_four_line_BCD_priority_encoder.bdf delete mode 100644 CH6/CH6-1/ten_line_to_four_line_BCD_priority_encoder.ipinfo delete mode 100644 CH6/CH6-1/ten_line_to_four_line_BCD_priority_encoder.qpf delete mode 100644 CH6/CH6-1/ten_line_to_four_line_BCD_priority_encoder.qsf delete mode 100644 CH6/CH6-1/ten_line_to_four_line_BCD_priority_encoder.vwf delete mode 100644 CH6/CH6-1/three_line_to_eight_decimal_decoder.bdf delete mode 100644 CH6/CH6-1/three_line_to_eight_decimal_decoder.qpf delete mode 100644 CH6/CH6-1/three_line_to_eight_decimal_decoder.qsf delete mode 100644 CH6/CH6-1/three_line_to_eight_decimal_decoder.vwf diff --git a/.gitignore b/.gitignore deleted file mode 100644 index f2f842fb..00000000 --- a/.gitignore +++ /dev/null @@ -1,109 +0,0 @@ -# FPGA -*.flock -*.qws -*.db_info - -# Byte-compiled / optimized / DLL files -__pycache__/ -*.py[cod] -*$py.class - -# C extensions -*.so - -# Distribution / packaging -.Python -build/ -develop-eggs/ -dist/ -downloads/ -eggs/ -.eggs/ -lib/ -lib64/ -parts/ -sdist/ -var/ -wheels/ -*.egg-info/ -.installed.cfg -*.egg -MANIFEST - -# PyInstaller -# Usually these files are written by a python script from a template -# before PyInstaller builds the exe, so as to inject date/other infos into it. -*.manifest -*.spec - -# Installer logs -pip-log.txt -pip-delete-this-directory.txt - -# Unit test / coverage reports -htmlcov/ -.tox/ -.coverage -.coverage.* -.cache -nosetests.xml -coverage.xml -*.cover -.hypothesis/ -.pytest_cache/ - -# Translations -*.mo -*.pot - -# Django stuff: -*.log -local_settings.py -db.sqlite3 - -# Flask stuff: -instance/ -.webassets-cache - -# Scrapy stuff: -.scrapy - -# Sphinx documentation -docs/_build/ - -# PyBuilder -target/ - -# Jupyter Notebook -.ipynb_checkpoints - -# pyenv -.python-version - -# celery beat schedule file -celerybeat-schedule - -# SageMath parsed files -*.sage.py - -# Environments -.env -.venv -env/ -venv/ -ENV/ -env.bak/ -venv.bak/ - -# Spyder project settings -.spyderproject -.spyproject - -# Rope project settings -.ropeproject - -# mkdocs documentation -/site - -# mypy -.mypy_cache/ diff --git a/CH6/CH6-1/BCD_to_decimal_decoder.bdf b/CH6/CH6-1/BCD_to_decimal_decoder.bdf deleted file mode 100644 index c46e9e89..00000000 --- a/CH6/CH6-1/BCD_to_decimal_decoder.bdf +++ /dev/null @@ -1,1333 +0,0 @@ -/* -WARNING: Do NOT edit the input and output ports in this file in a text -editor if you plan to continue editing the block that represents it in -the Block Editor! File corruption is VERY likely to occur. -*/ -/* -Copyright (C) 1991-2013 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. -*/ -(header "graphic" (version "1.4")) -(pin - (input) - (rect 120 56 288 72) - (text "INPUT" (rect 125 0 158 10)(font "Arial" (font_size 6))) - (text "A" (rect 5 0 17 12)(font "Arial" )) - (pt 168 8) - (drawing - (line (pt 84 12)(pt 109 12)) - (line (pt 84 4)(pt 109 4)) - (line (pt 113 8)(pt 168 8)) - (line (pt 84 12)(pt 84 4)) - (line (pt 109 4)(pt 113 8)) - (line (pt 109 12)(pt 113 8)) - ) - (text "VCC" (rect 128 7 151 17)(font "Arial" (font_size 6))) -) -(pin - (input) - (rect 120 120 288 136) - (text "INPUT" (rect 125 0 158 10)(font "Arial" (font_size 6))) - (text "B" (rect 5 0 16 12)(font "Arial" )) - (pt 168 8) - (drawing - (line (pt 84 12)(pt 109 12)) - (line (pt 84 4)(pt 109 4)) - (line (pt 113 8)(pt 168 8)) - (line (pt 84 12)(pt 84 4)) - (line (pt 109 4)(pt 113 8)) - (line (pt 109 12)(pt 113 8)) - ) - (text "VCC" (rect 128 7 151 17)(font "Arial" (font_size 6))) -) -(pin - (input) - (rect 120 184 288 200) - (text "INPUT" (rect 125 0 158 10)(font "Arial" (font_size 6))) - (text "C" (rect 5 0 16 12)(font "Arial" )) - (pt 168 8) - (drawing - (line (pt 84 12)(pt 109 12)) - (line (pt 84 4)(pt 109 4)) - (line (pt 113 8)(pt 168 8)) - (line (pt 84 12)(pt 84 4)) - (line (pt 109 4)(pt 113 8)) - (line (pt 109 12)(pt 113 8)) - ) - (text "VCC" (rect 128 7 151 17)(font "Arial" (font_size 6))) -) -(pin - (input) - (rect 120 248 288 264) - (text "INPUT" (rect 125 0 158 10)(font "Arial" (font_size 6))) - (text "D" (rect 5 0 16 12)(font "Arial" )) - (pt 168 8) - (drawing - (line (pt 84 12)(pt 109 12)) - (line (pt 84 4)(pt 109 4)) - (line (pt 113 8)(pt 168 8)) - (line (pt 84 12)(pt 84 4)) - (line (pt 109 4)(pt 113 8)) - (line (pt 109 12)(pt 113 8)) - ) - (text "VCC" (rect 128 7 151 17)(font "Arial" (font_size 6))) -) -(pin - (output) - (rect 704 296 880 312) - (text "OUTPUT" (rect 1 0 45 10)(font "Arial" (font_size 6))) - (text "Y0" (rect 90 0 107 12)(font "Arial" )) - (pt 0 8) - (drawing - (line (pt 0 8)(pt 52 8)) - (line (pt 52 4)(pt 78 4)) - (line (pt 52 12)(pt 78 12)) - (line (pt 52 12)(pt 52 4)) - (line (pt 78 4)(pt 82 8)) - (line (pt 82 8)(pt 78 12)) - (line (pt 78 12)(pt 82 8)) - ) -) -(pin - (output) - (rect 704 384 880 400) - (text "OUTPUT" (rect 1 0 45 10)(font "Arial" (font_size 6))) - (text "Y1" (rect 90 0 107 12)(font "Arial" )) - (pt 0 8) - (drawing - (line (pt 0 8)(pt 52 8)) - (line (pt 52 4)(pt 78 4)) - (line (pt 52 12)(pt 78 12)) - (line (pt 52 12)(pt 52 4)) - (line (pt 78 4)(pt 82 8)) - (line (pt 82 8)(pt 78 12)) - (line (pt 78 12)(pt 82 8)) - ) -) -(pin - (output) - (rect 704 472 880 488) - (text "OUTPUT" (rect 1 0 45 10)(font "Arial" (font_size 6))) - (text "Y2" (rect 90 0 107 12)(font "Arial" )) - (pt 0 8) - (drawing - (line (pt 0 8)(pt 52 8)) - (line (pt 52 4)(pt 78 4)) - (line (pt 52 12)(pt 78 12)) - (line (pt 52 12)(pt 52 4)) - (line (pt 78 4)(pt 82 8)) - (line (pt 82 8)(pt 78 12)) - (line (pt 78 12)(pt 82 8)) - ) -) -(pin - (output) - (rect 704 560 880 576) - (text "OUTPUT" (rect 1 0 45 10)(font "Arial" (font_size 6))) - (text "Y3" (rect 90 0 107 12)(font "Arial" )) - (pt 0 8) - (drawing - (line (pt 0 8)(pt 52 8)) - (line (pt 52 4)(pt 78 4)) - (line (pt 52 12)(pt 78 12)) - (line (pt 52 12)(pt 52 4)) - (line (pt 78 4)(pt 82 8)) - (line (pt 82 8)(pt 78 12)) - (line (pt 78 12)(pt 82 8)) - ) -) -(pin - (output) - (rect 704 648 880 664) - (text "OUTPUT" (rect 1 0 45 10)(font "Arial" (font_size 6))) - (text "Y4" (rect 90 0 107 12)(font "Arial" )) - (pt 0 8) - (drawing - (line (pt 0 8)(pt 52 8)) - (line (pt 52 4)(pt 78 4)) - (line (pt 52 12)(pt 78 12)) - (line (pt 52 12)(pt 52 4)) - (line (pt 78 4)(pt 82 8)) - (line (pt 82 8)(pt 78 12)) - (line (pt 78 12)(pt 82 8)) - ) -) -(pin - (output) - (rect 704 736 880 752) - (text "OUTPUT" (rect 1 0 45 10)(font "Arial" (font_size 6))) - (text "Y5" (rect 90 0 107 12)(font "Arial" )) - (pt 0 8) - (drawing - (line (pt 0 8)(pt 52 8)) - (line (pt 52 4)(pt 78 4)) - (line (pt 52 12)(pt 78 12)) - (line (pt 52 12)(pt 52 4)) - (line (pt 78 4)(pt 82 8)) - (line (pt 82 8)(pt 78 12)) - (line (pt 78 12)(pt 82 8)) - ) -) -(pin - (output) - (rect 704 824 880 840) - (text "OUTPUT" (rect 1 0 45 10)(font "Arial" (font_size 6))) - (text "Y6" (rect 90 0 107 12)(font "Arial" )) - (pt 0 8) - (drawing - (line (pt 0 8)(pt 52 8)) - (line (pt 52 4)(pt 78 4)) - (line (pt 52 12)(pt 78 12)) - (line (pt 52 12)(pt 52 4)) - (line (pt 78 4)(pt 82 8)) - (line (pt 82 8)(pt 78 12)) - (line (pt 78 12)(pt 82 8)) - ) -) -(pin - (output) - (rect 704 912 880 928) - (text "OUTPUT" (rect 1 0 45 10)(font "Arial" (font_size 6))) - (text "Y7" (rect 90 0 107 12)(font "Arial" )) - (pt 0 8) - (drawing - (line (pt 0 8)(pt 52 8)) - (line (pt 52 4)(pt 78 4)) - (line (pt 52 12)(pt 78 12)) - (line (pt 52 12)(pt 52 4)) - (line (pt 78 4)(pt 82 8)) - (line (pt 82 8)(pt 78 12)) - (line (pt 78 12)(pt 82 8)) - ) -) -(pin - (output) - (rect 704 1000 880 1016) - (text "OUTPUT" (rect 1 0 45 10)(font "Arial" (font_size 6))) - (text "Y8" (rect 90 0 107 12)(font "Arial" )) - (pt 0 8) - (drawing - (line (pt 0 8)(pt 52 8)) - (line (pt 52 4)(pt 78 4)) - (line (pt 52 12)(pt 78 12)) - (line (pt 52 12)(pt 52 4)) - (line (pt 78 4)(pt 82 8)) - (line (pt 82 8)(pt 78 12)) - (line (pt 78 12)(pt 82 8)) - ) -) -(pin - (output) - (rect 704 1088 880 1104) - (text "OUTPUT" (rect 1 0 45 10)(font "Arial" (font_size 6))) - (text "Y9" (rect 90 0 107 12)(font "Arial" )) - (pt 0 8) - (drawing - (line (pt 0 8)(pt 52 8)) - (line (pt 52 4)(pt 78 4)) - (line (pt 52 12)(pt 78 12)) - (line (pt 52 12)(pt 52 4)) - (line (pt 78 4)(pt 82 8)) - (line (pt 82 8)(pt 78 12)) - (line (pt 78 12)(pt 82 8)) - ) -) -(symbol - (rect 640 264 704 344) - (text "AND4" (rect 1 0 30 10)(font "Arial" (font_size 6))) - (text "inst" (rect 3 69 25 81)(font "Arial" )) - (port - (pt 0 16) - (input) - (text "IN1" (rect 2 7 26 19)(font "Courier New" (bold))(invisible)) - (text "IN1" (rect 2 7 26 19)(font "Courier New" (bold))(invisible)) - (line (pt 0 16)(pt 18 16)) - ) - (port - (pt 0 32) - (input) - (text "IN2" (rect 2 23 25 35)(font "Courier New" (bold))(invisible)) - (text "IN2" (rect 2 23 25 35)(font "Courier New" (bold))(invisible)) - (line (pt 0 32)(pt 18 32)) - ) - (port - (pt 0 48) - (input) - (text "IN3" (rect 2 39 26 51)(font "Courier New" (bold))(invisible)) - (text "IN3" (rect 2 39 26 51)(font "Courier New" (bold))(invisible)) - (line (pt 0 48)(pt 18 48)) - ) - (port - (pt 0 64) - (input) - (text "IN4" (rect 2 55 26 67)(font "Courier New" (bold))(invisible)) - (text "IN4" (rect 2 55 26 67)(font "Courier New" (bold))(invisible)) - (line (pt 0 64)(pt 18 64)) - ) - (port - (pt 64 40) - (output) - (text "OUT" (rect 48 31 72 43)(font "Courier New" (bold))(invisible)) - (text "OUT" (rect 48 31 72 43)(font "Courier New" (bold))(invisible)) - (line (pt 46 40)(pt 64 40)) - ) - (drawing - (line (pt 18 28)(pt 34 28)) - (line (pt 18 52)(pt 18 28)) - (line (pt 18 67)(pt 18 13)) - (line (pt 34 53)(pt 18 53)) - (arc (pt 34 52)(pt 34 28)(rect 22 28 47 53)) - ) -) -(symbol - (rect 640 352 704 432) - (text "AND4" (rect 1 0 30 10)(font "Arial" (font_size 6))) - (text "inst1" (rect 3 69 32 81)(font "Arial" )) - (port - (pt 0 16) - (input) - (text "IN1" (rect 2 7 26 19)(font "Courier New" (bold))(invisible)) - (text "IN1" (rect 2 7 26 19)(font "Courier New" (bold))(invisible)) - (line (pt 0 16)(pt 18 16)) - ) - (port - (pt 0 32) - (input) - (text "IN2" (rect 2 23 25 35)(font "Courier New" (bold))(invisible)) - (text "IN2" (rect 2 23 25 35)(font "Courier New" (bold))(invisible)) - (line (pt 0 32)(pt 18 32)) - ) - (port - (pt 0 48) - (input) - (text "IN3" (rect 2 39 26 51)(font "Courier New" (bold))(invisible)) - (text "IN3" (rect 2 39 26 51)(font "Courier New" (bold))(invisible)) - (line (pt 0 48)(pt 18 48)) - ) - (port - (pt 0 64) - (input) - (text "IN4" (rect 2 55 26 67)(font "Courier New" (bold))(invisible)) - (text "IN4" (rect 2 55 26 67)(font "Courier New" (bold))(invisible)) - (line (pt 0 64)(pt 18 64)) - ) - (port - (pt 64 40) - (output) - (text "OUT" (rect 48 31 72 43)(font "Courier New" (bold))(invisible)) - (text "OUT" (rect 48 31 72 43)(font "Courier New" (bold))(invisible)) - (line (pt 46 40)(pt 64 40)) - ) - (drawing - (line (pt 18 28)(pt 34 28)) - (line (pt 18 52)(pt 18 28)) - (line (pt 18 67)(pt 18 13)) - (line (pt 34 53)(pt 18 53)) - (arc (pt 34 52)(pt 34 28)(rect 22 28 47 53)) - ) -) -(symbol - (rect 640 440 704 520) - (text "AND4" (rect 1 0 30 10)(font "Arial" (font_size 6))) - (text "inst2" (rect 3 69 32 81)(font "Arial" )) - (port - (pt 0 16) - (input) - (text "IN1" (rect 2 7 26 19)(font "Courier New" (bold))(invisible)) - (text "IN1" (rect 2 7 26 19)(font "Courier New" (bold))(invisible)) - (line (pt 0 16)(pt 18 16)) - ) - (port - (pt 0 32) - (input) - (text "IN2" (rect 2 23 25 35)(font "Courier New" (bold))(invisible)) - (text "IN2" (rect 2 23 25 35)(font "Courier New" (bold))(invisible)) - (line (pt 0 32)(pt 18 32)) - ) - (port - (pt 0 48) - (input) - (text "IN3" (rect 2 39 26 51)(font "Courier New" (bold))(invisible)) - (text "IN3" (rect 2 39 26 51)(font "Courier New" (bold))(invisible)) - (line (pt 0 48)(pt 18 48)) - ) - (port - (pt 0 64) - (input) - (text "IN4" (rect 2 55 26 67)(font "Courier New" (bold))(invisible)) - (text "IN4" (rect 2 55 26 67)(font "Courier New" (bold))(invisible)) - (line (pt 0 64)(pt 18 64)) - ) - (port - (pt 64 40) - (output) - (text "OUT" (rect 48 31 72 43)(font "Courier New" (bold))(invisible)) - (text "OUT" (rect 48 31 72 43)(font "Courier New" (bold))(invisible)) - (line (pt 46 40)(pt 64 40)) - ) - (drawing - (line (pt 18 28)(pt 34 28)) - (line (pt 18 52)(pt 18 28)) - (line (pt 18 67)(pt 18 13)) - (line (pt 34 53)(pt 18 53)) - (arc (pt 34 52)(pt 34 28)(rect 22 28 47 53)) - ) -) -(symbol - (rect 640 528 704 608) - (text "AND4" (rect 1 0 30 10)(font "Arial" (font_size 6))) - (text "inst3" (rect 3 69 32 81)(font "Arial" )) - (port - (pt 0 16) - (input) - (text "IN1" (rect 2 7 26 19)(font "Courier New" (bold))(invisible)) - (text "IN1" (rect 2 7 26 19)(font "Courier New" (bold))(invisible)) - (line (pt 0 16)(pt 18 16)) - ) - (port - (pt 0 32) - (input) - (text "IN2" (rect 2 23 25 35)(font "Courier New" (bold))(invisible)) - (text "IN2" (rect 2 23 25 35)(font "Courier New" (bold))(invisible)) - (line (pt 0 32)(pt 18 32)) - ) - (port - (pt 0 48) - (input) - (text "IN3" (rect 2 39 26 51)(font "Courier New" (bold))(invisible)) - (text "IN3" (rect 2 39 26 51)(font "Courier New" (bold))(invisible)) - (line (pt 0 48)(pt 18 48)) - ) - (port - (pt 0 64) - (input) - (text "IN4" (rect 2 55 26 67)(font "Courier New" (bold))(invisible)) - (text "IN4" (rect 2 55 26 67)(font "Courier New" (bold))(invisible)) - (line (pt 0 64)(pt 18 64)) - ) - (port - (pt 64 40) - (output) - (text "OUT" (rect 48 31 72 43)(font "Courier New" (bold))(invisible)) - (text "OUT" (rect 48 31 72 43)(font "Courier New" (bold))(invisible)) - (line (pt 46 40)(pt 64 40)) - ) - (drawing - (line (pt 18 28)(pt 34 28)) - (line (pt 18 52)(pt 18 28)) - (line (pt 18 67)(pt 18 13)) - (line (pt 34 53)(pt 18 53)) - (arc (pt 34 52)(pt 34 28)(rect 22 28 47 53)) - ) -) -(symbol - (rect 640 616 704 696) - (text "AND4" (rect 1 0 30 10)(font "Arial" (font_size 6))) - (text "inst4" (rect 3 69 32 81)(font "Arial" )) - (port - (pt 0 16) - (input) - (text "IN1" (rect 2 7 26 19)(font "Courier New" (bold))(invisible)) - (text "IN1" (rect 2 7 26 19)(font "Courier New" (bold))(invisible)) - (line (pt 0 16)(pt 18 16)) - ) - (port - (pt 0 32) - (input) - (text "IN2" (rect 2 23 25 35)(font "Courier New" (bold))(invisible)) - (text "IN2" (rect 2 23 25 35)(font "Courier New" (bold))(invisible)) - (line (pt 0 32)(pt 18 32)) - ) - (port - (pt 0 48) - (input) - (text "IN3" (rect 2 39 26 51)(font "Courier New" (bold))(invisible)) - (text "IN3" (rect 2 39 26 51)(font "Courier New" (bold))(invisible)) - (line (pt 0 48)(pt 18 48)) - ) - (port - (pt 0 64) - (input) - (text "IN4" (rect 2 55 26 67)(font "Courier New" (bold))(invisible)) - (text "IN4" (rect 2 55 26 67)(font "Courier New" (bold))(invisible)) - (line (pt 0 64)(pt 18 64)) - ) - (port - (pt 64 40) - (output) - (text "OUT" (rect 48 31 72 43)(font "Courier New" (bold))(invisible)) - (text "OUT" (rect 48 31 72 43)(font "Courier New" (bold))(invisible)) - (line (pt 46 40)(pt 64 40)) - ) - (drawing - (line (pt 18 28)(pt 34 28)) - (line (pt 18 52)(pt 18 28)) - (line (pt 18 67)(pt 18 13)) - (line (pt 34 53)(pt 18 53)) - (arc (pt 34 52)(pt 34 28)(rect 22 28 47 53)) - ) -) -(symbol - (rect 640 704 704 784) - (text "AND4" (rect 1 0 30 10)(font "Arial" (font_size 6))) - (text "inst5" (rect 3 69 32 81)(font "Arial" )) - (port - (pt 0 16) - (input) - (text "IN1" (rect 2 7 26 19)(font "Courier New" (bold))(invisible)) - (text "IN1" (rect 2 7 26 19)(font "Courier New" (bold))(invisible)) - (line (pt 0 16)(pt 18 16)) - ) - (port - (pt 0 32) - (input) - (text "IN2" (rect 2 23 25 35)(font "Courier New" (bold))(invisible)) - (text "IN2" (rect 2 23 25 35)(font "Courier New" (bold))(invisible)) - (line (pt 0 32)(pt 18 32)) - ) - (port - (pt 0 48) - (input) - (text "IN3" (rect 2 39 26 51)(font "Courier New" (bold))(invisible)) - (text "IN3" (rect 2 39 26 51)(font "Courier New" (bold))(invisible)) - (line (pt 0 48)(pt 18 48)) - ) - (port - (pt 0 64) - (input) - (text "IN4" (rect 2 55 26 67)(font "Courier New" (bold))(invisible)) - (text "IN4" (rect 2 55 26 67)(font "Courier New" (bold))(invisible)) - (line (pt 0 64)(pt 18 64)) - ) - (port - (pt 64 40) - (output) - (text "OUT" (rect 48 31 72 43)(font "Courier New" (bold))(invisible)) - (text "OUT" (rect 48 31 72 43)(font "Courier New" (bold))(invisible)) - (line (pt 46 40)(pt 64 40)) - ) - (drawing - (line (pt 18 28)(pt 34 28)) - (line (pt 18 52)(pt 18 28)) - (line (pt 18 67)(pt 18 13)) - (line (pt 34 53)(pt 18 53)) - (arc (pt 34 52)(pt 34 28)(rect 22 28 47 53)) - ) -) -(symbol - (rect 640 792 704 872) - (text "AND4" (rect 1 0 30 10)(font "Arial" (font_size 6))) - (text "inst6" (rect 3 69 32 81)(font "Arial" )) - (port - (pt 0 16) - (input) - (text "IN1" (rect 2 7 26 19)(font "Courier New" (bold))(invisible)) - (text "IN1" (rect 2 7 26 19)(font "Courier New" (bold))(invisible)) - (line (pt 0 16)(pt 18 16)) - ) - (port - (pt 0 32) - (input) - (text "IN2" (rect 2 23 25 35)(font "Courier New" (bold))(invisible)) - (text "IN2" (rect 2 23 25 35)(font "Courier New" (bold))(invisible)) - (line (pt 0 32)(pt 18 32)) - ) - (port - (pt 0 48) - (input) - (text "IN3" (rect 2 39 26 51)(font "Courier New" (bold))(invisible)) - (text "IN3" (rect 2 39 26 51)(font "Courier New" (bold))(invisible)) - (line (pt 0 48)(pt 18 48)) - ) - (port - (pt 0 64) - (input) - (text "IN4" (rect 2 55 26 67)(font "Courier New" (bold))(invisible)) - (text "IN4" (rect 2 55 26 67)(font "Courier New" (bold))(invisible)) - (line (pt 0 64)(pt 18 64)) - ) - (port - (pt 64 40) - (output) - (text "OUT" (rect 48 31 72 43)(font "Courier New" (bold))(invisible)) - (text "OUT" (rect 48 31 72 43)(font "Courier New" (bold))(invisible)) - (line (pt 46 40)(pt 64 40)) - ) - (drawing - (line (pt 18 28)(pt 34 28)) - (line (pt 18 52)(pt 18 28)) - (line (pt 18 67)(pt 18 13)) - (line (pt 34 53)(pt 18 53)) - (arc (pt 34 52)(pt 34 28)(rect 22 28 47 53)) - ) -) -(symbol - (rect 640 880 704 960) - (text "AND4" (rect 1 0 30 10)(font "Arial" (font_size 6))) - (text "inst7" (rect 3 69 32 81)(font "Arial" )) - (port - (pt 0 16) - (input) - (text "IN1" (rect 2 7 26 19)(font "Courier New" (bold))(invisible)) - (text "IN1" (rect 2 7 26 19)(font "Courier New" (bold))(invisible)) - (line (pt 0 16)(pt 18 16)) - ) - (port - (pt 0 32) - (input) - (text "IN2" (rect 2 23 25 35)(font "Courier New" (bold))(invisible)) - (text "IN2" (rect 2 23 25 35)(font "Courier New" (bold))(invisible)) - (line (pt 0 32)(pt 18 32)) - ) - (port - (pt 0 48) - (input) - (text "IN3" (rect 2 39 26 51)(font "Courier New" (bold))(invisible)) - (text "IN3" (rect 2 39 26 51)(font "Courier New" (bold))(invisible)) - (line (pt 0 48)(pt 18 48)) - ) - (port - (pt 0 64) - (input) - (text "IN4" (rect 2 55 26 67)(font "Courier New" (bold))(invisible)) - (text "IN4" (rect 2 55 26 67)(font "Courier New" (bold))(invisible)) - (line (pt 0 64)(pt 18 64)) - ) - (port - (pt 64 40) - (output) - (text "OUT" (rect 48 31 72 43)(font "Courier New" (bold))(invisible)) - (text "OUT" (rect 48 31 72 43)(font "Courier New" (bold))(invisible)) - (line (pt 46 40)(pt 64 40)) - ) - (drawing - (line (pt 18 28)(pt 34 28)) - (line (pt 18 52)(pt 18 28)) - (line (pt 18 67)(pt 18 13)) - (line (pt 34 53)(pt 18 53)) - (arc (pt 34 52)(pt 34 28)(rect 22 28 47 53)) - ) -) -(symbol - (rect 640 968 704 1048) - (text "AND4" (rect 1 0 30 10)(font "Arial" (font_size 6))) - (text "inst8" (rect 3 69 32 81)(font "Arial" )) - (port - (pt 0 16) - (input) - (text "IN1" (rect 2 7 26 19)(font "Courier New" (bold))(invisible)) - (text "IN1" (rect 2 7 26 19)(font "Courier New" (bold))(invisible)) - (line (pt 0 16)(pt 18 16)) - ) - (port - (pt 0 32) - (input) - (text "IN2" (rect 2 23 25 35)(font "Courier New" (bold))(invisible)) - (text "IN2" (rect 2 23 25 35)(font "Courier New" (bold))(invisible)) - (line (pt 0 32)(pt 18 32)) - ) - (port - (pt 0 48) - (input) - (text "IN3" (rect 2 39 26 51)(font "Courier New" (bold))(invisible)) - (text "IN3" (rect 2 39 26 51)(font "Courier New" (bold))(invisible)) - (line (pt 0 48)(pt 18 48)) - ) - (port - (pt 0 64) - (input) - (text "IN4" (rect 2 55 26 67)(font "Courier New" (bold))(invisible)) - (text "IN4" (rect 2 55 26 67)(font "Courier New" (bold))(invisible)) - (line (pt 0 64)(pt 18 64)) - ) - (port - (pt 64 40) - (output) - (text "OUT" (rect 48 31 72 43)(font "Courier New" (bold))(invisible)) - (text "OUT" (rect 48 31 72 43)(font "Courier New" (bold))(invisible)) - (line (pt 46 40)(pt 64 40)) - ) - (drawing - (line (pt 18 28)(pt 34 28)) - (line (pt 18 52)(pt 18 28)) - (line (pt 18 67)(pt 18 13)) - (line (pt 34 53)(pt 18 53)) - (arc (pt 34 52)(pt 34 28)(rect 22 28 47 53)) - ) -) -(symbol - (rect 640 1056 704 1136) - (text "AND4" (rect 1 0 30 10)(font "Arial" (font_size 6))) - (text "inst9" (rect 3 69 32 81)(font "Arial" )) - (port - (pt 0 16) - (input) - (text "IN1" (rect 2 7 26 19)(font "Courier New" (bold))(invisible)) - (text "IN1" (rect 2 7 26 19)(font "Courier New" (bold))(invisible)) - (line (pt 0 16)(pt 18 16)) - ) - (port - (pt 0 32) - (input) - (text "IN2" (rect 2 23 25 35)(font "Courier New" (bold))(invisible)) - (text "IN2" (rect 2 23 25 35)(font "Courier New" (bold))(invisible)) - (line (pt 0 32)(pt 18 32)) - ) - (port - (pt 0 48) - (input) - (text "IN3" (rect 2 39 26 51)(font "Courier New" (bold))(invisible)) - (text "IN3" (rect 2 39 26 51)(font "Courier New" (bold))(invisible)) - (line (pt 0 48)(pt 18 48)) - ) - (port - (pt 0 64) - (input) - (text "IN4" (rect 2 55 26 67)(font "Courier New" (bold))(invisible)) - (text "IN4" (rect 2 55 26 67)(font "Courier New" (bold))(invisible)) - (line (pt 0 64)(pt 18 64)) - ) - (port - (pt 64 40) - (output) - (text "OUT" (rect 48 31 72 43)(font "Courier New" (bold))(invisible)) - (text "OUT" (rect 48 31 72 43)(font "Courier New" (bold))(invisible)) - (line (pt 46 40)(pt 64 40)) - ) - (drawing - (line (pt 18 28)(pt 34 28)) - (line (pt 18 52)(pt 18 28)) - (line (pt 18 67)(pt 18 13)) - (line (pt 34 53)(pt 18 53)) - (arc (pt 34 52)(pt 34 28)(rect 22 28 47 53)) - ) -) -(symbol - (rect 320 24 368 56) - (text "NOT" (rect 1 0 24 10)(font "Arial" (font_size 6))) - (text "inst10" (rect 3 21 39 33)(font "Arial" )) - (port - (pt 0 16) - (input) - (text "IN" (rect 2 7 18 19)(font "Courier New" (bold))(invisible)) - (text "IN" (rect 2 7 18 19)(font "Courier New" (bold))(invisible)) - (line (pt 0 16)(pt 13 16)) - ) - (port - (pt 48 16) - (output) - (text "OUT" (rect 32 7 56 19)(font "Courier New" (bold))(invisible)) - (text "OUT" (rect 32 7 56 19)(font "Courier New" (bold))(invisible)) - (line (pt 39 16)(pt 48 16)) - ) - (drawing - (line (pt 13 25)(pt 13 7)) - (line (pt 13 7)(pt 31 16)) - (line (pt 13 25)(pt 31 16)) - (circle (rect 31 12 39 20)) - ) -) -(symbol - (rect 320 88 368 120) - (text "NOT" (rect 1 0 24 10)(font "Arial" (font_size 6))) - (text "inst11" (rect 3 21 39 33)(font "Arial" )) - (port - (pt 0 16) - (input) - (text "IN" (rect 2 7 18 19)(font "Courier New" (bold))(invisible)) - (text "IN" (rect 2 7 18 19)(font "Courier New" (bold))(invisible)) - (line (pt 0 16)(pt 13 16)) - ) - (port - (pt 48 16) - (output) - (text "OUT" (rect 32 7 56 19)(font "Courier New" (bold))(invisible)) - (text "OUT" (rect 32 7 56 19)(font "Courier New" (bold))(invisible)) - (line (pt 39 16)(pt 48 16)) - ) - (drawing - (line (pt 13 25)(pt 13 7)) - (line (pt 13 7)(pt 31 16)) - (line (pt 13 25)(pt 31 16)) - (circle (rect 31 12 39 20)) - ) -) -(symbol - (rect 320 152 368 184) - (text "NOT" (rect 1 0 24 10)(font "Arial" (font_size 6))) - (text "inst12" (rect 3 21 39 33)(font "Arial" )) - (port - (pt 0 16) - (input) - (text "IN" (rect 2 7 18 19)(font "Courier New" (bold))(invisible)) - (text "IN" (rect 2 7 18 19)(font "Courier New" (bold))(invisible)) - (line (pt 0 16)(pt 13 16)) - ) - (port - (pt 48 16) - (output) - (text "OUT" (rect 32 7 56 19)(font "Courier New" (bold))(invisible)) - (text "OUT" (rect 32 7 56 19)(font "Courier New" (bold))(invisible)) - (line (pt 39 16)(pt 48 16)) - ) - (drawing - (line (pt 13 25)(pt 13 7)) - (line (pt 13 7)(pt 31 16)) - (line (pt 13 25)(pt 31 16)) - (circle (rect 31 12 39 20)) - ) -) -(symbol - (rect 320 216 368 248) - (text "NOT" (rect 1 0 24 10)(font "Arial" (font_size 6))) - (text "inst13" (rect 3 21 39 33)(font "Arial" )) - (port - (pt 0 16) - (input) - (text "IN" (rect 2 7 18 19)(font "Courier New" (bold))(invisible)) - (text "IN" (rect 2 7 18 19)(font "Courier New" (bold))(invisible)) - (line (pt 0 16)(pt 13 16)) - ) - (port - (pt 48 16) - (output) - (text "OUT" (rect 32 7 56 19)(font "Courier New" (bold))(invisible)) - (text "OUT" (rect 32 7 56 19)(font "Courier New" (bold))(invisible)) - (line (pt 39 16)(pt 48 16)) - ) - (drawing - (line (pt 13 25)(pt 13 7)) - (line (pt 13 7)(pt 31 16)) - (line (pt 13 25)(pt 31 16)) - (circle (rect 31 12 39 20)) - ) -) -(connector - (pt 320 104) - (pt 304 104) -) -(connector - (pt 304 40) - (pt 320 40) -) -(connector - (pt 304 64) - (pt 304 40) -) -(connector - (pt 320 168) - (pt 304 168) -) -(connector - (pt 304 168) - (pt 304 192) -) -(connector - (pt 320 232) - (pt 304 232) -) -(connector - (pt 304 232) - (pt 304 256) -) -(connector - (pt 288 64) - (pt 304 64) -) -(connector - (pt 304 64) - (pt 416 64) -) -(connector - (pt 288 192) - (pt 304 192) -) -(connector - (pt 304 192) - (pt 464 192) -) -(connector - (pt 288 256) - (pt 304 256) -) -(connector - (pt 304 256) - (pt 488 256) -) -(connector - (pt 416 32) - (pt 416 64) -) -(connector - (pt 440 32) - (pt 440 128) -) -(connector - (pt 464 32) - (pt 464 192) -) -(connector - (pt 488 32) - (pt 488 256) -) -(connector - (pt 368 40) - (pt 528 40) -) -(connector - (pt 368 104) - (pt 552 104) -) -(connector - (pt 368 168) - (pt 576 168) -) -(connector - (pt 368 232) - (pt 600 232) -) -(connector - (pt 528 32) - (pt 528 40) -) -(connector - (pt 552 32) - (pt 552 104) -) -(connector - (pt 576 32) - (pt 576 168) -) -(connector - (pt 600 32) - (pt 600 232) -) -(connector - (pt 528 40) - (pt 528 280) -) -(connector - (pt 552 104) - (pt 552 296) -) -(connector - (pt 576 168) - (pt 576 312) -) -(connector - (pt 600 232) - (pt 600 328) -) -(connector - (pt 528 280) - (pt 640 280) -) -(connector - (pt 552 296) - (pt 640 296) -) -(connector - (pt 576 312) - (pt 640 312) -) -(connector - (pt 600 328) - (pt 640 328) -) -(connector - (pt 640 384) - (pt 552 384) -) -(connector - (pt 552 296) - (pt 552 384) -) -(connector - (pt 640 400) - (pt 576 400) -) -(connector - (pt 576 312) - (pt 576 400) -) -(connector - (pt 640 456) - (pt 528 456) -) -(connector - (pt 640 504) - (pt 600 504) -) -(connector - (pt 640 632) - (pt 528 632) -) -(connector - (pt 600 680) - (pt 640 680) -) -(connector - (pt 640 808) - (pt 528 808) -) -(connector - (pt 440 824) - (pt 640 824) -) -(connector - (pt 640 840) - (pt 464 840) -) -(connector - (pt 640 856) - (pt 600 856) -) -(connector - (pt 640 912) - (pt 440 912) -) -(connector - (pt 440 824) - (pt 440 912) -) -(connector - (pt 440 912) - (pt 440 1136) -) -(connector - (pt 640 1000) - (pt 552 1000) -) -(connector - (pt 576 1016) - (pt 640 1016) -) -(connector - (pt 640 1072) - (pt 416 1072) -) -(connector - (pt 416 1072) - (pt 416 1136) -) -(connector - (pt 640 1120) - (pt 488 1120) -) -(connector - (pt 488 1120) - (pt 488 1136) -) -(connector - (pt 640 1088) - (pt 552 1088) -) -(connector - (pt 552 1000) - (pt 552 1088) -) -(connector - (pt 552 1088) - (pt 552 1136) -) -(connector - (pt 640 1104) - (pt 576 1104) -) -(connector - (pt 576 1016) - (pt 576 1104) -) -(connector - (pt 576 1104) - (pt 576 1136) -) -(connector - (pt 304 104) - (pt 304 128) -) -(connector - (pt 288 128) - (pt 304 128) -) -(connector - (pt 304 128) - (pt 440 128) -) -(connector - (pt 640 416) - (pt 600 416) -) -(connector - (pt 600 328) - (pt 600 416) -) -(connector - (pt 600 416) - (pt 600 504) -) -(connector - (pt 528 280) - (pt 528 456) -) -(connector - (pt 640 368) - (pt 416 368) -) -(connector - (pt 416 64) - (pt 416 368) -) -(connector - (pt 640 488) - (pt 576 488) -) -(connector - (pt 576 400) - (pt 576 488) -) -(connector - (pt 640 472) - (pt 440 472) -) -(connector - (pt 440 128) - (pt 440 472) -) -(connector - (pt 528 456) - (pt 528 632) -) -(connector - (pt 640 544) - (pt 416 544) -) -(connector - (pt 416 368) - (pt 416 544) -) -(connector - (pt 640 560) - (pt 440 560) -) -(connector - (pt 440 472) - (pt 440 560) -) -(connector - (pt 640 576) - (pt 576 576) -) -(connector - (pt 576 488) - (pt 576 576) -) -(connector - (pt 640 592) - (pt 600 592) -) -(connector - (pt 600 504) - (pt 600 592) -) -(connector - (pt 600 592) - (pt 600 680) -) -(connector - (pt 528 632) - (pt 528 808) -) -(connector - (pt 640 720) - (pt 416 720) -) -(connector - (pt 416 544) - (pt 416 720) -) -(connector - (pt 640 736) - (pt 552 736) -) -(connector - (pt 552 736) - (pt 552 1000) -) -(connector - (pt 640 752) - (pt 464 752) -) -(connector - (pt 464 752) - (pt 464 840) -) -(connector - (pt 640 768) - (pt 600 768) -) -(connector - (pt 600 680) - (pt 600 768) -) -(connector - (pt 600 768) - (pt 600 856) -) -(connector - (pt 640 928) - (pt 464 928) -) -(connector - (pt 464 840) - (pt 464 928) -) -(connector - (pt 464 928) - (pt 464 1136) -) -(connector - (pt 600 944) - (pt 640 944) -) -(connector - (pt 600 856) - (pt 600 944) -) -(connector - (pt 640 896) - (pt 416 896) -) -(connector - (pt 416 720) - (pt 416 896) -) -(connector - (pt 600 944) - (pt 600 1136) -) -(connector - (pt 640 1032) - (pt 488 1032) -) -(connector - (pt 488 256) - (pt 488 1032) -) -(connector - (pt 488 1032) - (pt 488 1120) -) -(connector - (pt 416 896) - (pt 416 1072) -) -(connector - (pt 640 984) - (pt 528 984) -) -(connector - (pt 528 808) - (pt 528 984) -) -(connector - (pt 528 984) - (pt 528 1136) -) -(connector - (pt 440 560) - (pt 440 824) -) -(connector - (pt 640 648) - (pt 552 648) -) -(connector - (pt 552 384) - (pt 552 648) -) -(connector - (pt 552 648) - (pt 552 736) -) -(connector - (pt 576 576) - (pt 576 1016) -) -(connector - (pt 640 664) - (pt 464 664) -) -(connector - (pt 464 192) - (pt 464 664) -) -(connector - (pt 464 664) - (pt 464 752) -) -(junction (pt 304 64)) -(junction (pt 304 192)) -(junction (pt 304 256)) -(junction (pt 416 64)) -(junction (pt 440 128)) -(junction (pt 464 192)) -(junction (pt 488 256)) -(junction (pt 528 40)) -(junction (pt 552 104)) -(junction (pt 576 168)) -(junction (pt 600 232)) -(junction (pt 528 280)) -(junction (pt 552 296)) -(junction (pt 576 312)) -(junction (pt 600 328)) -(junction (pt 552 384)) -(junction (pt 576 400)) -(junction (pt 528 456)) -(junction (pt 600 504)) -(junction (pt 528 632)) -(junction (pt 600 680)) -(junction (pt 528 808)) -(junction (pt 440 824)) -(junction (pt 464 840)) -(junction (pt 600 856)) -(junction (pt 440 912)) -(junction (pt 464 928)) -(junction (pt 552 1000)) -(junction (pt 576 1016)) -(junction (pt 416 1072)) -(junction (pt 488 1120)) -(junction (pt 552 1088)) -(junction (pt 576 1104)) -(junction (pt 304 128)) -(junction (pt 600 416)) -(junction (pt 416 368)) -(junction (pt 576 488)) -(junction (pt 440 472)) -(junction (pt 416 544)) -(junction (pt 440 560)) -(junction (pt 576 576)) -(junction (pt 600 592)) -(junction (pt 416 720)) -(junction (pt 552 736)) -(junction (pt 464 752)) -(junction (pt 600 768)) -(junction (pt 600 944)) -(junction (pt 416 896)) -(junction (pt 488 1032)) -(junction (pt 528 984)) -(junction (pt 552 648)) -(junction (pt 464 664)) -(text "1" (rect 448 0 457 14)(font "Arial" (font_size 8))) -(text "0" (rect 560 0 569 14)(font "Arial" (font_size 8))) diff --git a/CH6/CH6-1/BCD_to_decimal_decoder.qpf b/CH6/CH6-1/BCD_to_decimal_decoder.qpf deleted file mode 100644 index 883b35a1..00000000 --- a/CH6/CH6-1/BCD_to_decimal_decoder.qpf +++ /dev/null @@ -1,30 +0,0 @@ -# -------------------------------------------------------------------------- # -# -# Copyright (C) 1991-2013 Altera Corporation -# Your use of Altera Corporation's design tools, logic functions -# and other software and tools, and its AMPP partner logic -# functions, and any output files from any of the foregoing -# (including device programming or simulation files), and any -# associated documentation or information are expressly subject -# to the terms and conditions of the Altera Program License -# Subscription Agreement, Altera MegaCore Function License -# Agreement, or other applicable license agreement, including, -# without limitation, that your use is for the sole purpose of -# programming logic devices manufactured by Altera and sold by -# Altera or its authorized distributors. Please refer to the -# applicable agreement for further details. -# -# -------------------------------------------------------------------------- # -# -# Quartus II 32-bit -# Version 13.1.0 Build 162 10/23/2013 SJ Web Edition -# Date created = 21:53:56 October 17, 2019 -# -# -------------------------------------------------------------------------- # - -QUARTUS_VERSION = "13.1" -DATE = "21:53:56 October 17, 2019" - -# Revisions - -PROJECT_REVISION = "BCD_to_decimal_decoder" diff --git a/CH6/CH6-1/BCD_to_decimal_decoder.qsf b/CH6/CH6-1/BCD_to_decimal_decoder.qsf deleted file mode 100644 index 6e874912..00000000 --- a/CH6/CH6-1/BCD_to_decimal_decoder.qsf +++ /dev/null @@ -1,59 +0,0 @@ -# -------------------------------------------------------------------------- # -# -# Copyright (C) 1991-2013 Altera Corporation -# Your use of Altera Corporation's design tools, logic functions -# and other software and tools, and its AMPP partner logic -# functions, and any output files from any of the foregoing -# (including device programming or simulation files), and any -# associated documentation or information are expressly subject -# to the terms and conditions of the Altera Program License -# Subscription Agreement, Altera MegaCore Function License -# Agreement, or other applicable license agreement, including, -# without limitation, that your use is for the sole purpose of -# programming logic devices manufactured by Altera and sold by -# Altera or its authorized distributors. Please refer to the -# applicable agreement for further details. -# -# -------------------------------------------------------------------------- # -# -# Quartus II 32-bit -# Version 13.1.0 Build 162 10/23/2013 SJ Web Edition -# Date created = 21:53:56 October 17, 2019 -# -# -------------------------------------------------------------------------- # -# -# Notes: -# -# 1) The default values for assignments are stored in the file: -# BCD_to_decimal_decoder_assignment_defaults.qdf -# If this file doesn't exist, see file: -# assignment_defaults.qdf -# -# 2) Altera recommends that you do not modify this file. This -# file is updated automatically by the Quartus II software -# and any changes you make may be lost or overwritten. -# -# -------------------------------------------------------------------------- # - - -set_global_assignment -name FAMILY "Cyclone III" -set_global_assignment -name DEVICE EP3C16F484C6 -set_global_assignment -name TOP_LEVEL_ENTITY BCD_to_decimal_decoder -set_global_assignment -name ORIGINAL_QUARTUS_VERSION 13.1 -set_global_assignment -name PROJECT_CREATION_TIME_DATE "21:53:56 OCTOBER 17, 2019" -set_global_assignment -name LAST_QUARTUS_VERSION 13.1 -set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files -set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 -set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 -set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1 -set_global_assignment -name NOMINAL_CORE_SUPPLY_VOLTAGE 1.2V -set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (Verilog)" -set_global_assignment -name EDA_OUTPUT_DATA_FORMAT "VERILOG HDL" -section_id eda_simulation -set_global_assignment -name BDF_FILE BCD_to_decimal_decoder.bdf -set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top -set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top -set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top -set_global_assignment -name VECTOR_WAVEFORM_FILE BCD_to_decimal_decoder.vwf -set_global_assignment -name EDA_NETLIST_WRITER_OUTPUT_DIR "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/simulation/qsim/" -section_id eda_simulation -set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST ON -section_id eda_simulation -set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/CH6/CH6-1/BCD_to_decimal_decoder.vwf b/CH6/CH6-1/BCD_to_decimal_decoder.vwf deleted file mode 100644 index 7baad12e..00000000 --- a/CH6/CH6-1/BCD_to_decimal_decoder.vwf +++ /dev/null @@ -1,458 +0,0 @@ -/* -WARNING: Do NOT edit the input and output ports in this file in a text -editor if you plan to continue editing the block that represents it in -the Block Editor! File corruption is VERY likely to occur. -*/ - -/* -Copyright (C) 1991-2013 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. -*/ - -HEADER -{ - VERSION = 1; - TIME_UNIT = ns; - DATA_OFFSET = 0.0; - DATA_DURATION = 10000.0; - SIMULATION_TIME = 0.0; - GRID_PHASE = 0.0; - GRID_PERIOD = 1000.0; - GRID_DUTY_CYCLE = 50; -} - -SIGNAL("A") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("B") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("C") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("D") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("Y0") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -SIGNAL("Y1") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -SIGNAL("Y2") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -SIGNAL("Y3") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -SIGNAL("Y4") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -SIGNAL("Y5") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -SIGNAL("Y6") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -SIGNAL("Y7") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -SIGNAL("Y8") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -SIGNAL("Y9") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -TRANSITION_LIST("A") -{ - NODE - { - REPEAT = 1; - LEVEL 0 FOR 1000.0; - LEVEL 1 FOR 1000.0; - LEVEL 0 FOR 1000.0; - LEVEL 1 FOR 1000.0; - LEVEL 0 FOR 1000.0; - LEVEL 1 FOR 1000.0; - LEVEL 0 FOR 1000.0; - LEVEL 1 FOR 1000.0; - LEVEL 0 FOR 1000.0; - LEVEL 1 FOR 1000.0; - } -} - -TRANSITION_LIST("B") -{ - NODE - { - REPEAT = 1; - LEVEL 0 FOR 2000.0; - LEVEL 1 FOR 2000.0; - LEVEL 0 FOR 2000.0; - LEVEL 1 FOR 2000.0; - LEVEL 0 FOR 2000.0; - } -} - -TRANSITION_LIST("C") -{ - NODE - { - REPEAT = 1; - LEVEL 0 FOR 4000.0; - LEVEL 1 FOR 4000.0; - LEVEL 0 FOR 2000.0; - } -} - -TRANSITION_LIST("D") -{ - NODE - { - REPEAT = 1; - LEVEL 0 FOR 8000.0; - LEVEL 1 FOR 2000.0; - } -} - -TRANSITION_LIST("Y0") -{ - NODE - { - REPEAT = 1; - LEVEL X FOR 999.0; - LEVEL 0 FOR 9001.0; - } -} - -TRANSITION_LIST("Y1") -{ - NODE - { - REPEAT = 1; - LEVEL X FOR 999.0; - LEVEL 0 FOR 9001.0; - } -} - -TRANSITION_LIST("Y2") -{ - NODE - { - REPEAT = 1; - LEVEL X FOR 999.0; - LEVEL 0 FOR 9001.0; - } -} - -TRANSITION_LIST("Y3") -{ - NODE - { - REPEAT = 1; - LEVEL X FOR 999.0; - LEVEL 0 FOR 9001.0; - } -} - -TRANSITION_LIST("Y4") -{ - NODE - { - REPEAT = 1; - LEVEL X FOR 999.0; - LEVEL 0 FOR 9001.0; - } -} - -TRANSITION_LIST("Y5") -{ - NODE - { - REPEAT = 1; - LEVEL X FOR 999.0; - LEVEL 0 FOR 9001.0; - } -} - -TRANSITION_LIST("Y6") -{ - NODE - { - REPEAT = 1; - LEVEL X FOR 999.0; - LEVEL 0 FOR 9001.0; - } -} - -TRANSITION_LIST("Y7") -{ - NODE - { - REPEAT = 1; - LEVEL X FOR 999.0; - LEVEL 0 FOR 9001.0; - } -} - -TRANSITION_LIST("Y8") -{ - NODE - { - REPEAT = 1; - LEVEL X FOR 999.0; - LEVEL 0 FOR 9001.0; - } -} - -TRANSITION_LIST("Y9") -{ - NODE - { - REPEAT = 1; - LEVEL X FOR 999.0; - LEVEL 0 FOR 9001.0; - } -} - -DISPLAY_LINE -{ - CHANNEL = "A"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 0; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "B"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 1; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "C"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 2; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "D"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 3; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y0"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 4; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y1"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 5; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y2"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 6; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y3"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 7; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y4"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 8; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y5"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 9; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y6"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 10; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y7"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 11; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y8"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 12; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y9"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 13; - TREE_LEVEL = 0; -} - -TIME_BAR -{ - TIME = 0; - MASTER = TRUE; -} -; diff --git a/CH6/CH6-1/Ten_line_to_four_line_BCD_encoder.bdf b/CH6/CH6-1/Ten_line_to_four_line_BCD_encoder.bdf deleted file mode 100644 index ee3417bb..00000000 --- a/CH6/CH6-1/Ten_line_to_four_line_BCD_encoder.bdf +++ /dev/null @@ -1,621 +0,0 @@ -/* -WARNING: Do NOT edit the input and output ports in this file in a text -editor if you plan to continue editing the block that represents it in -the Block Editor! File corruption is VERY likely to occur. -*/ -/* -Copyright (C) 1991-2013 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. -*/ -(header "graphic" (version "1.4")) -(pin - (input) - (rect 104 104 272 120) - (text "INPUT" (rect 125 0 154 9)(font "Arial" (font_size 6))) - (text "I2" (rect 5 0 15 10)(font "Arial" )) - (pt 168 8) - (drawing - (line (pt 84 12)(pt 109 12)) - (line (pt 84 4)(pt 109 4)) - (line (pt 113 8)(pt 168 8)) - (line (pt 84 12)(pt 84 4)) - (line (pt 109 4)(pt 113 8)) - (line (pt 109 12)(pt 113 8)) - ) - (text "VCC" (rect 128 7 149 16)(font "Arial" (font_size 6))) - (annotation_block (location)(rect 48 120 104 136)) -) -(pin - (input) - (rect 104 144 272 160) - (text "INPUT" (rect 125 0 154 9)(font "Arial" (font_size 6))) - (text "I3" (rect 5 0 15 10)(font "Arial" )) - (pt 168 8) - (drawing - (line (pt 84 12)(pt 109 12)) - (line (pt 84 4)(pt 109 4)) - (line (pt 113 8)(pt 168 8)) - (line (pt 84 12)(pt 84 4)) - (line (pt 109 4)(pt 113 8)) - (line (pt 109 12)(pt 113 8)) - ) - (text "VCC" (rect 128 7 149 16)(font "Arial" (font_size 6))) - (annotation_block (location)(rect 48 160 104 176)) -) -(pin - (input) - (rect 104 184 272 200) - (text "INPUT" (rect 125 0 154 9)(font "Arial" (font_size 6))) - (text "I4" (rect 5 0 15 10)(font "Arial" )) - (pt 168 8) - (drawing - (line (pt 84 12)(pt 109 12)) - (line (pt 84 4)(pt 109 4)) - (line (pt 113 8)(pt 168 8)) - (line (pt 84 12)(pt 84 4)) - (line (pt 109 4)(pt 113 8)) - (line (pt 109 12)(pt 113 8)) - ) - (text "VCC" (rect 128 7 149 16)(font "Arial" (font_size 6))) - (annotation_block (location)(rect 48 200 104 216)) -) -(pin - (input) - (rect 104 224 272 240) - (text "INPUT" (rect 125 0 154 9)(font "Arial" (font_size 6))) - (text "I5" (rect 5 0 15 10)(font "Arial" )) - (pt 168 8) - (drawing - (line (pt 84 12)(pt 109 12)) - (line (pt 84 4)(pt 109 4)) - (line (pt 113 8)(pt 168 8)) - (line (pt 84 12)(pt 84 4)) - (line (pt 109 4)(pt 113 8)) - (line (pt 109 12)(pt 113 8)) - ) - (text "VCC" (rect 128 7 149 16)(font "Arial" (font_size 6))) - (annotation_block (location)(rect 48 240 104 256)) -) -(pin - (input) - (rect 104 264 272 280) - (text "INPUT" (rect 125 0 154 9)(font "Arial" (font_size 6))) - (text "I6" (rect 5 0 15 10)(font "Arial" )) - (pt 168 8) - (drawing - (line (pt 84 12)(pt 109 12)) - (line (pt 84 4)(pt 109 4)) - (line (pt 113 8)(pt 168 8)) - (line (pt 84 12)(pt 84 4)) - (line (pt 109 4)(pt 113 8)) - (line (pt 109 12)(pt 113 8)) - ) - (text "VCC" (rect 128 7 149 16)(font "Arial" (font_size 6))) - (annotation_block (location)(rect 48 280 104 296)) -) -(pin - (input) - (rect 104 304 272 320) - (text "INPUT" (rect 125 0 154 9)(font "Arial" (font_size 6))) - (text "I7" (rect 5 0 15 10)(font "Arial" )) - (pt 168 8) - (drawing - (line (pt 84 12)(pt 109 12)) - (line (pt 84 4)(pt 109 4)) - (line (pt 113 8)(pt 168 8)) - (line (pt 84 12)(pt 84 4)) - (line (pt 109 4)(pt 113 8)) - (line (pt 109 12)(pt 113 8)) - ) - (text "VCC" (rect 128 7 149 16)(font "Arial" (font_size 6))) - (annotation_block (location)(rect 48 320 104 336)) -) -(pin - (input) - (rect 104 344 272 360) - (text "INPUT" (rect 125 0 154 9)(font "Arial" (font_size 6))) - (text "I8" (rect 5 0 15 10)(font "Arial" )) - (pt 168 8) - (drawing - (line (pt 84 12)(pt 109 12)) - (line (pt 84 4)(pt 109 4)) - (line (pt 113 8)(pt 168 8)) - (line (pt 84 12)(pt 84 4)) - (line (pt 109 4)(pt 113 8)) - (line (pt 109 12)(pt 113 8)) - ) - (text "VCC" (rect 128 7 149 16)(font "Arial" (font_size 6))) - (annotation_block (location)(rect 48 360 104 376)) -) -(pin - (input) - (rect 104 384 272 400) - (text "INPUT" (rect 125 0 154 9)(font "Arial" (font_size 6))) - (text "I9" (rect 5 0 15 10)(font "Arial" )) - (pt 168 8) - (drawing - (line (pt 84 12)(pt 109 12)) - (line (pt 84 4)(pt 109 4)) - (line (pt 113 8)(pt 168 8)) - (line (pt 84 12)(pt 84 4)) - (line (pt 109 4)(pt 113 8)) - (line (pt 109 12)(pt 113 8)) - ) - (text "VCC" (rect 128 7 149 16)(font "Arial" (font_size 6))) - (annotation_block (location)(rect 48 400 104 416)) -) -(pin - (input) - (rect 104 64 272 80) - (text "INPUT" (rect 125 0 154 9)(font "Arial" (font_size 6))) - (text "I1" (rect 5 0 15 10)(font "Arial" )) - (pt 168 8) - (drawing - (line (pt 84 12)(pt 109 12)) - (line (pt 84 4)(pt 109 4)) - (line (pt 113 8)(pt 168 8)) - (line (pt 84 12)(pt 84 4)) - (line (pt 109 4)(pt 113 8)) - (line (pt 109 12)(pt 113 8)) - ) - (text "VCC" (rect 128 7 149 16)(font "Arial" (font_size 6))) - (annotation_block (location)(rect 48 80 104 96)) -) -(pin - (input) - (rect 104 16 272 32) - (text "INPUT" (rect 125 0 154 9)(font "Arial" (font_size 6))) - (text "I0" (rect 5 0 15 10)(font "Arial" )) - (pt 168 8) - (drawing - (line (pt 84 12)(pt 109 12)) - (line (pt 84 4)(pt 109 4)) - (line (pt 113 8)(pt 168 8)) - (line (pt 84 12)(pt 84 4)) - (line (pt 109 4)(pt 113 8)) - (line (pt 109 12)(pt 113 8)) - ) - (text "VCC" (rect 128 7 149 16)(font "Arial" (font_size 6))) - (annotation_block (location)(rect 48 32 104 48)) -) -(pin - (output) - (rect 464 128 640 144) - (text "OUTPUT" (rect 1 0 41 9)(font "Arial" (font_size 6))) - (text "A" (rect 90 0 98 10)(font "Arial" )) - (pt 0 8) - (drawing - (line (pt 0 8)(pt 52 8)) - (line (pt 52 4)(pt 78 4)) - (line (pt 52 12)(pt 78 12)) - (line (pt 52 12)(pt 52 4)) - (line (pt 78 4)(pt 82 8)) - (line (pt 82 8)(pt 78 12)) - (line (pt 78 12)(pt 82 8)) - ) - (annotation_block (location)(rect 640 144 696 160)) -) -(pin - (output) - (rect 464 216 640 232) - (text "OUTPUT" (rect 1 0 41 9)(font "Arial" (font_size 6))) - (text "B" (rect 90 0 98 10)(font "Arial" )) - (pt 0 8) - (drawing - (line (pt 0 8)(pt 52 8)) - (line (pt 52 4)(pt 78 4)) - (line (pt 52 12)(pt 78 12)) - (line (pt 52 12)(pt 52 4)) - (line (pt 78 4)(pt 82 8)) - (line (pt 82 8)(pt 78 12)) - (line (pt 78 12)(pt 82 8)) - ) - (annotation_block (location)(rect 640 232 696 248)) -) -(pin - (output) - (rect 464 304 640 320) - (text "OUTPUT" (rect 1 0 41 9)(font "Arial" (font_size 6))) - (text "C" (rect 90 0 99 10)(font "Arial" )) - (pt 0 8) - (drawing - (line (pt 0 8)(pt 52 8)) - (line (pt 52 4)(pt 78 4)) - (line (pt 52 12)(pt 78 12)) - (line (pt 52 12)(pt 52 4)) - (line (pt 78 4)(pt 82 8)) - (line (pt 82 8)(pt 78 12)) - (line (pt 78 12)(pt 82 8)) - ) - (annotation_block (location)(rect 640 320 696 336)) -) -(pin - (output) - (rect 464 376 640 392) - (text "OUTPUT" (rect 1 0 41 9)(font "Arial" (font_size 6))) - (text "D" (rect 90 0 99 10)(font "Arial" )) - (pt 0 8) - (drawing - (line (pt 0 8)(pt 52 8)) - (line (pt 52 4)(pt 78 4)) - (line (pt 52 12)(pt 78 12)) - (line (pt 52 12)(pt 52 4)) - (line (pt 78 4)(pt 82 8)) - (line (pt 82 8)(pt 78 12)) - (line (pt 78 12)(pt 82 8)) - ) - (annotation_block (location)(rect 640 392 696 408)) -) -(symbol - (rect 344 184 408 264) - (text "OR4" (rect 1 0 23 9)(font "Arial" (font_size 6))) - (text "or2" (rect 3 69 19 79)(font "Arial" )) - (port - (pt 0 16) - (input) - (text "IN1" (rect 2 7 24 18)(font "Courier New" (bold))(invisible)) - (text "IN1" (rect 2 7 24 18)(font "Courier New" (bold))(invisible)) - (line (pt 0 16)(pt 13 16)) - ) - (port - (pt 0 48) - (input) - (text "IN3" (rect 2 39 23 50)(font "Courier New" (bold))(invisible)) - (text "IN3" (rect 2 39 23 50)(font "Courier New" (bold))(invisible)) - (line (pt 0 48)(pt 15 48)) - ) - (port - (pt 0 64) - (input) - (text "IN4" (rect 2 55 24 66)(font "Courier New" (bold))(invisible)) - (text "IN4" (rect 2 55 24 66)(font "Courier New" (bold))(invisible)) - (line (pt 0 64)(pt 13 64)) - ) - (port - (pt 0 32) - (input) - (text "IN2" (rect 2 23 23 34)(font "Courier New" (bold))(invisible)) - (text "IN2" (rect 2 23 23 34)(font "Courier New" (bold))(invisible)) - (line (pt 0 32)(pt 15 32)) - ) - (port - (pt 64 40) - (output) - (text "OUT" (rect 48 31 70 42)(font "Courier New" (bold))(invisible)) - (text "OUT" (rect 48 31 70 42)(font "Courier New" (bold))(invisible)) - (line (pt 48 40)(pt 64 40)) - ) - (drawing - (line (pt 13 67)(pt 13 51)) - (line (pt 25 52)(pt 13 52)) - (line (pt 13 12)(pt 13 29)) - (line (pt 13 29)(pt 25 29)) - (arc (pt 7 45)(pt 7 35)(rect -14 24 19 57)) - (arc (pt 49 40)(pt 25 29)(rect -6 29 57 92)) - (arc (pt 25 51)(pt 49 40)(rect -6 -11 57 52)) - ) -) -(symbol - (rect 344 272 408 352) - (text "OR4" (rect 1 0 23 9)(font "Arial" (font_size 6))) - (text "or3" (rect 3 69 19 79)(font "Arial" )) - (port - (pt 0 16) - (input) - (text "IN1" (rect 2 7 24 18)(font "Courier New" (bold))(invisible)) - (text "IN1" (rect 2 7 24 18)(font "Courier New" (bold))(invisible)) - (line (pt 0 16)(pt 13 16)) - ) - (port - (pt 0 48) - (input) - (text "IN3" (rect 2 39 23 50)(font "Courier New" (bold))(invisible)) - (text "IN3" (rect 2 39 23 50)(font "Courier New" (bold))(invisible)) - (line (pt 0 48)(pt 15 48)) - ) - (port - (pt 0 64) - (input) - (text "IN4" (rect 2 55 24 66)(font "Courier New" (bold))(invisible)) - (text "IN4" (rect 2 55 24 66)(font "Courier New" (bold))(invisible)) - (line (pt 0 64)(pt 13 64)) - ) - (port - (pt 0 32) - (input) - (text "IN2" (rect 2 23 23 34)(font "Courier New" (bold))(invisible)) - (text "IN2" (rect 2 23 23 34)(font "Courier New" (bold))(invisible)) - (line (pt 0 32)(pt 15 32)) - ) - (port - (pt 64 40) - (output) - (text "OUT" (rect 48 31 70 42)(font "Courier New" (bold))(invisible)) - (text "OUT" (rect 48 31 70 42)(font "Courier New" (bold))(invisible)) - (line (pt 48 40)(pt 64 40)) - ) - (drawing - (line (pt 13 67)(pt 13 51)) - (line (pt 25 52)(pt 13 52)) - (line (pt 13 12)(pt 13 29)) - (line (pt 13 29)(pt 25 29)) - (arc (pt 7 45)(pt 7 35)(rect -14 24 19 57)) - (arc (pt 49 40)(pt 25 29)(rect -6 29 57 92)) - (arc (pt 25 51)(pt 49 40)(rect -6 -11 57 52)) - ) -) -(symbol - (rect 344 360 408 408) - (text "OR2" (rect 1 0 23 9)(font "Arial" (font_size 6))) - (text "or4" (rect 3 37 19 47)(font "Arial" )) - (port - (pt 0 32) - (input) - (text "IN2" (rect 2 23 23 34)(font "Courier New" (bold))(invisible)) - (text "IN2" (rect 2 23 23 34)(font "Courier New" (bold))(invisible)) - (line (pt 0 32)(pt 15 32)) - ) - (port - (pt 0 16) - (input) - (text "IN1" (rect 2 7 24 18)(font "Courier New" (bold))(invisible)) - (text "IN1" (rect 2 7 24 18)(font "Courier New" (bold))(invisible)) - (line (pt 0 16)(pt 15 16)) - ) - (port - (pt 64 24) - (output) - (text "OUT" (rect 48 15 70 26)(font "Courier New" (bold))(invisible)) - (text "OUT" (rect 48 15 70 26)(font "Courier New" (bold))(invisible)) - (line (pt 48 24)(pt 64 24)) - ) - (drawing - (line (pt 14 36)(pt 25 36)) - (line (pt 14 13)(pt 25 13)) - (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)) - (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)) - (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)) - ) -) -(symbol - (rect 344 64 448 176) - (text "OR5" (rect 39 -1 68 14)(font "Courier New" (font_size 10)(bold))) - (text "or1" (rect 3 101 19 111)(font "Arial" )) - (port - (pt 0 24) - (input) - (text "IN1" (rect 20 20 42 31)(font "Courier New" (bold))) - (text "IN1" (rect 20 20 42 31)(font "Courier New" (bold))) - (line (pt 0 24)(pt 16 24)) - ) - (port - (pt 0 56) - (input) - (text "IN3" (rect 20 52 41 63)(font "Courier New" (bold))) - (text "IN3" (rect 20 52 41 63)(font "Courier New" (bold))) - (line (pt 0 56)(pt 16 56)) - ) - (port - (pt 0 40) - (input) - (text "IN2" (rect 20 36 41 47)(font "Courier New" (bold))) - (text "IN2" (rect 20 36 41 47)(font "Courier New" (bold))) - (line (pt 0 40)(pt 16 40)) - ) - (port - (pt 0 88) - (input) - (text "IN5" (rect 20 84 41 95)(font "Courier New" (bold))) - (text "IN5" (rect 20 84 41 95)(font "Courier New" (bold))) - (line (pt 0 88)(pt 16 88)) - ) - (port - (pt 0 72) - (input) - (text "IN4" (rect 20 68 42 79)(font "Courier New" (bold))) - (text "IN4" (rect 20 68 42 79)(font "Courier New" (bold))) - (line (pt 0 72)(pt 16 72)) - ) - (port - (pt 104 72) - (output) - (text "OUT" (rect 71 68 93 79)(font "Courier New" (bold))) - (text "OUT" (rect 71 68 93 79)(font "Courier New" (bold))) - (line (pt 88 72)(pt 104 72)) - ) - (drawing - (line (pt 16 96)(pt 88 96)) - (line (pt 16 16)(pt 88 16)) - (line (pt 16 96)(pt 16 16)) - (line (pt 88 96)(pt 88 16)) - ) -) -(connector - (pt 272 352) - (pt 320 352) -) -(connector - (pt 320 352) - (pt 320 376) -) -(connector - (pt 320 376) - (pt 344 376) -) -(connector - (pt 272 192) - (pt 312 192) -) -(connector - (pt 312 192) - (pt 312 288) -) -(connector - (pt 272 232) - (pt 304 232) -) -(connector - (pt 272 312) - (pt 288 312) -) -(connector - (pt 288 336) - (pt 344 336) -) -(connector - (pt 296 320) - (pt 344 320) -) -(connector - (pt 304 304) - (pt 344 304) -) -(connector - (pt 312 288) - (pt 344 288) -) -(connector - (pt 272 112) - (pt 328 112) -) -(connector - (pt 328 112) - (pt 328 200) -) -(connector - (pt 344 200) - (pt 328 200) -) -(connector - (pt 272 152) - (pt 320 152) -) -(connector - (pt 320 216) - (pt 344 216) -) -(connector - (pt 296 272) - (pt 296 320) -) -(connector - (pt 344 232) - (pt 320 232) -) -(connector - (pt 320 272) - (pt 320 232) -) -(connector - (pt 272 272) - (pt 296 272) -) -(connector - (pt 296 272) - (pt 320 272) -) -(connector - (pt 344 248) - (pt 288 248) -) -(connector - (pt 288 312) - (pt 288 336) -) -(connector - (pt 272 72) - (pt 336 72) -) -(connector - (pt 336 72) - (pt 336 88) -) -(connector - (pt 336 88) - (pt 344 88) -) -(connector - (pt 320 104) - (pt 320 152) -) -(connector - (pt 320 152) - (pt 320 216) -) -(connector - (pt 320 104) - (pt 344 104) -) -(connector - (pt 304 120) - (pt 344 120) -) -(connector - (pt 304 120) - (pt 304 232) -) -(connector - (pt 304 232) - (pt 304 304) -) -(connector - (pt 288 136) - (pt 288 248) -) -(connector - (pt 288 248) - (pt 288 312) -) -(connector - (pt 288 136) - (pt 344 136) -) -(connector - (pt 344 152) - (pt 336 152) -) -(connector - (pt 336 152) - (pt 336 392) -) -(connector - (pt 272 392) - (pt 336 392) -) -(connector - (pt 336 392) - (pt 344 392) -) -(connector - (pt 448 136) - (pt 464 136) -) -(connector - (pt 408 224) - (pt 464 224) -) -(connector - (pt 408 312) - (pt 464 312) -) -(connector - (pt 408 384) - (pt 464 384) -) -(junction (pt 296 272)) -(junction (pt 288 312)) -(junction (pt 320 152)) -(junction (pt 304 232)) -(junction (pt 288 248)) -(junction (pt 336 392)) diff --git a/CH6/CH6-1/Ten_line_to_four_line_BCD_encoder.qpf b/CH6/CH6-1/Ten_line_to_four_line_BCD_encoder.qpf deleted file mode 100644 index 1bb62655..00000000 --- a/CH6/CH6-1/Ten_line_to_four_line_BCD_encoder.qpf +++ /dev/null @@ -1,30 +0,0 @@ -# -------------------------------------------------------------------------- # -# -# Copyright (C) 1991-2013 Altera Corporation -# Your use of Altera Corporation's design tools, logic functions -# and other software and tools, and its AMPP partner logic -# functions, and any output files from any of the foregoing -# (including device programming or simulation files), and any -# associated documentation or information are expressly subject -# to the terms and conditions of the Altera Program License -# Subscription Agreement, Altera MegaCore Function License -# Agreement, or other applicable license agreement, including, -# without limitation, that your use is for the sole purpose of -# programming logic devices manufactured by Altera and sold by -# Altera or its authorized distributors. Please refer to the -# applicable agreement for further details. -# -# -------------------------------------------------------------------------- # -# -# Quartus II 32-bit -# Version 13.1.0 Build 162 10/23/2013 SJ Web Edition -# Date created = 23:05:27 September 30, 2019 -# -# -------------------------------------------------------------------------- # - -QUARTUS_VERSION = "13.1" -DATE = "23:05:27 September 30, 2019" - -# Revisions - -PROJECT_REVISION = "Ten_line_to_four_line_BCD_encoder" diff --git a/CH6/CH6-1/Ten_line_to_four_line_BCD_encoder.qsf b/CH6/CH6-1/Ten_line_to_four_line_BCD_encoder.qsf deleted file mode 100644 index 8f9191e5..00000000 --- a/CH6/CH6-1/Ten_line_to_four_line_BCD_encoder.qsf +++ /dev/null @@ -1,72 +0,0 @@ -# -------------------------------------------------------------------------- # -# -# Copyright (C) 1991-2013 Altera Corporation -# Your use of Altera Corporation's design tools, logic functions -# and other software and tools, and its AMPP partner logic -# functions, and any output files from any of the foregoing -# (including device programming or simulation files), and any -# associated documentation or information are expressly subject -# to the terms and conditions of the Altera Program License -# Subscription Agreement, Altera MegaCore Function License -# Agreement, or other applicable license agreement, including, -# without limitation, that your use is for the sole purpose of -# programming logic devices manufactured by Altera and sold by -# Altera or its authorized distributors. Please refer to the -# applicable agreement for further details. -# -# -------------------------------------------------------------------------- # -# -# Quartus II 32-bit -# Version 13.1.0 Build 162 10/23/2013 SJ Web Edition -# Date created = 23:05:27 September 30, 2019 -# -# -------------------------------------------------------------------------- # -# -# Notes: -# -# 1) The default values for assignments are stored in the file: -# Ten_line_to_four_line_BCD_encoder_assignment_defaults.qdf -# If this file doesn't exist, see file: -# assignment_defaults.qdf -# -# 2) Altera recommends that you do not modify this file. This -# file is updated automatically by the Quartus II software -# and any changes you make may be lost or overwritten. -# -# -------------------------------------------------------------------------- # - - -set_global_assignment -name FAMILY "Cyclone III" -set_global_assignment -name DEVICE EP3C16F484C6 -set_global_assignment -name TOP_LEVEL_ENTITY Ten_line_to_four_line_BCD_encoder -set_global_assignment -name ORIGINAL_QUARTUS_VERSION 13.1 -set_global_assignment -name PROJECT_CREATION_TIME_DATE "23:05:27 SEPTEMBER 30, 2019" -set_global_assignment -name LAST_QUARTUS_VERSION 13.1 -set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files -set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 -set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 -set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1 -set_global_assignment -name NOMINAL_CORE_SUPPLY_VOLTAGE 1.2V -set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (VHDL)" -set_global_assignment -name EDA_OUTPUT_DATA_FORMAT VHDL -section_id eda_simulation -set_global_assignment -name BDF_FILE Ten_line_to_four_line_BCD_encoder.bdf -set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "2.5 V" -set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top -set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top -set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top -set_location_assignment PIN_C1 -to A -set_location_assignment PIN_C2 -to B -set_location_assignment PIN_B2 -to C -set_location_assignment PIN_B1 -to D -set_location_assignment PIN_D2 -to I0 -set_location_assignment PIN_E3 -to I2 -set_location_assignment PIN_H7 -to I3 -set_location_assignment PIN_J7 -to I4 -set_location_assignment PIN_G5 -to I5 -set_location_assignment PIN_G4 -to I6 -set_location_assignment PIN_H6 -to I7 -set_location_assignment PIN_H5 -to I8 -set_location_assignment PIN_J6 -to I9 -set_global_assignment -name CDF_FILE output_files/Chain1.cdf -set_location_assignment PIN_E4 -to I1 -set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/CH6/CH6-1/Ten_line_to_four_line_BCD_encoder_assignment_defaults.qdf b/CH6/CH6-1/Ten_line_to_four_line_BCD_encoder_assignment_defaults.qdf deleted file mode 100644 index d4b9e098..00000000 --- a/CH6/CH6-1/Ten_line_to_four_line_BCD_encoder_assignment_defaults.qdf +++ /dev/null @@ -1,728 +0,0 @@ -# -------------------------------------------------------------------------- # -# -# Copyright (C) 1991-2015 Altera Corporation. All rights reserved. -# Your use of Altera Corporation's design tools, logic functions -# and other software and tools, and its AMPP partner logic -# functions, and any output files from any of the foregoing -# (including device programming or simulation files), and any -# associated documentation or information are expressly subject -# to the terms and conditions of the Altera Program License -# Subscription Agreement, the Altera Quartus II License Agreement, -# the Altera MegaCore Function License Agreement, or other -# applicable license agreement, including, without limitation, -# that your use is for the sole purpose of programming logic -# devices manufactured by Altera and sold by Altera or its -# authorized distributors. Please refer to the applicable -# agreement for further details. -# -# -------------------------------------------------------------------------- # -# -# Quartus II 64-Bit -# Version 15.0.0 Build 145 04/22/2015 SJ Web Edition -# Date created = 19:21:34 October 03, 2019 -# -# -------------------------------------------------------------------------- # -# -# Note: -# -# 1) Do not modify this file. This file was generated -# automatically by the Quartus II software and is used -# to preserve global assignments across Quartus II versions. -# -# -------------------------------------------------------------------------- # - -set_global_assignment -name IP_COMPONENT_REPORT_HIERARCHY Off -set_global_assignment -name IP_COMPONENT_INTERNAL Off -set_global_assignment -name PROJECT_SHOW_ENTITY_NAME On -set_global_assignment -name PROJECT_USE_SIMPLIFIED_NAMES Off -set_global_assignment -name ENABLE_REDUCED_MEMORY_MODE Off -set_global_assignment -name VER_COMPATIBLE_DB_DIR export_db -set_global_assignment -name AUTO_EXPORT_VER_COMPATIBLE_DB Off -set_global_assignment -name FLOW_DISABLE_ASSEMBLER Off -set_global_assignment -name FLOW_ENABLE_POWER_ANALYZER Off -set_global_assignment -name FLOW_ENABLE_HC_COMPARE Off -set_global_assignment -name HC_OUTPUT_DIR hc_output -set_global_assignment -name SAVE_MIGRATION_INFO_DURING_COMPILATION Off -set_global_assignment -name FLOW_ENABLE_IO_ASSIGNMENT_ANALYSIS Off -set_global_assignment -name RUN_FULL_COMPILE_ON_DEVICE_CHANGE On -set_global_assignment -name FLOW_ENABLE_RTL_VIEWER Off -set_global_assignment -name READ_OR_WRITE_IN_BYTE_ADDRESS "Use global settings" -set_global_assignment -name FLOW_HARDCOPY_DESIGN_READINESS_CHECK On -set_global_assignment -name FLOW_ENABLE_PARALLEL_MODULES On -set_global_assignment -name ENABLE_COMPACT_REPORT_TABLE Off -set_global_assignment -name REVISION_TYPE Base -set_global_assignment -name DEFAULT_HOLD_MULTICYCLE "Same as Multicycle" -set_global_assignment -name CUT_OFF_PATHS_BETWEEN_CLOCK_DOMAINS On -set_global_assignment -name CUT_OFF_READ_DURING_WRITE_PATHS On -set_global_assignment -name CUT_OFF_IO_PIN_FEEDBACK On -set_global_assignment -name DO_COMBINED_ANALYSIS Off -set_global_assignment -name TDC_AGGRESSIVE_HOLD_CLOSURE_EFFORT Off -set_global_assignment -name EMIF_SOC_PHYCLK_ADVANCE_MODELING Off -set_global_assignment -name USE_DLL_FREQUENCY_FOR_DQS_DELAY_CHAIN Off -set_global_assignment -name ANALYZE_LATCHES_AS_SYNCHRONOUS_ELEMENTS On -set_global_assignment -name TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS On -set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "Arria V" -set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "MAX 10" -set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "Stratix IV" -set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "Cyclone IV E" -set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "Arria 10" -set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family "MAX V" -set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "Stratix V" -set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "Arria V GZ" -set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS Off -family "MAX II" -set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "Arria II GX" -set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "Arria II GZ" -set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "Cyclone IV GX" -set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS On -family "Cyclone V" -set_global_assignment -name TIMEQUEST_DO_REPORT_TIMING Off -set_global_assignment -name TIMEQUEST_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria V" -set_global_assignment -name TIMEQUEST_REPORT_WORST_CASE_TIMING_PATHS Off -family "MAX 10" -set_global_assignment -name TIMEQUEST_REPORT_WORST_CASE_TIMING_PATHS Off -family "Stratix IV" -set_global_assignment -name TIMEQUEST_REPORT_WORST_CASE_TIMING_PATHS On -family "Cyclone IV E" -set_global_assignment -name TIMEQUEST_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria 10" -set_global_assignment -name TIMEQUEST_REPORT_WORST_CASE_TIMING_PATHS On -family "MAX V" -set_global_assignment -name TIMEQUEST_REPORT_WORST_CASE_TIMING_PATHS Off -family "Stratix V" -set_global_assignment -name TIMEQUEST_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria V GZ" -set_global_assignment -name TIMEQUEST_REPORT_WORST_CASE_TIMING_PATHS On -family "MAX II" -set_global_assignment -name TIMEQUEST_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria II GX" -set_global_assignment -name TIMEQUEST_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria II GZ" -set_global_assignment -name TIMEQUEST_REPORT_WORST_CASE_TIMING_PATHS On -family "Cyclone IV GX" -set_global_assignment -name TIMEQUEST_REPORT_WORST_CASE_TIMING_PATHS Off -family "Cyclone V" -set_global_assignment -name TIMEQUEST_REPORT_NUM_WORST_CASE_TIMING_PATHS 100 -set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL On -family "Arria V" -set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL On -family "MAX 10" -set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL On -family "Cyclone IV E" -set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL On -family "Stratix IV" -set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL On -family "Arria 10" -set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family "MAX V" -set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL On -family "Stratix V" -set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL On -family "Arria V GZ" -set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL Off -family "MAX II" -set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL On -family "Arria II GX" -set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL On -family "Arria II GZ" -set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL On -family "Cyclone IV GX" -set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL On -family "Cyclone V" -set_global_assignment -name OPTIMIZATION_MODE Balanced -set_global_assignment -name ALLOW_REGISTER_MERGING On -set_global_assignment -name ALLOW_REGISTER_DUPLICATION On -set_global_assignment -name TIMEQUEST2 on -family "Arria 10" -set_global_assignment -name TIMEQUEST2 OFF -family "Stratix V" -set_global_assignment -name MUX_RESTRUCTURE Auto -set_global_assignment -name MLAB_ADD_TIMING_CONSTRAINTS_FOR_MIXED_PORT_FEED_THROUGH_MODE_SETTING_DONT_CARE Off -set_global_assignment -name ENABLE_IP_DEBUG Off -set_global_assignment -name SAVE_DISK_SPACE On -set_global_assignment -name DISABLE_OCP_HW_EVAL Off -set_global_assignment -name DEVICE_FILTER_PACKAGE Any -set_global_assignment -name DEVICE_FILTER_PIN_COUNT Any -set_global_assignment -name DEVICE_FILTER_SPEED_GRADE Any -set_global_assignment -name EDA_DESIGN_ENTRY_SYNTHESIS_TOOL "" -set_global_assignment -name VERILOG_INPUT_VERSION Verilog_2001 -set_global_assignment -name VHDL_INPUT_VERSION VHDL_1993 -set_global_assignment -name FAMILY -value "Cyclone V" -set_global_assignment -name TRUE_WYSIWYG_FLOW Off -set_global_assignment -name SMART_COMPILE_IGNORES_TDC_FOR_STRATIX_PLL_CHANGES Off -set_global_assignment -name STATE_MACHINE_PROCESSING Auto -set_global_assignment -name SAFE_STATE_MACHINE Off -set_global_assignment -name EXTRACT_VERILOG_STATE_MACHINES On -set_global_assignment -name EXTRACT_VHDL_STATE_MACHINES On -set_global_assignment -name IGNORE_VERILOG_INITIAL_CONSTRUCTS Off -set_global_assignment -name VERILOG_CONSTANT_LOOP_LIMIT 5000 -set_global_assignment -name VERILOG_NON_CONSTANT_LOOP_LIMIT 250 -set_global_assignment -name INFER_RAMS_FROM_RAW_LOGIC On -set_global_assignment -name PARALLEL_SYNTHESIS On -set_global_assignment -name DSP_BLOCK_BALANCING Auto -set_global_assignment -name MAX_BALANCING_DSP_BLOCKS "-1 (Unlimited)" -set_global_assignment -name NOT_GATE_PUSH_BACK On -set_global_assignment -name ALLOW_POWER_UP_DONT_CARE On -set_global_assignment -name REMOVE_REDUNDANT_LOGIC_CELLS Off -set_global_assignment -name REMOVE_DUPLICATE_REGISTERS On -set_global_assignment -name IGNORE_CARRY_BUFFERS Off -set_global_assignment -name IGNORE_CASCADE_BUFFERS Off -set_global_assignment -name IGNORE_GLOBAL_BUFFERS Off -set_global_assignment -name IGNORE_ROW_GLOBAL_BUFFERS Off -set_global_assignment -name IGNORE_LCELL_BUFFERS Off -set_global_assignment -name MAX7000_IGNORE_LCELL_BUFFERS AUTO -set_global_assignment -name IGNORE_SOFT_BUFFERS On -set_global_assignment -name MAX7000_IGNORE_SOFT_BUFFERS Off -set_global_assignment -name LIMIT_AHDL_INTEGERS_TO_32_BITS Off -set_global_assignment -name AUTO_GLOBAL_CLOCK_MAX On -set_global_assignment -name AUTO_GLOBAL_OE_MAX On -set_global_assignment -name MAX_AUTO_GLOBAL_REGISTER_CONTROLS On -set_global_assignment -name AUTO_IMPLEMENT_IN_ROM Off -set_global_assignment -name APEX20K_TECHNOLOGY_MAPPER Lut -set_global_assignment -name OPTIMIZATION_TECHNIQUE Balanced -set_global_assignment -name STRATIXII_OPTIMIZATION_TECHNIQUE Balanced -set_global_assignment -name CYCLONE_OPTIMIZATION_TECHNIQUE Balanced -set_global_assignment -name CYCLONEII_OPTIMIZATION_TECHNIQUE Balanced -set_global_assignment -name STRATIX_OPTIMIZATION_TECHNIQUE Balanced -set_global_assignment -name MAXII_OPTIMIZATION_TECHNIQUE Balanced -set_global_assignment -name MAX7000_OPTIMIZATION_TECHNIQUE Speed -set_global_assignment -name APEX20K_OPTIMIZATION_TECHNIQUE Balanced -set_global_assignment -name MERCURY_OPTIMIZATION_TECHNIQUE Area -set_global_assignment -name FLEX6K_OPTIMIZATION_TECHNIQUE Area -set_global_assignment -name FLEX10K_OPTIMIZATION_TECHNIQUE Area -set_global_assignment -name ALLOW_XOR_GATE_USAGE On -set_global_assignment -name AUTO_LCELL_INSERTION On -set_global_assignment -name CARRY_CHAIN_LENGTH 48 -set_global_assignment -name FLEX6K_CARRY_CHAIN_LENGTH 32 -set_global_assignment -name FLEX10K_CARRY_CHAIN_LENGTH 32 -set_global_assignment -name MERCURY_CARRY_CHAIN_LENGTH 48 -set_global_assignment -name STRATIX_CARRY_CHAIN_LENGTH 70 -set_global_assignment -name STRATIXII_CARRY_CHAIN_LENGTH 70 -set_global_assignment -name CASCADE_CHAIN_LENGTH 2 -set_global_assignment -name PARALLEL_EXPANDER_CHAIN_LENGTH 16 -set_global_assignment -name MAX7000_PARALLEL_EXPANDER_CHAIN_LENGTH 4 -set_global_assignment -name AUTO_CARRY_CHAINS On -set_global_assignment -name AUTO_CASCADE_CHAINS On -set_global_assignment -name AUTO_PARALLEL_EXPANDERS On -set_global_assignment -name AUTO_OPEN_DRAIN_PINS On -set_global_assignment -name ADV_NETLIST_OPT_SYNTH_WYSIWYG_REMAP Off -set_global_assignment -name AUTO_ROM_RECOGNITION On -set_global_assignment -name AUTO_RAM_RECOGNITION On -set_global_assignment -name AUTO_DSP_RECOGNITION On -set_global_assignment -name AUTO_SHIFT_REGISTER_RECOGNITION Auto -set_global_assignment -name ALLOW_SHIFT_REGISTER_MERGING_ACROSS_HIERARCHIES Auto -set_global_assignment -name AUTO_CLOCK_ENABLE_RECOGNITION On -set_global_assignment -name STRICT_RAM_RECOGNITION Off -set_global_assignment -name ALLOW_SYNCH_CTRL_USAGE On -set_global_assignment -name FORCE_SYNCH_CLEAR Off -set_global_assignment -name AUTO_RAM_BLOCK_BALANCING On -set_global_assignment -name AUTO_RAM_TO_LCELL_CONVERSION Off -set_global_assignment -name AUTO_RESOURCE_SHARING Off -set_global_assignment -name ALLOW_ANY_RAM_SIZE_FOR_RECOGNITION Off -set_global_assignment -name ALLOW_ANY_ROM_SIZE_FOR_RECOGNITION Off -set_global_assignment -name ALLOW_ANY_SHIFT_REGISTER_SIZE_FOR_RECOGNITION Off -set_global_assignment -name MAX7000_FANIN_PER_CELL 100 -set_global_assignment -name USE_LOGICLOCK_CONSTRAINTS_IN_BALANCING On -set_global_assignment -name MAX_RAM_BLOCKS_M512 "-1 (Unlimited)" -set_global_assignment -name MAX_RAM_BLOCKS_M4K "-1 (Unlimited)" -set_global_assignment -name MAX_RAM_BLOCKS_MRAM "-1 (Unlimited)" -set_global_assignment -name IGNORE_TRANSLATE_OFF_AND_SYNTHESIS_OFF Off -set_global_assignment -name STRATIXGX_BYPASS_REMAPPING_OF_FORCE_SIGNAL_DETECT_SIGNAL_THRESHOLD_SELECT Off -set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria II GZ" -set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria V" -set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "MAX 10" -set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone IV GX" -set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Stratix IV" -set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone IV E" -set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria 10" -set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Stratix V" -set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria V GZ" -set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone V" -set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria II GX" -set_global_assignment -name REPORT_PARAMETER_SETTINGS On -set_global_assignment -name REPORT_SOURCE_ASSIGNMENTS On -set_global_assignment -name REPORT_CONNECTIVITY_CHECKS On -set_global_assignment -name IGNORE_MAX_FANOUT_ASSIGNMENTS Off -set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria V" -set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "MAX 10" -set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "Cyclone IV E" -set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Stratix IV" -set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria 10" -set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "MAX V" -set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Stratix V" -set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "MAX II" -set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria V GZ" -set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria II GX" -set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria II GZ" -set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "Cyclone IV GX" -set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Cyclone V" -set_global_assignment -name OPTIMIZE_POWER_DURING_SYNTHESIS "Normal compilation" -set_global_assignment -name HDL_MESSAGE_LEVEL Level2 -set_global_assignment -name USE_HIGH_SPEED_ADDER Auto -set_global_assignment -name NUMBER_OF_REMOVED_REGISTERS_REPORTED 5000 -set_global_assignment -name NUMBER_OF_SYNTHESIS_MIGRATION_ROWS 5000 -set_global_assignment -name SYNTHESIS_S10_MIGRATION_CHECKS Off -set_global_assignment -name NUMBER_OF_SWEPT_NODES_REPORTED 5000 -set_global_assignment -name NUMBER_OF_INVERTED_REGISTERS_REPORTED 100 -set_global_assignment -name SYNTH_CLOCK_MUX_PROTECTION On -set_global_assignment -name SYNTH_GATED_CLOCK_CONVERSION Off -set_global_assignment -name BLOCK_DESIGN_NAMING Auto -set_global_assignment -name SYNTH_PROTECT_SDC_CONSTRAINT Off -set_global_assignment -name SYNTHESIS_EFFORT Auto -set_global_assignment -name SHIFT_REGISTER_RECOGNITION_ACLR_SIGNAL On -set_global_assignment -name PRE_MAPPING_RESYNTHESIS Off -set_global_assignment -name SYNTH_MESSAGE_LEVEL Medium -set_global_assignment -name DISABLE_REGISTER_MERGING_ACROSS_HIERARCHIES Auto -set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria II GZ" -set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria V" -set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "MAX 10" -set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone IV GX" -set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Stratix IV" -set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone IV E" -set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria 10" -set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Stratix V" -set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria V GZ" -set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone V" -set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria II GX" -set_global_assignment -name MAX_LABS "-1 (Unlimited)" -set_global_assignment -name RBCGEN_CRITICAL_WARNING_TO_ERROR On -set_global_assignment -name MAX_NUMBER_OF_REGISTERS_FROM_UNINFERRED_RAMS "-1 (Unlimited)" -set_global_assignment -name AUTO_PARALLEL_SYNTHESIS On -set_global_assignment -name PRPOF_ID Off -set_global_assignment -name DISABLE_DSP_NEGATE_INFERENCING Off -set_global_assignment -name FLEX10K_ENABLE_LOCK_OUTPUT Off -set_global_assignment -name AUTO_MERGE_PLLS On -set_global_assignment -name IGNORE_MODE_FOR_MERGE Off -set_global_assignment -name TXPMA_SLEW_RATE Low -set_global_assignment -name ADCE_ENABLED Auto -set_global_assignment -name ROUTER_TIMING_OPTIMIZATION_LEVEL Normal -set_global_assignment -name ROUTER_CLOCKING_TOPOLOGY_ANALYSIS Off -set_global_assignment -name PLACEMENT_EFFORT_MULTIPLIER 1.0 -set_global_assignment -name ROUTER_EFFORT_MULTIPLIER 1.0 -set_global_assignment -name FIT_ATTEMPTS_TO_SKIP 0.0 -set_global_assignment -name ECO_ALLOW_ROUTING_CHANGES Off -set_global_assignment -name DEVICE AUTO -set_global_assignment -name BASE_PIN_OUT_FILE_ON_SAMEFRAME_DEVICE Off -set_global_assignment -name ENABLE_JTAG_BST_SUPPORT Off -set_global_assignment -name MAX7000_ENABLE_JTAG_BST_SUPPORT On -set_global_assignment -name ENABLE_NCEO_OUTPUT Off -set_global_assignment -name RESERVE_NCEO_AFTER_CONFIGURATION "Use as regular IO" -set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "Use as programming pin" -set_global_assignment -name STRATIXIII_UPDATE_MODE Standard -set_global_assignment -name STRATIX_UPDATE_MODE Standard -set_global_assignment -name INTERNAL_FLASH_UPDATE_MODE "Single Image" -set_global_assignment -name CVP_MODE Off -set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -set_global_assignment -name STRATIXIII_CONFIGURATION_SCHEME "Passive Serial" -set_global_assignment -name MAX10FPGA_CONFIGURATION_SCHEME "Internal Configuration" -set_global_assignment -name CYCLONEIII_CONFIGURATION_SCHEME "Active Serial" -set_global_assignment -name STRATIXII_CONFIGURATION_SCHEME "Passive Serial" -set_global_assignment -name CYCLONEII_CONFIGURATION_SCHEME "Active Serial" -set_global_assignment -name APEX20K_CONFIGURATION_SCHEME "Passive Serial" -set_global_assignment -name STRATIX_CONFIGURATION_SCHEME "Passive Serial" -set_global_assignment -name CYCLONE_CONFIGURATION_SCHEME "Active Serial" -set_global_assignment -name MERCURY_CONFIGURATION_SCHEME "Passive Serial" -set_global_assignment -name FLEX6K_CONFIGURATION_SCHEME "Passive Serial" -set_global_assignment -name FLEX10K_CONFIGURATION_SCHEME "Passive Serial" -set_global_assignment -name APEXII_CONFIGURATION_SCHEME "Passive Serial" -set_global_assignment -name USER_START_UP_CLOCK Off -set_global_assignment -name DEVICE_INITIALIZATION_CLOCK INIT_INTOSC -set_global_assignment -name ENABLE_VREFA_PIN Off -set_global_assignment -name ENABLE_VREFB_PIN Off -set_global_assignment -name ALWAYS_ENABLE_INPUT_BUFFERS Off -set_global_assignment -name ENABLE_ASMI_FOR_FLASH_LOADER Off -set_global_assignment -name ENABLE_DEVICE_WIDE_RESET Off -set_global_assignment -name ENABLE_DEVICE_WIDE_OE Off -set_global_assignment -name RESERVE_ALL_UNUSED_PINS "As output driving ground" -set_global_assignment -name ENABLE_INIT_DONE_OUTPUT Off -set_global_assignment -name INIT_DONE_OPEN_DRAIN On -set_global_assignment -name RESERVE_NWS_NRS_NCS_CS_AFTER_CONFIGURATION "Use as regular IO" -set_global_assignment -name RESERVE_RDYNBUSY_AFTER_CONFIGURATION "Use as regular IO" -set_global_assignment -name RESERVE_DATA31_THROUGH_DATA16_AFTER_CONFIGURATION "Use as regular IO" -set_global_assignment -name RESERVE_DATA15_THROUGH_DATA8_AFTER_CONFIGURATION "Use as regular IO" -set_global_assignment -name RESERVE_DATA7_THROUGH_DATA1_AFTER_CONFIGURATION "Use as regular IO" -set_global_assignment -name RESERVE_DATA0_AFTER_CONFIGURATION "As input tri-stated" -set_global_assignment -name RESERVE_DATA1_AFTER_CONFIGURATION "As input tri-stated" -set_global_assignment -name RESERVE_DATA7_THROUGH_DATA2_AFTER_CONFIGURATION "Use as regular IO" -set_global_assignment -name RESERVE_DATA7_THROUGH_DATA5_AFTER_CONFIGURATION "Use as regular IO" -set_global_assignment -name RESERVE_FLASH_NCE_AFTER_CONFIGURATION "As input tri-stated" -set_global_assignment -name RESERVE_OTHER_AP_PINS_AFTER_CONFIGURATION "Use as regular IO" -set_global_assignment -name RESERVE_DCLK_AFTER_CONFIGURATION "Use as programming pin" -set_global_assignment -name ENABLE_CONFIGURATION_PINS On -set_global_assignment -name ENABLE_JTAG_PIN_SHARING Off -set_global_assignment -name ENABLE_NCE_PIN Off -set_global_assignment -name ENABLE_BOOT_SEL_PIN On -set_global_assignment -name CRC_ERROR_CHECKING Off -set_global_assignment -name INTERNAL_SCRUBBING Off -set_global_assignment -name PR_ERROR_OPEN_DRAIN On -set_global_assignment -name PR_READY_OPEN_DRAIN On -set_global_assignment -name ENABLE_CVP_CONFDONE Off -set_global_assignment -name CVP_CONFDONE_OPEN_DRAIN On -set_global_assignment -name ENABLE_NCONFIG_FROM_CORE On -set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria II GZ" -set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria V" -set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "MAX 10" -set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone IV GX" -set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Stratix IV" -set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone IV E" -set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria 10" -set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "MAX V" -set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Stratix V" -set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "MAX II" -set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria V GZ" -set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone V" -set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria II GX" -set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria V" -set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "MAX 10" -set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone IV E" -set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Stratix IV" -set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria 10" -set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING Off -family "MAX V" -set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Stratix V" -set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria V GZ" -set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING Off -family "MAX II" -set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria II GX" -set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria II GZ" -set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone IV GX" -set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone V" -set_global_assignment -name BLOCK_RAM_TO_MLAB_CELL_CONVERSION On -set_global_assignment -name BLOCK_RAM_AND_MLAB_EQUIVALENT_POWER_UP_CONDITIONS Auto -set_global_assignment -name BLOCK_RAM_AND_MLAB_EQUIVALENT_PAUSED_READ_CAPABILITIES Care -set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING Automatic -family "Stratix IV" -set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING Automatic -family "Arria 10" -set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING Automatic -family "Stratix V" -set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING Automatic -family "Arria V GZ" -set_global_assignment -name PROGRAMMABLE_POWER_MAXIMUM_HIGH_SPEED_FRACTION_OF_USED_LAB_TILES 1.0 -set_global_assignment -name GUARANTEE_MIN_DELAY_CORNER_IO_ZERO_HOLD_TIME On -set_global_assignment -name OPTIMIZE_POWER_DURING_FITTING "Normal compilation" -set_global_assignment -name OPTIMIZE_SSN Off -set_global_assignment -name OPTIMIZE_TIMING "Normal compilation" -set_global_assignment -name ECO_OPTIMIZE_TIMING Off -set_global_assignment -name ECO_REGENERATE_REPORT Off -set_global_assignment -name OPTIMIZE_IOC_REGISTER_PLACEMENT_FOR_TIMING Normal -set_global_assignment -name FIT_ONLY_ONE_ATTEMPT Off -set_global_assignment -name FINAL_PLACEMENT_OPTIMIZATION Automatically -set_global_assignment -name FITTER_AGGRESSIVE_ROUTABILITY_OPTIMIZATION Automatically -set_global_assignment -name SEED 1 -set_global_assignment -name SLOW_SLEW_RATE Off -set_global_assignment -name PCI_IO Off -set_global_assignment -name TURBO_BIT On -set_global_assignment -name WEAK_PULL_UP_RESISTOR Off -set_global_assignment -name ENABLE_BUS_HOLD_CIRCUITRY Off -set_global_assignment -name AUTO_GLOBAL_MEMORY_CONTROLS Off -set_global_assignment -name MIGRATION_CONSTRAIN_CORE_RESOURCES On -set_global_assignment -name QII_AUTO_PACKED_REGISTERS Auto -set_global_assignment -name AUTO_PACKED_REGISTERS_MAX Auto -set_global_assignment -name NORMAL_LCELL_INSERT On -set_global_assignment -name CARRY_OUT_PINS_LCELL_INSERT On -set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria V" -set_global_assignment -name AUTO_DELAY_CHAINS On -family "MAX 10" -set_global_assignment -name AUTO_DELAY_CHAINS On -family "Stratix IV" -set_global_assignment -name AUTO_DELAY_CHAINS On -family "Cyclone IV E" -set_global_assignment -name AUTO_DELAY_CHAINS Off -family "Arria 10" -set_global_assignment -name AUTO_DELAY_CHAINS On -family "MAX V" -set_global_assignment -name AUTO_DELAY_CHAINS On -family "Stratix V" -set_global_assignment -name AUTO_DELAY_CHAINS On -family "MAX II" -set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria V GZ" -set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria II GX" -set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria II GZ" -set_global_assignment -name AUTO_DELAY_CHAINS On -family "Cyclone IV GX" -set_global_assignment -name AUTO_DELAY_CHAINS On -family "Cyclone V" -set_global_assignment -name AUTO_DELAY_CHAINS_FOR_HIGH_FANOUT_INPUT_PINS OFF -set_global_assignment -name XSTL_INPUT_ALLOW_SE_BUFFER Off -set_global_assignment -name TREAT_BIDIR_AS_OUTPUT Off -set_global_assignment -name AUTO_TURBO_BIT ON -set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC_FOR_AREA Off -set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC Off -set_global_assignment -name PHYSICAL_SYNTHESIS_LOG_FILE Off -set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION Off -set_global_assignment -name PHYSICAL_SYNTHESIS_MAP_LOGIC_TO_MEMORY_FOR_AREA Off -set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_RETIMING Off -set_global_assignment -name PHYSICAL_SYNTHESIS_ASYNCHRONOUS_SIGNAL_PIPELINING Off -set_global_assignment -name IO_PLACEMENT_OPTIMIZATION On -set_global_assignment -name ALLOW_LVTTL_LVCMOS_INPUT_LEVELS_TO_OVERDRIVE_INPUT_BUFFER Off -set_global_assignment -name OVERRIDE_DEFAULT_ELECTROMIGRATION_PARAMETERS Off -set_global_assignment -name FITTER_EFFORT "Auto Fit" -set_global_assignment -name FITTER_AUTO_EFFORT_DESIRED_SLACK_MARGIN 0ns -set_global_assignment -name PHYSICAL_SYNTHESIS_EFFORT Normal -set_global_assignment -name ROUTER_LCELL_INSERTION_AND_LOGIC_DUPLICATION Auto -set_global_assignment -name ROUTER_REGISTER_DUPLICATION Auto -set_global_assignment -name STRATIXGX_ALLOW_CLOCK_FANOUT_WITH_ANALOG_RESET Off -set_global_assignment -name AUTO_GLOBAL_CLOCK On -set_global_assignment -name AUTO_GLOBAL_OE On -set_global_assignment -name AUTO_GLOBAL_REGISTER_CONTROLS On -set_global_assignment -name FITTER_EARLY_TIMING_ESTIMATE_MODE Realistic -set_global_assignment -name STRATIXGX_ALLOW_GIGE_UNDER_FULL_DATARATE_RANGE Off -set_global_assignment -name STRATIXGX_ALLOW_RX_CORECLK_FROM_NON_RX_CLKOUT_SOURCE_IN_DOUBLE_DATA_WIDTH_MODE Off -set_global_assignment -name STRATIXGX_ALLOW_GIGE_IN_DOUBLE_DATA_WIDTH_MODE Off -set_global_assignment -name STRATIXGX_ALLOW_PARALLEL_LOOPBACK_IN_DOUBLE_DATA_WIDTH_MODE Off -set_global_assignment -name STRATIXGX_ALLOW_XAUI_IN_SINGLE_DATA_WIDTH_MODE Off -set_global_assignment -name STRATIXGX_ALLOW_XAUI_WITH_CORECLK_SELECTED_AT_RATE_MATCHER Off -set_global_assignment -name STRATIXGX_ALLOW_XAUI_WITH_RX_CORECLK_FROM_NON_TXPLL_SOURCE Off -set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITH_CORECLK_SELECTED_AT_RATE_MATCHER Off -set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITHOUT_8B10B Off -set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITH_RX_CORECLK_FROM_NON_TXPLL_SOURCE Off -set_global_assignment -name STRATIXGX_ALLOW_POST8B10B_LOOPBACK Off -set_global_assignment -name STRATIXGX_ALLOW_REVERSE_PARALLEL_LOOPBACK Off -set_global_assignment -name STRATIXGX_ALLOW_USE_OF_GXB_COUPLED_IOS Off -set_global_assignment -name GENERATE_GXB_RECONFIG_MIF Off -set_global_assignment -name GENERATE_GXB_RECONFIG_MIF_WITH_PLL Off -set_global_assignment -name RESERVE_ALL_UNUSED_PINS_WEAK_PULLUP "As input tri-stated with weak pull-up" -set_global_assignment -name ENABLE_HOLD_BACK_OFF On -set_global_assignment -name CONFIGURATION_VCCIO_LEVEL Auto -set_global_assignment -name FORCE_CONFIGURATION_VCCIO Off -set_global_assignment -name SYNCHRONIZER_IDENTIFICATION Off -set_global_assignment -name ENABLE_BENEFICIAL_SKEW_OPTIMIZATION On -set_global_assignment -name OPTIMIZE_FOR_METASTABILITY On -set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Arria V" -set_global_assignment -name CRC_ERROR_OPEN_DRAIN Off -family "MAX 10" -set_global_assignment -name CRC_ERROR_OPEN_DRAIN Off -family "Cyclone IV E" -set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Arria 10" -set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Stratix V" -set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Arria V GZ" -set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Cyclone V" -set_global_assignment -name MAX_GLOBAL_CLOCKS_ALLOWED "-1 (Unlimited)" -set_global_assignment -name MAX_REGIONAL_CLOCKS_ALLOWED "-1 (Unlimited)" -set_global_assignment -name MAX_PERIPHERY_CLOCKS_ALLOWED "-1 (Unlimited)" -set_global_assignment -name MAX_CLOCKS_ALLOWED "-1 (Unlimited)" -set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Arria 10" -set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Arria V" -set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Stratix V" -set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_40MHz -family "Cyclone IV GX" -set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Arria V GZ" -set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Cyclone V" -set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_40MHz -family "Arria II GX" -set_global_assignment -name M144K_BLOCK_READ_CLOCK_DUTY_CYCLE_DEPENDENCY Off -set_global_assignment -name STRATIXIII_MRAM_COMPATIBILITY On -set_global_assignment -name FORCE_FITTER_TO_AVOID_PERIPHERY_PLACEMENT_WARNINGS Off -set_global_assignment -name AUTO_C3_M9K_BIT_SKIP Off -set_global_assignment -name PR_DONE_OPEN_DRAIN On -set_global_assignment -name NCEO_OPEN_DRAIN On -set_global_assignment -name ENABLE_CRC_ERROR_PIN Off -set_global_assignment -name ENABLE_PR_PINS Off -set_global_assignment -name PR_PINS_OPEN_DRAIN Off -set_global_assignment -name CLAMPING_DIODE Off -set_global_assignment -name TRI_STATE_SPI_PINS Off -set_global_assignment -name UNUSED_TSD_PINS_GND Off -set_global_assignment -name IMPLEMENT_MLAB_IN_16_BIT_DEEP_MODE Off -set_global_assignment -name FORM_DDR_CLUSTERING_CLIQUE Off -set_global_assignment -name ALM_REGISTER_PACKING_EFFORT Medium -set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Arria V" -set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION Off -family "Stratix IV" -set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Arria 10" -set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Stratix V" -set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Arria V GZ" -set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Cyclone V" -set_global_assignment -name EDA_SIMULATION_TOOL "" -set_global_assignment -name EDA_TIMING_ANALYSIS_TOOL "" -set_global_assignment -name EDA_BOARD_DESIGN_TIMING_TOOL "" -set_global_assignment -name EDA_BOARD_DESIGN_SYMBOL_TOOL "" -set_global_assignment -name EDA_BOARD_DESIGN_SIGNAL_INTEGRITY_TOOL "" -set_global_assignment -name EDA_BOARD_DESIGN_BOUNDARY_SCAN_TOOL "" -set_global_assignment -name EDA_BOARD_DESIGN_TOOL "" -set_global_assignment -name EDA_FORMAL_VERIFICATION_TOOL "" -set_global_assignment -name EDA_RESYNTHESIS_TOOL "" -set_global_assignment -name ON_CHIP_BITSTREAM_DECOMPRESSION On -set_global_assignment -name COMPRESSION_MODE Off -set_global_assignment -name CLOCK_SOURCE Internal -set_global_assignment -name CONFIGURATION_CLOCK_FREQUENCY "10 MHz" -set_global_assignment -name CONFIGURATION_CLOCK_DIVISOR 1 -set_global_assignment -name ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE On -set_global_assignment -name FLEX6K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE Off -set_global_assignment -name FLEX10K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE On -set_global_assignment -name MAX7000S_JTAG_USER_CODE FFFF -set_global_assignment -name STRATIX_JTAG_USER_CODE FFFFFFFF -set_global_assignment -name APEX20K_JTAG_USER_CODE FFFFFFFF -set_global_assignment -name MERCURY_JTAG_USER_CODE FFFFFFFF -set_global_assignment -name FLEX10K_JTAG_USER_CODE 7F -set_global_assignment -name MAX7000_JTAG_USER_CODE FFFFFFFF -set_global_assignment -name MAX7000_USE_CHECKSUM_AS_USERCODE Off -set_global_assignment -name USE_CHECKSUM_AS_USERCODE On -set_global_assignment -name SECURITY_BIT Off -set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "MAX 10" -set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Cyclone IV E" -set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Stratix IV" -set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "MAX V" -set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "MAX II" -set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Arria II GX" -set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Arria II GZ" -set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Cyclone IV GX" -set_global_assignment -name CYCLONEIII_CONFIGURATION_DEVICE Auto -set_global_assignment -name STRATIXII_CONFIGURATION_DEVICE Auto -set_global_assignment -name APEX20K_CONFIGURATION_DEVICE Auto -set_global_assignment -name MERCURY_CONFIGURATION_DEVICE Auto -set_global_assignment -name FLEX6K_CONFIGURATION_DEVICE Auto -set_global_assignment -name FLEX10K_CONFIGURATION_DEVICE Auto -set_global_assignment -name CYCLONE_CONFIGURATION_DEVICE Auto -set_global_assignment -name STRATIX_CONFIGURATION_DEVICE Auto -set_global_assignment -name APEX20K_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF -set_global_assignment -name STRATIX_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF -set_global_assignment -name MERCURY_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF -set_global_assignment -name FLEX10K_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF -set_global_assignment -name EPROM_USE_CHECKSUM_AS_USERCODE Off -set_global_assignment -name AUTO_INCREMENT_CONFIG_DEVICE_JTAG_USER_CODE On -set_global_assignment -name DISABLE_NCS_AND_OE_PULLUPS_ON_CONFIG_DEVICE Off -set_global_assignment -name GENERATE_TTF_FILE Off -set_global_assignment -name GENERATE_RBF_FILE Off -set_global_assignment -name GENERATE_HEX_FILE Off -set_global_assignment -name HEXOUT_FILE_START_ADDRESS 0 -set_global_assignment -name HEXOUT_FILE_COUNT_DIRECTION Up -set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "As output driving an unspecified signal" -set_global_assignment -name RELEASE_CLEARS_BEFORE_TRI_STATES Off -set_global_assignment -name AUTO_RESTART_CONFIGURATION On -set_global_assignment -name HARDCOPYII_POWER_ON_EXTRA_DELAY Off -set_global_assignment -name STRATIXII_MRAM_COMPATIBILITY Off -set_global_assignment -name CYCLONEII_M4K_COMPATIBILITY On -set_global_assignment -name ENABLE_OCT_DONE Off -family "Arria V" -set_global_assignment -name ENABLE_OCT_DONE On -family "MAX 10" -set_global_assignment -name ENABLE_OCT_DONE Off -family "Cyclone IV E" -set_global_assignment -name ENABLE_OCT_DONE Off -family "Arria 10" -set_global_assignment -name ENABLE_OCT_DONE Off -family "Stratix V" -set_global_assignment -name ENABLE_OCT_DONE Off -family "Arria V GZ" -set_global_assignment -name ENABLE_OCT_DONE Off -family "Arria II GX" -set_global_assignment -name ENABLE_OCT_DONE Off -family "Cyclone IV GX" -set_global_assignment -name ENABLE_OCT_DONE Off -family "Cyclone V" -set_global_assignment -name USE_CHECKERED_PATTERN_AS_UNINITIALIZED_RAM_CONTENT OFF -set_global_assignment -name ARRIAIIGX_RX_CDR_LOCKUP_FIX_OVERRIDE Off -set_global_assignment -name ENABLE_AUTONOMOUS_PCIE_HIP Off -set_global_assignment -name ENABLE_ADV_SEU_DETECTION Off -set_global_assignment -name POR_SCHEME "Instant ON" -set_global_assignment -name EN_USER_IO_WEAK_PULLUP On -set_global_assignment -name EN_SPI_IO_WEAK_PULLUP On -set_global_assignment -name POF_VERIFY_PROTECT Off -set_global_assignment -name ENABLE_SPI_MODE_CHECK Off -set_global_assignment -name FORCE_SSMCLK_TO_ISMCLK On -set_global_assignment -name FALLBACK_TO_EXTERNAL_FLASH Off -set_global_assignment -name EXTERNAL_FLASH_FALLBACK_ADDRESS 0 -set_global_assignment -name START_TIME 0ns -set_global_assignment -name SIMULATION_MODE TIMING -set_global_assignment -name AUTO_USE_SIMULATION_PDB_NETLIST Off -set_global_assignment -name ADD_DEFAULT_PINS_TO_SIMULATION_OUTPUT_WAVEFORMS On -set_global_assignment -name SETUP_HOLD_DETECTION Off -set_global_assignment -name SETUP_HOLD_DETECTION_INPUT_REGISTERS_BIDIR_PINS_DISABLED Off -set_global_assignment -name CHECK_OUTPUTS Off -set_global_assignment -name SIMULATION_COVERAGE On -set_global_assignment -name SIMULATION_COMPLETE_COVERAGE_REPORT_PANEL On -set_global_assignment -name SIMULATION_MISSING_1_VALUE_COVERAGE_REPORT_PANEL On -set_global_assignment -name SIMULATION_MISSING_0_VALUE_COVERAGE_REPORT_PANEL On -set_global_assignment -name GLITCH_DETECTION Off -set_global_assignment -name GLITCH_INTERVAL 1ns -set_global_assignment -name SIMULATOR_GENERATE_SIGNAL_ACTIVITY_FILE Off -set_global_assignment -name SIMULATION_WITH_GLITCH_FILTERING_WHEN_GENERATING_SAF On -set_global_assignment -name SIMULATION_BUS_CHANNEL_GROUPING Off -set_global_assignment -name SIMULATION_VDB_RESULT_FLUSH On -set_global_assignment -name VECTOR_COMPARE_TRIGGER_MODE INPUT_EDGE -set_global_assignment -name SIMULATION_NETLIST_VIEWER Off -set_global_assignment -name SIMULATION_INTERCONNECT_DELAY_MODEL_TYPE TRANSPORT -set_global_assignment -name SIMULATION_CELL_DELAY_MODEL_TYPE TRANSPORT -set_global_assignment -name SIMULATOR_GENERATE_POWERPLAY_VCD_FILE Off -set_global_assignment -name SIMULATOR_PVT_TIMING_MODEL_TYPE AUTO -set_global_assignment -name SIMULATION_WITH_AUTO_GLITCH_FILTERING AUTO -set_global_assignment -name DRC_TOP_FANOUT 50 -set_global_assignment -name DRC_FANOUT_EXCEEDING 30 -set_global_assignment -name DRC_GATED_CLOCK_FEED 30 -set_global_assignment -name HARDCOPY_FLOW_AUTOMATION MIGRATION_ONLY -set_global_assignment -name ENABLE_DRC_SETTINGS Off -set_global_assignment -name CLK_RULE_CLKNET_CLKSPINES_THRESHOLD 25 -set_global_assignment -name DRC_DETAIL_MESSAGE_LIMIT 10 -set_global_assignment -name DRC_VIOLATION_MESSAGE_LIMIT 30 -set_global_assignment -name DRC_DEADLOCK_STATE_LIMIT 2 -set_global_assignment -name MERGE_HEX_FILE Off -set_global_assignment -name GENERATE_SVF_FILE Off -set_global_assignment -name GENERATE_ISC_FILE Off -set_global_assignment -name GENERATE_JAM_FILE Off -set_global_assignment -name GENERATE_JBC_FILE Off -set_global_assignment -name GENERATE_JBC_FILE_COMPRESSED On -set_global_assignment -name GENERATE_CONFIG_SVF_FILE Off -set_global_assignment -name GENERATE_CONFIG_ISC_FILE Off -set_global_assignment -name GENERATE_CONFIG_JAM_FILE Off -set_global_assignment -name GENERATE_CONFIG_JBC_FILE Off -set_global_assignment -name GENERATE_CONFIG_JBC_FILE_COMPRESSED On -set_global_assignment -name GENERATE_CONFIG_HEXOUT_FILE Off -set_global_assignment -name ISP_CLAMP_STATE_DEFAULT "Tri-state" -set_global_assignment -name HPS_EARLY_IO_RELEASE Off -set_global_assignment -name SIGNALPROBE_ALLOW_OVERUSE Off -set_global_assignment -name SIGNALPROBE_DURING_NORMAL_COMPILATION Off -set_global_assignment -name POWER_DEFAULT_TOGGLE_RATE 12.5% -set_global_assignment -name POWER_DEFAULT_INPUT_IO_TOGGLE_RATE 12.5% -set_global_assignment -name POWER_USE_PVA On -set_global_assignment -name POWER_USE_INPUT_FILE "No File" -set_global_assignment -name POWER_USE_INPUT_FILES Off -set_global_assignment -name POWER_VCD_FILTER_GLITCHES On -set_global_assignment -name POWER_REPORT_SIGNAL_ACTIVITY Off -set_global_assignment -name POWER_REPORT_POWER_DISSIPATION Off -set_global_assignment -name POWER_USE_DEVICE_CHARACTERISTICS TYPICAL -set_global_assignment -name POWER_AUTO_COMPUTE_TJ On -set_global_assignment -name POWER_TJ_VALUE 25 -set_global_assignment -name POWER_USE_TA_VALUE 25 -set_global_assignment -name POWER_USE_CUSTOM_COOLING_SOLUTION Off -set_global_assignment -name POWER_BOARD_TEMPERATURE 25 -set_global_assignment -name POWER_HPS_ENABLE Off -set_global_assignment -name POWER_HPS_PROC_FREQ 0.0 -set_global_assignment -name ENABLE_SMART_VOLTAGE_ID Off -set_global_assignment -name IGNORE_PARTITIONS Off -set_global_assignment -name AUTO_EXPORT_INCREMENTAL_COMPILATION Off -set_global_assignment -name RAPID_RECOMPILE_ASSIGNMENT_CHECKING On -set_global_assignment -name OUTPUT_IO_TIMING_ENDPOINT "Near End" -set_global_assignment -name RTLV_REMOVE_FANOUT_FREE_REGISTERS On -set_global_assignment -name RTLV_SIMPLIFIED_LOGIC On -set_global_assignment -name RTLV_GROUP_RELATED_NODES On -set_global_assignment -name RTLV_GROUP_COMB_LOGIC_IN_CLOUD Off -set_global_assignment -name RTLV_GROUP_COMB_LOGIC_IN_CLOUD_TMV Off -set_global_assignment -name RTLV_GROUP_RELATED_NODES_TMV On -set_global_assignment -name EQC_CONSTANT_DFF_DETECTION On -set_global_assignment -name EQC_DUPLICATE_DFF_DETECTION On -set_global_assignment -name EQC_BBOX_MERGE On -set_global_assignment -name EQC_LVDS_MERGE On -set_global_assignment -name EQC_RAM_UNMERGING On -set_global_assignment -name EQC_DFF_SS_EMULATION On -set_global_assignment -name EQC_RAM_REGISTER_UNPACK On -set_global_assignment -name EQC_MAC_REGISTER_UNPACK On -set_global_assignment -name EQC_SET_PARTITION_BB_TO_VCC_GND On -set_global_assignment -name EQC_STRUCTURE_MATCHING On -set_global_assignment -name EQC_AUTO_BREAK_CONE On -set_global_assignment -name EQC_POWER_UP_COMPARE Off -set_global_assignment -name EQC_AUTO_COMP_LOOP_CUT On -set_global_assignment -name EQC_AUTO_INVERSION On -set_global_assignment -name EQC_AUTO_TERMINATE On -set_global_assignment -name EQC_SUB_CONE_REPORT Off -set_global_assignment -name EQC_RENAMING_RULES On -set_global_assignment -name EQC_PARAMETER_CHECK On -set_global_assignment -name EQC_AUTO_PORTSWAP On -set_global_assignment -name EQC_DETECT_DONT_CARES On -set_global_assignment -name EQC_SHOW_ALL_MAPPED_POINTS Off -set_global_assignment -name EDA_INPUT_GND_NAME GND -section_id ? -set_global_assignment -name EDA_INPUT_VCC_NAME VCC -section_id ? -set_global_assignment -name EDA_INPUT_DATA_FORMAT NONE -section_id ? -set_global_assignment -name EDA_SHOW_LMF_MAPPING_MESSAGES Off -section_id ? -set_global_assignment -name EDA_RUN_TOOL_AUTOMATICALLY Off -section_id ? -set_global_assignment -name RESYNTHESIS_RETIMING FULL -section_id ? -set_global_assignment -name RESYNTHESIS_OPTIMIZATION_EFFORT Normal -section_id ? -set_global_assignment -name RESYNTHESIS_PHYSICAL_SYNTHESIS Normal -section_id ? -set_global_assignment -name USE_GENERATED_PHYSICAL_CONSTRAINTS On -section_id ? -set_global_assignment -name VCCPD_VOLTAGE 3.3V -section_id ? -set_global_assignment -name EDA_USER_COMPILED_SIMULATION_LIBRARY_DIRECTORY "" -section_id ? -set_global_assignment -name EDA_LAUNCH_CMD_LINE_TOOL Off -section_id ? -set_global_assignment -name EDA_ENABLE_IPUTF_MODE On -section_id ? -set_global_assignment -name EDA_NATIVELINK_PORTABLE_FILE_PATHS Off -section_id ? -set_global_assignment -name EDA_NATIVELINK_GENERATE_SCRIPT_ONLY Off -section_id ? -set_global_assignment -name EDA_WAIT_FOR_GUI_TOOL_COMPLETION Off -section_id ? -set_global_assignment -name EDA_TRUNCATE_LONG_HIERARCHY_PATHS Off -section_id ? -set_global_assignment -name EDA_FLATTEN_BUSES Off -section_id ? -set_global_assignment -name EDA_MAP_ILLEGAL_CHARACTERS Off -section_id ? -set_global_assignment -name EDA_GENERATE_TIMING_CLOSURE_DATA Off -section_id ? -set_global_assignment -name EDA_GENERATE_POWER_INPUT_FILE Off -section_id ? -set_global_assignment -name EDA_TEST_BENCH_ENABLE_STATUS NOT_USED -section_id ? -set_global_assignment -name EDA_RTL_SIM_MODE NOT_USED -section_id ? -set_global_assignment -name EDA_MAINTAIN_DESIGN_HIERARCHY OFF -section_id ? -set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST Off -section_id ? -set_global_assignment -name EDA_WRITE_DEVICE_CONTROL_PORTS Off -section_id ? -set_global_assignment -name EDA_SIMULATION_VCD_OUTPUT_TCL_FILE Off -section_id ? -set_global_assignment -name EDA_SIMULATION_VCD_OUTPUT_SIGNALS_TO_TCL_FILE "All Except Combinational Logic Element Outputs" -section_id ? -set_global_assignment -name EDA_ENABLE_GLITCH_FILTERING Off -section_id ? -set_global_assignment -name EDA_WRITE_NODES_FOR_POWER_ESTIMATION OFF -section_id ? -set_global_assignment -name EDA_SETUP_HOLD_DETECTION_INPUT_REGISTERS_BIDIR_PINS_DISABLED Off -section_id ? -set_global_assignment -name EDA_WRITER_DONT_WRITE_TOP_ENTITY Off -section_id ? -set_global_assignment -name EDA_VHDL_ARCH_NAME structure -section_id ? -set_global_assignment -name EDA_IBIS_MODEL_SELECTOR Off -section_id ? -set_global_assignment -name EDA_IBIS_MUTUAL_COUPLING Off -section_id ? -set_global_assignment -name EDA_FORMAL_VERIFICATION_ALLOW_RETIMING Off -section_id ? -set_global_assignment -name EDA_BOARD_BOUNDARY_SCAN_OPERATION PRE_CONFIG -section_id ? -set_global_assignment -name EDA_GENERATE_RTL_SIMULATION_COMMAND_SCRIPT Off -section_id ? -set_global_assignment -name EDA_GENERATE_GATE_LEVEL_SIMULATION_COMMAND_SCRIPT Off -section_id ? -set_global_assignment -name EDA_IBIS_SPECIFICATION_VERSION 4p1 -section_id ? -set_global_assignment -name SIM_VECTOR_COMPARED_CLOCK_OFFSET 0ns -section_id ? -set_global_assignment -name SIM_VECTOR_COMPARED_CLOCK_DUTY_CYCLE 50 -section_id ? -set_global_assignment -name APEX20K_CLIQUE_TYPE LAB -section_id ? -entity ? -set_global_assignment -name MAX7K_CLIQUE_TYPE LAB -section_id ? -entity ? -set_global_assignment -name MERCURY_CLIQUE_TYPE LAB -section_id ? -entity ? -set_global_assignment -name FLEX6K_CLIQUE_TYPE LAB -section_id ? -entity ? -set_global_assignment -name FLEX10K_CLIQUE_TYPE LAB -section_id ? -entity ? -set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES On -section_id ? -entity ? -set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES Off -section_id ? -entity ? -set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST Off -section_id ? -entity ? -set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS On -section_id ? -entity ? -set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -section_id ? -entity ? -set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -section_id ? -entity ? -set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS On -section_id ? -entity ? -set_global_assignment -name ALLOW_MULTIPLE_PERSONAS Off -section_id ? -entity ? -set_global_assignment -name PARTITION_ASD_REGION_ID 1 -section_id ? -entity ? -set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS Off -section_id ? -entity ? -set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS On -section_id ? -entity ? -set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS On -section_id ? -entity ? -set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS On -section_id ? -entity ? -set_global_assignment -name MERGE_EQUIVALENT_INPUTS On -section_id ? -entity ? -set_global_assignment -name MERGE_EQUIVALENT_BIDIRS On -section_id ? -entity ? -set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS On -section_id ? -entity ? -set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION Off -section_id ? -entity ? diff --git a/CH6/CH6-1/db/.cmp.kpt b/CH6/CH6-1/db/.cmp.kpt deleted file mode 100644 index b952d1f5b9f245235efb07b9051d45a12dc14c28..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 225 zcmV<703QFW4*>uG0001ZoTZV^OT{n@fbaV&Lho+t2Auhg^9cy93vfI_+guDJaGzVC+ftV`T&*-a(3cJ9x@y|0sdWo?H}HT1 zTsx9&RP_bG;e=-s19?d%p@5v@b}-|FjDAD~kA%E+Vy9j+P<4R5F*Y(F zF)%MOGcPhQF*6`jN+4HdVjx9iX>@6CZoU-&0000007C=-0000009yh80000000000 z0Kx?T000000C)lHm%DBiF%X9Lgm4i;6o3#QL=gpq5JfIr0tFHWlmbN*NEG1>Xwraq z3?7Aof+9r<3JQu86e%buC=gJ9M1c^3g#YvGY`osxbFwBK%#qiZ@jvr>Jl^%0EQ;cg z%3+mbnMJTl25JrTJ5Y|$KM}@?;&eH-C#sicLWgNSTxw;c8#*D~%`kDRn9#2-yZGWhe1mJ6q*Ycw4S(pZ)8R?1A#s^E|d(;lp5)@2=?sKkRq#tNj1V`e{Ys zV2Fgjo9gjL&j-riTWKz#_dT>ZyNf^am;Qe$KjHsG#iYsayXL%BY2#09eEqi0CZ2Ih z8{Xr0LwbC4#jgv0y!3xvHD_%UzKb90LpP<;hG%`e=RddD=k^KKON#e#uRqELEPsS2 zH%{YC?dL2UO!fDxJY0G7cy=b-Fi)x*%cMG(C9ITFDnRe4AZ~^^n6Z!cr_ynlQqKCK zv5^F31A(KCDQgIvQ6Vnm0VFU(PN`}Tu)E6F76L?fB!Rg=fQ^U+2#!ZW=L3|BVJvWW z&K^L_*d8~z+f6nlfECcOC9Du~gf`+^V3%6|hhe&+a4XDmOCUMhNNUBdi@%aMx)t~k z&vCn9SKTfy9up657ng;=kP{Rd3w>Wn92eS1YQ=Bh^*7Ll zd~!5~0mGxNXHVfuF{$hEhR!o1#q$Or<5{CItK;21dRu?D-Z<3tj1gb(_)7g_AHN?o z$1G;i~4O{vA(-bm5sp{D!Jiyz^(L+v4Z;*~b9y*uM)>zG&g+ z>tB@qWs4sB%wc`!RNDA4=e@9eZBjit_8t|ieVINccbg5Gn_Au3BpMqH3zQ3dN z=GX9k27^I8IAwQKnB%@US#JZ^?Nf$VJ|n7{LI9@(phoOy)`^;?{v_%A6TYn(k8`5# z&#>chBq`XwI5sZ_M?&P_zGeDjb94Oh8PCDJ%k;-#c`l!xWcKPGzkeJgxIPnJ)sFKF z+=B=QHa%!IR(}$c7-xCYl`?-ercm{{WyZVI$MKUq40EL&Y zDzpJoU}fAu+S%PP-rvc~)j5Qj!2rmw`lpu&G6sZ2K=Lk5@xktnA+GTro~}WTLCzkL zEDSzCiQkS1D}fY)ED-YpF+!oAzl$p?LkLi;({Ym*ACLwa#*3oH)1Qq&1t_w{XLpSi zkhTV50aO(su0g)+V5{~{`0+#rC<(F(RgphP5eL{~N9Wo!0_DMWu>$G9;7DIih5#U| z%+8<|<_c7oI{W)NaWN$Tx5g$2&O&yK*x;0g7jR|Bwyx4J?$A zd<_X}9XV~D4dk86C8t2@w%u0g>700030|IJLZNB{r; diff --git a/CH6/CH6-1/db/BCD_to_decimal_decoder.(0).cnf.hdb b/CH6/CH6-1/db/BCD_to_decimal_decoder.(0).cnf.hdb deleted file mode 100644 index d29700b9e8329c94aecd81b65623ceb430e05cc4..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 829 zcmWe(U|?9w%?KnJ7_xxS7)Xbu78Pga=P4K(>lx}9C^(g7=Al+yu z8Y={QDTJpcDY&L&mH?HCvV#p^;A4W&2OtK5IkwCYu?ogLA$$Fp93^Z->$$kAbSs#O z|Cg5(ePGxU;=(E{B)V8++7vs!rBA0$v1{soz&)dQU;l5heJy9>SWj*+-aI+7?ESQ9 z+keI+@31g~F@IrmLk-;6WQkEN-VrRAh0 zEeA1@fQ;iHMhcM84q_zaq}6Om$@QNtV$YCT%z3kQldq@$O*>6H*|bl8PQGPuH`!*B z-+4%=f_1u&cyY}f8K(WLVF&y}L%%S)%~5dc^xvUsz;dnO%99z7b0e}t4uws&1d~=^ z(gH*ZTZ2ikxG-2;7%2XT`TeQu@u6!O9(|d`Q>Oi*H2Sav)BXp~m{$4*YO#kMFn2Kh z>t>o2{5z`)LRIx<{ka<=y*uKP-z)E9+x=8C778thzV0aSefs}th85us7v+1zKYvX5 zWl+7ZdQqX>%zbz7_{;qV#@PS=6^tENq&8RYO0eDNiWaqHuYx@!XkN^{zZK{?EU&MZ4(JskO5CYfs!c{`Ldo cuDpo?#ov$f`*VbC`1dn<0^@a`8gOs{0I*D4NB{r; diff --git a/CH6/CH6-1/db/BCD_to_decimal_decoder.asm.qmsg b/CH6/CH6-1/db/BCD_to_decimal_decoder.asm.qmsg deleted file mode 100644 index febe3fa7..00000000 --- a/CH6/CH6-1/db/BCD_to_decimal_decoder.asm.qmsg +++ /dev/null @@ -1,6 +0,0 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1571325895356 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 32-bit " "Running Quartus II 32-bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition " "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1571325895359 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Oct 17 23:24:55 2019 " "Processing started: Thu Oct 17 23:24:55 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1571325895359 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1571325895359 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off BCD_to_decimal_decoder -c BCD_to_decimal_decoder " "Command: quartus_asm --read_settings_files=off --write_settings_files=off BCD_to_decimal_decoder -c BCD_to_decimal_decoder" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1571325895360 ""} -{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1571325896915 ""} -{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1571325896955 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 32-bit " "Quartus II 32-bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "382 " "Peak virtual memory: 382 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1571325897445 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Oct 17 23:24:57 2019 " "Processing ended: Thu Oct 17 23:24:57 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1571325897445 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1571325897445 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1571325897445 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1571325897445 ""} diff --git a/CH6/CH6-1/db/BCD_to_decimal_decoder.asm.rdb b/CH6/CH6-1/db/BCD_to_decimal_decoder.asm.rdb deleted file mode 100644 index 4d296f8c5a977b35cf99963e56110135fb5780ad..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1359 zcmV-V1+e-Q000233jqKC0001L0BQg;00011WpZ4R5F*Y(F zF)%MOGcPhQF*6`jN+4HdVjx9iX>@6CZaNJB000000DA-g0000006PHy0000000000 z08a$~000000C)lHR#|V`Mi7?Tq-T1fcMEh16s-e9hUBPeWAsI)q{MCddjut#<}t|!dUJ8`Gv^XMiF^Stb`VXCl*GLfzI!+b9;BEyZak4 z?ap1d{9__VbXA&e2_A|Jo%(Ac>w|Ise?=rLW-W=QC}EJB)XXoj{axBV6j>^(mcrdD zm`Ae65%%}@oey5*vgqWGhzuUx4mx*+-9Ng)oz*IrWGBBzWbB%TQ}7AESwR6C4q#1mwYV8li`pukDnbQS*(5dE zC=^+R8Q-PPGs*(;%i9Gs&O_Gm!;_p@rPl`}E^0WKM&lMuXEIUv(qbOXp-8}-;A;#sdOB@5y#I_%G#X3!)jy^D9sTyx(=;X{ zWwY6VmjoDZpyVD0dOJ#J@P7=T^r++k6MLoYjOcX>YLc?ygsn zIRAC&=M6hStO>p5vnFs)T_PwH6Dx&+5YJ56sbOo|nB*o5DaD~sQ#9ZgY|Tf#=R74ze<HHW`Tun;VEzh0&@nF|wY_oE@M4iBv}@Q83boC=C;& zGa(q2wkRHhRUoxQ)P!Hj1|z#gQI`j(LsleIrhrNn)r-nvg(kdAUy7m9u8c< zHE%WHPg=0V*%(zSBs`^aQ&L>o7SPbA1dU7Qs%Bom!Jt0~U>x=yJve9nxyY_yzJ@$O zmF`+5b=m`wRetv71|gts0Xy66#c7^TqBq5zpFp4IKFP1L^6L)ghD+=BMAknR_<7~l zaQl7jXPUp;)tk-jSpT`_?t2jS?v>q_n=iQ49};PwwcG9BZg;rnbRQ52PB40*Z3a$t zgUD(b{0jg8|NnRa;$UE8;9y{2X!>ZQ%Lt^IfS4PIgIt{AgIojrgF=`XwgCADd8`b8 z6azaD!{q&)yj-0_m>G@$#l94oJOJqjVId#}>38$@ad8cbhbUuVI0F7>$`cKSyS=j7&aIQQP~`QG<=f6u+h zi-?F6$cO@;A|hVE4;(n!p#A(YSRb%9TuWPP2iPPKpGeitG-mbtfeyWNFUr>%M4LbOG+U4B|8 ztEhHDJXXrJR?SPQI!eY>bhqB^9VKpUV^O&zSj%o5 z%0wQUTA!%On<1Ft8E)*wMlU&vxYMedH4NiH)~N~cWqx7*SiNQv{tTx=;<_E7;buAq z+7~s=m@`-s&iV|+*3M@0W%yzrW6pl0Z2CJo;TR9in_?B+{qkP57cp#*KxMAhZc;CR z=LThJPN1gs8%V5|YjEEqI5$-hyxHd@ZhxZc;uMV63Ec5$k0NJ=F;~oH1af94=8hib zrpsgy+3a~JPxIV;#0ZUdg?Dw%pZB8;yF|Z{l;A{)hT;#2QYnSrCTflyuoLw(YRU>cu;Hovs~WJ&5{x;{^eN3x4pl|qUu$pkI7 zfub2!ha<>4Njw%L!YHvgo0Yf{?SHxsVutfOQSr}gLJm5b)`{Y7YxNyaEt##>k(vx$ z#Kp~I4hNUl;z$KyW#t;&!-T3-hkbY_N>fz(%PK!ybe%ozTrh-9ftm1J!IFE)!Xn(x+2NvbYhbWTQAa#7&kb(OcUrwTvGN@WwVlw*g0Ni z82*|+Z=**Ju1mX%G7il&D1GIv-4r8Vmu6krszCI%Kr&5y9WNs1x)rc!;=D~ni_Kze z8Kp@*vhVAX#K1tKx$0sAN(}^wNjV@^#_Fn{Ug48cEo&DyM$oT`7D-ph{wZT#aRcUi zn}B=cTok*0tGeVAZ35Ii+%*GzfCaW_VFu+F!+mya&&Xu`{;TDuol~r8p#*3Rv-$!; zdgH@$wsZpBdkc0hOdTl5TW^KS)_vuG%-hTJMSMk$QV=Z|{JkO%^eulPY^akElM4Rd~ZN$$M>)t538=|qPw5-FP z=@4_%oLe~Xi$So{;K3)pfkr!<64q9myi}!deW2B;Nap9qPh#e87it~HqW!DFP2)SD z1PPyoyhN(ZQ|L*hVi0*Ch+-o0NDlSQ{*&=|T}_P&hhV;rV6*g$k#SHRaNiNemaY8+ z;PlTDGr_(qT#~Dd@MZ7sS4D+Yh{omT^i8fQ{7Y6I2;c5Bh$J_kk8a@Joly|`At=t( zuh;F+<PM)C$(v#GvnK?vP}Z+<=C7-G-=vRe-L2o3R5R$|IGc-UA#TV5_RQNTx*4 zi>|_wI_Rcv&{e?> z{rkg)79 zS0_p7#D`nV%&I-LCr;PkaX$nPEOw{mlS7i38D8mX(}0r7UwHo`YXAP7R%=6nQbwqL z;W?s8Ss5i4$G(kQxT|mf(^yD%M2+7}NIp{Oa4RUCoJuV{Q{-0dg+(Wa+h$-kQImV# zwiGJkO_#Z+z~pIY%ps>^Q#N{D*lT6e_hjwPvX7AT{l;r*vu-^f4f0Ca^qE&m87Te5 z220-LU4E<8)B-**7(`e+Y`d}igWddh_rcC#A*VcIp<8ozBhAw85iWVCz8V!< zu+gjU+w}nY_QruF6kmeKByo<>G$q={Ky|}+>aSaL7H2mH(g0Z{Onz=WGY%AXGk%;# z9Dd4?0#46;BDKyIq}NzU{GeWM=J!SYCmFCtAIrd%+oC=KI|ukpwa!6@y^QdKfTQt^ z;939EsT-WGi5F8gZLhE?2b|v)uDS1Hw!=`?|eN5UN4jMs$PyZe)0?XAcokQ|HUGV5F_hAl1W?DD*%%M8XqZj zSvo7(+REDO3b570D68o9#=M+uY6qI}g{b>ECL_a#I^~mc(dIo+7i&m>-wh1D0Z{vB z%B?(*p+UE<`S9-)e8M`t4JPWj={a#m&LseSzim-$Ck zU9u6+7%`JoX6%;s)e=N+F_Z({T6JU;S`|{CKMyzMPn1r*Wz9X`;dkd=7ZeN8wIb>^ zImT=^o3C%Rm%K`b;2SZCs3blfy=rZfUGYx4{a>r)eHmFyr~wuMmj@Y!v z+C|qQ-~r;lKrio+w`Fy$sxXv>Q2>u`Y3FCPiXXisl~3;6LR7PA#%uLt5|pGRqb!ow_XGLhZ#S_hOA~AI3R9@g z2l(*rJa3cj-?O7>E2ys9crLQ=-2Jn`RbB}A9RMKV$B1EKMxUB>Uv1pi+P_g>J_vyS z1V1;kglPqnPF>44No=g@!w|`CIrwhGc^}LLn8KfrMTEo)@L8bkv&7XRJVsUmd>sib zoX=Ym5Ge0h1q8&jtVhoat^iSB{geMH3gn=a(J)g5HJ01Q^bF917^Gv^EI1Yl%=b?> zg+EF1L0TmiIYP>a%_`51|-LC`j!XP1#9`D6Q zvg$|ZZ^WOMe@klSryN-hP}n1<;s{C=k-#$$Fg`zgRQ!la^u`GwS$h2N=VLN3FF!H< z{>5D;#AE>(PKe2ZGK&zC1@x*AlZBWppgaTtlMn<20h15}1w3XHf}nt>wL%d5o!2fj z`qJ~#i8LW5|K>|6;nU>be$^oaK>?Fq2!cWo6i^;Q5EN7%feZxAV(3l4&7w<9Q+6^M+J-%8a`YkQB$0g!IQQxhA w!*7Y0JBzq0SeRoReLYS%df`nx9HnI1wIs{Q5-u~4e2Z3#?P9<`rSW+G0@Nt(KmY&$ diff --git a/CH6/CH6-1/db/BCD_to_decimal_decoder.cbx.xml b/CH6/CH6-1/db/BCD_to_decimal_decoder.cbx.xml deleted file mode 100644 index c3b8db08..00000000 --- a/CH6/CH6-1/db/BCD_to_decimal_decoder.cbx.xml +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/CH6/CH6-1/db/BCD_to_decimal_decoder.cmp.bpm b/CH6/CH6-1/db/BCD_to_decimal_decoder.cmp.bpm deleted file mode 100644 index 791f16115d6c5a2a2ba4fd61f87f8add2a77f11e..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 738 zcmV<80v-Jn000233jqKC0001L0BQg;00011WpZ4R5F*Y(F zF)%MOGcPhQF*6`jN+4HdVjx9iX>@6CZd?ce0000002cxP0000001*KI0000000000 z0OA4w000000C)lHRLM>PK@i2=xE(xt@ajbza0U$?OjM$n5cQy5JL60Pqs%lJ+k@Zd z&-ejeG;Z~pt_)QdIZr*RP7IhS6Luw?IDmM?Oq>W~>(|?vf8#r(o8f#haIo zZCdVk_s9tw^f*dAm?AF~#nDz}|3DOGE#j3Jd7_qsHWy6-1C3jjo3W6>Tr`Cl)&pP6R@Vf}XIhU$ zA==FP?9&qd{B(4Ce zJ=QHhprl*dZS>{NuDf4ZPrtCiSYV%$p1-2R-S1Iiu#=?oiC^=Z*YMH2{)wh(Ki{72 ziSQc#**r0hd}a=oX_t{Kzbb#viJ=M7)1*D~cSQ4tw!_&pZ)eluW zGs6U+Z2FGu4ImqsftU}fI>0d~#52Ux-;afX1t>6f3Kw#Ny0kAhRelFWn|NBe6&aMH(a$Us9BqSyBv^wbSu+^^Fe>@rifz U@$q+d4DkCnYN_Bgg+jm;a@+1OF2z_kfG5=IDPN44D4c zh$@u!-~1n~X8HFVatWIkQTfuDr)QXW-RQs=i64*Q$>8hmNZRm7cJWEai2XRA%CM_ zBYz{Ga0cExJK^7R4Q;z9dp1a?A!;zfN=NiP9h8PDyS$F%!&Z*NTjPv{hEL9(zR6E0 z7W=404gDz6r^T(7^v0|3M^)wL6~tCW?wEV%#L4G=@CrutTu z>FP!o5Qr)!-6O0?1lX_<%;xq6Xp?qjYA8%N!2yvIF?}B$Q9JDkWSXl~wur%7fp zsn&>}G!4kZKL_sLwcZ~$MFnmE``Mv8y!UY{%WCR2yJ_>TE{1GGA8>>RC_jh1te+4H zUSKCI>l5Oz;XdoF7<4V4joP*w(f$u(|L_<Xagu0D3WcR?N8v!MT!O|j)+ z`dYDbjt?acW#(M#WmBvtk1y!&_+!E&Y`nVG1r^PVeJ%Q5`~ME-zdz^yU8{q>doA*5 zANsPu>o0>21oOtu)e^H`q4;XzRvnvWR-V&uz+1;WkCsA~Pn?u*^ht~6=Bl{nB=(SV(QmoE)eO9y+!* zi7OAW4R>s?Np{#qe3`@q7HqZEQn$=Y2klnXc!VR8kq1t#Hn7TS_>L5)s&Pj~zx5Dv z7-SJviThPe;b?)_+M{R2tD)!SKImT_X0Y;*@UhhE3dbZpT#CQ8nuQOVR7&o+fdzVF z-;{M!=RI$s4IalFW-v~LfM0`rdP>Y@l|tbS6^ZbAG6L!u!5S;Aun{}iY2g+ zL$C*NfHlZ#I%no%ln-F#kY)yQn)jwv>cLz(aD*IK99;KkFT?$38`^=-+t%)|dL}Lc z(Y0;EmK>gavJoY9{Z7z$kabkXC1V2L}tATS(~%N}bsDAz!ZZq0}~GYB5xC|gG zENh>XHoj|14<`NOd1<{oTQ1$^Hz^xS#dO95XOj`4l1_6a;SS62v# ziLOtf(g|DMy;wc;v`@6&rAMe&hhpqEk7%^y z3Lpi%zXMKUfycGQ5wRmdS4}MUNE=i$d{4;N#v8sH!nfSMM2m^XcUWh~3vlTL=B1DA z(gk2X{uw-b;u3p^5z$}J0^i>mf*lU4Bu>BgIPuSdV!(+|iTXc8f>UDOjsk-~c|cyl z*sfy03QzFW@{chSUd*L0u5PdOxbe+g9chG)piWEF`*KdYQpaigi4f&#&rNwcQ1X%@`Y5ODy$iL;%1co`+URhAt>C%`F1R_1d| zT3yerj@BO-UV}h{0Kp>N+>$v1(2Y~;>K1iCuwb`}m2B3@>V{$WYH6Q?5hh$89MbN0krBwySyXAeHf!;MWMYm6O$H0D|6TD{ z4pifXyXZ*c=q>YeYn8E4&ZP{_}$^Iu@;@$UYJPL=p<61-bcpGfA zzJ3THSD8}2?bo@S3% zZPpW|%O>3E#QEjYX}q4CFj0R*S`m~iIUh?>8AMi&RXW(;CMT@qw}g`>#4cs=@B%|VIO^)|x z{#d(wpvcE>RMP)a1XcB%JNU+o`Q|>r*upb`9LP)Id(&+WZgV^#!*#(p%5u|@s@jdv ze5<$frGPT?jOAJ0F7R$>KBdNqTLl+drwisX1jar^w?J<#;)x8Wa#Z*Jr1l%FP)EiMKPu_^yD;T z!>G;6TPR8f!++;BNrb^2*XXtjSR17~9>b7k3U^hlW?xm=(Q@^pm+N$3lew7AhRp%n z>BE06V=5Ysh5r1Xm>rEssxc7ztI1%G)+>3b zT0xglbsbjKp*;fwz8*w|EM{xG@`M-NgzafLfiV%jKik zS3IXj85FHrU3@#YO#uwv9H*z-MiC4{2UlX2?BYYCx1Qc~l|`S9Ht?(IC4cxjXk})N z=Gu4fl(M16f7Xp;`7P{=Y;_)2tUdPC(dZh@8`6t73}>+`ha-%%?avH}bi!2umzPd7B?LmrPWiU2;(YrFoiE$m!IViF>DgLbmi7 z9X#CmY_SF{i%~_Xe4k%{7sEf>SV)!VW-ZTWe!@DY8!?i)PGUez!C<+P5@n>P25q8k z|48=JX+@#u`RcQ^4WM}V(6YQ>L+0|HG3x6l1D^euy8dr9b5e6#qe`H7gm|2M8?Oq~ zxl)c8??xPHerySPEV*fy`Z?2Y?G6Y(i)Z`xzTAK z)u-W&mnuf;_B1`qj%lT=gavZz+CSzMA39iE+Sv2 zx{g8u)P0UXu3G79CsU>a$WoORSB?e1wvB{s`4FG$_svc7e*StqMrPLBkbJP0isKO< z4BLL5b;;#Se~Cg(MMa^6Tnf8*?}Y}(TWH=KvDFMSGx^j&gOOF=adoX#2Y4vqj(1=# zPi)9g`2MG~j4r;h{%YCXcLg+3MJ6imS!uG;ztR=i#`9O*4`EV${VZYeX!u@wsn7$b zY~niQ-0PeY!S*Qxh{Sh5;E5V5CZC%T^#^Zkb5 zo(Pfp-7Uc*hjx}K`~mNAT9cri11jG5Hh17ggy;;dvYct-yQU8v;hDa4>d5^-ZEoEk zo&0yR@ZPzNPb}Xq20aGsf4#=?sQ9sn<`92DpL<^PN5_d8VzX)9kaI}waD7~dv6#5F zlEWpXA2{oqB>7*;Emw??S-Fq9#^VgqH@)4MvWz3SG{hnLf>a5jg@2bm6bGmPJ?nV5 zxfvPS9q+O5)-ry)p;cM&8yl@95LLLTm<6oln*2U&QueJp_8<2U$Bm&RT?niX6~E+s zSB01B8XuQ;?B9x%%ff)HBq_Q07=iNQCKZ-jhZQb?@vI6=U#&Z(w))lzpA-%XWt7}- zc0^kYxcW8v2m5e61h8257)U+N9KOz9R&MBkpfk%jiKe{%n&zk^u^60HR{&y+$g6)Tz^2Iv^9ADU{M*=w_8QfTC=s`)l zu8TqDMN19>R3hGwp*dI_iaDdEcGE&wu=Mef*eyZY!>78;Z>Z8Hdm^;ymz~0|m-U;Q zmU+6NTpm!5o^~~}V@Gr5a#^^rT!zSsD^D~6ycaeiXB(bf-V}nMJ=dqNl-&CbY|3D7 zI6W;6e(2ltxu!09)*NcM9Lop?6-%1>VVKoHTWNEk?DVHV5RvVieMPA{~P}YsxkjJ?FoBgfRso& zkmi6AAO-w91e+i>1E_)<^ptLBnCYQ+#OgKfn5mxX12{Cn1&D3+^9c8R-e?!dnLIxe z+H`GecqIh_B9(qmZ~HV)!jb?jf5Wc+XuS8IH(2LZU-y6IY^SLztZor9Ft~lKdqXAj z=-U4tG8+tLv}d`hDjK<**_v9}o({l18A1x7|E9p5M$AKf8J%nY!QOJloyI=GdX;9q z#7}mI@)U^^_<@y%e967w)Xub^lGr0&rb&wdZf8zxqc@!Qf$CIh)wppWCQ(#0qtjYX z1PIdk%E{4nr?4l7~ge&Q%ge}cT zmO%#gfeJutXza^MSlHYr`Wr-z^z;v!a0~{)1hRi{Ra$16_X}eX5sqn~uQWY8SD;J7yN$X~N5CNRF8?!|a8kQ%cVy#zLa}-2kMG zU%JfHjE^pUPza(R6h;0%j};_a}V|tx2zoH7<(gNk5@uV{e?vs(sHzGO47{ z@9#+sG`@_lN66FD`!Y4c^~bO22Gwu;_LmeRAxXaYzvphd{BPq+I(#ya%QUzuMum$>&67b?~c0VgRJEzDN9tU-o^7rOH*MO zs0*Q)N)>dE-oCp9&XSJvs^Zm|cb{mr3tl^g!>w9E)+XbN3yGVQ5}in`36fYE{Pbb( zDqhV4f+2h)q%ZVK1+11{hCfx*KWDN0s`PLj~FBP`}VM-%@;_|ev*S*ytXQS9}BDN;6XZJaQy>*dta)UTf1DI>WU z{=bDmg2{N;dHdTeAV=oE3M>M83hM?!zB>62V-ytTGq(JptC_avEH}IIKQ|Vt)oiOj zEw-kS>~b*)v*Jp$efOLgbi?t=ytIC5zSBQ1pV8?O)pJadeF2T^n&97Ydp7=Eoy0{E zE{(UkZk;lzWN8|>a1E5rUX~QrRJx0EHIL>#RhKlsJG{Qxtw1s>lqU|W?Z*!sXf*EG z`1ZUBxNRlCF}8BbZ8Y`-?+o|sUOW)ud$N=w8UGN4(4kqae*1W0;{r0J zaRH;LYSH<8+l!@);rSWfY%sxF*177zCHHD*_+GGSD!Fi|Gb*{*I~i_3{YY~|1dAdK z(Dztf{whCN5|FkboX7;`-6u(DWc$|4r(9yThvHc%U_Ijhuiy_j8D6AO()+&WX9+BkqKs$f4#k#&7B@l@NriyF&Y7u$N#j zrV=eK13)%5tV)d@?8Ps=86+9uT_~H|4=IaxZyOSkpLzzzNAXBNPSEXVlbQ-<3$pxh zX1_2b)ZCZ>47Z^sZOw0)yFV<=(JAEXfpnB&3rdYj+FJ64t4k?QY`1!Bu79I(cqgX` zCHp7lD6Av%Dxa>7=vOQcj5Jk}3p&5@!Nd-T#n%6erKqH)h4OGyojl~C_e<2G@O#?W z?G7ukNS14Q5m*FUl(NGt2gXHl2L-)=UcJ58F(>0~l7q&MK$JdG()mbf@{PUE$=y}S87UExR+JQQlwphnz z7$&ckVwDx#p|{NdrjQ+4nz#Nzv_@bJq-+EP44iCg368^;_?wm) z_!8!q!(FJ@U3vva)Z1BrcP=kFZ3M^o!TQ7L`zTy$r5LVFf*`A+b{+FzsJy|6r` z{4#D5qX&hi`1{vignx2SSBX)HB^}Y;>zFs+ zQg)!QdRGPnPBP_@e%*7|T|WJmo8`yOnzY{&t*`&ajm`38vD5D|)0I#+9g8;~`?|o*TdB(fL#no4d@Uj&T~2ReX5cr_#K@HhCAb zF=rzlxKc7(H@3%lK*X>qH8fyYMXJrkZO8p*Yt1jmw z54^2UxyIc`i)OECSY&T%&MEAk8(qb3v6AW3B)KPb{9;(vsc%Ogl@P0EyKWb5?U2&>ps)ts z>2h@5xp{F#nsoB3+qS2RoBp%2+dul)XVtK8z5+Pk@X9CjdDXURXE$r&MlU+LVs)YJ z*I|9tvCXZekdKyahZFer!m{ehrfA2mR7Sw*>c}`SWB;kj*_5orvdS%!eYGvRA;9+D zzRHrh=IL7Dx21mjVnH=|o0H&d+k@x!i&~8PCXr{ca70Ye*VW`}2Ht%qx2B`MY3C~o zbuvo{p(BfgQ-MJCTdM-Ei(Q|ITkGm-a<5`ROZSeyFC$G={u?<>)l`oJiGOt`y&m>l zD_C>veah$Gi|Qx2q$ayNQdRuyApXttTL~`-8sDnku5oX^e-_KJd3U?u^U?pj{#HwRC>G^l}ue%tpU$#|(uU%d) zmD&0te~)bDg9<8cg;8XN=ACyiw1<|r7T5E>sa-!?aapt-{&`jvdZHL=Sm596+N*OO ze4LGGs2O~UjOfk!`Qe(?=kIgX{1wyD_IY8d{PFQQ#V&EdDY^udQ2*iL1*`elIK2ue|GT`@|F;w@-&p9x^34 z4)06Tr#Yuj?N9r5i#lc`4Pa9Ot54q;V&K#SYvQDm8QEj!O8sX4MisUv#{55Yr0*0~fs>biF1v_*P7w zs@kIeWN`R(383jma6a{4K=X()+8=dj-I$Q0X1#6(j{?M_kZ)@RE$~lRX)RWR|LP4U z;oFhK%;yp+R;-MqKY zkTB%eydqLVx%@ZB5IGa;uMz<+ShUu4RG6~N3R+@Gw8cjYs8S$a?7V;Czu+{DuRKX^ zc+pskjeTf2nq;Fr{((xk`K71#gddwE2PEYk#UEn=RZNJrD>cTyD)=_yIE$MH&>?PS zvL%>M3GYej&|V^1!L6fr=Q)mDnXr*NNfCuL4U&~g>IkkOqEQasv^o9Zlv`6{g_>su z)oRUr%nycZ(cx9M1sO+yKVm9Ww)gx2;Ub=yPb$i)3XX3LrR0v8u)fT-oso0We~ea2 zGtpO}P-4G+YIb%pcsgxXT|Y`%mA1oBtE4JnFBb^`a(K^OCLu0BngDvNI4qFLc}xEy z@{ci%xgC2JeH3nCb!jSg%@?(Bi&d%V731uG-LGWa*S53=I~6Nng{0omn@Qyz+7O83 z7t%LR`wY;wqhmb%dv;ciV>GLW@KD}xOQZ$En}Pit*@uc$bDw1>odQ>4lir3+`tXFGnmR~E zMDjw9sSxwZl2$HjBDeOY(wrLZTYVKj5_SPqPK1Q};yHsIj z9j=BKY|TThAuHBzZB=fPwU<||P=`G4!YUqw7vXfRVoR7Ta}W$H*barEZApyf8vpSD z$%Wj3>BuF-BC=C{UJeBxozqB-46{Xfz4=5jw8YJW@w)7@AD4D?(1K^V?1V*YUA;kc zDQj)DpDt(Ylk!M0c@5ednvTmRrV=t|k_kZp3=?)8XVa-&9Ua&`by^9SKr?Pv=RPz| zV;2ofXhsu^js7r-25IV##yvj!E^>lkEFB`wFaLO1%lS<6f|)8Hb{s+vO*@@+;dCZ1 zsur-i?FT}Q+q91mPwvQ@=CiQ1X4Ci>z%i{3{;k|@3MdjR<5sh7?XBNivD3AER~Jq} zEyWI!2cm|s18yftsdjWyhPmVLjjjW_RFTS?Aq zbvpW#c?FAsww@^0GKXZ1UI>aE6eWiLpM(p#5eV`91l4nKx7y6Fm z)xjUCb-8M{|I~fX_F|S=CN=y@u7^~Yp8~>qXVT*?n^Lm5 zYn@#>!2i2uvG%mo0?ZeBZppa7gx zIaM3Q1vW2hHasn11Cnp49>|K=!ZN0>OwrNpAY5|Kv<}1)&ryv(F*?--Pl&M_j?Kp} z<}&pfGR(%ChmAa^SJ|$&j8vR%?l_TyyA~HV$v58eB>XjosrO5y`?kr=-bR~fc1$JG zOjJ8<@+&kcw?{50xjAQ|a`ohaKG?QWT}_gvNB`G@%iLlUmqef}KAR;1p|htD{A{K5 zJ3G=%zV}TY=;7L-$Y|v_X&G$NjxCX{uv=dFz9$(siyCX_jE(*h2E8!$UJMf@mz7sBCRgpX_bxo^G$Bwhz&-7R zl#5`AW8L{D_P)^ucG3pE>H?l4(C@T~(0k9K?>kZAcXk$8ABOR7i-OO zC&hhdwZ(ERSD3KBrWvso?0u+jTO zPkr2675cc-kTuumEvkya;vhB#sku8zmo_|40PHmS%g9n1wD10m9~9U`z&C=1EI-)V zu|^%=E;(pdhJNP-=?(8fYma!$^u_209%E47{zg$zVgr;>97^|+NR_hYA^6$if}XXB z8vN0WFkU`y5g-Rog8%d>mo258GlB8=pIuJr&NPHczHdcv*mwQvi4?wIgy)0`OV>hs zcv6M3BRM>U;v&NaL=ecLQXcnvY26SC(!5cEBc2C-n@~(3`Wke8@89$@1g^BkY}-uTju{aE zff7S#M5$Ca)DSYUA+8y>+k3bBd-Jc};T0fe*tGL*^q)1Ehq65O+OV&73z+X8RZ#Ob1N0S$3x762O!Xu6T41&u=j z`nXkO6m?okgo-whnr5IwiQ2+;_>louQ}M>~_out6(M-ePtdzrIsRziLLtwkefki?5 zaTxZjAR_W3h5^?un=h);ixUz8q+HP(Il+Skj{L#4Z9r%_*nX6xxKLcn8ke5$O|YFWm% zE=s0?I0TQP;Lom2j)xrmlQJQSmSP;p$tnyDz~&XgA(aPmDV`|71+E*Dva9_T&<_<* z3l)$L75Ew|ARQ{86)F(zWYbHX<#1`u`W4ECTqU;=CHpx&_oQjF(R zL?^~XC)kjm1$U~!X~w;#=;O6p&O|3DL??2PpKPAt#uhpkzL4!=D^F(g(o>n@>6b|? zLhWJRNc7|dM}1SVD2O30o@usM-*SLZj8m#1aR$MPEzXXr=uNsL>HHy-L_ubHVa`)a z;aZnXfg`Qj$8a%RT)Ei!FfFY(cyNz6%y;j~iYS5}t;e)>JJ8;wxoB#G?}M&EMb%&4oHHh|iIur-|MJfzokhR7d+BfW3HsX}UrWYe@o5yy z5-{#a`>H~p;*oDDpz<#2$w!bIvK0nK3xu02C=ReaBYvrD(N_U<-w5Br{SejiV=+hqAiTmL5!=~{&k?%pv2xIy5 zA>wF{r4j}YXH?#+A)L;dx+mbIhGU6^W2u6JkQ`PSS+*Pn_xYe&I4Dh`v=9sRv#LaR z>gh+I?XIstj8Rxz9{#Ejc4E|*o;$3vTT@hR#h+P-ZA{u*pH$A}NU_)JO2EMqcl4P( zj*fWsW1~xZ$bTIk@u@Yw(bp##Imx1}_i~=JXdwmtFi;;4WC9pu$hIU=&oN_{%QDJE zWHbC(U>A48lE)&;qP8&Lu<#tvr@a1mMa7^mums*>E6xC3p{_&sHfbsy4vbF2LDXpk zVJeOv^ERl}PpbYU4mrMexAy-WHi?|l>U46pGe=xGWO}r^I)TRP)H}Hdh{aw1R;BqNIcKa#&2OrjkNkGN{ zC$E|okJTipo7rf-RWc*cw}@r~yY}mNK=lWm%f+#3>^z_e+&W-4Vgky;pK6U5i zFFWKXQU{Vb2St1@xrO;rLiv#SxF2=kYobwn^o0oQZ#UFSSE@6D059i>*eK~XOO)g( z^g+#-eK?C~+}C~hb^ZOI?u0sT)#0;u;cyDn<$Zi~e)~qAW7I0- zGZzcpl2K#-i&(1-ZeP!#O;_F~Vs_oa`% z^*7~nwc^D+VQTLwJHVpU9kbVIZ0E(qcC#F({p4rLwiR;MzjM_u#{;)9xs8rNvE_s< za4weSg^98(q{!!yAw(@Mlpe`JdPSIrkoCtIV9|Pt0C{?2IVn7}Q_c>vD!rkV7>>iC6HaZYho! z+P)7@m1DB!7YC5FVOn1y7T2Srys+)K%LwI&RKTx6j9tAj!Uej}yl*A5E#C`+r{8!$ zSMH#z43uWsbqGMIsGwR>Ic8h?U32TT^i@He;LLP^t-eO4(=k-bZJyL9& zYUHL2WNu~XiUD**0_qio%xwr=QHQQ5KvyzUAf-z(zfPK{=enrp{5S^g2tWcVP<~k` zzYdfissh(dPBxy&V(PFWgv&>vTh%10dKCKh7R9%1BA=Ydp;`vqv%KzvG`HtcO) z`!8)o`ZtMmcsP!*0Tz`<RqgKOOtJjBvsr-@c>1h^%D$;EIIbkj! zs2Qf>Nb2!l7mLkG|Nk_4mh!vvIy%$27h;rwS5X5y>IkbLyGX~c=qOx`*BOt^2YIa} z=K9@}J?M8Ll!VpoVE5akgToH3f>G4k9Ib#?IvQaTlt=vDe`T$l72nk&I%j{}BnObj zE1Q9E1;Y@^q96MSe80^CVF}MVvKH~;iu=+Q{-~H|;G0V6nKA441;o+!%tpgUvi;4- z2z&I|km$sNV<`fme|bB2BrM97f%gkKQGDe`zo2bv&kId}MeQbapgrBvhUCJ!dak4B zR+LL%NYNj>elA(L{YBIzFHQAeCBl~K2r{VV1_@;9##oKDWjAya(nhceoZ zAmkAuXWyY8ei15gGHc9%z8OY@?8GUo2KB9Z=4C}W+mKbMr*$z#LE<%al@BV|Q{M{D z5iSP(LxwNL?fpGiI;U8Q+BkLT`s2^TRXh-h56+bm+gycwr4~bE QjT-OwUT=`^4 z!P)mCp6klBP(Dzq5T&>fqqq>QxDc!Oe_WnPTu6yA;JSvcg;Z9rzi%+PC~889Z7i8R zFY~p~aIp0bOmbPRSWZIRKYSk#1Z=Ovp$F4c5?(a_8YuefcAOmI3>PPTt{sV87NX*S z?~7m5nBU3bpG{UV=UBpgcmh(ai-`LHLQi28K=Xaj%DxVJbUIKowZd7fRn^tt>#5Q! zAu=|!Mwe#iPr6D^`Q%_y6HCui*lREoyL~Za)9o89qE+PdV5+(;I@oT2^EvI zA>wl2mFy3e!exjxalb~0V6oHMvzVXZ3h;?0rh$s}uNygT%I|)aT@V=r@R-6BQ`GVM z+;O)KLF!Qf8X&mqul!vQ{s;7$cwBM{e$R61O?oAFOwpkh<;g^XykmmHpyZxdJ?ew= zi=uGb25ZEQ;~(%wQOe*?&!LeB7I=z;lw-bVByE77g`Sg6_1WUDlBhw;s?Fw&orT%v zVke{l+3n zA7gcEFkIzHCdg7fzHD#^>7pH#T6UfwZC1FebRHijWF_$~I3D3$da_l4#C-4nJ_$JP!{ z6R(S{Y46ofgn$XveEOpenz?maR%g3_`F`)XU7l=5GIcE?b0QoBhbz5Q4wozZ5{Vun zE!vw4i!vy}OzcPG|L|ZONi4-BEL$lFf)(5!Ut3;3OWra~7%6h?^8R+8dA?@M&0=gG zSw~aj5Yf|j*T?xG+l-Z2aco{u!YX*|v^D=q?al?o^ggnB842yg)Gjy^ra8RHn_qH&=OMXz5UuC)Sc5q!x$uE0dY#l<_*fO4T-lc>aP(( zMRu`gtvo>PC9PhvQDfb&>eoR=DB9$HNrE+h7*1r0E}%otA~|z%#{#+~SbQRbVOdF% z`jcv{x3`t$kOrWXsU?s7{rq-7FKJ?pXnh^)%r+oX{}ro}V#OKxBdQq0gj6ZKG4E#q z+m4SCpOa%l&G~sxm#CXPYHY1^R-1)pKfIref*nE| z9qo=$_roru4$f@Gz>W;oraJp=PHTxOYE0^y`m=W%A;d!}jFUpX;25w44q<`mX#xyu zM4cUxEQO=6kY0YtCJbA?MWq`l(L>qc__8rf5?u(Ok#LY?u~jHLNR-~c^hwO~N_=G{ z`7qyR>})jV@m)c#)ESO@M#A;@u0Z(!^C?QWBDQNUH7pE4cm8^`p98nPW?d^HLI;d9 z(79#yOoBXIWx-&*QVql-GfvQ@3tfaN`(s1!^WqiIXBXf{sUGlnkao`n*Yv3DP4aT} zf;uEfif4i+%$qh%hPI0@-vA}}kCO$QkT0f4Vt#*m+#pmpfHv-Rd8LvE+D;RncsoXp zkX5$yQA-txlT82HP_S-tib)*&H*z0vC>X;0wEdwnO`jueg!-cRYU->PSqy3ioKQ{B z*N&xfFpm%wMW!(8X@eWxjO2koM%9F^)K=m^+BmC4907a6m5H^I_G##o_omEY3sU8W z_mfCOH5@#|d0vG0arH~kYit)%UcDD~ab$!BWP8ae^yYp4pgmEn)1DFI7>AOL9-Z5Q zva0C)c`%Jm@Wq}L{ZE7v8YU|gzh(GZG=D4^8q$?jpY>F$024bEA9ol@M>EMZ?18f- zbxn5CeyBLfHVjr|o}9Q5(bi9z0M&jj7LN`Q)t(pR*m%3WO`wXMgv#KE{XkK_DB=Iq zjj5&xjbRmehG?Hagek@!>m_PozR*H5A$pDJ+o{5J3Ewx@A82ch>SjKRc#OJQ8YphE zIcd}9Sw^t)+c`O}JnCQ6(?U<|K5k4{QlSNOUIdL!)T%%NSJSLZc|5*1+g)nd5H*|A zdI4fMYpen{#Fh;37OFRn_i*ULcrm3HGx-4q!@osp^<-Fo`z>?xPo)w~dgNvsBZBq4 ztugDVvm**;Osw*3BCG&D*Gs>ZVH8M!aO0L+;fpa5BQaA!ETySFqPb_Z2uf}pb6!1w z(cbuajM*uCFswELy=UboPZT})zM3P8i8yZBa~e=IDLE745B{D4??+9jV+YwQ`Lmju zW_?vVI!#Z(kc4=5y=iA0mA9bg`wEi|#BTTT1H*^iHN0KjC*K`Gfw85CT##$tnd#aO za#<~dvLcgmlObp|p*&<2^$`W;iHbNvLUku!s*aluwNu`d{8OLmM>yT5HIQ~26&jP{ z6dGwd^G~0%0*W{QBhTk9pL$XGD15?{&guIvUk6aWe(TyVpJVu}h=$DkB^C2qs37D~ znu0C_dp~yoLCod52})0Rj?;(d8}D&B=5B@$=iUVC<%sR|`5lhLQ8QB-^(}ubFOUr4 ztwYo46|J9yZ9j-A!HC9^3P;=8O814ZVH%x30?Q)0)C3L6U>fI;%&&K!!f#*#n_aS8 zxLeD=heyK2f|)If>sSR-iF%lQ4C)I&`(Q5eDQY8^)2D* z8t*dAvZE9u?zXf#8{Qg)-lxtWvKS%CXHE)Yb$xR>;}ZWz_gt-w;-@77IR)p03e=}! z*g1mpj7o^#YH^Zg|DB=mIa%N)j_2*fvr6zglI+gFoUVaUb62VEF3V4*G3`f+eSi4> H+>-wV2vO+~ diff --git a/CH6/CH6-1/db/BCD_to_decimal_decoder.cmp.idb b/CH6/CH6-1/db/BCD_to_decimal_decoder.cmp.idb deleted file mode 100644 index ca3ac77666f591bc97db7aa903877ffc37668ecb..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1185 zcmV;S1YY|T000233jqKC0001L0BQg;00011WpZ4R5F*Y(F zF)%MOGcPhQF*6`jN+4HdVjx9iX>@6CZcYsV0000005t;u000000A&II0000000000 z0HFi`000000C)lHl{-%qQ5c5LC>QS_0xF1(ca2xB+Nf9=D*k{48zII7HYkKr_a9hT zS!v-Pu(DFw*ccP-=<#1z2E2%^PMwi-udSJW@ej02vend}W)iC5@yeMoJv&!j%)N6lU4F>|+>n)tx} zKc-q8g=4VWNWPaAfZ{~Og@f=<-FPWFkE9O z;VD{pi54EBg?IQyjgT54H9~5H)Cj2&QX`~BNR5yh@t++3(S_(jbRoJBU5GA37orQ% zh3L{pa{7oaL>Hn9(S_(jbRoJBU5GA3x7j(*1G*4hh%Q7Iq6^W5=t6WMx)9wK=R8k5 zOFTzBLj#I_#h_wHF`^h&EGUvhKb$-^p3cpe%9Eu>lXL0pgK|1MUAi|lGgY2X@Rz~0 z*iJC0p*W}JI6tqPdx>+U#la`+hT?->1M(;tZhBXxmSj2aNeFDw01qc>*PgxzAH9^V zX9vcl@n$ub%UAV#x1Mb!|H}b9&)T;9B!sl0_G!?r*Xq!?J5+D@X75nEk;v?B^%LhS z*#jI`HwGJah%ep08Y|DQk5<3-gz3+{;mcBYcze4oRPVQk$9FoyQnf2QTkH$3W;)-m z_j-A4-&^&q|CXIoeEZ3h-yNu5;Zr@^>`CR;`tIOmd<56nKC!yS1|LRmBkXNd?ee=u zt6OV-=K$|Y{>Q7Ywf=}h7}XoLn19Kmg11#c7cTk&mM}1B#u}V-N*cR#Oe<=zHrS@VgAXD?-Kl%mE=6$b zE4bB_=S&l^)WBhq^M5&)e+nc;jtL>z;`eI`&NRd(gl(D1VjeSDi>szI#)LCf42BeVwjC}xF}2Zv1s9to~I?lc8>WGE}>UyLr*FgmGLJN_`}!s{UD zpBdeAe^8l{F{7e^A4Ef~(u%_!=q?l!Le>}zJ;|48nJ&%@g3jS`{|{2<4dsG;G(WQ~ zZiGKhZ`%pO9$lJ&fA3?;n$uqBN3@{nm#y6osHn|ZiA&oJkGl;f^yU2k00960Q?eq< diff --git a/CH6/CH6-1/db/BCD_to_decimal_decoder.cmp.logdb b/CH6/CH6-1/db/BCD_to_decimal_decoder.cmp.logdb deleted file mode 100644 index 02c37c81..00000000 --- a/CH6/CH6-1/db/BCD_to_decimal_decoder.cmp.logdb +++ /dev/null @@ -1,56 +0,0 @@ -v1 -IO_RULES,NUM_PINS_NOT_EXCEED_LOC_AVAILABLE,INAPPLICABLE,IO_000001,Capacity Checks,Number of pins in an I/O bank should not exceed the number of locations available.,Critical,No Location assignments found.,,I/O,, -IO_RULES,NUM_CLKS_NOT_EXCEED_CLKS_AVAILABLE,INAPPLICABLE,IO_000002,Capacity Checks,Number of clocks in an I/O bank should not exceed the number of clocks available.,Critical,No Global Signal assignments found.,,I/O,, -IO_RULES,NUM_VREF_NOT_EXCEED_LOC_AVAILABLE,INAPPLICABLE,IO_000003,Capacity Checks,Number of pins in a Vrefgroup should not exceed the number of locations available.,Critical,No Location assignments found.,,I/O,, -IO_RULES,IO_BANK_SUPPORT_VCCIO,INAPPLICABLE,IO_000004,Voltage Compatibility Checks,The I/O bank should support the requested VCCIO.,Critical,No IOBANK_VCCIO assignments found.,,I/O,, -IO_RULES,IO_BANK_NOT_HAVE_COMPETING_VREF,INAPPLICABLE,IO_000005,Voltage Compatibility Checks,The I/O bank should not have competing VREF values.,Critical,No VREF I/O Standard assignments found.,,I/O,, -IO_RULES,IO_BANK_NOT_HAVE_COMPETING_VCCIO,PASS,IO_000006,Voltage Compatibility Checks,The I/O bank should not have competing VCCIO values.,Critical,0 such failures found.,,I/O,, -IO_RULES,CHECK_UNAVAILABLE_LOC,INAPPLICABLE,IO_000007,Valid Location Checks,Checks for unavailable locations.,Critical,No Location assignments found.,,I/O,, -IO_RULES,CHECK_RESERVED_LOC,INAPPLICABLE,IO_000008,Valid Location Checks,Checks for reserved locations.,Critical,No reserved LogicLock region found.,,I/O,, -IO_RULES,LOC_SUPPORT_IO_STD,PASS,IO_000009,I/O Properties Checks for One I/O,The location should support the requested I/O standard.,Critical,0 such failures found.,,I/O,, -IO_RULES,LOC_SUPPORT_IO_DIR,PASS,IO_000010,I/O Properties Checks for One I/O,The location should support the requested I/O direction.,Critical,0 such failures found.,,I/O,, -IO_RULES,LOC_SUPPORT_CURRENT_STRENGTH,INAPPLICABLE,IO_000011,I/O Properties Checks for One I/O,The location should support the requested Current Strength.,Critical,No Current Strength assignments found.,,I/O,, -IO_RULES,LOC_SUPPORT_OCT_VALUE,PASS,IO_000012,I/O Properties Checks for One I/O,The location should support the requested On Chip Termination value.,Critical,0 such failures found.,,I/O,, -IO_RULES,LOC_SUPPORT_BUS_HOLD_VALUE,INAPPLICABLE,IO_000013,I/O Properties Checks for One I/O,The location should support the requested Bus Hold value.,Critical,No Enable Bus-Hold Circuitry assignments found.,,I/O,, -IO_RULES,LOC_SUPPORT_WEAK_PULL_UP_VALUE,INAPPLICABLE,IO_000014,I/O Properties Checks for One I/O,The location should support the requested Weak Pull Up value.,Critical,No Weak Pull-Up Resistor assignments found.,,I/O,, -IO_RULES,LOC_SUPPORT_PCI_CLAMP_DIODE,PASS,IO_000015,I/O Properties Checks for One I/O,The location should support the requested PCI Clamp Diode.,Critical,0 such failures found.,,I/O,, -IO_RULES,IO_STD_SUPPORT_CURRENT_STRENGTH,INAPPLICABLE,IO_000018,I/O Properties Checks for One I/O,The I/O standard should support the requested Current Strength.,Critical,No Current Strength assignments found.,,I/O,, -IO_RULES,IO_STD_SUPPORT_OCT_VALUE,PASS,IO_000019,I/O Properties Checks for One I/O,The I/O standard should support the requested On Chip Termination value.,Critical,0 such failures found.,,I/O,, -IO_RULES,IO_STD_SUPPORT_PCI_CLAMP_DIODE,PASS,IO_000020,I/O Properties Checks for One I/O,The I/O standard should support the requested PCI Clamp Diode.,Critical,0 such failures found.,,I/O,, -IO_RULES,IO_STD_SUPPORT_WEAK_PULL_UP_VALUE,INAPPLICABLE,IO_000021,I/O Properties Checks for One I/O,The I/O standard should support the requested Weak Pull Up value.,Critical,No Weak Pull-Up Resistor assignments found.,,I/O,, -IO_RULES,IO_STD_SUPPORT_BUS_HOLD_VALUE,INAPPLICABLE,IO_000022,I/O Properties Checks for One I/O,The I/O standard should support the requested Bus Hold value.,Critical,No Enable Bus-Hold Circuitry assignments found.,,I/O,, -IO_RULES,IO_STD_SUPPORT_OPEN_DRAIN_VALUE,INAPPLICABLE,IO_000023,I/O Properties Checks for One I/O,The I/O standard should support the Open Drain value.,Critical,No open drain assignments found.,,I/O,, -IO_RULES,IO_DIR_SUPPORT_OCT_VALUE,PASS,IO_000024,I/O Properties Checks for One I/O,The I/O direction should support the On Chip Termination value.,Critical,0 such failures found.,,I/O,, -IO_RULES,OCT_AND_CURRENT_STRENGTH_NOT_USED_SIMULTANEOUSLY,INAPPLICABLE,IO_000026,I/O Properties Checks for One I/O,On Chip Termination and Current Strength should not be used at the same time.,Critical,No Current Strength assignments found.,,I/O,, -IO_RULES,WEAK_PULL_UP_AND_BUS_HOLD_NOT_USED_SIMULTANEOUSLY,INAPPLICABLE,IO_000027,I/O Properties Checks for One I/O,Weak Pull Up and Bus Hold should not be used at the same time.,Critical,No Enable Bus-Hold Circuitry or Weak Pull-Up Resistor assignments found.,,I/O,, -IO_RULES,IO_STD_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000045,I/O Properties Checks for One I/O,The I/O standard should support the requested Slew Rate value.,Critical,No Slew Rate assignments found.,,I/O,, -IO_RULES,LOC_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000046,I/O Properties Checks for One I/O,The location should support the requested Slew Rate value.,Critical,No Slew Rate assignments found.,,I/O,, -IO_RULES,OCT_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000047,I/O Properties Checks for One I/O,On Chip Termination and Slew Rate should not be used at the same time.,Critical,No Slew Rate assignments found.,,I/O,, -IO_RULES,CURRENT_DENSITY_FOR_CONSECUTIVE_IO_NOT_EXCEED_CURRENT_VALUE,PASS,IO_000033,Electromigration Checks,Current density for consecutive I/Os should not exceed 240mA for row I/Os and 240mA for column I/Os.,Critical,0 such failures found.,,I/O,, -IO_RULES,SINGLE_ENDED_OUTPUTS_LAB_ROWS_FROM_DIFF_IO,INAPPLICABLE,IO_000034,SI Related Distance Checks,Single-ended outputs should be 5 LAB row(s) away from a differential I/O.,High,No Differential I/O Standard assignments found.,,I/O,, -IO_RULES,MAX_20_OUTPUTS_ALLOWED_IN_VREFGROUP,INAPPLICABLE,IO_000042,SI Related SSO Limit Checks,No more than 20 outputs are allowed in a VREF group when VREF is being read from.,High,No VREF I/O Standard assignments found.,,I/O,, -IO_RULES,DEV_IO_RULE_OCT_DISCLAIMER,,,,,,,,,, -IO_RULES_MATRIX,Pin/Rules,IO_000001;IO_000002;IO_000003;IO_000004;IO_000005;IO_000006;IO_000007;IO_000008;IO_000009;IO_000010;IO_000011;IO_000012;IO_000013;IO_000014;IO_000015;IO_000018;IO_000019;IO_000020;IO_000021;IO_000022;IO_000023;IO_000024;IO_000026;IO_000027;IO_000045;IO_000046;IO_000047;IO_000033;IO_000034;IO_000042, -IO_RULES_MATRIX,Total Pass,0;0;0;0;0;14;0;0;14;14;0;10;0;0;4;0;10;4;0;0;0;10;0;0;0;0;0;14;0;0, -IO_RULES_MATRIX,Total Unchecked,0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0, -IO_RULES_MATRIX,Total Inapplicable,14;14;14;14;14;0;14;14;0;0;14;4;14;14;10;14;4;10;14;14;14;4;14;14;14;14;14;0;14;14, -IO_RULES_MATRIX,Total Fail,0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0, -IO_RULES_MATRIX,Y0,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,Y1,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,Y2,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,Y3,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,Y4,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,Y5,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,Y6,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,Y7,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,Y8,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,Y9,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,A,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,D,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,B,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,C,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_SUMMARY,Total I/O Rules,30, -IO_RULES_SUMMARY,Number of I/O Rules Passed,9, -IO_RULES_SUMMARY,Number of I/O Rules Failed,0, -IO_RULES_SUMMARY,Number of I/O Rules Unchecked,0, -IO_RULES_SUMMARY,Number of I/O Rules Inapplicable,21, diff --git a/CH6/CH6-1/db/BCD_to_decimal_decoder.cmp.rdb b/CH6/CH6-1/db/BCD_to_decimal_decoder.cmp.rdb deleted file mode 100644 index 4d10daea91d7841a061c63aaee07342e6ca58176..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 22371 zcmeEtW0NRBv*y^gZQC}^*tTuk_RJaEwr$(CZST47-PqmOU$7rGI-*NiRZ$g{Pv+B= zjRF7wPz??E?+pN8`R`%=m#UgLI$7A+5->8;G14&*2)S5T8xt_HF%d8_&@(a9GchnS z6DUa$sF@fNh#Ffs{}a{j2Kvtky(<4n0Ji?S_@9E2+JD!%173BXJK{*Yl1WrZSEFf3 z+fb=koxf~&sDK3g@!!l&>_CF_A0kbqA%Q?E{S!#a1T6404VnV&p|C=hsrLx-2=(ZP zXYn%yJ!WnFG3u=5m-~4ij+~G{ogko1H3(7C8Ad-EhtHo$e;?!Zdh-qW^F#adw$v{7F&6LKiwz_?H&|rs`%Fi5vP7g&r+&s`h$@(eS zYC4fkC(ta<@4kxZJe`OBcgADnGWYop@mUDE&ElV$`(gCTfATH64Prt6gl}{p)^^7e0@9yY+Jb z3Dr5ET{{-+LSe80%5&Nd4C%Z8Yha=H%HU?*p6p!>M~WS1V1U?uNB2E(`NMM{rs8wh zY0Bw<#e;6w7ZsQ*R%X+Ed#f$-QJ2vSPh&i92ru*jn#NWkHMMbbVVb+zpZ)Lww#t84 zUb)KdECHY#MD7I&S75UTJ!YFMulqf!ckrD9CL67G;o-O}>m}hq(1&Qx^4I`Kw(s1A zeAX`A1{(!?7q5BQN)})&&FiqUf`mXu;g!(~YcvFA!F8maV)FE$GrLFOZ?=`3j7!(w z^(%r@D_6SlG6*F0mO5s?upeF_MdpYdF(%0|IN&^eWJA-M`$gv(?G%5ve|O{WqN011 zdrY2?NBpNU_(jpTs~|r}GXDhTn`fGp0!vWEU1aL- z{0)1Xl~e!j^kxiBO`rgTGNI@>4m0f3=)4HP#}BLi6LJvOC?N1op^`Qy=oMKU$&;CXJUanpRNcrBY0Db)Cu7!Q{HY` zYo?J7bhQ?)B@P}LmDn-&&Lfz5NNm9!zeU_8_@gDVLDl&4Pbipl=OR0UL>_8u|Keav zdAi=Unx1CT1tTD$#Ck>9xZ28)psgOFE-bH8lUV5ReaOC)7kd=a=)Eyj{i8Xyn;w`y zy@~^Y!TVHj06r1>2~=s!YKXX_r6cLXpY-XYrxeZB6>BgVcQ>M3l*HTb+YcRHUo*a? zy)8&DX95;HOpF6(@V}EApM8BE3-GmP;AgHEdx8w}GS|E`Hm1JTEt@Ns0DiGn3ae0y zxI6xU+DsA3b=#xCBm76+N;Aqw$+kZz{nl(i{9u|}^}dC~=DdXnX4Dz$@!^DT?-UTR zfjL3@u$V2UR)u|U(#p&xw`uGCmEk}uh*L%W7{A|jm_IWfcYBE&wy%Rf&3Iw7Q@Cw% zL9^3vyt=80`yrR1_M8g}rXToRCA{X@pah;$4l{6EBi>lSR22B4d4KZ%K-}u#?uev> z;Yqi_(h5FxeLfI*BjTV@+oHM@c8eB$t_C__ldRIghYMdDV1;UYN4z6^xA zEX7*)?w$`O=XBa|+%ObEGG@Ejd(^0xg=0Ns?CFNu6S!Tbtq#rbVs=)@-|K!z>l4eUER%zDK$JgK`_lgvfn zdD{@3k#OT17@WT~t*QU8;{h}ARtJr3)Fl8f$d17ny+B$-dq(Bnf62%u<+n}-leDD1 zsUHyM2n;q_5c%j&j8A>j1;)?ic1#N^8Mr;q^`VBExYwX(wl>>(En&gFYb28U&<^|X zOf|iW)UVsDcDfGZP}MLw_P1S|f*9j?(u7!?79z~%5}1)%*WwIZ6faA3OzOXTN$7T8 zH3h1arB+`qry2OU&;xv^$?Do%SEuUn9`8ySEZbMK z=hf*dZ%0TP6t6j^haEYw?4?FRUf22PwEBObOQaI6Id;;PSsJ~LLb&$^edPkc&MbmH zX~(|+gTUA7)2yqw-_F%zi%rajCLlr-fju}>Q#X?tm>JK#VQ?SvT#37eI!A>n8k@m* z#EO^8Dm4kVqCdN@F|A;1N_owXuCuZPp9rcKz+8lj!GB8Ls1)*wyvSVeYc0v43C`#d z&(?kNKU5-5Ou6_op7l2&8j-bJEsuWE zP&)h+QqSyBq_hE{+t2yjZtWSl3MpRfke{w@Z8%zUN!SoP+L#ha)oC@R3IitO`i1R{ z;dz)@AC(H8MMJX!C~OlA64z@MH_ZbdeRCTTT~xV&G4NpHt|4q0AZ%Q(ZdG5FrA-36 z+8mTM+0A5Rf266uoMlv7iW+X^UE#C zm<#zK4dXTa(u(vdsdDK;&#~1^D#asg)i#V!S3k;TwOZ~4CYDyXj_+YK%YZO9#Qi&c zyWLOqutor9HUW7$+x#9PMSoO(waFeW><8@gi+}FkbVsz6CVm)k!9tp*m@yGm&yW(D z`n-uWbpc~MlDbK#>9X$yJbibi&*2HG_|2F zQC7>4GA5zw4M|!`*Px~{;$^rUaY-XAx>8~iRj z@jL(Vn&X1*;9Wc_eZ`9V^UD;zV88yMQXNH<_7;fOYjx7Yp7-!~hLg{G>=T$7H5yd`ST|W)8X=$hR<)k4`0CVV{+`dP#u1zJ#&>2JNeQek zw*$5LN{!5W1K8Oyc331*JfY{6+C2Y7qyN>#+ASCz^AJlSxQIblaLk=kdWz;Cj@#Yx zo2|cG_>ggU82eJ_gv2N&pWdKkbdJ9ZlfALV8EZ4ADwvhx_&lbOK?u~kTxz_mjhU^aN zbAfsktkyedy0F^%AUX&?s5@&uzL?AhrQ<@N8<7We7#c1WH_jUbvZ41*0e=vFk-x4$ z(d|$5`$?+6!{K;ee?gm*|FJh6ckhoAgLh^n_xpl6MQ!S;OvOfcfivaq3jl&Pe!Q;1 z3J7$8wlRjU4>Mlxtrl>%gmX8p=^f(5Cg0>o!A&kX&l5l$D%>g&XPH{3onQYDj7yV* zEAPqTs0H8R0hL5P1j7H#+kTikPlpmYZ(TLrgOpqKo#52&M8j$_!QW`?x;f1mXm)qH zFI?$5npLAD)x|D`LCmN>X>1P#t5+|Vgt>8IWPRoa5+jLalA$-vi;oMgr0$kc(d;~@ zt>}8b(A}e_f_z8my8b6q>yJcsz@(*x#G{5IYYfY`1RjL12x`>r#@1zQq||W^@{i$v zaNixB)AW0d&10u4X9AWAyWLn;VXoSIX8PJdvvkNt48=Rz>v(29X9ve^UL$P=PZZk< zgtG(|Sb+5l`+}u8cKJVu+8w6}O-lCv&D3B|?>xWudUA>VVleklv=(td(Y5KXGN`<^ z2b0h5iI;mTdblNKu{(y*#;}l73d{ZUonCLhL4CXU7kneU0?Frn6F%wOiT6-Wo@_u~ zr7}l2m0L4YgT>nu&$ItH^G1r)7(X1>+68|<`k-itAB6{PD_ym`sI?+ z;ROsPCJC74Xb75Oeh%4)5qzpua3k{c_G{;W$OR9~>%@v#pwVRho-m{XItH77bFYuc zHa_-CH3uM!$R()bF4gxIyYZQ4pjxYA{uQ3H-%qEk@zeEwc;9dW#=Q%v41&6-1)CcM z<8AD;T8VPqlB*jAtB9C|rKKQ6uCau)_+uEPL?%EMY zEqsIvdMmtQ2xmzLyf`-l2`MX&cJvL&2zbQ_mj4+Gq(Shi3zD2|rE$r~hln`vfY90tQb_uzQh9 zcz6kdQGqyR%_LhMxmB{Rq`L3g-7?%1mUX>?7wF3^o)&OM69xwN8ZKU$Q0kNQYybWA z&B>!6!tm6~0N6)+*F6-@c8IlsHO(vhQyo=^DkgmiA?}mTlAuctN)Ere+dc9EwU2*b zk`i;3*LB9VOa6~4(kq22G>(Vqj)W=cI*w)tE+Eem@^Emo!=z2VuIpzBVA8?FHZ_QijOvC9;$5IzmE~RzS8P4LhSH-wgY#A8V zbcqS_<`aPuvdUek87l-pF;XWen@(8!dls?{vH%j1p`@gGr=s7&pRp_}qek}oW8S(%wp{TZN{mfL>ceY-Y&L57S`k!UaT|OO; zCwbmoUheW6+#$F6cCDUM2Q`6%t~fIle?PGT#jaUeV0Csbcp2>%@fSyu%Dfd-gidk1rO@+dOInn zzA2|TvdbQy-9=qLIEZX@iihLkbvxB5ung(|ZhtOu*=b*tO}KE8gG!)zIiid=2|)_O&ZOTIyvW&lkK_Gu`O^K=8{>q)^*g zSDz3ncIx; z=Drn#nj}1QMze_#6G7X?v0LKlo1PGyIfb=&Y{Kq;VqOUJ7sC*m_dlS;?}p}W%LQhI_bxOt)KC7 z{p#Rc3i;5i3LFX3wBi`Kc&HaYW&GW&^$BSvqG4g(SG;e?^$4#01rV&+&;YxFBM}ro ztLfu{YP(V>f2L0Wg|{mF=quzT3bO8CeYQp&<*+_V{6XU{*OWF>XU6Ocj|`ih7P8Flm7Z+ zviB#@AJ5@c{tb7$pE^y5(^8E~I~n`6W#0yrVQHpnk$JMTz)V8h2j`&5p?H)_*_ky? zeu&mE{1dD)PZ`VsZYrDYu;Xwi(+GyVyAN)sO|+ukxu>eC&qTkmsGGfmF~y6QyS2bM zwn6zjs+V4N3U3f4tgM}!Yt^V%)83-^vp=0arn&uTUAf&EUcK zihF?P)cHJ@wx1waoSAU^M%VmmQReW>iy~^ZM?H?+94Y+=fgUO@m(Q&^u`M|X5?uHQ zfba#y{UYzAUTuU8@HjIWQosnRHa3w?ta72FIda;(I#8pv0`+he0&#fohxvI}joy08 zQJf4V$4>f80BrVp zaA3oX%{Oif$^l=+n4PfeCz%qJE1O@W~Px+MPs;4`3RTC#LK49nYER1XoTSEt=tz=7q(e4wdKYAx_1-( z$wDZTwHs|!EAN~%P3rIKopyP@!V&9^b?db%rL|B{MV!gV*suw^LyWU*`beI%*|NOV z)n8r5I|I1rreUTvJkVm0&FhaFTi~u?W-Mjuk$TFBD{!3-vTw!C=dL$4=S_YAmn~rs zQoS!r?OMxsYV?=363b2JmBi)yhC@*jwLm(zzI3K*&=>57BH);>4UfySfh|Xf1Rv>| ziurFsE~;tG?HgpK&C{~(T34K*Vd6CM%Sa;StYSCjG|~h}x-;cLq!!_LtHq9_JpQ>Y zq+>GTh)_-Cux*NZpukf)F`YC#cwEzSsHq7$274Rpv9o!HczIBM`;J$Hlo&5@SxIocX@016mfzbzJCA$Uz6V8iGerQ#{MW z_0#H&dDb5sR|I5d!l9SJi=`R##5-B~~jJAtK`RmC7^6V(6=nA;sIzKKqiT8T(x*I=c5W zGMue5BXsQiJb$6NA&Dw5%pRl(D2Gh>l$vBW*edGayXX=4_75$(CS`^nYP%YJZU1R~ ztuk8n5`yjK0Sk8UZdC5UNrP5Ch|e7ZrXH08)W_Z*Qg3+5sL;fuT3ncMjA>#m`o|&ssnQhl*Ua>_5lmQK-aAsys=DPmpLrO2dKfoH8@QQvpH!3{RHpD| zzv#`0+R9O{8rRj_dDLGN%-BxA7cjd}SQ^MRn;jevj%G{Vo97_r;Ce~aZ!_b&fg;C< z=2|Yxh?O3ia1$H7iBQErx<@NT=;L=Sgg#4~UTkv~Ar8kL{2Va?pz9T@&bUm3S$TZ& zn%Y?p^Qx-W&##yI-SlV^Fp{tA3Ob-$h#t(&0XAZ5ex;NY&(-S>lh&Y3Rr}t6ZU&%1 zUsRTSwifz$#98wyN~OgJ8#RBn@@!6w0|iPjdL{_5qFWFm#ZW4M~p3w^eBE1r>6 zp)gx%4`knKz`{q=TW6*sl~kZ{RV5+no=A9&gbe9)xZ0kt^k2 zhD%yfgH3)B$sis`bn9gfG`N>}=O-iMI~qJophyK6m0lP=lB3K@F=Dwei)#_7{#5Rn zf%N*gLEy8hq_o3I_0h|0xElDzDah@2)u1> zAXnuL@XBkYGlOUvMSFAdoo)>z_~(>uHr6w;72iMfXNy5`i+l}` zvJq!)1;tZ}!7GS48jiLSA|543MV3qya?8p&$eDl2gU4`AvvkhqSCIoHgM3^*_ol%*v+H|a>$+Y) zWS%La%)U5k25@&Z-x1i{cOoB;_E%oUEj(_^vQ-kPLRo60g$#;^AhNWESM1xeRdSFd zCT{Vr48@Q4`&+V}2C;b{dxQ&dfn*&j@zAM(GZ#Ciq+*Lz`rW@nt?9t5-8%QqZP(Kj zd%Q`4;>&)-r_Kd#wD*5T#ah9@4jXc9@o{nS61Y1?kU-IgOeyh`wo$=z6f$HLk@J`A z8_W&+Mc~z?JG*FKFs@z0eK3c`*uCYZ9}6}yo3PzOMr)RqKALx{z6+%ZQkhvmUlXa3 zw5D|4Mwb;`q%|?Mf)$6+JYL=$6gKoXGTF+JprQaCP9-+isa5o{fxebq+qq}ToSp#%pS~xF zMC{|bGTRVHWeewL$1egCi@>|`eL7ukQOq-&WQ-u@l6?<_*&_5aC!{q_I=O$_9J_Y< zcq@ixu<-ES>k85>#A)r}eE;mOeYD43$}W^irI(>7X3jGmP}~o7eLefNoa~-}L2pzsy|iQD@~ij!8aT8eff$8J35yX5_%q(T%ybKi$ac->P0&&WJ1qENLLJ zSp{k_Hm=C+oapVnl^;~7O1@5FM1z%)mmp4MzTn6n4Ja~K>>^c0LEDRL(F zGKzr2tw;%fG;HB8O)nTHIZPONl%wi-=+Sh5@`@f#mYpy(zh~*H|MjB5s7ypJiu60a z=BD1^il>f;rV=2+6X8onV1mL@CO61Q{-r}akmkri{Ag4YaPpygW;%i`O3Mbh|Tb3JcGX{DY`ngtTqN) zVsu$DFVc6`f#?CjP73y>_VQUZc0U|z4`^}Bpk*`EDQWXopHEJJ%`9@-_OU~YG}aPd zTgN>tY>M8h#2frPVFTfvPQ%a{(~@Uv=74R>W+lU(NmeEjJZ$uY)S{i~OsB?rfZ1jf z3z7i4u~N!8)6Qjs|1#5Yx^^q}0(F%+woW7L5>ycQ0&kStHCd(z*HTq+r?XF8>0oNV ztfhP&;yzVcT>N&(h__w_s-{f4S$kt6r-g(xG1n|(GGZZ~5cs?zr+ zrS-MMHW`>|P8HrQHmNRFh-y7SD~~E6{mRhn0+fC-EY7l9;&egzZ2403griKaWpMXH zDbRU{L-B>{-5!b@IvhTh!L9dGcdqA7%LwwF*hC6m(4?o7A)#KefQhWHQ6< z4pD|ely=)|d$*_ZrpM8`ahaxX6FxA{*M0XeTCx z;%|77WxsMpY3eAXx?(V&TnKhqJg}8QhjBN1(uDUvx zrRA%A8#l*w)*$n=RU*%BJ!Qk*$BtYf$P5zV$I=!aSe-x}gSYJ9YB0OIm;!t;)(IB- zzIB>`*cu+3>cL(!M50W&x}(b^_Md}x>~ z=p{VL-vtLI%bVXI!L=w4AE5&LeQYf4l})#1=mlgBDG}W~DNZS1zSAe(W@#Ww99dRo!m{?fqCFD-b{J?p*SVZBlNMXy2lUEJ=N2Xi&Rj5~Ad9 zS@Y}Och;paI5`Z_E!(B&!g@0eTGY?bO?7tQ(h@O>Ft@Dg`=>qPEa8y3b)B5kFxg0myCLiruCi8a9MTeV{yB-udUxh@OEqO z%^$Guh;5T}5w}%uyHgM5hT2DEwhkBzIwGiM^V~mi=h48Y@R!WX0u1?bcjgm8tts5ODgbei?@5+C(o!m^0C`T4C#3@&OH&YxauXYlBt;ZZ>0 z`v&bnDjLx_SwT`EUglkkx1OG2#CwleET2lf#4Qd`gDtlYh!H5n)brA5eC^faoMX;g zNjMemyP(%0L+&J}Q$zb$%uA_+X9)u>S(CAIfarN|4g$qBB8AK>x2wdd42R}hX*;`} z?APWn%`rwsOy#GxnL}q9y};q!YGEeje$jkHlZ04K*BGe!`)=btX|B0yoygf)B78i_ z)Swk9?rSwDF~-`Z*X$HY$)v=jPiJhFhp zL*2fv7SulbB|Q(ZkK7(>e+!(S6gc>ks+__z(O?=5^F76AN)i4*PwhX9WtCpE{T?(km`}hDypXuqO*q*1QB@Q;!i&iCw6ehj|cK~ z@=}KoUu{XmQ?!4hx7qz^c$b16*WPmSua+4xH1t-5`cZW8bIa&b-g0wzf{zkpV9{sR zEHzgpE|+z*mSSi;ulLWSIV+&S<#Eg$7~~W8d0xJV2p5-4YR>f+IpP<&|HANlX{dg$ z+*9`eS2+0UL^EkJXgdvQm3Odt81TV$F!qHDEbPjskvk{sA9UpfLQ0n)4jIM9o;tem zI%1-~MXTu~v4l!sW3E2wx0q?fHNaJ48wd(@@gm4>0X1oK?KY&B-;za%Sl@Mb3A7W< z9%~z7IcSpGk{&ML7n+yH3NU;+bb(VbwS5*|Ml3X=`wm)^_L})e7|kU{}-* z2{n#ki2o?H&;Ad1{mb;X(leK3x^SETdK~L()j6ACBxl-=MjH|(W;?V{~ zda&;ZU4;7c0&$Sk{Gruk)54p%>CcTsMtdMh^bYT+_!hAZ20o^@nGh#ig^_(F&!#`X?|LU;!9^JtUd ziOtDdgeao^5o-NjrZ_A6BT1Y(6f?1Q=oj;EIU4bCw3K#dZi$em~gO6>9YX zMFwQANau$Gu&9>DxVJ4Z)5r-2#N;Aq00g?Yniu~UbU+q1O!gBWc;E=DENk>eCPQ~k z#CVOBN4LjjWupNwZsC5FA&N`K+Zn1xKXZEL&odqKvmdThu@~LBJ8=9LR%c~oqr$xR z!8ZPWb|bNlF4j7nT~DxdY5l>?-#%LbO-{P>2c_79N!!d&uYTKuy{>Mo!Q#5ic?Ivs z6DrwaDBwrXOwdlnjDu4Q{QksRbo&~OOoyZ&D7E+kz)gxQj~loH!Le+e&ep6BQgo82 z2eXI2+Ro!JRCM<&vOXt)G^gFkRLn`6*&NcUZ`kg*I!R^FccRV?Fp!0BZxQ^4{oX&e zjye*M z1fF1{W^Mde!T1o^s@ad{H02`$rMn#c+g#9}WS+!0{NwKC?c-7A+_{@};ppyS z3}iPawQLT_pA-jW)KHUL=C4FHF(Lj>(n!eH2kV zCSILNK>yUMHyO8Q&G%vA5glezXu+tBQ0W#?kou$|W4-kmB~T=-5h={)9{5?JMcHe} zn(NC+n~N%tBPUQexkn74Aen?FBE_NRQ+k_5p@n=Ed#hgT)(>dEIIBAOl~Yf6m*k&r zOG6wOKz+N{o5hJ?vn{W0irDLhq;IWSw%01bS(RV)1iB|tS$IpAy??^o-dtVb<=ogb z;iuDjC)fJVw#vC}kGm;+Peu2Om;z+>A6$4Bw|UXbp$2QMKC~DUOirgcq!W%HvW0~n>;SQ$vv)|*bB44j@Xoo zc;YHmbt|qXNk;8|_zlAEJ{H`a7FrAnYMkL3w*dAkgtjc6I*Oj=uvcYzh`m+yX1LKJ zN^Wdm3%ez8J&V2DU>{s&Z<%@YHZb6&@z+b9J-o48f$Ryld1{ueGKSz#3(bd~YAUsq ziif7)e5`s2Yb5(e7-W{gqn?z0Obs;~ajLrR^KbFZ`g7}k2xY_Okf=t_hk9AkRTU6I zE`f;;T%twlkVHIb{=WM*CtQMpDD`->_M6u70gr=3lACMAjKO9qCc^1SQ@lYz?}DsY zgj0>~J(}m&LBwP<-V=vhhY3F{Es7LMHNV10B8&B0#wXA9%gXr;Ab;tX>+9R{`R4V) z<>EwUcQ-pKP?2CHcC0W-I#W-~isk5P#Hbx)I2+lMC>?1)1aaL>ZMwo=CW&4xfDl4; z0QO`v5_Sxf5+gwEG>IApis)&;zv5WQ`OW|nUDK#w!wAg)XrLQi01|mpJNFj^Q<#Wc z5Ta-t5Tph660*=9cTQh>5$S5G=1iT7JZoXN7(%*e(SZ+BV&K3TP4>N5klmc1Pesq&SiED7$0u!BSlR z8iD!P5j?3kV;`J~0gu232&DyOjwH0u?a>RWwMx`*n6M1*2VtU^)U6M9Gz&U@{>X?1 zj1#3<@!JW7kArXR5P!<^R8qbS|mCZ&(e!>zYCd_u|_I1RE!u*sNc zmT0z-)-jpNkz3c4-Ij@a z$u*>=gl233Mj)E{XdydG&Qtv)a}Ny^5Yy1Xsq1zZ%@qTlGaM2AWyi-IQ<@>7Cz@A= zDSZibl}qMO=i68~Oj4=MHPj<1*r;ZU^aUCS>>o1jBW5vtP4gf`v3f5d5j}4H5qeD+M%>fU9 zWCiJDrK1)69w7f#k84anF;)sXFs#K1r$9u5gJEeRMmBB}kbfM=ju{RMI7r|QjO4=C zzK2i@DlCY3_pg&QSa%8`KLXXfym$Vam@fg!e@-^iI65wrpFIMV3OzrE@Am*XC!sAI+!r~A_WB$4KFmk9$pn7qcc zx}d7B{-V%Z1eOZq4#NC2dGK|gCFYliL+Ta)MHh*y$PnR7if9MPgj8G2Cwfg1dgyI;mD^BphzEROo{&1lhfEWWj&Sw$F>Hv{_yji#f1aTOHcC8gIUN5Q4qgJ(2UEN2d94<0^XDx(d} zomERjW-O+;k(7z~#so)`M6TW)QJBnDA)i!JD1}jX45=c-a7>dBg-I3>1xXJFg=sqc z@tNH6W4lH79F$3+a8PTY{-8fn7@8bKP-x2#N7H>%cxA*U3EZnKrH=dQWpJ}3j)v@% z0H=Zb224R14Wb|6Af}{o2%RY#m#vBR09;Pc5MW7pfU@MNYR+4i1l)k^wcAI8va;w+ zs4kUW1d=`WzP7JZG%_)Ne-AZx(pV{e3rp0Zd~YzeAatz>=oSqzrB3-lLP1hm6t-A? z0~Yo;z6VQ*q4-lmHm6DX0X)avof|QSihm^AC{BGF&71LRn>PzA(Hfx(u!sL&5ZNAx z3mOM2Gf3MkU{-6P;_L3oaO#GteALkxysKyd5&`Pe=omF)XU(kFiJ)Io5oKdqqoX?2*o5E&T( zQ3sZ8>n}Q6n_-6@Y@!YU9l1pE7Z@T1MtAk}`2jc|0xC>ckm8(yA0aZj4x#24Nr;ps zl0I2t5*{vPiGokbiIX1zilqJqH_W}5=Z0v9tNQACJQ!;-4LUB0oFY)1xw(xmyJE1Y z?%JVJ+#0o$Ny<`ncQ1RY=KT+U284oXx|ut9Nl z*Mbc&fUUs8lmQOM-O?TUbA2*5c-&AIF;1cZtpmyXjlEd_@{-0L3ibrJJrR_VRGe^B zkw+9p0+vHma(`f|`G&MN;o^=Ep)is#Vi?b@(#HVo1K(!HRBqceN0F$%00SEIVGvvKj1(U@ag%7AeM)w!gC(zBhwVS+p+^~>j+)P&_d}~uJPT9iR8oH zqk0}TgiGv^C!*zB_vCi}v`qIQMA&2t<+)kuy+5DL_Nln@A8LT57HWH^3O`znev;t? z7XT-~-D(?=h;Zk04jrT_j&KK2m>KwZ6q;47ePd)Ajrv*j8A^WYJ_eB5A#vV0vWH6+ zN(PJjn$y(V$?9b~o(4&4`cb|as^1=`9cj!o%hpkSS1mA43FXlcU&Hl?LWtCovE&fP z`+@)k?QLUhqwx-`(ArDoPl4M{B%+d>fIBetjy%3t{HgHp=)~p2WO|r+E@d(wQqZMI zO@mC5f;F@S`14m;>f;Dd0Pb?KNHV37(G>woJ{4-!2{Iq1D#Tu!ozDx=}D^|;+asN=f$?{K& zQYlH>DT+)<;xL|fuLCtcFgOD1o^H7b?l0=CB@}MbFW&9Xd-GAat#8A{D9h+Z;vB0R z2_(TL+0EYgmC_K!;(Z5@ZIk`e=r9(06Iiwyn*q_@CTilawc$BWP5PUXH$8ebhC3%qsF5ecF z{|x8Q&PN8;aTi5jZBu$6oiM6D~y-5rxi*!6~$>ysi5dhgfU$U)NTnbSZ(LUVNJ=AgnW;ZP;rSJ z{GTwXVB`m|wHq9sN(ViN2h1h5ol`!BU%m|$Df4OfN4rgfj2oKSlvBIqo!x+6Q zv_gb1Y$F0V9ikLZiZMHB4yT@>#9Dws2?t_3$z$|LV9`CqY~*W;|56ZE^1W?Rt(Ox? zo^uLjP)6S$Tq*A=;|#amaMHUWqUq4Yop+3ap&GVt$>x8`yD?*hXbE$94VO5D?-$dr zdfwLbGV9Uaz1Pg7@N`@c^p5M-z7SHysN7o5d^s@z6PPH5p1n{uj~xC-qeU;=UzQ^ z!T~^eUOL>~@`iU%fV^r*QhQKfFqxfg$8#%0^P$+&EGTX_m@N#46G->`61dW{ONWyY zqSHDn?SGNw&m2u}BcmATQFdRk>ZQV;2)EJmzER0(45Yzvl*Jb}rlrD(0z(oG!VIaz zcfq$@cgbdVGpw{>-NBTE2{;CFwIOG-SKCxCU1h66+lI5)*sk`?B~*J3i9d_JE?#yp z=nVyW$7 z<>09|!ArHoi*?!~(mA>nY|{I1b8-*;c!utB2vQ8bk3}R;emd}RZ=-U=QdD&4aO1Ra z77A0dE1c9JnsD>gHGu*jtXN{q7!e?bHE}3viV6G&x~>Vrxl>RVvZHn{mz>?@I;^qL zrO1}cM*N(+T+XoM7KOJ&a28;VOD}L>ZY_cY?HOSPYJd$Gi3xDYSvCR)iVWht-Jm{m z8pxjV+q?lvt0Ou$ic*J>X$_A1GuAq#tqf;xEu+m0afq08leq(3Zr@FM+Q$F0xo3b; zc0BMaL%N1eDL+?sbS$a7evb&^g>7_Ke=KOg&fNxGai1-%guF07y%Gi}<42paQ5S^h zeQT9m)&TRaLAymsVExA~+BT}p@V@=dF?;_E4R?2NHXXKq(-lp>=7^sQ2IOh4=~B*(ugVl?n-i0Co2h$ zcxG_+)91L?z-)8%t!Ld&<0g6zNNlRH1@4@r!T+JW+>e;U=60>@Gx4Ck0*SXUxA>FJ z)(W*n@$9aLiRO7~&*!fcI;_-xPz`@6F$D`b;-2c!-gaja`Q6Dv^K!dTx7I5?Xt<02 z86L(1N2`gu{+cToo*6CND&b+7UXTa>Cfxz}+s!@g!*8JO4jVB=OulC^SisQ**XPib zV|`ybM4W|MV19o<{$I=g3(}Fx;jW8f9*)1?*k${j+a~1K;o@Eg&|3&BT5ED@ z7T-0$-=~yQ?L8MS{uflv&T$OVy+D}b4kcoj(>)6Ye1is&X)4CnfuM{y?_*(J+uQ`) z?MS(?knKoG$>_vnH$N{zY)<7=cy5?J=P#q9sKw59C>m70e&}=a;R2oD#sGfKlGN~Y zrV02&+uUl{QIKM?!##~%>TCcTUGf+5l!Nsy28GZsE017uv_gc;iC)1*{0Bzy7yiMhQ#zM`;4VNG z^mX?Et0D9bG-m6Txjbt3fTDYTa&nfw+&W(f`b2*c@?EgaXro+ARW48%(4`PhI!R@u z9$R>SxbRfnn2$=hrPg?LU|REUUjR8yKtch!Lu5G2 z!mTM_>R+A(v}l6pzGER;P9%z|gn0Il8}n#u?W5$TLb9>&bbqYIaB9dGw1E^F6d`&T ztNJYX4TaPRC(`GCW~V_p=QOsixwrttk&l5qHL{qKG}1U2R%7G8B5{qqE}dWf!H;)t z@Ors?I(0mKG(8&sT{H*cxF4h^p=SINzli4yBKM(N(gy;J9l0?bWR)pZtC<80Lh@S( zQ>@P=w3^WfSaLiDF%r^1>(hlP%ZoarHD$_;%p**@Njn2Ygvk5B^Zj!+tNu}{U-5q| zD-S1FC@IV2pDSfr8*&%oFA8C&GXLDcURnu{Tu?Z~l+a`JsoIZS9ER_K99f6pb%rY1d>&@hZ^2@b9<>Ofhku~f44rHIwY=g%>AwA#A2?8Cca=R7m#nz`;@DABM# zR^c%YN#T;qC;FlD*`ps&J+{~udG}SJ;9kgfYWIXeiRvc73sq}!RcYljT)Ph9exB$# z;_(g(-*B}vFgX;dr#Nl=auq@K8`C5_bp>T)JU&p_i2i3Dcpsk25dT;$t7H-D~_+$7W`b3C*9}i(D4@BTKFPk(P>v5hQ~6h>Ebkg z?-T5QhDp95M6~-fo3oH+aro^~jIzWh!6*9j+6ugd>6ErQnS4??itcpoBeFxEu7;qfFN>-yyXnahqEme> zfw45i+;kl-R2-~Z1ggn|Rdq3T>+j+8CT(j$@Ea4PKyG)Wnzk2Uve*;F7*adNko{VO}J zod=#z_=Hs1s3~P-Q7;c4R8aj(U~m)nvE3owVODk>@m6pVgXj`S;USyT+hk)+hwERd zI_fxO!RFg4(p)-xoDW4_MT&F2AUl>PdZ7q2%L|^CO&E(PvI<3;dV5pyZg}HI&M?vT zkjjPOo(hu0YHBL>OZvg8%enpOvBHcZi#I#F<}}BP^g-7O@ikE|p;M7Ro28X0`z`Ao z%S9DO;-r1owqr0C@00w$mXNFR$YPA&a|(h&s_&Royd*qHM=m*(IyT?jMgm^)8HA_6~4mab63;Z!F(4|KF@SbwH7$RDk^c8 zK#|*}`bO1uO@2HPjMZl!h};GP%`9E*N-l4${i4uS%-b!{3xFvR` zz@~S~=;Oj=bd5uCL7P%CfmQ$|u}oVtB#g4IC^bu5G%OVFfat3&3cz!GTp@*Xw5-$8=ELfY8_S5C|oY z=Y5q+iCBR48T#dZ?p|m5rxt+B>MdP3+H^lR>Y47KPk_T! z)+3mj&=X114t6%;61P9c6kbvetP@RLcNzA={QVY!1q_A(xJ7P+Eg^?>tHOEiC)|!B z)xh$zf^AbwYR~*C`i}afk<2E2^7}DSeedi?wNH$3{;{(S)LTiMr}G-a&)3Ey$Jx!> z;>MVXY&%$}Tm$e|l4JwrH=d5a&X9`q*RUa1#TL}iVlm&?4@jWMQffps4f?6-k1j`! z#F3+i*%zV79Z;T?#q8SqVGC(-20zymZVAWg1?Tnb8zrMb5oOG(bb&W4##Cs>pes~8 zpUNh4do5Q+buF*A@ZE%qz2Z|FyRdD!md$GDMd~(A3KLHhb~E$T^|vv9#KaHcoO;Nt zTaJm{C>)F-8f+Cz5FS&F z?n%vpOek~(v!)IR;GoMn&zRT90m-K~qSo5`FU&&g?Hh71;FTMN@1&XNHKD?gs%(kE z<6EJ&Qe>E*oyAlDRnSe8`2@GYE{u_r|k9n55!O6)aQC(*sn#9=Wi_~S;so|HU zx;GJ@(f4iqQeG&9%^ScE?^e<%=TXTirCWwph-olkpTzfRxQ92C3xl{gFey7_EVVrCm`ik&15w?hT1i)+4hHJdZgPQjUvckbJ2#dI zln0Z9jZ7{%q`v>vTTYYMP5Ohlk{Ef*SIic;vf}@g^!=k2SBr0{vPYzIWCGV@8@PHk zRIgubZ5$G_v!WKcCh3D4$!FU>Av*Ql{e2<^d*uED=*cjnRqP%~2sZ0#?*k+<*aeJ} z4U7KBZJ(O)h<;jhC*m7E?)Jxn9=pW?E|j!lHmhSGQ}LH!QtLqp6d}{}0~0(-hc&nR z5MZ;w$)e_+f+(JiA0{ri9TyvFasH$%Cf;VPYoVX1)QI$;!?x zi643Bo(DP-u>T;Rt5j!Tux{4d13Dk@Am~x@kYiV8Zu8E-RxZKP16BfW{mXl*3wYL2 z0mN;%z3hP%VH5MJY|-*7ii`ZoY>vCjjwPwjcO2HukIfIVRpi&$dDjZKVx3oC8Jt%U zy?(^-h2od(=<7tNBm%dC_%l@y6gLDt9#}K@wge`iVJ~F`J$*ux;w!W`Y&3ch%V3Lt zU^kC(E$yB6uqK6#JOXFQ2gY+LC^7%|t>SOVt*1A_&cA6-#4Nrs*~yd}C?j^fb!ny` z>m#I#H{CjmLKHu&goEEEtZo-Y$kxUxtJM?uqDoGe8RpL}ZH7iC4zj|+W*_9nnruD( z-O$ls)Waglg4&dI1D_UrkdRO_QO>XUniG{5I`vl0-3irqKc?_2$-A*4fr-Yv=3W1P z1`X<^J}8l>}=dsh+7&#IS4($76Ctx#|X4 zg`Ix~bXYNy54s35534LV!Hq5SAnA0zY{9a$Kyt_N39kwOQ6^`&_lWrzl%tbUd z_LOPp!O>nd1Vf{tBk#-d8$#1myHVYu zx)MH4p|mn_F09u0UUgIOc3COwb8`ZV-Y2*|IWa>K2AsV%D+-;X_xcwAeXwM61~cs! zevMPoR)r^`bs<87mv(St#Mv;8r(j(^C-R! zPbOzlbjZhstGr>*q3GK?Jp-k~nck$k-alc*lKM)_AcZFC}6ZUj^*=R zVO*{7boaZV`l?-kj^sYw1Nx=Nx5ge04#_?3^n` zq8kNK+JEir&hGw%O3dB8^kH5;LEzhH(;%#(uBMe=EySXLlc;CHn{dM=L~dJ3S-tSs zEg6$Z>o;SHA?sP<8|dNuX7+a3HoE|ERr*7vb0RWcq)HC7o>2W;tyx-(Ucwc6lD zU$^0E{0y2!vL^k9S83uOmsHAz5N~5^ny>*&&SLn^fshbSGmFg*#~2UmX`=c@>jzqV z$jK>9)j>JUu2c|}byZ6A&JMRY0t}li5k%fR1&@oJFYS~Dkf|Ll0_A4J&LQ$G!_uS~ zjUq+>ALvf8U1b>DYMZI&%M1y)%8n+CR%LUJp{KR&tJUVIr5PU??&>#gZh`q+tRU9q z@r*^fr{0m<6vr}NNdx;3hT4H07P(6Q`397BO0 zTLm%^N}R_3PVp9S=3H3pEX?sbHl!X{p+vvm36?lSX`zbrD^k1m{?>hm31dcWW+Zj< z_F;9!5G}alW%xatxg7PKQ1ju_l3|K~T1Sa=vID8mTJ2#NTA&`bcyt}^x}%f2z5jzg zO-^|b2}7C!zfWu+0kYb=Ws_P#ZQDnMC6YBXb$ix^ySHL|+Pn^^QBVqB^M-ImzP=FZ zF0U;%eOTRZxGG$);;i%2zXPbr|Gxv|8wV}MY2~tSIU*f}ndjV29Wy@hTo}0XG%ufB zj*e$FG5z-qx=wPfE{pWHV`a@G7zbj4vK3G~E}D0#=E%d#TgC5zyd?T4u&5vyl9|Gj zQse1bhD@>YPoI2Ik+u&RSyt0_sm;#(FAvzD(nP|k98FrwC$CjN={h~9+mXFgtEN*w8cSb%U|G9UL_95M}b+RJE8CS?X43)IO0lrsC zUg+2Mw}8zHJ1Qt6?jAlrcxlCTc0~DNfw#{pyf+tQuoyoe^zPEnx7LizLa2lnKvk6$ z%hwc&K@wh(9v%Az_YD*z$jlxrlcRO_pETr@ju3c-^RwKm`rmD`Xp2JdZ3Td&=&J<-VMJPV*-9p1j1OC!n|TER(ZnVakUIb+^v zoOmP;qFK4XmdE;>=+)}-xUNIq!WZr}>m7a6`9PH0`}0>bUBB2FC6LHPsJ}qu;M-)^ zNDBsF^MXLU$aLw$7K*+0{@Ch=nbxB=o89=YA4QootrX?tt(GoS{@Jez3f{lMnMppb zg(meq>t;;2Cv>x5Z63MA1@yP`ZV#uqtwk1;%5vy8h0ZV2q`vtRkKdW6xU@isJ|tE4 zF~!Mj8he+sC>pAh-5WZ1Q@tKDY7L&~tta?10Q|FyAKocNlJ`z%j7VT5MF!gmOQ&C8 zRm0<#`O!Kt*~C^MN5ORD9n_8iQ(YHH<7Lwyv}e zGH(~GUixAEn(>dxWu$pytLjGO|AND$s~{JRgx{*1ZEWwZUiV7BpjnehKR2>$@^qwZ zVu?l`IBbBKcBg%b1wjD{e@sIHJq%JQp8a}$KILJFu_r4M2A0`lc#~C0f`0>wyG-~J zgxY2*q+>pOix;up)%A=}xP=l+U|*q3vHF{cHnb69wuFB+O6+#3OoRg%1kV+VS?n|@ zn;%1t00{{`+gncVK4DEi`)F?g5TFR@ijfMvi{)IVovK+Su?)H@JHaI}Pcg!oE!!qD z@*4V3>E5daK%WQWE7d-oVj`wvQ?!|Xf~aqrY&3P}`kLf*D4+$WXQR!hofy*F@{<@98D*P&kuWYFwbKwF zi=RXoseTf}N8Jr(rJ=+Ma%8{`aBf^y{k?wdEPBap&xU2nmnWGpgeHP zmX)OI5#RY=JS?oge?zbhVY>Y4dNjQw4D3;MgBx|JX6BEc_B#gjHuoGaF)u9;71Hx) z*V5#enF=v$GnWXf(|yQJO~!X>w}!!{Qaw!{U$zFg3xmqS50CDT{^kWZP`;tW+R)}| z#U7+(xM2909LOr0=4XiiX&o*1>31)l14B!`Ca6!3Hk!F|GcmmMB9s-*fNl|SS*VG3 zd)|97rbTf#$MA}Xnu+V2-5B4*)SE^-d@jK_p31pDRZO#z>97VpYBl$T`%6^0=WuPb zj+7*_XT!+n)L4!Zdk*f6V;l-0mz z3-&mt8kP5Vm%jd z)6$;%g8h9PRBtRCblvFh^Gf*Zr|-G`Q157x;$`a_KvZV^Gdf+UrIs_&4)2_T5EBW0 zDz-;5Cw^W)BpFRriQ2#xx|wfen#?A>As=t3=I+#^s8YJOWaZ6lqwM@J#-P9{K~bix V&uG0001ZoTZUZOT;h`#qa$TL+-Xs3!b(;ta?~)BIq%s&FmU>^T)|l zSn$J}8c;+9!PCIJ_kM3+Hpj@yOyIS{L2R$C6$fQ-woaec84OZAyQmz}0R^n~7o=o^ zAB3!>fagUMFX?l8R~E3_LEl|Wmf@jDAp2U@Eo>KiG9WKIkZsfTP1njE?r-4{C%7?| zvsH{0z~+c&6@&GhR6+(m$9yB<9tr)Nk9o34S&4&qErI51;&%v>_Ng@em$DD!(EJhe Zk*VSNw&;2NvUdw4R5F*Y(F zF)%MOGcPhQF*6`jN+4HdVjx9iX>@6CZo3L400000037QD000000GI**0000000000 z0HEvz000000C)lHy$j4GTUH)+6$=6kjEzE+1ccSb;t`r7PCZUN2KmJuh=Z=3qz4Sh za65hP?H+oDIk)M1xr}3MObEn@qF6|d1s236#EG5QJcNXU!yq#l+ez%0#Ky>R6cQpw z#I`_$i4#OH`M$OG+I#I?b?VgV{{QZtzI8`E=hS~z?Oj#7s=oF7*2lf@!V8~*fA7RU z{&xfaJ{JFe?Kiye!uP)KlRoBWv2o+wFIKz#&3@<)`>gA@r*?0YZCmbM8?`PA@eXbpy`#!ky+HTMPUf$n4$S3X=-M(?F+V94j!@ys6&5f6;dRJ99 z$9}A_u+9F)ixuyz!@g>;er*l;c2_lShjCZ6nLO{5Tz-FZ+>h<}a=XXw-L5*g-K%C- zb=m&BFZ}-8cKZ*zs`vXJcGZyW&%40y&uzCqj<@#v_q%G$_8*J=x$XAf=bLhM#%_Oj zxo&ZG)vn&(><)(pH*wY7xcBg_hr4^PKfL?a-G?{cy#Il_yW`zE4|(I=e(mnp-uVMN zjJB(G_CW69vWV=x8PTtK@Z+9F6YFV@mse;LZo%N>>D1x8HjG zYu~){-n%;-IDd=hmi_eb_}*9EpZ0r*r}>pTuf9L+tf!@7HpGmST%Z+8RIOhkiaw1c z;=Ei-xt;hGYde24O_zxK%DV7Y2#~Q*;R)DxYXP{RAW;MiiDp6(wX3)8S%QKU{h6! zOG%LLuP9hm`%VShS|KqB66s8^tH%Lw!>Q{=;U)?44Q7IeVc!724mS@tR>jUFNTf5t zv91q*#1?{MTb>NxX;JXd66tCP?gPlC5&7~m!C`20#PKjfBf#6;e!}I!n#awXhp}p8 z?s05-!2vJHS6&>m?kmA#PF@}*up~)#ecS1YH{H-@i?bGx1#YXAnCwAQAa<{aEZ-H; zkIfm7R%kv2$#OW|8&!mTPFdixjsThB@3I-N8E8fHt->cRnrss+3#?V}^x({UFbBIF z9f7Wwpks|!K&B1!#4|S`1hNTbJE3D;@9N5<>$t1yY=7Po?trm_N7q`kfuxPpz|$t% zp9-trpWA7ET(E3YE>A+|!>)!dI#hUf9H2AT@&wno{7{;2VJrUHm76P2LV!Fx7bo7m z9`m0DO7IZh_CUUFi8dftHSDT^{I~zhKk~bN)5j$sZ{*cyKrUOrj9~;U1JVG~y_$oa z{$xOIg7_Uk4#B#v1MEgY0rDUSjr?#BG~IVKaca1kMwHipjR;hRwG1Gy_b_sEK>>0T z?Al(DZ$D7m&qfp=4}wGA5ud3V^pmLt$b(=H`&_0TnsI0pA@wJmwE%e#JRC4LN5qQ~ zm`aO2os0r`kR0oM4gCcM+J4wqrKA9PkR0lEz?Fn#)zH+-#}pv9F%SELocOr!#`2oO zoWt_jn0Dr4GvE>wWBTRkoBaXT2(#C17qlWB@{frov57mz+Y5R6v9*6${la9N-_ zR}AD&*jYcl9w58PpC=YO|N7t%)B@Qkbp#zQQwnsb>`&>)f}UV{LC_PhdQnd(jcPHH z9c)*;Aw6uCI1lW5qB!3Y*K?fDya+Y#4u8Noumh{1*SP(gU46*(ghDYAsCRlo;Z%^z zV|oG<19{Nx>S7B@m8uO;3~(*$9t!ZKHhy?2Hr3$Swei<2(WV+)k81FlU-cO;e{oU` z##R+`^=9i9-wE|7Xxi;-zz{Jd{1j9J6U57*64juCH(Tw^Bq(na86W=frXileKMozD z9FeaP8xiPC-!p)G#LJ+VT3#qNVz+Mu%{urO;8x9!k*}IKBD}1kmB3F9|7b2Ke#4jh~l6Of|!}gMtigC>)--OXDzCM&D{?z9O!b|ZCjqUIDJjB>pI2- z=!w_|=e!$J3^+T?hVcL%W_9RQHE@z*s+r`zs-VI^vTpkF%+-psGqTycx`*c^KlRG5 zPgluh=j?T-DvZs3p%NjeJ@NX>UxPOA;BX9H|Ec$1usruRBrH$gL@WdkjtCye_7jc+ zVDSv)0Bdl>CrGW}C|`6P&^E`@lOhKlZGWe9Kp@9(ZYK>T3T#rKWU;gCJ|37~)DHN*RS$N!x)NbgAS?7HXaGE!Otn;ukSP0vOYig?NQ&0bw66><{F zF*9F7-vpJbYDMMBX7|2^e(Y)}DKIK$oF}4C*zDus(BstU6Y1ebp+XUUf(9uHg-H%j zcvP~g5m+iDvjy6BgUmkGSo2cSuh7u9JWl#q;6BMDFH};ZccW2Fqz#%#8#I#{r-x`T zP%*e`p@`JwA5ObHiam#v_aNg#Gm3{6aw2rziT@-GB;P~<8r}#;QaC+KQL#_h;i8~8 z{1<)1>mOyTqEI*p(U$}l9$Vpn_|a6nZ7n&o^HQ#K!QE($iS8qSB7ISjcV-5Mqc=sEzrkZ8~j0p`+()Iy@* zVW`Dr005s%O^`D|rVYSj0O;x}>NUt8NF(x9WrAH-w;D@8*g?|=k|5tnNpRn3cw$D7 zZ>uPX+yxvXP6p_%_?ku(EyN^y_$tH&acJ5;mt2dlM4nUs1j%5u``;6IX>P(%JqO4x z5JYPovL;a=V*!7%>BrT%LLk9@a3h?E7NlXh&ouYX+x(L3mmpO04IH9KILDd5lk7db zG%}kR0-4P(+nJG^=Ocw6UlfDUny&q6X<-0ASibT+>z7?(q6 zxOdOIpBcR0k_IeiET%Nh8Xrlk|DG@Ur7MF_ zASeCVu%??lhn%hf520N@nvpypDImvM51|91=W5U%kQ!eIdI%jLDGq-eLr82$IOZXA z9N{1rV;VS~!`PR;$3y6$sRvm6g!vw^g!~vig!YgOBOXTeqo=7e2wlW^G2{(w7lB^h zl}T~|=>=t?Uo3gR=CRMK#r`d4 z;Ieo@smh449?fTJBHbgH&*8Q|11uZ%uLl;O`iA`>&~&CagZj#|p85Y-|KX>9)_u}j6|}^iV(R#c)uri z1iTfU21VNDP-q^XZv zFcmhQOEH9Z-4`k{T_JOIoJkL;C8nQzD~ujo;;pZxbi(Zq1tTj|gh1wMk?rK0zy-^) zEhHHusw=o!QvP#k5@UFDHg)CM%{f@NM4PK(y+(OSq2llSU%%`}Ka^Y*T5MwKN+>cmO}NX zM>z@37J4_3w(YG>@g?R$UXn_%)hRkAglR=O-5pz^xo!SOg^ zMY9&!h!tQ;Q7TR?I35I%-q+|cB66<&iInapI35HCq}qvQfKWSf5%QA}9JdjnV~F*O z1O!t`;?#oUal}@02T>_#YEBeX91oHx_(V8_h+lQfb&A~qwV@)3(uzq=p3fabUMJHq z@mhxN6cm^=yJ%Tq_I@16KE&CLrpPYQS;RMdGDVD|b)tkTt>x z9>#>bZzAb#>K*boS@%0HDMq)YTS3d!3>>7U27i*>R;Xzb%MX9H$S;F+M2x7I(A<hUSzNkM!)>=eTx!1JrfGLg=2C0;nEU;? zo%S#CVVhyscq*_Kr;~Zen!-cY*1Ogx&^A37&HV90Ae&#d)BJ6_Ykk#nTkTpr3+ehn zAm5+cZvSrAdY59`?Aq-7+v5CX+wG6z^%Ym`Vb^8}#4TTb?)u4g+8-Cp9nQO7Y~>AT zHA&dQ?H9|Ov5Bi0H{s4~eR}XMT-*cAYx5wohzXNN52Idu+syK8Ub}zqUd}m|h*7Be zM=*(kMly9yE@lPI*%X;op&N)5PUbkZYfJ^^u0kEQNME+Zn{}GnY=KgX2YQ;#jZ|(> zsU-C&O4?=fv~QjqZuP3r%2=~1^Ky%;!d(J$8856Fe(P3+(jk1QyK;$?I4(|Ekji}& ztP1JQzV%;!aIo@mCnJvsddqnC%Rg2(81 zam1ce!;4sfoK3ZrIO3yu5raFH0aqAm#gLg75tZa5rlDj#hU%R#`B?=>JaDCN&}$bW z5UO_k-}#-t&4=*jbPtg}lVPm0C5NERn8wplrtZKb!F{F)#!x~GQxM(F**1~yn<1tc z3l6a+RmjLt&LB?Wu=x%S(5rS?f~52`^3#O)vO$X>sP2S@$K=9P>=qr|JwI|%>9JLDS3p(f^Oln1FI!H4R9c3 z=|U5d?Yh$H)4r1wmzaP=K|anlVuxy4vC1(tIL>G}g`XfuyUGONxX|QdbvE7$pxCiBxfr6*IN+({>3+@)DU$S~tsMD&|NN^;2Y~{9+c(hR4@{$H@lH?5R^0ZmcG8 zueuF$H@a_c!RPnRJZ?VEbl+d_*S`K+Kb$aEz2D4v@d2KzXYPP0cbY!KIl|#nU!czr z`!|G^7U?rwr~+QQ1@;WFe`By}f#^c)-yn!=oJI^~IBd=Ij-i4q`nJr7;Q|%tC9=eb z;TLDhcF zW)5)XO*oU%EAhrOoL-(}TuZ|5-Od!(L(vmPZc$R8uY+yuL^28k&~MCUD`3iE;j<|f&r4~OIu z;PfjswZl)ikYYfaWY-;73k7F3+I*-#z)2F!qa>0u^is~Tb^6um;FMQGe?Jr)sb|5Q zuq}|SM!9@VxiqpF@c5D0H&27Q{1WmFt}Ph=S|0wJD{tAb{2H*_Im+cRwx|S&v*0$8 zJ|JY@%r@8B@Zs4wgDH$lJ`GIayl?vq+I35`!T$AR(0=p3`4fNSS5k9I4PuWB2P8J4Wd&@G~ZSg)F0J;4kKVozCrgI;O9_SI(#@8wOqv27cUE{o2R;#&f1G z9A4mR7K;|(RalFeaRuH*DZD^OhKa%EO<$OuB$kH#3Cp$|(VM;?M^HX0HfmOAOD3Q< zeepXRVFFcWdO&2RulCPEq!%R5rPb|CUsMK=LxF;9q?H@fh3PvA@}u)bpro*4d=#)G zx;=1Q$#V$Cza_*HJS?j*EXAA&=1Fw!n@(8JIQm&-{KHu`M;SX{roD>FPdJ6~C}#;w zl7Z!&!Zhrik9A^s;?4} zPt{ig*Un6UA~c*qe1NSyf)pM|c+tG3O(^ z{Q*^@V(P}rQ$%5L!SXG@y9Id9r2w7X!FSv{l5v7&e!sg6<$AaZHbu}ri1RPcMzK7M*@HewL%~fS8X}r2{H*1iF`Ei&H!QyQ`op_#-F>JzZn4PLlH6!4TeNM% z;sm%0Roz#O#sBo%KJ|Oj1PkB3c8frJklH7Mmc#x2Uj{7%8}8im8dkQ4kHG z72R7f4HtsM;wWed(clgaWxi=@VzCK=+=LE!q?XRKB@(+jN{)N73PQ7J;7%&$7A!VN zkgy;dOC;f(&mPvSCmB>hc) zPv5wYD;?gz_|}ss9lqR=9q!*VZ}ZKOz1~ZD<&NxiOSCz%*Dui}#_#{}Wgq{oU;K_l z6ezZr0j^~R#af&>9$#<#c5MUSupsl4p4S_{!`Osw0?0X9N^=7Lh6as6)e#y5nGyJ< z@A1Yj8Xb^Xk2dX;p4S_{BZdsx!<2!;8@~f$z!;Gw8dDzM%=ks?1kHy+`+?H#R!6p( z$vA7(3L4n70;6^|XJM3(Ltp~$Udk{QzP|9+5EaIs&_mZit^8D3qanM1uPb{*HO%S-#$x4h^8+L;0KAAB<~R<^lw= zl-45(}S#{ln-4FDEo{o<6f*Bp%89Kg_e-CVbO~zj+d~dp@QNXy#-&B^L*rmW%5yF-oK% zqmBFS;m+JyORGY~dCjWK%Pp=7ciFh_93vsQ@9zEef8iT{Fx3qN>jugTH4@#zCra0# zgRbV=fgp5M@9HY~b_VINffovBbimM)d}0(#9xS{u;5-r!7ASABe%TaE9xS{7(27H} zra3B`WCYvgR#=?2tSgkmub`vE+bDK)Ua$dwTddsgI#29m*LW$hC8yr|_ zo}+u&i<#iSrlh(Vm?r4ubXs zv=l3Kw-h`0VOYvGpR6dxbVw}(ZZH>*l-(Av2x9U(h#74oE;X!BXHbwtQMx*! z<~mknS&d-02^}70T2^THr#NOE$nfWSR`}KF2KWxdDN^B0s^-29g4I!1M;sGec0qF5 z-GVq`w+8ZDwMEugqkN(q(=X5H$RTomxiz- zWyY;5{Q7=>KImzG(Ky^DAKGa1zWYVte@BfD4CFnE>Tz{*`gp&|W}J_rfQ08H*<-Ur zE?YEeeW>HgqfzUYXp2UzU!qH*Q7?bW&;Rm2O$x{<;~7yVF`mJ-qd^q2HeL^@TFqxJ(@8Q6G}?sxkITV;#;t?0d%F6?TzPd50V`bMBZdMwKtxJ zuGM<~9(*=FMTJvS0f{HGZ#5bP5_eP{<|o5KbfK2Q6U6nh1R0)>7^yZUsDL!Ghq1vTl%J3&ASQ{n z+tfH4@DVj3D&uDN&JA?ef=>gk2vmj+s(?62zd#7ZpwdPp(LAY~JzJq(r~q-5_C3l{ zi{tc51m%=Hi|7bJ32Q0o*9fzdlL2Ga7L`fIDHVQp?m^|98}kxHNz@Rn8wo)f3(5F^ zj7XvW#PlR~FtYCxok{eSM$>ZS`=Zt)5}6*vf&D+7e4W;$qnWOhoIf0IDTK%@l}rgz zrN%2Ug99a{3rh4 zKoN+pFC@Arp&w)Xe4~Uhf+xmCj2!!**bllx5+u-?V9bpn7rz2+5+u%=-~r~LL=iy2 zfQ+AfYBA8lF@h8VIE-VQqi7K4a1caBLrCiFYp4bJhy>XS!_71Fo)h$w84zc0hKJ)(Gd=2a0-&gKyShqwQ z#I9eWOMDF<{;ALZGapR826g-x#F|GN5e#)93?q^}1#H4kKu+&YM>Ah?7O_6G7Ahhi zNbtc97^bx2SwYfBwA>4NXZj-dKHraajEmg+gjf?Kr;+gB9xC)8#>x1peXs+mfM61q zlWBzp*9#J2ZNx*}kSSPVK@z!vS2P6?pbgt5m@P)_FoeX1enR%Z*QJdKmSRvTVsfNd zPC9;P!H#9(f4@4*#Q%PIQdp!$)@ZTdn%8Mz5tPQt)g0#}{Q@mF6YwkaIL!pSRv{Wk zqj?if5it?@d99saC?FpVRzCdm&<_$`Yi_2u@_B1|ye_Ey$-spK zm)V&m+EkG1Q9*v1I>qEH1O!CdjXS(7v=RnZdaD47F!S(Vt?mKmr!xFU+ z_-5sAR(?$X-Uub$pRy*UYx|9?E+VJauv!P=rnw_Gh;>@flOuQf?7#oRzrGPlu<++) z`ZiLyx+0yFcG%kpC0>2?D8};fSs|2waY}cvhvSXi>9TyqvLb?Bp6GNBz34BuX*DeB zN~*RLzGt(B;M@{zHtqG;v=6@Rn_l_fQ{*~4FMuAOB?byW(mx<_jRgEMPm8WKOMmJ? zt}lXfjp=;~UthsFr%8d+Lb)tO41ISco4xPr;9d@s;TY+)wW&b?!0<70w7{pdl)@Lt zqnJjAD1f+((})Rhk!A>ov6L^&)#zpEVO;|{CQjYAU<6=@JB^s9@YNMMB*0mY=z558 zJ4v6y*Q33UxYg0Q0A&Zon0|3UprOPHNSh3~`EE?VIxyC?;V@{iKvC((JR3I`A9Q3! zmaX~dxf!|MX>ETK6PG_6E0>5ClG0Avzp_J?izZ{YuE~K;=KjDLive|*6O9joc^z;Z z3!!GUeIl;Au_IqrKTuXE@>z{|j{JRj$f-6-{ywg5hli#@aRP(r@P~Jg5JV66{TbVB z3!<-wk}D6QuUn!G?bk2SB|-F`{ByteZ=N-;9ckhiSdVnoXpts70kLDfr&@s6IAW_F zPbiqs9;WL+)+iBd3OYhx?Ovg5zGdwiqwRI;v6&+#w8w~D%h))3+SSr9!627{DIFYw zH9GgI;676eD45Wm<~8!`W$G5S`3@W@n9v?)4f|Wv0%#A+F+hHAx;zf;Nqh+kA(^{r z&+s)O4PQ?Oe3iaNqB>ubFW6Tiv-~kt*~unC!3MeExkk|Cs}JIxEITw%?kQn0XGRsQdAH;fYV>G4CH(z)t=-;1;N4ctdJNEYcrpk zfNLj%q&0Q}B!usSHEL6zwML0wf@zh0#8N2_Y*8qrPT6mTUASUXATqN+Z7lnggPCms zl!n()PF6t~*o9B;OWr!uW=waI{^YWwigYNg6-dcabgM+mlPwEZccu+2fo7Mk2qDt8 zv^)IFD|a(P*S~VF+zbtGnlnqZq2l@_y2K3qQ{Q>}XI@IV+?qs0JBxnJm{O$7XK*_2 z>wq}nCkPT1O)z<l%~SOpIUN&5D+U%p%lIs=(vIbs#;)iiZh zE*079&{SbkB__l*Hu+-TU9E}kp;Vvt;t7&&&sT)tTqc}~@ zsO~~PBsXTZJ_mFq3S(AUxowhXRR=-p3(RFj_S|$9Bo8LDeE0o&6X44?`P~x3WMiU8 z@UIUJ!Fw$m-JC01M=}St4rN=#q*O~>wwSrG?Gql>k}ytEuwBY^N%&Us<XqH2QV(gB~ZVX*)w_gA0a-G$|E)W}{L8ceeg)?rdD$A-S{h zqu*qy~I!E7mX3C2GZA{=)z0Z+>So zwv$)Zhf5bj)XBRIr|wdSI(fHAM>7(#p;ip7wAwXabV)~pUV~37mfckcbz1jm(^s;h zB=0ufX)P+}2*OaMg83doHqg49cN>yuTqKfRJ%WYbN0TAxW7!DJqZ=W|gtuWrwBi>B zFIXxIP-@Wh!TZ7Y=l$TsJOR(rCm?^EDXYqC_duPJa8&Sh0oRjc`X>8;VNPc(FgzY# zAAU&t72kB24B7*rFZc+gs5{{s8C*-i-f*hSg}wF`{+ z%lPeZyq#AlVAo%wP^jve0G;|}1ayY`Q6Y9YMu%r*!)TX1{EXF5ty`iEqpt^}fBTR8 zk?;Ad38S^<&`f`;DGrs-=Q(RbE*AuAv~5vmb1Y~Uhj^&|g)wN^)ZT$Ch6o8-_# z-=T8^(QQ+OdEBGq zl7|Oy1O8_h_}4Ab2K?6p{Qu*Z|J*A-mVjR~D$3M027NWF8q{Oz`n4plK*(nKWeu99 zNXWf`k}iOe1jUj!L2W7n1HIh`m`;LX$p^vEMwXWR5OT?rpjh%Y;t0!J@UMdxp_p1M z`5+j&&%osGNVq2Uto{ToMl5*~gi->Ou8~z|P21AcV#x==s7lQBEEeDo`yqv1sM6_f zsLbk)bE_q9V>YcSJg{|!G67F5`5@UrHxg3yLtBL)z8&VHfwTZ}$~rfTUH)8H=mNKS z2wnbkc`=yH5;cU`;CTW3^ueb;rEeU>1faOb_vtvn;-STVcG;PiR&oSH2oyOv7jWB%E4yBr|iC~G~uBYsD*FY zbx3XKQ+6E<{QeO<`{7wS13Fte-Fnd3(&N5B4j&Q``OVHxt`{M)TTT5Ji z_m7Fo?uPt!@6N;9caN{##)kXp;qkq%yg%*t@IJQhyy}VYj(0Cfr~8Ia|B284gP-`q z3sB2bq}V+B77a#lo3Uu5_R`^~HgSvw`(W^5A6hZ+L)7>HKfRzhLiOlPmdDF|s2=dB z%;SeWaJmo_13!!yE4CpxX;>=;zD>QLZS%aJYPDzv}-Ab9NYrJ9tP6H@Vm3)o|; za0n!LRq3PF^xb}Nbb)(p1<>cWV`;*NJtyIN0=6AP>R85ONQyw3KYg?DrpmxM6#$pl z-P5}eVnLsfwlkkhS_pSj@4C9vegi!+F@qm0NxHjw7o$sP*&}%+8JWMkt#<>`E=A=W zQJk6QVc>W6f7=Od9gw7{q{jSZrOGBXC!5@Fy z?pn0`hzfsO?b<9^2Lk#2V*1AeZo6IUTTHdhuFcLL0{Q;=`L{S;zy9rE*Jjv<)cllQ~Z98l8^nfyAytDjBE5 zmeWfY)!Ea@!3&x$50n(wN!j&;*L(aKr*?#TEvF=scG+@4IT7mNc@b8;5b=!C)uy_> zW>x0p;(&9Pmb>t%!gbZs?|^K?%EI0l=T;bQh_0b;`n)U<5c%x}RPigY(Th89*!EU>U{s zJkCW0B6z{#zKeRqXkA;SVx|hrILM}|z`SxsxV03`qzz;zZW;qczvhiMlGI6c zBq<{z5>*ASvLwwWKd|X#cFKf)g-R4Jb9SWj`#$U5$=~u9fAMF2D5W3G7yBaF7_=OW zF(SuDJs+u>l@?yux(W9ji99ICF2pp>k|0eN6YQITwm)iFJA5kyY2%sTh=8D!$@EB7 zE(K}Km>|Xjd8UjA*6d>5fFyNbkZ88HuQofP|+bTK1Xkp1*OcD zA9G$MdKD5`6L)h{A&f;Nu|!rSq7GYNgYH2q!4c5_q0HbF7r%|Dg2<>jG!_hqQxm;y zMDT+#@rOCVRcMWorY3ruAl@*{3C7g;76PSlMDad`sgdjx$g=lHGcHa>LNGxPX*tZT zhT9cYRS<+jJWVa=ZF8fS1ZlN#Hmy|+IY|k@gfTG^$D|}qJezziCJX2;R_%(!Ob(79 zYOL3ht$6|t`RU2m>dctZ8x8ektR2_W73XbOGj2SGh^Q$$M*DcWo zx7P!=uYJ~wfBqv0ZZ-AIQh7om9Qz%erpF}Qnjl<*r|B^z&KI&xK}|{Xn+32Id=F#5 z7Lbq+x+ulMFdgbWS%x9Z3h7r}!R*4r5XhowEdPon)5cJJulZ5XpU4CP5b|zHBW; z$vJAyYHB|=&xNNP=?-dhRko@tpdv#va^vIV3zS!~kPnnEA$`_Ui zoixMchx4|$kZf(9^py6nmFhmcbA03eoA+No-WLCWh1dCl!2jN^)^>QSozc)X_`e?b z|KJb5<6Vu*Cy0TrQ;o2zXIX{W1Vei?5L(Xe+7U*4!XQP}z z?||9CRL8~EsJDGG2I1~yBj&Xz!99f}_0nmkDZJ|I zK>{)OY4q{LMJjH6P2mla9Vp!OJ_QwjNO;wsa6L7J*T!_o`;uz!J#m`CYhzYJsJd^A z=_kEKG=(=vBJ8Ec0qWU{G1uMuS-P#3;C}8qzx>`l@dIe!)T{^HVvE<7T(3~!!S$*NYWN8%0yVBE2(lT~I?&ous6onvP+$M-17~OId z1n*8ENM*|e5k_Q$lHsyC#1q3$n3_mvf<377U}lJ>9~cLcpg0dra_k!IIzNJP0cQi9 z&`A<4O|on8LK8i6t`RMrhfxwdL&C;~;|(lKZcM*AeTNcBIU$&2xHr5DA@tQV4y)w^1Vx)QI|2kQt*1 zoFKTmBhS893`LbP;4Enb(~dms1^`&@(Z|oj9R-u>0GDRlvxSWuzSIiGa z?k!HM95Ja5I2k160Fxnj7y=VdI_so5z>4WnWl8vi<1d3`NvNgy81^H+vdJJM$121K z(~wz`ZU;6ajV2C=Y8DqLOVW+XRll#{dd)ATxYFY6m1G?=owv?3z(?xcL*Os}3FgkY zB;k}1cnw|=`R+&qCTYAPU>Ki#H1f^Qsq+;s8QJ;rAmReHgZ|c+5~I8X`L51IfO?(G z#D)_OoqCc_fs}-qPe#0a&Iuvg&Ip$dxNd)-U*?k$kDl9Kw%z`Z+sY5e>wPj;O7tHn z1baBtrIZ&A99+$m(2Kh3M_~gFH~EJ2&n_GAx+U6>{(6x9%U}MO&;An$={4Rv$uMdv zTEVEYsjazON_QdBhb_hdSwsSF!b}5R@z7eDPu&J4zs~Y>(}?1VG{MvcpqVtmcSXgJ+=eUbu@lfPQuXdfgIj0DV0Geee5z=l6Zv zS%8LTTuku}aH)1-ShZk^8(1v1srLZA*rq-M=%w^p573KE>ODX&rs2{Qx08VdemV=+ z12p>BE+pa76t^J{^->b92WVvevgIuSx=eju1-k-r^ZBk3kqety&`qFE{n+LaPk(|bGG>glDwO*m<1mX@C*L@Z zktvBHs3aSiVDgPq5Td69lW!b?Be*UIo`(j|TwIe-A?(S9quW^!%P|*5z-MUAAcJUFEqU>0i#cF~#lg z{KFGMDd_Oa9&FL)Vv-obubD#_om`)6D|#Gn=7C4j<0TGpb)%%y;d7aC&v3QS*#1#D zBwPy97F-r~C`zTPAxHo0q@l4x_!3ItqpIH^MgLL~ealrhP%>On^V$zdGOHHdmp_z7Bzr&Tth5Zx+8lx6}ThHiv)S9Y0Di@VhI4C`u5p zgWtc5{__Ej+ReLPl!6TuM`^enTezChc~ETP(lYpWF`ZU;FwWpCQ{gv$kEfJpw{D3x zRp@$Dpl0$iy;%Q+3(P*C}tt z90J;&33vrRJwulKVHSE5Jg0A`CgIszZ54wK#KlM&muNK_0j1w!rrcTmi{Fp5?Du~5q1m) zG?+KC%Cske=D4a(PXs9i+bMFT&XH%J{E!WbA$guWqhbaMCC`#)pg*L}evUk&?b)ji ztKZcVq6@7B)0<(}j~yadyrWR}kl!abcXvPUn607ur?_JL9R*4ZTF~~+4o|0e_s)`M zkfxQALL8IP*yiLJ;P{YAj{}ND%jYvA&lo^cFX<)lwH0Ty?#_Q?@(j6Mv#xRam+a18 zN1+jRv^)Pq)4I7QU|D-jzXm;aqt0-Cqm4S_@mkz%)EVY<-KaBMJ3L;D(+ys>=5>MH zTc;v>f8pEx7!snvirNFAh=SJri8)Nk_}U z1jhl@E;1rC6IEf-F-im@RKEDDs!&D_IR=(44*=Lx)dwng-6i(Wcd=pvATat}g`$VTq zjfE%KQf@FS%+b+oE6p3UHt5R?KY@fsK3%X+YiU#LOG{^6>`SzNA3H0egGg<2Pf ziD^Ox3$xrQQO^B@atp=Wp-Hf@zA)GbMq=Mr_)2**0#AnXKFR|v7o9zcg+;@>kHzL% zXJmK~&Um`EP~Un_*Vgy$#LYCNWupW2S?_!I${+jj_q>%<3XQv(N)e*4fFL-!G_eL` zq=Fmar=U`pU|*3&pLsPB$`dz6%z=?mpKigMfuJBU>i~kNGDpd0)g1PyeGx%$Ob`Zr z_K#1haS25&vTJfdaYlxzL5@nMP=@+6+~!9VXJimuOeYfOjg7dNO(f2nAPGGIsE@gk z)D#M$(uK^jo{$9{GJodsj>H)m$E-(no9$=vj>N$hB)j1dVwV+?CW>XuvYvQ&>I?(( zhw5kUIQ{wzV}HP{0Z;;`>m=vOFA%cVkSUEN=NDCIY|OLD2a#EjJ_~c2+F_p}5sH)K zJ3KtL=cQpz(Gg7jNGgK-c_z0=r*>*crmW~-Q-woEv%m9DmxG^0Vl%XXWu^{NVPvV- z{kh~M-dM?JL%7d!eW1?3m6~#Wq}!cWKg4WE>Ic*v&u>a~Cd=fW@WYCckoL#%?tQKi z_-3=#!bpb7bCtNAj$hOBTdvXVc_kunh*F=BMvF21Fw5be-}hNpK;H37U-wV`amqDPh20boGjT)K z4NY-2sq==b)-9P}#`IA-7BZg(n&}7{bxdC>M)WrGVXR3v3F{gZT%}{d`!s^z1;+IO z@04Ohc`pSI1ZHNB0F2nzL7NJP4{gf(G!Ql=9VpHk0kP8W;(Z$EhXigU_nD-YzXjm8r+UWkuyJ}_rg)&_ zE7Jq`oJQckMK6qX2W1H7OGWw*3B1^yyX<7Y^TD?w zt@bleZ57@;XEd`d32MD-=#p~f|Nh_p!oTzh3HCL+VUl8u)P95sm}1;n@lD9HQP>Yj z5hyo8FAouH_kkue`~*l9zk}|EcteA_B#v0I21j*zBs{)s<;pf96b-x%P9%AVSsO;| zSwbY(+e6haMijq85FF}(Cqs~K;f2qq7E8oN1S<%f1gtGag-#}T2}%kiM)Ir@pX66p zlViUxBUSP16NN>4$=D}|JN7&8oQ6_@LStv0ibKU&r&@WOyy+mm%&b{)f#mW9ilCW$ z+8wIR6Rk^Tw1J*A;?%CW@e-pEmk@QTA{dQpy*k#!;ubbxYddE=mBEw>?0zLEW}9n4 zF+5vm-ng4He*GKw%AN7+mS_XT^-FXKDE|B_@A_+>n?O-Dl&LMuQc#J;Tc;~CqH+;c-rqT9^QQgrWrK0 zf`%Y>M%>d$6J;_ABERR}*4Z^t?_ zWdqIiZ{#Zn&2>w(f#&)px&$dw$z+z~QlUy_Nj^rM3JpRr5-a_94lGGSLbD+4 zbF(BjOA^L0U70sdr&3Ggjghz;dAN5Pb|V;zw7N(odKd4tGa)zrVO>Q#5)plRr&D>m~{*#CZsgPu3zs%Wo%YV~xmP;;euEbQnbSwu;O zN4Jzuq`~HJk#;dP4F$7(xgs>9qM&LY(2xeU#iDI*7dix+O>%4pa#3^b*lxU#q_Gqw zp=}}3-Qd2dgInH>>F(JbY8Dt1$C#iE(^Nz!Nlnhi91voLByNbR3Ylppx&DSYT6=r8 z__pQtpK|#p-M!Nyto^3rthgbv63DsHZKRVo#6ndfsWLO_%B^`6b$;&=5XV_^0px*Z z4HhQ{hvu+*2SefDAe)wtVIX1uA~r2HAom%5=${UUpPLW@+40ME@@Sx6Ii9+7z+l+I zv*q_M&R-gwyZw=o=bMMI!FuKqLWlBv{>cS%4kFNh$-AG07cZj(09(G>7B-Ro7c=w_ z#&3L^&0EL@M0fGT2gX1@CN~ESe|MW%)FPG?&TyJgC5!4?6g1w@l)^VJ7YCfv6EjUw zH=tim<~X%$R1~?Zs;>;P$0_qDyQm-Ow@m+7ph8{HTDIE5H}1cA|MlZ-QR-FOk179L z6V>IH(;}!8KI5yxw%uR(Ex+sk`_xn@pgylMHu2F=dl<6n7@J^b-$HBxIdjk`CE5Y5 z(p)f$O)QoFWwD77JvGG@H1^;n%SY7ktmOzINf7=jIE@e%nPl{dpE*b_R}@My$^wVWtC_ zex)PXRyru|zodQ>o$`DFN$+>qivWLyotp2Tz#7`xMd6D{IRJ9nGi5%p z_iAUgxk($yoH<@}OXhz{$^2U^=4rZ}KJv%E?CW1n9O`Oso01T$@0&yH3O1q*N#Pc7 z7ChQbtwAY|*_cagcB`dZs| zqOUfakYpqB#S%x9_YeIMprk7O5mXXP5cAQ+0m06wyu(lMp*jBw8gvVDJOm+GULH{r zY{HI4~$N--WuXz*VUrsVx9b>5LdZ;zU zC6ZLSI6E9lT0+r)xvP}S*QbZ?32IM=W-+C=PhS6N%xW**9o!_SeaII`kReKH0SCu4 zW(api*%F~il_-2Yic-r(msEl{CioMijABkwk_MurwY>qsY@mTnvrEE&NsbNNWwJ*5 zzVK|F^;e0GqAg|QYYf)OmZN6Rl$z@(l~ir0)RrE!u8Er*Qy2aq8@<~&9xhi1Fc;=6`e|OgI#vHDxZ2NfD#y22M>u=Efyehw#ByDngth)yab|) z209#Heid@4p;zHYzlnXte!8@3GCUKTqV?=@$gW$WE$QWYl3srD>wfjezBqYfbTLXr z3%U!c?1<%i5U>^)>7g9_<0J-H5#Iw567&ctS_l%AsOy2eLug2$vRp5+rWBSz@hKjS}EsLz$av5_)3-q1h zHA3ymL>ZOb%sBf}ST$F)t{|>@k}%5D5&KkaV1czkRDs5+1!cLWY7RmFP{qM3BQ{a_ zsS|ri9mw1|I+ATA6@=qBC(l&-K#FPBj81(x4$MWb%zZ8!XG79H^zxYY!GvBiz+Bw& zCEWL$La`|n%rgm7m`JP%1sq=cQCA27s`_{~6CskG7})A}SQ{ z5)?4V_<`XNey5&5!KsTUQz)uld$@uwDlkBVF#y4tLa|42iuzgVz=oIvy+Sd7dlJV; zhYZ-LievN&MZa&ADgyo(w1+*dPy}6un#YVs1mVGS+PIE5ZAf-apx#UenJX0SfV3wb z<&6IzS16PziwRJuy2%c6t^Yt!Fy{Lb+vG22{0D}m$yWDvdjRVMnZ-9nR*$?ko_)$O zIL7;8fHZA?5}(Z*P<|rwSGv(AV_$kJeWTzWBtbG_U58ZAB*+(o3F7_9)*i?i9l@$B*pvi`-X_?Oy+%n$5Lr$w z0o|DPFtTL@vpCR+tr(GSU>k8nF`z&#+Tw}W3uurdTeX}zPtMr4%xk4& zd)+k327>lkfS;h}JjBXRmhMpO#?b^;>1?;5{bvHz=!GwaNSoXbHn6?6W^CZCY1?JA z&!X2kjOD|b(iov}Y?Y*wyu0TDMZ=qELs93ZcydKEpZVr*{F9%Soa$P^RB{r*r_Rb1 zG6-2_UMdjfgBrG$`=e4zj)E=FQAV68IVFmk;9`GNqG%Lc>W@kkH9>OwX+k2h4%D?A zege`HMNRO~hhhrU;{@Fy2@*x4;8Mp`K~a-jY`H2nut^?T1kkKB@5GiYp!6H zcxbM0xvd@U?K2R>hL`BJv2(UcX15&-H$3q7F_Ordus zGfBI3AUY~WFg5a>!WbCQh2C-6+B_bT!=9dlTr$;=QWCBJ(Gun)Q;s7Sr_t;^RGnsZ zsXQN8SMgxbgh{xzaOTaBWf@jC)*^bu&67EKf~tG1Po{J3nTI6P#zws4a%K0 z`AoXaU47|nMac%`*8}C>_Fs*6wxIXWADAfZp&SUf1-;eWZ4>>5n!lQzmSLvg@{GZK z5=Lc*#p*+yE6qJOl#Vhrx&uBN9?v-Bx1jeH^uBxN;qALD7JH<8C?zYPsxEB+-kuy+ zs$lKUC|DbMUk`f!;g9>YJO9JSp5wSe9oflDv}EeRg}l1haH)_l8RCf8Efw-5LmYxj zHDbvShr#d|C1L~x0hKJj%V5kt6?SGIZVrD={!M}DR8E)9Q3Rfgv2=usO1|I=u#&HF z=Q(K*O90KWcO)|v&!=<=?{RV@BV{|wh!U4TE?*dLIcXBY-C0??1PT29g|$~YzAt4( z#_@U%Af~Wgl947!5XttGi(_u&cfY80kCxDdEnLf#&;|M;Mqjr2E>8jTT!f-GY?@d0NCa6I@Kc5>Hgffm%$zlDAe&mRd-^@(Eod zs<1Rq3n*7o-uQ$rGzth^@sNL|>Db}$gJo(bvpS&*5o>0GBTWmPY0IX8c|sS8wt{3E z(y`Or5{kCTQA0jzeb;^uzdc>yPSP(9lFCDa!5XSXDVePfoXbd#lXNnW4)`(8I+-1+ z$FEJy=n|xbXF2|~%v&j-yHs42Kw9y+M0lMqAiHc>nYY(3q{6!#>QSM7b2X#dd%2wb z0#`Hgw^cW>+IcwgjZ=rLIr%07?%gAxe|Tcfm`z)VdA-@RwKOw;q+OFlleQ5_V|XzmMPAY0jY;%3N!X<*K8NHm8tIIa z%$5i7LW$Rp=uH^X%hK%G`s_PpFB)s8KB7^$F|!5QBLRWuj;qS1IZSR#WBL^Wi?a4P zgyI)68cgyrwG^)#7_+u04}s@Tbd8wRCR=qG^aHuoM(7VFM}&rSk^7k=89jhQ(n?;;y?M@ANkP#eNJIPiFXD3LJLzg1}HHxgTx9s zZmJAub+lIoJR+hrnnmHviBq~bFX#ag8F45Yr(jK@s$8@2c-Wy$lxDE)l_1cM=;08l zZgf{rVNtd=FPJGTBY6Rt6IW?jwiomYOK642V?>AG;utf91t-BgbLv2d-It)9D=a|? z8bK$l33&$Eg~Ec0buJE-AhxS26oG7Y>b>R{f@@K<#7t$#mZxsPS3@PJYNpCXwmyeF zstQD1>Yz6jvu6u597t7!F>CPrl#AyR|HRn&EJS0U)=AiD7gF5j)ge07ygF2>GYCOE zQFZ2V+5K?5zT*P49q1(PghAJrov>^tbx1fP-KJcgqz(xeU{r^&g;K#7u7T>u^50GW zdH(9}H~r^nhHJjz6Tkl-{6q@Z=weJ9HX4th{=>3)4<5P028?-RhY@_Acy>I%CXH%9 zZGSPM$JfJQpx1<+9n$Tc)_=fTQwNQzmxOn(t$1{Wk`hw=;fJ9z=LJ2ujy1e0s-xi` z3qg;qu>sYr8-_2%aF3&CfPgOA!i*cn>pyUeA?PHM9lRI$CC?o;BY1^)n6oYuum23F zLX>OCFB(=do$9O(AZV+u3~=H_@56k=CQ}w3vFAbZRitmC1UM&%9MoaPDUvA)Y5(+1 zN3sOO^RADrEZ_=bHS8bpNNhUmpkfQZtT&Z6Z^jAN*hgph<(U)d)Au)ig~!#;iRbR(CXXF;M|)5ZzE|3>3dLKJ?|;--i(m1$pDC& z^3r9LwAbG5go$i6&oxe2YhM2>BK^kA2F}{IS?^Zj<{g z^9~ku9hxiZ&{%k$TxXCK+5$C_#e;N$PI!Yo};-UtRQs|NnM=y_|F{zRfx!9rydB5N1qS#}@ z+ou^l_+$UYAAal06W(fiv_%iB@&HK_TF8h#IFlL$`ln40L^+_Z1>S-OKg7MmPa)mY z1c$C?H)063V+gt@LE^0mq8}lXA^^<&82a}mLE^0mf>?)v3qU<`Gs~I>zB;4eQX^#I zZ4_MUgDfx4SdelU;gx3*1woRY{&b9avB&8)$d7}f9>FY&(f%grCD5kDmlyUbF2K)5 zwNJtCt6}A{xV(LW%4g&5y$pjTs^l<~k7lIuL4AeP2*q?oT-_$c=gI8*CJr?axf8O8um0vuEu%MztT0LQIM5Mle5Rb5nP)v)~&}(R!OUIO( z>JY5O1WFmgRs+NC#Oxt3>?J{uuqe0CKt9aS(A_2pdW0R?O0(Yp?jffw33`M@m|xBF z?tsMp;;cQwg1Ddka(FW60$2!U2)n2+6y=l$svzLk8~f0LFCC@6Ndvxvf{>LYlr-99 zC+SxQnF$M;fL|W)A2R2W1)@W7MrSnv0o@qMm#sPl^qm)6ehM|1hS>JW-;#A*z`Xpp zvaUgP^`ky0;`#h7jW0uA!q#j0fPF$QfN6X1rn2<8TQHR;eQ)6T#*>0f7(8mt{>F=w zx(7N*5|9EovoZph(ihpbXhoCf-NDwrq;8V6kKVSYYyXnGLtHR_I?|##>IJv3xs_6G zoc?bl6^^t_yL&SnP2zB11>+47IX)hb6E%+Kxv$+1yzmWQzuDFbq6Lw{BBXlcUq)1U zO&dx-2BH$81T3c>>I~WDI1B{Po^jiH8ZF*zYhd^#{_V}SzH@wIf|An26i=bO$)l2% zamh|ovADx?;k^!qK*G-K#LfM&+1A%%TmQp9{qMi;t3Uq9)9|7AOybWS>d@3$50q7a zIoj4?3r_21l-jbs*OI=`eu5yC@+4Sm)qIbZFY3KcBht2xf)WygwT=M;VL%e3ZEb>> zPrKQnb8N=2PqtkmtO+hs1&VELl6{K^G(814n?bAE(%fQOnw5?6@(4rZRcr+TgQ_3;@`t+dqhvbP~xcLI1 z!;aYNDAedmJY$dvrpY%i^B(IkGQS_`Usy`InYbhH*1gsr_Y-EK6ax71?0{?L3c#ud*}L~C*Tr70~GY(Sxi|5AXvDl0hzg%K=4#c>4VQ60_I~AxK-_xP=|O>q1)mrs0%6B4!C-Q~a14CFDj4siYj6CxbNG z5APq}`^ueH-+wzcqk!M#lB~Qh`n7NTmKTzqr70VhB@hxg(Aq{g56{;a291O1dljft z_(n?Udp;{~?4U?URAfI&|J+kqd1H-&I2GKZp+H^{NEo+cL?hl_vjmVLN6pQTNIlC0 z`=;9Kh}8%}h+L2$Zh~wUEK1QFaTPJ#1i?jl&|<{8?x`2}sYTB+Nmt*NTBp99=XeC_68S<)ZdC-+mvOQ)gsfb)Q=78>! zL2{-R(l1b?_rijMyfeQyM9;D@kq;6oG3O|${1SyT0?t&3Bb8%jYlQC9SR=AVfO0C6 zTpN{%uB7FVjA$MRl*lLCO8ObLQE(~S&{g<(S(rvbEwWn}=4itVI_%NaUz&Hzf2LT? z@;C0|=%&o{x6h4V;iV_ZudvZAWS*Dj*yR*nfSb!HYBM)J@Z=gbf5-3}zWWb2x~W5l zAhNY!v|hl-xu8-&Tpo=@K?JEY(V{WILj&v-!6pJu6cnu0Eeup4tC1tdzJXy3j3`hW z1czE27081`K1Tr1IHDk}2{wb`C+IJWj=|c3(T;K4qoX20@qp6`qyuq8!Pzik=#`8- z37oyU#nLef%mzX7CrS1vc)8oaMiEC;Rmda{Evc?*47oSn$s{SNkV(QR6;!~kE{{^2 z_jW!=(P(7$*_O_Jb-+i(i;fe*MGe$YX?DLn@XwG9jFQw!L~Tiu+4|s2G?}CZK}0F( z7YLp}X7FIlmQ-WKn4+wPbMG;^IFexaLcR5pYtX4>trYiZo)%k*IF=kPIUtv!M`lc`TTlMK~$%ZPxdAzdCzbrWf- zorH^UxJ>lPB?;(u;s|SynGwc1IIPR_k@$*9hMW^hwv#iLvUigNS0{NPc`=cCQ=XAu zpMEcABx44rf}PP>2LRQ9TuG3vX@-XU`9w8Iva~5>HKy{tEv<6->x!HVo$mx$gG{I+ zncXj2?4OYiCVdOGzo`Z@39%*rg77Ig+aI=lZpxR03CP{JB%ze48{T(7Yx#-#4ul{g z`7T(b()m;jG|R|OnA^#BA;CDeDVN7mFeGi_?-mhjbim1$P^W~ zJmMCUf>o*xHX<~T(AaB+5`u3b6U6H$Xe~385L6vZF!4l#mO>L!(lLtaV3HkLQR&&# z4e&lco2nLd4SC~qD86JpW)uaw;t~9 zz5ej-TX!GckVtwg?z18WI^6A%+3@(;#pCdA&!K=kRzycD~>- z36<1ZOn^i0ui*`-8QA$ySsY5i439w!j1ghVkH|eJfa$vN7!@XZj8k%k43C$<)8p~u zVCPA5))3S_1!U*r*afI9Z_XoUK1*T576a-C>p*kHaK)3H5%&p*dc&1|3Rgogaf;)` zp0No)pxgm>B$|qjFSmQ3bZIHKPnf9?$LqTo(X_WHiBx)>pd1Ec-&koLNF@)puw|4zR0koLMI+F*125?vC~{?J!`=+}QLdBAlsN;4L% z8A-2$ay{f}mqdFRY{ml33gwRl8hY3ajeqb+gGsm?(ZeP>6ykTmSE$Uzh~A9t(W+RS zwq4f*pL)2n;MmU0ShS@M_HF|+H8&+aYzA&9lnEZtT&)oFunC$XCdM8UgieeHuFGDTy4#(#@d!H{m#eglq~0$-0@)SgBL9JR>9&HsuP5 zgEO2Ui67Pb4}C7=Qrfw%HTFdvQJWnJc(OHh!RK1V>8gRGV2Ez9)UsLxLIJ`NUU}Je zl>=!gn|$swNiBclzK%E9On>`C%>XbkD^L{bB@ga|XQ$1m8JpbLsE$1s!Tskr6J;Oh$qn@r z(UvShg*Uq(m`Oek^@Qk98by(>tF9`#$XpzwSp<=tb-OEQ`{r|KOEDb^#qZbt65YS)s%lPCA?T6r11y zrmhC*TkS_Ajz>W-8enRq^L2Ib z_@t@%o;5*~JTg3l3_+9$sB0(*62(n0dd?0gs4S0>2BirOa3YBhX#|HBd`Pel(}-DV z=3(eZ93ym*86SjRC_!J{gQb@Ffd|2sgIF zwMj5X$g&d5>tY>2!-qs`5t@)3C=|^Sc<$PEyIc-ik)Y8nsnM@>h_Uo95UrhZw>kT@J2Y8 zpi=~00u?qBouW+e*h(SzSUa6aN+X;S!H3yx@{x6En2%Wc5bpdTF?>FeLEP}B2 zO9mfr@1sTn)dXV3?#W;ZGGl2TMy^oX|l9|(ruS4mBoz7VR7JyS<`-6zV9(+aM zL=~do+-XOBg4ZSJmEUYeolWu9{>INVl*~DUxk-ZZQyV^(KWt?WFR}D7bH)q(xW`*+ zmkwb0+`7%o8UN_LqV;ax@b($T+f_@n;qCR{?eBlzYyadYlYd=fw3ef35!+Cm$NU5| zY!KuLKNX1#_#L+Hsze4huz=vXZW|~zMwvWJ*&cQKYD}i7iMMu)@aJo_fq>PyH9#D{YYl8j0Rhx5eMB;4}ltbf){TQ-7!%t?IneznfFxoY(|#8|%jD3J0GVS};@w9~!;Q(eY8>-G0bqCswgg3clRS&z1Uv!G z2;j2S#DYde)d$Inz08nK(0cNlpt7@{bw+15!{m;Knc2{DL+WUS{cJw?@)LNDc8#rz zHEY3y_sJLLWXU^7r+yY3mpluHhq9djkUsFN1Oygm795wH3b(&(yZy^-fJ>BnMNR@ab?QUDw&qlA(Ed%|*ChH#~oK@qFD9ZFqh?c>blgfAy39%2_-|GJJsP zGkCs7Cxlga4iy1z@fCQER#;-2&NapIcs`;#w9qa0LyJC1v~~@wN<4>Ys(3zaiskV< z5GFJjXWGCj!*ldw3Mf78@FkA+a3rwA(R@PYM(5`;!!u7zY+H>RoX7J)2#SH{doUCg zCoYb5n|(m4fW#u8sT7*b)#16JtbDrgx@?Dc$ zg9s7k>>NE`g7Y&xehJPYxJcuda@avPsI4P$+7lYTvw$jiIM44xqcj?(!7R4F2@VPb zs$reDedLeJM0*KD<(rL}=9=Ruka1TN>lq4lovZ38uH&0ECuJvajuCLlNo zl0^yq2w7i#hth=Rwlg8iEH{|sPc+L7$D6qTuCm)Sd6FK9g&;hMn??MrTg26wCE6_F z>#>O6{Yk(1m%rm|>KS^}!Q?~03Jm)pojK$eg$(kLFokM;CB{L&n_%&UgaH7aM3jSI zbcMr43qJEC=u?}-q-kT8dKMUaagcQ@w0nL?%^uJ3ZXePJ5gdxG>OhiU680K!r_a%quUcnHqm-U{y%XOQnVxCMOi+Q#>L^@(o^!5iPNy6o@Wd3c+}#q%Efjol|)9#){g z`TFtxtGm5Y9^JbOy+P{IBM-Efkx<8xGC5cD^fFTqpdbd;uP_0lWAIGNX70cP3H!=w z#eJpcZ#ua9N7o183HAg2_P_Oa{?_I)h^Fi2GC;5dD#_+Dpc?XEUC{p-aT&}gD4WY* za~a&7!N~RaN7#GYCkCwZ>TE89>v0);`S1DLFMQcMUU&igpHj~~TPKIqa5m(FGrp(N9H_6%;;{!ziEOk5+Wqa z0Zo$2v(~7FNg}}_NNSCKV);4iueWIY?FT4+a~tZg$6s3|n&G!;QJTf{38%Lo9JLS* zUo=|)UrIMU{uCVb{N|hg6#jbIwBhGI=j7-9#(hx>7mzmAz(u7VQId3vRy$1sXY8YC zSg4ULk7o|rbv1%)8YO8$r^a*-Ddm8!&u&ENgOV-atyJDbN;wcDLDIxE=M4`JUsA+my#0q31c2W0i|Oi31%{;yN7q^tEFt-cqR@x7ZR?LyNP$hh_X<< zH&L2d&hGBw-6#bj%+;yUQZkcVe{UZBy?J~P?#*MoH}UPvy?L~I^Jw?xae8kabvtHs!3&LIXrTg2ZAQA3+krAQyo_VMW$z0~A8#4q3?MaU(#F%ep9qAny3V zx`^XT<&qq2JK z{=Iv-lP5IOIkGrZfi`)@$$`53H zGZ%eHr#UAU+)O;s)9$mqngrrNi;{NPyr0c`<5sT<_2@OLGA|b|(cGowF2S3}3#+Eh zx>cccaOUZ*T%sFThmY!2`Meiic;Ou{|Ke}^&NA_KQWx6{R7ua*#dheJDVhulOR{`g z)|~PQ*DOBP`=Qwcl@4eWLs|Th5^o_Wgcf)ap*R(lw0U_9Uzj#xOa?+xOHdEOPnepz zi3y@Cwu8pblWEWoGar$!P!q(6r@5=CnMA=w>T14aqvRrWHQ%REa*1YIv`3SC9GYpW zwBqYhjooX<^5?)+A>WIHUj7ZL(43+yKb_8^k)N|lns^w8kjSeO7FoSIY}RT_hsyqx z4k+mB_BJU$k=eWPPhOT3Bo;=3bTK1bt*a=ETdnKs$Bi4BmSl**&8Y^W;cQ{L_rCJ} z*bM#Q{o{LIx%2A#QzTG=nZ)SN`l0Xsj9)4-`jLxcP!q#iS31k`1_ng^gwd!c>DdyK zf@n{rrnzDc1rtWoD5^uR>I2GS9io=SG0Ce2Y6@TLO2LHDurfw8x>B#&KJ*w(QzwkZ zF)mg5B#b6e;6kO3t96AW8fJ-Vf|?-kVkG^52kB~ES(&p?!s9TSl6$QNo-6iowXV39 zN)-ve4V)aFyFzC7%Y(96dSj%BC$u}aYjoBhBKZ2K?Ilc05b*UHfc!ySD#uAdPr_vd zZSNJ4!)YDd%O6;~V{`E{jUeB2m`BF`vPegYZk%^Ox~Vzq7D=HwcQnv0Gp8pRxK#WS zSh5h+`wu{aaS?A+*(;3x9QYQKI|BllIWF67eyJi#?g;vSE^+5*AG%1Tt(V7)ZuV70 z=dnk{erNJ!Sry3$uXbPa#v92n6zlZ*frYkSwBv*EXlmr!CPgQ_RMN$tT_Re@Ph*Lk zQEw*wi6fo51e{f`UwwMdqFd$3HQ@R~5C70V|LdO+<6oLCN-Urc%#ue#mrWowAVEPR z`~*RI$4n44I%>$XcX!zplJAcw*s`vKJQo#PP7nmsh{S#qL{SU7IB@D|?{0BuhK7`( z{0Br&2h@TTBNF>ZrDg<%I%psAsl~-Sevh5iAYgT|^ zKjJE+M8wMCQGwSHf6-i3d`Z+$23ABS{7@Lo1$?d8)NzgzQ`zR>r_Gh37IOpJNJayd zWH`o|pqAj6Uh^GvMkV$->`jA9_k}1&VRMI{tyudI+$>Jg-Mu?BjSJCRL?6rc@1h*p zn7G+OGmia;0&l;NP|e)^yMyjVR&q8pN2CjpFMs?*1E1tDDT(c_ka&f?^TFPbEB2{>D!~rI8H+sQd`s5$|^Y@Y$Ow(7)3uakX9hhO%XLXJ- zy+Q8~^@7DVADZR@m6@+Q8xghTOo@Vm+wKERS@;QpR3uDr91jgZUovj2v_6hVJ;DTI zQS_mQQ%dxRG$Pdq6GXRM6znj(*l5s*RPL_z1bX@tyk=P@? z__J)2&-f|?8;g_6}znB!xaI>cJ?EE5C*8NSMPnjfyOj1UK&vWr|_Y6C>L*2`lk zx>2S-ymNfx{+suo!?06$k0hAgTu%#WI}Z^+dVk6inh7Y;mw7|Q%y~K^#o!zP_uu-Q z5B_gIo&Z<aw2<*jOx@RlIgoF|0FvI_S$`&tl>AgZ3RkXvh+wLY&_K)vve2|Qm(Fsa0D zo$b84V!>Hq*j;{Z{Ho6szpAsxW`Tl+)zgSkWJdNDMhbfH>=M!PeHu&TEN=bkLqXY* zPVIH>@T^yQf6=G>-do>(9?SQv899&TfgBEk=n_^Ye0VHBbcfJ2d75%kr^G%j954$kujW`|#KA+q!ia-Z7GV$+ zi*9H0V-vj0UfAqx$F2M1Qas*y@BjUGKAf;wBgJKk$1qqUvB=J8A>};9!zW}+GB=3L zVYi#ZA^3t3_6{E2G@^@;BYuU3orGNEJJw|`hzpQ2;)WS1c&LY^6c2PbqjQ-f4xoA^ zlBTJBiU*ouqd_{c8Oa1?$X%S2As3`aO|_yUdV!XLg3Wf?U3Y*RpNK21w)44t1_RCl zoyg@V>n8L{O-Cu%9LGcggSZ1av^H04Hp#QtOc)Em9KWUrzx)zlb&lKAfU`hJ0p&oW zCf{d~S$+vDC(A*9n}(moR3|qn>oQ9af5m?2v(REuWZ;g>nhfAHn6`lII!Z6#Nq zx1X7>X>Qp?``H`Xp}>F+=^E$SzyIU^#V1YfOd(jg3MYng3Po8rze0s3Cw+yxqWfu>}Grt=w6}mZ4AR_HJdJp60qxCcuGI8MNAv2|lXIGX=Y!u`{n{mUk%!VNEGl_$ zA1`{>Gp9MMXXIX8Ru?&O!(Xq{JR0O@>mnb+ZB0~q^t#Bf_n9KH0=v?t?tToeYw&+A zmPmTMOk*s63pg2UT_`K+R<%#01Nxu>N&{==z#}W+Y+0n121328&Xz@XBQzLFo-Knk&gb{4CMexG~eRjBskYyktnkp12SV#ls?rz}Sp+*b)Fed6ai!tdb zGLK|mRV?4c>{W}RVJ~@BCzB!UD}tiOj4-2q zybf?%RpeF`={Pu}$A3<{&GcK{fL1)Iygaq*#@`u&kdrHBStq=v&QTfV7FUJ4Z2X-? zRpdW-=imF$pH0al$s3Y#2N6zM&)+F&nB>OnGf&KfzjKL}GbMJy3Es1nE%b;vTF#W% ziK53PTFw-2f?z#>#2$JP5f0mAN*0IIGmJ>liedU>~wVu)!T=GWD>mRGZ#dlC~ z_+$$v!Oi6>L|HI-NqmXP9-ca!++!J`gQSYM6PE3ydPzXaZOY}bf=eWt$OF!N9&kL~ z!WM#IG0_CJ!DBFio$9aVf#YeUEo2(O+q;D0+!Ae>CF_%aE-A8-H6udNr!c zG|}};U@e&fXx{^OORL>`y!v(^ml2aX(a1hC+BLHzSob#<3BH`e;N~L1m-82(wiLbv z;vF(oC*BI5CYtIt$8j-Dv|FMK^Bx^AH$5I_7+4JqVTMO zDI!15dckx?O)}9L=MqlRygJfJ-lmftv0G#%$OtYaz0;#CJ+2OPNJHQoWFYw#K;m~O zVOj2?ltm$wohb{0JPKKZ4Db}>6Usdk_n$C&g2NKdsziHqj?ojxo2eCG^t^-?K~sq1 z;fSi2xPq_=9bn7Qd=6<1%pV%P$ytG+sX7~uUJs7m|L})iaXdn55HTs6BpmF&mKS8pXA$R~egPhEn zQb?3_E>IBo49#wono=BOgWKL&x71uRT7v=YI5fAT>DbQB5k#D|ZUxvh;UXEh^2cc@ zg@d%{-kEFq#4GmUihp)gH$MxMvF?6X>RI0^!$`b{ULlUkkapsISmP zeTz=$M=5XIu>APi?VON6njCIi1?+mcA~W`R$MRqKu2;X{|4L41(D5S(WKL+^LWCBn zW5_bA!EUoRCR`C@M3YXyD5!Oi5UlH9JcpkkNGG%j!Y)-O^Z`B5X&Vmq69j2In_!3j z7r`9gx5P;=NaHyQqRdZ<`_bGAB?Y-4jb{@adQ=iv8eTayvD^d^jjm+sp<(X~+OOd! z93!#Z1P=!`8i30HuFC+sKt#Wg8kz(J%T02u_w161(A|F6tCQYIGTdyE=)i?Gnv|>> zkQC*Ui=)vbM-UpziKEIgwAXNB`qhbN4%(v-B5;y^dHM!KgED*F8U=7z@C!|MGnDO9 z4e1ABNXz$={&GS0STdP#|1{vs9uuFr&KN?{I_0VObgnFbKXASTqcG%6wYN_g@}(nu z>qW(9+Jy=v;#>rz-6o$`=Q)4GUR2@cK4E*ATb7aFEdsr+aQ@1<4c09YLHKDb(en=e z@A#76@n?Vc$6e5i>RGM1SfoDk5$HwgBZ(%40un?GPg5Te{4GkpNPUz=pnLEaiWWsT zzxu<&AkZgrq9O#ng-+?vwopNQUOgn4Yi6^fP63n#l7YWRT3#XPD`Jq{!@ge3)rXo= zO8NwW#c~tPBnlS^?YAD8CP|QZrH5Z3{22HZG-mD_7V&p$T`k}1+0se*$?+@5_EV%w z>T}WoW%YOA>N4Ctq3wrJd`Wzo9U>nq3+?}B?`>cvS+nx6i<7MJ?m9RmM!^^{63K!+ ze4)Fmx~s}|Y{RZGpfxeGYgi@r9napM-SO`2JKTGTh&$7-+bT9cV}y^uDa{%({ELG zRo8QVp7R`;2krHFve3?%I0?dkHgOXqH89J<2ZllJB^3Ms`6YXOoxj)KO8|>aUp5vS zzTMVYY&0SBi$-@;=lE$LF&nr&0*^u`W_iGgK2 zJ52-Ly5=bG{by^=W(h1Gz(?Zn$Ph#`k(U9}X6mBF0^kwrld;ec2e~2y9pJ zk(LiKOI^rH{JN5DdFp^`1erw33fSYS$Z)la42iuC1@kLqucu$b42rC|gXJi)<_=C= zOs`H7z(@V{fBDWpoprYXDgD46Fs?|UWkRDH66pICyPB}f72A~Ga61PR$ z4S+{#^(*i0=J4)LQKi;&eO|_YjJ&(KQ?$m`%e|s=8pghM`{kcA%exC~b13A7`#+2N zjNTNbz(9kpuyVsOSy$uM^b!mma=~BU)(jn?TLVe{2xnZ;A(vzM8U&1t*6TLa!F@%C zT&66ywFIO4n%azxQC!g>cZ*)OhU!dY#Mf0hjL;z$hE=7Ii-aD8d-4@?-d(EI?;$)G z;gP$}d3Srn6cXLG&;Tm*k~532OCFV8&OTDJx65-oA5`{V7_^Z)jxVP&_+L zSEu<0RgF@7{6MoXE?BMYYV!2So8Ol(yywmvwy(kU)dtrq?`}ZuX2{>`!6dGBfB6Zw zuGc)t0ej`$k#dJy?8>`?bn!?2%{P4RTReQ$!N}IMmc}H9_AOCoAqb?G09KNT)p_*5 z$tsQI+qRM?kA{*bPljz*CFF(JN=kqCGwmf$-W!6TE=YCQSZ295lstJdgi}CWId}&c zDx`qw$0&L7WZ1Qx=E>1^j-++|VI)s(yT;I7aUMIKG&J5vZApgu(vAVr>&2UoZEi%}f7BzMKFde4&GK}_P%o*%8zZS;&LS-*3 zS%tQpPb$4-4E9N>H<8e?H9-bsrhyE0cDxmPkEiEQb>tVq5^TWy6U~PEg7YOWosNLK zP$%+voyh12-J_FW&uQy4wITwS7t5Gm{%9n%;tg?C*4}N~9^G758FJFxg72ATr>(tf z=ds$+?1$^#!+-u*L-;SfYa#rX-*Qh@3$HE@|I-g*4gXgX`Z^czT|TZz>N1~%TXi5|hl+%rUv%w|DQWE!=}V%^Z{KK69_C6G6;ZjLG#wy`fjY zNie%K_0A2mwD}`s`*`4ak5NqNv|Ls^X+!KO#}hT+7{oWcm-zJpz1B% zYN+RmR*(q8h_Unn*KeK+_Kl$PLh&>gRq1rtN^|FW^GAbZxF=8iNf-^SZx}2{zlP=(y+xgmrzWjE6CdOP>e5DjLC#U+aTG%C>=UC<*s8g!KHJM zO(?StSu$b2Ynd8BK)u}zQv_Ku0jj=giEi{fK{1QC253aPyAK}hq}-i0QiO~@rvf~l zod8}(JAE%`_vy)a4nMtkq6BaI2ehWAvp9VB!ErGXm*H`b#OO(sthWm8U3B}F=`I$I znp8OQ%OsK|E|T&Bu9FI-l;S!OcuH@)0QrNo3RvzsmTz+UN4T0VsM)NRkVY=AJuQQ$ z`)wMxS}t*x?z_kz9k4c zl*cJE;Ow)b%TnuWn360q)G_TO5w=-E6{(SKP<)?7((Ak{`WUb1;{&^*@k}WtcaICN z=%ZcH$K%8meLPNG(b%h9(b(;rXL%QkE%hzme)5t|Jr5xfBC>)p5hC7`SE`sPITWd) zCd-`gii(*Nxx#vHY=_KCoc_y9&^ehGO_ziNZZE1VRVB%muz%V1R81v1iT?g-a{)98 z9~cJN@yoWqUs^_dv#Kv}ZHMI!7q}^np~zeXp%zw7sgHl|_Du3vDdC4^IhrKRzbPjv%s@L(UO-r6_2@~s?A zbZfH9_5Sfa*xS9ANVC8D!u_MuGxUA-k@6lL7{2GCe<^zx50o^Quqw7*dGUDv8TmZk zQ`%eh3uT7#LF5B0J}dOwmzXq(2W`rz|lx5S7~1S{!W9a2nMkXvlkjtq6%n@>&rg zz_o}8A{z4dY=)>Zl9qzoMPuGddI^S}K?CCj#8Z)QZ1&ASri$p)uL!1#3STv7v=y($ zSM&@TR@_y}vT0C8j2Sc@qi4`??*Xw%h&%A-1}laP8ZGx4OQ24dlpcNGLE(ayX)@&j z9k=RHndKtyhd%RQj-&i>q~;X^fCs7LCimvE7FvJlUN>`$J3Gna|0g{pcrr@K?X`wS5jv z*-=)tN?a+Vr6w4w^uEn76?({6tx}F4y_7K53{%$$8LL~&C}u?FhQwSmoMfyLbIq`8 zHc8M5QvWQL1iFX9U_Rr%;*OnY5UMSOAC);xbw86B<} zE?ZA+L2vv}@~0(TW_t(6nZ6>9bBBJU@;JkVi^Q5u%7&bGem$Ol^&HEqN76)c;_>Xn z)pN9~=Qw-yAhn~dO-%A!`_(g%9Re{cqxG&+G0vNcQPPxypS32!Y=&EBbjQgSH-&*d)U-4&W z`lUNes9ollVzP<`3#cWQmssYPHZ=t6v_RPoLZ93(-F2W1Eb~jP=sD|`LYr5ma(Cbh z7MGdyex+;V0td$dFZ5vRloq}G#ymA^4p+L;vB%wXKfnl{luYGW^XkO`9lc!W}@7fQ~721zEBU)9<)RYA)ZaZfkH% zS3h+vSq>`GM`CWhHn|}RuUb0jqEz_q+Pl44ZfPb*jZ+*%t^HVEK zh!;aB5tZ4c=%+#^2+pK|kcGl60jxbid(a=XZfIzq`>BbEB`)&5Ou$7y75js) zjTj#;@}!V2qhxh7dJ3ZLdXb+>+#Xa7OV>h-kh_Y1FR*>VCRaI5PM*>Ic%p4=QC(%| zW+dmrg8GqK;pQR|Y~U_fVzuqmU5AdWo{o+9)tPx(n=pvCPL ze@eYi@;r#@%63q@84K5K>9NN#`?0_Az0-QSMX0{&@<}^WNDdV3P1>C3!99~%eQ*z* zeIf26vn0(s*xH6}9n`s?@#Eb(OXK=doYeLcwi%%}dX54_t|`*i4m zA$J;qfT#{^PoiSCZH<82&!CYkw{Af`eviU44R>3zw#fbM4JFH+44Ykocy#asaC1Y+ zawo$y01r9o4HN-k*oGB_B%BOewD<@hqk~%djiaGtxsxF@WvY~5N2x-{eN1 zYjY<8wAmS#Y_lCFoH?pB;Il)$FV!p3ab8c+fS$sFHlxzP5NANWj2OrJpNoDY*$vyL z=`rX&!^V-#*SgxU!&?5{W`Cb|I|_AQmD`Ic{vyS$`g=~JL{9!J1sou8CeO#jdRCLr zK3S)6%C_h9A6lLd#~W#&JGcTF4HUfAtfnB_zJDoMgiZN+jLHeOLw@qs+l4I@Ec$vn zr3l%+0I}+)!Ct<#)a^*u{OWexT(@J{DO%l*>v21N&)5IL7k_XzVNkU?wFfaN$FFA* zF@Y_)j<*jTWIi-u(4;004~QkKAhG*t+(kTLUc z3>%Q1ztfmtkRN!TV8FL~2uKg?7#c(t)&6= zKN4ZUfN?DiAYvvA8h-2JtF1IJ!unfF1I!tOq{Lbp5K*hOs6UkH%IOWUQg89gjB~Xj z_w^w6S{lfT4QpwDmD$^CX@DIC!z6)(|BtdXFyeNsrGd3H&?|JVTtZhURe93|IUV{E zR#{!$j*!!Nbh2eX^-sU>lV9(pffIdqs#s0|C{*XPKt0}fw?Q*Jv*{3@f{2)w^UyPd zURsq3O&ve%u!S}Q4YwvfYCUbrB{ai*2Zn+6-GSs#tSF2GJrF8m(U3xDRhmrbD+(ha z8Mc^SBNF7OGh#eXevIOFB*Qdfh(Zz=W|$dXB9kuBwo8}AJobZY?z_`Kzeu1L`Edc% zCM%A+MUgzWq`5sJdA>H@t&MjoY7HP9mzZ9o@w1}wbn{wa@v*?-pMLA-zU@oqV9|9E zp~{#TUgNMhg`dI%OOS&}u&41;h+xUE>!_qDXtyyMTEFWEi>NGH;E+n^i6ODOS3_R^NZjrl-((b-6!2C}Hs+9uNO zhmt=*wDLB(^+^B_Oh%bIg7+*Y3k+(F+S}m`hx^3%i8(lek9~n#wkBk7|HDv0WP zDiGNYMXYp%6L@?MGNUIw>k*eScgPhFdfZFlNHo!B1TY!-8+hlk9qPbnN{>@QNYp5a=*3Hf!RCK~6y9w(lPVJW$#F{b1~!MZjA!f-W@0%dgkuVwAjRe6|Gv5L?XG zE_fMnaqqnzKu(RgSkhvB<>TpAFdj}Jd2})Acl_h8djG+}SPQzQ4WnCIP^d&En6OkQ zLE-cgLQki!!B9t|5<=Rf4daGRUxSt_NNFmNyl)eM+YOz*hM5JulJW+9T>?sO==3$* z#ei-SqXKM6v6Erau05FymOGdNL7QdErH@EW6K<0n0>suURpsoEA@ZI>6IKhGnc< zIAGZh;ELl#>q9RROvd&(;4GX*Urw;L=ZtaG2cY_V{&%{NXF?@n?c>lWS!HD4<19Nx zYi;>@YRkXzkKXuTfsD+Kea>J)Z+5A5I<@&H08Lx``RhC=jBy&wg(QIXhM4gvjcgE4 zODS1jkpOCjkR9fnQ($)o=UD*M4GExT*r2INXwYD5r&$1QNC0)inzJb|jT6Jlb)vc( z5Oo2raccF0&-cm?fsylh6*!?LKko!Z$+!{cj5pFsW+$fXz@fV7N~2gr-Q zDx9?C$cy2Zal8PLHyi$lxHoe(WZR+kq5H~2o6eCR6f?Al$K&S@I(iQB1NZ)O`5F5r zf2;xdWi)9BtL3-cw~2*Umv@DwYkBnwLIU;Pd&79hEaHAFVfC_8w0Z^C;}v|uPkj1+ zd;53v6RSKNj7lX9qCkYKREL@F3d8H{2&dspS6IttFc>0Y=PaYF&&@@7lv^^n7vN zHobxdGqBaqaKjK*LDE@?n!())kh9SyC2f*yWaYh1E6XYvH|A zn8sCn>5>BOKRV`!;XIBv#7WPOS?*i(w~41Q))D7<58UW69vpjTpW8@BTy}<@`M{bxDgj~5W^+i>8-is#N7y?Dnqke|W*|o-0dD_^wEQx*=B!7=WPx}% zbMBC3CB!&Y{^fa^(J4MpS!s|zp3vwK|49t2;vgG~Wq4`*AhXuROn_Hcvn}`5#?vFX zdGxql_$=y!M4zEZj|`gE(fppJM`mU?p`RSh>cL5Yla&eT!XS52Boyeq5*_js-Y+we z!U4k|d%tYElZAohXx0z!$JC=NJu)-ly!6@R>+$A!nP*NlXwmG!7FhpQY`9Zw!aw70 z`0QT#^ix>9rkm?EEjvZ4*K|Ez)0;l~tH1C2ycnUv2Bm}#e4s4=kA>Hv;0}M)CeyM< z=};2=W2!e&TTBWyiQa}32{d0z9UXd2zM@PuqIwYI;sxo`OK^q=%4P^z8d3;wjMV5U z42j8Rh*AX8IOBplyn&h_${Xln2hfh`?GTa85Z*v1zEHP07;(Zybc7j@KK7yAGuMPA z(bb;n{cb7DfMiKEAl>$PBzjyU9&@lylf`Mf`J81c*Bm(!2?>}2}kw1_@ z{gC^Yy*!2fj=6%xAt7=Z?9X=_Rd>$9{jwb5xWBg21DbKsgyar?$}u@2dAQ#1m~5rL zgPPE#)cDf%zC!uUh4N*mXod3Yf%0d5T5@Q1N#D;6C2MLD_r!WBi?sD*S!A-}AgNSaajM`Tu~0IctT$w3 zk;!rcq1?Zp%aiIvlpy#nmb1=wY$Xa(5o0oY&tfp31{eGah7qBP>3(ZoUiW@#Id z?Hr4KYWkbR%EqFfn*JuSa?d-TnEocQvKdZIf0J0*cHM444nS5K1Cj^R-y~KxL$DMj z%|1rAmsmL&wh*!x%EK&+eh)gMHnu^2zMPP(a<{hT)aW^>Fxbgqwh_Jx$$(b1NG~lW z118*-hn^#F3ccgs1&lY!)|2P@?qf=WJBk<2#5T)k$5r`Ujs?kb`+Ko~Z0ahP$a*UY z(38L4+tUR`E=4aX)~ zT7=-JZJ%b<*DY%FOy`2nU()Y+kM(0bK-l(#p_i7i>rDl@c*5guhq`rsjG_FGPs89t zEh~(sEi9SH?spE^Tp{q`V`JKFVd>lqoSaIS6xe%(1VLuGC_ODO*q5sf4CA8$Wuoql z0;a%Z56rSv3%(vhmRkOtV)I8p*tg7ddJGlm6`OAaHm6H{#pauf&C5>Fip|%9&F`tc z>5G5Hv02LmmYKFXCPuK3_QnD(Dot|xPcJ2G?hVl*4mcYhC?kP$s-XgLYb^>La0|Abz+NTn12Fq>An-{Wd(VyV+vG2Ov%mR+ZwXK@DOwA|Ee(r5 zA`~R($w(E(gqDWY=5{ko&D%sr4rt2_V~!kXOcfW(rIDAO8^#=Yx7m{AO~Z{bur!Sf zFC*s2*>`_3qaAbPU5kKS5qu~h6LaK~sqZ{T4syK&*Hx9c_5Srp7;fJWzLZK>1;SOnR0ZliIN$!2!u?3uXowpp7NpA40 zsC))P^um>C;h5y!?;35(VlP#hX(qbbhw0GYC3roiF_R^^RR;&z@PWq6EEEb1_Hn0d zohb)6s;lT^@NZ=`G%kT z)m5yt4@zSQxr&vJ#F`F(@=So&T8E3*h>(2!!T##SN=M4Gt61rIsxH#w9{`s=XaHQ9 zB_1M9NdWZ`XLxnFs>-r(W(DKrcluSV^n}~+>WnzQ{ZD-J-}ucBFCtDW-Kw92U=3|H z$dxWoRoNikQ^Ofm6$rH;>|3I$(y6p))9eQ$v_0a4qmJeyY0{lnRl%eTW{4q{lIf&U ze#IkB^j~x}`-9neK~A$Xn`r2?DttYdT&=rqK!z#|CCIQZ5>rGNx?|rR^k+&-r&TfT z*mfX)@hhKIRoNaSk-bD!g>vnSR8@AY)h|(1>1t(MA7)imAn7hdv3XS$NU`g;G^eW4 z*1I%k9NpA|Z$6$Q^m<6+D<0r(YloBz^$4p52LAahcYRbmz+J7 zD_wIEHz4tzAmW5`VW()#Ni6qN&q=($<^TPLANh25gR~FN+@REzhX7Dorjh;b%ppiG zrNp}#w!LN?ROl4u-H=gDZwSeDVX#5{^ib-)vaD@}WRGcv9?Bx6A>AM|?5CKZw4P>@ z_^z3FH$zM+qW~TSVuxzCbc4(gY(4CehWlL_K;|nFV$BdbCM*tcMYNTPbK{1ZYqh@a zrh}>*?_}F9Q2~Rc49Kv??rsOoUaSds5mYw%V8K$lWRv9{W7Gt;58F*Wu*^H(rB9ub zv*-dU*Nms9*R>x?&c5|6s)Aw1iQzK%L=?Pji{AHHr-2atV5T}DHh~x?+re)z@D{kw zo6yFV%*$9gbw7@v&$UmXE=jbYi4CRUR`-+W!WiOU5e$W=p2{g9q;?@Td$pE%I$&E zT6y21D=g==3FAiV1SsKKK^>g#r0vz05F}BW481=cD)ot<-5W-x+#VPVTt_?BzDG7BkpR}Giu$fSm5n}Xbh*Q40D6L37n9yxF_ar%i5cXIv-KtoSMeo< z?qr`E@-LN=+#$SP9%T@5$6-R}UOzZ=;bI9x_8!j;X1=n<1IFIS__!eAj^o6fIr-Ly z+`bfN+i|9`dGs9M-4xxLtlK5gz%~ z(Qc}pe)Cm}C(-iqev9}iwKk*0`7^zvgE-xM8vEOzBp?oqI4c86qWsnP66(*y20B|> z4kL}bk>{uT=MN?`qpR7LJ5gLSlm&)mH$^08Xegxr6Wd+Gq{=(x2mdsi&xhlH=do;%JsM_KmYOH`>lV_V|Y!d5a?p&xdUQq5`S(I9g^5^1(^13 zKm(9FfaZ^}V$3_Y8+ORk5UKexlb)3YHW)f1o)RX}sVk6@K3Fl91)8o=EXE+2gobV? z*+NUBs~5r~KB)P{F%k>Y+t4Upakd>?D#*f?yN+dne)7p-i;q*Rn3V-EERLOaOw8Ay zG{o;`7G4Fi7ADaR^5Ua|mqY2ea+`pj;c4+Ut|&*czc&6M?);Y6T~$|a#5~vZi&#JQJf)$I}ST<#V^1e#ZAr#-S^MUIT)L z47*gY`V9NpGoCdhuPOE8ix<`u{lbgK7hietc>fu+c1w>TTeWZTuc0kF*hmi}yBBXs z(_-JcJU{qbRN+|@he5hDjqT7(I zE}_}BB}&$K9QrX1)AIMB20Zem=o0U9M|beRIR=Wv6HjtFI@l{-~e*{O`Q)wRFvK+@Rz%5GW1t z#)xn&k*7RNk(>r!T*cjxoG$XdT1!uF!#wT^#c4q50WExGDmf;nC)3?|a$1V+<7V<5ReDO-8_i+DEL0& zO$f9r_-g_Ehzhp<@kEwh_Ef`L&|RMJD|Fdpbv(>E7xR8aF*rqw;GqVLqIO;bE}G|v zq)Y!6t_0e^lpp3RW{?Jy7Sh+D zE*rK=pJTh1fFFHw1Lq|A9PI{oGI367KyY!4xz7O&TlHe<#3J)!EPM_~6zIpM?p}fA zOg5x9-bTVi)#LZlT31*`wgMP*=fC+7Rksba)JUi(3qaQ=dZt$gNJ_m&O6W6Y* z@MRTykLL$1glxlSiGR}#EVC1|LDQXjJRoIeYhEsa@dW!Ez)<{qx~B$&T*m5iT)oe6 zel8>ZOjo~R^($hbV=ZE^)I;IhU;o$s+~4|TFJkELrOajY{r87CqFuY$CftO`Plg=@ z(XQGun=eGW0cJk$*b+k7ox}oAed!szF64%P64Bkdy0J@95Az8PDS^ z^7ny$Hu%OsR>4=x4Dx)uz0QjWXN7#MJl+ zrhzaYKQ(gZrHByy1T$>g3O#)|Mo!(q*CS2C2T&@ccb|TOS;BpyA4T$Fg$~1LIg7sn zaT}@Q%hR1d4ds5rRzI)Z!`}tZWZ$gvmwT}71#5^2?a@UrfwsGg?KY^n z!IRlylCIb3rK}R_+yjOof{UyhT^M!w8<4$;h4F0@cZlJqZMH*R9`XI+R`%~N+wVy% z!1{i`(rzB!KWsnW)^Y#W&_!^5l099!C+>Qtpt?fnV}#IUr)Ukem!G0@6zTr?i~r5% zecW8AomB51NAVsqET@C_7-~-j?=jS#4Blg?JsG^`q4q@Zo`u@YRP>%D*{8$z7-~g2oE#82v6=gAsi4*Tevn=jVjx1)B5yN8|s=#wFr% zSyNZCNL-h)tzxHzr;t1boIokhBUA*?u0pq&rvqW0%u|u=;OS_j-JzaU^ZOIO#wmcJ z%5%P_um;;}QvTjccc0_8u=W&=%%S~=U;31v40CAFOokxQf^FS`Y8#lnh))@8BS|X} zZ+a=w{CYzbhKJ#9o5<^KNGLMHJs4?7c+;@%l)LVRL?SahfRU!*I~3WJ#O8*)qnIJY zY0x3asC=`lwASo~ytkNPhuBzz<`Ehr;Ag<=hP>;T;Q_Mx8X03M5I%Jk~N;tH@E=F7j*}~&yqczwL zWN5AGrk4`PnIQyJQ(7017gNs|s-SDYP%_H4BA8z&Z4%@*;6devl2J~EKufg2gU{7r zu8LxpuP7PiWY}%V&!!=SVN;H}-?e0vlVQ_g3L1CaR@8oHRn=D{$oYp!vh;xXRHAl6 z$tc^dcN-nRhN0|m2J#gp9JVFV+>c=^%qSzuPxMs*W&6CdZr!;@1?=d@xlD@kW>1SQ;L=;&p%f*HwHa*uHLD4#f_PoBh zC1YsM4=U5;yW;K5#oJ}4XvN#>!Q0K-zV1I>d&hdg*O-2uOlZgS^JGH%lIiEQcZ`{! z=bpX$?7dg+srOJOs(U~W1#EFM7WoqeppW_z@<+>8kG$c*b@i*K=p7nJlBABw>a}-l z?H!v_S7SL{+L(~Tkf+_LDYHY`5#JzMZ~9(gAoaBpVTt#$ZYzU)O;*3-?f0H}*GRJZ zkACnAzcetzw74_q9h(}U8Vjdj!KB9Uk#VP4-E?Z)X)K!_re>JNvUZ0_@0uAnG%2g? zH11f|Mn@P2xsUg7N5A55fNG5zVsQ!2eZ|bLn4F#}`AHLq2Nz8{!Uoe*7e(iU(jHE$ z0Xa)hHBBac06a8vheScj>F8X+G%3QI099Lf?9q2N{fzGoiEz7j*&{;pl^J&4U{;k! z@>Do~nIRP}3bN;i=4-uot@p0=-k0tlPeJH^_J4o$E31^;XlTAl$*~D*m697*sTX(U zxRmpkWF*j% zNhNA#6Hi90Wai0&j&VYg-Bnif3Veh6$M^U|nfpgi7T;QOiq7e!y7-RY^_RcK$zytp zH-@xsfg#;B6_`w9NY`nYW_l?@FBncuJZI9`R-Bx8?&!4z#8g|I47zDSHzP62ckSrK zLFN+^&mFyHCZ03t+#loAEOFkgdc(<4;=GZW<@A8?;68u?wKYF}A*EbAZWq{ma+B`~L^H!@dqg%{`kFlm z(>CVNHatMeqJm7MJ_p(!x=*5F;?DUiao zkb040Gk>4~n<0lTs(zQk=IzXlpAp_WUw+xGWR{kJPi%X++HqTJWZ7f$+$mZk%j=0O zU;3C|fB6G**c-Ais5vAIJ$ zDN5m(#huvIZkS;+mXuy2U|JTdvbr6yd4H%moCK%`!xHT?Y~DfHLk%gtp2K6r=KdJL z#;$R9Lz|NyqeNi#vC-2H9V8OF+f4_=%`GD~bM`pO)?&$EKDQ+6zF!kM;#%OY>ZBka z_8!j;)yQd zZCGCHX^A|jk-H4T?GadBM!gcnMl9!r7^B{f*d4$h&cHcMPQ=cb!9^?Y4o+pz9O0!B zc8E7b%@aT?u-o?!-{34P-bq*<-VfgZS7O*d!8-1L1iVAkE?yW^M&fPM$bzk*@Kdqm=%;LcJ5!l`H}cuC}SDi*Qa3^5CgvR{Y{nqAT` zbHkk6h1n%yi0P%}F-CG1vI`)8ao5{jG00;nMhYhNsb|@`40p>R?Bp{+$R=^OP9~uZ z6YcTja>Wa@pN?@Q+w3r*$>jhOl0yhEF#uBBtRHFmxWr|okI%wo94{IITQ)ylRJYzt zT+Y(%Gg@HMx)*SfZd{0QB?1r1nS8l3Ih0*^bsf@WENFVyLZ)5`(d$HTK~-Vcrxdn1 z9;4+09j5U`Klc7Fd)_fq9hgCG`sycwS3Am3G6-$Z3Z2K6G5&4#Rhpr_N9nXMjPdW} zSne4ALZ1W?HzP~*A*)=aMS=N5@X4XvG5(#N$sKdk(=)ka{EK$~E1Jn|uxF1NGEUCU zbRZOj)jaj_+)3Qrq3*0G0LLRa=2F(a=i(XP6TlcQ56QCW zEPBnc&DIp_^`uz8^+$j9AO4x&@#u-T{g6hD*?NXjiC+6gN_-^Zg1lRRQbaF^J?cos z=1>jD!WnvrON?+43pTM_%3XW86nHpA7WQxvx2Y+za446e#95*qJ*e8(Cgnb`S?`$R7?VK_DAV*pTdi ztU?ptD{b7f@@KO?7Am`_1bYS>64I!}hLrt|zHms{??g5_yaA=<%uRto`Gy!)S13Jq zafc153}J^b$lfp89+p?Tiz+g{cijcW`@9qlgZ%y64)4eDMp|06y}&OzzMnt&@PVs5 zEr2g9E~j5lpC!O1fAuS6{7KCctbSJDg+Ib9!E?X*hTnF3*3a74)S;elctS%|y{zF0 z!!uDPMk#y7&jK48BQwP_!RU$tNh6FOHW&^mhTx8ukQ@Ch_!?k@aYYD`lr2;d#G6gc z3N;OzL+O@8497y$9H;lxrSaOq*YLDFeWHe52`y`k`YQZ_h~YZYTfXuU?Fo`(a+k~snu)Ld*c1qe2oS*0m;pbdQUNnvv1)Gsi!mIJ;f6Qo*ji`=o5{2PuUr2 zs;z#XgO)USdGQoMk{w-eShLyKe6#S)$8&_elQ4;Iexx5R_p>%wb$rcBWRb+vxFJ3f zotF5&xr>&EYkR_Sy5$yAV?yine@CycXb@7yfBLt4#Iny}? zjuaT}kJORE@y6CYI#L;@5S~%)2yxpTDRI!lrnpV!2)*fz;)XS>N^#65w%}&kT+eb7 zUoE4m)oWV4rj+}AMEw@bim|&;7$ZrGufHW^RK=kHAK!b^=YGEPbASK0edTNW?37NB zGcrS(CkL>vIHa46b}hUjf_-`k$qN3e&2SI66U5mAP1SXAL&CBdVxlHx&M-LSfU145 zB2n24LG~x39=pc4&ZJhpx0#v*Wi#yBj+8^R6)Tm+v6P=uem4<0z7Fa06q0r1j($VOM2luWq$AZuZB%#vrxG^x75)^Z7j zogL5EV_|x7lkXjJ3UJ#`zsk41g|15a1}|`)G|9z$6#Pzv@#!Tdx#&)sC8#JABEU&G zY*H6Uuq3SXmX;F2=^+;-yB38#XqMjfiEal?a)mX+WqX0kRz(YNL6~@cf00Sr&ep`s z%JqbLAx7jBdk+`x1@dK-Ex;$j-qq)}y`O*e9LuZc_`t3nJUemq9PR2k&R#voqg_3C z7F{R55XP<=`w)(LKOD$nr|3$=sNWz<|+^ilcyjJc~aS%zfW zS;?}Rj+y(oOqOMRAC-_~yoXb^-6^aN7x;~Y_ruZ4K0gfd1Lk&kza$64`;lJDaV=Ch4>C)!`=gWd7})O1^2^%wz|i zz5o34x$>{|IjEmuzmHqJq0tiO?0QAtp*NyS_hQ0qdJ)-#!9GsCwbv5nTSZG@Qbd^i zkp6HA?#R9O2JXnc_by8q@j%7v^Hsxixs9+m{Ue?94>u}`JQRcIzleT)|F-GMEjcUW zcKra#KsCRDV_$9XF!{x*k2yT^r{0JXsCr5~sb{gCLp1vO} zAwre>Y+Zz2Vmv*E7PAn=atF^+y)U1jH^|Q-RUvwWf*?gu4jL<4qSZc_q0|Kyk!a52TBhxfn3W z4|w2WpcOalA8EmJ(s;!qJSKc_g>=e%)AMG3;bS(R`g?+dBI$xb-mKbl z*a6&$UfaTQ07c%c*K`s_RGwCdc{45qti*~nU{%qo&s2o;!|fJ?7}d5wF|AC83I6HZ zV7OP+L}Xr4Tah25z+W6Z z6kAi}L^zRn+vRB&I1{WkrcZYpTy2zLB|%fA3Z{Q>bx_ipExtf;5r23?nW?sR=1A zMQ|acv>IKAQXK;joK>=fb(X&&a{(S!9phnL5a~J&F2uuSiS+9+7vc^bss_J{vz-L} zeVn+juTH`ZP_az6o0(IzItkZ9{r=v!e)v~?op%bW&V*$#Opv0jIV_k2A}B1CIuoo? zm?07ateFA(5vXcC!<8$DLT1=(TL$T586plA0Cq!(ZIZeM^sls27;K)zG;%}9vnIo~ zW=)MNf(l*Aa7eUcD}t*mw4ejZa7uFW73n1O$5=yELq1G{I!2*EROjF zb$1HR!?0go1;|Z%2i#r3;(*O=I#a*V{S8Y-MJC}K`Ku9tUZpJ0%TY+0%To^G zDtajao0pQZOc(Xc-JhxB#}OiOzkNAEixDS|bQmJ?y?s3*MT_PyPA9-mmmZdA7+-l5 zzw8vP0DV0G{kmWK?|$oJJ&M91{~gV=G-x(5Qp zWfU)e%$Y)DdRVajAk_uTNf0k!6QcD2tF?snMDCC`W^G1sNu!j=(ZHXu*UH6=M60XW zmRs?CU<)Pxpr?8CH|mw{@sMQ{lE4= zUb8A?wjV@4l$sfzSIDImdGA0s1gr=x5{97DmI?BhPHuO5atHx~%@&?To^sE!{Z-v4 z%K(s7X1!y!zXQ=xpbfm+syxP+?MIl8ks8QKjPY(`wmVJ4VXOWgzb_7tiD{Vl(jQ-u5SYeT&8T-azIxShmM?BE_4@O~_h*2Q5D0 zVY;S@c=^7`-)I@e2enT53Gc`z+=6Q;LB3V)Grr&{t{_GsEKBgNA^vh)xbhHx*(q9~ zd-*9kN8$QszU{j|?+-Y1s~@$7_~^L<#i?ep9?*>tzD$_M5Pv$zCS5DJr%*Gwe7;<; z?Tmo9guVjZ1Xxogd+enfktfG6#7GT88hB>7A_~EgE)Q=ABa~w0o8De1WMhaFPjoL? zS&odtoQjN#R#whs+usj98C1f&5RLYOv*fG+sQENV(ACZ<#EVboha)tN0I*V~KfjQ@ zEVx=hbom|n%0YD5DOy2v`6)UFM8Exid&mDg&vrvg9AZmGf>wV`u8-02jiUvLE+w&5 z!>~5Szb;T+)k%2ESIpwy7RnY9C?mEmdpcwMn{=lUQ48rj4;W+o%kDx%t6;mKhF-21 z<6mfgf<49<{eZN77FcG@kW7LyqQ=*QXL$sQ{4+r`eKy?AH)$l+<}irnv-o$9T}tk~ z>na4oMNFNu-R$%1AShNGX3loQH>hRw9lq7lGM=781#Y2yNA(7BV)>U@u-%MnS0hW3 zSCv;)^7lkjdl0hM;t=$KxVQxJr<=icvm|+X#JMv{H!wCElc)sx zi21x81LiBEK7jPfc8gK?%62=xeE*3QI$a~}$0^hO-M{Onp1D02Y2&U$CK=goglu9) za_y3nV38#H?8!~j5mAb(ZYXIsBXh+OQDqcMC&5JVum^3&Y5ELwv1r7f|#<^IpDUjI{BsDBJCT(UiI2EKv5CxK&8( zDQ9J%YycVmp1`pPl&3f4J)=crTUqzy&^)%Du5z+?Y&bZAi}3cdk%uzQBH|()pn!{T zN%G(m5na{@CO%94hAen4fmmi9#vStFi0_w+#e?sn^NjX6Ap zo9mvv?g+dwA94+?pFnx=bbp+w{;#3+^@P?R{FVRwfBHtJpsDDe<)?cU!x|#p1R7{f zX0wl}sLc{oZ@7ihh8Ut4tBB+>f<#R-gg7?A5I^Y_N{)g^Zb;NLL)3wFs&E5wX$@Q5 zkf>>fdyuB2Slu+c6fwIYZ-i!u;2%|8{V;=F6E%B7kgHU?5gp_jU;2tfO*7md_SB~$ zVXI3VaW|CE+AJYmjuDLr!aT_+(f!o*(E6P%V_gk%-sJy=Nx~UXL*P zFq#ZR`QkX^8NwYwDSepYjjJSDUY!?``3To3plv&fkK&kIfoyj2O12~3Ndfj6lm}-) z`?y^gXKi!Gug_EaqiycJT4dSgel=b1=_fXWglipOITBuZ9bnlhTAh{Ur|6tIz)yVQ z$Nr`7d0np-sh*=bD;{UdC}t$O=lJqdU1c%EZ>GA+Vu(LUTF*lKDbl(`Z7CPfO!cW{ zA^sF)J%;#`Rric9Kg~nW`0@|QLx%?Cre}GVI7xv@r=Vl2Affk}bdjkfvf_y*AMj+n z0`G}{WIvil(AOdxoqL~8Ct$ay1)dGEEwNya6dMYi1rUYgtWy?tHeITNq$`}3!u!%| zDIR8>fVLB*#Gf5>aOOz%zqigxu*KD3mJkTk$fQ zN>#??2tDEBP!F<+Z-AC%My`QD`G)B7);Qisu7PxAjn{o}4GgmP%eEhIy}PI*n+OV& zb@usTkiTE6};C^c=q z>5aAEv85Za)f*$-$W1fmrd+ALU_~uoylrMw*(ni6I_~}5zBgQ@H8-L*xk_v1x!qoa zikFRc8&7u@zX}9TDXsb4fBCuB|IBN8A6^40^Wjr?b-QJTO45Unu`Y93F{Rb)FiLJw z!VxW>l9`-KIJPz9cIkV7r;=pX(^0|iiuo+4SEwFigF0QFrF!dIVmb?LIS|U^id)md z@)cvk5%+R_YUa`^(ctt<-Xg~%xgCV|2WM5^+#O!}jQbdOGt7OLqgdc9PdFFmTck&z zh25?Zr$nTJ;|v~aKq_G=1!z=at3wNtW0^{{c*(haL`GK1BC^B%ex$TlQf_tu*-6RC zJR9+eVG3WhFKOelcaR(rr+%W^l|7JJ!4KZmtg z!Z&Fj8Zixa1KbL=#o<$#ED>*uP=3G}1wdz^yd;JqU`f)`5vr(OolR9K1s6fO%p3$s z_=Npy0;u0VG@3KOM9X50)Sl#FN3m zdEW5S+YqjCz!MoI;8&Ea7Xq#s9=09W6pRF${a})Nj4d&RDvdXtoxyrQf?T3i&VkK8 zOpGl%@NFddj=A)Mar2SPa&Xqm$P9UA0PWLYmMf_rAhV23hR?_>V2SAG9+?@MlUX(t zc$5&hE@sMJ9-0NL&(I}y?2Hr4Cn`yTv*3{EV;o>L2F><22jJ;v1w0!p+vBQ}aJ4!K zV4}*88*NfD85CRa1)PZrodn@=VfLmGmcS0#4{c2Y5zPaGN>=doweLdj)5kK>* zzwLvb7*gu3IbLGov}y3$FVxJ1EHpqPt>0U_Ga`9xL@5*5wQ9`t|Cxf^TLlm zFPyI073pp+(k(khE7DyL(*4di{L%mMr)QC_2BCgQUih%vF`ngMoUP58Q>6o>dn3~A zTQv2FA=L-6v}c|dZb_zMP&p=*mm?hun#>E&BOO1CosnIEZUwp*p7RN};~MoJ^7{5G&^^wU{6GAE zz2P%I#+wV3MQi!k#Hj^#fRHO`#0~Uj1sjZRtIdUGi2Bp%-hBdHZ&+1Wh#B79ZqV+| zkj@N|mSkR%tpdpJ6uTzSnPH3BE|O*?)kmQ-y#$PneEoeV++i}To5_~^r0UO15{73M zyN-N)?3o!+tcccqZQAo)XMBD13A!9W`9(?r)IJWW+CW+0_I{I+!C_4)WhoaLTEfCY z$6;>wsRuGx3jfk9H=MWwh#yt0kEf^K`zW_Oo*&G|;n&W+@6b0%x54(l)Ef}Q?+dlE zHPpA4KkttzKuwZ9fx9GtJ+E^wW=Z*n1L|b^F{Xt}y#Ohyh9c&co>welmQ~YLxaSIl zd(suVhQl{E9A0*c)^PZG!r>Qwq5AZ%DXX@Y_|=^J7R6fhf(uk{>m;$4UIGGp)fR@E z4YlaWr$RrJV1^qKhHS;uwGYE;12pu~DIFBA+H%(iPFaB=urRjG)6lE7;Fn-Pe1cUd z(iJN@BbbJmIw$yBH))=oAEQ@o(bipW2&&k1ji#hxMX%bzaNi}V{!NvJ^ZAP6CD_M? zzo7@&b%b`dyaY?H+TtiTGVl*UJ9@xk!#+QEsM^x9rbvTW!k3F*^#*!^eotlRz4=c^XHZQ8kI9K-`GU=w*h255J(Q%hZkr*qr2!_*GU} zo6`2yEH)Fy1cUnkdJGiBB89!JW4pJ%joFX=jrJ=x$Mz;P z9%l-_)C$TPZ>2LiCJH3+lhd2M4_IXko$Y+d>9Nah{Hq$y@*Dp(so{jK=FtwY6`Plz zqI1-6zW6hK{Nw-GY}^b^vXFGabxy+T9`0q>tonHp4i5}7Y;HI`^+Y%ve(ijR2^S=^l595^Lov3NW?Ee6d=Ngg74X$Y`?Mbci9HbF** z?2&o~b>SJz;=LfbD)GqEzKK}2Mgnp9d%+yz-_Ydb&?|qaGzzc7-`zrNKIJ(S<33T(=Uv~P+z?zsd=k*w&5CD|5A_MJ!tuC4|(AlHI=U|COB6KE& z)+&gYoYQH<39eBkgxH<6HVc_K9RdhCWO3nuXR{;ArMi4wyg|G-k9>EEwfK)xwo zk7GaP^Bhp(g80rQ$kKDbvSR;oU|F&MM3QGnoS?t*{Bs?Cr@iuqzxRbHnGL?ycYohM z-u0}?OUHM-{aw!1c+M_x4>)XVE;FL`TKshbL`gw22n68-fks<3rO#vqAQSP z<fKB-$L_ls2IogB6T!K`-dU+_lBsL zE2MWe2gnU$W&P2Nm5Wd%_~qqidr4tUHSX+hj%s{0DS#ROTK-ffwB?TrSlHZy?(w0x zX#hbs$c#zPu2bdC>oyjj&|Ly)9$u%)*^P8~gXVqtdE72>gVv|GSNGj;(h+=o?%qpx zpCk3@-h2HXtNKZ{ZvN7e8}yZ9Xu2=?!LRYN;A?-1t`$RH`xT$^{om;{Y>%gip}K{~ zs>SGY>Fpgh0eggoULCCxk2e8({7l2TMnq15DVqb6b_V$N_?b$CU_CL=2C=J+J=#EP3H>3H~x9zVm4-%{@a7&pd^`AgD`t^D4TvZ2VlC7BKpgA zqAQ$;{e0(q&oBdwvo46Xxpk+zh#?UY?-=wFLORI{gpi7IP*I)7?t(WQ$ zGci?6(Ry#EYfLhyoK{jJkNgTj_R(kAcY9Y>%K zd2V&O$M}~&dWzQU@A4r1oCxqo{^a-mv4cl|dNKyADKzqk>H|fj%cP~F7dTGZZNw^J z-IW|CM=#tank}RWB?VhStT0l2B6^X!gc+t%aP2UWr6{;MdZFmq?1->PT7eUdDD`w@ z79=B4kwCaQDB)(=-}<1E)MJJ*`->=5@o-<2xl+_yc_Y)W72i)qc@3$z0*tedJ&T-z zTjToXhF)TFs)Q=2rl{+2p!_jrQ{@kju6(+Ne?8(M<(kiRyAeah)0I1OcU}Q>`Q7=- z!@p&xXa&&ar|292eeWm!)ZGuv0Vt+#r}+XqKxB5`&uPbL{MeIGKAo&}MgZk>axKWB zOQ|GV=K!jVt`nusSUycN(&36grm^IHW#v=MkV9RGpo|Xa9Fzgy(YEGmCLmz^y8jx|x+i#^J!``H*+j)3nO z2EsTQ76_}*qgMx2*D;2s^yFeFTnaZL7Com?m*vYig8`xDdBTk-U&c_J!i`xhK0{@q z*i)RL!1C-l<YL zVAv3ij}Dl#fv}q{D2B@6j53M@M;A*{t>N$*4r{?NT5Nd`T;ULB04#JPhQA#fvgqmfyMYAx!NVGMl z#C))1IBb?pcTgR$w(43{^$3WJuuv}m{SjMx{Lia;c?r>lfz=LHxJ#Y%B zxdO*!w=;1uxiVOTmSD&BjzmdvamFm;3Bn*IvbYhJRp6zS#~IHMShPA~soQOl`lq8| z$2se0MDIaR{&c{uPJr^S=yOQLgGrDeIcF7Z7-VS&+4hR` zPO=7J|A@P@iZ%@L_jB9duOmj?qsdCz(1(`ayyZyBS>TwWh~MPdF@CzRXV7oW*DpuE zE6>+2J4LI5viuaCldu1#Z~Ntc@I$k7E%1-UK^dFb;2B|fGE*}fyx@&oB$T`)#oZi+ z6Bazi$L(Wk!h*;6xbI9&L&)e_4>1g(2bVr;T|!T9ajNd@2s8amap@6FKS+W0O4edq zTXpNroQ#w~8?ZWqHraM)BXs$eGTAIY{iZhx@HIC1fMW+qf!Lxu4^wbqyv_-{|H}P* zPU{mvMAk NTV1w(CK*Z{Pp$5C7X9dMhj3LT_tpNrI6GLOUDa<83WOHZyEnp(;WB zvJdXukjT~>LOKIfE*fI?fkansNMtiZ#K%YoGyd(i14AO488!`Cei<#I+?sTtd_^Lg z86IS0t{85TY=;|)FK&HAXyK7a6&ZHPBe(+OTi+u0vSiq7HrzFG(jdAiFU?n!+g#W; zMXPDqrAFRh$BqP_`L<21|zCy(K<{Um!0hwaN!s&?*2Q2NlX6LgXvkfqN#~*DZWG zk#b*~c+ZQsmo)LJ8?e8H@K=7xefTRazP*#6p}TD^Nc}RRwcpVi{CdaeO`B|(?maW7 zXmt^;$3@uw-oO6-*Uq~Lk4;G%QyWkZ5J0bzMy7$4_9~?B0j@DP1>=F2bbb zc61RYCATvdVNxHPIllfNQDabt2W0OYdXe>xEH1yivY<_9f5J!)QLFn zA6z?vk}ET>_)y~_RUn?ggr`uL%cd@oTy1^LC~h$3%*Lr-ExY9eXDGy<$H2L}U&BKBH$#=X0ne3qL>d!OPxe-1@Rguy6?S zH^2|;ORq{e_e$>Bz0F1Go3MWtA05XV<+YGaKTFb=x;eUaHwQbX6rSwukW&>M_MP*XYDiL3d{4XM7zamP+FeFi|88FHsnGLjZ_Dylu zKs*6F-*x0l^*ph*YX|HvBBSjZ4Nw}gf=p+!C6Bd=Wh3YdDp?Qp8sNooLLm{># zLwqZUBHr@yi||>_e1eup#+(A6mT$=L_F?hzVf(j4`^52{{afeAVQM19@m9Vbt8d?d z_W&N-`FNYI(U~x3#oOh%&y|~X;$nJr;_Z8%{@uTJ+wpch62-6j^!&zHBL##hI&yxa z_Fq{{rsVOoMRK{%Z!F`+7>R-uR%<19Z<<@aVvIx+HyN=lioa!S8za$d*kXB%StQy3 znF)EAb~qSD8Pn4T;I;@{9x$dkFUOyQje>=}aC3=>@+vB|@$Z6IQLy7vC;)?0Vi8qEwJX&So^HU)YHo-LucV z``#BM1;;f?U8B^yb97{O{0}p(aEffgi&AO2ZM{ zFy?PlsHnWJQWiQx&8gIJhMF6MAcAoa4=ceiq9$fC;?M|&hkBd@8Xy5rjJA$uq&d6n>7q zG|{-MdpQw%`r>QjGN{pDCLy+6K8gXbhs;FF#z&!6-v}MAblI;c8cJ;U-WzoGGll0h z*ZU!t>;0AA_miLTFP$2txtc+)7phVK9`@T(HzUt_5=AXTnZ^gh5V2?38XI%Hn5)Eo zsg)4N(<{i#4*V`gDs;r|8M5hbGnCk^6nxtr~h~Da{#$~wddll;h z_7U=8;yUJf4{$B?7`^W1qdBf6-MNOn53R<2eGWzY{9_C7Pjg z|D_MlhxO{{#K`lME0E`@E!sU6{uPp>#UGaB(&e4)QL_XrU&{_Gr!E_&$|4(0@_6KZ zr?TtvVw->_RPQ}B}luM!2wQLhF<8^A*G+_)?M8_ zT|=9k?Z#1^e23oVtfDR5$?^T-F83+%N)*w;{#*7d2QLW*S%pBhy&|7d1^wY<740{@ zu@db9%Oqe6-)5glKp!~cle04k>BqAAKsVP1T6T(9JANF=9`5%^&LsT6$N%L2@)@%} z5HJZqhr8QMz1v+I8O)etQUqBHu;8pg7RDRVJBh#@F&1#BjbWHT0qL;ZYlskwnM|h1 zqAcmSVurANf&f(Q(zS^I3m*xdf_&;>sXgLrw{0qEhZ`%kXFd=HL=)XnLLaHMXFd=l zSs)-MzQsrlowrW&1HeE~U3Hxnoqy+2)ig_&WWg|xfqY6lJv=&ch^g`kZYNcropNLO#k(t>nO7C}Q1z&)D*pDWE9&tMa-8BbuRDWUK^^o@rvnldt zcpp5V_`mLYnHo#s^t4vRNFK*TO`GsKipQy` z`lsIT2|xdt?o((U(()=PD`}!9A8OSJG^0y+51M(>PaCgJ0IPKp3EI8~o%30B0^^+< z9ik=xrNwrHc6J(QG(V;Hdz~qwIhhLpQ?>u@AN6`ghLD`eRL?Pmjnq_ zzqY*}w#>kwGPCJ02_}A`Sx-TL_>6js0{3ZJg8+U^R5*IeGkM+o1lbsH8)O%w2@3Nd z!b+Bd(4}mv*y+5dP?GtCoEJiMLB{AQlfylOF-8C07vN z&xz{&JX)XZsZ(KLvnELc<9&To&vc0O-e>cAG`V$EcY<45?+Pa zO}@FdtdZQfwyfDy(IE1BwS(oJ^hCv{efcl^*4MgIp&p(&6&B%MhsrGa&ZQQyS*|iW zC~w3#xDkG!)L?2MD4a@E00mX39V$Aab{Y+1qM~UJ%zkG>nOgSC7gpX31%} z#Jr?*hUwD8LYJn(hSs?4I`&%78l zZuunceb!Mi?B}C+2*Z+!naImYB<01- zmg%QfTvnD4S0uU)MOmTrH2~JD#;o}&tHx}~*Y_9LOjFtuDjCOTY0B zXJo1@;$WyM>LY?Ikb@r#J!3%BkB6BW9!LD^4Qt5h3-niLR1gG8F99hDe`W{~84Aq9 zN7%4Ak5J`?L_afxchUlT(bir!sYu|4tfQD=@?%iK*@K2rtVs973>VLYJ4{Y`BMR7( zfPK~1+k>MqnBT^nhgmj|8W5Qshz2EYYkKL{EJO>=o{>v1ytc=L%SG@me~t53L-Zdd z-2H96i(p9v+kriX6;5Of^2GwLp{zEnor7<_8J&aaDqWciH=doch2_ni=cu|r z`(ek|t-^MFre9K$mOw|^R@j?hdm@mI3G_n4W)-%3?)dC;&)$9Z-YfSst}gMu2j3@5 z*lr{cUxn??BV=Bk`0ZLHcw)lvRPLPd+g7LGdYpnceAYL9`Zs!&z(T#ZzJ&$!UEoY7h&AoV#`Mflr}gbE1& zdP0RzL7mW`bNY%RK|iji97G3VByR_4dJo=vskwCNBA-D*0{`P6_H)0YUZ+xa!twu* zs~IdiMXR%NJ>=mT>#1NaN@QKY!P$qxmLmE05ZsFA=*xW-Cx6HCT7Q(Qrbt@W{P==X!0-F1Jk1B&Z z3^a6S1s_IxFHo+iEASw5Lw8nSST|{SY}cS^ly@rMb#PYjv1{D^q*y;P&R%$u>5cd} z{H9p~K__p7b{?hhBzwz72GY_}^&MkXB{(bnR|HFxfTFXqov%X>9R*@bCqVuf@)Cx} z<)dJ4PY`g}mA}{O5-jPxly~Y*0p#UgY+F`ksls=3@)_R~fPW+@QOW=dvoYYH1mOV( zOF97@!RaQ65-Ce2B-jOylnIIBZGx5rC*Xo$5}26$Er$<`CXL9%R3@$a0sNhYo(Jj3 zIKSs1UGOWGuhobb0)6#aTIba*IWik3T%@sEIsi6{{W*5C7l4*Bxw-`|G*OuGcHGl<*ms z(N`pV_J$ZWoU$L#RW5c-^fbdm#kmRMwoqLwKSqM588$mqKNOG;rVu7y&%)5&u!TT! z_rU|#HAsIrp$w_7rU38sLh7ekLI@OHGdwLAN1;w)dWp#yzc#lQ2Y4!QlyH%umsV3Q z!IG|Dzh;NaN3L6$V3D6{mC(==dco0?dOf1yqr4uqGbLFe}S`P))AdsOmkl)c4BdL`prdN}MZy1N6mk!wsn^7-Xp_+4dq-RbK!b z{Qc1Q!ml&FzesK24KcM<9WL;D3h%Ejs;tQu2KoNE9rlmojWfuq{Y90@iB!D*ht!&E z`}^^Q#pTdt`1?qI7oMw2i%%@~^%SN1Gj#fYol z%*a8{-hcl2JlEoK=qzdVKabw<`IM8HvztiXSc!}=coJThq|aa|=e2Ww=^;_10=B-6%g>Ox>xOh&qMf+8MRzB&er=I%AANYnh#tB{m zx&u$OLPLyts?bEuFgN#9_lK5r6u7k27B#L2&RSJVJ_Ir+o1{Aql80uw+}j9nPk%rs zilA>M$+Ej)=Be&mPCcQMjM7!H>*%TOw=HL5;TUnVDIJ~Ysdi9AhuaN9sCJYehW8x% zFu2F+t~=zKu%gue$J{ZfkhOH3n2Fm+lXCRrqdNv7lgOztZ`Gv1n)bP8-5mNV55}0e z=Fo`2grhT<QO@r)}?IXT>;?ro?7=1b`+rtIZ^_h(!uRKDyEVUKGc&JF3qN!O^~ z!n1x-2i29u^4!H^nnkkg6cOYe2hk{iv_6Fw)&I(~i)je)3e5eqO>v|8_lm#M`v=&H zZqjLAXo=AMIej?IAO5Nj`%j$3q902J#@jFCi*sh5(9?krcc=*zCwYY>t_s zq-B8>!96K+O&Q*TqM--{%1IfrN4!N|NatJfwP z(y81h#7lvv`HC6dVxlNkWH7X4ckUG4l5Hw@yHAv4-*<+$`;OvGw1kR${smnf-kw52 z!N1W8jU%8uQ=rt+&m8O;*vH3Wxs#g-ZtcfYW))Vry%xCjXjoV(@E|oBR$)$aNRH7AQ`J7&AukNkrWk>OprQ9;1*@Ol%Ctrd=gtpGKkB*3^07 zSaXY_M7gF^BJ41migKF#hH8}3ioJ`Ylq@kX8=PENwqs*T#8a|0_j@h2b#RnY)UPkG zVj`|mvTWfhNLj7}YbLo2Zke5+4J0_YcT8;~v4sUZw~S|~g(R6&VY)3Qnq-z|x*>#c z@i&G_S?Y#pokO0FsDQg`AU;Rb^prm!d!UcwA{_3m1o>~-*FMO8qq^1~X2vn`z56rS zT`B)P;i0TOFjwCLgQ-nP#q(;KUY4maG3EL=Vo~n*L%!){*(q9ml=kKd?0NbasRvo;`_NB-jCyDhZWIBMutLs zBkS)(bvb`OxBdNeS$#{t9Bc2qgH80jT$TlSDm}Rd*f$`+PB)b`zz&pvN0;mVcYpq$ zKJ{Im>mCO$^f;<&1Y$j8o*5fC)bOrkz|gi3pdff1&~R7^Eii&J!)6N&0R=A`$K)&W zzGQ|-nX4di116%T+1-%wn;Ev~H;_mVqc&w6W<16SUd^V~%=Flbyd~L+=)UBxp#^c! zVWn|J-mT0KS$J&+IdnR#G#bi{thcN&+ls&mLFN=>rk9NB7c2q6ME6}TgGnq79hV_3u}|+?X^i0BA9d?#C@3)n-HT!xs&Hb0z!O^Q3`;(a&wzBhpCCR zN%Ja4eXmWLFWo!7eE*gEPpp3v2=5ue%`!-9ZvA?4>%aJCzW)FG+PNmpeTC7|Qev(U zVrW6OXV@`_NqjO3m4#uK65LKTi&Q+&dO-b_qP6L1x}nsU6CZAmgou*YAVyj8;UX0e z&2uzF!5oc&C7GV-2|LCXQymgVzDj~pzH6!fr(I+EBpVFLQ{N{2B{Q6j`wbG&oT;FQ zCn}_vwQI(p94{1b=t20>XM{;KY@W=>>+28LN@u&~W3jxga-!jm??77KBL0MZeYYLb zCxNGUvF~cKGr?1=g(7*9r+Bf4#H!R=aLPGBJh#l+G%>VH2?A9ET4iA=qOS3iRq=3SCg&;2#Mts&8$tc+o6Oc*Cb}R<`mZD zSJ2U}prc(uv0>9rqnxwj6p=?al=3^PFpdH&5*devvy{#otb3=?yzlxG@G?ElTE4p zj9YeQzVUP3{;sup#ybthMn}C<6vx);89F>CvPmOe?eT_I&xZMx z&s>?CXaHKPXODBl>9@Y-d*1dlPJU4uE$c`Uqo)=E%;Mkx4fcY7iv=g2s`Yoh?Gd5&Mb}6mciAp)sQ0Q}DPeL?|%?n_>=nxhd4w^Dl;dkxJQsZoPR%bdPo@nHS z@=A=n(4wn@zCxzLB>Lp}8zRx9tWAM8`sC=9gD4}vaN`JL3#=R`%0SKha0#N!vJL=3 z?%JgBxHKK~Mzj)T9t%_K?axyL~Cu5DQ5ydN?%~FCB{ld3_Bl7Lt(E!$LepJj;{z+N6;V1f2sL zGDzt>pyZ^0*RL20N&PX7Nh5_?$?djA|EyFNcIY`NR#d1@wW$^#R?A|TIhI!|X2F&K zMzE6FRM^lSN&Gd92jMes+%Pb5~-QXM<}nJqu|=vLFu z_}a{yZqW@)r;p*E-Dth(i6KjkF(circ1|FU6xEY}cEv&O4n^;_v{xQRXWO=Y!w)3%vuw)BdVeUi(VJ}OMv->iE>Q`elc z>lYj=vSc|i-GHFUU>~R6x{8vfuxjv)`B`BdYk2l1&&pc*dPX#Iv(ndJ`JBJ~H~*05 z&U8q$<<8Q`Xu`JYiXDL8nsiAo!OP*V&kS3W3uBA2@~GU9xid4|Ljp`vLkA4~Re!_{ z=}`BEo0_d?>^gxWf;a?jNQc@Cv1jV+*O(%_OMbE&(it&BG&_?Nh85*g(Zz5>xo6g_ zxP!`td!z0ec`o&S-H;Botq4^%B?>{iG|_+DP#o&saw~Fb*!Omqn!L<%)@h+9f$Y_o z%PKD=7vlk(U)cuDOCR04cDJN>Mnm(Ta}Pyc!Ps7XjDGdlf!N=Q|iXPTtq&Wu51rOVkd)Hq_HxXlWm8&hi(tU z@n&f{w1;Id3&${-Jpf|2XpPSd#V*!tx!JcWfq=M6s^I9bRQ$M7EhFc;)WY zXZ<49ng6-MVkp$Fuoxz4<=4)5+?j@0DMH4$^9g{(PyP?T{x7}DMY%PBP^Nw{Wr>Me zB#q`$zlfU<55f?PM6KLfYE!usY3p{2NL7fK2sP6zRyT~PUo>(u6opF+ykGc?u8 zJht8f(?K@-ZUfc@zMmbm(29c;ra;=mZB)RM7&)ZD%g?R(J^SFwX=N(AN;AOxFeNi; zYaaG3NC%Siu2VN@u#B1c1B9~?g>%i#p-i|7Q^3}QUKzPAOlI?d^F+F&SKMEH^UQF5ZKKU(wJTa11_B0sFZu_!F zT^7Bmy3~m#RX-NKFXX~U!tEk8t*Ped5%9_k9sz2s$Q=h40#apXNsXa9G-|66hcg#O z#KPhZa~B59q>u~q{gY#m<>F_$O)nwoXERhMyWJi@~hWzbG?pbr)Ukvmlre6nacGYzx8AP&NsW)p`A09 zDNhn$KopW9nXblA?Wj~r_k@{pGu$I>3$KHj@=d11NkiU^%rI4bVF*Q21*`ND4C!^4 zA*NTL^#ah3bag5?yCJ;}Hv|fcVT~q9fj>9ojmQl5hb`JeB(vP4xteasdr)tv&ID7? zAh#7O^5$iR2jIQ(G9g5&cTgLMPNm^#~sf&Rha=G_- zg4%7h6;B3Ll;p&Py~i`uZT1w##W}Cp16zFdc#2w#ebH|o)8g_|H0#L_;Kg+)O9bjq zq;h@X$;fNtx-9MHjv6jfilgkiO6FTQ7mjMoINok~oU`Cg zAe`?l6{|%AJptC71|WYb^ArNP^2%c*>8-7$=lLR*=SlV)@O* z@@1!J#q#UH@~`+CU;0PB!?E0hLBfS@;So_iK!Ah0c8pp3IGD;v9^AqZ$c)gMhE19u znbv@z$F@jdvf4mcK@TZ{@q9%OZQ{{} z`6wT%3;@wUF2BBXLz;1}R^+}O!-n0od#vAD{LexCTrrEn+XFQk7_KvA zkcaD86pp3?7>cfyh)ZRYv9l}QTTLZAJ5KoWFJot zM5Vw`ka&HI?;ytOIL_?+pqL{b2KA-#$R3vQ1mWA9$QHyi^f1|ifybfB1Ez@)RR>Ck zM7C3`{{Y;7@icnJM7B!(f!Nt62Ej3r?MIb57~wP&B2%Ooz!7$qq@=xLR$V&SJdM6Z zTK*ggKGXKGzm9c>Y`m)II>jCGItqDrr0uiuYv4$n!$|OcjeuG%TCeio-98_=WrUD|MJhj z{EMDgP=blMCy8zX-AVN55mnLqJ)qzb0L>7HkM2MsI`Y+;FGw$?#DW>N^_DZnL1(N{ zU>E#zL%D4k_pokLw>|YD3qzuxt(ZI`GAVaRMG#SaMS`Chw&=2#^gDLlDF?s}rSNIH zR`-OTx=XEkZpfRJt$2V`r8+!)|D79VOiC~x+E}zqyklr)?Q#kLXc()(z6y&P&RexVj z9fp$?e}nk+82cyID#6uP2|@>j{EmC%ml}d2f3~@_gChNmR@}e21%+j&XvO{O!Tq26 zsekL&{&&ZH%@G;yr;O3<6wd+yXQr+X>y(* z)Bn6*xZzIa0h>cLD0;+#f`%GQ)wfmZ@;D++&9CX>CnJ3vI%*N zfy>BZ28P2+jbfyjA!!L)6S9^VTYsXOkrfp7d(3*5(!N_S zU@epO2o;|d`mYfBllC+PE3|U~-|iJ?Pp1ogMf;nJ_RCJuiuTuo_P2iS2maIF^df|w zj1ujyfj-cH>Lz&urN(E3goyTtu%SLjY;H(rpP&zHP;nPS^g3v;o31QCZbbV@=0ZgK zN#;UCdyH>5=&naRk__!Jxs`KK@oB*D8~6p@xd6XliVcyW{UjYi`X$r%KoKD9l&O^p z!p+5ZDV_Uf*`RA3$2rcNkRpUxVn*o%bs}@eCaDwI8JeU{#8Wguoq(Se&k|$8YBw{A%9XT}hWBIqWhQk9gRG-MwnOcM^~JDB zZ@n*t+(EM`bFF8qDWz+Bb%Rzn=!HuSM5FulB+Ev;{4d`B(;s|IKY~MlFQpsQqSIfC z9hlM-JL(23${hz-NiJiHPR`tEiAj$Z^%GzXe$s8-l!zSNppbc}Wi}SOy4luOCOwk0 z1?iS1Oz4IYWZ65ZP77Fv<%fwFi{4U|7H&4s_su@cEFKE_sl2Z|Rqp?UfS_27w&)eH z``G3q!McfBz^bK`_5O+f6-Wm~m0!zW-Y+1y==}o1nOKqT#p7M+bOa^hNzn~l=8UWX z|Mdj?zw%iheEpi<@3Au?T>#l(PeT?=S|j6{-ggyVh3*G^2EI?Y3oPI8^!{4ezk|?x zn`r@nM0k!c(q#YNn%-Y~3=)ka6B#t!h869v2kl?;|FictK)0+}S=bdTS_6X+qJ-aY zBvv8b`K-Nn_wL*ra|`cMDE&-h1mF@GRTgvK+;_U@r%7c-s=fOENc3witZ7JF$Ldt5Ew-M79v7LR+fj(aV-t0^h3k; zXyYAoG@sEPIl1FTRSr4SGREFqF{3>`4492zCg2<)OjK(kh4elw!|?3E#}37OeC}?; z9!5N0$E1OB>n1*r4&MYZ5Q8maE`g^R()+Y*d7iZFG2_uAdWV^qRPtNUydr*&fd4D?o7>L1^(ri=o0q+ z2j23gAO62G@GGT~Kp;;CHETlpjXZmwu9PU)0|jQ#X$6{lkVsxdFXngZfJLevkUI zTDGE=6uFa0O)y24#dV4rRvaN<9D>hZ&BY{J``652_x3lt|2Q zkmGj=Wlu6D+3kS%L7=>$a3DYR)B}ibw+Fe!yZ_5g3MW8Q@A#I1Tg_GD(e2g>Av` zXrz90)WzgCX>YlXfE9IQ0^0-t^3{sDjzB|5+z4X!V8~U5eA1*t``m)=xV4c%`5bc{ z0Ze@rH39}BT@Z&bY;MiQ)E=l3Y%pq&oAPp`d(KV$Z$_l*l>pZx&7MzS<4TcRDRM8p z04niwuiSaz^tL<0ry)UBhP5i=O-RS7{8D83$M=0AM(f&><_v_aMe~>Lp6+BS|0}1r zzxAE@o_ggjwx4_co!LTes9S301C&Rscv%Ut z&}ckj=Mj}};I>wQag3l3TS&ioO1vID7b7(2_W=wgfjvx#7ZEh1Vh~6&)7{vh_#EZO z2pUqgrlo^+^*YlXBWS?v`9yb&plvkKnLM|YI4yS$3V>(CTa&TNCs{<$7>7h}K=Rzy zRUa&i2pXk*&DRXl-1^59i36k@$3XS{XTOh9w2k`t{`6w#3zIF!}^i*q7xC)(rRZe8D9d?yvit zr$6$=jL+&UJp@u)v+Gr&4FlEcA#FJr-9Bx4xa6uqi6z9C%);kjbcR`$gHh7OcHr9q z$j9hnIT)Scl|@q9G_8z}UHw|;Xk|=ACd+H1mDLdEXj}g~w*@{oLEAnGE<-jH4GxnSg%+FaiHKufE7F@5tGXJMgY4cZelj%|&OxBf?)2kPcGS zBK#VTKbNY;`4+=-k*dCAc&`>eGT_pE&fU@YwgBIk1Fqe_Gtt(X{ayYvzxM3!vP-lA z?($1?3E;l;1)u$0AMv`>9j&^&UQ#xdUZy{(8A7FcM0A3B_Lh~D-O$9*I4=$paVtaq zqTTS=A=*@OI3&(t80F##xXBQ}3}hyYd?TYyqagv;4I@Dtdz35k(dmXzV`Wgv6;WNT z3<|Rc8*xH{?6s8>Xx9JHwdzM8GFmk+grGdf=9^`nktfpIBquJ zpD~LcEaUa*n;m#*bYC`90j@0L1?mqCN%;uEAhkD8>5o?kqB|58#F|@t4fUGw65)Qt z;32Mw33!9g9G*^rD%0ezC_68M@L3pn;xFLhD?j^a~fgCOLR}e zD1Bdr{PMQfz-6P)v^Y7)$Shp>ci(ArK`(>rioV5@MM8nUI{c2|&dT4AP^jGna>u9P zzAi<>KPWN~H!Wlja(zK|M=8GWk7nlCQaz@LWcmIw^%!xViu^|KZ=QLhXvJXMrb^^d zY6i77FNIf|XbWI+^5Rms{e)L%DCd`7dhR{%zVf^=HgaOwa>}WkF_Khf0b@z(8CDA< zjOpR{Q+DPOtYjP$9KX)A<93KnX83hLF@Pv(b35xo%Q@{>9Y9MLGw;f; zQw+_9u{zLUEE9q<_qe_9!l*O)d92x0<-B19ge1M7uJl`feuet zVa=f;1I=N1#A`8vE>5i7U}6BjORDm7dQfEA83DXxRF4E^O437tEpXeCq$j#o(LrqQi^IBdIoL zoedG82=+_3vgv{N3}bQu#p=n z?_W#c!E3sNPY1#rIt;_{;Zzk#B4N~pB#|-fj;Q>#8weXq!7rVTem!2*03T1^HYWsI z_%@Rh0%L{&7keG{OPztVH3hRA(yra>Sayk4uVeWox+DejGw=HE{@zE;c^#>r4_=4O z+RYFcaSdc~W>&=OFcw@kjIaiQRhHb<>!?`{1*(PlJ_GuH1T|<4ct2l17+({;j)OF_ ziF@J#b@?3S8Ds%V4e~nXA;|PYz*Y87;^jfaO{b8)1fKWBdETFg zAkciDYzF~mLG7s-J__si4Bn%Le+Ks2Cy3kGYWmf@U$A!aUzS{1(#fxm!2Z?Btb^zhU+2x<+X3tcpju67rm=jtvmg&xhd<6N5hh|4PIvl#) zctP6^JsY7B7l=uB?%9Afyik0@i`-D{&|{`!6V}c`&)6Hf&Z#H}`!W2lA1fD^r&jWU zakk+)0rH%K?tgKi`Q)}Q@hT1I!z>^s!ZDE}f7{BP(C_pLxqnr)2Y-Nxjna~VyRX^p zj#?&x>wy$UWy!!jSt`W?m$2I$jFXV=9_3Eh(K}E;lnjFWL4BVocfu{vJfb%m1o*V! zB7CB7?_TXuo58)?@g_Irf45wI1D*T^I{6KBI(Gw|?p@CfbXsr&;aCf9Agpxu270TL z5B$#Yzer=(T*IQtJ!7=@*Uitm??7@WV2el%fjB+Il=VVM2I#aD&7kR?}T z+%BE)*jLM4Y)TKmSiMBct_rP9gg|%YC%YxzZaUL&3zSKG34k&WlTjrd)A!Z4K2!Q7r3MhpHG8GBlYT~0Ye1KK|E0c86T!)TPU8L7#Td6GRTLNub3K1F~87C684rk zU~UM1o-=?zu0OQX^NjP9;(c!(bY4>U8onmjqhOuzEJp?q6%!8e$mhl3M83xK4Smg7 z7swn{P4twGG<{He+ZdX7zs(Mb`?zOD8r->e3PTOBHJ3Tk^%l5A_ZGQD_a@w;vtE($ zTkPw*0?(B#P2|i#xKipz{>{QN+|F0l?hH#zs!}I7Scd!g3Q-Xtp^(Zv$XD&e6=xe}plCQEdN;pq6CAn96vA`xnKON`Cr+;80d4dW1280{^5 zcJO5Ld7?_HF)6-+>e009?mykLyT6D6(%pY@Hqafss_y>rU_frC(+xdX) z?y8MJ1zh2_2r6Ju?$7P8e|y!&prYMgwZ-RetDr)*!~O^YA|t$QuG)f;-tyTOzJJ-y z`%BD`HlKN8D-S?2<&l28jjd1?9=vt^WUxN6ZhlK(;P)*L5D zfa05rJyJS+OFYtXyW}2@d8FKBjT1I-t&ygZKxgq)8TbD^49U^kxZUJc(a_+?2vMDV zUZU4}oba3f!e9Q)AI!B>MPsj}8a5+NkjWX~LmknbRl#;ZZ8)`bDi|j~F}?Off@1|N zaw^u$B=o++Koj-q(R5ZFI=EGwTdiG8kZP|4$;vnZc}+5s;De0J#Ex--^{&wY2fMsD z^dc7o4v4!OmVZ2xeY~FBs~PzoQZcZAM9bHVpXnH&GE2j6O&;8m zuu1z)CTkwE7N%vFh&c5qE>XZoeTi>8ea*$qG`vLI!4hfuGVVK>$z+z(;k`^(Ubn#6 z|M+n~{H>pv`?<%V?f}ZEA=nPOWMza}K-~sFvIy!>9Yf4Z@T8Dexnf8mfX#|Rp8(SV zoTSPyLLFtVH6_;V2Ku0Mh68RRYeA?3bE!lyZDDdlYuw`eY^PA$TUAO7{l}di`hdBi z)j7&@&6h;#jN{dCY>0K&z+I%oIy6+{bJJ;P2A{h~iFLaz=Z7&NhgS9a=<({{D`)Zo zq~`{#@Y*tZB5)Siz>hV%2F302@`$!o`b5ZH;M)Z4_}C28R)yb_EcXZWpot}__4S&? z6#|W0zopG#kPwL}9;7#SNPqJCMB;Gp&4&~Z%Kab)h~!Z0X;eA&*&XX|y5RYI+=P;i&V?QneA&ihMdFl_Soo7^C@ zeM_ana|YN#k9kTGRGau}`3p`dg4JiDzqR^IrnejUYdI%zz7#6C8!3dA{`R=vW3|U8 z(r5atKlp7Q`2BMYSdXyndy>2@k4tNpLM=nZ*8%f`PAy?Hm|+K3NpO~R8ckv3HY9m~ zx?z8?*{sl(Q9#ay#A9coPd8pmEa*#4HeMGESd)#{k_@&rryH;N%uVNczVX`1V#$(4 z8S$<#Np;Z7O`p~+_t1%#R`PCh6zQSd)HUm2h-08d93ygNC&SUZ{ww>KqD;nf@f4=o zv&2;WiP^C#@sN5r>*<#L_k$j8`RBu#j&AcRJf&iPas7;{yYjiNb*>7#yiphm{aWf0 zSz$sws^nypn@fEPyY#ce7 zHnS6a3J{ew)OP}~j%u?ls?CBQ0Pi35Lf2zUJY@#@$q)-E!M=sModo51MPim4_D7PF z5ox2}3zY(91hOH0ifo9<=W4hyY20i`pTZ46c0%P7aW+m2FUl491aiXz<>zF@Lo+a> zPvM5--y?Fzr$%#k{b3{zUCuRB5ca0N+CS+3(M% zAP5VR2gy1|dHMH{wTS?rYGFcN${h?Gr8{xH-hAK zI)Qj8Zv^O!qew%Cp4MHyodtnQ`Jf z=Kbp~ZOG3Q4F%E%6pt^SV%{I;8~XzY?nUWes3UQI&2zihsd$NbHL3GEf&Q5{3SE&s zy294S7QV}r6vsEY(i88#>+TaMCu7g1721OE+{2?Me%}A|@t^RHJl{(&ASPd6t+O^chQ73Fbk8?}<^67N~?owfW5xsDOAtG7Z~}LtViFzslS?JqExQIC3u1{ri}DlA0>0pDon)4OJTEWqFdYA8>=kgnjJuY1PH@e& z*ko!$T|C(mL#x%1el3hW3)fX>Y8i(wsHOagRyT0wt1J|Da`)~TA z|HXVHuEMU2#196LIe;u8aX_t*r4fls%Um3RT14UpwAm|DOMAhhVT{Dl{6>IcBu+NVY3AlD3S_6J$! z7<^Toqd=}3b{Gl9Y{3}STOijA(?l@LFbIUh* zN=2PqmGO1hA$fuDq~Ud@!9fT)ZGU?^1>6$m1;?&ydr=4ZrCA3ExIsl2vX3^hD2vK+;`B_mBezp zu{?P;Bs#lce?VfHq2|7&D?!}LhD2vKgq$u!e0h#-Qz+iCa!+)2!>;YL0sYu-C@chY z&MQhloGg(h5Q;U{F2sDfqy)stvJn+M_F(ZCuwN_9v-tyO(BwFsfE^W8(nNs4~{8W~#A4!MwvP-nGoNtEZ{6*jM zxBtoC$t-8cuZGEDx<$NYs+P#Jg|>!3l)Ytmn+zq$6BylET{;``7wv`tZ(ECovmx=; z4ZGt}b=dZs#;UH_ka+8ckbnaXi!i#`nbv(aB;L9q+MZd*MP8W`r3D?cA@SA?JB)#V zmjOd4)!|c9W?a6WYl1uvGwZ*CaROw@rG_?H{-+3b6&O~V^|EohGCjM=eL@Y^;m!Z9 za;zYeJ$gA-yDL|a3uM~IOpbNgC0ar5WI|Z zp=;9j8k4?g#FdnhcPn8F_21mBgp@ESf2%O)>5lMqQ3YCsLD%8e56+SL!FT=WyMHN% zsFp7PJW&E7p*NVmQo5X*8)6CEC38bIqyWu}sUwF<)%9`d^PCb!I_q#~r3ncQLxUlI zIVB9kJ!HRS#SvNUoDxPkt-&-lF&t=mFU`s(Ak;$UBbpncFnFT{&~=Lb!>di!||MtiK`9Jw}vx7r069O5`dC`gM$GSbHX-cv3Ffx>*#JZifvSOa^ zV7N(vb;7A2dLk{WWFET(f$$OQCSf*4s*^Aq%Aar^TJ#SKd}0`h=C$*&L-~`$7|dD~ z%aN%i(k5g(qT2}{NH5OFh>={JW<-sNW6Y|Lju^?+X&fCBVWro2bi_z5Pva9|2#C~( zM@Nj57wEu&EoVlI6j!L-Zg8Ho92qeZFOkWG(Q;?3>F|BVYt;8!8!@u+?&)k<4i4FF zwM2awvm}Aczxhe8NhUzJ<%76Q$zMuBC*r#dd#=W^31VuR;S$v+Z7xn%NBLEkH< z9lZNmEDBN?|K(mA{3!W{&i+9*s1NQR;xuf<)-_=>mWkkgL(R{ijY7%GI1N+>j|7^T zj6032FHy-3G_B?#PZq(r)+ld+>9TmRh7*?AAmM~%JJZxK4HlDB^7&)%2!7FVfBxj- z{w2*!QVMxF{d$~chRGyF#(}eDL&l-n#J>EIt_g@W0bvrn4{6|@Hf%q+z1!dS)&JX% z|D{|$&<+bPA6UNyYUC{yMxgY>AHO8hb8iuU^T`n1N+?w0A+zn9+K@kYH{7Ga8VJpb zb|Y2yb4^-kVP;D<>2L>`0TvC3`Mx5?nsU-PS3Dd@R*!~+d^a?*It=hDkepOsS=4(mH|#r)BpMsg|-ytJxjJEkfg$lk}}g%LGFG=y2XR-X0HT z6c_ENa#k@4uU*}F!Yl(V5c34DmwW(#O0 zm>&<8ILU^5M)->8;MUxKhge@REgKTdvtf@eDL@+FA6z;T%(EfEJQ*GvPP>31I;`ps zLoj#4Bgz-znc(>Z1TI$;Fn3FIuCvjcOKy?us4V$_cFP`QJJprJX)3Ya-XcV^)Z>HK)Prcb#qCjB7MEz#qM1M}hu2cXG}E`#?R7|fw?p2hT2p(i z{Eh>qAD5j+Z}G*(^SsdI5eFmHkz{LzULiI&Gek`f|FBXaPKortx7Z#Ie|7^e2XiVNbUi z_jdK2ZpL@|rO$rdA6t2p$YAi9Q&y*-cBjn(7+P=MST1P9%E!v1v=L=iVIWNV*!7%- zs9uRtQRwg-hW{0`zp>oL%A=g~UCOG4`ql}`#eq(_jg?2ay^=xR$yc1wH+^Ez+n$Ik zdWYoH!)vYo#y|V>+-D%UQ2lK^i_&xVn;ANq*tp^|>sqjO#(R(lIr zD`gB*p8>l7V>^*l*dte8Ywk!H!)0ouR~?ZphKKGns?RG*8N&^CMmMaRP zf-qcSxj}Mf8G}aZX$OMY(}ucsG%pcW{pWfxNC*3E~Qr zdUqM6t5;%so}1`?z&&>o^mvuWAZzMMPC}F|(iW@Z$!6s#j1Uv*fiJ>42zB~;fZ2dp zfV})eB^HRbTqqo%kgzX^1@xLD(o20RAw4*kh4LN?4_&dpPz^R)sw%fTR4SxumG+l` za5)dJUr)-zlV-8b8+{^&vaQMWN2z@G_22tVzwemCbG;ZfF#&4qV5Oa52ShB|Z%A}w zQ5RaSbwN8qkx}Ew4rpwIn%xJ8Xp6$PW7b$PJ=VQ3(u6{;U@#nZqCy|}2GLVEY=pPS zQu+=9Q)1z{^c1>&(<%sVTBGmfbBvw>Qtxz9SjwIwCN!LfBbIGNBA5-j9Xk`C<#5~I zQfNCj=u`l00l`mUFw*)m0{W5suO4xX+^4GyDShQy)6q7h&SNbb`Q4czruA$V#1{ny z4cLGc2G#1bn1cFH)n{?O#ne@(&tBpKC}AZ-Du0?G+7{~J<>bC)59l@8ULMLFH@8&u z&Ih%PO!Rp11z0WM5HN)HNMt4FVS|(ZUL6V!wPe?lVbGUx#*I0-xejW%Oc-C7>4ohV>D)u>jSVJQ@i} ze#_208dvmM{%E{*^s-0C%q3dUYxyO*1ik*rCw}gC{|~e11@-Sm&Htcqx6)^ZX&HrF zIAJcL7rM}o((;6%V0-0gJA`Ni=vZ^uv`Q(4e2o=9vtdLpWQZZ^O7t4huPM+=hoTU@ zx=!n^zTyL-mxG8POqJ8ju(9-&;Mh&`kG!~9|Gjm41+NvnUO*+&<~of}qkPR5I_=4^ zg4ZKq7yU0!@BitW<_ewr&Er4ddU70fk-nT7M;)7X5bAjYmdm1yr!V{Nz+u$L#kL+t zX2YVd3aw$pY!o`T$7*mict}N|b8-}RS?HV^TOE_j6Jx7!^q5_SG9ChAexhA77y@1t zI*|kBU=^lrw^f(gtScZOKQq)zL(TK;*8=g^SLmewp@-Czth^rOC(D7;By8EbFRjdvr|$hl*h2m}X}wmPtcgM);o^vR&F4P$vpSbuqBSDEnTYs5|MA!T;UCI1 zQSF@fnrM>j*y17)3;P~z+sdC!G9ZL4H{9=_q(U&-ZyS+liK-?tE|ds%6-6 zUO@0TP@!cT`2_uIyW4WpO7DIG{F~RGens2Kv^HF*vQD|GuJDH($x-5t=3I8kmC`bF zCO56BE2mfQK9S@mdmydZfk%=Z_^FS6=J}7vsw;XiY9L=Mp=JuNfL#o}u3mJoSNlq~}Jq$pbJ3?pc!}T_K|Tg|2O7_s`hFAD75_xIi?l?-7uNM%(a|Uj8+xsK~aJ z<>j>%H{|uGv~1;K(C`#Inz6UN)FHNgCY8xSM%3i=;Nx|EStDgMbVVnlRsPMe@=H1I{&guv}QGyU!qIu{NMDecR%IDkkybr;JOie-ypCTj|9@CbxX2jHLQKO z+Z}sLR=BcU?p+pV$P7_ZfR-zQc51OIoh=&**!qeXg@>e+q5?r~9t;7W$mQVKmkq@k@)hy*NV#CY z!&DtOF0o^~XvC&s6nsEw*)~!7ykyac-Q#263!)|5jbhDN@FtQ83TAQjFiJ<>Im4wB zL@Wyr@=rEfPF|mf>k7ToX?Twn{~`Xb%gyrXWLfSPvJXRahTFG|5~`Yw2w)}NUI0t9 z7J&wy(G960XsE^=Ds-)Tk!sCYX?2SGSLmveco_~KR5KRmyAS8THeqjWiFnD%O?csz z>YMQ4@`vEp=o= zK04hHz)dMvoFi07>O&K7lOd|^652x2bug2T4^uZpyA-P|tmGdDhZew1mW+L+l8-~z zd_n=-WZ7<6MnKK~xCNRM#O*Ek4^l>OORS{YFb7PSuP=^dAChGQfmZp7fct}^(;sq6 z6i_Jof-iG>uo?8>=yZsZ<)-KLAx)8EYvOs%ItBz>OrK4_oa9j>D#zEd!-r{sV#GzL z5r6Hz<_|jfM3;158!Z6>gerSl@er!b`=uq=Z9fB6YXH9-tgbzPUv`OBe`5J1x+H-A zJ74?zp84eLPbf#k{Rv}?;3v+eW+aVgHRO9AGz+5sWUF@5K#ZRL#ExCyl2}v?Z@{J0 zHC@p=c8S-G)qMQ;Irfk{$2m&#H-_DoD@tP1S3HU!J`CX}XlQI};L!9Zd_`k;0@9)4 zR<9_jPFoR2Hf4BpiPw5E71y!loIHN)Sy2}bsKdt@Yf;yhT>m`qqXf~>C~j^~{yc?- zJf75BNeYZI7^S%Ep9pT89KG8etYY>Uf$flS*Y|ewb68Iev?2xyXztH*~BoS7Z;sIQW+w>Av zL6;|z^I#8^8AopQ5^lyzc>k}x{k<>cG{0Vq+Dlk0{Wht;7GVg=r04b5A_`6FuSG9m zQhzOa2}a-mu8mAliC)6w5S7A9n4F;!rQfC{)nZ2ksvnO1_dD+-#_RstL6_SOxc(mh zjv;G?*Yg>EL0>P(%MR%Xp5)iVRc-XLA1(5Mb*bW?@|#?BS_Edz_Ro=LcGyZjPe5}kj^H+{B zFNn@3{fHtupL8V3PQ*!1BKk$sg0F>RbYAfFf#P_<^D~^k=u(t!g`oSchWpyF5GxmH z&FC+$^tkX3r{m1cG*q{sqeKzDE8bsx2>?pzz?V2cCDBi?b?1>Z zIQ+Ix{-GcIcjkh9>nqd*ew{^+4fcm!i*!8m@?B%YLkvZcZjZq}O4rm{l>~k}RT98_ zQyZF!+<1NHh-p|)hpT}2J2-R^>`&>z#$bO^4>kt-4_CcaFm9e>U!z~fHG#iU#WlZ~ z@bq*BYkgfg4WrcizwvKg%3wAkdwx@1(SAB~U-etBQNI;cc=ab(m_R`STTsJwNWBdQ z6@y+c=glvR8dr>6G4{?2&`lV}Nttn@I4Ocq2`6V}%zZdu>`(rNpM1mT%?0*r*?ld$ zXJ4t57+TBj!L69~AuLJ<&aGv4{-By$Z@$(!DyzVdhI6lZ)xu?7-&!kJ?)6<#EBMJD zc<~$FF&DN&E{IKOXp%o}(Mndbr780z*rW4>o7ieWqY>mbQ1VSA4;B;|@R z7Y+F#g!RmsLtjXl=Xu2#w&zBEXb9H4yCQ5yudoQl?~exv?v;m*VLLtpRSVG#cRW@k zwaOsfiGpPU<10HSZ;jIs;@blS&vb)MAw-Z z=WoeBTjRX{TgF2PxXZv^{^^oby06N=dNkz8>wmnDiKw1EAHnCfJbzggKnqE!H&A;O zy;c6cC!*SIniG^o;N?wWYZSlydA?@K&)iJo8Gy$rediugZ|Cz1Vg4d$)rs zy+H2vXdPF%X*+hm@AnxQPm%QpF~tHO+Szf)64{Rg};c5Zi8tv`{MtXeDAreeuXJ%DCZ7Otz zx;H-`(B1^#WV<^+S#ZJLLM@t5oD4TmvQxvEfink0v(nIYWEU2ZCa*{+_7x#+k47rM z9Qxu7v$G+gI2l5{PFuOrd!^OwY{=->4SSH#q~Q(tX^J)3kWlP~5X+$&Egw3F)vI$P z6uTi*Q> zIKC#navYQ?2T1YMiU1P(xFu%$aHJecm|b&FPs!fG1($@nTkel7bb=YrADdxUe!M`S z5?DTId^?+F?$o-gm#-B@_{^A`4I-mO^^6#N7Pqybe|HT11qYWhQ1?Nb% zZ(5w#D+Kjlsf%N1YM{vpibt9pA0K5aJjD9K4&db#Q)8u9zTBa3QKXeE>sj=YNHO16ek>3l)m+gFfqZJ&{VcU{dAYYGXBp}{L z)mmN(YeY#6AU*So0YypcY9^X5Xe{DBYS}rB|0xA<#EOA zGx2e2_z9k$O&YK@V3;9!%Y*t;#lS6R@mSzAB_$km{ja<`pOMH}0s+%TQxvWK zsS5(xxO(LW9s66Ep55fWf#f$*09Z+W*GTdc8XuUt_lcm}6+s_&1f9D?D}vq(g8umL z{4bx~zW%AFZawoxQKObrxFcwlw+1@R6#&qkj)-kR0U+TW2I5Q=01%+E=FUS81$AQq zpu^xD&8=e~f!5sZ^Z~U*Q2#Ld13KCSypaQ_4^0G3h7h+yn2bY@R0_%hfM^CSC;;sH zjidKaQMV`n^t%nhec(I}Udi7g?q}@br+H|0R2QT(vVp=O-5dYli%|K_Jt*Q4Pw_&7 zWxUXE8861m^?0Ky*y{pOzvj-pldp2J5cw>e+TMf4`Uuf>ia{}FY&l=aMeVbVLAF74 zlCbee8Fz`KXzaVY!e6Aj>1aXd2wr0$ek>^(x721YS~d+QF_)I1nsv2DC#R&RF#m#- zl+rTX%~#NM)G3vqk}xY*T87&h=jl#aw?Q^7UVeO{a6eylNZd)%2|j68|3Y+df)>Jf zbW^dGaS7#`lA`g?-nBawj>27PbQ60E-zPfx(rtQ$x|~kF!q_j0Nr&4Mmf?oJLQahS zI&SEuF?p-7CLMM^`o8a(7wq_OfC^|_bJ?wb@>~DpxBkg*{nNQy|1^5*pNd=mnC{HIAESKjhmwzf!AHn(mO_v0MMGXYc*nY+)nUbPs( z6ZYp%DjtyA`TX1Vs>NKpo?SEK)3)2Iw)p%oDEBWvf4jeG;%JSwxoV5i9xy2PAADi) zbdXDIJ4CXOiG6l|>o&fv_K-H8d1EWjF)u)3D;amUZgbO(ZPS+;c~|aZPvhNg<+Au@ zQOkVW-4|ah<+O8=l8m7Q9LdnrQge2xtT*J0R6JZBM+$v|W1QPn`Z2i*cP0De7LsJK zC$lIsPWEe_&$P*I{%OlDPoC&YFP~lxU7*-{*UP87&&x>(#W;N=u}ljUhVnt=11!EO zj1iVxm2tbttHNEZn}=U4H&EMKbX90=G$7rTpX|f_s=VQ;r=I#NKX>~Vepk-EDcQ~| zmPWn9Bgm$VU!(G-3qU89O9H3>KBJ1%l@&GsYDcxiIFy49Z;5bLMM02espp z9fYN?xXQe%vcyrDa8vvzj9Z831l*Fp6r03vDLM^s*fH`VfSv?K0rUnFIq?fDP)aJO z+2I`jWLmrWV^NlDCe;!&D;RA~^9?oC3Gs-KCJF{xVCvdd8jc*GjK?mKDM%wgl zcdK{^pM%9!o8lGe1}*DS0>BC63jBQ}G#`8PWT+e^MH9B(@#?GD>MT}gq&^S)8TQM2 zXXwT#xF&rG*)cr$g5~zHin*6YLNpyx3I(ATh9U_yG5mGbn(C z-~(yNDjSN&ZdeF@21z+I#PEm$XG*#1AY#!Q**C99=h+W^*zR=-Bsjk|u^}7Mt#(7y zz%-Qw!-0rJ1Wl}m0htKHI%sOw=NLira5#cBBOm4{v%?X2CcG}1TrinKnM26D8RIrs zGC$#G38f8Ea*%ZxX~hv-aZ89>k{C=&&Xj++%*Y`V|<=t@N32^musyb@Uh5 zjDwi0So8kefQ0#h>LNbCe3eZ=J}Om;cOd^7YHYF{=q+O|MD2vc?J@hYf8##W++U2H zilH|!a(avvFcOMZIrN1Oa1p2?SyeD;kUD#~f>#(b9z$=A;VhgQ$xTG3pbWib%y#e* ziV&EB-k|ver$Bzn?txJ(%imp{0*|u!$VmZrK+LJg`+Ri0It8yhR}DUY(w%}=f9yAM=gI+?76~sKxEXwC zq(g679ZdnIE=wFp_1ar7q*LIAC`J$)$H|?1k|e_olpP6pkfAInG@DzqfS0gM%T>tO zM_}>Sf_7DvY7Ahe|4m?Swk~x|5wH8X{`c1H<5hgpYy2C+Kk;?KlU@Vj3~hkIA}KBv zuF@VJP>v6QEU^jzx98!8%Q)Yd)CN)k>Eh?5yBN=3+{bkO;v=Rl{c=3oMSYuZC&nhW zkV{aULz)=Ia02d^U=k$oiV!7df*(yV#e}0oPzq0y!Av(-ai+syiu>e0UG%>r;$PTTIP1egx zLk3i~g~p0TyCL>XXT|Ppn4t~KOYlLAPpJ13f-XWyl9sI!7(tW5s^MW}ae2d06#$Ts?~wq2*u|@fLF*GyL*X%VW-$grtLx zdqf9r(J8Z?hgAVg9ggT2TK_$ZsREM<$5#4A;amQ(tyPZXUuSd}{kVF(v9h*Cw**uImB@r~0Tw7`>NJB{+d>T6>r4aKS-XBV zWH9T77*ue+34+0_8y?ZluN{m~3oN6$FjMWUJ;_=%!-m?@mRX3;2tkHH=)&~8@LM1^9+qrVWB7Z^P zhe1(#knQZ94f-KE;e}*AJ`BqJxt;fi_{4+tL*RTP3xfR+1vL^44#n|hJ0D-v-JD5 zufnTZ1uZkt(NjLliyK=0Nj_sT^aRG7U0QIgOjHz^bOUV%gJYcAHPx83;Iwuuq_k4L zDlAS7uioTU36u$bv1`WyI~Kn9{a^A^-b7o&C?wj85D7_P4p0d0+{ z7`5-okQBqFkMs%FZ(=d(umzV=3_(Uz8em>=v>1gFlF>P{VJt?$JK{M~vwT-*tntvX z7?rhKTZ)^MHKtgMnk<+V#VBh{9j|YR|L$Ko6k1H~bhm@^QyyIWQn&1nyA8^9^o|;n zZ}ktp$U8dMFF^<9Uf@c)GgSYjow-JEjItLb|NaP-NG#trY-^@=cG*K9e93^UgC2-# z0w_r(1(b`k9H3mb1NPy0Q}8Qgz-Bn$Ow+;x^DA+_5z0AGw19Gz^4e@0M2hXsq`mU_ zOLKSF6i>$i_cqz0?EgVs`B@xiabtwtrTH;Fnz-Sk`cbg%dEB+kwU)WwcBgFTe2BTV z_kaIieCH2lY}V-5vDso}&^kmxn{psCQsk==$v}!CW2Pakg|qW#<7iZR#%LuMXd4(*wp- zg~*VG%#68Ve?)V>^aS?ch*pL<#zy;i+vpUp1H_ABpvx6=jE$8w7)KgUhHK}bl!iIR zMph6-GGT^N`?~%xImVXsAwM;n9vbvc#ub+auf#&)o5>WN5h<$CF~_M(UkQfZbl<}S zE^e-h5K^d`A%v9dK@35wAQ$9*q#bhhSYo=;K4#z9Xb`jK40#K!YpFTK{duE=7_knS zrA03uzsi1BAv2p1V!4941BIHBRGs4S%j$uoUBc1$y~sMs`4_n%w|Eu#s^X z5$Z4tV=Hns=!F=J6)B+3i~U#-^D`oX#dI=I7D;5Op^we>$mwJ}rVa+W+so!pD zplnDd1CLvb7qd^(*RDWFClhDI7hnO-oO9}FT1 z<+T*RNxl^dm$)0YbZnrBZbz?% zkj#2b$z9r3UX%DxBl&*$ck3FJ*O=Jhi{!k&ct>T1LH;S|BjikklOn{TaH-?~ZJ0$W z|Ipb#$i^XTj7%uiHDNR6*YUiANV$hY{?{jY<)S@Q_-2#@>X{y(Srny#UFGM?1ELZc{4Ckd=P#_0uvwG_FcU-`1C*_L7HU=4 z!nf)9g?ba&2mYK_r|fh`!gHl4Br?F-aGF&USAnIA+GW1^AAZ*B7Yi&s#;Syt`k)+= z&pyrSL4sYO9S9q-eH0g<>CMATr@ zEFm1B$`Dv_pj0JJnIHVH+3i%~2!iGV*(3tKTXsF>eTfSLP4|H%oiew?vFT+}Hi=?X z zD`LC*CG&*JMRZE{3+lfRN2t>ylJ1D<4c?9cHdl!y-lVDMAw1-ej|n15(z+u*=2c?p zh11*ajIjit5FHA8Xsx-LP&s;dU9{iu{J->LtL|b_NsMm6s=K)AE}rTPf+Y{UL}P#9 zra4ZnPQj|X_?+n-2jzZY5sJWnNun4B_SZb;aCHjc^gS}p;kQ3^@Av#j<{WBn+Bt{1 z1%;@-1s7jw{=Ejn_7*A>xkNxIsdkHKl>Go0#TC-z192)H6b=$Q0`i!7uloTo*NDsl z8sfid#athtI2C3kF<_q;r`jNcPs0(-sazd^=9E@O#tm};2iaH@p-|rYk@=J6{-v9Pb^k1{~_Gt?X zP%>iq+S~U;^!AO!;1%srWAd1omkM=mbp!9Pz_zuwufXQDx33c3r$6xo)#O)f&c4m9 zhuGWqFMjmTKmXpjIJ=u_r;m}`WIKIk;xUGuP>aq)JQz-?MVE2*BNKt1?vZD2pC>FP zdgKv3$2fahSUQvN5RpHCuyl%5AFJp&ofRT&51w;aY|-YuQO&- zjim_j#}nu)IFHmIR>IsRf%AX%*T3yof1(Cwrcd+pAK3Efx){1+YaId*l!^KGx?!(Z zntB5uY;B01LSo&pH`$z!180N=Q_TrE2|m}GkinTOsj1$C49>8e>?4R52f~V5q_)A& z-|nC?n3LfA;36Yz-vhFg2OY794n(|&miLhaCrE}4MA4+pRhC7+tHr%S(#aUiDpeiPoy`@=J6{@cLUnqyH;myr5nTXK>l2d3rx31{aYI z9n;i?p}gDn-bLAD4vZV zsQgpiJ5`}w-sEYdQ<+qv&-;wMs_=6pR$RvmUdG!JUSHr%@rN0BQ^M-HoHu3n=Zc^q z5P8gE#buXhMbMi;(0}F6e9?Dovxton(mnm_jkd>Lv_c>`v)S$I7GwTU-4GLds5cJ7 z?ZE_M>@7pkWVnGkml*CGYO^5`)D1!OMC3p>XtgeY$<$nPm$FzRI$=K*t?sP05-Yo5>v3lqOg^ItcU_`$NlZXZ-JASzMwG zbnVr0cr8W5CVzJ8RPT0BTB^=dL~Ps=njD%U=(a7s*!YQNy&Uml7WRr4uE=G%@=>2h z{?T&>vhfJjX3QZ%LBkuyYF&5GsAqWDLX{3gY!Jf><~j6gSWwAA?sB)KwrhybV1`fF zAM~z=s#b&ZZR7EwR#On!AwN@`ziej@2wxa}OgIIm1$JcjSc8ONs>1KSVU;N|m{?=7%X0)k%)taIAvTDs#xf4s9xK}We zHd(c1=0y0!`%Z3wNE2r-Vbz*hN7-C?tTyAht!}~1xCKA;6~FN5@6Y9T<7FcO5=mPJ zM9Y#XIc)l3)*DG%boHIHb=V@pQH|Oe3H;4Lo4}F9N2@dAR$Bur@}c1?LJExnYt#iU z+sbQ39Xi^P#G&E#(9|n3VD}Z_hVGHJU@N^c= zBJ($@wRD9u<#p~e*-U-BDmtU_14M)uWa^nJ3IQ$KvtlbVy0;3sQsMTM_Az2aCo*L4 za{!DCJ7n(T@pxCn{VQmZR`0OCbVkShc@M<>C5e-v3FnK}fu1qxRdegjY)>l!aI~Y9 z`%7vk?Jp^|v?Z0OP!K@Xo8`Gx)qWLF)uhKukfBM#Q@Qc3mrr+}zuIUA+lw!7v@A{1 z+|+2ZT(^d(Nr4+ItS@n6x<7kshO*Bccp|p15Y^MZ(vX!;?(Qk_`yQTB+OvP)^jkhE z^TD+`Gc2j^_`pY2UWDF>HC_p>$Yae8b2@1VNe|UJ$%e6{4$Ojlh}7OW8>U>5&n4UK zX=H?%=SR63s-KEAc|~Rb-EiN_>{IgAkngTmE30WJ|K ziDeNfN3bmfagrF$Ge<=cC8v5t040w#3-2P;tMVx>JH9}>f{!u3!_Pd(;smsHJgMu3 zq(}9LaEK_NU9Y@|J%na15fSE5T%so(6~FTP{@$Palq@UWHS{^WOs-qN35aV+On2P& zPN^0{kLldd8jE&MWAp}{W5Q=L6janL1YQWO82t4hTp9N|844cYBW71}cJs&FXhF6jU_BzS-`8idb>mi9lL3kuby_Mh?j5s6;bc@(6E10?*?H8sOFUBW3cuW{c81&hohFOaLsb$$;A}yZ&g> zXWLG`q4=FkB$X`pJrv5hX2W4B<<*fcRkuXnRU_mCTyR|Z$}(Ob$e;6T$C^^DkCxZ( zI;abAQobD~n8@~^7(A&!F31lrME^#4Q2CeM-mzvZ_g(70*^`)lW&T9%N4S3h3GzA= z6_K$BuvI8IP!U5hxhTHgw2GK$iR9Rq)$bzqmf57iBarRv0-&~COi^dG{F36gee%F zqz|v zbX3OeAf(s)JPC))a1S>@qU;XnThs2uP4Mpr;W#i~cw%_c7RO!;s(0?)Q$~7?UEC(t z!N72kuc~-i4NjW6zH@H?srV@Nw*9{NF0mvzxS^%0`K531zbLHP9|mrLfd1eXmi z*CCcmc6{!|1IlwS6(}%`4lz>LA1-InLI{I$f4N=K{+y17*eI1tz+XhaYxux0DE61_ z?Bj_?n>U+kSRUrl;kh4|6GCC8Pc|J#(&giGI$q&&!k|3907gE4oNwe?HW+bNlzW=W zN0;qE`M9`EoD3Jk1s4#&z^Z>tJ}t? z#1>?QJ+F2foA@@E3qt#TQHQ{G5NI zYn`H^WatXEb9O0XH6|v+rIQ}NZooMm9OK-sNr{NNYO#fVCh3qEom|&5@_S{i<9vV0 zgk~L2^n7_|qaRJua>>%awpa&>)uP2$h2Hd%t1@nvzJ{@{Hssa##p)$mc2#I?#U|aA zm*|#^uug~k@VhE+cUaZ=!)E{Yp=JtykY%Z6{PerY~5ADV6m)q2h}hELq?%;(C6L>D(az$uoL6e?NP zsBh6O7)*w*?m}qi*p8KZmJ{rQ7)weH6779ycMgkq^=>G{ZRHz6qKQ z57NGqSa2SNwXT&g=~1Bw)o)g`4ICS#U%6tSJp69h5ps$cXBB-R9rh91st)r6v6{CthB5-E{ z3K^TGU&%g2$;gk8PURYyl75@le*uj6DHKy+gbEpOLNZbj=W4Peo_t00FLPPS9SS6= zD@>P?9Ul}}alSG7C$QrD0x(w5ulB4aVAkg0yh+$h!1&A?EjeIr2ev>gP0=g9OMvj@ zu<2<&zkDVA&7aAHphxjc=5b&1u(|9K5qBQNCE{_PWG>|gKK1v1`^p;8iX@_L2C7b- zSXm=T#;&Z9=vDaZY0o6TOZ!mZ8i}rJOkY_eRSI7u6mklDWsN8tjXN~M(2Xv}6A@i6 zBlfIr!p*QoUhd!bv;WbxMc0$DaEz|O6*(_P6r*d1##1qgtdZ7I>0ZkI{I?X*^_0L+ zjIO&WeW6fqM^G{$FBGF|RNE)ig%~^8DRVsUgt}0s?U2uVR$XXu34f-5&+@(F9{&ou zN${Rx1q7{&rU!qHBoL~FifBx$~H{0z2 zZky0J(WKx~@+s8i&(=fT4)25c$Zn4vxRR_y+gpf<3CM1UHhVTRpxfiv8euIP5|G{S zxJ6}I0D0Sp=w58OARxOTrn5s1UnJaCm?>el}3|j7~^&@TJ}o6 z+o(2QG$bJViU#pqQF}O|VbSf;q4!feO*R199q5lycmw0N{`oOs2B zqv)3Xjb1i#{YPa`@3_9USo|Qo){HhWtyTe_Cx2xz}13idF5!#9SVEjqa4>_GEV^ zHk{II;Pm7qKz=2LXh@NDTk~n|(YZ+{=Oqh0ba>}Pv%FM(rHdACay6tK+~=wPdJAT# zaf^0wOyx^*J~Qvo`>`??a~7ha%3%|_iI-O=>`ug6nl&};W{mR+LN%UOPj zF7a}H?0^1UpRsy52^k}Vu3paS<-F~=cRi=h#Omdcch4LI92fZu>SE0VT>CRF>(5xd zoWdVliz_P!g^Q;cJ=%=@Mv3NOPG|3y~^_#T76hb;@st3{8FL zSX@CLE@Vphsk;O673GStxbkpXawRHHSSwoto}>J$wbb65pWh_mds9dD@~_r|`w*HO zy-G5~P%1JO3W*>c7Cm~Bsfwh_UIu)brDOE#KYL5hiueE?E~6}oLP?iX(pBNR;(r0Ct$j)Gd&;6a61~a!pX%TQKAg)eSL!2TBouM$|is9yQ8j z5kuV&DOAon1coAyuJQqSMPjHM?xCeDx$(n6D+u1}H&8S_>~vPr0TY82@$!nq(6pj- z>LS~Y1x>3e8}b?HhBzJ+s%QxAgC_8^Au-eqP4f$eu&lqH&~S%6+C94hY1y0XM_y9u zA!*G`uPy-$&20&m@#0v!AHQ>ezCeAjEUwP3=h4wdbvR-M6rF-#87~jip|?^B>M+i^ z{^(KHMTNtRIH#L;PqX`J=Z%PS-^$!N)L%j!IfON4s4RAUYs zeD}3wagDay4xecM^q8d(?$ddqzyz-i*J!t~L0dL zk=!A1gF=mk7RhR)z9Jrvx>lwKaUrop7AX2b^c1Xyl8+sVD)$ayLnpCLM!Zmu7{#^@}~72pidB6TBz?(=o8G$ zgMvN*^epoEBi(>UWB9-#U=TiVDQIxps3b=W=xdq3k*~)E37&bQC=yWN)(1$TB$b#Z zcr$KdU)=hamt^e5UrREN??kC(muM}?+)PR4gMaF8{_>kL@kG7ey3g{%VH)S*h}jav zM+%eHB3?zZVh2S`G3<_eh4GvxDUuaaEuJx1F-dC`N6QZI^kJ&UQyc26cN658iOHFC zw0zBJc4O zB$r~8!Qls#H6Pfm2xSdqG>}orD64`A0cGn9NnHm)2IiuahSp8;0ZAY&azKLH0oH3A zugN3gCWI4y43tLIkJv*M5l#>DQRZb}3ocmlGRn=B&HBW&m)PTJ#_wC5ikopNzT%hP z`YAt@D(g!X55_(iCW2UyCr5~ z#iqrukkU}tta}VGcox*I9R-@?&^`-1uPAI*GlXbqgES8(dZ2%#T9HnL9~wkk_!96w zpax+QqIpF=fs!FAB{=jBhR_nNR-{wmhJBA52UmoXLVpI4TwYPxtbUSUa;haLs5r^e zlI7SL_3Scj5BWSjG zsI?IQcTo&NZKR0rXQYwf7Zjcg9g|BO33WMhZG}J4P+JiaFirJ3;}^t*#RSPpc=48yG^xXksa7H*7SPVnV*x-vCIx&E*Lx_e(a$F zRFxfItwP)lZ@36+7TEzPXG?(r?v@pSf@Qoq0H&?UM>jS&Em+3O)1iMxj^6DKaF6I` z1N{zQ$(GmRMhK_}a)yGub%F$SYVjXRm!g9H5Z3GNq-n@*HqPX9*0XSD z!vBt~Joaz24+RHzB>XSBE!WJz_0J#)4#k=o2)ci-6>g+GsaA&~RD>Shtk3U!<-hvs zFMoX+SEB7WUT(1F9I`a5D|KWB86nFJ!^E&wMtH{VOvwyruLb&GF#t^b1kCSX;2RAk zt}sJWGe#7&ZB0no4rUI8AKC_Sv2zxYx?o^7l+1vwXub@)8-_E;Bs1XWxJM#H0{)Q^ zWRe+3EAF5;$;`mm1TqRw_(^vCo(>m#JyANXPO|KC#mVWx$7Yq$q3|`q|6uuqy`G&- z-p(Jq>T?AFL645_zc~6V_&b*JFHsniP=?6^H!=h3?9?sP1nh;TAQp^DNiG2ArGzR0 zHWy*PpGKTvVdv1jY7M5geemt<7nnD2HoagK8vCxVY|sfN0);iZe9LUInDi4PWR$Z<>Wt=3kJ93pGuzDBDePGf}aXbWAIbKa{kls^?ZBqQ<)m& zQZsL4Qc6h0NmVGM;y8Mwpvqu0$wgF|hSZo`QzKQTNQLS6jog7P+nMIf(It_l3?>cl z+APKjgMwQm+hKnh{SuB3zDz;Wo*AVgOr)?}HCaU`rNqc4@(}tHNDO?3UL=FHt@jzw+mHeKJS6{OZqq_uId3 zZeZf_?yRX6shBgHY>|p7Gd%Ook6?@`O-zG3KY}r)G$%(eN)E(1M^hsh`OLA{nPZC2 zw{aCIO}MEnpdy`$Nz8RRwM=Qsm|}olhY+ny<<7c5>Q!Tyi$?_Uuf1tmLIe^%c zi@MBdq6ldgmM>fyHSkokYcu_7L5vV`zgK9Y>m>Z!Z#ap%%F~A2?-eEwP|J{)3Hna8 zWw?*8j9iXnKbi=qa=$Y}8^fLKu?%zWBSRa*tvoZdG2F{DLmR`*d<7iU2xX6shWfKh z+~FysJp>;SB;ytp3Ss$uO5EX=hzuHh^RV2u)p*BcH}h%gWi?i1|dN5`=u(K(?WtCIvEMF-ux<^&tT!+c|+ZXiB(rOk?fq zUD}i&)3dU#gXBK?2g3)}?!%mbmF;}~+SHOf0sVR$pl`Fq!qHrs3JU1QOpEj`u)f?Z z(sNQ|PdS^I1oGHA=YooYd^CL&bCZe$pJkO&)~Yzu@odr)F|)#>yYUb1mxzhBM{$Wl zw$F2YXKtq9CE^a2?$eimfb=QF?MgT8Ba?*qL%;nye)bPt8|+VFZ-o6x?2WKLnP!Wy zKZ(5&_9vk?!amydj*@QJACa1?(l-(IAC9b21-#s>{}=Q%L8NwB z=GL1D_Ybvm08fd+y|_+QCG_k`7SpR|*g{`LDwk1n>3&8oFME0u=pMz>dj(ib#a?!a zh)|E>67jfC^6bdJ^}~PsC*P3)RtYE`R~sD#!hJpIU&zYs4xqJ{>=#CEZa6t(fdK1< z{nUsB0<0TC9q9Zt1OluZ?xAKRJSKz#CU9tPAqpnIy5Z#11OluZP7X~Vz`Eh|%!F}n z9$E+MFS6w3ff6KT9JD5w?vQ_+*(q7`zNU!qI;>%QW9Kl>ME)prsZ~r+Ynyt-BBn)9D@1b`4m5>hOuL859nO*cb&&m=h-rKqaRui4>T*;cdG+II zaXsWI98zEN6e_o*pbDSPbL#!sX6bSSmmXTK9dx7I%6WB3Zk>7h;; zVE7)}cjy;fQvFspGs7Z9ml7=A#+QYe1(ze8-L-4@99osnv%_9Fz5T84%-HbCU2H%1 z{5$WYoh5mDOU=CZVZ=&sW`Q%pnAjgIGnZ%$pKm67{{Dabr+@yV=jCZ^($2#VpAS2T z%S#{!!TLIejo~wJivyb_hP5u)%-f3L^JJVI!)L5_KF*FMVZ~O-1+)Xfn=*XfqccOA z==-+n&_F9MA9;4pK-b;QSX-0^BFyHGQ-sf5kI}&rz+z{j%d`@9$Mjf%<#U@hK(3C z?2qbl(Re!}W-COokT{pG$9ZE2h&6onfEeFyP@jdD0WsRk{eop>(lxEV9CWTdt-kCM zt$xAsOLR$E{jER!xqs`BE7|HtyZ)?hZ2?jZV?k)grS3R;tB8Q97P|p3(r~xIP?;Pw zGN#TE@D{c4b|V?yeY-Px)x2VkfME!+SjlZOaMeU~Hp~$)484-QZxJ+Cht3f&hm})= z4i3bz$EOhu*t}wnfN2Op4m0FDBB&l@L;3}_h2H#z3~?yH?slm7m!Dev0=Mi@M%Ryx zOoEcmeaQ>))*;RRD&$RqY{oW0zeY9NTK!YQY&Nc5l?R-{*lNdW)9}@K*&_+(b^hLE zV)g1R=E#~ZVTi1SHGVmQ+Wk2bwyg-d{PBG42)gVNtq8jO5?z9zU-~t_@x9;hktv6) zLdlMxCYuak+#7;!dlySnxn4t1H#|ZnO7gQnDQiTxw+unu5XoqbTKA9+Gi{}`A`#RL zG0>0d=#)|S)No#r2$~Fg#G(S;=p-^ttF$5!)D3~%lv4oSwO*LcD-uE7@Brc)pq7T5 z-9}(^!&$g)w_AcdwZLiRv%FI@Kw%e zqUTk)cZe(<0aK|}+t2Kax(|v~b!ORH0?XGbVxpe&`>5$gM zd_3`N_88Bn$risZNKc4_ov+z&{}tAx@8?Iy0Dz6U zbg11Ar1itC3snYE3grHw1Nvb1Pt^L7YbDwM^`%T#yU_Z|7jNv9t~PuB;CQq54@JEO zd64RucK^K9ZeR^9|(0b&S;ro|XecKIDe#LG7!00vF3p5qMp?r;-F^0d$@;B|U;F4R;FW#9!%ofssq<=<^Ni`Vzn0M2)~!u8!TBZ1a0euF4ypdg`e+{phEC-lxqK zeb$ME12SSP`m7TR;eUYvW87ll)2LA=w%V3%JuoVW#Mi(fq@3Vj=2# zD{GR2KG1IYfSwpUiRcYrb1ehieb?QWPj`g6hwyJDLcV{;CbWc8qHRED_>1Vf!|3_~dX*DK~S5YiTy^&?3L zkTttlv)L>c92eZvop$x6T$kor{PRdZLQecOvUE!KmT3N|7hksXh`F% ze+zJ!{Uaff`f$Sudn>SUA!&t; z0>&nEJp;`0b}`0-$4JM4I&pektNe@|^uBud*0H=LbLzWfqh!oT>h-}sGRc$`D?$?p6D&8K?l3pAgC z)QIL#oIBqjAJKdgdn1}pVsAwAN$8DeKHV`;p!oxI3^?8;oXeSDe@nvEvydwgdW{M@ z=|n~WSB`c`k3bz4V=V2;VSF4ojLRO-D~2wAK(82j#vvOfEkb!&Un1+1GG>#N)sqsL?%8bUM2s9?#E80ss6 zI>s=LoH0zFM6&kWtl1;l=&+(RAP8YC8WKZ&#f}mhx+2*9)r!PWH{5TUJ;Hk&8u~uW z>c$m`p>7D_c{b>AC@nsgB$Vwfq^OCZZU~Vc4ziKad;=*x$@ID95v)mk8W4Oo2h;1x zODa*%zR4bJySvEUZ3Q^B3)g9riSxnumPE*8n{ODjY+5Fnwwi+{fVNusX+y4r9 z8z=L6fIJ7j0>+OxdR~R%FKZZ_6pBamEL2agl(W0ALrcwewd|wd3@*Ibru6igP7ES6-m*Nlht~KP zAB=}rgCW-UPB!gbkY1PYDKQueiN&%DkYAZyf6qEYPW`YC(VY_h&3jJ2qU}_D`}5x- z;ynHUvsnThEZ_Bm3lVN=Z{mREzBRU?EdnP}`r^}?`=0%-TytbteYmZ!IQ&z%6YMFq zcAfOo6W&x>Q*$=BVS4?g#DVQnqIOFR8{z~b+%OHhPT~#o`EL<<0=LA^9#YJ_3AKxs ztv%`J#bEjAVfEq0#vn=zlqYv%bXa|O>|CMeNu?x@-N>-|5Mo@J)D}Kbw;6o%>VfEK zUs-azWS8@=*h`&?ZY?cKQT}`cpsEWN*|UBeM`1VLAN%1TcWG?eD_&Bwdir;N z$^ZAcb2Oju_el=_ITqCscFx4o?~_I*vh@ zX)norpzc6wI%23zydtxlvX&(@78nYVN*-w6SNMtpG^NiQ0+sfC@qwhM6=KZtJ zdIbXg3v~>{7HN3-J2KWnzRF#tLn;vjcc?qkAK~__`2@L}!y6kU(1|vUb zPikNb3I~Y>Q*IXN%o4X_iqf6q8MIpYuFE67mG64yW*TO^nMmMZ5UF(7lrDd`Oe-fn zJihCn{m`fX<(D$wRl&gdu8zTrg^{j5C_e)A%}*GPN{kN0q&iUy%8k(j2poyEqeC$n zYZng1`A{32hN5T0J7Bt9hv>ZYQ3{6w6k2x^?pJUqhVA}Z@OTk1wF<`tdCzMtC@{ye z`yhUoLsQey&&4e&%>`ZROkB@>rW8YOa|l#1lu4+nF*nTIP|*JyOmjpgpb-3nLA?WV zMoDmxQ=sB32u`KCMEQWTC!*jWg?+YBFi|KZ;e=&7A0M+YiYZu+#}H?Ga-4ZoMu>jL ztFLA&nbemesTOZnymrLrFMmyjPl!cccOZZJ7vB4OU(C3xl?m6%)-B9k=+MI; z`Qy#eh9}uumJD}8WUQg3%|!E#g4DU1JD4`nQ281$C8kY4!KgIMWnT=skh#bj(AwbP zeCS;EYO_2vrcG#+nhp8r^mFXcz#&<4@Z<(VB#67oumwYnvGkZBan}vO;WPDZ zWF_iPEx4O352E^jkBy>2takJJ5!_9dM@-j{F9^a4njEmV<~5E4;ZlGcVa}oM-kHp~ zV4j7;Ig9=Pb1CFhm>jRq!q21v-3smVChez)pex`$9)N2%>CDr74Rjx2pu6l6t<~q{ zm*|qr`Okdm*KL1f7I2TupAyWrt<{SC=^qCi1NBse4~EUg=;7Ir5r%EWfl)mgNzMZ< znBF>$7{e(neVtc~fP3uVxJjzHJq(aJ0`9)s9^pL@>p`Kf4jlnE2>|9P7WvQ-a50fX zYwqA>4IsP#+zu&t?YB^RSuruH09;Va^>ZJ`EJ=#@uM$i(Far2_8iW_s=aJ00TlU*# zE4A-^L*88c(wAnr9Vat!OdvJL6)MD*GQEzr89xQtm3($ec%I*uS7L+gcJ>|l;rnN%w?5QaKi&n$6uk#xFI;C?HX(ps{km}iXx0WWY?KWPqJd{|^ zYsoK(%&t9Yz3dXLSbj5D{*V6IA8ThvH5y#ZV>zM9g6Gae8tn2SIE+}nKX9I+04n&{ z1*}AkUXDLO)!M4Th3YTE&4}fIdX{(@Joo7KjzAST)11KA(=kCzJc5!D%TfH^OCfs9 zu)y+7$HB}Di4SPuEDv2^`4JwDer(7kltUetqN$+QFc?)()856{E*U}3RqqAC1mhBn z%GUXu^a%R-!u8+E^z0`03kYgFvRENrd1RNRuh&tHuW8}m&}+1&pC4lS`8~IHcRw>n z!{cRNV^jg1piN&_Dz1GsBJynw>wrfX^7E$0y^0+@|37MfE9V@ z?Z7aWeNoxN`vAsnzq8Ksykb%I-E5gGCJnr|7OHGmm3Z_*S;7@As+>}$jb&Lz`k~4>2t|>)L_2&Q zJSUPm`Pk2!r%GuTEM^Qt zsZS%i3^N60Zt&w5JZeE7s-Up!UxJjM0#|EQ&b(s8ObnalP#T58npqq%6XJ!GDxzUO z0M`OD@jQ}lqy6!KgeqgieCQH0QGu0U@_4Lh#VQSGyP+)^c&>&GR8z_oOR5w`>*%N1 zHDHQYmQgGpd2Z?j5r>aRct^{nI9$hr+!na~@TW>SCfi>Cg~P;3mBKLFVm2*+vv5LW zJ7BW>elsQulPqI0Cha!&k?pmg#)`Wu?#8KY!cU`G#;uaxK29?>Sq(zJ?3jM#MN~b$ z@8eEU{*LebjvxM|xruB&34 zD-A$nkux4gk5TcJs-<;>WyD~=|NSp&o2y2~CA4Jq#WL2>;mWhf5uGBMz2C}aQ2j0* zPb)3>G0>#d(%0N2T4T(ci824;PkmhT59ac+_cxHOj-g_VIoXXHW6a5J+!$j{cHyhaf`gHcf*d!a}+V=0nM=5iz^+|F>*9ou*a<(5_dpk#+YqeN5VyaZ|Vdd z?Zu55fCuTtO#x~aqYas3K~pP?vaYJ=YIW9-K^8;0Y!j+$~Ckb}pU5;`qHkT5b z))4pfwmT)ZW9!{{TK9ABxs#*cM?z>?c8ONlzZuy7=F{hV>nF|2dbF&vN;!5qwX8iX zQjQ&nL=~{#a*)e~*N}!CeZy?PoD`h)M!wCCeB&&uN>bB6NFAXE?cOX7V+YkbL z>`@vPuzv)PSyRhU!K@4m*atb8qV=FY9gn+uNiDqDwAlR)^=EpD=%(79acKadfzqA~R;h)m1IbCT;YUVnjEomgVjW*nUtpD~i^-*R#i!n<@5ip|wYVAs_XF|2)c66nX>Jq2g&A#%35U;p^my=k$NaE;nuG7ARY z1Zuoxc~x1@9wQgpUnN{A>)8z_$G;a&!ePU~HY`+QP+SG7(Mgyb{~n!$UK@eAVuJ}c z6`)6{jw0Pqf9%3Zm>g?cI0@6kWeX?a{BT)hCZZ2FJt{utZXN)!Ku*78RJ`DHYHgOS zfjc4pI#DneEI*fR$o_`B65DxqLJv7NFJ2dOCqlO7XU31@9coIMD1QWml~FShVQXm* z1kV>qdjP_tmI*tJF=i&j{k&!30p%7-fj(RUxDeSTp^I{fOMqa%aEY!}{R?tmwGRRR zCCh!`%MP2Q&tX{A#jRA!5Q_l4>X2-1t(k{`Zn{>~lZMw5S6qMHr+&d-`m#Bv!bUqR zAhn?mtyTE#4y3gbUL$JtyPo`RC4$$65w!N(#w1WM%(XU*nFr9iNkL=g0kL{@=;&0S z^lpstwx(@={#&9`(cy^7(1Ti6?avfW#T1pV$UN+K6I{O1spt9Vgk|m zC9JmxPE1J2Ae=C~nqm|d+>FwTk-H{)F*x7YIzX?c5NH!WB6>mUR~*3yYQcsVuPh`s z_{$B64Wwz@&yebe{IFAEBfPsZ=~c*b&15|H%AFUO2)HqQx+mS~_`}2$auq6d`{N4h|?z2f*= zf|?teL?|jPJDpV<4f%9Rh8?GH5T!RgMIO#h-t7-?OH^1!iF$EGIvu{^0rW+)CGEdPAlS2giVJLvjp|o{%4U@&xeh z1~qbs!omr;JRf1V{z~@Gbce@{39;-FtxSlUVM6@azxFBJ|D6etdNGEsfsD&SZj^CP zffOp!X4g<8z5!Ek4Yru)T^UB~0aegOdO>51*c0)&a1C_!7hHoapt>?FT!XgJwmrB8 zg1T`Cu*8tk2)rT2(4GvIetqe=oU`tUtaShbbi9S~8 z8lVy*tc4>oJhe9_`3V0!xFpEGU`+4TP_;k|84F@nMi5R`7rMbVvF(o}j#dJ%48WvlaYr2K;~aL*MgL^Akd+ zN(WK^HS%6Rm6j*EcwT%mD<6~Hn3+xDAfWniJWduPrn2|37;)SI%VkB>@#~>wWietR z%P%=g`_$7({(|9fz88NMR6W9$&~{g-jBDsywhOv>4yZM4e!3{s&SAQ|;-W>I?MLyy zeDnHr&R1o(%h(HAq)KUg@%&PFP1$%1W!~`AQ&0Vwf8%?u zz9t0Fdl2!T^}!-g2gMfTL{UqZ$ZNkndR(k;A@Y*!trg#o2g8aIK${`B1P34;wey^*Kra?S=;goN|uH|0|s^uP6bu8TNex^>>DwQ1L;e4fXPDr~$N}WCL4iC1^KB zPY9N5nzqfS54*h%^nx0+hsC4boSj+%D&y(Gttt@u3H{0UAs{E zvP-lEw97BiB|}Gk?oa;2U;EJv#_GI!HrvBbP-8FwTexu0g%L!ww+xKkaFSpj1KLS~ zeG$-35$p-Z>Cl^wV>m(c!g!MU9AiK`NwALrE!yQwbsbZ( z?7FVsAa`#~`UA@-88=OuXQA6a_=Aw_E!)f6 zgOYSQ4g>+x>mUY@_s_3%C1=j(f9L)|2YrO42D#PP&Bm#i1@(L&-&)by0A}ESM_L@VB7`a59BUE02f^No75Y~Q#e&TlU#+S8n2~1xkx^)}|R+Zw7kp5zUWK3ejMF1bZWzKgwCz`yIxX37T(}tykcF zf##bQxpU#5w-r%;aUwe*;(7gbn)v@23I!q;q_M?rBCz(AX?O1|Sxi@18eG@7?Astk zufg^82iGg)u8>O?jUxOHX_7gi{z=w;{qf)P|Nb98ks&wFkz-A_AR7{Lli`$_EMp)yoK%x# zHrov$wZpMx5Q#nXHX@YM3B3Ke~lVy9Lavr+vkSW)kd$5cb2c>dW zxN-FD&ie9#B^@GP6U;Ia;V5Q{Ddojiv9f#yEM*4`2( zhj2vK;{m{l_cLo1Fi-msaw8Pxg9g_`{<6<$9o~7FVveLBat1)?;3?#Oz~P;9`l)M9 ze0hj=?KyFK;H*(}AmQIV1v$b(;bw^_z$H2HFZinWz5b8n<}bY%o)dR0IH0de8p$zO z49wpWE+c3hwvfG4>_-D~WymPnR|NDigAL9}-&$+By=4VzH?-O~;S!Kltr#I4RcVa= z7F=~!`DO=gq(HcKQ}vLjfXXh3j~);*zJdHIpTDKOO6hnye9H$ z)DBeFLn{Zq=7YN)9@+cf=yHhDP#5RrAE#3NV&J(1*%;0C`vLivR`(9(cgQYuPjn&o zGjt{2s+VK^MhcvN| zkA!)WQwsh2e&v(@*X(~N*fLg2Xo$ zL`hn(065|nNLL9G-dqr6?r%8x9BpS5FwuTh+PKAI6oQmPocM^ySXNiZ_&t3(({l3qw)FrB`*M~{$B-_snl=lXRh zv*RM7SQvFdmd%+W%0#W%WSv4b*Axc%<9WSChG)f{a&G&BY`p;u$2A)Bu;9US4+~@Z zMI{H>Vg^nwKe6{g++pOmZ;JgSBw6%d2Olbr}=O|TEb_d^DQ;~Kuj5Ey-OhSi?my6`iU~(0UTF1{8!YJzWXFAH`NmN z3$ByCVgb(wipvC?U26K#I~Nrbu4lyp7pzznH6|$*@I=A73aJjN)ri3cwq)44)bx7` zZN0q17Cw9RZ6I+@?OaQOtMU#(`O{QAp+l%ERB{&q z=wi290v^ivoByR{>ETjP%ytv(kVYWEfE`Nknj<1jEmnIH+$ddQw_jTeqny&m&yZ*I z;Skw;7j1+PGBSrn8c}R^8*z8sus1OT@t?*^@`(I4`H1LT4B7?VDoAOxGB;^Nb*uP6 z9$79x+)hZmtWI0qDrs`mt>TiXpe)o( zNLIOwaO%X{v)3DrF%e;@uet=_{oIlI!g7z4+;-)SoD9JTTG!nPh`O?2!f8>UdU99@ zgbUEm4#W(gzK@-bWia1Drb#W2s$pc6`}fHo!8L(sR*`<7!I)sF3t~n=(-&lMO+3Y}iCMR3aZTKgYvLn+^rJra7eD%$XYM@zocR9bX7sfLDV@id z3QOaam@dH=!yy(WP#oGFE6gah1myj*-2gxk?Rjgcj#>hOIc)ehjKGDKaO_wBm&e!# z;6h74SP0=9K|mv@WK=D*1T-U*>_zAZUdC9@bGkr44`*@~gFv7;+Sv;@iaIQvO4u7h zAjPO_V+@=Isf+c{aeZjap}%pTaim+XiZ*>xmL~!opQ`|LP)CYPM6eVPkjXuCL|rN6 z3)8Z$sw;VZaeqD)aeuBCmTF$ zAUTQ_5G-YRDN|8^uywwrY)|)sfeQx43i(Tg9wfV!eq^c8W6-AVc{c)4F?OlY z!>V<$-);qyip1v;SOGso$$K!YBb?#+<=G610dDl1ZtOQ2ol6qo%_k8CFcwEj0^?A> zX9454klT8mzO)#mw_}k0ssH=SzwzH;oj} zkAh;5njp%|qk6oIh?Ib8L~&au!L63X9V$#;umQUr{K3MATTj)SZ(0&CVXCe79x2f2 z$ek0nwat$7!bS=%;F{y&R}90*)kMMox(IVj*z6X4urV)mF%udEXQ%CK5d$Yqe&GV-?;dcVnP$cu0J0D+oCXCx z&iT50)XkG%)6f@;)Ix-q>k;KkV71wc0)Fa-&Qpuu&`a0v*A1Xo+SFTkHwy|C#z%zD zSo83aGN|B}1%)JIf;mrNpyZG6V|>JBt#xV<)V3VNJfeWPtG~VtH_JrKte3OY_>y6$W9ERdyws1sEW*6hkJrjB z{z%=CUMnwK@$-J(7E}6`-mC+);pL*dd>>^5q$tnn{(#Klop$f^AN-8(`>Y?yJTCp% zyFr{k{Vgi3!!*1>3>9NjF|-5|tskGJ-VkGHl@8R`ix_&?wwhD5M~#}XlC{Y7V?1gOp&MpEn%ijU6TSsg6>=m4@IL zN|lG9GJwM4N@I?e){QF8cxl~2$7wdL#N?qrNQ1n1HMQlYA7`^e<67zu8y4^{ue+q@ z`*Dt!>y2v8qm=6vJ!7qg`bK|}P9obTh-4x&T}ntak=n|aKc3HgtF;oD%D7}YZPaXZ zFt6GxYX@J;T1=&}IL!Djh|Xsb#6U=dta8&`_mhGpLdHEZ~yIh`@jCjzTs=W=Ix1ornNF$xv|O_&w>X{ zx;9i1bZ1j;wHJd?2Z0NY7AYcnCc&{HMFdY5+@a|Wre^J-t#yCTQ&YLgf}J+UIY4bt zbtwzdL68IwJB9@~V%MuXNaS=ulu#t68-ge+p!!7~k;;t=0<;;r;D{}do}b7pD9VjX zj+QeL<;Eq)s}#}O?~-gkAaQ}gnm%;jxFp`Rhpy>E%)EPjt;zrvR2{&Awz{*;rVrrH zZRXY4wxMGFx(Q8q1cYs^=ds8L9mR?QKR$m5^82*mPXzcggPn=}n@Zpje^&+kmywt7 zSg*jjG`0pZD#mIyt&Zv;gVK)TTdL~WZQ_{W!CoD~=+vMS6yx606`t(X9<`qJ$wujh zx~i72=xmCW^4<8vq5@_8vIt+!B~i}`f5Lif0?CQ`p(3oje9la$+m_0n(D-21upGfvze~Cr-?C7?&*4Mg0 z7E1NDO8Eho#A|P#6fBhYXqG$g?#bVmlfN&g_`aOHdISXP_vLhb_I)`O@5|{l>wP($ z`tJ*74KIhhFPJv`>D>E*!N%X07tX#gS5zU38dH*d7*$GsgF_%m6~Cav?pY)u>>z4m zxkKceHqA<+%2X>^lnNCY@R-E?`5eXl#pRtsRn2aN7bVZ%ph!bevH}9-{$l*){h`B0 zes0sQntd@p-}X4a*z9S4T(IJFP;nw=#x>6Fga7+Id^4PE?tJ9OUqrXq!=%(-lBPTh0;6^du-Ij{ieSwY}EPk_x#YWgl-}V z!?i7P*r6I0ZIQ`3s7;GkoI1`9%2tK*L1}xo+cS+;RUB)AnU#!aiyU(y^1ea9kCyHB zELvo$GJ>+9J*6pVZ1|A0oO-$rDzkaiGdNI+w6H~5^sp8eV#oHQBen>LM{LW2>$71# zY$ZuojGqqlI+iwPeNmQdkCKym5LF0j7Epk=82`ziLmrN-TVV1!q=oYD$j7M^_hbs3 zYR_TbVkDzd^@)d2FAvQz^f&G|UcX`?0^d9lIKyu72|ov_o0UJo`72&T3^T57R$uno zYgy_njNEMCtbk_2gM>H5kq$#h!e#@6wC^ZHKV^ln>?4yeesSV{iwJs8;uZy*c9cGI zr2ShIfLa&f3d*-=OjCM%rN@8bul?``7P4=m(b6<9!rHS7+4uhGrTZ`4ed*ro_Y}fY zB#`hYX#G|s`@(jnZ3v@SewRKXsN=nmeU)o8Vbdi$`&TQNFJ#{*N%sBzkNjJ|`8`ua zC9_Qt%bOzmrl23#K6WI+1p)5vVx*Oz_Yl zkVexK_Av@d(csJ@ig(XP)V>=K+;Ehd%D$jMLpExNr`7gIYv`PJMtPIPW98_2Kb zq@6G7KvEE7Em4Ks%9g*vT2Jaj72@D_H}qvWrUF%FxNIM%2kCSc&XASC*oKbe@eEm; zh;F!MFrXVl3` zD_jtOu30xYuwLayetujKwMlVT43>Zn6rQ2yFTHQKY5Bdn~cQC zX!RCWwnTzaJh5iv#c1_t5IRPyM}yEYT0I(sCM=tQs`5VhgZQ@@f&S9t&$1 z%vk{JNL)Kcs}Ue)^$WzcQQo;1*ADDLc=&hdWJXD}6)Ty5v(dXxg^jT4&_a_Rw<0pL1t@sl)-G>vr9cWumjB2@GP%TMS}HIc&CnihIM$DS1eJk>`ruqEx8If z&hRvYG1{{HIk*?wEeN5J)d0!xIO90C+Nf@bg<7y>ad2zVf*}c4N;zi1mfaswoJBYl zAhz}-!}GkdWIV7ha1{0PT(D)~-XRa2l6YI{+2cLxpaJVU(zH_XCNtLOKlwdUYkau4 zEDx>_S$(N`@=yNcpP{tsZt2NCr6>QCo_s7toi;zj^})pTEH%EweemRuv03YWX2NbA z^M454HM2>XAy(*x6B$)KHY^B>kChE8En)NVlMP$2K|yaV96qw19X>*^&Z`Z`ypbH* z2)i|0Kd@Dc;gs$g<_8-T-rK-t1u?Fmzj8fK*ki+XkC_b%Ht{jAiOGg7*umdDTa zeEhgzVHIpv`S>L^E4aq{>>tW>ckDH7n+C56nQN-*nG5JVb|gv3z%t{|y$#H>;QTz1`2RH|-|dUD92 za9xjrS);-+A`&KUv#w*soKi3=R1{om0??3Pwhth91Pez_reV4ItWR<3U>|Ilqo=W} z9ej7C150(&`n4dfN4m9%A zO1G6*50((>g6K?62xTjG82$oJw#gvW1yd(?c3sh2lq^UHbwRid*p>zPb_i8jKxvSj z3h%*Avc91Wm`5a!NC-_Mu91mH*GUJOjygoLpg^cg!iZXnQ{afA^F2v^5M6SQFQZPr zfnT#aZ~0cbDI-?RcEX%!F4?^_CzRZ_lA!o0_p*LouOJ;F6P2 zA8}o9wjXYD5!rlut=oN?_^VR}#>#1jl@j%3ZGxa;#FU`|^>y9ZQlXK|5*?9sa9 z4G2T%_#So;uU5H3L{3siWA-U4;1t=X;=zjh(?gq)x2~YWU{>L8klgOLKOdE_zjT+$ z{sLCeDeUcuhVxpVDJ8S&8o26cXAADRy5iQQ|1Jgh-ot7s zmxPBz8w=VGwf5}K*MfVOt1I^KPGBJ&28*L&edpEF2VVGKu7dIE1FYYB@q@WchE#qq zG55a@NcD?V3~Q9sK`iIz!wAVq~*$nH=uT{vP?SJa0h>Iz`H!ngtqJJh<@5!aQ! zT^rar5~CeGOQKN=IT$h6v9MHE4v@4FcR=I{vf+gEXM8*$QK&1U5s5-w84XDk>dJUb zBHo@Gib)8{CU&r_olyfEqy4wS<41^3=&a_9xTaUa=ZL>qyC9V~&px!o{X$z?$9(@q zkYaIFJPodj1^b!OSys7v%6P!@Zqb7Mw}bs(^dG!?^OL!Odj_{iH3cbf*D*WxK+lrVuYbzS`5$^p(id6 z!A*vy!E#YUyClquW5;C*h=+b?@9J;dFTh=G@&fLb{FuVfy@C9ghc$FVnVvx_YB6-@ ze@Vg4Q+xPk4c)0*w1D0GTeO*Vi#BVL+Wxyg{wpv4_H5{CVlItHiQ0J`0mNt3enm-k zg(j~fpkQZfs4L%DuNT;cbq5!MoeLtx6M=YO7!116esTy*s@HkHquD9WGd(ICBf-u` z+#@*zrZY$MD$w~D33e`cSR)i5_G^d2*42mvI~PQg83q|(=%Q3(-Moc<`6MXyC^>bn zOPrC~lB9HHB_gknR)E?z-I>HsL9by_n`|-|ytZT#&rgZjDHVj}RRz9ljZ9;JYReNW zySNbBo(5u@J*11j_~{LA&$~qnjNT56zVhOK@rNH|7#+45EsJtSrDJ8l!NNmTpxPdb zHY1Y0l;MS;QQ+Xb&3J4Z^%uj13G^!kqs?dmb54!;X(bqK#{GUn7@bq;SdubS#G_{ExAmE4J6b1cj4w|gzpe8IslmW_= zE;@=YF&(uYLf9XLgNhV72*7u--r(^H>crYme$;hy1Z(lf84>Dq0!tapTf^JFXZu~w#x(VAWfhU?i@rjtl2aCt#3!RV9a zat5`Gk;6@r1U@HHvgBw+o2~08edx@`!K3PXf8jGp)sYs%^)e8a;C%p5#!v!?g5`~R zq)RQp(_QgkYYNv}qF=ybxIW1e!@uTd{`b%MZ!?IhM$;oYp+gUBJxOXVx(gC*bFdR^ zVzl^nqElhGQZO5?$OG2!DMp+iI(7RaOSO>b#O^=Yfa8eSa23*VXS{5oC#Uc!1+(Fb zV?2=POt)@}UZmBCIoQb@7lb=?>UGiokRwK3b_XyP*Yi+5b;-+iPw`NmbV+o=rIhm? zl+2N6UdjVm%;Y9_=muI|p_1r?EIAw}&%7yY`^`n(XTi@&`qE64Jx^g$5H_Fm1f-+FmRLo!u!LGT zVWmtNGB(%iUQRGS14$6uVM0Q1yETuoC*>-XyC8h9==lplr286gDGSEr>Ta_`9+YSi z4Wh(lx;CNQM?4~JS+$6@@|I${Hlf@FJG32Ey7U$m-Kr4@<|VT#d1Nx&+Fpv%>;skK`y7L}GKy%;Jc_VS$6xlyja< z+wVS{ZvZ3_Ah1i`Ycb2U3w%ync8kIZVQi>7_c4nZJ9I3dn8%GKD#yGAjhE|b5b92RRZ0dMZGxd)}de$ zHnxK*Bw0tY;=jG>d7>wYPEu<`@<;dW$jTt~p`1LVsu$8am`81NG+)cA8prBKZlWSo z6}ZuYH9;@vc~78t6!Za9w7H~Z(iU`u!rcPJ>KGLf2o#EltY<|8dI=QppvW99Q)Ezq z7!`QJ{@rC2h^639J{-L~UQy@y=TJ}>UmP!Ji#7P7QU%*;75nlXzBtTdufn1=J-u4f zyj!$rO}C>pz5R2()GJUWdZ`H1n$n1Clz$QQUZba^ z=ni>meo$QyTF4e7l9R8+0YN=W83sgT7ew;DR;1l;b^vjHbhDsnO-T}|^w5PcWG^A$ z5=3^`tA7b?XX*Wddu4f;LHt#;d{W_+fVX4|76s)HeJG+8q7nu_0O^4dZxJ^G{V)J@ zFCIg+I|CFHvLd`eeq({RqOrvZ<#9Ijbqr|9HSA)P$2Bn z+uT;sh)cjvkZwCzbWAo`Bg9$R>)E-Rm!2@xb*mzw4X+<;vK(3#WhPpfRmvSK)NvD7 z)RAP7YKC60NF|{y&c|mW&L^s2-oH-Z69Qn|pHD#CUlhT-KaRIB;bEa)Vo{3{m`9Vi zdv+j8;h5w=Cb^RFI}(0?Yq*jyz88=2l3hoS2YS`s`Oqt;S5{t}hAXeXa(eJ0THZ*u zgw$XakyOY(%1A3ZcE-_$I7z18B#nqFEp({;XoIvf-jU&bc_7kxM?M%xUQ94qn6 zV9D)9^^tgg#`TeSgHo2{AonfmSJ2ZmzkpSHYK=`|uKsk4>p1ZqJ&HFX=s2=CoBJlx zV6DloX)4#CqH(>)fy+9OYz``qtec;MP48v>$tgZd0>Dis0D$)R zSfL(+EC@y~#u2%YaFXMdM$7h^<{fbs5oA_YppLQbIZz_Z?vY2Qei5h|HF!ppMGT#x zanY=bR%JYys0SfMf*B1I#Nd?!rNCIUs+SS>TlSMJJuRgkgqVPy&3bDg^n85^JqTKZ zo;MsvR@T=gA0moC{ zlz;8N{HuTeL3TYTKfzrO##VUNjPNb!EXcSQOtDP{xh{ybJ2r3ylA@%YB54*B&!f?| ztkF6Jy{u0bB;@*t$dN)8KFwwDxaz41xk>QQTIu<=F4nFIdPxwK4U~kpM?r$>WFiyp zxW?yMrf$7dPd-LMu8+9e?pa5P$JnA|Ps!F3$aP6385(Dwt>Y?5njl$Haw1t1khKFU zN%09g6AqLkNqhtQuva0!WWw!G9D-pBl*q4`aNx|v+jH1-?3Y8y#?+F0>dJGn*-_`8 zOa!v));;3BP`mw%kU?pZh;)?M1(QmIs0?*ULSnFN2t8?J9aek9bYB)dKnFq6TZQVf zF_`h)WTA_f`?6zzpdPNn#(0D}DEBIsvy#mH+*#RE>_lp7! zQV=&sSm<~t>I+NAAWkR`Qi?Drl`ZTKPJ6-s7b0BTU*77xzwq_L{s=-8deKDT0dZ9Y zR-RlQ4|rTL{BkryUs!qaUVC&2H3`zz{hft|$Kd%=#qi#%cL}|bh_H|qF3~x@`t*T6 z{h8U!SAeK>4!rU6(%X(r!=g1%C4g=<6Fh<%ICBJ`VVZu_InW24wl+hZ{e-zf=NKc^ z3+c*Ga+Fjbb&gS5d(=5@h}BMfx=yZiM=k%AtpnUXLABw6`4viL7=6sH@|dzFe7=kz z(1}nnKSCzesenK|f*!tmMXemsfXW@9xh2SgrCyrymlE_IwZcA*w;)9zWgW+t35`;a zARND}hvOGTG@p)K9*0aY*L5>M68tSz=f~Adu5!37pk7?=8#gU+A^=SQT6&zjd6#Hs zgI3_eT|e_My*U|vzx;#Wy8in&2ATyH)<$py&CzQ25j5cvKVR)Wf+pDY^tKC;sI6__ z2%4!*3wqn2HF;?QN6;+Lwa%6+KvTNpz)&AbM=uTa_14qO`}yc)%GwdkdO00<)9a%w zQ`Qb!X3fJ*utk1-bv~t`zB!;auo%^?^~5v7@_h^F0w1 z&#)cc>;n6>jhq2rHWxKsd}Nu)YWVA{*$bcd&r3Se+-fk<>%QcyU1puDFDFAiU(_XM zt%z$2$y~|b!XQuN)1oOG zdSHe`TDt)f;r{l7HgOnpGhRs;!y7S%)7JS8V|!@Nm@7`cUToj_ZJsg--=7^rLL6m#6tYYTkY?h9TRfhhCBAlRbxy$CjCtxgIO1ARnP zBVm(rj5yKu=7r)rQCXKn4Gve%R z)pTc;q9B^UM$PKvESEpd3_WKA7p=jJYFTavBhemo7LmfH)@kd~^MlHR?3`lGI#f|+ zJ|9-4M0or;C=s!i@I?vEPF}ZTIhOtIK4dXM1M|J(PA0p9##z#8xynb1t27WF4z+i)mUnt%0n|r)R&@;N^%9ZH?l0ehq{FwHRm+ZTr5p}`s+zeEqlDz0W) z#k05*A|QM6Zr}&S#og`UU19pX{6U{q_nUG%&c#=YjGUJqy!^7*JBb$SdcTr)(kqe# zz3k+aTpDnQ=iXJ@1i>6e#g_u53~fYcKB7Zq!5l^f zgJXkMRysBNqf;%*g2bydwFZyn7>iIMk1>Q%G53CFS;0evIC2=3k{!~9dETH9$Ka@p z$*;A~ZaN_}D5z(u>G@$!YEW)4%x)g(7KeCYk-o$AY^s=-HUDA8lI#=PXZsZXt5cc2 zbap@;22xpq1B7}U{#Ef`1lX2Bp_j!^H6J`_DVp-+zZ<2_BJ@qi&-AnxFlA|j z7xgGH5)Ed!9su8?2J`W_-$}^fFjV7`s#3a^Xi+58B}f`|DI6!iwn-9HYe>Re-!M_B zI?i~15cpsoFAe*~a)W)$Nu?p7wZ!`hhRGrj$Eto5j>xF2*&f@3e7=Q zX3&mxO0(B}UKvw|b2UQ8^R+ywEOEbJyPGNjw}<j&(Vt+U`C%DwAkJ6RMM{ z*F20#|9Tqpz?#_@6F0}b8|g5`Kyyjx9UK#Z(q?DsLKrjNoSpSu+<~ES!3j8z93jUUqX!(;-lM=O|+N00_IIGTRc&}4d;IG3LNH2dn6o1O| zRN(KR@4xUPNJp8fL`C8bUDZWAT^L3YJcZ4Y4DVjS%u73TJ!-*B)G&#B z3MN7o1cZsiB`vy|PDgZs6fw8H(6D#??&mcnG7o=H+gEd-Nx8hvC#GfjgWx zh3G9VoF1@3iRqiE-1n{FNzm`u#4TD>gWI7(p^66@bi!N& zK7(tixj?qbRD&d_ssRMI>z-gXRgvlpKBD=z*Osr8N6eNg=tJ;si_4sj@OtX(Nx+Cr zOI{$RZX06|<`J_efh9?fniCE8z!9JeCwvyno&*Z+HR?Ykm^}%ggsmH(H=Xs{Es~?N z-7Pu9{D}MYIYK2+XZ^NW$7ufM&J97X0%5LV6(PB>w*~yP*~O_9{B%a)0O)2|lqDZ@ z=(iF*yE=`pOA68rrXVrw@lf?I?a`h1JTC}3|MPs~zW8~!XhG2Vx9Ae%`rE($_rCK- zvT?1PayPDxVGiJw%FPXo=WQ=jRcNc0$V)dj5P~%P148M<5*x#eYabEzDie9Nx^&H$ zCxW`*XhlsTs0$vpt!4b~n_m6_5i|*IP*anJBdS&cO3Py;g1X>nMNJ~83yxOQEE0K9 z{YyjWd$8E*>r>RfgdN=2Q4X}U5UR0Va}32AAgq$IuIT@W1jM@o7yL-|O)5BkM*Gp~ zo5lTUHtcrAG=-fwKjIxP8&xz+0vE})my)fnRX?NAik|Tn;W2yYik_ltBqxBZoh?G* zs)9(FJ3yAF`Fj-W=_c|==M%_E&nMth@>iyUcv>!`bucgMsiXN?R$#bqsAqr>cMb4%VMM}hNx3G}n9@&Kf10Jroc))yA;sMK}lT3KF zp@=CR=y<{JRn8EQ%$>Nuy!3hh%E2M|6p2}Yt0lTQ;$QD4vp`dJ{9=?zt6O1as!%tjRD#+>bTdu!ze z{&0y!AaM^t`clJ);E58TNAb0z7$`8j6pYGEZr6ospz3PRQ%B`y-!)nZZI4FaYNBVs zh@6KGYyj1QS{y?;byRK;YS)r!a3_FJSPB-(P3jfrXARks|6z>nnn+H>F`Z<`Ta*l*~T^@Fr2U?5MWIkx!xYK0bEn4)7`M2m2r^z?`wSVvz7e+#g;8U+i z0sMuL@GMd^7DhtIA{6Dq{15JT7y$o;k+3ilQht6&f)|c~+ar2<(Ei=9Y!NL`+hmLc7fiH0xcJTd&4R*) z)1DxR-5*$;6eEHWuhwrCq)D4bR6#`hk;JG5bruw|qK$Y!DgrnT2rKM2h(wgfC}c&S zdc9_%A*O~)**J))Q;DbsCfFUA14+U4-kFz4kS+&5M$|ZE9wvOrD4C8CM-mMi6qBdf zjZ#i)BLSu%m8@u!VhiCC%_Xh=w3I5Q71Xxy4|B#NbjeU{0Fh z<(9a;5sQca>Gkl>yG4s7emj=<|NJ|?^2^>k1-F0zMu`cId#AJ~l#tv20|`rjgWy2F zuL!ptBI)9|M~!ck%!z1XxdApJ`i&6jiK6RXrGN;xyG_fk8${85zpn_lU;|kF!hwEY z5pGcjks}VL>lbi~#5*Jr02&)~ZmCX2a|7&bkd?rsLy>eIzg@^l5Oo|xot(6&1it9v zj$js-1sFA0kCK8t1eHJ34(?I(i-X7IvpZEAxX)-f9bt@3tRJV9asr?TVgx|xG4k=v zC^}R7|KNy84Kt z+43}IU2rs8p6Hqc$Fk*#t}Y0Q8rx2@4qZQ4p5IFsJnWmjWZog}Y?Mgbgp(nII-5@?7%NzA4Mt@7Jg&>;f(w`C@M1hV;jL%WEe*0S0o`U$V9=M0iOCW+;CBFb9n%0G> zD_uN;soXQwrL<14jZrqYpqj&2E^KRA&-e(_8Mb)XAJq3tZ0kqv`ibL>#rT;Ua0%6a zf%|)>*B-q7;FZ%|iDAQ&vLw&XEnB?6{q4a04}aAke9w1HTK6C{BW?=P`%PK*s9Q&a z7$)`(Ej25DLA36>{hnNQsfCBSbab+3E4Q%j#jVc~2WsI(>)xpT7!h`}hKuuv4+r^A0Q&vQ$t+Ey9MMrB`~&IWaJs`C~vX_8zYH;_cwdX&Bq-(51+adks08X!N)>@3E5iTrPg(l_?0neeA9=zTlr{kvcN((hPG z--xl!as?T^m(n*iDrcx1!d3rUmKtB0#@8kCeVKJWC-VKU2pU>}6(!lcXz3E&p8t8i z@#wZ9=+rG*5On@6x+J>&kH7tU{)NB!_KE)5sq_s9#J%b&G5;8(ja*q~ zDA!jfyX&{o3&rerWR!!C1}nUmM;`CauGz9k4)e2-sa>6r_T;iLVaKqfE+ye_EhJ~z z)aWa%JT)vRQw<9_pBpP@dSTNV#?~|RarC41VbAo`tvX`sa3{pteJL8+8R8xOhkmov z_)?`3xTD;Ep`NJ&ST8a9pl;dphjow#nqP+}8_ln!HUkNn1I@3)qmAa*D19LO$${qA zC~lh`*%e+Y?VyAtgnsdX@NlmV+KLfx0h(Wzj{;g+OZ68!BdeiE$&K|c$ghF$-fVT% zH7vIPrxr>&STn{TDV%a26P1|p4)QxO(9$|Q+^Y_Kv^AY%sHJuI_MlNU9)Eqf(G;?H zxN%0|m*agwG z;p-lcUta$-zcyq~t7vSE#@hLG(ARpRQFhhJ8jZNyA{d5V*Twv@p0A&_z77*gr|59~ zAyDowuUkIgx-<6fvd-A(WQ|VVVg3U`eXOKm5^8e6ZBNf=Vw{9;c$>P(I;HN3}F|0NV9s&dw!Ss|ej~(Gw=+?2u%2lhUpj+3x zTeNspZ^x_pv%mBA{nS6oI)}Nq;R1?jDR8CI3j)QzL*lFWA)L6P-MVZI#Fkwv{zW)L zi@q3j&JY5DtY^s)*Orl+N2LAfBkngH9UIK>ahFmSq@k4r;RjS2&VGv`6D9p9vWkwZ zwJ9T_5m?M`RD!bN16Rouz-0omBqaTa%|-NJ!RNieE< z*E8NCbZLRVo08jgk0B=Ln~rncp^7<<^RRAr<&~f1%(8qb(KKe)9T#cnEb?m%s%s=b zaXnZ6l(kr3ME(${Sh8-O;gbz_x@|(1BWC@J{GN*4tZe0=_CU=k93rgr9r@SLlP>Dz z#Y*4%sP;e&x*0y=m|Ow_BW{Y91Zx2ew*YXA*e+`0GYy47Nj2Jg1e&ycy=hlU6(K+%(`H=-Lk$H`-^lI zI?W>zWnJ*Ng=(RK*(E{B zUWRcwN0nS$jin<=JLrnKTKTr6Z!DH4i5fSZwHfLCziT1di4RZ4tyu6w}V zg#{L$-W=n3w`hUI+kwU7&-~f{tIM#czM8t<*m10ZMqoaSd+`JD0rfFQx>!hf+UP%k zrt_^SBo1qWF#3tegvEZ_t-yMCwu2R*(0$mNF`tbs?sL zOP+6aF=?b0Ag2VT;!m(AeLemP{0ZnO`{|?zJ)IR);ijx|U;%P3=MiV}jnaZ*Xz%K8 zlwV4AMYspXm3hp!P_pZC({i5wmf`cI>-GB1tEUgV@Imz9zV|9q^zXikZ2cGRz4*bq z34pQf#)-@GZqb6Fw}YWy`fXqEd%rtls46tIX$hAsjVu^~+r}C^ndVcQmhkL!({kT7EGS7E6nVClu^LTFv~Q&O3qx?f#X@P-M$;1AFiFY<*d9>b zyb>ga+Npu{1)!Iy2g+y?LtXF)kQJvrIL35xpRX~!JCan*xj|r7H*>y_Vt=JEw_r`G zO3)f{IY`on>5}MLX%=vcDxSspOyaWOp>lzZU_5OE%RV7(SMYhQc|x*Wf^-F(vMOSa z%SViBP46Y_Gx=r7jU{RK29tJK!2}-kU#b(w#(le``%B||+YC7|X;^PYj{GnRdkf7ESodqmq9Fzt)h+i38qV}eUy zMNh(UMo$G2lsr>uiwdI>Skwc%^GK?Jr~sCFblv7m2>H9kf_s%c1oQ{(_@_lhfL4`M zgr$MhWnplsQkL@)qz8NOmP?R4s0i{V`^DA}`lUL7J@XTQvzYet0cSDo&pb^1{0r(a zOt_HRYg`0Aq_2l$Ok}m~NwtsqHDCSm+y2$86KGdOcaM9IMWpU&1~G!i-i9GHW0a_| zu94u)3}P^X0rh6FCqXgoO%T1JneU6xTi04%piKs6ftUs+*d5XNLQVUv^p`$*=SK*N zY43s!z+ME`$o2$`ML{v`O>l=w+rr|48y)4rOF=R1{TLgBzGcL%SnS1!V%nP^T5{mf z#9&wUT%1~T0>6+4WTL9KV9WN+<=kr8`_yB)3)&!~gr#hP~Mbhzrmo^wen#tzApg&xK%ZqOFoLSD`e z3%xPp9YWYBX}`P)Be^)5=t6I4Rx-J-=YG5;1_QW)hsKD_#KpPAzes5QI*u>Xzk7N>N&gnjG#n1q&t$iw9;Z07lA(^P z8|dG-mY{!M4x09qFQA#?>Y5?GQL?r`y*!rfRS>Mv8JADHR4QzPdr`>Y@ zz!$vjfB7v_p!sI-=3B+HVc&ZMO+?Vo``!!C9CLn`pm{sK@7k&pWAdEOdj?=WKGgI# zq()B!#23`OLDak=E_$6B;f51b;a@}a3G4&SvtPX3Z@CEgJhf&qG;hby{M`TH`@iRn z?CsWsH8(UZeQkb!Nh{aK4Cf6TmUIr;81RzP&`cwaHKL>k%mv}sPjpP!yzYB>ftduSXNGaWsUu zcnB4?5%@UDJm_hK9wEy9l=@as%vQ(EhV9NNh?ZxSU{uUj9W*1= z9uWc2z(;<-#M$d#i5&{T3)2$SJ$eeMxXE3zYf+a*)UC!tA7RY!)UKeCTAd>-lqWPh zQgQd>wa!mg>pb3$^hBJlZ{~?OO*|2pXT}qOQO2K$k)q4J5X8~}lonQqc}V5J5TvM> z1z-Z~Vg(+AK#CBI6#5Ipv1CrHgaGEkMJ01sq&gOjMnxX-qH@dy0>$ymdOrRJMXF=W zhE=wU$_fxD_m|LSius@>HmDC-8)gyiB4#yFG#k{35W+%@ANl&b`iQmIAswr@nn{~P zd%QL714;95LdCt!>H?MR)S+V`;9GY!Qu$lC!lJ=q|Oo;_Fl$2bC6arkICi zl6)w_?$D`}Q=iFyb;is{%;GxAYjL)`QIob> zHbk6e)M+1KQ;{Q3B?_Fn0=1!N98SHdU;sj(fK6G?u&Z9CaKKV9I2`Z2o=+UAdO5gm`BOZ|hT{=wb8&J$qSLHW$XG`#QAPPO>P zPyLn`KL4YW*9P@>(I?#NALtr-jC5N7E6r~}h=ycrlOe1Nq6C3n z(E5>6>nDQJ{>XwvSQkV&U@gjv-e|j0a1xW`^MAH2w}V9en{hxu!XQGH+l%enRBnASD#*5!6@y)BO0?W!`a!@o2_IwZQgtnSYww{d- z@GMNWDVpKFtlbBe;U&;1RGV}#a%ntza3!dEIkMOTdgf!WAWNC8|3oV5%)3SWtUn3x zf2$+Qy?^_A9{hMl7Ojuq$l}FYW>?l3EpJ0)@exPM+Ynh?aI|U-HE$OjEn34biwo|X z0~!{>(%Ql5Q<$_(=J$3%fGALwf*>)L)qgGpH3yaWE4VjG$une=pQZ*i`K#^DisnNo z6{oA0hvbL;#{K&E2HZ&&)>5!_loe2=bg3<$P)>p!*$;_T5aTb$IeTUooO^oX-t%tJ zf^)YM_x^={@O9tvgV{%^k-=Wv8=X1;4dl~pEh#*Q5JLo!{v>l|4)k6j&e?9P-o_pz z)94j60APmpU}olcDJ z;+o50l1_(aF|}m8J18B`W-@G4QcF$(H{ni@Sv{n(cs_T#234*PfvEgV5IgiF$$vZp z-!gfNh^;J47KD}Y6Zh@xVGIL$beY!4W=o`(uXOMck4Ji|&>~h5_`Mv`?e$zB{pp4D zdADeR^xJ{-|LiaP#b5ZGDK0(W6g9=>P}#P?EXDo_E`5i)a4S%g*KjV+3U;*iSwmj35=}jFW9qwV&YyqUB@yG<1o`iEujV>y^V~mt7 z=p`*lQw;AMQBAKr$Vk}&`wP|DMNI(VzefXO{Kz#A)UiOnC$0HrmD9HwVuRYh%7k-4*EtzLKx~{9K3t#xUMT16h zaUW1OuRKO76zOCR&~{XCW9Xlckv@VX2zVAX0W7&IIC9O`OtVa)BuYL%WEUOIes`wwKZJy4D=jlE+(X zPKxN{<7aF7B3v#E=y?2m$Agx@H#w}90drg}FrqJaJJ`d#xE-Eex5KoR&xC6_|&6~-wL+#mTn1P>VCuK zbJRQX)Fs<_%{sLr*&-1vN3eaZjTUN&!yJZ9ujO)eWWxK-P`5?T`Qe|irD5@iz z$7ryglT7blu0*dnG4Dom{nwR2nuRnO@v=qVt;RThEKJ?1zm6S`w zmDgW6J$MlrTH=7vOe>0{G@>XY4vwXG+%wNS^Y`9y=chmF;}WA;zy39fqTniRZGt08 zb{8Li%|mzKIc|^4@Sz~g?n*GC=x)<6vz_3GN;j2YL{S9KwY4`ZuBs)O5h|i63e)c~ zB2o0%tKk|^^sqz!R6RyS5UQyoih@jSQ=@E5nc$;^aQv)ys6``XWA^LL2;Y8;lh{Zg zA?B2s?e>=pkB(8cp-N`fzry0o05xOD_9{HhK2JETISI{=lHwlKj8zBfgE}KNcton3 zBhCoBva4=)WSodB`vY>oqCx_9fMmz0+O$G=L5uc+W(WsQB^i{!74$DQ+=Ds);6NSqP*IO(2}S3)THrHU1v;1%3X4HQPsel!Y3m_0ngDS+RgMcP|LFb1D zqvR#XLzTFN+QlyK&-z3Ko&U{M48Kl@*97-){g9zvT#h<}of+^KWw0ZQJf;FNgS~Lj zNJ@He(8zk$C7UC&(DU_2!aI`C;{l7yCZ`f&2N+Z7{xy=ZANEHQdqFjYKzYJqW99ux z$x6dL|6HrfVm4OG*VyN6Yj3rMSY7F(ngrB(x1xVUZ9gxQQBYRY=?JxEo=q*8ix z(us271w%J(#q{&2r{vOrLouNuqNFRR+M5o>v-HpHngU81UBa%Tk)#KwH-gSrcGJ1{ z;Wx6%c<;lxQ}G>X!sADRm9U8iD#~U$$*4)P1~CvU6$KEb=nP5|L4v%sG0cLQ$^xf46ceZjh&&+(5~&i@*r`kShzLAs%|lR5 zEjc}pNL9iGp}Ugv!l=pt8S>>Z@-vtOy)q-xHFl~+S$2PT9MNCk4sOPUZLSpyxd(nW-$iIctkNHBg?a^O3YWpZ&OL&?=c{4rWp$ z@NTBbB-DzoHCIv=6PZNTLX=3>C*4|@#~O$ka59X{ zSRxj&IHC`9zOnE%cgTtp1Z&N3qF`igYBP_F}_xTgPR4QGw|ihE%vgcvMQ52`=@`caCQe81QBd(yU6lId=n|cK0hJ6;J zt7f}YSL8Q8D2GyQFOd+g{N z`s82wrg#67Z%>g@C4aeZ$f#iOC7^SU=nz0$b@|vP^9{Km@_d-|!tmABYBXm-`i5Na zxRqpIl8q7Z5)jXV{I(=Plv|bn=x&Fmla(O9GA`Jm6rwtj5T#WOHIGPrG70Wj*bvGw zImGpd^bI9JWL2x?G(aJy=H!CMV*`&FJw7|AzcquHj_f3f3#r~2oPUo&Oy5wFMAHsQ zULrlJW@AerFiGM(ppB80+*q#^+cfR*p_-uztJQ3@6?NA@3pS?@m$Lp-D=NB^QG=oT zZfs0Af3gl^LY7SgDKw?dTZfkHSeT+*&*3P?t9`Sz0%cp!x$6fYJkBc+37ll#;Blq$>Ng9shvyk}(NS@n4;6H1u;?qv@IYhs zRx<&4!1cQ%v}Qje)SRI@j@MKAjaOraN7|#dm>o^&>e(eW<74i@ppzN|$OYKdvkOPs zC69*<(%a-gMGk#+MpF6FCD9(&xq+ZMnDl{EF#ztDCU>- zFh7pBh@hgTd0{0?)F77tcNne?=t_V#o(|vIpfocm8f&maiEiOR$u-m^q+0F}LatZs zmQmDv{SmN55H;@47((1%2qdnSU$yP3jWyU?1YwKwhd{YM*YgRt5^>J+x6P_87yu9` z_vd=vzY1vM@oM)l^MQQt-`%-t4y)FSuCs$+E7-gRXup4R=L%~{c(1E2%vF9#GtaV> z3%^8<@7_PXbhnHHOVl|48r^+NcKpIh0DihqHiHjuKLsb!; zBC?m0plDPk*zKgOI<%klK?2uNP!uX35g!>(lLaYVW|}GT&-G8_ioxV{WNV;e);2vwSX9N!^4?t`>qzc5uJ) zFrim9mWP=o5kAR6dAjZV#G7@o-cVU1djlqdlXS8zRS2F?{CM6iTH?pI6F>gt-~aqK ze@8%bCi|ya?`Ar~JpdF^KLI$J3N0c?*cZIeHE_DE5)jzv7HD?!TU!MCh=6d`;(ttXF?u+soQ7{C!9CU6Hfi zAR1nc7@Q;MpbV~@ATLt#ag1fffYRRw=D)Zn8n}q6A&V*m$1OlwCEK1?vh??D4@}^o za|Fb>V$4a5PViR%w18xwcTsBSpFpA_ytz3Z4x|NSb5emvoOD` zhxu{5u`>YGg~B&7!S2_*kmM?mYZjFo;+9hd z(s4`s5z#FqohdBlbSpx)3yx+#il5K&^GCBE#i8ek{A1aVgl?aDH2aaz?SiA(kA&_d z_&Aap1+kKWD{F}%UBjhpt*|qnziD=^Pb;RzvFuXCeeV=&^X%6*QEhVGKdA z03lIK0tof2V4z^(5mp=tMy)(R8D{D#Jz``=D_T6cVSjmC^8U3^Ek$tR{#8VxHmY&F z(IXB}M;24qU(=HE{IZ@=S5&&ND*ku(JF|KMFg>8Ay5p&;Kt&-($+zzB%tcLm1I~Qt zBET*N?-Me3=iMSA(37}D3Y8t{M!NVGL(M<*&wTOmenw68J~(QcF9LOR)|x#J?x{Cp zNgmSZAVtQau}r`Tq45>xfr}Q;-LfkhIZ_+>8$;E7L4v|P-1sx6g6bFx z_w{khBL8$sLmB8frG?;PN7?NV2{89{XH*!wSU5qt#{D<`vW7ci{tSNY>u3A+kW z^D2kc=_(8^=DRFB@A_)}3k$aHz9tUm08L`CMJ%qd6t#Pbmf&zv7tvW+58(BvSSrnC zf(3l3gIe0d<^2J*7g@kU8V{$#w=-CSEk^>yrNl< zcv3JBv~i8W(KwdBJM{Z^u9SGn&f935AYtqeV&;0x8B z&8k!@tif^DZOIMp1x)eo7Tn;TxhYRZ_QXnnHCt~qUkfsMj}Il!LEaz78@b{1EMH>1 zN^~HNCf6qUVa2e`#itfmDz6#mJt{`8OfUo#A;+U79ib_fcZB1eue1UF@EvC!4(f(Mii0C$Eq z;=xjoY*S_WLG>ULh$EuVRAmE|rXMOynvG~2n>=DP{Va&eA{IE@YQ$*zfhM&TC+3j( zRhWKj(2!`+!K$pR&PiY+9u1ads=-DCt%AiP)vxes1?OXr_0(rUt=dpwoSRzy3peM| z@4G#27JqPZK4iaddn+bBql>{cUzX zvi?$pmI^&)tcvkA=r#0l6(w^@$k3oV%3P0O;F)%-VndQ|sPN$9-uG12r3?M!$+ zO=Eqp^I;02B+1m!vO!PD>V(9>=aT67$s5i(8>q=qOUC&`5PGBB z8r2o#F9R7WishxwAlRK)K7#J@r!kI?2uzeAp4K09l)l!GHH^yq9mrFu5m|hd5z+ZT z21M_rfi7(-vkq}Z{_fJI@-5k5%RVY+u-A#tivfH)2JpXo=j*@X7eg~^pRFB#yAE+2Ja-I)|uG33|uA}tW7m~&88Dkzx z>W4oX&$WG5LV7+;&Qjs5t0{Z zIknQFy|ROr(@SoZeA66FCKtHKE0P4%s1}uuj;)k33;RpuuQ(weq_{uVvugr{%kb2P z{h?(QZu+T8XE@%NSHwzZg<}Zar;7PyJM6k*h|drLm9CjSCA39!b$ zc=oY085O{K3+LmScOdeSC7zIBh@`4qyv=SNyqf0*SYY*O% zo`Z!X)~^Ek_()>^*mv%K`pa^DklqaU95{YJ6F*Nurfuqi-Tu%Dr3?Bksv(M2o)AeG zsaBj~kM=eyxMx_P6cl5@1<^-a5{cmAH`~xA1IE!XO;exeDr-Kj3!0sev>#MoRL^}L zu|Q4q_?AEfDt?R80wzW}8HttpF?J~ZL~lHF{;E?l>{pr+J~wiHP-mW!8&a^#)7EMQ zKIR&Qyj8N@KP2@!*tqU3_g}RxOHX~a7{!QG&Nf;FW}khX9VBuy{Pa4TnXmB>#EjKZ z3X?S-N?ziAeLi*w_AC4bI9^h6#V`%!F}R-XRtY|ZRlcCfjAf0khf2w{XMMs7S`5|s zR?v+bs`GBqVyMo)MVA<=U;WC*y!KZ!f^Gjd=N`a)XJGS10*IlAk^U-|K zqmJCQAZHPKkMMat;HCEM88|b3k70S17d-B9_T)%*!3BN2KL_abP-mdM z0(2*x`!C4nwYeeBp^8>HvrUXZ$27R(al_ehiNO0)(qWLK1(nA{%P@|40Np}u z{U90d57O4yrVnFoNGhz(DBd7AVt6MxW@G*pAM+v934!#cVEDWCz+c&H@ov!x5{pmP zF7*${PCd0EPUy=zacs#Y`|h2}rz81V);73*D4;puu&=5}BW^w3ZO` zA9V~=mlif#a20`O#Da^oZ3q;BW?9cBd9TxLGt~o3Tw*DsfPwayw#`z|McOt5%K6Jc zyU;Z9;b``oCvL+-FR!|%1YiwUGY7iMRqRVO`Qo^@J>L`1wzw1KL)(qJ6XxBbMf;e4 zi!N~|{OkYU$9(TE<>WUdV%9BVM>|8r3<0#HMEeF{5&B#@Nl^LrrhOy|IyhsZE9?$y zFO-=C#hqX@{n3UG;!beEv4#)gPB1~R->EAI`@Pp&R@@0Dcz{bpoanoDQMvIPd}N{6lU>q^g~S1%|y{}X%TC^+vHEhsqu z7F~jZpY(UW=HtFFJJmJ9;WoI3U!lmWX}w1clRESp*b9E^#qM%QW#93tKk=Q;_b89cAHvxDc;4Rv@MTif`yi=QXjh^IUHQHoAYNDV| zy+5MJiJS~dU)O>$jt!fim0K8{?nm#7I5tXbqC&MuvU@u|8q1 zFG&PfyEx2$X}2UCZ_#}bw%;Yq5XCnW;8reAFgw-A&crZe=_j)j-kK$By)eaI%MJs! zFUlpiu=4sVrw1>_zPfirB=x~s`W+3G6Z_lm{md`<#O&qPkb@(vM@B7nJg4z+!=}D) zrcE^--fj*s_Sjf{s_`(`S7N!Y8)>x^^P~$!PIcCln5M;=r{INhDFZZJ#B@3*cwIMq@~xIr6OfeIuv<`3*;>C+aoqFo6!yq6yfhX%kyO zH!;Qu$MTnPLt8{{Aqy)_?=fyy4|GDa!nyJgxmV_j-VC^;>%yD@-BCB-6B%@a9yY_J z3tbPzWbr6B>pRaupxz;pzIrb0OFJ1ps!LmRk*r%V*{tBePvnkC%s=P`a(RMoAaAwEq!y2Sea*f0MbFT9+s z?=wE=4QyY9)2`P`SkTAZwz~$PCxS4p>vA>%J}!vHz>4Gzs+%bIn1A%aLYa?n>J@NSG-JpNf!9%F$t zR^r|^HLr+(>wA(Q39?{4L`DV zlsdF>F1qrw=2uCz)+>xQxn7iAjo{M?O7F3QCYJ|8k=)ZzU zLmui1*~V7|7td0nbdC!3ssVL`GXY>8Y~VvVvD$x^>fXTan-2Rf1gEzkz1qGX3)Vf6 zJ{B*$?Kk|=yRvbqfkwwV4{{#CLQ<^TY>koZ5lJm>mISwOBnyOX(E37fBn!sS?hY+; zF(SObs9shJ#?Wqus4CEtG2pGyjPr=ZI-eS*B|2w_;L#fVWR~6Kv zIq0yP4yDdL$~()_Bf=uI*2tPgNO7s_G3Ha&%=OHNV$dP+p+FPXa#rkc{XK zhMjge0GmpKt}qc>R2Nl2Rxd9FqlpMp6CPHYv6ERzD6&atpUz6!v3B>2tR%?MXAOWI z$~EE#&@VZxQ&Pqt*=?*^h;8zCtxE#4;k1=8(Xp{Q@hU56j|%9#mTM>iwIsC~9}^w2 z7*}Qn5fiS;iz(%&lH{1dEm1g^#Cv}G7PqSaqI-;GC1q7Ww1Cl?CF7b#Ib|IImVK#* z+=Rwa5w@1Zq$M%w{=HZ4-e+m3z*v&T#;5y0YKcH6#!|nx1r?vA4f4_k8P8AAWD;wS ztN-LDeetLL6Q7zDkTZm_HCmAnvxj(Kk&?7K99jSu>zr(Wv;;0E8kKcU;2)-`J53lv zgjW@i-TELIOp)FtL1AMM# zam0c!)-=d*hNd!27(*7ZI2Wb~W6c({H=Itl-g~zgJporhoZJP61^MzMcfmlbuDK{6 zF3H2w*`d>vG%%3RB4G^NGi}C#{4onkqw>(_gr>dh!ZZa z@|8_~jEC=DGK-qDcq-8q6u#VCr zy=t6P6wKT7?6K|0j-nuwl&C1!Ccs06`~$9-XX+5 z=*{8mT!^#J(n6wAG0>T-*bMfa80^pzmIkEeuPVU;iI z#f8W01)D&(#cZE%6E0@^nTM$#zM-q(X>U#b`fvTCKlD$%{h4Rbswc;{T>NNTD}G^fG@Xg0u~bBTo6S{G~F4cS3##M1&MDicx)RqlLTsk ztPV$iDM)y8L1;ee4-2<|C97 zC1~EgzFsG4y!|250__i>MmgliIrF+p>^XAx`eYG&E=5kDpsejM2+}@(_Of&S?28|Q zX&>)$uLATiqN-im6=D{a_J`85^;0SuTawhGL{L^igy~6!2YPkbZP&_}K^54ZWO$-i zV0lw@dCUC3n5LyHbVK$Aq5{9ff#C zO=mrJ!kEQ9+T!CRV}eCnejp~bRe4ZO_2YjEsUjv3AOF*w$NyA3{-^Z#V`=#DKMm`s zK7V-pG5?ImALE^U{9k|?Ni`Fy(q9y|tF=fZ+ZRQPpw^XIXx+sXl?94)jHxcJ z8|KJnwHI1qQ&bs}KCD4)l=WOXplR5NHSdomue5P;B?Sl+2Q2Ghe;n^2?vKu{uzbS) zgsnI~*Yo~T=pe5)`xPGVa5y-fB9pWs6ouhh9B&tQ*sWTOpXY}_y+fS8-LBfGb~oLs zEs7gMpxmG9`GDJY)yCq+?Pk>$=MRB$|ML9X-KvRpPTQ>7!t8)Rxj)zQ{J3CY_4(&o z`2u=1VyxluOQj#MimRDc@hrBn62uiQZVOLFvJ$TJX-!syUVL9In)bf*;N_P~I!>+# zLGvKJB1!ONqxMY6jq-a6hL5g5!S2n`*Ddi>h<3?}Zt}mXns+{Q@566^Gvu_Rdmp}A z`L*)4l2XF`{FE?Ay<6H;?8O5;Uv_D&`fFPY+NNElGUs|~luD_@gK(D{7;Q@|!ALeJZ<3guGxu*itWj$lM zSVm!0Gyq3kWD-$>fN=p0bPbIYR~H5W!VJ6j@1^3c~BA=DCew zjy@mpxa-&mNd&DQKo%4u!T33-YD{M&1kruBrUucv@)6;zM15!oqJoCyCgl+&_+TS~ zp^8#gFdLDv+dG-a&q0inWLA8iHt6gt-jh6HQCpeyq(DoeB);Q?zfw3l+L?7PUKpB%v$ki+md;uPoeIMchTh|?lZfLjEOBs7pzmd8 zxw1xb%O6<}mMDW!VO3n?!7$a$ZkXcgE+sCKPk7i*`j%+CyPzgBlnclHW6UsJf9sEa z?{AwjOm}Ovi<4;ddTae+v*i^HQ&?N)y8}t+%F{fW4SN01)ZKbPiaerx_T1jw(9EQD z*nyH-m~vVL(RPFJ49O?JleHQQTO?!7=`uaceb#KdM8WeYG@9s`|%mGf2 zXLwT}DwPcsL~CDc1knTBpysq~P`XGsLdj5!-rdB`L+#*pe(hbe)_wrUpE=YCsv3K9)n$!Z7czS<@pRG)E$~`{J@HaDT;Piq z6i#^@8GB_(Hku=w)Hqpxd>&~B`T^iyTKZg;KQh|V=L(*KMC96mYc7?cP~^m7z+vnB zcDnZFOgKBq!g&($M9#Y;m+FUT;ubCb=-cr}f8Ia)DKCBYJ5p|k=0dqr;5m`|rFPR; za_MoY-SkMcn~<%ct^zEmvj7V@y~K@n;yx2Qt`nULYl95}kwFqmD(Q}iU!T)UY(w9P zU>nZVbK*f{P8km(dLew!zE8nN^)2REs1*xWI1nBY!1VmY{dP}n7I_)yST&ZNyV`?T z0F9E8$9@hoy+>)x-4QLNDcORgA*!@(;_TFXUGlI)LNrxmsLIw-J4=cWJxMy#u|sFe zIx|^HM7!kf&{6lqnC%)1ROG{$^t`(y++pNtfMM3rcUMVL^G%X1tHw}ry)_Lzj7jgF zOM>!&Q-hi9(-#z)uc=DGqCc6|bV@h+8 zC;N2fWjys?#-U^v9fN7v1N#5n)9KvHm~vhGAmRW%sK0V~Xxma3SsTfFK~_nThN5XD zA+pp+tSCp88st61bZh3F6rnT-6dXxe&#@<@A~ca>*|ZahB9glh_V3EP3nrcvc{fM| zDd!hcH>F!M?<9uOn&V}8Csi6wora=mCE@xZFQhmeq;eonBy(JucTyx8Ng_yyUCMes z{}#s^IkreMLFz}Gkgr+XUmWY{{CDeB8#%V^VYMqli4Z9Em-RgVPV!{J@ps7Ai}ORE z+`o)HN?J}D?)m3-@c5DBs<0uM~tp~Ajtgt`e+ivr_QZ@1cVbhqn_lxm$9At?Hb38It@8?9o* zEz0n!u8~F*^~D9j#TQp3tK8T9Q$>4mLG(=({~}88Sl)4-T9g+T+#a;W4I8bRnv886M;n|17!OJ6I&WTN<9^)!`_tX^z==J)wN_!=t={LBpcPd_qyBxw!dm zFX@gelqLdGTP3}>eI7GB%qvXF;>#GbO_o5G3{Ue4RBiQ^gXV25pu)L_$9aYRiAYN4 zRYK*d^4)y2hxAlDq)7$$M>-Ba1_INqpr z!xn_u5wupA5rx($>q$+#vL;L&;#e(mr=aE`Sw1VFG$M*btzPnbot_S}r_j139XnjV zGClhWRss^R!-SIk9gn}#y0JsN{=IB>By1PwKb7r{<1MT%WV;tyH_V9Q`pJ5}exeuW zRXkoymTy?;;(*JE+;v<&U!_e0HG7Xz6z@OYxzbft!XYdQe6B0KsMs;>yyTKCspWTt zhtGWSMa6zmvg%X9KUM6X`}Ke56JE{~KtWi;+4{0 z;l?k@OfomUP)NkjANMcafVBpO%yguV2S68%BkW&kAV5%L5abg^uwX)iqt%=dScxsk zE2o#o9aUFYg}RjeT7~CoIMbM4Sby-dn`AHzilPfMV0~u}7wzV&KI->#;uaAtpTsQ+ zD6Nb5fTp*+c$oTbH16=USABfv-+KM;{#*uJt>xl?Yn5H#irE}s3cbzg7v!DR3 zOLn_XdsG4SnLz+(4w3|1mqbc?D{1vOTo&CcCHd*hl52Lq1h@=I#=C=J(welsLn-U} z%;kgYV_F#-`rg{=8qJ0K1h*+MKwy4=F6j6he>2hwr0W={q$g|bQ0#CZq?iExx&@%i z^zPhB|Qd<$epZ?yT`H#M%#(rl7>a79H>{@i|V+O&AkQ9JI+DAVs38 z@+=@+^7!RXR#irp1Cu{sYb5PtRQ0+1SLe+6d|6V4-uG!fS%PDXgY#0uZK>h56qvcY z)Ns>&+;2{^{rkS{<^S}L*U;TF+ri}pI*8d0A9F_J+HBvi*OpxldO$>E3TEiupe!2s zt{kx`n>A1{L-&Rl$Nq)G_I-wKI2h5CSZM}uy{lJS!Hpwk=%(O-&`tXu;9Q+dhHf0A zu#7o1h`(cIJB@Xndfng{%F7d=8)t*_7Rf;qJDTm4pz2Q)Wy8pJcqR!jBZalH~fyUKk6a=R_$ zzc8y8(#*JLMn}Qt+V3rY45%#Yk3UJ?G1-3?Y<>^J=LO;JQ7WS^ z*!=2Hhvo&F7i=CVPk%{a)^B?GU;3qQeA`3{hkgEOH?!Lz0W#B~3VvB)(+@D;iOsef zxLZfk*%=}3kkn9)NNjdN1lWk)G z3gUn5BP=F-BzN-r-b<~;F5$|;3($iau!BdKcgPULotBHeZ+baBMaG8R7CbsgpRVs; znX!TH*tmgsBtUztA2Oj=`EjzKl0J^$TdBXtHc=A_5AF(CKaFxAwkgLv@g1c`tdDs_ zwz{5CgO=Kl`1r(JWVzuf9U|UJF6&l*d`|NopVO?z2Xp2agwI<&h!|-`G~xwUlm@s0 zbs=4$@der)cz6Ymy~oo-;sNyKQ2DkZzSlgxs=G9KdY33Jy~K-4yGsi%G4KSz>?;xv zdVK}?*$X^De%$gZ@+QizeK?(h_E_g()R_`U1VL~!TtCSS2t3CsQ$RB-^6@iYydZW$ zptxbOo)4Jm*^wv7{PN=brxGu4yfIS-+2rGW1*>#%T(Efk@OYtX-vJ+lBb)BvYW@H0 zy$#SUYgQI^C1hlVu_Q@p{E2W1Ltt{)u=noXyLTf-!@WgFybwGy`~|qjduQ&26PWKF z?%YfUVziPVDh31;Bo!h^NCFjWu%J>EEdhrZk}{FP6lio5lERcfL{bPMQdE)WS?gWz zTJPJvyL+GWednBert8$HuY33E_wE1n_pE23cs#E**oxX{w&>y3;VRBFV>GqS+*S*O zW-d<6MW+tGAeh144=;BeR?hv#9`9j+#{(}ju;J7bn!+_ z8qG)qkLogoXqX0a&FDN4QKR&ZyB02R?XIaG z4+3BH_J=r7a@j3ffs!`^l>D*3fB5jzta4sll{i&w7@Y6hfG;F~LO0anF=})IN?#No zTytOy&nxF1%>m$~BbY$QWF;7t^W&^aOpr<(F=X&BEU*`LnB(od!j$Z3!-me~8WI?zqIo+=s>L1GObs_I;)*TWby0I>Woe zV8zo8iufbH`KSN*zx$?~csZfI?eK#6x4H(L9X&X8GPfxOkv72_W#T0Z_C0If;1X_| zIZ@ql{hkl!Fw!i+( zPl`r^B9wVilf7?xN^KhiGpRotE52h_1?d z@i|7THIJ^s>_?xs5S`WUwX<)^df312YbRCdaevxPael6c{g0c-1xW|B2+m5STHL)1 zPM5K1xil6Jy(6vQFNfQu2XuAL-uvo;xZPu^U|)8NR_E+yoUqnJ zB7=zq+FQR+vsm+$)2U;7IkbL3xtqp0U67h33r;mimye3IUfBth4Z5n|rQE-dD4&lc zn0Z@(KNvKFlP`;nIMw%D(mP2I-#t<&66MQMcFBzCCg@W}PVHol;%QM3f#dqD1qyGH z9Z>k``t-fJntnF=F;A0EHeFXM+|FmRnR>iC6V239;@XZgLlWT;Cp2U@&Uk;008j|- zfqd!;#5c*MnqM@2#`{(JUse-lj~QiIPnf+JS>^A@V~d)WB2N^^~W$gjQ6CG?= z@~XCOiaUo2%cq{;&Y?kJf~-MPFBzrhWraxdaD15 zavRZ&^7~`)mQ4eWBsI6C3n>BG=z&)FtU3t_02r>X?>}YEYX*vjeU9J^&$Wp~mnB3`={0v?iYpz>!fW2*!zO}g zrCvLl(JR9T55OEocxQU8A5oiz5$U>G*Cun{RLP|^y0%Ky4$>I}kIQ3>UNe|n2;||2 z;Iyd(qt}d*bO3$Cy*{K?T|RZ;HN&e$&AJS^OT2hNlAk;~N;Ey-QR2K$ZRL%oA~lo5 z(XojGYYMi5kcNguEex=0*m4(Rjx>)K_2Od!Feb;jM+JX%>H_yRNg&Lv^=u3GIbO|& zvIMBn$I92`_X_pouJTUZ-@X1xJTzxLTd^7n)RWE{^0hi$_<cX=nz|W zep2|3KrKte7=iV|0Vd}fgS)yL{_b1CcgZxj19v)AO4SGbdp=$>VDMo}zA~sHik4uDHL5ySY%*BW>$wLD;8prIwaiI27+C<5Ce}Mdk zjl(f_U83C&lJN$?9ORwkm`yUZOmf!Tpeh!9u2w?ocNq#4$D$3^gvtDARBh3P>M~sci$w$%Gw37}tcT|;yr~vx17gbV&emo_c zJC%FU-Ufbr5!HY|k%yOc&%>h#4DEb;e)a<@q8c+*Vr=gdtE5=9RmxniGpcQclr2|n*G^r( z>=v!o$MRcrNiF}+{N&-epK?^T-VEZp3hM)`tWZYt^tFkxj)F7vwarm6)TqoZ=yPi8 z1NBen!bt4~$yy6X~&Q+sgj=r|l*5I@BwOt2Toap~q`r6%A>bqy@ zYY*rh4oiVH#XdESG+muS7-<#X_Z@rp(WbyPnzBB?a)7ooqW^2j2hjhfZ{uIly;Xog z^leuDuGz1H=vL>eA`CL&0YA#Z#Z>eoioeFgNxD8}7x*9sJ;-qWDJWiMCoT!+56aUo zZ6our<>@%yn9iUfbBW=hK`u{6$mOvp0~EiQuUYZq>K5YC|DT`#U)K7o%)3J8!|Jkcpex*3f8_yv zS_q;3Y9!mg)?cmlS0&nRSlmM=U1%YUlB7SU?8o%ZCL} zo0cpXs4W*kfmH;~G7`n;NvaX}vrprtxwYWMt1qj6@_UN$rBPrA-jA zVy5viVx}Z>K^oyEc<37RScA`q?rkaY?1Bu{n;zZGO-HCcRCH;t7ZXg~?Feck z7kw0*^)1DVQxEa}((tl*o$gO2e=h;P#rJy>KyAP!81fj2h@viP1-0QxE8S*bINWU{ zLvdKW9#C6)VAqJSJA)GxPD`ASYmW#oyG5&#yBQ_-FMZfQ|3iU9OPzL8a=L~h80!=2`DmG%;ce=!8ju|unn4iJXi49W33@)7%0-Qo+(9oZ-Q}tvJMQ zhC?e^i>rJ4sC`AO7^;u-0s40+Y37i||uKAJ&>J;n@^B zftaaiu~fEzxboeynynF6dnG%$42BJMm}qzG_{s)Xd#%~}P)r1`HCvApOZ9`_{r#_e zY3+s&P}5Md2*b{!raM#?D}onwL^1SDFtoej_z0l;ra+fbkdzoZ)tIbN(-@D4WMG0v z;ME=@$B2CkbTQoU4vd}k)b558DupIi=it>+$iW6T(4_3JbruZmF>(kVI(DQW!Wn%r zLa0D$p*%)TNwmw5v)OM`;kTc5h>=5bi&#I$?6xf$X?f&(dr{;&>OL;3^L#)3UB*5( zrZemCx5%hA)xob8RXFjGs^QPBQa1SQ77Me#D4_<`NkKg#VCM*K<`Wp)*a2A?(%3~+ zkm##&heChV;ArV(6(^KBp}>{Gby9O%pt=BwX+Gim9s`rLp28-CtZuG&AQa+5WLCTpNZp_W30ajGm%n-ccQ1P{B0 zEg2Dh?stSMvE5zviTOsGAi5?|ouRV0wSLzw$jG+|9)S8nTAG9>E&5)UBU0s>Aeu#@ zNfZUaC#pKiM-)>(F^(Lek#UR^JPkNcqu<`|o1G%gj_hYzPAwl#8xdboO-uJ3GQ_1| z$+dFWlR*V>e2|3K*Qa18?RH>fRmtX1GJmGDw4FFil62yGYY6TqUU01--2l!Qng(Dn zFDX}N3No?26Q-w;dcU6to)S)_Ga;Igb_N`^VL*Y#8P{mI^ zPg3Z~uSUj2&}Fe7)LN}{{nbjnVfawH6swi0)dwwJO7FoMwSRJ>_RDV3s{L<9`+rpX zckg|slcea)sI~uNWLldfP>b4sl0dD{{!;{MQTum@2?)#$lxzv@e=)7(jtEhuWL*`slW+Gr50iJh=T z@pmOzT4yAXq2!L#aFt|ngSIVu!c($lxSO>-M8W5rzpRb-&XP^j>3=Z-2DE7MYaK?A zAB`x*Ero2s+-DOloibS>G$P`E9X3gUDrGQlHEJ?KEQ(;ph^-^4uyUnbBUj2DVhc_W z5LH;Fv{w3)=u!)p{cZJ;aT!EBrsCGVUB#QJE2`CFVP(N8std*2FcyNN+Teq z;dn>H#ZiNxW6qPWop>jinjl{bEfBktvvvj5t?2Iz%9k|{YeZe1g;PHha-oTSSHt8= z$EccHs{xRb5UIb)6=EauJ4_4t`=}bEjKnlnbK*%bC(;AEhAE!hFvYT4w3-t)V@|y5 z!@vGpzr>RidNT&_{5L|^lZL&{psfJ-2M?KRE5=;hoY>v&4$WTjBLMV6W0!)C3t(ly zL?0D~DfD7VHJ+j&#)|9$mn$UEmsC_gD&kn2o>eLjGT;Jmlt37W@ ze(luDkFI}Zb=se=JZMp|?&-ce=*aXiA+JIBZkn(%BX)Ey%RRl|?_0Iz%2L&mE{% zQf}Vr+&r3H1fGFdQ}^@$RVq5l1!LS9Z0xL@W450fmCDQoV`8A&?)Osvj+03h<1QEz z1IH6UaN}1qhBOZEthWIi4skUE|5N{&Wn)a9&4eGpfx-C5Fb)0-on>q>3*B$UOar*ze$Pp%$a zc8gX$dNX?TKmDA4_}A{a9!<_z9SDDnT}aT$EXB9c#X@vc3U%NZV<4Ojs5K&sMqOo` zHF~ZzdEJO4*KRZ>bIWE5gQ=W}IG?eNMv}%9$c+Zfraq#k4Q?1*E-B zI-3q&eb*<-cb&saugT}-AM|TaKBte)j9Ikm+~v3ElH~I%U;9_y{Ht@xXOwagH4R96 z4?34Z0aTs8&{PXa%LTnRfRcyu;fO+amcyoX6&d=Fn$A6d(lqLxTIT}GF8R{q9{BrG z(4)nq6>WQATI*17U7t+Uxv1aL9-1g%0~o9vG3s0lr1<=<>l0KhXwq0kc0}Y?4TG*7 zcIk4aH5P+6;I5G?Icy~onAWmszy@W*ULpc&O9z8EI>CALu^aRWuTH4!{B=S-oVe}% zNH>u(`Fv^=C^uF?7mcDETtIz9g1?2U6z|YspMY}ci<*G{K{DPVpbgP(j7jpbs=RoQ z&{~!K-kAEX$GjwJAXdq&4I@%1ejv-qju9I|!}y*8cVj~~*O3JI(ZcbvG8#-Mj2Vb? zozf4{h0KhZVL+iSe`8ax>GCyn?TS1#3~V1_Z**zL5$g41J=EKw3)nI6+^{QIQSUd? z1#B?R(XuUlZ#OmoIw^~9WKy>fwy_;8FeC_VbgHrn? zw7{2GLVx`yfA(kJ_U31vx%J$$u81v)Zz>eba|`R~!M-X6&TJR54r+OsCDfBqkLWs7 z4p!PbDq4>|%vwSd75Y)lPFCngEsG{(?C!;5ED3an_4GT0Mntk_Bi7QmDxwdQRsWSG zl+l9omJqFh1(pyjKbn=Wu8vJA1PHcBVF^u<4xlK@&;`&FldJ>L5<(b>#ge$5V>VN~ zMId8H9oPT@tV2$KZpYatm<*tpgXHxv8HgFO*k%&xg!W_aRx@Jw7mS4R=gEZ+RyGqp zB)Mp>YQ(CIRf7ouBdRbV2Axx37F^UhRY-Y)%>x_k6m6D0pPy)Raouee+B~sU08Ffl zY#!Pzh0WJ*nu429te!Bxto!_8B!yMEJi%rXbab|vaCJ!_0<7!{$6I%raut`BZ}4g} zUELM_S-j+{&Gf3<6|Y{s`ueL^_uh%iB8DUxcyGNMzkyx#9e~L2t8BhmW}MFV zX{G}Hl>h0S-}8-i#%6#uR1N{@2m?kSSM@ZmB2Pcq_gV4>!97~SRDwi4sOBZ1|BiiD zDcDrx^099`(0QQZK|G36?~9i8oZ3|~dHT^Yhl&@!Da$aKdZ_GWVvt9O(9Ayjf;qeuB!s%$BFb#j90i?uVNt&N;GVP$G<-G z^b+?8nVn(qgN;;UEC8k*xO{>lm*R||*LGFm0Ah0J%o=y76ft&)idcKRyCMg-5n7^$ z{l#bt6UutfoR#IlLcZx}4OMH{AIDn+U!d5VV*c84;kxg?()24Ge6|H=IN+Z$-Mpd_ zA5X8LXG&XTi2VNeLh-2NLU_3yN;ek~mqMHi{MYxB$i6 zvwD;&Cn?dqI1i98Q=p z^?eVBUP>SVFCXl>-K{&Cn=#LLX|^Ce)-$2#6*sXwDSz#_iDkEF#Z4@~MVDj?-v8hI zzkl^RYutosSMyUR9op#mPCB&F^PO~PJsLgZ&=#KWltUXm-vdw%s^MS?DAA4ksnPR= z{-YaDU%KQApo<(m-(*v;zz@WRh3{E;z9%sJNnQaVJOE6hmkP<~`A)jsh37l%cgMTa z16?2{O^+fD*gmD-UEH2Y4?W(WBl06SBb%j15k=f9uo`R^x)0&Ru!28?Wp z1&Z>+lS2tmd*%pP&$QnWX5Qe+!xcx%$F4`%8jsbjXOR^sWAa>gS9*{W0Px~b<^+hN zh(yiE30#Dus3Q}6bG?lKP!eK^KNz%O&d*$pmF1f7+KrX;;hC`~S7T-QExN>5`M*Bz zm;dJXy=e~G0IdNnx1d$PVvy$)($bY7;@wk7OV@??R!rgj+*si!^ughNrjxu6{FpZ^ z+HGl#;8|Z;6xw1yACF^fC>_OW6I_Dwfp|Z~vO`k3-<$%f4u;gO2rNs4BLtQixsIQI z1h$Jnu%Jy#`KY}R7%#ik2@8JEeuKz49}?Go1J-{;;tLeu9P2-h7a5NeGaj4f*7J+2EaYh{-Q#C+my9s#>kw4F~aUwNAwUvKjGE;YTxeTV83kDXz<)<8D=!2;7I zK=)t)RKRx$zyuY_D2w}lFdyJuUamsZ13Keetp4Bf@AI{*&}Fx1RiVpo(Ix)hSAFX5 z{rSJ^D%8Waf}u=J(@u=SDiD3T$PWhe4r$i$+C7hkB8!SPGm2hDp}<+=JC{J(ZPh9N+E1YJ8@EZC4VVv68p~ zHr?*FQffBZRL+Cd{PgWXY)56=@6iI!!>|IEk2iVxHqMom(=u6*acDDAG(Ib>f=>nQQf0NOsVj?k*2hTx|dH5 zM?5veP{XTFk01<)sO~2Xh)Ug`Hz34gP7~ZlNg!+GT47om7mN~A>lX<7 z0b4*_>V`l<5!k=!Qa9A($HW5CYlfSWD$nlvC>9atVGYgIi1GE-}h} z?H7Lj7k~Ok<{Z4DHw`eDu$*ApJCT4F2=-Yx{s~M&6htw%#y8R!DUD$xo=zvW+Cg?= z7LE@%o(Lk3p(d1%NTbX~1UoUB`*7-6IKIH<1dgA&5&MYL_%A91n- z&CSB`K@yxKaRJ0Av$zqik)8K{!;+`1gT6HY@?clx2I{ygH=>Zh}mkAXuiab&5%T0rXbqv z9^YF=@7%B>HG;77*`5>V1_q@r=SlygBtdWx59N1dI`M1A-*YRvWVy z_APme$#WGYmp_|V@!7mee42N!^0SGhnP>CLp3N(JHm`=y=2d<+vGfR^&6jGdiYMLb zkeP7#o~NWJ&F@@-S=;|niEmp!_JkayJ4O>ix&`mz2vzBe7fmnQ37|qOI zslgTVyD~19FT>cSXSU zHwI(E#*3%E$hb1wnwB}NDqF4p%I2vUoLk@$3#$uo<;U|8xOzIS>y!^4cQeZ>{lyJQ zj{Y5GvT#KY`*s>%Ti3t99DlUT6jeD5O~XsFmrO{;>@7{n=|Cf2aJLM^sR*llS+jOW z_EX++ySiAz)y#1>vUqgx2`?-RNg z?U?JBLI_hJx7Q&WCYA*pnGMFUt#Yj_QX3}*d+6C0EVwydo5P}r94<~fO5`XJ~vr)SsosI`` z4#)*oM;XIN=;yg5pSsiqCzfczQvcla`qRL8Y?N)Wx%JKA*D)>secoT@9C1bX-AtWR;$2s-fjoJW^~$7!P5y$25E;xBJHkb z;T2lRD%?miCo(vn{m1CE_a}6=ROP(i(Z!D5ec`k>9Xg>kMto_k?zcyCJQfI0 zkUAuH`^vpXKBl-qdtkwMoIMr>A%l2_0KV2fssv$|k{6mA*-am^cZv3&Aj6RDf$rL^ z1FwfPDIMLo0ySC^a8{ZLD7kA6%<|VoR!>eJN>gCO=e%Jkwpa$`!YNx&1?a1`@06h zpQqDZ!1>^07rI^6gEHo;8&>&(<{b7NX7oXUb8(hBJ-{;`{#7?GF95xv8r^_d8_iX$ zf$-(G=#oJAXMW9(|KQr4A!mxC4?5}o7Fp`GJA)U4xR*S=l%lv3zU|tb;W7>m!7c<1 zD*D#FTOqYl*w@;fK|AIdc>8I_fGrA6tlITvwCl%z?LYjbSLQHa2yg;c#Y`rs_{&&l z)%ysgUkUP!5N;6^EhD^r&!DM9cyr=9tGF29%_&E80#5w<9y5*+Ui2?y@1y-FVk<^? z(I{BCCHfJ>R@APCQ?GOt2=`fDG#_K3U8UUsW5!K0DBsjHv+j2rVQ-v-NRnJL291;F zn@$dr9a>jYa*yP2A$f^En_XMSbcr9E$f?Gn&J&m}@m*_x_E{yG=RPDT_ULTfcyaDBun9?mm;}9s_QLel8M0HgW z>ShwOpi&rA_c31L`wIdgtkSy;?yLaDFL!6t^SipUA&&W^fv#7D`>wlXHQXaislNZ8 z{K%*Mz+2|n4VE?=1hfbQ$)cHC=!;UJ2(Bw>9*1#{bR~=m7cF*EBfpU(ZB)3EN!mi; z?skB4QP-1Fx;n^a$sT~*VJfR|>p2C6xlCj{*&s0#7NEuRygf2U-bQUb> zKvxNm1YUwkWV21rzY7Kzk)-*|4YdHS{RI?0*dm}Hkm@|d^~Wd(0v>&nu<(>bmc2@e zE95PGD1gBLp8#_OV`K#ac{9njQ}%4EC(dxU))exVBmsE?ypRvY$=XN<$?)WEK(9@v z53#NWU;u@@r7=(7J_E>+Hq$P(rnWJcKR=`kkehmC&ks2R;*H~SlCbCJ>fxTBtNi?6 zDRKwY)5i4+pPvbyfI+tiv1}%i-UHJGXs(M)7xDDn^rGoUFc=MFi+ z$V#|FSrOwvLQ;^sbdux1gh?A9lm{xDR?lx$`h*;C0=+>nc^8+jGZ&M;zJtGvK=ZYga#{Fr53QYP8ZUH8MLpJGFI-EYP}RQebjy6b*muBRHb zxbCM@G|`~Nbw7{sadt_WBm@t-AIEu~5PVjnTcji~Fg~z4uXL$s?m89q@akNLO-g^c zN;ik14$=W-S$FbW`=nH{32EdVbohvd4{0eOIKMnCl|D-D`Hkuey3K0^#IjoU8$wwI zmYmUBwBkT+1_$!1pR{?~A9acmw( z9*<4kWK?32xh3dHd63cf?5MSaKB9aHOt5d1o%?v~l9%p+;sqqZuJ5$*8AeQe)-EVv zNbB^u2emR?YScFXIYQ^#1*y{08n$<-8gZm;DOI`&_Pd5T4MaOe+Lmf%SDu@E#&DC5 zJ6y_YZpppanCMpuJ_3=fFVG_On^mwDHHa^pSh*k6m98|gtUkQv4Z|#Tq${l(9qAPe za*bdR@beL=362ru^tQL|U=7fk9CJqi=z%I9(j&g=Z2?|>^L9NF5wEHeZpYO zSThPdteP#rv66QQ`BlG`MTq@~$bnq-zGtiQN;2Lb@C&CVAPrvR`i$ZYI_;VrEEil( zU_t6zE>Tr`iok75Tq=_wc%JGp<1IRY?n$qF12AhLxyW)6Qzb>;7o`GZ2Ou&cNJ8uq zB{@vJ8?1AKY?)37h{z}&L#9HPhiqMq!iQx4<9iY#k&b3-ZbWg6fNV9R#{NRx!Yz#) z3cz*Fpe+Qu>F@xM=UhhiD^9lW4}E_TJfg-!5Uz0v*$)5!K(dDU5yvl(wKbkX);)xP z3l_5iilfb00jvu9$E9RJ=?z%Tl_%F+S$2z7bLD2tm5=@E-}9%xW;TR?G7e~Xgb;RM zn-i^&jLa&8fPlLyn&ZLv>s+u1A%K7vBeM1y0KjU*7((a{ZE9T50pnT;#t_2s)G0Rt z5CMr**Qbslghb#EwTW7iI(kur5DuVS6#d$>gFzWLpz)k7;@0Wz`hqG15cu}n^ zBuN_g;S=#|{9uhAy!_&8cV8y^TIl5HZE++zJp&v2kmvVL-^WD}g^&Zj~)!Aa_TDr6H3gi43xnWs&#E~t=A zutOFhIs1D=9H@{@a8@UZ!c&}D)J$>ttWI~PSQKOK&Ug`U;-gEEurxA~qJ|3&0 z3&DX$*vRH@{!sT*vx;ullCTv~+Zjc7=np#$TrwnF=!S~K0F`MFL@e~!z{844 z72O2oK&j{^CU-GDR!pgnYVew-Jds-{w|AZwseKCJ zEPj)pqMR}Um>c4MRbAS@^PyPSjDzjQ7}!h^j`+cAmi4-`tWF;$@ZU@yrqp%0cBx!l z5Cf&Av$u7|-&&R8@-ObSE5-EHoVi7-Qe1wEE>Vi_`>cQZ%^%~HOKPFi)x>?@lFAE= z?fog0rMfp3zvAx$u3;t4uNba zWXAjZL{#q=vT%tfka!cstSu}%%%kpY{C6hkIm z4bFZtlaj!tMTrwVav!p^6prW+t4{v*P?t89mO{faWQN3dhZM31W5&CKkFNsjP>+Nn zuxj>rdq5kbv!lYi860Q4KL9T9vUB!*g>{$>$Wz0Da8&-(g~k?Qkc}y}ejgRTvYu$) zW4M98FR&OyEbV>(3qkb01*1XMQ}cjF6?i~xn8l1&=J$tVe%}>V0wVHiMBwrYPYP_X zKt_&@aTWWbDta|3o~^aCD|*P?KX$QzFZn%hvh5)F=` zA<;!?Q>sJGf^AP#1i@xIpzxs1GQnfhCfIljrle{_sw@)(K{qH%1iO7|OJa<7yqzY6 z34)dk9NMrH#yCOvr7=N(?Qjkh9H9i^C&~r4K;@kgq)B0dr+%jdWoR&jR6kX9+Jws^ z!3URY*?|Z((1;i)ULiW79oiAs_tg-Ld>6U6uc zeM%}Px7laaKUKV_^Q`+#>Bqk!F;?E}E_*KJ5^X+g`RY;ej3mDmWP!CL?U4F+70rNG&D~dS9dbi$nshiYDxjsFf>& z9gDQ7sVW5A^Wq~W8#S#dYBVu)*>C*onpbpcX6N$XeTnkJ>geb`uMObmsK|tf#-r+j zy7X1PoH@u2_gOca`;4pGz|vzMFtqjp4iin{F4wWkoUt_ozuXyHL-0?Pj{Wraf5adA zRS&_N|MZTxyGw??7V5k~$D%qqI&a&;UxsJ4+qdus_gE-BM+&;X%uX2{J#daFJeJwT zq@erDz{c%5wuJ@bFcaOu8>FE7%MjcG94>+g8%L*=g6=Q#WZE7cI7WoFtxv}NWt_~m zRb`B9Lh8bj%kri!ggHHXUmDiujD2d%Q=m zr$CU4;~b7TYu$)Bv7|Sd*&4D#3LD5Wg8bYjR>vqIsn{K|xrXw~j}|(Rl>x%QrnM<7 zH9_h7g|rT4q%b;~t(`S}%%!luASD$plTgVfEnOqDonMcbZU+fy8%aG;`gSO=@O-Aq z9SRmtY33CsMC88cIV!RDxW8D{+14Pb=qb(*m%RWT&X9_-scRGWN7GUqpJVwUP#nLk z2U60~5)xAzOY+F zcl~knsnHNaju=RoB7YK!b}hpWwQq!I!x#MVZ~LV`;n4=gkXq&-AxfF%W>g-2_YOTt zL@}mKP|MV{CWzoQ-e$=h><}d2=f(x8YO~;O#|9%zF|;Xu;ez}sn&5HIE~+xM^GiX~){Mm))XOJ1@UQmp}zS@`G>wP5*b$&Ztt`idNyznfky7PbjJnVlSdTooCM*c zC(2dS_)(Vv29yQqwb;pk!*mNZ4(*HxK0$a?xILJy+v~vRsZzsw~h1%6A#UP-L&BT|>~-07ES<`%8G{$_Oj-~Zp6KXA`= zy@o|B@1KGsw^Kt8rW*F_nN?&EV$>T;2r=S48SSU8x2Y!s^F_RSGA_?(Wgc=eh8}Yg4--Srnz+Qa<)4#A7OgnpTDxYH zB~g#(HL`3jbZnvVXM1@0Y&w$ZVgEg8WQ&6(jf{(CI)m2dI1` z!i!M1bYv@ci2gN5$>EO&{}>K^5$c{{F|T9$aNlPdj`0z3hZycR0th}o+;>E?!wJv$ z=gPR0BlmiF+`pFYW^45ODa6gE@6oDrgIV}O z{!}R+-8J<`-CaXMeJ20Qhs52zfxC))@UWS)ajjCj?H%6CIM{r#)BNDkH zr3%vmP^tpyCF?;MGtd)OX*gui^BqM>Da!e9%TletJP_7#2dP%zs4@n+TwR%3={HL) zvDWWOA#Z+C-_2yISML_tRM}=(Qml9yHGTZ^exo|#+I`UU%~^fW#}f4Ytl#igKION0 z@z;2Pbz&mBR{ph#l5KG>jE6Mxuhl$cRO6|<^Y&yVHr2QZPF7+IP}QbJJrOji@H!-( zmNW)5sW=@;Fqbu8DvoV7f6J#kQSwcRI3~92J=(^y|@1I0AjG0fg2{zkS5QPC${pYE`h#4jQvocET3;Xgcw| zMOea^OeEN00^5eu;E9j1ug1FrmRU9$pZtSA=XzhW(iY}V8EfFE`qZ;@%L3&IP#8LaX+8kJs~SBPL1Ac2&gvr= z2wOt5$`PseZR*qMcn|~OAVpQBAioz`P;C=L@6muoE1%LPc=OczCfI}OMht`l!sBWn zxS#{EfgXdF6g3IKWJ$On^}daG06bj+379&SQn{e${VciPfsaOzYt4{$)q&WU94xY8 zOTeBX_8n!`VN3@QKoXXdT%l1(>V2D?m0psL0OTMQgNHHW?di5Xtu0s`s7Nob(X53a z*1>~PshA%g4F$0flS@AE^Qp;0LX;epq|ftVY>j0p=c!qOUjesG*XJyWBPu(TFF zf2KS;?rM0=mwLHD;(Cr{C~7y0^0-gYg0HA84J3tyN_Hm(J)kjuFQ?n>sSu1(k)wR%{ade%{XRkEBPduN1H*>)L!YmNBGxu$k+${LE zt1~WnHut_4IO8E^ujzV=*^8@gG5a-0|I~o^mf3BqqrTj3yCg{er$77KzUjBPQJ{!Q z3(_ZiB^kfj+ykEIzDZ87!M!WqQV<%l#2Ot3q#gBYjo zKHG8~0$EHir0N4{6%t(W<5+L3mVh1#w&vZ*M=4?Pg zlylugHEZqA{l)AAvmI)!&UF-=%b(=z%W|WRzbuFBzpSIsPyb$xMh9%lvA<(R#Mp1# zHz4@TaIn8HAXWt5lYrn$kMpD5vI6ci)D1W_uetf<=)@N^|Bsu&4L@C3Ry93vIAwi}5fijk0y zF;ySJ4TOy(I#oB}WW-2Fg5WJh86y-rTGpW7ODU*Eg3Y~e(KUsVyQUeCqB4_jm%K*? zK~AUN9uv;cucQ|2*_d`d=*Tj-<`OxuF=6W*B#+U96Cm-wrxTi0=u~)As^fL`vSk8~ zU#U>h&Sy0Em}rrj&qreU0hzo`&C3*&@bToQuK39}RtkM2QLv);E=%ugK}Z=O2$1{- z?2Ve9OK-aSVfSSKUb$@k?F+D#Gv?rB_T^}@fn*iDi0cJXHu(tP5zD&5esUG`vRkxz+&ANKzx08({>5*2%QMe_+Cm&Y z3H{b#`NLGSJqCU!qwPi9w{1F2TJKP9F8asgzA@}O8AOj^`N<%949mkZVCnz?Zvg%4 zsf)1uzSmCUkV70n9O)DpU!?h{Meg2DE-DsO0b;?se3_aV6l6tkFp`SWvS&(D=TKUe3TpDS_-%5BGzn>W?{n_ku3^Ye3c zV+2MonW;2*fYxNi9>Bzhpc~i=zks7f*cG;*)~~zBHt5wF$qmt^mO)c5oq#}5Iw9*` z>(I0txG`bE-G=XR*q@*NP` zo^KADrXY8OK)Js-Y-wE^azfpe$({6+F&ah19oJ9!q(v`X3AwB z4gI*hT3|`ub?=o|q#bFF4tV~D86bNB^Lz)6P9%oUhx6`xSIf#cAQ;;06npVNg&fgGef8?q*I&K5_f9z*vKUBgM5!tXk;=P6=O$}(Wsk^9rfFm2`j{o zU{$GM<7XXXN7Hd0JTm$EJWQO2R>OUTMR-O=esoh;R;QvoZkSN?DkWt6S6PxxPegnN z1jJEiD(zy>c>_Y@8pDa#yijsdKp2!{T~Jszw3T|rI3cxI+`rUngZj)%8`|P{+n{98 zpXZ{6I~r8XI#(}GR+Lyp`<=_{BbNma_)UEry~tI+GUu9At!t2(UgWA^^S*Cf?!;xc zh)VBq+#*%BPj9>UXJ7w~|K)%7)*RpQT6r(Ohv|%>f8h1-0`~jV^Hc@5DFKt#@cP3& z7Qs`C_znFE7o-w3K~N_FdjKz>18z?-8ZJmBYJ%V;B9lRL8|fE-5y}y%M6=))7P*Yb zR81vFd)@?*LQ0MFP*7P<&2OR!cE{!@F(@R7QVt|-N}&MdU_+B;sFMN$Hczx6&jLrqU1!icC_Q*&s<1$0YHlDH~L` z1@Uw-`)t60iWPAu;mB^%btNbqrJGX)UE}?%uybV>_44>Kz+K z5f+>qaEWkiV<-y_TYxIktTRZ9Itr2Pe8h>ubG<>UUp9hb(r)<72*x1Yj16A^JT#Q- zz};Ov3gOA#fL~i_GLVc!V5^c0kM;)I9lT-kR-s6^mJHAKrU&-U!L;e#_aJP^(~r$h-7mDlS?&w`1ow_B{`iLbJ+`XRlhJX zI44iuRq?_|h%QE7$DaSI;)S`ovKIzR<1frel+NakFAOG6t9Aq`HTJ?_$l@*XgpAavK%_|Y` z!h09@=T|aLD2`#aMHw%OrY+-Phhl#|G;x1%1ATv>hY%9-_!Ht`g=s$%zrqEJ@h66q zx-eXe(<$P28dch&YX5~zyT5g(-EZ3Hiy++97V$d>6vr>?e*M~Z)5iE+yW6xy{0;); z{#+0HA2)4`-?h!AEzZB?=Pq17Sr7Z;c;o$V51Y1#-?h9zasR;u3y1UEv#opy5ug(e zHmu?CvwE&OSYKCRhPSwnhjNUx6Eo{P(^ zA-%2g|I>SANbjd#d;Sx?(~H1Vw_CPZ*FXlQ_-GUhwN{~?ZJ(d zF?&GPF?-1q7w->9Z0RjB`P#$Psb-Hi2&Ua$Q@?F9=&vf}()l=dd?t9|M|!&=7i4Db z4le4E&l_haO>LZg)?75VWe^{w4R(J74JW_D;FG^8u^ZF6{M}2@WG&Ik%W@lBEBPS} zafRTitS5-T(AP5Ku>R{N;Pg^55Y2h%0islj=f|*ESMeWAWV_~0& z`5){9S$F#a_9Up>+&&|rtm1`n3#Q02p35-qHpy8FDXk(P8Pi^mBQCdwP8Z*zHFSD2(73nW z{+ZAE7B^P3)`JR>-c+t)+NF-Bh>TLp;l)myAV_1y1QVw_R4ls6&<2TxUetzJ5V#_Q zPHC((lG}@dRE8!9Wkh5)6c-A;s0^bZzhEYaffE1h$ka4eOc3z#tyZn=06MQmq$V`M zzH88Og~zy4&Y3thjTIA2fIxVoJ3uC?%Ol2$N%lJ!Us9|G4EvyLP4Hi-#4KU8;ITHW z8z?Wi7%O?qY=WTnKOwMEi5bkAcy|z8B2o-m1w6Q_+S4F3fa2|eg{W-*s6IsfS~YvT zKd3y=8o*J>c!N@N9>gpFwjW%jc!z+gfz8L`-0#}*+!vW3rqpQy07oW&g7HyoPO)8) zfwjPGVmWA{_bM~!xH%x3Q@APztK>&Z*~-cwyld0i6lUj8`hFp;gINz79nIGKI5M7tR%DeVs9 zpk*cqs=7ZV40PI*3=|VYbr%I$g=Z?z1*rkEAfgJ$nv9q0@iS(E5g;|F>k}8tAXjK1 zBX$S8_Cr}?rqPrr_oz4&PBNf};Zvk#JzrLcm% zt+4!25?}Fp_IP^`OF<@$r#{w0C*B|Apm#VUjES+;l(E@ojR{fB3n5=3J0Hpr{Vghe zyg#07demWz6u!XiRqbYfS5BSh9}=c6p|xy7+@VF)J%E*vp4b@`#BjnI#<14u8H@aA zy5}ytMXQx?GgiXef8D?QCG*64dsHi_LvJnGQo)mjF|@`B@qg%O5o~twn8p3gQu0)@pt#9N5Ozn>mMHA1Dd5CQHo<-e20th^lp_wN7AM)JhN6ZBM4TSr zmU=`9VVCgG8pcSH=!Hi*Jw|Vi%|KGZ7&a!R zR97A~a+>uBV@ixENhU-(&Ide3DLA2<7@UwWhDqWIYSv?qt6JTiSjL6`4Ki4Ajaqcn zsU_q6f!&yB|60%_6_O8TC1fvuBJdz4LnvYs-Jb&wZYi9h?Ws)#4-NQI3_8@yE;YTB z0u8H?;K>QV+>7MS!_~4~laXM>o7s9>a~)4w8=YmhXf+aU#z^?3U%mb1FTFX##5MG0 zp#%%3C-ys}G8rjVO_0OsF`9k=mK4f`#Cy90qwr{Y45oV>({2mJRi-dR38k1n{8J)I zsaCgw{*DQU7)tNaITuM02%^y~&5kG-Bk9!S5=uaJL<+~EV9a%-HaZ-!8ze=^ z`yG1xV)mG_Dsmk;CqshbGTQ*dBi~X_G zhQ7kE_wcxxF_RxRQ4A&tSU$&O{seT!^%=!`)S;!LkUxMWaggVcpudfYxsitAn0obG6h!$|li1r&-1@Az5rTHB*@_Vh`1c8JCgGmS>TbQv#l_ z=^%e7p!XR^EL#W^wgVf15<8Gs2QFa6mHm|LC_L6Vl~Cnf2)&qwe$GjHV0Xrd$y4efn8m!;cDhYO|^>n z4x@-`-sbAAn2TD(mn+~|X^pzE{H#tE!mp%=QqnF(?jQ1`h~N5MzwI0Tk{7ls5OIKl z?O~TB&7Z;|jxgn0Z1}}D0t$8#vr1#jPG%CaN=0sh(}-23r%f{FLq^f1G`3uF3WrK# z%Otb8Gm06FcjsX+qoQ85B2O0gs*2}f8zMc7o>U57$GM6~(Msa+VMJkEmeuCcz#srL z2G#Nvq62m;;t+8MK0N^-Q6m}z0pfa>>4-vaE9%mVZe%?u+S*V7>CQy}5gczU`XNAM z+R%}lUyi%n48L)D-d)M9ag!%4ZnEqat#Ol^iJSbNKm5MGytX=2i~^Do5rVO{IwV-5 z1*st;EY||85WPN;HK99g|3#H^2M21(+BmOHt@vZ1v5jbdYwN=I^(C2VzSZH-;a^)F z!jQNG8Cuf>F~*r4esU?I)I~k~12|q&sWAcoFR&6PY(3suipyM<&R-E1%QeomcQIOa zi&mpx`7OGni_zErJOA|GyY2qH-V95oq+3HQHtPt8q9pzy>If|y$$|~4HbfAa4FxHr zO;EVv1QFi%qhNJ01rPG(Og zp;9m!1)!_bSov|^4FH_<(Cip-Rmf%YKq{1qN|aQJU1z`9G?@KWO;%Fai00ac>Pc5> zXO~plJtCcH0Z01_BqCnq$e#d6$gYSPOHoYpeQWO;AL~Nrh&Ng8fLr6vX5CIuoY&}V zixzTcS@Jqz|Jqp>&_LXO##zVlM#2g@>o~rN@{O{BQoCaN=`ggDbtaS~gF;ATB_)Zg zyH6?<{1CX7D^uOQ*YCah^hL$fw`V5Wv1-km(V9Q{e|*x1eWYtm#e-N>JfTBC`5-O* zpyNj1hmzYebX{gN7=a%O1R)6?+Y<`uB*VT(z@4fqO$PNEad1Z@wiPi4UW1f2&nUcz zQ#^D??<@Rpmw4rTM1de=v|cI@1QuZ$r`Vv@FoE?Fv73!Jn_+$^Wq4NOo!#(ARAF)( z@tM|-wEe}`dAo_|GkmPU(i#+leEFkdtJ?HHF%?l)fkTw?g(9p;U7>jkW`RMNTFfig zJP%3g$~wl#EC4-wQPf)tT>NmT__89TU6Jef#ChaqfOMf3cW@rVRuU-{t4n>!^cc^4 za#vq+`6u_bK+ z05c~>qS3XZD~@ZlCm7ra_JL}_kVZzGePMuzZ&~gT4GK~dMTHJX;$>;%hvgY~BH{bX z9JAF*SgwMu-AY(?i&iUP`7OG{O8BJa-go}nb4&mrV&4fWf3{Uh2WYm2ruT{EJ>A|a z;*3^$jOHp6fRX{aU=eXfgL#a|(DW{`2D)I3ID;_y2th=gQJ_|4PD>_$gvw`V`k3Hx zK4KAZ2Bb{N;Zckaz<(KA;nDN)(9iqgIlgZGSgZ_$~z8k3O?lLY-^lH8Iyzmkjzfg_OVa_ZP2aUh1- zi~9pA06C-MwntH79CJ3nAVKsQCIC~+_E+{voID`JS+Vn%i_j}5+z|` z#hnT>VENukf5>9H1l?D&CBh1l$r6d49|&Yu<=-`G5yBcP{h=BhJu-eRfO2)Q0O16l zCt;8#_K;Yd{LtrrM!&6Ljph37+QS;@Lq2nhRug3TExIJE@yy@;>!B_sev z`Jhx}SOc_#HFh9E0{T{<+tb(CHT@$|HjvU!EtdK6fr;C zg2I!L_!vDtOhw|0;4!*9A|%X6{0=lMF(j-?(560iZA!zpHH)nFOv-|A?i5XaWXs8N z#E2$8ZIK|Asaxyvlg5BXkFkAdbT;JSF}CRTQ;ry8``rQDJfeSv#J3bIWBZd?009Os z$^sBlY$x7LW&w)3GnoY_ZqNBFz+(L`3OIw~Wi1q!sd_HK@r8;O?Yygz^789s%-*%P zEa|)m!|Q}8N^djSUmZ3{U9pj6HB7ad3?WHIR-S=Il0U;Xme zRe?Nd73Rxs(W?G$M*aWpUvvNC`Z@I)jwQm zbcy9MEn9rHik|qr}T2Qd_Xo zA`5*{;TQttB`pou;x2*rDdfZP_Ix!xj*P3dmX@uhW$$rg=-sbgy}Ehj#neD$uo zTzUP~t9$R1wD3-s0sE6 zBSYgMr;4^dK%NzXbfHZ!RkcA7oqwTh3qk5o7d$H9ZcLCmGz*@Zqt>7`h&WegEjlzy zHquB4YPmU8ZJB3vsOU|lJd0W^E{jNN17n#|ZWp~JN=;qKtE@@o$4GM~KZH&)uJU{- zPFXLz)btYfTNP-5Z+{{cXnJz}ySvhyJ!wwpvRkw|p*Q1%{?PY)^>@G3v0&;v)iLPY zY3{h)54^1CgicbQ6i((Np(4^+|L>fiKtYt-zO0cAD#UEb^xRi)QaN5Is7f zrxtl<6(s3>=}|`~6yuQ;pCh6zZ6#Pbp_o(R->3^8wCpSKo0^w{y79zxT{#x0d^`6zQUj6FV zuD;}@FGENm1r$cR|6P)r{vZF?3*Ytqj?YRq8r)@mIdlzwn-hIjP$;3Vtj2xQroyiV zXu3bLSc-xjd{Sys7v#6ZMuaCy4Ta7HM|zs2p!?PM7ITq z!@o{IE*Esa8lS_a1$2xfZabvMEXDU>qtUDi?n2CNiCAt{b3Nh=;Gpp$VUY*(}H?z9z z7OhV0%{aAx?_2JC*l9K@d_+-7iVCM5*?QLuU7Xgyi?jo%3C<9Lxhb>ig08)GfB^3? zkO;P^RhA37_JUyBX;<{V@916?FYpd&{UWN-<@`k??UD z6-F_+oD39WRK>hJMO3&uvT++vd*7$dTXx#Bnp5=EvZ!!WbE45ikIhDeUDPUzD|Hyh zJ+D4xvWvk$7$*J-!ga41#bp&hn#1C5@dt5BHSNA~s<2e8z& zoZ3|=9YD6%q~NXtrYQP#$~$6HBlNAfs1EJ9VwQM&YgUbA*DD7+qgJtH)h;FOwo*n5 zRz(xtxC3||Ub{Mgs{{D5hnmJikb+QA!V2P4&V0nj_kAk*Fs84{nG=84%U}NDR|4#_ zYOkBoULXHE{-a+G4xrXg8Z5{PEz!aU?HLFQ+BeC=u|mtLymWim!wZ98yY1^5Pdb1$ z;tAPN2{xj^Fe0PWH9jJ>R~Bqq%|W96s;LWBsl7~)jhw{+JTR?UjmYq27Ci1imIYNj zRAEw3U;5j> z{pWt-t(l==1{j1`;Q-H40)qrONK0Sq$D2*Cl~M>0O?WH27k!5r~O z6cg zsq&OdbIcNV?*k(2Dq1JDVjFD40O_{`6~=E1qQhnj*k7MvdMVii=2F|6ZhvV`g(rK1 zyP+X^!qw|?Ds2j=cX-po;KUP)cL4R*gXb$e+nXK;co=h(M4ztWzG3$8aBqNlLur;6 zbNy}rW(!aE#$j&_^B(0>BRo^-5#FTm58i`43cqT}@N{p`8ok#yX1`0(8h;NIwq)n7 z`T7R$*6CEAJIJ>4d%c0x-su^g+64a(V}_@D)AhTpyjy@P4En4A<>X_Ynr)K+a$WKr z!kFRnvq>fId}EHNeXp)kyhpf2D0Sz%wQsBYzU!!*Ff8X(ODl z={IcwCxk$~LowlT(;mY4x6P(4N(o!OUvWZt-}3Rdr%f9huD6FxTbw@x%KgjpZ*jcw z{m6xoW~( zE%74)tD`2vRUZ!Yt&ZLAk7ZvY=U&b8>mWp;>G3K3X>NZ>L3SgE`La znj?R-i)kR=l46BCCDv+V*tZqd@I;Y=*w=QprFqQ*JzsUlk~^H5)ZJytJWwd%i$#2k z?+Sf_C3j_9E?<~STs`7a}m^q>hAAO_kgRUK&eDhqJdVxdu-Jc2Ptz-(;$n?%i~ zxF72SlP$lzwQJe|H1)O=`Rr`UvA@%XzHjBeQ}uxfLX)olj3Hkb$diVAaQ|iu`S9?< zKyLVy)9~yEv<$H>WOGEQSL#Gyb=S$tS_uMS$8YGA_j&Phv-dd)E8DT+=^nj23C8tf?-wT8y&rX z$_mB~h1m$JJzBx^N(w6&W_>wfX$8Z`hu07e7+y(X1#G@%MfntCP)r-Eh0P)rT(lNeiR z6Qj`a_Vg%a7Pq3`c5T8kLvjO>M;tvUu@eZN27mpJP zbGJe1Xvkx;}zX&T8dnq08(rv*Qa5?e%I?q%@@>|y0LnJ;ndt5az}TA)?u z)orp|*oHoaFX?E;?v*S*iyYwjqlU%9A%C^O!D{#whRf2Y`wyUk}OcHedRlM_=s9$j^s7pf7!XW^NJV zWsl<)g%F=ELg(afK=CjQ&7u(F)5W;&6M{zg#kYO-$NrR8bm`47nv!&DZZEb`@cI&d zH*cflGGown2qru#)@Y-2sMAtZ-Cn?Qg$9l)Fd7Q{NECum19nIQt1+{0(P5$#j5f-o zN{==Q$VnJJ5vMdECQPTMaFkuhY28mp*CbEi21W3OdfEb{Va#ZwoKOTVS7@{qRJ2h} z+pY4B_IobS@3t`?K=)HY+J8~72}eK$tjbqoJTahHDcijWP7-&@9-^63l;_4`JoZ+P zXnN3a#Jr<+t_%?e3A=^7SsfFC{fBzwxI^XQS1}QdA$f=aID(5xyB@kFHjX!jILL!i zgji;HOEh4a^M-nPEQkP2FE4o3^tigml(&PXhw%QmYWjPxUfmV-qN$~vz)ScxdswG4 zMlZfEfZB=_-x5&U_2Ui~HSeOTTs8gn){J={u>|u|8=hEwT{A|`?`kEAUxg# zX9B^dsED*FMI*A{4sbbf;L#!~@`TWKpu1~=2PVasg+RF&3d^W;d_;Z-vLNWV1S^MX z@C5#IL2CLeXzKO=Vv%}8YI+j{*>&=t`z>NDWi>c8eHMf{B0#2oM3A3q6GRq=)(9)I z%bK@A?RJz*G-GLy#n63GqC?=k=~~mh@vkV#m_Lyp)Iq;$Rom8$CR`_1OE$1h=w7z{ zUWuPwrTmKAk12>JlM_2VpJ&{g)mJV-GD|+s*RDaA-J(^4E-$XQM1%fYKhu5M&(3Pl zrR*n%6S_aB2HmFOF4GW|28D+V@GN5G`xYqL^BNTGr$D13L3K!0f>DE>PRA4~KadQw z95HH8WDrz?!W&KKc|XReLHiSs_!6dXfn=ygj2aX@G!A+)ZBsCo4K(N^my5*n)1k26 z#(C-$=^tQ{C+K%X)TzJssr!|mk1%Fs$*xJ($e#7^9Pi*ldKU}Kwn;8IH5c1W1ZMIn;=5sLN-6#n%Jr zSmEcw?~HyjAI9KVBMe+NqgV+&V>1l2=6Dd-IX$kc;t%y;Pnhex>=vzx|7H~b@B5K& z{R{uPD}DtRT97~{Od&Ofz=_r;_c8N+cjzpaCCU2u+ zY&N@scv`HQpcoNxEko2$ltpQbgk;MdaBnAl1G=>rDNllCxc(H$lfY@y7UznYxwY-A{)J5=XJ&Y9W4Zl_Cg0b908wF}iJAmuHsKbG<6fCs%1MyG5(g zycwnW+kg4b{=Hv6t2C#2D@Ub?V$}1!m7~&}?5!M?=5eaGa#Wf}V5Sr{jx-ARj3I;fT=;~NIe^E0Y zK*A!ZAn4l!y`=Y<++ zhZwaes;>a5rg9`nccJp$@%E@iPnzXqJeZ*9s2eLS+MQZbk-~V`6PR2{hC+JKMoqP1 zl*n6(Z%?;PEWH2oZ4+^v(a3?>KqH6o=x)di7p)u=+Ot-T7DNjQuXskYzO`s$Bx;d0W%{(JqkXR0I{bTdlk3?&xMuSqCCK8k^QBL(LNm3Y*SHl>LtumDbU0&84{C z5VeKdXSiKOL5Jk6gn&cHR@l!%$a#Qj2GX~v^oBs0?UlzW2X!AB)r@3ugmE+OTg1o( zmmCjR9v7cqV%mPf06`<&8cujm+c@qowsPLT4w>_f4KZp&yt^2qmKWMCHcG4VR+d8* zt4oWamg%e2F<ZI ztC5}(y`yGSya>I=fGi_pNH+6(dp7>~>RA!5o(U{!}tRNY05K6H$ZH^*v z@N!m?@ebi3Q`%5GZUcS*QL#4rsROcr*RyX92~Zf0Gv1>PI6^6vMpG^`RLTY#c#h=JPO>(I@MUz8Jm~pOpwQfQs`AAi{STkyvfw$hR z8CjBz=i%?N+3YG=L1{Vv-e(3w77i%@BP)!x=VgOcj_qMLK`aM=Y8u;%8)2h1J&usS!-IqJT|`wd4Nc zRpkAZ3N*|w%$dPd8j$*wrXH9*VVe2=;Jo3R>Tm!E6vr>?4rfrB+I%=#uI2@3!Yi6f z&9R27sptUMR@Ex@r8luwp0D+2$ru+R%bO3e(a1~WlDZhH$De}A^S}N*pZ0sdY%YG2 zh`14U*rElD=*eUvr+T!sc01KeGr7@;iEtKF2Zbx<8=2!(NBf9Hd;m=BqRfxz5L3rS z#IrM2#|%vXDSe4-(?)1^jHnDiy&AtJM{x91Cllj0UEgVQnj=fxD!~}P0mUCt>Kw68 z<$8WH!j5Becc83SEFt1I^@UXQR63om#g!4W3>-c6d5f`SV(;vND!r)~teu0rnsr%+t%Uq7qkB;)5mjo_4F_ zbN|fee9>CNcS30D1qQbG4YESEwT5r4;fr+LW3h=8qljrBWJRK@u4Y1T)g|o_wY=C3 zu2vC2y9ny9aQ(19ROKTzD^O}t#71CNT#`nTIG~3r^zxWlaEC_HTEqAH(@1}_*6=+} zxXAne;1Bm->Y&SXGoS()Nz^s`g%1qx6Mfggx~8st+LXc#S+GIDJ00)th$V48U68pQ z69ff6z0?Ljw5ds+3o_hbf*6mHc8wAO$w$S|%69+Bb@m%rOJ zsfn2jy5eUNx<#gj{&C-=-g7RBKvZj5+QRs|T$lc4eu9YHg1O}B0rBYV+?H_S=vzXikpE`{O4cr!B_s-n;cFdt;>yZ&U&056)!*(0O0@{_BtX8 zM)y<__S*6MHqe5k!GVtkh8aa5dfRk?QzSv8!8H?&*6vU(<%p85w-L7ppG%}0f%}xM z*G-sOvh_)@KlMmH2vT9UOIU#{D9QRHcx*@u$76&cQ6D2!uATJ(jiN-80)Sy;{%OQT zTF+FSsJS8LP<|fiI4O$jTp+sAe5pmxBj_;zT|&Zfe~kh6 zK-9L0Qa=kdUW_4p8l=g#+jmyD(#I&2qoIG4R;1WeAHeo(it_Wk7`0>bM!J zSU>c}ThTnDrw4;&$wUcoAkt|QOk$%?VX_+K0%LMFSyeyl1 z686tbL>_Yz_8$uwreXiF&SV1iKO1zQI=*{9b$`RcI4?amBqoF;U)4O8A%?s6ul52@ z!$RZec&w$sJ(mUr%em~Deo#u@bpYbnsv&t33- zCW*PV=n1$~fKjS3!vnraAXntrkIkUHl0f@yOrT})eM0!HKXza+sm2_+M+gqVv>Qmq zd(yNBT z+v{~zyk1xN^}^El>ow9z+vdkR!l}~g=<9`Hi#I79OfG@(!;~cshu3SO$97$gqU9yQ zD3_kd!6-)!2kW&^WrZiJx%E8kPTrSLKf(vB+#zB$8}xLJrNSsL;Zqg%=ff2D=emPB z*wZ=EX|iW^0Ud=vxj)y#{!%aF$B&lO7xkEy@*E$IU7%wewcWD~bU2+>B846oZQD&7 ztVvgJQXx?8&-F0B_S8K0S|#~rMp8D+-mVii|2ui{xe6g{?a zaSy0V$Q49)^l8nIAHDdtS`>4?>)tD`NU2ArYwr8MT5@VEt&vkYTh0@@IhXxL^M%Oc`_-mLv*fOf%jE&bE-iOS1wp*9dW)9b6-tx) zM^0Z?P#671zbovq{T(0tJ-7a(R}rZtPGhhNvw51GY1`3>Zr~`(2Lig$&dB$Vebg%^RMFRFnj&YBs&y`@|>FgVJ#Ss5a zx^@?4sC@d8|B_3gVYF36zA!GMr!(0+vnbh~?ui-i&U8=Acze(dJ9PvB&AC=4xfxoN zY?C1eMjMn{bF}nxHf_t2?a4ly@eVyqpUr5_(QcY(1R|SLmX=L6Wj&#i?xT*G>mZns zKRf*sDQ4vNPz^2XA?YjYj|${upG^BJ6)+{tR`QdvG>lC&wM ze@(Ch^hClXD6dX6!7fPi!~`ed$rb8&3ZG2##Kr`4npNPyCvVH9U0X)UA|xEJZF25F z62vzp(t9?lV}TOqh>PmomZ@mju|)qmW1A@8tiL5xB0q&tsYP-a$B}J8e^*hK9BQ#? z>%U|2W502~=|bTOgas>VrWZ+-b0xmH(0ntnDb3Nk=41^GG`mM@2BrdSTKDz`Dcnmd ziUcO+^Ak#SiRDqF&?L4LG`GCjfN5z86<7Cw=hzB`Q9T7behw}a;e&Ib18|q77r{T6 z#m6@KvnxsavR=&B&IPyZ7E$#*j$5SaT0#oCh%fO{<4VK zP-|`jFYB$@-Ja~>N!K+CPWA9~&5gL>R1Z(r+&cufCwh3g=7!)@4^P+JhzC#g@T9Mi zPiCrzr)zFpp0Lp zU#dgV-0EUG53KyyCbizWTCer4=x1!I!n)HGTHHHMoKU7jNBw-L~1=Nfz$$5>Ef zk^pE8z+OZW5&P-_6-k2Q>43s3O77t6)ROV;@bGl@-BI{wi)02r$99|`R6R(f0xYOa zjX)`q1gJM7P6vnpER^Yl2Z#ZPVc<1eW)H~E@ZYmRf!I5j-3J$oU@C;~cEgZ5Om+sL zsZD2pbz1#hmW=^53^rtk*U{{Ejuik>c#P?+!WNUiENrR^kXNpFQT>j%5bpS38SrHe zt9)g1B^QGE@~+#}#Tw!z9uk02gtz$OkU)AbW^@#z;g7@L|Q6FWDr~m>pNIH60f)lGP3MWCj@tR`)M73IXl$~yP$^z zz?-n`KvW9t(*cmI+Q$VwB!CaZksR(61bt{prJ?oAX*YP=9lEe_YWUJM*NBG%SRI;! z`6Sz%3g$QDNJrHFa7sL_evBRxz%h2njthkdX0AsJApuA#w<*a+pvkMb3ks2hh=3$2 z$m^6t8O*n!Nw?iM3QzUJ6qX3PLKEN6^ITb#&B4DmdxCV@rZfOCoHkYg=X8U_m$+}R z08I1SUnW(;BfZJSBm!s&M`BkOlHr-&oKWZi@i6K9)9sF@!MzPn z_2zKeC-NS6>VxOSBVF6gY1^b1WxwAJW)IKxrt2G;vUmZ|m#|C|;rqaRLps3?CRgAy zBw?wR@07$VOcD@jrk?N&g6g(DP61PANu=8F(V^sV@LUV-!6Z-GAOYWq76wB-d%QUZ zfhNzZcou=Jc6Z|rF75%QL-s#d^@+)Im{TvltriK(DP%1jfUHllpdo-Qgr;zvq1+_0 zw(u=gIq#C*Jut z|E}-u-8GMIJ}$~3{I)uJ-2Tdimwksob4Mz&qjP$uv{^)d46lm&ru7KaK* z-2qFJgfi3A0lYnAX_8Q;9pj--X~}L!h!WD0eli-$G|2`%5NHD(8XAJ-g;cz=N$wF% zRLM5cH-<5(J?wm7_0jLcnCNt%R-H*Mx0b8`Q;d%L2_y_RBjp>pGz|5bJ)=9B%|!nq z{ZhvkNBs*o`m3dMEaYsknd-l39=aCQ&SR_GJ0OixSP=o_6#Rgz5FX|YaAFAK;u-DH zhC{Q>c43qIkycT6+3~hQvgz17ynFz{TBxJ|_c2J>3#?jFVrkMt&0L+~}(C8p6JS=^(OMCT#d0uxZozWm|0 z@<@4_uI%Bz$`3!5W*+`4d-&-v=rE|2^k*>rWX|^DaR+r%J%R`Fn zwFL66WI5EcOPL>EK^^1389e7rJe?(wzkmO&Z(eH*q@@XSEFpf2jLBMK;DCZRY>mMP zpkS>rxD2_t))>5a^`3imUy%=aK!K%&%*(j70tzOGC6@5_Uea&=kG%EAny(DFM2Rfa z!Mx+HJ*G1L#z1m81QC2|INuXdzZlF*C_+U2+AWx>%BWU|e%zjn`i0;JxYQ=1ej)ZD z&DPIG{X*yi=>l|xmna!92=y_B$Ok}}0Q_m(r9sP)@??r&9{P-&4`CAU>>WqD2#z`YOdc1Y`)Q z6BwZZ)UuGAjnFU%k~pl&l1JGvQVSu!kDwn?cqV3ZBksXa4+ZAo9O6Oe4nawsH2lC& z3x5>aDp_Ef*F!O5OXIOg`0pt;$qt??e?d{jStqS!-NOlWsfWZ;EF^FTG0X>Qh-$&i zd}Brx9CLmvsPQq?IWD_JE2!~iK#kx2!LR#gf6JS+s6l8ph_0bL52Xx91u#!&Ik>b5 z4mWKO69lx1AS?*(p>jlfxFD5W7HoT_U?A9(4Z_IXL>*^>pb;QB5d^nnS>;#2N2Cp6 zf`@&_`V9zz1u`O=I3hKi38GWFR$IUzVDGk~D$%0{IBO(mBvI3&<`Akd2tJr96wNb4 z{!^F4|Du9YPcm6fsR>zbXB3kwt2ku6>{8Q9+%Kfy>?>-2f$U#9v=k|nnF2;JNQ2H} zHH;%;V1$CWz>zZrHbxXz224Z*hCSI1#8{QwS^yY%4$Eg}7#^o`P1J(A`2Vx_HZZfK zS$WuyAP~D?V=RmkJKCF=Aj73`cUN^)w=l^@vtY6r$?~0zLNL4I*`1kXvg|jP+3|Y$ zlNAZuGKq{021~|?77#?{Cy_%ymM}jCd9yJj;05ED*a0b#ZDL{U5KJHm&hwm~_dV}h z)m7E^e&4;{+^t!yzOLJ+-m0#u?&thG=Q(&z(|5dhn8x?D#5(uAmCgLW^z$G7o&~HK zG^{Bk?RM6UmSVXWXm|S)sIx>G47sd}?PVkf^($U4!uZqhw{^`{gV210c(c+uFQ&n+EwNd z%DeWzAr8Q{q^p2xRC9Dk&q?)K(%rnh1&z zZg>%67`GDX6aT#sf#+4bUO9IX>Hqeh`n#X@i*?@1g~K&fgpL{ziKRyu&2Z5BCh*!@ zMcxa!r5)z7XfyAOGUpLJw>0cDr&O$%^Ikl3OZ9Gc{dRC?%0uV87Y@B`Rh**lKzFGO zbKVPih8Egcvf{o>xz(&0s}HjG)uR#r)U)me{cMzg+{?~#Sp1jxe=)2|KhwFWv3DgU zRw!1)W2Cb{gP;HV`BhxaB3p2uko_{JA{Kep?AL9{ew|BL#9!F8#PgU+JofW(Ca2`L zXf5&FOo`{|@A`9Ze`}_h=x9cVIvdo8-!}FwA*t0N!c`uHy=746hTz{*iU|k?5o%3A zWkco*k|EkgBn8#&_m;BBhJ-pdL>dju4d&BMm{}nRmJJDYZg@n0InEI|0AzzSTaXP2 zb#B=A7_?`GmL|)F%oVsHl3tV-5CvUK`yyI89JkG@%kKnVWP=BwR2fO)QxJtj;su zp039vM7c&t>(n<~g$nHx;YO>v0H{c((sHRkAZV|xHba*{-bAlWhHHK8kS!oTQV+{O zonMKq_w&ofsCS@;460Y79)d3Beu1v$TltXVUP5kDTh*`FXjHWG4?2_NTaMPX(>q#~ z3&RtgH~EcCRWeh*LatuB(<}9>YIFC1Vp#TlnnA3UH?kbDu3aB{*)3X~isiTH67K5f z|MM`qM>&+NA6%pBMG>Wig-Uo3Q`TYvE3e-ZTUP@|!FY7P2=fHR)wgvJ=r#-%7 z;2NEZ)9$cUZVOhdy^q4F*fwmI$2o3Mt*Xv3Iu)R-uoakwD!zHnsQ~u{g%pK#E0pCA zQ#ci%mnt0=UG*j!@b?(b;v*wI2>sY$si}-w^y2EP@sxTOhrr8qdG^%jOS9n z&Ig-*#r=j+dBofOW=DZ^ss3R4Cwd=tg_?~JbAy_VPN)bw;76mttAUPng;(_e3+Q4O z)JLEY5G>2*$aV%el$s!e9gctL01KRN99Iew%0;r+LTS#Yqn9U9FR{6g^DB##X2~q@ zNRGJZF6j!P?|0?BE;|)FrDLe zOKJ!Lc#usr1)UEaium}6W6A&lJUN&(*?_;1X~iS-A;b{c5;fN#l<@Du4q|`&Fz8zt za81Y|_a#9|U_zaiIE&)?EP_zM$UOWSvJ(zq@{Vam+=mRK|GWPcU@YTT`KL2DI*)$7 zaQ%0B&-^a;1A=;yd?c^EBIs>EPNr?H5PFX{azE+ zkWv~Pnh5IWsM#&9cx(nzud#^RLEcG7cs;7Z3f}p{5J7!KQ?6?85A88_VzAmv1Wkq* zd@L=DfM#Qg<`pFfbxRw3!H?Yy)SxA^?UpvqiQ3kU2||T6nb~&BB(Q}+jE2g=lKzcb zf=!2hMBw+RB^{h(c{r(9T|ZLjj_ShD|7zie@UjoaKwjb#hy$Ps&+;;KI?DFK+;#@& zu~*_UoX>3Qfzh|9N3`}v*{DTpWp!Q7`y6Gf_pR#i<}Nscbi`d)$2(kOg8LqG);;`I z&Z9vhqT~=w1l;!gukOO_4Y-%xqSalv8F%5!fAib#eNuK8H2dN1g5^T+>-N|R;m~>4 zDMyqOnJ26q-X29*ohV&Z?%*YDB z1F7@nMIjtI_nt5xX4W-1>80o+8er%IFtt8dv#2OcmduNi!ugW#ks>2(n6%WMF z{D3-a7w{dK0GV;xk_(IU4t#Yz;xHSL!Cj8q4GY@YVjTHns$FI~hs?EP!h@ut^l*gC z_aN1c`Neo-vWBCyU6eb-TJS^9YDOu#38{AUZd^3M5gL-EtOJtcg@&StOvdvUrc176 zSCs?$_OZ0BZ+mGQR~M3sY1T8!0uSOB*cWp33vRDpu z|J*O|ae>_tLkO8h0Q1E2$71M%osuEm7lPagyf;fpCqqfZ>lQSnWsoGrgCMqpjC7p6 zD2aG8?3;!`KadoYmziIWhWy1yhvshu<5@tjBqpMvB;tKVVX?`I=xnQ2ltjE6LZe(j z{MazjE>#76%hCvb=mWwP>7E4`fPq#TN*ci}F;@m-tZKlzjNw6%zB;;;N!)S^27#=Jjy@{`*ax~0?Hy?SuS2{8ul#-3 zqI$++L2Jx})W0_DN#6Do82g*|pMJ%Cr;FX(1w;tT+a)B|32j4@Hpwq~w`Pv*#3 z$=yTYW4l-10sL(P$80D8f|JK{V2?R*f@9gR%m9!G4rl~Bz%(4QA(1{E+J~LMaFA1o z833$j4ff#c*ExkE1JIvNa0%D|a5`zVKOeeatwBkUvKGt$U=(!qX{rpsmV>QPoIhcF zUu_ww@F2~zi;b2X%1opWM~`<0E)MDS$QA6iaGxs6m;sng0KBUf8|Xtg#>`G+y+d%DSc%LF_j#L#;=7_)gV-3 z`ZHZhDI|iO#0S12v|=O>#uzHn;R0A_e}w*YuMn1Ln<05hCaI4+oY zlLE&j6K`r(nj`@HEU#f!8uIcCZUuISoV~G_S-|x=`Fe8fgO%j#Bg)bE=5&CbBK_$o zz1i&cA-#gOs@MSx2#VeU(Qt2;g31|L2PA+Bb zyO>-n;!5F!Wjh}q^?+R*;)L=6$m-z(i{w(=U!2JtmW#)jH|Z9pDE%I;7ODl8SK^nk z!dBwm@?Z0nxOc`i7|QU_Nx1ETjDP%}J^$bSVgOvpzz#F+Euaa3=8@lLMLkQ^Dsj*A z#NC!u8K&lUM;|(x42z6A^ogZ=?67UXFE116G2@PT>PDtVj+$ipm`E=&?od?`_8jC1 z1(~B^%($DV3R3gveSj zeD&^kKL4)wJeRWif^SQBk;Fp($vOj|-m|#I*2}%IOE~%;`&T~n?LVHOUK1S-^_KQv z0CHYbrbz0>j`BKD9CNyp%(o)tKBW~CQ|^;mF-6K

bR*CZ^m`)+SQ``SFp~^CIOw zNsx=AyKa&o$Jp90`LzB^k#c_s{TG590dPJF7prMOI6=~7U2_V$V^wlFj^*F=n3*G& zK|8inAkK}0#7x|T>jYZf<$?RMH0&C*M7ig}t8Sr7SN9~$K zG>4e1>Zu_Iza6N#6=>dV8|_&~rM+IVD4?T<4<|=Hz(648ZAz81Pe=6{b~&=xy~B8; zSQeiR$DepKuTgwO^Q5A~OnsrEBO?l8W_=`;o)h&#hfA4th`5Qfp7?Jie6MIeGjxX@ zh)mkSuZ5?3)3YinGfAf%TRCvPvntSBLQS9-@g&bh`p94WzrJsQ0*&RFk!1JmvDRk+ z(vs-4YHimxy`uRJO=6npO@@+&H$zC-Kuw(-E>xH6sV}kajnPE(52!!`CH88?Soem6 z8zkB2NPxsv%fJ+MZy>5v0Osr7SGHiWo%||Sv>592Xv!JP})PqTO;gjNCeG>;II?MK>jx2&}>KqO@?UKwiOW?SBEBo zx*;O=tsrO*2DUXHt9C)xI5!4M2J%S90NRQ|)uMRB;nk<3xI5uL}(+*SW z%j+ZYuv;Ry1;o-4u86|7y@e|&zm;xzJaz{GdUT;T^`#UkOt*wiJ^2V!pn-&3ar6ho zZ^7#`YB3R3`T8uN3b+SuxERVmx>`)Ns~#1MGkI~C=s5<(lhJbwiYKFI;ig#xdpd%#F6X#o zKEC9k7eVn6*#ZHnP0N4*Y_P4_7vzrFDp7a}m+m#BwQo&2LxFJ`VF#l2Cd$mT; zOmY-6H?j5p&GIT70VYK#QBFm^w+-qFTCNYr|!Jv z>D>BL!|kB~@k4+IspDGQyntKa65>9fC?r#AIEirE?N1OTqv3AflxXs{XA8JJZV?s= z?0{2Nz|PyAjc^OO7pkBmV|+MSzp*`82stC%9<{59hC{jZ2)9sK)nQ)n9W3DV6=xBT z_&_X@q4)Me3DEeL+y~_lWERu>tFvH~-_UigMv2qmltv{D*x&J%*-B~6s4u`R?gnk@It>Ob?yifI&oex{8>Ex=a-NtlUo{Rc ze}XtUUheCa&2D?{>f94_b^m&vpsSfD2*;_?7Wv_kS9-ozGm^INJo^Z(L)#v5Xxm;y zY;$8_gT}m~F>ufCXrK>pE`6NZgF$ZW=*h}}FsOHk%79I~!LNBZ;BLcja@e0=p?E-U zXM#f0ZSXA(`!}1W7y<-?a(`}z{c*mrld-|v_@a}tAqK?bb35;k3l=xg2-zH=UEV^< zx`RN_Y11GX?3)eV#l#@wY`1)OxQQdB0X~gfY2Pfy_e@PBr*D{kQj?)0y3^*BfH1U6 z4#JqzS-73Lfh5Y{80U6P%m?nuRyUBmLN6N+!Ce6OH_{u7G)mnm`ecGWc>s zXVRTY|C@7})CZziq>{(DEhx%c34uL22$TXmU00=>fMWnq%< zjQ(-u2ZA+<*5}}siQg04qRJ0azcIUIbPM4p1-Fh7T-YBwL_ccaR>F}gKL4CsiSvyD z84cXZf(F|#{Sc4O?cmmxUM3YKylth2;|3>@Ltju?eg`)3E_~43wnAMsq^e>6KSseE*BD-YKty=R%ls@cevEi4=`9(ez8-_r7fP-g6KksU=M* z(In+dxWnbrmLa3!`ILMP#hDI4JET zE+t2_#n9QW-f)QNi)f%AsTI1yT4~Fjmf|d%_%WPl)F=pJCyXAJN?SG*H`-N_ApwkV zmn*h|@kc~ZKQ!uREPr6dkr70azO@xkJI-gM;XsLDHaX(I{(=g7B~{MH%?3>JC*(vP zW7O;qSN-?Sz2l}2iE%uKMIyh-NX0kZ(i0R5vcvstI`bi!{DQKwI3f zcWm0d#7r^Xq5xq`<1^qd()cha;x*aM@frmYV;Y|#Ohp=hMi8;g;uo3sd!m(?gSjFT zKmHrXWU4>S`P*Ooa`i8KRS34bji1cx7+FkTB$ih-a(}-;$k@yAik| zVaN@k4G8Id21yVLjEw^{TeehNq~#GJiIpW;63G&oaHY*+E={#pUh3@IUV;@`9T1<-J>CaaFHX$7*g54tph>aKlr>1_;!Hx*lWx z%1=!!Phsz=N4;1IN1Sh88VZ)XC4`9BP{}3Xd(@W1a<{}WNvsvp>R>36#&CX`pvfZW zMjdP0KnAKhdb~T`;mE0dv_yw&Z5eM*ciNxC=Kz`08b9-W6lobh&%?e|Kc?F;_OFg! z+#pN^k~}=w8|8JM^&|wdwPbGONTWs~EiLa|+|(&JA6rV~A1?7J^tk3S+`s0wPEQJ0 zMLp)KW#n%t&>|o#@&mgnK`5Jt#q6I>J{<*5QPu!W$~esA(4&0@=

-%cnS9&Hc=nfitquPc%eyV)x+>^SCYoo1cFh} z{862zpPeWEEkU$vZ~3&Juhr>zEKbJ<|LY(7$T#H#g5Hcl9$SU^C67HAkQZSM9VK|* z^4PUlVMMha<-ow8!X8OPnKKCJ<@WZe>e-~9*hfsrUbsisWx)nZ?6>A4UfkqC<@~;8P zWg8g={ToG!bCB;RHTE}KO~0y=0{+A3e^-g(XRcM$kOLd`k*&2R_*ooCZ2c9Va}9Z| zGhfmp`Z1sIR+H ziO+6$fI1-}cmzpU|dyJn9K8wcc@1rvaSiwL;W59M2kEQg8w+5%^_bb ztPmlu`}>KT4^EqS4^|*}(8PhPaV(i^dRVJR=yAiw)l^YJmn(`D=7U(?U~GbLH9?S& z48JZDyfMR5x{UuLBb@n&OXB~% zj5rMW2jn9zVZ=+qAe@d|o|He}07QJZFdGctvfc6=fjzr30q9CHSgtvA?Ky&Fw`e69 zEWbsU_#mJB4Ild_0$W_GL^a8vJM|Qc5ZJbSx|NfF#`HnFG~U5maY}$S`)5OfTr%A6 zNLwIxz-6JbA%Cdc@PHDBPy#U9a6nri#JM3PoU}TCNeVM0gRKqj8{rPn2k{j4J*@PGHy{S@BDT2G^8Belc^qJgye}i^~zzp3fCQ zLkjnnW!abAq7^}J20{PH$G-YC|3;4DHFfJzd`{%HO4FMy?N5yij9GR>u^J(x9&D0O zb}(Qv5i}h-=IzUZu+sG0aB{X_%(7$ht%@q+*8~hedgl4iG0Q$VTQFwXkG+iDWtOqA zp}%>>BFhdbWw-(4NPr|?XZVXOd&gn{qhsh%O_%mzN7q5}@n}seKaZe{Un0}Tq-8r8 z*d!G0WC;apiK9D6D%G`(qO=bON2Qdo=Bcz?>JtbKYs{Pr_U-`4n@H1hTLHUt5Cp2r zUb2kR)hn@e=Rh__C9&i%v%?5=G4~O4HQ&m|9rqI=1rXHs7`P&koQ7=;#RJYFQoRIc z+3Ou6b;hQJV{+4xI(h-3pYf2AM(Wk1NE@4o`!Dws?7?6Cgxl*UEW1UkpKvpN!iPWj zyZ+=G7yAjI%nv{-R^yLHCWncGI~U8d+iTf=2cl!UXR(=v2Wz*^hNYj7rxp$jV>P}< zok2o!5Zwmj`wBl{chFAn4t4&zY#;rEBUem3bF~M_1?f9J^-<{4eVKbyJ)K@FB-u zH?;w&3*=;Fdpap!Md-+>$0k6s+8!y#PcjnObD<}4NsfQm6j)>kkgw>F{i14%aP;K6 zZ2D36$Pe^)m*?M?LC%_gUk*9fo_}9G+2(9W8!=qP#X-EfS@$V}pyK(^RjPD+|$O5bT078AWV&N5_Ns_uQ^dDNt+LXsG zvj@i>ltlF(Z2n=sK&4lp_4+gZL%chf$;_4rT9UL-9X;Njeuqg=YT4i#RF=j4LAN5# zlm5eY$WJV8&=w>@vD}_)c9egjMVV{{_aZOg0G26ASaUI3$#C(@^=12lJ{`SgKC1pvOf)cdZaA! z(T{Ndh46xuo{cICYlep%O6EwB>DPBFgrt~w)P4r-*1?tM%r#zlv?kYIAnjZ*OU!#i zCQrUV{UG83sUe>y=e^lijbrM{FQqm#*qSF4Bc*c4F)+3JuS$M?bTnn#n!~;xi1Ov5 zBSmUa7YN&Bn6m8ad*xr>ap80MI$Wi%FJ9T#_iEwS_iFg{y-HtSyoz7ntE;K6FOKbB zN*sLZ>x*TR_y4fNZ%?tmlwtGzJBUY+ z_QwT_9|w=u!Id))ckbb89b8wFA=yCy-9s{LC1c2?bJLBvDNkz3>mKC7`)0x6o)YF} zXqF=GWav$^S*2y%E@?Rpg`jX-M8AXnnfy=1JeCP_bJs+`){RvzJ&8l+yTYh#c=smn z3U^uM(ko5P-EaQ$@BP)>N#&fKw>`@r1Kq;k117~Y_(B^q8AvFL+FJ!n3WmEqvzRn2 zMM*d~m)}}n5v9R{gexE-A)8sQ$nSG9+#s%B#G7@1=pyC!Vg2o)eZY?tM%Bi6(ve@OH(K3^=Zr* zwzsgPL}_lB>c)tBtt~oO#+#EGsL}6ju~)FA z9IiR*k}(>g%a!}A)4z;lZPR;|fD2b}9ndNesy4;aoi5pUOqE;Q6vwYMe|rP@+siAr z_BdytSjAgzr+71-T(1T{~+su>SQzLNZXt4fzi!pDI>oL!!SMB77jMl-mPjagtaP<14h}lTWgUaxvo!#9)9d#^u^z)L>aexu_FsG2_I6!R2WR zL&H);`TJqGn0!6Vo3ebg~{w|vX@Km&(8T?Va?(OZI7y|f}@+W zjEa6NL$U!0B97zHgd`J(f3zXJsYY{6Gi78ECx zmYZaJJxCw2J>9GCaeIA_Ww&VcJ#NPL_>MpNJOB6hWZy#x0PcGj9ROmgZektOmt;8sId0OwPBsY3-hyu?zDKr{q6X+D zJ@OXfQ3Ol+9&Ty6B~LsL%4ihJ6Zh@__+N1!lF&)z2h_et$YYEvs&R+JmzZQrB(B7A zri42op0oGA;9jpz$YuH{O83e+4e`-Dr(sS=7DT-sufx8YtJiURy^dwKX!Sa7#_Ra4 z=Rf?(Kb154>H@mgVGbec`q!TEkfEDWT*rv(Dol?V4^xD15Rb6!xs2zFfO;zQju{VV zzFlMF?T{A#3Lsh1{r+gqzJk25O@YD37h<0_k&_392*a~tfK6X21lY)|6!~uadKVlp z$-I>ISTAEiJ;Vg%fr2zAS(dmzpOLVC-2n}yl!Aj;_dzL1IUgVA8#!>S%NHCx!LE3G zaZl6!;)A9wNpgkoTEcDU?P_?9EnLeKUc>l-a{0@GH8R5<$7HI4*=BUAA z7tqMK=vcExAVSGs+~9qK9Q_1 zCVf&}q#&eAKTh0Vk}zq1?L*I-{B|ZZz6W9fv`W_6`72k?2@aEb{)FJI{ld%y`zzkw z4Bmdq&wTI;KR@TM$0NxjB%XvJ4s{9>Y&I`xt)0IEKU0fq=hGE&c0Ad21Cm&u^v7-v@_PjPbjgg2El>)Vho|} zg20Q4_3-YvV{1RvK6aKp&xR7e`-My?eyWNN8 zJFVj^S-Pfax9@D;NccpO6;@#ZBtaUVG1emC5HHe$x=cWalK~p-aIoyE6Gzm3i>=tp7!YdvzX!bIK@hUd<1SKi#*J@2t1V+NkSMN`)`!_p<{FDC9|MW3`Cp#7D z;dxO&x4<_(?p3NBDf)uo??r)R*h0KU^5{+3C{4p01@EW;Wa37cdl`GlX_$jxmLQ=3 zM8JluctJI%VJ>&mkR#4$i01RibEjc0ccaJZgbA9u;BBVpqjkKYxj^vJ z-hv^W3ODRUpb{3HYi%bcVj#hbFHQWQW7L~WUq6tLX) zY|CeT1p@H3WlmDS68BL*`N-gu(8i8yL{&Lnqp`^;f#8oD*`aw}EJsGCh`40>>=?#| zqod5xpny}KXS_o_WZ-ZsX<2rE@D54j?rUOl8VfwU=@6`{*DUVQRz8ueX)Tt117Z zXTWDP)+Fj-WGG@3j0PD#R_+iP4ahRU^~gn-i-(cPK=H6YGCV~QN94NV@g;6ZRTF4* zp-d9?KeAUgd|(mr3j3?5SK5DA(}}JSDmV?kOb?he35qTSl_09VtfYtSoyRip+6JmgDQqb~a$`sW&~J9Bk9S@u++<#Zd(1 z7$I&634pF3SY9zU5lp5yV$x(X#SxPxlNpX8X)>AMh)o1gC7|dK+7dB+wAPm_nh4P0 z2n`{zY$5a>lM%ppsb5>#0);W;HNQsgU3eq?gx}1QCc2 zRh68Y$oO4&0OGB^~uS zmGxD0{px)$zxMJINY+^MAXhIuS0+2K^{Db7zyGg(?(@HpY5&Tc@jQq#^*G`SK{?=m zjvRS{6thIIPzk8{gajgA5u^_qB7p5MA4Fi#-a@ELKfw*tJQPeMVZx-)>51e>@E|BU zgcZ@nuMnJ9q@Um`B9p@u3~7fxvMn3ZPjJJ256J{I++kEZq1p{+LuUy_mkIh1b^QMs ziv*~Yy>Ml{`1#F@iXJ3a@A-QCE2pv`F0Piyn_MKoqL&5yFUkX}q)!o1&(I>Z+?E1l zaSsD6ah3FWQjyMnJ=Tc$u|&k5^cipd@c%lCbW7U+&;qEMXcA1G^9jCj1 zf$sq4e^;Ob4PXVj_r3^G@aJEB?nSum<2`FvFB0N~PA~G|=>aN|)Z_amDficNzuO9Q zk29qE=8wPs7d|nUwl%(H2{C3D4_lkUJv&G1g!VPf5u@N*B`jlZ5rV1|bG>Z3CN$m4 z6lhHSqCJfG1=1P|@s(jr{r0<73%nSbX{~lSnH*EU$ChnwT(RY-oAS^x_1mKoD^>OG zup0~vQU~7Cw8uu(;gL%pPRAKAEb4N*@npqoEWk@`i8ovGYm z(pY_Wq_k~2-tC$bhJQ*r86vsGM_(Gv3XWe=3$7i33XCHUPP~9R1uXwK6XMEA*?{eM zyy{p>fFG#?ab6^|p)u17{EjU%(fdXqV zY__1j5lOQl-Ga0tfEHmb3}+Z`m;8>-l1qj5p8= zHRI9vXwhI9ZxFmNOca$2doyI_#XEG`kp;?1q9@s$Y+y(2)g^SebZ__?zq$r4K!Q725OXymG z%|*Id0P#Q$zodU5x4kd)_ho)%xkEu;1KlhR)gxc-5+*%FXTgRK^fS=u3PBzilzcn! z_fvKq>^5iyg#A%-E^-(%^fw(NnwO(PpOf{tT2hcYAOzU#mecd?sh&Z?)md4NgxBt@ zEW1Ukv$FgaUE-|#zW4mz&-~J$+0!5r6Dc0zVJBPo9zse>=xZkwn=Q4jZz0)1ICR4f z!mS3JM;Y~oKHX#}WocXS2qKp{D?8*AfHKiglJ#!52k)Ms4Q{tF=kkhDmNvt~0ca?O z{Q)@&{`h1=DNCDSf-F7=ooS=B0YThwuCpzA*+UKf3 zzO0E0oIXg}Ae~5E8P^rT5R(_Ffi9m#^@pDkA*RAKSwjSXocLHf!sI$p8xRczbfYk^k#V3ARz@15|{!NE+h(S@QIL% zvVu;4J?;0FNU$}4QW0{~1Xzr|F=}}>jF5|w^O$SOIC-~6CcDJu2)PK7*>J^-Ln9Ma zB8W%Gg)AKt@}!J)oB>y$eS}=JP9{h?D&E}dMV_DEl&u#9&Hjsvq3bYEDsDZLUY8~6>+nS?FI{nVE6 z{-9vOLJ^&ay^ZF{H&TeeY0Yh?&J*$omOBcT@eZXd3TOD3M4yIB>V+)7MVAErf9Zqof9p4fz+a?e zy-MMny5)TIhSTwghz)v76}^%et#~22g&#hdU(O`_7UVkpa=ZL*Wa;7GC>l>M#Ap6x z-k*3OzTy-WUNFuKC#mq_g}5P@hLY|WQ}q=u#0)1n^Xi58NluaG)eG@8(UPwI3etx@Ey(Cuy%O63atWyZ ze?5|Qn)yGDuCT1J%u(6@B1Zt3`Xb9Ne1m|_1KIm(<_wbzMOqwiU7)jU=T5A;4!~Bd zqN`i--q9T({)PR4<`G?47Pv&37tX`3=xPV+{Sxm&sVyljua_sGGd5AAxV)>}p3@bb zb0XljjelQui&k{L8Fc>qAO5;m=hO1�Kc%=Ku{(Np&e4=8rh=i&SXN2S7VL< zL*1!fN6_sBQlepuL3@C%&8uF=62x;p?e~}|Vszup~$f0 z(((?m_1`ru{|rOeOCVc$rx|kj1$X#@cR>-{>$o<>j z_p^WgM{_`|v;+@`ogIY&ZiAXI0kSnU6?@An;cobPpzTeP>cEx-38vCC%5JJ zmg}GgUH(LBTV?V1NNouBFbF4eyb#PHxQx*=UB3{_B3ebX9CkqXU68^g`CIN#M8DFb z9rl-8b2#9DMJIhA2|HCZF7Hn$z9jku=MIB>!v2WH;i2{?@<*HPou_9=c@i$?U|xzd z0m_B4cR7^XQ@lpcw>NrTc8gXhzZoe1&p-8R{`jgndjxAwsC*I1p(ML%&VpKpEXV`{ zf7P75Z`J!N{X4I^FJ6n0`K)R`?#Rf$dat2u6!if9%cIep9oFu(Rv+MAO7ju!>23yh z1(LGx2f_~UFILUj4s6(ciynwobM{G9*jfFX$0HRNA1Z(BtHdG!~FlX4ek_dPeUb%YZwO6iQeiyJ+bQr0? zc&F5j8V6*NYE(d$9n-gbMz!(v0Onu&)_?S|Z_2%WD#YZGxhur2BXrjhy7nx9HKH}* zb%btG0I-OE9ii(S1E$u>qC>%vKnp{mGz5ZRLCUy>5xSRi6qZTQtzrLT4EvYeqLrht z{1#o(e|P`i`S;)W+j9^T?|$R^ui|C&80NEc6-4?{e@ zJRIrx?7b_R{lFf?`33f#^qOyXY$Iv+BHCA+e@Q0P%aeQGq%&_t#&uGfYdSLw5#dg`gqdc)6r`M12`si*F|3)5iWxg=+kVeCxDyw#J)s$kHJE3PF&sc!2Qlay=^M-KpA zS&BUwNd`?ONv zM^E$pq}X3RjMDd&j;?|V6gX;JkVhBcd4}h9b831vcj7$Jyf_dH2oLVYnpbFvqRvA; zJy-S9bCsSRygKvrT=~;;HT(2jrKbn4Zv5$a=h?@H!&8e6dhKZlUPP?`P_qcZ`bP_Y z*x{y|7HU!=9F@Xt18cExn`Aq?UC0+)XF_2QuPgRYaL#?v15yhEH$ofCZX*PWSkKIE z8w`r`m+gH1O}k;q5b~YS7isQ1(?C18Xxe~5xj(nV{@n)Orm%mrX^MnO!yozJcFK0x zALkqW?B=j(3b(hZ++Nwv`{RPe)4}7_9MQS7LpXMTk9yiPlz`XGw>;g-Z`K~ae&BlF z!&WlxbkmKo*>L6Df6|Ei><(=)|KWv~UwWy`<>wg?OxmQJeKLH>CN<63CFf^Y9MCd- z8tTO3NKs*MjB~rDnNHkQ{VgglrbAYh8xDWX@4b8#WEm$~Lnmg&@@ za>^Fx4k(fju=uVpPqXB%jN7HlFm`FVixq8nVYz`!$D+GJYa?LjuKZ+or=iHHqenX`7g^hs}ifJyY;nuuV+q#Q6Mc`K8XAYV^2KU*J zKTmFWWIBfV8_4t*;|{nYf7jjcbZSX-Xz&jlcgWw|ydr7qzzikht;Uec#vK`tXaDQ6$A5 zi0JV+ZjoYKq7BO3N|$-&76ru9mw1ZPzPE&O_}~At-LL$OTzgPfkJlcY)dq!rj*MAC zIV5B)p&WA1JCWu9sUK!9B9l-8Ri(h+?VBCv4Nzs_BpH@yC>a(rL=nR&hr@XTl40=` z+Z~cWTyek0go5(Wl3_7JkR~aoe7%%Ixmr-MWc_b)t%ML{Y zn{>GKzP)skg^q9E@6594Z6>0B?hA2OaJ3AW<)5r|FG4b33vMcCBgrmXk)cq<&GP zSC@&*$1~YSe~e~s(Hd9Z45#nS@B5jrd}d|;^GAm1XsKfvu^qF7=>~{c_{#n_0wb=` z%Kldu<$>7$9=(UCeJ$2sBm4g?PowtEasx~0$cbPJ*?o5#>P-}?nb8B!3gxB?X7t*Z zVFt69Hh&zs;5A*p+c@ER<`%7P#m%@CFMQ;`_{tv+A-<%l>vVm;!}mzi^+%s>Z@Duo zHG5wFfFMBXy@ws<%U7vzA(o|7I40(47QVw&zA|SX)Ag9Q%TSk^18rN*s(stDFk^~(3oWhi3J@*uK4t;{h7Pwj#y~SB3;~H#}~84ptzqtrbn6NLM3}yWyd0=;!bp z+qPt(0dxuEZrSa3=x{`x0I7$5U`YbMTlQ$ckSV=DbO;WWA4h=PEm51`%sm_(u8fux z>@7k*D=BUXZ%oA!drXYb3cXuCc>bR_dp}Inby$~wN4JG<^RMLI1(>Z-LtZzdeHb}U zFGhuMpR6656y+ZnuMdt^FLS1Gk^7-j(WCc~T0qTeTjvb$`y6P1lFrYRam^y7#>aBb z0HS#Lk|QmrQ9Q10hv$?Ga*)E=p3pr&*lthrOiZ|j?aQI$+J*g=-J%ukm*1jGI{e@K z=0Eo9znalrT`xy_kH#-x*~xVJd11ePPf4X-M_} z=KfWNF>F5}s@H=1jxbrS7{hi5<$?exE4D4k>5<@9gzbBL3K||84!e~Seh#r?*q(;} z%(Lva_@N|*pSDm7uGfrVJ9>`DG=ReU2@`{A%P8yzJJRw&-QG(#IcJvV^Nd-Qez)T% z!qFjqSTgr6^a}{1g2g$^m(7Y7ApeHgJoyCKF^IeXnq7quKVy0IN(_%d!1qwO0(wCE zM_u-eBcMwaZ&tp6$N2tN;0huHnJ|y6^R%b{RRE$s4zThf6#(+!3X1!s6L_W8o==$V zftT>=0N%w6CC)Uuq@7Qkf#53e3!8hu(B1ez=)WwGT+x3yv|Ky-FS|u6`Y*plm!SWD z_wW7GFNO)eTI6!{w~`kCwP6SkWQ7X6sutvV7wCtvmxQd4Kd1A zLwJO;CTpJ!iT>HJhnzfCM7ja!Uam;=cSB?$b_(hp_;i&ae@xsE?d7N^km+~oclC;q z3xLl+4I&zzj2f5Ek?8L$qNag`#IUisyxCA^KB!a?L!FeUSdIu8l;PqO&#@Aw~n>3d$v0k>v9 zoC>d7J0!~+5Vr}rTh@7Vu9w)H6S%v(-G0kFJPg4ij|p5F=JfjR?%{|qQ4Jfi$5W>@ z4Rd-OhelMaD{l8zC%3bLVNS1OMW`DInjPA`DGX)9oL+|^6kiZ_0!drMvelt;dL4#X zQbD{$!&qgQ)9d)u-cg5i{cuQLPOroA)HuPtHDZ50NvV_jB?mO0^yA>tmzR7Nas}bE zFnwPU4ZY*?j~RPqvx<5n9z%2{qg}?=!H8YO%W16{J=V&F7dI^>oClIOm(J;xw)GGr zLnEe;d_fRi=D)cef?9rY!=_}*b04`x;FklgJ-=&)d!4hp_MW{btK*0~4K+|c`3JuB z=e|7i-Sf{szn!{;zlRgSY`jdJ0T+gzxJHU}P^Qj+OKBx&n{Y)W&!w3=)`~OWqQ48h z(N-&l%1J;yvePr*;+LguAf|#~7-?Q)d^3d8Fas_-##*Z4%7+;oIs+~Yr;5)RaB*m_ zXLoxho6AEN_3Y^?b*yKDm!^OV-kxO(>~7%Wl=Wqc*X_%8B zrf;pb@0W5hrbDS%Q5`SJ7WoL~c(Y2$6QLnXtciuJWo-W(U>)ySQJk+rdLEk#67=qS z@CjzJigY7DBAoV~$8W}E(Bc5{9HmCN8 z(hr@#9o*FFVU_~3uX#E&U}a*>zS|44Bl_l|@9&n!-3iGcKKFZM+UhmK^NjQC`3=GI zj7(C@ABjU59_S4|@j+5?u%xJa$p%A=nXthR@CsA?hgaU zSMn16iJ+YUnQyVA_F{GPc#jSzo}O50YfZjN%OCwKfAp`W9{sD)M}H{9EKlOqRebcX z(xZ=8@zGzb$gGP_Jo;E^!J|K+&J1=0*c8Fl6Fx)mZ3nI*9I~j;NME&ZhHE)u+0MBo zstFgqCFFSvb!Qk9&a`ZY{h=mIZWc5DqD~CO-a_%Q;e!%dx(M(-xnO@>LJ@8%?b6hi(;w*xq?8I#bJT5wYcQ+@b)74u%;~i-&3GrvyCIm#D#{ z2l1BZH^2AkXTN3bEJ^(p%oTV)&DvSAc9y)$1SyVFoh9ZUAlP)j0C}vnv!n=xC07ta z;VO5qc9tleGCe{w(4sLfYx?-#>v?*^+F3$T?s8xhhe@SjPQ_bG9JEV1OFsS$KlO|JMW9rCaX4?!a*m=3n2dSP#}O+MiBm$``Xg3AAH7A4habl+(ir~9 z9mn(!|MKU4))%}nQCU=G-TPL2@&JUrsV9VDz2uh#r_?ZC{QYkANqs}xMZ{sAjfL0JR1t2+lq%>%aT6M@!%QlY$$;4 zhd#2El!iO-ZK`t=KsQ4WRJbAwd^?UTE+#VwpeI98floJUyPci^juj<3Z?aK7* zCielR`VU~8L?_ooTpqn(8x&8DV36SUw4gCnx2K$ZjUFI8zOaPPH?R!P?*;@Th>XZ% z=?%K!PKE~-6CRLJz^5oa{~Q@b zMuUgrgN#y;dB83yjxXE!_|@cbJYL|kfJ+CiB*$%oT7v4XZ<6_fn+1m2mhTQX1J&am zXenWHWq#!DBb^?dQZ3JaAii}z3zH^pCn81c=~`!VOG5Gqna%yL{rYcy`Wr)rOuUr` zcBl^xo3QCTq$cYO-@zZ5_PixSX3i>Hc{GX>=DVHsrR5b1hx)i<4>}C-U+pIcXXLIq zwQz+FB(j&$LGVS;jkiUrjVmVE7eDl34Jvm)3MdsfHSW&`B|{NBPUxWJ!^8i*Xc9NM8|4`Jx3^;mppA{G`U!sBALB4 zpJo#LOrj^FsAo+{oVz{tej#}x5U6Q@t5(2yqIy$dXuWvcu1wEva-Wb?8i88D)_`3C zr4c);xN`uO_|15fU^&nfm4@SR!qRILz*@F5Is)O~9E1;yJV4=G2tLOBiI;hQNv(y= zB3Pte8`2(^7i#SJToLs4BIvSPv?A!uAn5mg{fEEkpJoJA-AHF%Bq>T%>^0Yn85vrC zae+xiP&YgtF}Q;bkZ1s&)bzAGHw~c{A_$sSB!c>i7)n7*rb_71R5G%mgbOBuiDHW8 z*ikGp;f-ua1oagG$-N*b3=hgw$cB=OvlX!(GuJpYg4_BWiJ-nB=7pT>Q=eq~SX43< z1WlG0zoiu~a4^)v$j6rGD_L$Ks3tDPi1MiZR=WCUhmwU_VrW-&DTR6AYa;500gxVw zqHU=Y3Z$ollPtGeDtglrzv#u9vmtef^s=RG%fAzPQyT$C1Vee~96ICBU~x)izsK;87`4+dht5Dj zXt4=O_gJ|WXUL&5J~gz}^w3aVs0~Btj32b!!G>&|D2-BH9|3;5T<~-7!SzZugU>C% zpDeQ;+lfSy;!;Na3?|0uOu&NVpH zN=c9&nka+wEbdUdhmVKzgx6N$ecr zuUv%7xClzs@B+eHo)#GmRIdD7 zAN2h{@O^*evlb^ny6xV`cILgBALM~EejHVFt%Dy_Cl_Lbjp1LMM2@dC7^~_qKEQ!2K7qoC*;yEtGSV2nFJsYZ_*YN7w{F~8p=_^Iix|t)cDJL^3ZkCUst2{^% z9^d4swggWNRqBvv7bh?7mPmf%gP<##3p$kmc1jRk5&DG(I*;aaesMQ z^8P5JM|mMuDhfuQl+EM*k{nC>v$7Cb1aRaonpUQHv9z3C9!Jr8*hHNnl;PQxgn@Yq zs({V(Zg*#C-QB(pD`?(c&|G$lR?xf|(EPkVefaPjbMioM#@VXDqX$ihD-wp8x^D(K z{s@|(yg(7uh+LXHu;&bHw8IVw4uU4ff0u?4G*Pb5@!&8V9J;6)h(wZj0Gy6qu2@zL zKpw!c;Q}06HX0NzKvgvW4Nri0yC2RBlV3)!8XOT0t0m^J)oU`fH6kJ-8CXU8X8uP!jI~3D8P0ZLHm@1S$ zBJxE%3$Sld3#iU9hSG@0PiTYVIi5`QAg>s`0_Z2I(AQ`+%HMQYdm3bI`Wy0foruUTq4kC%~jY&l=)Y-C;Mr5#Ig z52M$#!6-bIT;MgKA)$WIqSv%RZHoyJS|a07-EIjt?Otv0s5RnO!UqIjGkQ2UdhnVy zD2M61JLFDK$EqyDq|KdB1_xM#-QkA;ze%crRvERr_-P$JPohjBd1ov{wbPXL3=56?6Xm43r$qm_>p&|-Udr%)C z8}cX54b35?e#8!*O1UC^2{%O58NDz(G+rqwBd>zCr5Ce=+^<+N}B5nl%fgTe~w~*Q%b28fe!l(alteKJ{Pu;-7u%T$RWIP&lG< z)C#Z|fiH}Hdz-D6Qz#*zzI(*ncqOfZIZ`C$?~LRbK2dRh z4vmf!1FZzbxA@s-9R=|QQopHeCHAJnwtEcRme(VxFi(W>{X#IRLU(!J3rVsu$c4!v z4yF()8(k$ADr@RWE)c3q`BrsM^C8@4zyr-l5Pg;McMBh)rhZ7mBE*|Qstxg`W-xMq z3a-aRU7Sh@&HE#ye6$G;;iiP+L%pi#q8-dmleAe*P{_AAZ%R7DL*RQ%*ZAx!k$lkX z1}{1;Hk7K;yNtJs&81F-eHC&9Jt|wt0QPanR%*(mpY(%$Xcz7bymjUG@mBy!kW7FZ|49dfO7DrSe|ZaGgBs5LhN3$-c| zD_{tpq3-nHkBuMNL``w%EyS~{bL7uZGR%D*MC0SujRZ?N6>f>N2pU_k zCM>PI=9aS#Kmzrh_ii}s$G-~0_Y1)Zfn0SRoJAu4G$j=-1`hutDDB78<5jRPJJT`H zRnCBYUX_c5)Pcc1E^&hWnlUPFK!klhhynKNLIx?KMP7Q2;wfrJ8FmSjmxw<+wA&z* z)_i=@K8v~lR4j`REZe!RA`IHFDVN7#AJR_rN>if-w!o)#_K0YRcSvrnBf*{%U&T2j zPXN-}kIjnofd_nEj&g}JcF9nXd;j8-zjq~>re2SjK3GYnnrK)_rga-j5TzRN3s!B4 z%lHyYv?*4Sskxm+recl%A5)5kc?ZeMFjr%9U{Yi-bBnlx4bIk=@Zr+Fw`5T0Z~WuU z&wR}%=E)*5WXj`zpD~3Plz}6tHVk?sWVW}wu&%SIkhZ4V4ns`O^6GXPTP1~cGeo1v zPFFm-Kx1A}3hQQgfKHVp>@iae;~~nyUW_aJ9HH4@#s4iQIh2M{Soam7DT^EhYWirz zAerynsIU{{olsauJp=tB{M6BqMFl@JBqY(r3PW_ES}7%e82atW@&us;BvKGdp{B37 zhhQm+w|17ee5${tdXmgi1WU&M>FB4P15m(w+hd{|PP4FN{GTnUXoaI6PnfY$SkA`( zjHSUg)i@q6!T^a#hBdRQp~U_bQVWc=bL6f6Uep2XpNx3G@g@GjCS`$#P z43G5&I&J7YqGgM3LUGS<^zd9`(u(P;K~LJmWx|?tcWrZnUL{nt_{1FdCYu&4!;`%^ z^yKC8c@;s`^4Su8o39BMnk*EoiCIAPQz%-M$+9I;otBVfQz~yhx@bDMB`TDZ%K;-3 zy3IcwmQ#vkB&V?!pJA;sM%gw#(RVYaQ;N$=kT*|!DjRo|8gg71JY zE5l7fA`L~JBCiC_B&L;wL=*_8MIvm#Xf0JxNk}aSqTq1i!ON2CFenem?VP!4Fl{9E zijz@W5QJe+?$7P8zf5b%=TE|IQ9qMuCn0kv+j)N(4wEZlG14+{U7;e%Qi9i^X`*S;=aNBL#ND#)%lD7E#Fevxu zcGzF0wdC_}51Y2=wQuAi#~$q0eb8VFGv~gq$Cy% zgExQ`caS@i$OdCkD!(B(Y>0e%j)!BcbTo~6cN???-nMXP^se#HV^KqJ*Y3iv<8+zv zpdol`Sg57PLPNIjmA*|8hrm5$brF^*L)QM1)FJ&W&HsdD1C`r)%_0u*5zHs3`485N zcL(~u76t8GInXcBtY_t}lRGMbu^it@Z@k zuBixVk8wthB106>Wu+TAk0@c~2$4t{lCGC-wColUqaVjD3ci89j48w7VH&yz+~KyT z8{hItf8eM7REBw_=Q+$9S?}&~ikd6|nyIc#(tafpN%AZcv|kC?%n+Fy_O!zv7}182 z*u+covZtiB|PyJ4@VGv5MF}&JLu#m%%>Gkdk}raaBGPPdy5Gv zrW@UInr|$jvLF0B-*^!uGiP8q!5W4W6jyZMlyL(qh`SFG8&SG78$V)JkOS~Y^-qN6 za%`#q9dZkj8w|1OfIWAZ4>5WU_Tu!=4fj(b>E5zaRT^CTk|$plH>h4Yu~ z9E@v2eOIsu7SU)m0-PPb$h4fSd=`3>D=f zAWtgFML?b;`$RwnL-D*$Tm)p$X<1Vsa*xQwML_N*W#S?rPs_wH?skxW7@0W7YJu;) zGJACloX>*iBzSqZDpL5K8JK5h%4E|S4A+ zWqvmkGYqM2$={^fGp;W9y(!fVQB(GuJMU~wbw4o>YrjS_)rhtBd^1uP|I1hZ?l1g` zte>U&i31JEU8_9y!@hA2m8zb3tBY>kh1TLhnPUyUt4fSYhJ@Ip&$vYyR^Y3p^rNt{ zk|8114bceGC?NJbs}$Q?IJ8jA?9kwO=@55pdzVdGkr3-Ep3vbUDjNs-0o9=;lC~Am zJD_-oZD;SN6@_AEhSn29K}^ussucxd)3G_!69P#{dkgIsyq<3u`Y=5Ladcu7D$(p%Es}FKBKFEi^?$7~K`^X?hM=VPJ0>^KAr{b8 z+HKRZdp2aA@fTQbw;eH$4mx9EdEltK^5Yaf2z86#_YvD$$bLr42SaQD$no(WW5Z-B z1x-QHLFORm5ag8@75#kf68K*^36$l1yILTuGAz1tpS9Fpl=>e*sa^90BAY2+V064d zs}#gJDa5C2LbyDL zt;tv0Tb4^mhTRq-&w?pOgXe5W9CyRiy$)Fn3s$ose+Jy}a5z~mVcXbjt87RdcS9&r z^axb}rkH{SI0KkwLq@7@h(<7|OVaSfEPH849M6U@J!eQ9Plo706Tm? zAz8MFllA&E*52=yOEK4hZS1dt-+j&K*B~g^nHcJ_r3|^Nc0fqeC9Y=ZZDXe}hPPw? zHjlMys{R^N_4mM82g&a8K-eD7nJ8-wgqQz1U%T$~vRkwQ=<-{1i5lhK{cYd%AAh(8 zP;Ug&TLYwMFnoeJWQau(oX+Y_WAXvVeA2MT^vBXL1E@%eAiRSiu$d@Ute625f#Iob z0ZtUCKG+yZJ1a}Z`#By_x5J@Xz{8BnYQ+qoIJCs*vZ8lA=M^)6qEHB_YdthZZfaDY z4Ksk^@*rTAptbLhrZGg5T6BM~5VDI4%l}De2Zvvj+vqG>9U=8FpdDF=0^4b#I@_JN5r)V@A1KH}| zxRR^p=+P{_t%r*ny=_!0cVWS;DQ{cB6a8~v$0dQ1^~Fo zZSVJ-{e*-p631l;wajgwkc!r9FhuthhVqIrw~gF40F80`$S}uPy+t#Qu88SW6z3~4 z(M4_>)2l6bZcuG54U62i=Al_*#$*hE-+Ycmef|n5qtweq{(sN;bnt$DER( zwirQA{hkp%pmy60cv=xbC!zMXAgCZ`;DI~9)qe#h6X{B}_5tl43`OgMaC;gz^SiVS z2x<&)MElNB1|aOBkSHj0;4BBakp%pqc^G`SLM#MH26P|C9q4hDJH!&|pxYWjaKUf7 z>27PBZ&ZH02?Px#T=9HU30IQNUcy~#woeTIdqvmB*<$skFMQ)~e=YF8rKi|a&er_~ z2@fWaksuzMU?AU86X>7RB9-{m3_0XjsYP3aivZ1Y5~CPld{8Xh?`48vG?e(%R-9Bf zmH5;QC)G^_UDJva>ZXFOW;m&Cs_|($_Jq7?Au&3sZ>rp@wC0q+X}mkr0;lEe5rO?P z0;fW)DL*@450!Frlk_cQB$WScmJo#Doy3}8w3kAx4;h;~$cv|eOt=0uiI(frI?bMe z_##IuYzOIhKau!1?=}5u-rK08R#ZAAIuCp%gY$rfD#W)z8wJ`b7iptFUJOFKN#~r} zD7brBCw^w&A;uu@3u!bUc;W5L%t@v&exY*@5?3J&CqnS?1M_Phq}BLk4x4g$T$=^` zX7XKkUk+OkqH*_vgO%eZ9i0cFe(STp2KTo(xLd6{9f6J2LR1LkXcuy74i;8!LVt`Nr(A|apuBtX3E8cdRoV46SKE1M}`{Cz_ zPBwINAvr&483_rAsE0&|CH(WnMCCWHd1_=DjvO5twejeeI2GbC>{BxToX(p&7!lva z7uYUIDu|`i;AEp@1 z!1X9}=itg*N6KQF^EiZLGMEE3HvK=6g&5pxSM96lZnL@wd152hpX zhs6^m7-Y!FDNK~i4MaJ0@GBM!L_r}Nh6@Iw?2erFh5&73iek)GAf7u(>IDomulVPP zT{^`qV#n`4Oa2^<=+JH-l(D^ZikT&dgP178gAaz6z>@BQU!fxg$SDzib}(aq>cHTy)1oB)S&A}Xdh=Ays9;eXA*2z0uI!oR&xQy zx@^ILjhZY7qZ@6f=nvrC!Xxm*#=m|F!9C!j*z^V62e9oKpP=>y+(+OY4o~*X$i%y^79okaU0WiAnqr&Mz##8xJ|b^kt%}k71|;O&q8>*H|Drv&9Om> z;pyJ=Z3BKNpRX~?@N{n=Z9sYsM=mzq%y33dcoj=T7{!zLwr9nqvG0M8#l$xT-?D*a zc)B-W@L+%{a2mo7#!|~k7~$m)k7PRpyEx*$+(*y+SqeQV>n?9Z7h;;n4(yo zFt@XZjG==VQW^Hg(8{8834?NfZs+~?{F3KQ9rBo$)0vLpa$qQ9v0Od05pxM2?a)RH zPVB?y=g`LD^UD;*aKmLgd|;e!445#}5rY`x2j&He`wuQyxPEVW`XE1rp8dp@^tjnz z32b6QW=e+QSv;U|FOh@q;o=@*1JFHvT2uU^7vDFFT5X!;m=uolXbP4z6aFMa_nPPI z(t^Wz1^BE{HQhR#&bE9%}4aSS~q8DAp0f*HIijo zD1?AP{F~a=|H1b+-}COwchba{Glq2wU&ihP{{jdA#6POiguMmFEhpV}9N9$Q7>4kT z)pN>*Ip+pLXgr9!4Ph>uX_yV^38q71_PiY$mf|~P!<-Vuis);>iWG&|7db@ccZm+Z zVt;6CoIS@&S1aa}C|1;YllU-gQ*<FCx#Y$9aiu-qFN$v(mwE`{FmGRBC80+hlp9)vlB2&qPT!r zgES-vR)v%C(L4-F#yvfV2Btlw8CnbR<_xQ={?v<`$25O&*)3X&kT+9={6lwt`5WhZ zbTkYH_Ke6%NmkMHmAbY;m`=nUQHzqm-i4T&9T69R0tv?{hA|Rh%mI>$+!;QeVHnG( zB_4W2UQ(iZJ9HAR9#e~w#EYLJq&Jk@yhUnL69xK~A+DVWw4#ob2qlg-l>!uSRDumZ zcGKe1s-+bS{nO0iXu_{h92+92B3#yOa*&HCDz6oTU1M7Q>Eb>eUp)TJ7&-ll`wT^* zVqI=!7++RbTzhmR;5dWzW0CQbGN?g+UCw-PcXCz)y%_}k$zT7i-}^$2S@rkaV^)U< zo5=@sf`Vg>B@sa_<2??w1%hHBE#N`Hv2F~N>V?DD6x2#$+zcn7HX-)3a^~ti_<>+HugjoPX{U22ZGqfg(o%-?LaND5}M+ z9J5B069dD`u})RNQ(a(ensJ6kF*M%pqt}UwaJvSqmr;bJ!6_%HHJ+OVE(ZP8hD1;|geVi0_c5!mb-m!cqEK-3L=bd$#5)*vt%*uxLn5fJ z2#rTh^x^cwz12Chp^!>!MU+n26->jUp?o%!fYq1m4;^PS@nfG1l!GOiI&RrP%vlbe z2MGjA5=q?>Cx=-}2!gxa7Lhn9Y{4?#9q34#<<>_O1xq?OzGm0&?4$2pQaJ$MKp?+Z z7Wb#y?S$ypZBLfFcgqJ0tOc2escZtSyfr?dD0&BHkz2e6F9^%VfMqI&Aki%!uPPAd zBNZgLISQQ9OYLtI&sbYduCe6=?X2=;cS0AopbU~2T-7G~Q^0jkgsugcC2oa1;;UP6 zd)g_4zTii59$d@%o(H!gKPgAVO{9*uD8CAF*<0`y2wZM>=yzNZfKmQ+no#LrNB5%MBsPNL^-Bl2NX$*-nwWg|J;4I>6l;%v9hnjjxE3G_|Uj zi{2tRKp4*FNVmcbkKj)kvU5gcX&AX%rhWzP)?tfrg;U%uRl_{P@}gVe2k%-C=J>fs z`Cz%7m3%Nvu9VNyw8Qxe@$MiCk1Hc}Y>rioA84rykkB@f!32ndSe62_HjhjP?gH#7 zM<82~8Ychn=yL26xW5WFUnZj=Z8#yv&aY`6O323B2N!M*eCw4IcH1%p_Tx3Qo4o_Z(wb zhP6cmy4y3V1?HoBPIVXZiZMd~MP$`u=D6ef&@n@RF%ddJxdRuv{xC5^0G76jOCy4? zF%bFCF++g)3T&KXhJXz3^1~Dv0x;Zk7DLx@^ipLRGX$v6vmK6Wj^q+zh5$=pE)t!c z!`9|I=9KATatdf&>~60S2O;e||v z3T@?HGu71!G#jFTGC`iKmiCoZ%RG9@m`%{5QKG|WqE zE7F#>mtH(=vwt8COe$kwD_1b?+&1UNez)?;kNTghb8|D!>RM;UD|qoTpGWhvzAr zkOt@=rCZYv8sOSnmZxyTL&J!khKQZ(VG~5pWVl6hnHaWPRO$Jvl2;^nx*;UDIdBOp zf$(z$U%K_e#nk|mONfg@Oa@P`8U zh^`r7%efbVq$YVKuT$C~qw3fzMc|0g@o0Sa{;1MbUQ1Uv)0s94h^f#w^8T;lGH1@$;&{2i&dm9z_UzKn6t+5*jx3p<|W;qUD^E zi4q2srUo&+(7h6eVv2K+ZvdQx^PGcOcy`!@6qOd_BTD*fA|VVaT7V#>zU7iR4<<*I zAy;1cQNyX#NNq(J*NNT_`JjffAx5xrT z`U4Zg)Gf@9ov_qB{(yU>gSqhngq@fu!J6Sw-tf88YTOaEuG%s@%bNolK;h=X*F0iy zXl)rD=1q@8K0IfpX~2=GEyL5i=~20|B$4Z^hffq9=MBZ5gnY|35%mnSr8*e;IAhKB zXlo+dSRGxG8h(|KoU_zmgJe}QaTzFEUPX|LXH&2WG=g?dcuu_RhT)=Ns=o zl$F{-_6r8(@#SYQ?T-r8IZ?my(<8jO91qlT&Q5Rlj?rGd8B=Ce}84ed{RpLfF>Lo zUXHVqbW_})&qdr{yyOHkJ~ zkaa3?h>@7aGrhaRzMu4NS8o>3x3=k68YMlauctbd{UT)trAQjNbF$W{Zl+H4*WUiY zk3VHl)Nr&;ZzU2A2q9H)T9a^uvB@@+VP}gt#+K(fw)-POXl>J}6Ad9JfbvwQ>g)%k zkZQw_61ba+MQFC2B;lZ-1%-LiTM&}hD~5ysRMB^onnsBXtdKG!S1m+~-BFZ7=}U7A zThn^Z`2eX?CClxWpN828L@bv@`2wG{T0{l4vN<6bQ30%gX%qMy^1l4*qbIWZkC*=i z*sj`4w?Ui99^RQBXvThzqyC^Vrv;!^ib#)80VQMM>&o@mcpBj5s(4R&iRgd+(%<-* zU;MaKBFfzv%rxm1COJV^5UF4w9tagG6l8A|Jt^2>w;#mSbDU_zZKFg*c8|s^lip9<%DJeS6yY61L?m|{&$Tm zZy>VFiPfdyqCK`N)ZO0p%VoD{4Hs`FT>R9(_&Hzu^EK2ttx<2y>qI(#O6WdA9V&5M z(@3d#tXVQc9X_=V^c?GeTncppFk0Qee-`Z`BJs5#PRSL_VO(rboW{U<*|tPvHeQ_k2yCUVHlVK|wB51dAxi6K-ofxBM3r70>IHF0x)n(Ewx&Mxc_&4Sj_MeT`Xq4}I>ze8b9GMI;>DFWntW6X*6 zJIsXj;5S>S>-mMdYk4cjybi*v#_ak;jJA?$-2sA;In3 zW$A7h(q;?pGmZOo9aCukv5v{7G1M{ZjzI7PQ49<_+%&JhYA|FmvMAinH5f{vHM5d9 zjk};x%}meM_SIZCmCNJ29}W`-XYF$2Uo*K^=vG*}_IMS-c(go4t*oRE6Xs8PCigFW z>&N`!+dp9@liOqJu0R_ywWbSTNr@_xYob9*GP$l@Fq6qWVCF5b5_#7iDmsFI`Oq<1OxDxSee?o!u#9PTi^6%$QNFFNkRZRW+2Q6% zt7O;1uc$3!q8$~^ZwG-_Gg zBQ$sDBR5hL0INFR%@YOz>JzfIn9Ovu0RArRU|V_h&Xh z(QkR(O_e|X?4SANFkV4##-NOV?te`TV1_}2Gt$%ewbtEOZ}4az-B!^nqG1Md7(&4S z5G7KIg=<2?jN=%Ci*7N=ha9$WdjgWuFvB>i0}yi3>HrvBICL=vH>0?mBb`RXkm}+U zh8e_R2>(f(jWNTF;V?XHS(w0wX%N>{=NSAf%!G$4r0thYXHzBY(p^-9_sl^3S23;> zFvvLxEoWS5IRk?LX?-;)OUS>vDp5`>$gA<=<%~r(*Hso|Dk=np8OE<+$y#1V8c_pY`+~%;Je18iCj~@wR6fXz3PvXw*eyXW-%4x?y)}3S<&{)@A-m>KB4RxO z9>JCr_sTNfo$h!-kWNdeRaO zyg`s|QEwEmP>J{pTKwZ56RdgWM_CZd43B%sm{VWya4dV2 z%aMo2=E$K^R3&!Kd0tUG3S036rC|xR5$;>dST+=o!p{-PFvvt8)W)Yb_ekG@qaYrI zueb-DKo5PgPO!Y9dK7MnCNv;5MG(;wrl^{hEEEe*sE%MwTp%bODdi%pDej)F2_^$a zS^=p~4RQ!fMg+@vcaFF_ni0T7H9sLAJ>DJ^3aH+Lj}F~t^AoaVaeweh;3v?sFq#yiyG;J8D~aiAlixJt9WhjrkPph-Rmt`;Mti|YdRl&?NWNIF0Nfsk}As2PvP2hrcCnOn5_AUETK{Mr}) z;7`03d=QCp*Q^G{Tmn^h=-rcC&YIQmGzRlD;)7UDWzA}=Sq*0z)TRB~oYjE#jl>&X zg~ApZMY2v@xe4dw!Q2o1mds$=T2xt%ZEFhT%)|8hEUJ9>zx`)_c@2R~OTZ3O8UlfqfMd(FEO<1dRLw{&OjE8vLaYdb zZ~!8;5YsP^&p^Ups5byh#;E>*CM67{sFExnLc<{C8&X$i`j?P_kgNbI80o!AblS2I zIp9D0N-Uj6AsYohkq1Zzv8E3>_^7IZF6ML!UCp=h8E`!mK)m2#$%ja(SerlQSeRw< z<7S#a>~TKxgS{3&Zb1QL*)3X=56d02O9~*r`P2W_4}Mcde{GiXG1?baoWO^jg-wlu8LkbIk z;~7hpxwkz_^mjvR7~r9M$YC?8$cL6HtbLdsbPsqas6k*rK>cBe{=Q<5BTK@5bk1XJ z`$X4;PlRltcT^s`X!|@gls5vVvr41IihPzu+b8nLI;*M!U8DRwv*m&U8kMaGsUZQ! z5u}!XKi4%WNIBb~DOQh8W^V$Y+s!UYzOO@@W{Mly7Myw@bf#4*4zN}AL7E2anO^bv z_7(@0-J%trZw8+~{{6q}_XWzMI!lhv9;_X^qpIhj2M*fjgcW-WXd2@`8`{k1bGKEj z$cDt{w4x492I6DZj8^KiAsu%&?D|H9WzkY+@&ws1M(04MlK^=y^OMVSETVJB=t|BX z9hZYc$LKswa|DhTjSYk5Omsa8nXlWQ2Ip8r=P10>EdlD{(2BbG(+EEM=SFRwjel%A zB$cE5I7M`hwnHL0(h?ZcSl^s7I)~_zkO?4(KWIxWqjLvoM*g0@D6x? zm>XzpUbI`zdIy5{OSA|JH^N3V3(_YNfo$!AeuMl&;4eyO{r|J~_OY|1S$WvVz>2V7 zj6ey=0=g2!!8_ZbyQ`|ZdShD-yCzmN0&;haVoS_uc6Vl(>~7EH?s~P39SK-tLn0Y4 zHn6b-v56pxorLgV2V#p4Lu7}<1}7LRG7-jh{vj-egpe#B&hwm)_dV}h)m7E^{(kq~ zy;~!VeqFauy;a>+UC;S=&U54+=L2~^od4x+q)g`UTo>}NUA8WdX!hWJgh2p(zBndD z83m!BFRZ;-&=-n9uE4{qKt(?6AZqXB4)7xrs*-gW83?SoKT-w)vKB>WBNzzRupq(O zj2}n89(NLu@0Z^>xId`vLEIkSZjT2E$TrVY>%QE?z927LPdBi;d-W)uT#sVeC0ad- z+wmyAWBci^{z{Jc^1t!R`K>?1!)+Z%9Y}6jb?g-49_b7nsDk*pb4%W~b5esgF zW#^&iP$O&fDByXJZ3$rQkf5j_IC>N(h~5K%8wg08j7*q6O!O#%+yk_usug3t|AdAS zT@j8~{b34^0@}kowtSHTZ>I1l;Bn}Hy-`0Q=KEo%$rBV?2GYi*M*$Ts^*zS?gy`@g z*P%HK9KHJ1XZ-}?uwd|_e7{&Ciy(hTCgTWNo3vv_^y-xug*<|6-}ZzYcN9FU;D6EX zux9($Z2z2&5IF`P^K+e7?57S@p)>FZ=Q_Xsb$|Q!glvNLo;dbfC(7DDxl#>Rs$rc> zxZMWIk<`Q5KzUvBgX9`=CPjJ~vZxW+gAbBTSk|4lvIm!ksw;c<c)xlRfxZKX&(z ze&1X+Vfh%KDVkvnS0`zPMK)oIW*D;xlQhGaO_(GF#%#hQDKKUetTX}v#W7Jv@(0Xo z2D5R;+{gu~#mF9r~%kd zM`!?4hEfagPcumfx3F7c&h(gSqw*yR|lL;vNuHNsNg&D0|mw*&J)Ux!l6Wl#zdaNn(_J^ zwi+nn_t2(aQTBqqTH*FpZ-ng=72muN2DfUyqc^|S=Dm=sQf9EPI}>cN;V!F_{z;z;s;H@ORzW0vA19t16I(c58xoO zt!QsRk_}@z0p0$_??3cLEzE{7odCEq9|Ia`byHnTC!mi42@J;H$#uvp7U_g7ycGe^ z(-Gqa$}B-lCmar7RH`9liYvpIPJrjYK1K%LTLapB=pvm!4MlB1Kr>~Tp|}QUBa+3} z0(m3+yo_%OiEgpAfe>Rs_@u2nV%JkwU_Y08f%sl^#XZ||k;JQ`> z%`&CV1fi9tdOAXJ`AMfx9N#YJwNB-SG1?Zc2Uxq&*Vz2Y1=wYmXa(5Y0od>VjGukq zvvUAzd~*cxC(J^wP%Z-O z2|at7H19fVOUs9j01HI7?D}D6O#5tD0j%}^?=ds5I&=ZBUE`hgt%)@IinFjOm{`}l zVfb|7xmu`f!&g$SVR5?uN*o9M;mOE$NMoVa!YXHd2tYvHK*agLKY0%^0eQgbsZlF(}t%<2KM_F8FO0h z8cL^ASv()TxIQ4@$=8nAK_^Xp1k2(A^&Q!dSQGP*2A`<7LR*YvR?E)E3+1E7OO&qV zsp*YJ5RP74qh^bP%F)|H`OVK}H9T>UyqbBcUNXHswIA`8SbO)=Q}@aKK{i-m_cJ-O zIxn8oRYiEuc$kM16nr7ogTOy$K2)10CITe1&xwS6J^lycJw@gN2Ddu?M|#}C2}69S z$b9U1!-ULV61B(@o z>|k&N_!vxw)*;N}qWy$dH=GphC%U@f&G+Z&JON-U9HF)r8VYPaJl-IdsCqlccBl$i z_UE;|IA72|hI5t4iOkSQPTfCGci^mI9(}hnsbqVLmYL0mM{`F5QU^|6RB_8iK7e3q z%4o<|E^El@dsO^AQiz}*x;`?qrHATF7-!2q_t!3mG`~!>iGqc1%l`FwA3=}kuu|Qt$2Prc>V?3 zci;Q2xqJp%(p0?@m^#CX}H zh>>v8_EXP=7o)icBvWcj325|t#W8!GQbeNsAWuMN%g*Ill+uw%V5AOKg%TC= zX$qdZB_76*D~4r{amWM9;_~1@EZziW0s3I&bit*-?rZkwN01ANWQj>dhocu4Xxkw% zgS-pA)nSe#ULiOp{Faza!9_2=rEB~SkK{3dz(aojtMDIY1>IEIA$tz$FXZOKbT&?} zP`6_`<4`XL>-z-zf+`Vfy)F8E0vSPYJ${OH}o!xr*6Ipa`m zZgp}vwMQZPyqJ7pKb{#hTnjME(eTC#Fv~8{>aZ-oMAsBx{`D{ThQIXVvk3@vo+EQl z72%ojf?EjNsNoS&aTzuf5j|=~`2jG@oZ?&p0-1Fw8XO_$uORC|b8II>taCVV${uOX zZKaSK+X;~}Mny+b@en~UFwQGR^2O=YYCFQQ@2$%}8}ixZALa=7x3q%Re&T$GA_0L6 zfYx-5Cr(i)zn{=fh{&#O*|~u3irEANidp&%ZBN5t12F*s31f9_AbnH`6JO&92-2o$ zM1>Dvtp)c+^n(?jja^Zs2L#$YfZ?I~^ntxdH4_nm)KEDLqTNQ*~ ze)axK?|2=glYn$uIg7v-t;P0f1L5?r#TyYs^0&_01#z z3^7=+j+$eDoAmz17#cDEaO$@pJ&>!g1EB%{7_$XMc-!>s7l7fm5jc;AF}m&0^dR|n zxG2JDh=wu$aKe~C&1h^9hF6D<`G*685JE4LDRg4uibehb=Vn?5BcG<=Q&`GJ$Q;s8 zQLGvB52qtK4D}n*v4(|ZygEova9ZI7=0&_b==i6OfaEkF6F|2kWEJA|>7j_AmhklI zD=tZ7+Y$H9L31ysJy<#Qn(+$t$Cl^G`~yfDh2^Z<5d{0N1sNQq5+q<}VZhSo`W6c3f^%kw z0t2;e;0j=Ju%TBn6qs{EDCZ#(reSOPKXx!wZ_vfdahPyFPmMeB`4$N`^5%efl=fpI6|h<}+{qiSVCzCB28sI%Y7 zXTO!tW4H1--O6}%;Z{ETt$g-d`8;(ipVO_3SGRsEzYR|sUdiM}dXftx9qVzH!jO#x zE#8;WyQ&LHAf*(|zcN9x+@bKl9+m>Tm{BoJd^YoRHfYQ$+8NU4D{TE(>J2hu_e#s1nqat+aG+m7KM#(4+ zj&W(%)Ml+bB_tyu1p0m~VuvhcL1koBey<#7#r^yvjqH}*D)!=uzUS5RtKo>)df%(( zSKbclR7{d4%d}8oC?DiyW>Xhm73K<;T$ORV^fioqwcLdlLOz3Tpm?_Es?eIBBkjsh z_ECRT-tf#b&-~=yeBU=bmve~P9AC?XS#fL+kD_nYm2{boQYH*?V)Ra_VzRdho7qsF z2PSK6WF8uVQ!Ch?4f%VvEu;>cMtyDcd)0<~X1HO$#iNK`541UvBcwNxSESS9h8^U@ z#63b6mrWqehVlrub38$BOxlg8d8V#*Hk4e0YjmSxC{Ek~vp{Q6cJbc**vAfKNd0~= z)V(@M@!s7M5{aNU(}@FrN&}{RaMgpdqvPb5LlluRXv3EuTsTZ=%`K*zh~*a9#M&}m z9u!UOqwkx6LYj(%_|XqL=1*~S6eP>9eNmKQj+wb-aLOddmRU!datmxDLR`eQ zi?Vb;+EZyc>jEUq&CSDsa;#|lfZ#6xf4Ryxj{oU=Ed3*AV7*R!Z!DpxD|vTQwxE6J zbMl|J|An4gEzh?0IZ0$6+PLM%eN~^6XBa>^mfx}D!?wtONf%V692c7lK!vfm|KKh> zs#n}EQw&Q$<;EGt%Um-8=ZgE=J4-InHMsxXANa?g^~Rh|(8{dizOzX%=z{Swl94kl zQ7E`TcAJI}>8QJi_-ODIF;0N62GN+2-YMd~8y-Me7N+f3@06q1# zgi?@@mqr_%VoOwyBB@!D$wLpp7GZ&rxbJHo(BLEtSB#9CjA?W zGy?50qagnfoC?{trONt_ymLFu12x5(MhS@(%jLa(% zq}&ibhUMlvbT(CnbRx1LJGmu^Pf#savws6g0^vTT^~6NW%yLE5pV=?tHUlr%p>F*eMQ2{2FLwCvv? zWuWs?`C*!3SZl3;j}_UkjamXYtkD^vVa84zx@FEm3^|@Xdl;hG#w3Y`HZHnW+LG(Bg|U_nDAAS$vD9#U7!))}*$&6Y`9>Ngos@z`DLm7d zp^(~I%5aDeoR<^wP0CQPgaoCy2gT@1HRrXE@dOky>{&D8My(iqI~e^1-~5p`9BYiO za}dXEvy;3w$OeP>oAu(Fl$DL=iQ|97L+2puc+%h-ZxEoN_cP zNp!v6BfU|cW08aCseq=IP4%&7BVmaxi>~1atMaZvYW#PHSmzFA5Y=|$bE^X8mm#%6 zSYKQZIBXuN%r9{SM06sIa{dzNS$c5v??6 zz7YHlM~_qhG^Mfc17-XD&Q#gm@&@r+ptTB#Gmi3Iq^{*p6B+_8Be<9jf@1d!yaQB^ zngKl@F~8E4W+{Nfl=Vshd?KXI?Pi^cwMYSc9HcQGH?Jp+$yX8gR>iMSmn;@^79FZ{~0 zIU_LM6bSt*mTS=-2P$~kO;%|Qpfg^&2+)6KGvz~6Y!FE{WJbUZkq}TG8k+5lAn%4(M%ohB&T`}(%J_F0#Kzve9AR@kjjXNN-VanQ zW07^7-H|Q0Pw224!A<;>qlOTR$3^j0S|38{co2^xekxRcwEh~Z&2j_fYHbbFGTAFs zi-lAe4=A@w-oF|{K zNNwBs@GLzb^By|vG_#J{{`2gx3-2x;+F^I^%p;j$>>7G6>({#R(0kb>T2b?MQ1cJ| zx!-^PS2Aj9Ik>JwL$HOjCfHoY?((wK8Y|J=)5M0Kq^`$G^fYrlv&C3fcUjRf=6Ynq zAJD(A_3|;6IiHNF`aj7>k5^}!JD$mWcnCiPcU*9Hn^|Vb36M7ecib^qf%>xWjv%ri zbt;}`kgi^dS&?+tqXsuX4;TJ{=P|lWK}^dQ^L~M_&H|}SNM->hYnUFe$iTb023H@N zMTWk%i0fpLq1qB~oi|6sb#7-|$GCH_=JE$7HNNm`X6B)b8hl<(zn<(Dh*acSLw{-~ zjIK5Gtb}t%BzBUD)2+(reC|8`&M$vz#(s6gyoPRW8GrSc!&ah;8zKm`IoF57K-Ep2 z7q0zs=sCE9#L^a>RF@-Wu(Y+ojbpZIMY$L;<9(f5h2pb~*Rr!huwF*YtDa3QJjSE=iJeQ1_Sib|Z?qpq%y4TGKYNXsuS51F5%cxPXm{uu6+gK>RLd^W8WrD8 zRQ&y)^#|Ye%^4Y04&I|;6NjfDnd#f_8&^F^TJ*wS(k(RLio890#PM4dFmZu-?V<7& zfnJ={2*Z}69f&&FP}pU*B9!@!8ouj&0CqaGu*>|=CrsxS>hl32H`R*5E;GZ^9&#`Q zJW)wQU<3eA|Mr7YM@4tc-ggU{l!U}EBY%T@|v$Kdf^#TpYx zE>&aYpNuzl5kAGJ2wvmSPhIHvU`6;=O-7PmtCS2oo@%7 z-~H@&{-Gbu=zJk(4fFy0NY2{kzvN!0m=%S}3dLH9&fB8bmyvLp6ixkD(+Vz-Ki5${ zfXIR73DMb8w}3qx`q&;($u0BhL}xdI<_JaGL>q-;>kIK1qH{6?%8OPjj$HIi^TQN5 zYv+DLZ3RJgxnj{hg=56~sx{dJ@^y{f-nm&2CQd&oiacN+S*M9}s6NZan! z70aJoEMInsRxH0AEdR(qyZ@FzVJA9|KYux`7FDxJs!?1~OvNuq}8WvvndR#Z{#6j6-QK2|$b zR3ukBN&6~PL8Y7-_vd{QprzIvk?Luvw$5mdfRqKASvWpeVb`#@#JdZpLl-U}oyeR8 z7MA2+d~}+Mpt;WZQektuzgHA|a#3*EC0bGNc2Mwxzxr3+_=o4F9A~YKk>SM=1#L*G zz$GSklxgIMf@v@?VPy&hA;pboQu3}KJ5?)26a+{zJB&l)Rhf3xO03;yAeT%GFODdf z&T(vbaglFsPll^|$Z1yRSmc`#@NyJ0qUk**BNvv7fR(rQ|70D;d=szR9UlcsdI_ra{QqN z-&O||haE6ixp0PIQ11|(;ijHr+&$ts#yHZ5DWUcGmWietb>P>F*o_}%znAfLv~VR;d!SavxBxYaF5a2ke=Pi(D`NF zzIMqjEjZTt&!v-afNr2UU~r5}yC&TL?katDlY#-9E|*RRB)LeLyDTO!!KUMhUY>`z z&LNho@|4UW{)Yejr(YA)f|OiSGac~|dw_v~TDEb{pH_@)>)9S&iFNY9Wfw;?8y3BR zsv^ryzN4k1vY})Td{*JM)%p&c0xK~e5Rj5_dvfi2t~`dapudymNyQ<8lQAgXnhB_DvvawlIU#{llD zkK_TUwbi~*!eLscI6YeRSLT`tei>^!RkBvv;3=X{ac5&d+&p7zl5yXLF=^L->U zwlX?ykkNsOJ;d)k{TJVEXXp;V#+z{y;Rl)^t}hwc9iLIV(BR34y|ygAv{_pgUl_Vq zOww_Zv-p1IqWL4@PH{7h@B5@r|2_TGPyU-9ntsbGMle704G@=EwYEk7 zLWzC_n4dbGfs4G=R>>N9#R%q^CvrMLjlWzmf_W17J?ypv9Rw{9c((@B`K%KHskmav z#lV}AWi`-on-B?uibE+Nr*B=E9kZP#DpT<+{OCC!lHt`?8Y=H)8-rkjUc`#p>fnDX zhi3yJXYZsX1E#z{LSVHEy8$mpMT=2Q9J)r~4&HPqW=gv30B@?iLP#!!TX+Mv?4;S* z9{iyC=;0p5(RVt1vBNB;+Qrp&J-t6RHtU*>8P20#Gu*`+d>$8m&wLc1i|f&AAE zCd`l$lPJ#{#IS|=7hYdx4h%S&Hqqyd3|V%m?>5MX1=9)BH zlVX4*%>Ae&Bcf+9^Z5hUE_R7Nk!rU513jv&Yj>Cp>bNQ(b!s z`3P`aPCA(SZ~(ij${mDs#Is<}FiD4$8iq^O>4+kwwp0n^v}=&9xKQ>gQflBBS^jG% z84^+vcq~mwhLkC_8_*09fZG?`YQ?-#N6V85DV>(5FbjCj7O-+|BERy?#6@gE*3OH99jJ@5LW2inPH;r?GUg_zgP%ZffA_0gdOnIC@3kCzbbcNRp*4tYYU}G zq@v21kXQ1v2Wno|&VTd0Jm5A7E;$MJL6)GJc{cprjc|BH^ z8pAS$JWcnHkYVNRQT~S>Q4{=n#Ia<5KBTTRO!^y+dLe3r3$o(qilb$aJgomSTuz)$ z%ZZL7{`kI$)cMgo3~I&E^E+OsD7K{EI%~LmYstJWWW?3Xm$?7R`JHcj@$K)*1qqoW z#TqmY3F3wpmSL)Pdh8hhc%0f)9+a5#|MX=SAB7Ux(7;g4FtWo<`LBc%@l++nJP#} z?{;hf$C|sNNuveJczqDqX|D|kt2REvEwAwm1dA`qeii-#_?_GqWLDX*9A#I)U5|^D zeVnSI&>ow-{4n)jIl01}(1qMB(3N~Ep99yKYVNuV>1D*qA$FFD5i!{2{TO0rZ3qrK zAVpjBPt1%@rc`unW=8M~De7=Q7?cMTFF6J4$Ph!SIv<}>*w;u;?_~r=*dJ2ZMX~?} zLPalYwyxPI$M6{=!Mi77Wj61+|oNJ?yy*0ZX)ln?;jw$ZFl!f>XgX7 z&bV+KN}VJlKt%5361alZf&v7?ZGVc*T%t8Hzn#eZYd*Do`?qC}Ld&Y2-S+ylDT2%w zvMGm!$b7z#4b5uxQTkJB%(z_9C1UxZEiy+^V7C{YYdi(C90CmH<0ct4#~mC67(x|` z#r9~(Cyg6Ih^s}?nu?lW@>Pa>g1aH8g*3#9dlBg@R-{MahH*@_w28z+i$~#>$FA9{ zSj)cM6a5QI=^eI0_5leC@uWJ;v7(n!Skj|NYl7hjKY^#o4^~+c5t1du*WjgKnnA;M z@RDYry~B3&>6zB?`hYCSSzzc~-tEmtvcu6wDlX9e$R2b67+zSPe7ceX6@H%ZTd6w7 zZs;98T0GCCUWzyYxzX3!e7(t+Exux5F2`7Fi@a~$Dffo%MBR+;bjFeVhPW8wb@Y}4 z>2n&R_FlN1eUj-{=CODTYH5wcvqiSPnrWpDNpv8{UyB|~<#7;pAF0P7<19*#<5+k+ z{3XW|=5}^5_Bh|zq6-HFKb-i1YZr~%d4Fj)&zmx$D|#&Qt4{@Z)E?-ByT`KCwteT@ zEx^CE0G}pnzW9M0?kYpf!{H~Ocwi5v)kO&$iAR_8c=l($_HAFCiw7$CFc2JXw~B4u zoZz@p=m3`uGU^I0rW~d{EhZ^}_xINPiEf!G0hn%o0G3lS<*>DqzROZnGH88|pqrit zo+*aE>Cob$=oUwbVN?epeMCoGTof}zUWSK;;f^H{LCUnEJgm$RnGCK-DX$s9aTCa) zoGKb&swJbm2~syl%)#X6Zudy$#DiZ6(}K0j3-*Ggmy-zmEJsLJk~a1s|RfZHJ9fGS0ShT=7qJ5*>^jGkig{~9s0 zLH(3%-fMZ+FM~PodR> zbiz&=PSd-~M`GIVcIH99rVEzGqBou{SayllbiwjVbWH)`?|tqc`L$ojlBAkm^K^kF z+fa8vZ<^%n5yRGX1qP&U*d3b_LPC}cdec|1w-7uQ8SdkrP{VQoscD3oY*-|?CA~;P zFzV~1Iit~h=p%af*c^9i26=d5aWX`W1bGD-QjeiLG_g1ts!jzBy;;`JaTX38Zv=-F z2<7F74!4{7-wZ(2D?zL}r(W6QO+DNNMN%G1mwO=IngynKxO?b?q{ymD8h}vZ&Isi6 z-2(EJZD!(y1t1h%uj`rLc6Y8|7owWWYDr5x%?C0b?z|&+9A+$jcr@8ao|@ zWc0LA578QdeO>B;C%}aQWqkztYXNuQ)}k zm&nBpwKUBSgG_bxVG7Fnq%M@iJARgv#QLZb1ieTf3^pS8q`5^q&uPV{czF&Fq4-4H zgqV}UzsNq>zvO@)|5|9In}PWR#Mbz~z8jU&b3C6we^e#i_FRw^#~ID$#c_xwN;~EY zr22G92f!5zclHLe=9D6d*>fhFkbeR~DX`5M#i(#^LpmG<5_fEC-LX<%Nvj(8xnQ`O zrkcXh!`%&iCHlzxVPVX9EqW%UV?WRSxTC%UE!}0zYf2K`EiorvN6lhRyisz4rKHF8 zp4~uaZ3mJBe_JT-RKG1D2Kt(l%2uk{XlqXDRjFHImXmr_LJRbxAE)%HgaVi>A40E6 zZs@cBwkTR<{}O&%mBzanO#QYBrT4Ml)`P29`O)WUPLRi1^4pqHu>wt@4=m6IB1L%U z=PJxV)T!Cvw#s!XkSY)n#vK?q1&39XgtCX)K&Cv>PN|z-&`x1c?k~UoL>q06lu6IW zr_Op&_JTpVzx>kk{y5)A0-+3hK>~rUdbz)VTiSnLCf}g()Wl>ZbeCpS&>>w8j#W=$ z>!!K$Tm#v37G9Ab=46LEs&pQ{KT$r<-(P#!w6Sakb@R5M-NK+af7uTE_nY<@j^B2h zw)p&Q_4#Ex?2q$}*S|e(+M;aO@(YURKls9Mf2FSzw^zq3IcYK-q}z9!ZkIOS{H9K8 ze*Ch~UyQ9}3>Gjq-I$v~S8@jN-Hu6t6bco5nni*Ho|jTvO};9OcZOGQ@~Utb6Mf|utCwimRiX9MsbKY< zPyep_@5#wc1>rirNlwOWe6z*#K!XejI8@$9bf7_&K^qTUp#!8~E5qOgA}VQh4DJDw zh-&XD#y1BrTZAo2k%>BD3O*p}a@!O9HSkqHf~h^b7~kNCbnIw&s`S*gmB>66^xK@Y z(Y8A^$O$qLX>T#TC`B8KFyK_$r`b13hFn{IXhwaH&HNNK3^kR$={5AcJ zWx2%>KWBp6%5{e_Q+l*p$SYoqAy#(HZLn*uFDlx-njg`t6o%*>x_j{iuvwQ~A|l`8 z=!pto?NEK;W*T}?0j>2Vp25jika0K3Pbm9z<-K%?iUB%H>b}=7XukYQzVP#ZG~=#T zc%99vTkv=>&;v|S(iMwuyyrXJcqgBKP(Pcy8ZZd2dIuXF# z2D!WH!!X8h!&Fr4T99}vL&06Q1X~P&1>6nv5wVp7k#9bBXyM%3=$3g2YsRZ{Jhg0I<_BucKXA)yuw2kIwcEVARvB_;xqs-iHB84>oajNTaO4dNN8Uxw^ns?W z*u9z`p(~a@X;{AO5)lknEceFc{xC@Wt83eR{9>n zm}%;U6QQ7Z*9Wwp75>onQFmSt%YDUD*Q@>&>`ofU+FMp5bVKMuK;eR*KQeKcSnh@= zw2^2JDJVzQahdu^h~;hw`faC()^4RO6-w2~kXY`9VAq;qbF>)R4QHWmfHO@hdkO4J zr0lI2291d^K+69n0Jot0HDCN%l%Dsnbp+~GCTx*gz5ynzr<&0cBCN605+XcV@7FBS z#ijI9Og8!ry@KEqOq9_l(99)TLGX5nGXMNveamOOI6HD|iq=99^z`Q>ttBRsCuuD) zkvvIjiHYP%wa6loJT;pvCX!FvmP}sYFD6^n8D}Y)S$cSyfKnuqAA*45xJvK?uOpPk zucDolC<^k2hNgI7ipkkPUg_cH#yZ(aJqof(NVm@rLn7hA+FdUYL&7~gO$?DHPfx*3 z5lF@&&f0=-AvB%1zuZNs!Vkhk!7!ofM&Z;6qayBK5(dB!K(B5%{yKK7Ef_j7 zW-NSv>)5fEU%rrwVu3#;5)<(vh5W;s0l*cztfY;th>R-hq==1%Ut)}p#=dPYq|Dh( zUKP6QD`_KK73#nKdq4HszrISLj3%5`DHP?r7Nb_P80BdL@n)C&#%7k)$=QF2M!Agi^OP|kQ+nofY}BVe!I=haaVFB%ftfxLhnoaN`WT< zQ_0qN(uzNpP|yC(s86)!y>2J(_3Phr|CMjbL=L?egW}J=2SI@upq&q$_cDl$097zU zg@*IVY1r&gijkh?gmba@1C>umrHCO3lBFT@Uba5!POgZy+oMygG>pX`upBAO36=sn z$x0osx4tD7e;|SmadS{4FjXDYk8;IW{6Vz|Bg=S>BXuXnetwQU+ODNB1|!Z$UjgYN ze?3Cva7zp~Q^EMtsW}RdK3Yni-@eIyw=)6@yYs5Elm;zJcH(D%!M#H8ur(| ztDKsEN zs(%qruh?v)rWKnXA<6QqU;665{nMG4;iS8AP73CPfR2b(H;fi3D3OCXDZ4Gn`!JlF zld=V*5Fn52wHD~nLvzJUvcv=H0O%1zOaqMsMjB?4B@DY3GG<(HcSH**Avdo`Y_=`d z&w$-D+%}?Wo(*TQjE{Z6CXFC1@m_<7qE|UW^CFU5d_!>VBC}ABVSNLB0@Yf&!nFLz zWxmB}6MG@S0NoXEB0fielUlPAa8^A2rH#C_kMM;Dd^xUC6fpyQ4e(Mbml-eWkt4w0 zA~Qdy0E+W1;176x4g8jQdi`=7z25vLDf}Ga;z{csw(wm#z2Yv6fz;Pyo88bWwmrFg zi)EK+jXQ5A?tK2=`0cOyLwRC{mXhnny~ij_f`JviR+Ue?fiOYjTTBu&LY*2Dt|!KL z7JQ3-Yl;jQ*->{PM!v=57*Bc3B|gfegh^l$JbRd8g%rXh(`=8xB!C75#%eG^g|hfi zKqb;)rl*5OKFs0ar-KSiCuc#na?~rE6Dnqc-`0~Luj43&zmVYvLhFa4Si{a8+AkGm8AAhN|M zI5cd?kDwJDfI&lk#H=2T_@d5g4n4+(F|M{-5CM4MQZ%~;2s}^W#FS3!^&3$aC|ruep<~ac$O}ws0YK59 z(T_7W@;i_daZPqQi-V3rsV{I%_@6QXLpXPgReT*Lvop@hD}h(z+sSKq1o}79?Brh_ zRXW?BE&dl!zNWKpFr959I8F(>@$F)IZJLi&ZZh``zZPQ0AV%+&kLXy26l?B#dGLAT zs^!Zr(V8Dveu=JWO8olQ{LsJsEwf(CE8BnDcD5zI}tkSlhBLq{-2uF_HyeOsYqCG3Md zf>eePET2j^t%ZGh^mu554#A@8(-gv`DC{HE&scs3f2Ou9z`UXKt5~+CMeg7EA}~)V zo+{GBSyvW~!!JF>9{*dO}D_#_!EbKFxpNl9*(4W z0rGbk^HCWRpp#+SsFojKRVH@C6$#L8*n=f zU9LYO)n6G(wCzjcYvGU-jup`%DNrm(-;V&aTSBlEGeX1?X@q)B0<>G=l2BIxBDbfb zX+(!LKnM{P8=Pc+8ysEDF(>+$C2L1HYrp8S% zYZ7VY=}P&4S%!GDpaKY!EM~RIG|RHKXu^9qW|@qy%*-}|ccBt2%G~kz;%%p_I(qnu z;hyXgEU0)hO@G=mnwC={f!Fp~^N2|6>IIWtV7;&X-@JYohb- zd*5$--Y?BY=YUgS0aNYdN0%Y;14ZYPv2;wePsY+QI>&G_RV%_n0?hUD9Ak9e9~+Kd zka*vq+N0Sr)ed?&x$^kb`_|~?*)Ue`kw8b@94jI(fT`Byp^H@eaWalCtM^lJd=Z@= z;iSmt*&heRh+?b=t{ypgjQCs3IgRHz3+X9_53{+3N%>?oq5CKCd91O^Ka8g1bLjsN zW%ir`kOLhrme?Jdp~Nowf1$)KzvGmq5AU+X zUTm%=GUJOAmIfB3(hWu&7pEV2A7BYlq~I-zow zkq&)aiD~B;>1e-FsUk&TVpS%Z^LgP!^RC^Z{|exXJU+_Ur6F-Y8E$)O7^4EX-I@52 zuXqjmNo=c9MV?Lezw*{dUsXn+1+NsOtT;Uidw#h1cfrD9!hJ$Vl|MNA@146VAN{8I z=wzG&^skRu?XFy7)+d)9w(JtE*mXPD^+T`y56-_UGtM=E;EZ!iCxHIE&cPNmv@Zl{ z`tgMIItTkj?Kb;ZNLV}2*MAY?t_kZKOjv_^Mkf`+9<&(^TL`EV_l)W6;{&(`%W|b_ zI(s?p+;}Z}*(F+CgXNd#nsoNJecd1X-M^8;S`{W3ilCgpwvvMKv;scH%`vQHVz;wN zF(!2YG?ikhX?z?|QI;UI!`PNui9~AIW=P_=@Z6|GQc8SiqqS>+ppXl~IL~oj0pHY8 z+O4&><#Uu;wjKJo?`-T%bMPXuzIA6&{OjSSM7iUsUpMi;63Z&AKC}Y99JZ@kvHd_2 z+se@n7aFm1fXsa+Ltw;hg~SJXq>J3E6zN0mwPtN2_gmyjMRTLHkGb9f@QTF8RB~C< zr6=5IEk=}TWjPmanXJAhhGnig=8D-*c1^BY3mmy{E1BtBxRp$H$o2B=!zzJKsj(ql z=&4EW+9PNthq0pI?V#X)`IX=P)jvBIAR}MOUS2Y~Fj=h%6(eT|ZPQzAIl|O59fwomcF|=yU;_B?T$Y#Sw!5BCpy%k3<1AxIlfUqkm5Oy-k!0pS`$3X!ABc8MNo>HRC0M2Af{X zQ_~yWJgiwx=&GKl#w7JoC&Q zs6OErr(6Or0T{F3+2zyXk6R9-l~!^v$ccBE=_NE*n~T#cADo8J9IXr^Z4JJKDZdz*yGe%@Y~wcpp`Dt*1G*c z>GJThY{*{z)Ed>=J}`#qqFnzLaV4 z1DM~zB`^3I`4Dp%m$$w}*xq)jHeG`ejl6;Lu<39W!>ztKp>0y{HvBe9LL=4;_d3QZ z$%smg59%MTYP9M-v^nCh9R1K}TS|NuDB7Fl0XZ7|q3uuR_viDJnK=0qb@tnZUtj-z zM6uN^duUMLUdNAj-$H63-fa+z43DA>J`fT>w1jpFjZ5zG~p8k67A*)9|xbEzaKmt>hp}(=!i+z_Dh3wRc%>Zqf^&N67_&E zv;3u@#Ynmx>^w2YT63O<9RxV4HJc0w+YQLR*4*2miM6m?_Uk?SulMY~-UlB9CBL1s z|9a0Ce!b_j|9bH%{(3Ktgwl2MulIa!^4E)H{nyLcP=jYk{^80i`}MvJKSvg`@a@W9 zTo3(w=Wf$%aChYlWYcYMUxo~3!y7kbK%3*Hi36S*xXFc#Bn;{uV#>5RZJJ20Z4R5J zNLj+5++Xgql%s5S8w@lGC){t^BE<`XVt?7rPJfH@?ZW<;f7BwVM`IkIzS-V+6Aa4z zg|MBDk1s5KoVMMxQN_AFY}%rArX_TR@2|!A9^>(wOyZaTc5 z&mRWG{<57vf4ARsQO2`9ZMx#~!=T*1{QMoxH(vj4hlhS~e11XU17nAH{`kV;CT_0| z{67))=p~V< zij9L>Y?=zOZ_vgLA znH(?e+_oCvPFKt4VaV&cZ%O{~LX_p}`d`}KgRO68$4gfkb}D5H?t?0}KhNsFC=0K$ zpllfqPK|^*!Ku*DCpl#rgXT?fp&a^67W4r!x%#8az_T_WuVbsOnJgAu3w?>FzW>_i zCW~>0Cq0w<*8lYfjtjItAEW`fmcNpyK~o6N_RuNOr_XPDMV1GS&74O&;=Y5 zg(h*1lnC5}dc}}Cp+X9CC&=8OU$@R)gwzS@v|f0JVVS(B<-rL#OJ<^ugG^MJ$=%6U$z0IB%FoW?G+|6&Fcdh4+%mC1E<^$Ip8XvIP60?k z&nH9c(|@V;U*I!ZY-7-`G_U|y;tK`18t}Z<(N($9x@vt^sezBxIai)Y_a9sdkuJkd zV0;{-$ewmE|Nh^4?t}mPEd3h%V~ylzW>6BNV2A*)qeN#6089FHcZ8sq8ty<`Dh&zd z9#SIZYb2zR`HnH^N+CkKtr$Wro9ko_)~P{A3aX~(W1n{3Utda(KUTW|Mh?Knt$+R zHz%6~sYQ*-MncCJwN8ePF>0MumX1+t3iHOat76oOIgXcO%ow!-+%Jciq23Q5+-gG- zlI6#m!BFsz5C!eP^FV|-m^(3xomGSx@zGy9cIw|MtQ6=plI;|`SL{su;}Xrr4> z!sCmZ+&3hCM*vh1GZ(it(2Rj)Ra_l3AmO1=Bu-&aRAXg3U`{<#`N{^Qf%4_GGnH|^ zkUoW6DtyG|&T~M>tHiQ2nUN7yz8+8fBCyojHCIQs6}Awz=SE%#Z8h-mt|j;`3sY8r zUHq+b*l1S1Fk4P_jw2QiQ*_kAfq_2pkNC`PXWfX<& zxS~XoW(X~6&dMN{@gVivXehev*Bk>lp52V&5~m^CEnFV zP~z6&v9E~<(fBIC>)AQ+FSPMU@z^Y(iV0REk1kWA;+nJYE|{$ZTa%iWf4Q7PcI*{1 z8})Q=(1UZHg8DIR7WnTqHGS^058;Q4f5-M8`!|F7iCB5nrMy91Nr9y_Z0LDO!8dQ4Gdf9*IhN2ouc zZvf82I4)OEkI@)nI0DPe5oVvsJ9c*nTWSC&@7XQsHR!>2XfdcQ85Jc?cnaOGmA@bdKTQp%Cq6JQFsXT;e1;UI~XW= z?TqJNirbpKFu$UH~ zq=&_{IC|?Y)5Bs~d@-5p(WJ!%s#4r6TWLCD0cS>VzBf57QKDDDNuyoma8~~H(dWgz zpzMD^xLWh#H<%Z{i^(DwF|lU8pTcn1p1(70&WfS8gQ36u@jv$yub;!vNoXuER71^i zXp9&-35^AYP8F*ohE5i%BZd}(mTLHp7@CUJW1QqzT863v+1(FINgjvA0v<=kJqY4$ zNA;I7Eaq2YZi(pgqA-&ukoTW{McYs=b~yCEfJOX{V=pwG>yU$t% zM$#3@WhxE%*mFb5q)0c-ky=WXAwe@4ZXtKXMD7t7S09=WLO1Lo?pwv!RrW-4d#tWNzUkF(p{Lh=L^_fXVXE zu(Zo>vN468V97^kvfMXl@?};48adh(6)fq}xMkP2?6O8@QUexu^<7G@akA`BY}|$$ z04Yf&kcTzn_30oegEeW%wx{w!3g5}sOyeVw$Tsbnux7kM-M$5_4Qpb2wK2YfWxPZ< zx^`rvh1OFWsl|zj8Qhjn+dya8})2 z9m}fY?hN1zec8S*X!SYLV4RP*2{eiFghaa3vA6Tz4^WdP+3EW*s!M79>A=b7Od?PMq!Xn9C zCOpIGIUJIS$nea;7KN;w_RV`idC#TYd`O#+d`U(QVM_ZfP@T2j>&k130$YLdwp3hy3DO)X)2rBE$4fBCau zMdJ|kzW9L<^uG9k9MW1eo4(1BaF!ZkOM+fl6|!gJmxvI%@Di3T#!vY_{->|V zXsoVLotJ=^>cTP0O$*%?VJr`+>5Cim*+9Zyu{=@ z<{0%N8Noxt^WXNSrJWC51ik&~1lUH%4ZAuUQ^dS2WSuoO-wm@3DVrXh&K9tJr7)B@==rg0ABw0(q-e70W1o+l7KUO3u-;76w*04uyh;hvVz(=XM9L zko)99?y^g?LhkKA?&o~vr+w{OKi8-e8U7*wp3?M+0q|Nsf4k+IElPD5G_rQUf<%$tB zPY?)|`Z=6>G-H*9W!AXgnF1&VnA9J;$QmD8d^7U7G3uuNI4WG>`DKh~(&RR3#P#Pv z?3QWu82^ZQpZqRIWJM*Xn!iklqiS{=b@BQW71yVO4w}U1yLPAbb6aG7xU>Y#7pUm+ z-b`uQgYO(9KWwU7kBZ4CzO?*nIz{u$mPox#*DlMKZZy#nqD< z+0#_aFp*hBA=zC|Dir>94(FL{E@p7ZT%x#tnO#PA^ggPtAQz3Es&K;6Oda=^`z2+k zp}q~;UN}Ay(1q&E4ajweWVigH-DlgwWjUtO^ny$(>&Xim=@AZoC1A}|7Z znuK>!QBRQY24u9D>^`6)Tp)j*ga@BM67oRbnl{Eqj(=(!MalYGZ|Iyqbxd}nK^k&0 zOz|Ie;&r6;6(qb4s%b)l!xTDj!su?~C5vSD0Zrt>dt5-mV{LjN36Dgy1tdIthh#K@ z*vGkU^?Al(M0bLQswVnJ=z8%2K@b*GH80WiVmTrW)ElMi#Y@z;dmW?=(x*8);roo& z2u=CyBE&Tsq3a1-+%G#O80wfjkT5Hf=4{cQZie_B>do9s?Rj%Pkvc1;mL3#t`0s;8 zc=^W*TIup$Xx)?T+b%$OW@VUZp@$Ktj-h}(+xXI;YS(v$Jo1~(_n^fN6K8`VqxrE;o=G5F1T2-orU=-dVo4~-l=dGn|x+o zEnR2#_66kfKz((C9-|wy>=LcHl;xM`8V24ceD@Fk>@VkA8)W(ffDWWa=(LOp z%`wt)LrTfOkZNzOEE3nmINZjB=d;LNygHyR@H`PXHyEo{ADyW#UlW}Y5D(Hdjqcz_ zU*rmS)`1{=FU#BcKl@*K`_b|Alas>4hi|0$vU$3!x(*j+&2qnKCLnSJkr;3bvprq_IOgwc>0`d!z$K;4`^R~#uJ&)0`kd>9JXikoR>KF&yvNPeD z{e2@?qkJhet8~#IeosCBUD+ozdT;nVaT#x60O6n}Sa+_*T8=>*}$F z(yrNDR~b^>vjx|hhPrk9$u-UVT2@QADQT|&bVA4vRRD1ZArOhlGr-*N?sCez-T5<5 z*fsoJ{)D~pD!{T!w1VLBOLR^6`z`0g*L*mKznY8ivERD2LzDN8$!iH#i;ic;$qcaE zu@5SqWQayAHN>dum=5QPIm^sLpAe~WMN|u7qd5(8l9`#tJ%O6A ziV8z@=$vDwA+_RZh?acC-+Yca#f;P)CW%YA0Qg&Q<9XpnD<67w?@B@Kl-)Xbp`$>op`^SxkM}Q-wyEq z@$Y~C7p|S>c9+0DT1ozi(^%TG(OqZoG36%jjXkm-!^iqXH_F<$42y{YzP zLx$o0VUEaYYuI`^LTaTvM`7k9syrI*C2BQh{BzM?@Z`!Al~y9&#`H-jx(5wrMe=t~sef>iQ5V)Br^i-d1BIilfFUm`o1e&t0A-_X7v0C?=PdhmJ6c^*GrS=!gP!?Gr>8E%e>% z6}5tAFgiK5yljkviX77Y1YAy&DbKQOjO)R@R?F6gljRRyG{&LXjg16Yb2rk!7cURK zYdSBqY%5v3rEo7$o~YdfefgKr>n7U|Qri}2uR&k_@zGVd{TVP4T(9ZuCxOgmzkv%6 zYmd&>I`;DD?8fWZ%P!FxKrg>U*VM89-e>)dKk&Lwe&!iSC6YGc)k+PZbqnIr000E1 z>^<8XQ`p`@q@C;7*nLXljnLvNCSv#z%Bu>NdBt4E1_cXENI)xgifspK_PLG?!)>Rs zr-)7}(Q(|zrjt!pz^={#jDY6rM^NP8SjYC88RO;AhjYmnjGz@iYeEdU0 zt~t8Iy55Gun6XeGiRDJM8DE-2{ds;EZ3q@+Y*e9AZHVm!# zD)YjKwD=bb)A=gDCy}`tcp2KZCeq?&VVzem+yO;fCHI83Xnreh#z(Onbg)Vnms_5iEmeeZp3P}6eLxz#+)`O^a8V)h0Mbc3`m&q<>^J|} zZ~k+<`OoR*$E$pU%e{I|H$PtG_wvnuE^hwwdG6*vpZ(^?t2hZDCd=yAISV%Ziu-|p z@wUs!s)5#l5a=VFpZ8~I#M`4^py2PL0#eW#VNmaY$7(8|ppg&soX|heU)TB9NN-=> zAB~2%yTS>>pg6v4hvVaXkKyhs zei)Sdb31?Fw%auE`nS!dEf_2?DEF6`J{=!lSXl1OZ))ZF=aUt%h5n5+Spl2)Hq$0_ zGuk6#az1l*7&?V7w?!{l{s&doNaZ>G@_n;V!+6IlFTY%>SLMKfp@BzvcamW(*rbV} zps)k+&Xws?C4){&y|1twlEE zq)PlAf3PR8wI_VKg=Q)^3a||11*YW@pZ1-6yk7>^C8Gh?R9go5nM)L)S6{{g-K6jH z=%zQmcKhG`sXl|K_V0Mhh;{R@D1*Lv!U@!j>gGj;Gj50hL{vhAVbfae%-%wTPCwlZ zksMIll-zFLDUUxa1ab}wo`qRWXT}n-%BT^W>E5q1kto2 zbf7eWGSZ1j5OqVyL?Fe%C=D~6@bw^m%qvQ&%`H0!K&mBj9>|{r%SD+1Drdty z9T1ZNbwn<}n3^zTYauCg1bpvJHDFZs7dI{TM<|H@o<}>4{6#P*f43`yJ|Pfl_wI$K zUJPr#;=PZa1VI@5n1|41mxvhjI4%*7`!w@Ze*Fi(;KzO>L#Sqf9YS>rEr6IaWDwdG z`EU|iaIBxAvCWD1!FNBX{OBSeY8FvdL4iajRHJrb2^$UN_MLU z`2$a2s3>y|FqWhvS*~d63$V#R{Hg z(RB$9F3TGHpj_q$<}9>IE{CMDsOE&EFjRjE6&_T!pehu1sIvIjA*5|hU?3du+IfHv zE76SejahuE8WmY=Q5^~&xKbg)7ZyK`em$NizKcz066LgVk)kYv&WO+c8(N`!?W26K zaT!lLl>g{o`1$|jvu})e(nFw5BFzIT@O7;|LpiW*YPevAay${Hh6`pWpW%~aD94IZ ze3A_1IL9fLNQQEh)~1FF7Q~Zoa=KuKa;*7ii6@SO1XPenvgDurgAqLOmNkYh7Mpwym_-8H){Uz4-o7#XM2<4ptwKLJ1D1a8$zmyg?F3jswLj-KI@gj;%=Pa+P5I-T1YmPmF_x0?eLJcJz0!b{DNDVQgaVPTDiERn8{ zZn>~ovU>{7&!c6Y6nFo*zWdMj{D#FVZHszgigZ%l(TQ*(cmMgE78|+ySL(@1Jpn?k z)RXP=NQbV}llw2cbbiMRV`a3W7^WL+vic||Ih2FO6Wb#(MPh8XQcs*{bK8UMVxsz^|oqV{aj=R8-B9OFNv(bDYhP(ep4DJCMHZU$PfoI4DzW@9cX_zcNq!vHIE!q3j#opp2-LXbjCk zVltKqk&mRinJm$luLVVL_(7fy1w~jggmO#B6IS<8WS+c) zwzz+m`K}A@pU3R2u2bwloW5qn48E|KVy!36=meSIeAc{i60;-Gd5;k@1<@G>1<_cx zGf^45Z9K_yikigpB1J63?vNsu?XZ8%><00?`250Tj{Eb$ANH4#IN|spPERt!g{++S zmkB(16FnW^UE}EwU41a0@oj-do;Dl23syPmOk2J?+{8DP(%Ybnhs`3nJ>>+^@AJ~b zkQ8G<0elFmKjMB4c38XWCNq}28fK}ctSEG^j-C=|=#uPTj30q4foL+TyEqMl~A*B3J--d%opW%3H2kl-V;|JH3Lw9JnJHi_(bAut_ zM}{(dTpFUper3Crdz{v1WnEV8AoyQ;5bUe+ZT_A12*%#!DwkWR^ca<}ZMBT9a6#La zCpD>Wf7-;p7&wQ!BDo@dWq=FRy?30EM0VDux$_(bzZ88;QWr1nBfJbszI#gP20Rt7 zFYeE~B;ZLsl~*dNaev+easLv}fk(Rt&y?~lCdf$>V%(omOg?^DPu4HT5ejX|Qt|Mv zAXcl%P+&3}=mukqym`>_>?IMG_(**mG#-ULei5lJC_mU|n6TV8wFX%~N&97Qy}YsEwGrDUhu21IJ2t%v!lSA>n8aIP8>mS_8$+U|>Z6ZLE2<$(jKh_O zm5{vfghNdlXgNL(R~~bAkK+M0HM=&JXA{k9bkb|QIMb6}qvtU>=`}XG0|Rby(ko$9 zuy}%yrC6;3ezD71@OeAq8i-LKWy!Xso-Vrw(e=2-{V=bcxK@byKP&aqhP;(Y(zHvB58>Tu=pYuRdF1y1S!WWH!f5X z0W`J<=6iYfA*(lQ^U&hU62}9`CG=> zL$$mjHQZA5migI33zhgXksHJ3BLw|4k$V7TqB4x(Gh#zD4Qpx`a`NShF?_}&h?4l= zSfcn{vN0FIn824(5gub|m;fYaQVV)jxFvvi9L_+U1+X@lTlZjHA!~HFMu*DH zEJMIY7#+UmwSVike(DnwAy31YL3Aj3<%qm)Pz$PoVk&aYn7Fd9RW6TUF~(F-6>+Jld}PBS zIz%rshMfa{4~N#89D*e?!?yYCq1jQc7M903t$3`3h*MgH2lH?wLhv<#<*F?^sy|-@ z@1Txr6uWKV2bAdmZL#z(sbMp*#JioT<%UlbFA!XcopbzTRmA%W& zg0?l$lWaG7t~HKkRoBG#a;5cEq3goUG$ew3G!(u6@u&Wo&;O>n=AUfzNpWewbYJrl`tJC-8J*quO)-Cca4YtjbA_ ziiYH(H_j4b`egnwipm2o)3Qk5C=1miDQ~ZqV z2GETt$lxL+ANMCKBJ7XzJw!KR$GNTX_~b^!{kfg@7eYkXqc|psob;2!-zhKSQWPid5sXnwkUzr+ zUH%#2^)$K)pr_)vY(?2G0blvoN2i2sp*U`0i4O4<-Wda4(>ZZ0drk zzG{C(EMK+1p4M@*E0#mvp#-w14FqRNHaNs0~kJLAGGRqZ<62cMeH()tG_6alQ zE6Z3yI6`VuWfY)JT7Q$}xLE{18j+7op)X1Zhfb!b0rgL4VXu!KFVArYCZYHTa1`po zO<6+N!$Y7YF3)f*ZgGL2C$5%Yu9r2QrJjWN2?a4h{$+%-KITb?Z!nS&$c{tE@aKQL z;7#a}(Um4Ix~hfEk1xmMoATmtp@}W$4Y=QAI~yYUBIMT)KKd2BGh@hH$LNUhuZq#D zQUQ|VkG51GIfI;$~3#OasW50$Ppl8f&OjjVPmRa+TByCpJfJr z_fYE6thxP<=7u(Vj<5NHAPM{Erf)p^;eY>qzbEGp^kOjNmh7O{raua33zH-Rc?>Nf z8AP9BvU(nUj@v4pJ8=15K{unDk8{>DcwKxY;P?2-x+a^$gA^r8S?7lQ3TACpRFf8lzZUi@wFb#8vaw! z1ioVCumYKgIeuPLOJtzmxL!Px(b*)rzZMNF1A1eklJ#GU&* zINJ{t|4y%z-{n5jA#5eFqG%gKSf(N(9M_^K5LGEcKoK=7cPPrl4-2OVdE;~J_82K>_K;b_ z)W;I0e%Al;J>UK(bK}@}m^zur7PgAVv%7}kW2jyu|6C_ui`?r}jyR^qCsV{R^Lj%6 zv*wb)M}NS!W`Uekz>T;t_F8bIOts2CHGxx|5#!>kv8DarF78ylLHkKK>Nf?23WEnC z1F6cUB2p~$wSY|1k)*~(jszU&R0L+yQalBHa%bd6e=S=g1gf}yT~(I*An%X!EszXV z>T8g+%%zs8Q~}xRVQ5)cvBJ<}grQ}Zh^*qrq0;iS_b6<6(_~IGTREC`;=H$ zjQlXa5Zz+HRJ4s9Bhib)WQxe|)UuR|D&>hf+nMhq6L&J(3SkFAKSZ6hWM@`onb}sf zM93>K;(m*{kdpw^{!>e;NZV7|uIx%D~u1|wW3brOcjT|xdb zNYAlz?H0)PqmvzTH=zEzDtA7|UhAS54MtSq2g!D*-VRa#u+G_I(5ns)kUh5F=1gjG z4cphS{lfUVr#)={_CNdK-~NtIN!lzJM1?LMCpqaBs-|1ary{ape5#3$*jvC#LW>(( zUos5$Xk`{)%Z5Z2H%ygX@T;vkI2-ao;f4qBi=}Fc24=*@Bsyk8J~G@8Gp?v6229<- z2dE4Q{BC&aWqub8Im4n{kzukzTd}ULg=~rNLNV2v!s-m?1 z>pc>w;~5m2XXjgU-67-J7cSo6VpCND+-~7k$6z-|{O}G&Hq8|F!UYfa`o_fZY1vm7 z2Wy6#eRBYRK-a`5!TM&GMr=F8#<~b_!J%eQUT|G38)f^2Z~aI&we`M5mT=jZuZR)Q?aDvbwb0YrswjvBwk87I^rLEcDOar zVH{Y7n|*^8N9qXElEZwePgGo^1K2R~iSR=nSjKB)f(l%-v#ynJp79#lV;JAki5d*$ z(J?zMJv=u30S`erI&zftH!p%R{@KABL72qvv+pck@}n>R`CdEvFa3DV_r`y|=i$$H zY;3jsn9i4ezUP`#qUPn#7iSQEzBmdtecj?mnzE8FVBz8&`}w}j`Y`bmP&%Ujg=!Dx z#6`uR26NwV8S|9o!Q43vii_F=m}7UDOUz|%izuY6 zqL3En8w;y#vuTTLEDXxyb2}fu!}&%{tM<6*@P58vFevty?XdrT)5S?h?P=2$pTDa< zzify7alY~Tce_njXj63jg2MMF+j)O{Vd3Mv`AwZX8J#7IE!=)7{fbR|n`smGVn^jV z43m8x3K^J!-EF!UrQOxLHAQjy<@;tqx?N`ne&GF^ap{VQHcNhMlm$g5^F zJ-_mHnFJ+c5z{zCu}sG(42Am32}5Q3(9~67WRxCU$rw z0pW+iF)r=;bj}X^oZtV>*RS)HbWoC|Xcv`iiC=CwS+Xstwd;H(`Zo7ko#b$DLGhOM z=O=o;lDS;~RL8edBO{nya7_>sNBs_RdX9S^fRfi{ClV@kOQ( zIS1As_h|ND)SnBL5+*svce|sEA`+X(jcCxH4J95h!_=rVR?%c2l}ev2jwvgS6f~u< zV=JDJB-AV|WUR|WFUlf#LLiGY@n5F?H&~KCJI(&d>&M6Ob@(wjN?CwAcUO*Y2%KlS zWy&yIk`x|T-d#DqPpb;kvU=_cL(A*A*C;A}>+gH*AN?DdC4`v;sNK2Rv2H=MuR#N& zU_lZ}w70xiD7!(7E(ruT2QP-{TQHO~lpA6pZ47C*rvIN;lwy<_TCtE7I~D^a@10hZ z^t>CQ0ET2XB!&)quTv&N$Xn$S{N9{VehSo%HH9onM)LdJ zgYOI0!Ck5*g?w|lS>%gL!e-1i`p;>NCZAlguRXYCF3}3(w*%v!_9H+0gFlx$M)hJm zFpN{Z|00$~7@x$_2;-9w8DZQsna2?_!Z`bG;6V`fI5;7~o{BU$l0tG2E)5N--dq?i z#mBi|bPN_V8RUV<~RYFHf{?6^K^6jK(6|Nj~8*&+S#W@!Jo(eId z73UtO7}1Y?+5PYR>#xn+R*8WII0u;nFv=J+o}Am7VkaS#S?JU?AZ?0a114hu4OO^` zk-M7XY}$PdHWBAgjikI0!!|5ltr&6c0CKBPJV8jc78JX)5Z^_dJ0bW4K@AGXoDpBG z7{gUiMV0f`LGD%+e)Eb&xY{?J%pN#FRCzL7Jv1QJD#mV2IU+AvgsaDWr}O+k9C5~~ zedUWl7MxEFLrXen1YRN~-bL9m{;R*%cTudg7tSdy$YdFl<&~KZ8KCkmSN3BXP0SDG ze~VQLT3B_YwBQWWGsN|q1BNdsj}N^^xRuJRLb#2=^xW>01l%;#H!dxMzqaW>VKlBK zNtcynxRJqFLK;QACa7Umx1CH7@CPCKzHx8xmPaf2Ag2*-9A&imsF3%orN?eJ5{r$ZWN z!FvRev|JA78+nf;o)#`Kh@ABf@qplIf+rddcq9)roIebT{bf6!KWLobiH7}8*YQ5V z(=2$eVHcSt^oB-I%OL;g}{?q%bOT z=i177e1BjkzH(mHg1&Mdr8)g$o%8rVzxQ+g{MweT+zGh)l9ezf#oCq*>iNF0>1EDe zS>$pJidgPs-FwQ~(nk_^wKAJz#sX~DtP6O>#msIhuoO6d+tsLto$Dc~zU*hLZRy)P zW$kR7MK7HLaN&@N6DGcsmUL%l`H%1WRHW`!rpe<>-TnASUjKi+HZx72$rhL`?!FsB9bB^`kWI7vSvF+K!wpZ{hQT}%1nr4KED;iBLq{d@;-)9aoHtWi5s^=-1ySheB3|%k&KGkHsb{?-2(p-nRQk0#vEo%#@kzl zif#zuj)NAo5>Yc75*6JL8lxPu2%MdpXpNv$pb7E^Hn}mcNL2I{K|)j|lDUc27-+rM z>^jOCS}({e5*2+#s8&GXg2;_>fKorRAyLr{ty+K&(|WZa8-^A@e32MaEzpgL*26i~ ztO59%hxWj)9FRO_$waPO_9!d}CXXJSK8sr(QBAPA!WOOuT@gReS@ag+1$z;tPw3aGm#^p?n=^ z{j|1H*wbXj+ghRgcA)(J|NVb{_>1NSTHD}8U=>2WvRkeiXpM>101LGK?e;9y7p;GU za&Oe@+dZilG-Q`XX(&$uPcQEdY<%FMcLPI0d0G)ogo2WX_Sn_4q8Z@2VMlTbK@x#3 z6v@j&$ILdek?c{RGV9)ERoNPFUD;$gdEUkF$1O-()LUfK08&_B*PkhcPJRkk0MmoSnB zuSa!B$6!o@^M(x7dybPXG6cZIqTDZfX5|jJQ4{5Jz;D28t)g5l_58ShS*}(-M9xr< z?oibCVNjlM3F~_q%^CJbTKy2q^)M*+m*03ge<=&(k0bn>T;s+j$QPlhLw?RKvak>D zqFi2XE+xC#%{_xwYi?jUT0!ea+tfXofB%*9JKy%=+uxNd^dRBZia=%U!253KCHP}` z<`S(A#qvvZO>buR=D+p@-;{F$%0ctofHBh6-psW((}uIm1p5Z%@@GOR;gP_&2lw^g zb@s}0bW5&rBkH~yaT;>4FWr~d=k1*e>ldi35j#e#*u4C~f8*G^>=Lcmy!;YfgUuiQ zjsN~L{z%4V^<`aT-8|c8`}V~CiSf`phLflxGbhgYxUc49Y9orT2px3jF4GWwCQ6LA zw-89jV6ul804f1AM5@0EdSkGEY$1xShvsCEGCGdI{sD2URRUTx!<2?au)l4&BJvCv z`dJ&sV87cV6Jg~&wb~qp~M`&E0M;zo(E8|m}n>A zspzqWGf}GJ9Ns$~b&Hu*zb8`W)v!=)){Mb0@4gV54%#vC+$e%cO(Jha5Wd~ZFq9Y! zBV5Ed&m4?0XJ2s7HM|V_Ydq=WNe7%X^a5c0%$=X9UIR7Jej1K{x-OX4G*FI>ydtPqMWX3i{)KjgQ#8@|+Y|SLbCc~-L z%+Mi+V1Ay4vjhgX7KKKWL3ydjCu`HBu%bYV%V@V$#o9 zs|>i4bCp3W1=&wSkK}ZZCWl|drb6_9BAyD4;Y?HIpo?-hH+@5jcgYjfuFG)3(xe!V zUsYMKL;S!E&No(>IQ^q&YK1}JiOY8Jg~jFIMx&<_bYXCsS$M&y50Hp_v%$NdHyC~j48}R4`$GEg> z>QUgXQsxlF<#b4FSp*djetEG3lPHxz$dJS)@H$3yqGoSjkfAJrE zUgml@jSoYx@N3pB{B1cX3Xdx=Ia*bkw6_Y{CJc87jKvU18_nuuLq3muMYKmKEAe=Q zKBVMevLRj5YzTS@vpOJfSe_^w@(Gm;@vsn{Bg_W#>G^1KLvTkp$et_0ldcX;*VGMh zhLC}PLq4`*rfuS(xW$7@R%9}(S~1Wz@z6Rnt$vzgYqFyG(+JnY4~~_feWG8v4b%#j z@#6ITp_2sJak~dWgD?~<>3{i}2rM|^4^whLTpgTead|q9ALMtmqc~Z$X1qQKETJPq z%WY%w8h-Re2`xHC%VzMpmrsqd+H)&-pxNuHUh!DT1(S`VjnETAITiMF$P0JGWW$H$ z`Eiqd_QiC)3!WMGn^H`E18T4c9w_6ycw59_dpt@?5@s;Z=z484rtW9Umf z{*#kg+)U&9+IT4LD_&sQchbvy$r>NY+XEDcU6}gn z;?QEaHFv>=GolD`q!)lNXk>P;07usr~u^i1TS?b z&^{c=I}7bZLuB7v#S94V(CL58B8{`XhjF&{Z^r+?{wP7Yea3RNver!us5K6V{c~C{ z&Bsk(4O^pi@>PU>KgihMeBkL<+;4(A!}>rLOc{E?FL zfyu4aJt$=_+YwW3_vB^6a%`%tq#^Z|#J6-}Al4CyvJwDSjM zzON`;OFk|hzs%2xrd(LA(oj=PwDA3D)-2bt2cl;XO)K(~GsA8N(`_MohMz+&e5>|Y zI3Ry%`Gi%eEPs9uyDdJy=$wVmFWX^%5qS&yLnf`rPh$FQ@%_to-XA%}a5|7xlZT*J z%CQAkB2~(<$#kU`&T%hd&~6Sln3BY#-S7{3x2`Emt*=fxvoEg0W+hP2R{k|*$?rj8 zAx~NUt^e)E|Kexol%-M|YQ|b@PeaO$&sO6=SPWuYh*3m;McUKgl$3_@h_X(pNe-Mm z%e|#4w5OTaa6Ys=w9|?c)HXh?{2V8#ZSvf*6_NSmtUJ>0_VC@y4-*+{Js54`+k;hS zNziwLuyXN-YPw<-95y%NJKxq@*=?Vs3zf#s+GTb6#sD?~h5?Zq%{RB5e zR*&fg0On~+HdbLsKfw);7&j}Kl_Mxj^`Yq}xZw#1j1|e$ZH#}N5+QiqR z+WkmEo$9j`KfzD4Lvl*Lq3!8Z*PSI->6Sf4MhN;Nw*zVZ_{nE6J%OfG{xn%K0_cvi zim>+*(#p1F7Vj0g62aIB{(DB{l-` z6$3s0GE;Gzsx+6XMJt?_q^Biyts5uN+rwtY<6`aZacBTO?WM=R`k#ILhks%g&Y@i< z(dsOm1EdW1XW%@^*UZ8B4j#FJFT`I82zu*VBAjEOu=bw;rEShk#?%tdTZZ0*bCfHq z6(gJj^eFctxIb92fOE{^QN!a2`f(8^5l)jS?tlvZk@2pM-Xxb#vW9cig>dkBI8UUn zos1VQJfi~6yN(77(J|Vo^r3DJ&J*rieC{yLf6s7wC4>hY&-g7XkA&xX#8_O($IY+g z40;dLS3JKf)x4a!2V55|dn=x=GV1p?FTZ;KrT4#dzY>&pKZ;=K&$<=Q!!YJYM&Y`^bJ zMjd2jrM6~6hUdw!X^+CI#SsR>7m4R?c-oQ63jpj6fWYb;iRZ}>!gh$dIRSB~^&;g) z@9@(!K0gdA1m&j|%7q#BE^r4mT>$3g&aY?trf2#BqVNs6#i}I*&;2a>{mJCxn|3g# zQK>|J^!<@+Zw{g(Q#zjJH7K2MShZWnG+=2G{})J9a#2=tuMpFSKqU<*+vHb*!c$uA zaY-ry)rcWPmWP|3dg&>iWbn4Syk z=oG^^yl}!o!wDxW+X0?sAadUSHgsWvyqo-leHxld(|Mh~XbmcvoQ@0ZxbffX3@*_0 zam0DrPef<^V_56m9#%7#Xbr`0r=R`vf9Qwby-q-pp(v~;@Of*)2cBIgpg2xfz<6;GQ$CQ}2QyhIA{!&;)d6LV!4Z)zc5=YBHy-&%XsUVB%asyg@n z|Gj_TDP3Kis=rq4UGHx_zolX04}}gWGpS=aG7AfdL$(P!o6wRtC1f@AQI-vBS za--j1-?XE@NV0vrExa4Jk0^C4d^vXm_mTLfzvve~@YH9nrI-(JCBx;6M6UuqWpRIo zoXkAM92rmI_ic}$yT}BS6!U`hvK$dzNHpLZM-&?+vV!I$ zpWWXc^j{f}GeF4#-RbD>c4PYc)08zc_LySM1I{FU4FDzuPp(MjH)z#|E{<#Uf^VnL zF!S7gQ&)OsrK{&VB%~pLEt}lJ)5oyE-+FbiNe&z6%R{nTIicm^r^ZIS`jqq*)muQ}3a<8nG4JFUlN>f=*HH(6WLpJfk7twS zu#t0@x=L3TZJuc-dWD@2NXEcI54^sQL~{YhEqLv5(klEX_5A{(M+eC_r5DPK*}uoU zudo*iSls31205m(xZ~>Ld9-451UJxr?Q-xAPxubr&f+{@i@qnIzw%txeU?f?;Mdee ze2lH^ByGF%`sBX)yf^;PKmV`Sz#BzfFgIb#t|g$i1InNTa$rd36pJ3b(L$4r%cc5j zLTu^58wFZwna@>!dGH34W|$h1Iof&fX3G^Ar#zWzq7Kl47*P>*VuFfrBTSBwrq?J~ zqamr7y8~L7FM&7OwbQ$TFWF$F>|qe7OBr}$(oNt!RCHMo=8GN3u}w@C0H3F_ z+FI}(joN5SpU+eij87`c#=~Gf+PISQQ_6m6#+nXwCW(y$IRks9NNh*&D@1U1f=9{O zj?pil?l`WKk@s3zuOoOY(qHcwz4KEFK@91v|LnK_#J{4@gSPZ^TR!Arvq z>qsg`)z?MH&N&0xiO?7R1+gfn4aAviZgBx>RhTjpyue)KmY0|rO z&HAsTcgb~RlwXd`+ftHu=l6Ec>Xkdcx80)M`Mv!XUE=)yzHhkqpT0l0pRM3a?J(q} z4jnzvEPbj&)HrMcP(^dv2ULaYEAgvWohH_E?Sx zx)Pkh>Ph;7J9wiU4Yr(7I93V>zr+ZSpjLS{9z`1WywzSEo6Ew=YQR<^;Dw zpFqqxHZsGZ{8un1HC!X`Eg)V*Ct1pe_3N4F*;Ved)C%D?G!@Tv4hO(7$;uY}#APpO z+wYhzg{<&|u}eBDr1HK5T5GK1?yMk7vaKd*=X^w5L06Vu#sag&W|>(DHVeYg4W(zm z_E6n=h6QGqWU-54=MIM{kz>Qd+qhWB1-sl}4ew%fv+h~oH?!H%4su=(d3X#Zzv)}= zer6G~z~@1(>48}aN$mt_5`z`|)GAn|IYv3iA+HG_NJv^SSo^+2x-`u(7{fUldXVcO zsg^AW#nk&6fj9xVq0pS|rYVxHgFHqLa!pmDX^j}HUEpPjBYK0igQR4E!*U&bMj1y; z3|1tGYeyy|SY@~gNq+Le3^c2iICa%vMK2_}vT246p)FE8%*0^r5RR5`a|7BJADEWo z^g0NdTA{y;8o_~C-i_&%kPd2(1iLXN*w($avZ@IXLTA+g`J*#}WgQV@kJGjs0a}y; zPy9Vm2t>lNx@9G?f@PZ3#BP~TN3uw>4rOcch-4`!3g+9+e?d;Vx2e7?F+49t=Sw@P z3Qvh%(y|&8dI%aTV;yPZyXx@R#-VrB;nAoLr!RlwcmHIxk2UaM_HhV9pg0I+Oak;s zyH?M1*aU2(>R^Hm+^HH9#SS^c7_rF&hZ3DNR3jLW;+2M32~8#lTvS1#E(Bqu#;K_~ zm|!y;*?^61Y#l#Xx)@#2Ww#~H~paoycWe)L9icxrbe z`Q~OtZ@WdiC-{0i!9VvM@A&@T9*tzJFQd`Kz+tF1!?!Ku9<7#2_XP+qSqgkaZnA(w z7y}~`>1@Qls!($+HN&Wt&IE~cCWy)&miEA!9#A5Y35uI9)GLo^Pi=!H!kHkEZX8iF zlciJ|b=?Hnc#K54QLrF^CLj?{Xb31rK^n;>h%hmWiZEhlRHIQ)oqtw+-IF;~PJ5+P zx^0T6m}%!AVjNvEzh?^(ax@*&bW|_KdS5rViC5y+%U6 zWn;GJoGK=Ii!^sNylwWa>VQD;Id{2i3>nteV|cEnN>F>oeI5bZfxE__*_caqdxW`P zyM26x_VIn&!{LeDwS=3iC2YGzyOwZ0TEfr#ozK7XS+9yY_u+1YM#aVaNmr;pn9Gihfucw2gw)5=;=JC)&Mg7 zD+-wnD1bA~H@4088*xP-WYISpWwRw4Z0n<0JL0XKcykk-jJRz&#M`t|7wt__km~7#3&5tn#Y4Jhr;oTJr5PcMVAem?F zg0zrC-$=FAh9g=trWeu$X(?G~Rxyxu+Pg7k74vi6GeMh_8mx5oV?H4E3KVa!{W5G6 zKn`pgvOHU$D_gGH^25bp(hyKmenI)KzX;v!6}eYfk-Kwh1n!3M0ZWp>ri2ZwW7_L= zpL^xbi>DXvyzAxD%dfqBy8Apja>zw_=|$8o@vkV&osl`ch>xoDo0h9Q%1kdawT8!; zQ{xYO`j3A64@SFCkt;`U^hYL+frg^6-cAAHGW70B&`XFQ+9vsgn;gCSy3))kr2R~q z6?^pVpf^bP6K#+K(Po_5qc_@A!MhAga}Mr2dc$~O<4K}j_28`y=L|nVy|WR}4C~IW zD!lpLg!x=LPb|Zk8%jqxTL^O=-e_hzJ5bC@ZwInhWoic|8Md&tD&H|da=lV{C{m-T z#)RIaW5lI)WA26pI45+Bl9uD=PfbPS;lNII=L@?zF|#Fc$L}w3hVl3%m@ux1#x^GX zJpGigJEC9Ahd^-FLkh;qOBa+CKVgKJA%b zjE1TDpAFiAH~Ptbi5fZ!z0sV~Fs2$wVT@py+K7w%%t>H$iJ#dAMhB2Y3ADj`6%Z>< zE#I*aoNW7J2xEfwI1ePs2P+67rwAFoJQ>70^OH#eql+xg!~i>On79ip&f;aXqg-Th z_JL7!T~;EDwroNCoCPtHyMr><5JIhw7X~p2s{*Bt&ndc(WlKo!RlrSCeKXJ%yj?Y2 zWhW6$a(u@lX+m0)PMGC5$$pfGQ|nO4pW;T2>io+A@^PITFY?M)#P z>c4B0>MnX;H%wS!?H% z6tO2q-NVM5O?FDsqOK{~Nr2iF1(~U?06rzTiVA?HO`R4~qNDV6ySq&($bVs`!Xj?G zg)H$@uOv_tbxOjd?J+19fts6}C{8*=T-x^dK|4eMx4jF59U_uYw!pkUVTJtxDTy`B zd{N<}Pe3k@DG98r0`99;(Az5LSflO2<-qK%ocBI5j^fqhJNF7ia{{6G!xd{F0d9$TZ!c0|k?> zy&!c06GW0QDXHNR03Qbnm%Jc70w&nHKFv+e5KbamTDYP?5dIUyEu!)IA(xdWNWA9-TL+aECOmaZ=HpWDb z8mPZC?(Zc1{Rz$@NFK`&rgxIb4JtbpW5ETEg~^!y4j~}|krK`h!jx2UYw%r^jKq17 zt0S_$5QmrTLASVG6vZFdn}|C1MChds>eQ3RZ<9_RIW%CY#J1X09NjfIN z+wdf~T+lg#lE(_|EV41Z5&{A;mcDUJG!e-qy%I8>IT~aHqS7#3u7q?Is6Ay&=!&7j zJe<*er~w{e4g2{wSw5SY5U^f;UJoIRF)45NPCRoR-igbj65Ehvr{La>ELTs#tzVTD z{cH#S?YHO>3hu5r{nD?kdmyeF{E-t!VBO$>7=7+X?bvt@{CiMQtHZz0hErb9gFi~j zLQNT58o6!n!GBRf@!-Fxpd{eGsG%eth!Lop85Kp_W9WN>D)veX)!?g;a%q3!5|uE8 z0`&%iULrKoqMDFMdJhEpXr9-5q*&X=TvlhicDAg}BzI_0o$|tBIa6}5wT&k} zE`hK{+ic+b5(@-;!C=!>tg%CZ09^A5UQv(^kg&#_NcvtN2uihFkPtfx%9n(KJ#_+p z+Tw^rSQA`qHA;Xr!Npdi{4|;%A{OWSeToh=N-j41gX#-5pZbGni2r4~MFe^jS7+>IiT_Njprazrwc~)?0n~p>}*8tyUh5S)87dGI(u`{=OnK?MBQQ%!(XdA z%#s$EWtkYr;#F}5avrpoB!_5VqfD_(_&xe`aHIGoEj> z+`u&_I#$O}6Bjli_$+uEIzG20yMqagmT0jhSQa`yE9Z`lh)$BUIswe6_6t}x3JTta z5&NoV_b)a^Q75_YHZ6D?1cOJJBZB)hn_BSJ1kp2F5S+?Eh|Z5w3*MR_%zI3Yvqqjn zKBC}l5L{$nSG+YzTu7)HXkvo%&##Y$5lj*x4rm3GgeH-lPSRz&XM-1&tU_xNyE&I4 zv%urjJDvljt~o)Y@_GDI^3!y?9E}^Iesjl$H*@{;o_xC>j%fBT;w>nDAR5rJ1-=Kdc?bQ~MVMUy< zDL}UgcBrWbG$Cw-jP%@B?Y-X}^8bNM6zq~Vn{?Uoy|)otv_c7Pa`u`|o!Z{}-J;@8 zY|9Qfn{)km?>FcfRcipDe1PtFGT!?=fcj6MyTi!&$$0NKx^=Vv7)%S8{kh{k@qXjj zXjDV8)qDo;qEG4a5Q5k9F4OLC;?wrtZ*bmH6(NC8opgOGE{$yqdX|En3Z$V>aHh4k zY=?8&@Kpd)z%}py$a2Wqi)bE~Wc$M^AIu?qhwf(>yT7EmU=g}^w!ED!FDS(cO`xe_ zJ9u9Yc)#+~-}6cTUIcHg<}~oOYV;V9o@t>%yW0c--Q@LQdy*y;o`p@oWP-N|_8lyE zn$xK44?g55Nboj6aEzTV!zXx~;K-~4Gj!6Ngy3z09V!yVqDA8v5YJK_h*J~1O|b2O zhH^6SUgv@YZxe(!0`6^|HAv<)(>;zT;BAuNJ3w;3NYeQCb6;vB8*KVth13}~p8%`! zvzjm_QfH#Zel{-aj2VbWByXoQeG$L8|8lHYdU!imuo%nEl{=x}%Pacv)wqOg9lmDx zy4{3QFz+Yi^Wl8Y*a45h=q#QP1fb|0+nw(@OYV{iHMc*G*Vo^{HGYxrTl_I`IK4bE zIZTqp;6W3=m+Yr7l&vtmUS2B-w^LYE``^wGZZMSGg*zLFI67%>^{*&+g z>wkDPK_2y2V)d>?l4IVxG~gD`_84+bBFV6hC8P?kEW2zbx!U3uv-Ix*)$HQgM$J0i z%RV;kBgqZ?Xkt&ofJgOzD(EB0AjD@+FFLGokeMLAm=^YBWj>~!4`Qd0XF^z+~!2Ft^4hEl#<96Dg@H*`}h0A8XVcBWhZqcq&T#ruiTYmBHefr+}%%f8V zHo?f1&wuSorlQ!~k{>W%o|B*ZTfi8@6vG-@zjb@>eTJ-jw!^#iln}}}aLNeg#A`De z*J65)&?1)l<;b$FvDR*_ZvSjuxwX3O7VXHg{T5wft^URr{k9LjAzG{II>`OOfo>Hs z$f68gz=Dq<1are070Xb{G~+l<{J|CY;b`E2$t5HY#Sy(fIO)Bu5!EQ@{lTGei;~Q$ zF%$(8e=w|GvDwy1W*3~>-XFZ^+)n(#i{5RqR_#hIy0_KuH!|UuJRex!Gfenmt&U@M zNck2gd6FUODj$(#n{QD~0GymCJXli15laddk1Hp+Cd1smR8D5S@ zc4wK@yfw={o;F0GBD1s1?#bDwFL?WN&%ZMkyP=8BB}&0R`X^udZF_RICK*D*5f^sI zk46>Jo}7KprFYGN>*+$*aD>-vNs#)QlCx*L!FzJ{6=rzd2JH{1TlVDa5Nt@&oE~H7 z-j+YV+w<3B&-ee}cmI+1zu}1|(2zmOXa%LviK1UG{qDCrvsn4)8x@TB_!EQvk`L6meM%Ude73UphMXze(n zhi>$s(_}1!r;O1&2yRZsx^pksv{+E977MY}nt>s43mfJv+6>{AS9P4zS!>qj$;y|q zL2Z;XHB7;z%{|wn2m5VrmC(FiszY0##kz#Ns|xM%$Yy0_UsmKDB+=Dg6AcDjZ zY9U)Sa^mYCcqEm(E{uunes(uNtzXqkjzxSXw?9EAdxB2(1f9-3L8mKvf=(+>5a!wN z1YwlrC+O{GA0al2L1tczJ0nuO+?|%m<~~pjx5`DSaSV)mYJ7NEE=pd1U}}6RgO4Z7 z!2czIda1g1aeGw7`%FdB$|Y6yISpL}?l+&PfVe*MZenU81QIuttj7tf`T&<=JbqBi zG0ny8&(FVeV_^rM8d;bRKNXJG-~XyPR7s)&1k(M*$2;yX zode?OzzbLPqb_lKp{ z#24x~J&VyN$8Sr!=A6%PklpNT(_T9~P$|J5Pm*h|y?na+yqu4m+IUK5i}ee2lfCpJ zPGIw0q0YMHuFT7g2RwIaxeJdv+!Ld6R^(`gIMJxLc=dh*bT&fg%%n@pDwh#GLGnD zQyp|-sa3!s9P{{D5}QKA2`53#T+#}C%m-=^)is+OB^*?(7#%8tY91#~VpC)~(Ao>M zfK0E@CWVQrDYe(2Da}NXWNSz@yaz5G)4=UlRACC8e7E8FSC^$IQc&6Tcb?YAhMoJ( zwC=O3+}CmV*cZiq_e#U7tuzdNsLOLl!ZRH|`H2T7g7ES1j#poeQfD#eY6)T#y7>m< z--l`~;kH{ugnSgY$bq^p;%<#^dGat#RRV|Vy6E>^RI45y4el@9{gl7%IrGFy&V5a7 zg%WpxT`<_?cDU#80bu}31cA@h5yUd7AIJNenz{((22~yE`=bXU@in2Tq2N@5>cmA~ z6S#mRB?NLtM>l!^ysxP__GF{OF#_3g_k;H}4P8lc90;y*_(;YKOsi&~LnC#P;N3Rw z3Q7>ryif2M=bxXARyyc0gC1DQEP&)yCj|c&xG4lr^Xv_eb|P>IbKY4T+=8<@+ZkAo zC_YmO5U0)XHaIDK6~NA!cUcvKW)+RLws_M>1Y+8OoD z&y7gDH9>IRz+RSpxDDs zlJ+o8dyYd;>UVNH_=n}9yV+)=PNBJ+S|VF^MUfzl{Q%r!x83E0=gWSz6FW+{Hc96R!ko%9n z<`?!rtHxjh$c4zl9%vO%M!vuNzODnUGmhU3Z52LUl9OcrdAQ68UDBy;Ac(yLUh%Wj-#P=(ubln53uRM2p_3mqTAH!xT zd!Y67!ksh|Z6QhRsvW=o?l1ktzvb%j)Fbc!j^C0=M)O4K-toKW&-ISqMSm_WjNro{ zhxvJbZsPbYD;Ux+Yv=gUz2moQk91DY)1xPj-z5)m?)Y7B5qrn)Son`FqDTy9$U2-U zvSpIk;*kE^XzAR;3 zd;`vS<{LU1&pY4xhiZ;g_ynzZKX%jldQ9uD`n69l{?CsZ{l}_D8FZVGIr8fu2Ph;e zg-yYKY=ZqzGpz`;IY&5<1#qGup?egp2Q>c_!D&`BKMW=aUohFxNzC4tX@;)GY@=XJ z#vVy_4$V^H)P!ym>}wPasvx_Jqxo;U)=2;J+a4$|R z&~0;fH7^Uj;oUH3bk|Ax9A>no358?>8p~vpCppZBjx(SxdC`+}aLt6V>}^ymT3+;o zCP}}}L9(p|7SSOJ4HtWQ>YH5Ws4Z0IITq9jj)mBU1uM1YF>^_6cPuSrZQZ#SYWA@( zn<$OH2Tg%XAvv150#;YF1(iCRoIU#PInp$e90Sf-9+$eA zN_W73V^$$6{(N%;Rx~!r{-WSUUl(>r_D2PwFF9pJ<0Tb@sN_p3C|S{%`1-We&&{94 z!lWWIo{m-##_Ly?hdK!b))MTB!`1bn_Mt$O64ps95CX~dlXW*?d#HT{W?dcXBo8Bcv z4U)MUo=mLa@f*8YU=>$$Tt#_ob96-1w{dYBeB(xD>f4aOR06e8AaR6)N9o2b|FV>L?RtVO?pWY8>4a#g=EB?d;r zxL9duFBl9DjoORzpZgzm{^cd^zju`KN)G~h*Vj=>dPX5^Fw!Q7eSmDS&~8~MHw=Rl z3_Ztla6H&!9Y4fLVVwV1KZ=rq1cg6TJ&57+vT}=d590NB5I^UAFMi7&`~%+-^?uDj zwW!)2{0ksT$3)H*#lJ-&&e6+ux`DwzSdldAeBSIH{M&mP;;QVJ-*gjO5L_r$HCWzLFpd;dlGqsR3j7PzOF+Z5G@WN*$pqCh@f@jNl zE(&?k@4aj(W?Kat(aTVOJ=M=SlaznY206RBYL*TM?@31u$T9Jxi@EO{t9h*?l28*z zx&$Fpd2bW4h{HS5S%i`}(kEH2sUv;D-3V+>9O=SU=;xPk%;?BvQHiG_WR`8>NM1>r zP2IwJam-T-mRoLya;R=$@=Ee)B(WdJ`5+7y$>{doYLte@dBx%(!t6%rwmSDKry|&P zi*}>*dW_P4{Rcj^{>A9>)!?!rTL?8ji8wJGw2Uox2(YIyTNhep5D9d;^t%q-Bw*|! z%TIPg2j4Mp2>b$p{x`<8<*PNOVhjw_GXM@^oos3sSAKE;E3^uNXF89myRh<;U?(E7 zam3>!OfQkIF!g}qJjqC%>&GPDvLFajWVnPGs79aPGzlcQeCx3EavFz6*G{-tr@~1e@D%4NFSBQ{Z6ns*V zDa^<*PRxqXBy74;LOCH$26J!{XNEu$c9r!p&dL&z2O~}v?I0dMJ0>S#Ye^Mx+JdHz zL~S8cJ|svT;a<~6#9hF87N|CDg!^n2mlmAMm!p4px_9)yx%HykZqbhZ*Mt7w_vb(Q zkN=Lfrjb1o+XDKR26%m4Kz+5JnqJPT}GO-#7 zp_yQU{xul{DcBhGzd_sE-kPF+)8ZH@*iXoVJ^FVDMkCBUpR1ape^<-YP?9DIZDQnv zBLTZaF$nt4Quw;GKb{5q6G*CRApVP|lc0Zh1PQWAR!yFynSTm zO?$MY)*-N9nB+C<2?Ei92}zbiJ7~dah4f(STXtPUaE15W1;D@FqaHA7EqR9o9X3%5 zbg1M{ah{+l1XuTQ#^t>?jy#l!UqZ0nYYH=}K~!AS3t^f9J5B)nU94K262VV^b_nBE z_zBY0tzLrgbnkk?t|#~=1lvOt)K5Y-nJ(hHKPIFlc@Wd^26qUw|GMb+y&(j_-~K=T z;8*`h)Dw`4E}0^4dn3(NH3=m>;?G^F+tEa1>UBT4#QNCdV&c;k6>L1Qq789nhE(4`C&1^9@K|W zQFx465$XjcF-)!jQ%@@;Pil_J8R!M6C)kLcRI+fy5?N{4F^ZmGl1(YJzu*G^$&|V> z!X{iFB?u0a561!O0WSG~(iTO3Cz;$FR6NKv?<*D*Q8#mvlD21KmIbO~aEXQjO~7-> z4BM^%AId7NWmhDmPHe5f0YipKeTJW)!5i$s|19_k*x!ev-VHwm2hs83DJc# zn{;jmc>XU@CR77(`=eh|66AnD>NZh*Vr16H$|Fkn9ul`r-k>Qf4`4$lpY6|Ti6khb z3G1;uK-Q!7lY4Ra636s*TrJcxT-|1U{)cBWpHc1vME%zvXoBNfwTI% zA;o}#R>C(H@HrHGNQnkBJ_X^MjHt$7!gA_B?u5Wwn-q;r!WHrmMelu1kaZz}^im9JuMS0(I{AIk$#8fIvN8Hn_yoOMn^$$ zD*~c0V8~>Mg8TxGf*qRg2Qso=B^0bz6$RHz5$4P~%bZR%CbO8_p? zLs$X(Qmt2XTxUz?u`UxF@$a6uBkhpvSBEpBac+qCH}11s?zOWmCpPCws(|WN5$q%} zAUe?RlWjRXox9C=bHQ%gEuz7;+l<@N8!u_&^QNEsQ$O}nv>CO!E-#lxH`^ZgKu7Wr z>@lnI)s_Nb4fVnU3_A)|$B>;B1;y`@n((!UZf}I^ByV?R3OKF=IJigDc^@IjGCXaJVBryyA+X#Roq%P6I z$e~#1+NQT(H^M6B2Dr_gv(ZVL=cQ||n{(0%=amcKPBO`1o+eJ#wM_CnHxAb7C4iTE zm63RUk#z_+Dl+GzISp&|BKl=btcZZi*V9>-a5;m=bmS=68io!J=?=Iz7jU=Tq8)Ir zCtd9W|NR?}-?j?4D84elUG7ucAQ&6~cezh3+?fWri+yU_x}c|&SYHNKIRhT$U<_;c zRtT#jundGIOMu(;%qasrqL0u7nLXg5ZiYCDsj;MP-#E2|I0HC>rjViX4S);1h5RIt zM2H(<=!Ehl0bKO^)!chb9vJ1~356Yr{;5q+|ww1L;rB<~NJ1teo4y1}FTdB*e0 zzXPEodX^gP49VyjLPxRdE-^D~p7H#Or#C_s{I)AaU%BUYZ*AbQS;EUMn@kkuk@>`J zWj0x|y2H3qkkQ*9t?DIfY1AU&7JheZV|%lm#NnH>qG|0gemyY$TmO|$u6LTTS-W`* z9Pcz^85SF|&;4eiXIFzEY@e(du-(I~ml@Jq!mJK#CC_jK+nr|Y@$50QqwAyODtYfW zebMjztQdmThK%Nw9$UV`pa|*BMJVwJn-DPNTRI93Xd^3jWl;rdIttS5Wr9PGh-$Da znTiZ+F$z-2Ho>+7r@sh-s|2>M6WnS78U~y({%o`TMm!MnFQnAU@+0XoYsQ}8kp14e z-5os9HQ7a+6PD(__rXFv#Z~Q@ihrnXJp;QiNsti>J(W+$L|_(rR%dASD;Y(6EMlJO zq#jyas_t;t$Vl3>p)SnaOY)P_q+Pi*W581k;LF{w;Q?JS3U;oL?MA_sbA@cXMZ4X$ z{T5vk@BHB({=0wcx2{zI1u_g)0U>Wx!*pY*hvnUL5X(;!L9`B z2nGATm*O5@x+3nIAV}AzI!g<<88Njl#Yu`xP}-}YcI<>J#0yHiGmIEOjSk&f(uKUB zxL<=H8sMOjhj@N9N7f7a3KKNxL4OPg_7$71OB7SbU?q&uL)+Zwv-`UPIp#kh$F!6lGZ>4fG&T@fAqFA2ho?!^(9Gcy9i+0`MdUS*DcuzaL--Tx7W*Co{0aeH|V!3KDfgg`PC~;Bp z4OD@aIre$*X*zU@BcP$c6d}kI^1W_=f)ok@$x&QO-1PvR=mz{&=!nY|!d+9bj~+*? zrQx0*(d!1lWQ_{XmEL;YVC<}cZ)@NtaX6mn1`SR;AUn_;H;J zlptrwr&`Kc_F(RpGn4!5YOt0KGjppMM9_PL_Ua?Fmt$yn=vO|_JBDumKwmkAZo5T0 zhHk$_mtg3#cYn{9zkAJB4!$f9D$ujN#+cO?!8|W(6Zg` z@6dzT?HVGq-w_riJUqe&`8Xt5Z}PM33dr>+Aph&Hf8~GiSE3QG zmEvZ^hq`g(WU(_dP41yYQ)j-4VN*y08wH1+yqOT}>%ajX1&MGb7=ra|Syg}xDjksk zXM$}7mp!2nlpXN(r-H;c6YT3s`L#Q6fU6}PrzX6aAT%FMEbD0QXCv~PGzx;KLxT0- z01q@?aYTNtOc0yd0R*Obk3y_$L^0w=$qK|HA~~=)J3Sl8#2O`=BYYM-Z{!~2=Pe4z zC|MkNbJ&8b3LQ3hM{WeSEAcRMdT-_p^`j(EDf-qx23YbZa9@pR-K+>Z}F zJjlEM;O55Dx80&0zOM(q|Ms8%E&t-@M)+1|j=^_u?L67nC4mg6d~7DoENG#cAh3q< zbm@8#vbe*h%m?2c**uGaz*0r0D98_kjfm83CDMjqlY8~O4<6$Y#mI0u_3C>cJX8=Z zOolZrJ`Nuz5R)P}%!lfTd_g)x+HP_(sSmznXDs^Q3vo2~5i=ir!5lV9!q5@;w$omP z+63U{xw#bk0uSY)3tmt!4QEG$m5t64j$7iA9nIWtlv-X|huGZ-e}ztXKrHhxkQ0MU ze)ht`)nzAFOId^e;C|Rd!UMcR?9GMPZMSHL*z1AVH+|V}JiWm}ZrL@77BX_cGsBJ$ zYt|ZgHAv7*!2+P1T7Zd#e8g=R1F&sFe+4t^Qm)Fjt~JdHVRK|=r6VR5GTLZKHW+$V zWWAcT`IQ|bdO2&W$hi?y3;D>Y@!5fKuA%sNjH!h@kZc9OIYX0~TF7-z)TZQ=Co{E> zYxMn)3pCTCIy<9`SkK@FQ4u{56AQNhDUT#i?sTyrT39NaJN?40X#Mi?(nTy(Xe*-z8?5~ z_Pd_^O>c}5cGabF3;FesrPjgb3>I=Fsp;NK^%nBz;D&!2E^#UZL4$-9?=9rTytl+c zUdnq*EacXDJD|v}$rIlMzQdu=TYbK-guu5+E;g1(dRH!I(^0#!F%d_-mTWpv0$i|L z)?KE0Zf{2mo02E*#+E-g7rLxJJhR?D)A=t#+d?fCBfWbi+Cytxxixn^JmxEqv*+Dy zhnzj{?##pV`s`HskG}NZ|Cm1${pPBo8T*N@p??~D*P1|ntVuyKhP|miM57*pN0MpM z)m*ko?3sems7Djvt|^p$vr5C>6pUf-qoE^4gqOe@^%RUoJ(xVuL`mEPNCXQFpTglo zFowM;2){VZ<)-dJcf%+cjd~m-DrF#uscWmFkAl&thhTfuXaU-8!n#i{k2C7oh_2Iv z9MtInE|)l@GwN}asLvDgA4z}UiOI&K|1<2ENDe-Xf7~4Q7H~eQ;XdZW%O4$r=ZJ0V z0>{b#ehMuR1#;eso0^AQ zkIm?%Omb=<=?vti*oD*RUCUeR|%rk{+9(II%{Y^xZ??Eq8dIXinhEk*c<)u99TD z?I=#%6VN^j;j;A-BU~_C`!b(A^_%?t;hW$yP!4XCr0wBeBF$*6+1qhqhw?KI)9cgB{*gcZyT1M_B9trRtC`t>{}udX@bD^> zBezRHEo_1bORz9OVB=A#RM0Isltqp7*nHnd!MdP#mzZC#bmBnTxK3R{ouIiHr{*`p zMr2Q893wk%FhwpEB$S(A(;@X;1&<+VJdQ{x9|ey+6Wei&tDQKcFdhKC*7~aH3xo2t zP8?;SX?d7@(pW^x+pI}eRf0^9CterAoD;9m*4VkgZ*FGimaYh8(Q|>@%erugVJ!R2 zW|!NnI@_LEK0I4%CfS2Az1>JVJG^LTzNfpLeFN<5ZMSH*v$yL{m)P0A^0WW=N53S3 zv&M|*UzRk}k#?kJz8YX^=qCYPg0n?b7c;#@8MhIaGQEB7IErPFtq#kyZAx^BB<6<6 zf)CuLMt&R%z?nPVhvd5`m_)`QshS3AoE7e)ppT3%CYk%l_+pZI8W}e`d&=K}N^RDj zkEe5FV^2VCi){0hw`MEk8qWD&!8~ULD+Y#a^ESgmfl$S41f^wdLiQ4!(6aryYpruD z*aFiCNaq~oVja6$>%A|fW{wZgVF~zK`ci6Qd2=gNcEz-Fp>?&i^F1K_*rch2&(Dg! zw1e^Wfbnnc{_rRLL~O^Qn$dk*%8TY|Vks|~s{~^+R}n=cJ=?q$>Mi9(Bh*{UsDwrq zx>}Y-BsyC2-cs&RZKi@1jyAEq9|R8#8x03-oxd5hEh3sF}G`^E5EA0{#BtWG#dj zzAhzijO9xs2;~hZh40(%&xgPtuV`p-e;jYpnFKy?Xo&9ksi^t=MRAM!GYaAkN3PCD zI0B6fZ0VOrcej}|yGwZcSM4!N)Zn00JxkAuejkYyXfonbOSmd7?a6hlpM891b) zAhq@J7%Q|;Q?$fV0$Dz*7~fN*`T$nRLr{msOy$LH!)2lw_YDmePjpevWnULFr-a&69f*Oug1fyOPmG|6SP~C*<|%?EkFq{<x?zV z3$;Uhbs^%r*BK+0d$nCV1o0O%fZR(EF<#*O2G$t|>T`CV97{Sj2Rm;)wy zfw>6wuN%47Cwqh2ixMTrs2Wzd{hh9e5x@ zh0aAB*tqYz?w;Q54({&hj#J#YZ9KPR)0T3xOFRO9x&D-I`=}etKC(zELXUtYB`uPQ z$d_ab*n6!2CV3;y2zUmNmy)J;iFY%M2-gCuqd=Kfg6b?2OmeK?LC~(*OrrZXiTf-D33jF6DwP5xcgag` z&K9g)MH}pGsYH9AV7n!H<$;22w`g}3Y`;a9s0H`_=~sQ?o_am&EZ9@8Nd$H?Q?F-i z^ehOky{moHo=F&K6g=VNK(X0nVe_o77WIKkvc9wrmkZlV+bVa!5J(PK)?=C&S+^UP zrohHjXjC7w&V;1fop2!S9<$!0W+G+*$mNMyXD+#VPrd$-VF=y9m<+RtAujg&!?Dqy zu73GISPs!*#HHsSJ!jc$@i1L%|+1noQ0AvZb67vk8*CI2$tg zW1M#04vt~*;LZpa_0W_hFF)?n%p>CKxep{@mpn+gU+`3=w_Z}_Y|Iv-TJjDaS#`U( zTk4+V0|SrxZuCgA%*S+(@}Wl;w?GVYoYtMYXZa91)bbHMPD=O@#2z2LL(?NwL(aV( ztXb+e0=N?iFn>>&5kYdQ>kCTV=?dEiyCU4~078u!9v?U=`i|QHGt0XIP zg=+PIyO<8_TI%KpGfbjhmV8XSdMBRBQJ<@DyuPNQ>`A@k)I$g)`^&mhFNr{LwJHyl zPZF)_19GkWaD*OrI8}SVS0EmLbv#sUvIAeTbcbX@9B=3MZw^(Gm0A%V{Qlz79nZfm z4%OiI?+$fx{t!s^m-TqUHICO48&$)h1}kJ#uOX1`Ke=H3bfA3K(9XezQ9Rq-x?NR= z8kf&jYiwojiW>QN{jJ-&sv$54V-+)vs(CF}+E$YQ*$a1HdP!mxCu7Tx>;iOh+52&8 z6g0(VO>P`;O8=r^kC9xT^9U2(WR7#YO1y-la90iJJog?SGkNYkdCX)yoJ?2aes)SJ zt-yjB#{)edLh@Y(M#*sw*NlL{D2qsKzAN-=ZMiG+a^q!~yR_UTM6`HeHKsMa^XGOA z0WIB?XLbu0^mTn#-t@#1Pki91cfag-fHYIdsvC7}g+-Tdp9wCq=u$B;L3GXmi7eG3 zbd~YhoH^YtHE`9O>mn)on9%J)V;02#DV-BuJ!&OxYF4n!)9Ue?ISMXu;}Tp10%Zxlun7Ll^-RDQ z0ttA^dIVpf zjQ?xfEh3mcid*Cm-S9jwhj*t*A57s#bz)5-NWQD1q_O z7G>bI3!8%f%LMWHAyELE&7y!(fFcSKoJYZ`!a$PNG41$Dr-2FXIVy7P#Sk4sW&+N3 zg*@UmKzCCDtQP_<+VTq(}grL6KF; z4M|fx&YciGbm$$AjD-2d^v8 zTQkV@Xb-k{k{1aXQ?D7N;epA}ei56ay}W|W9_<$aH$!{!K!FeeiHP|zCTQQ(M{x9u zB+xuN?F8*ZB`L=&!5x+AB##d56D+Srt6k96rK+yv01AEwkeB82*1Bv?pq_`I^98t< zl^p7I*aX{ksN_#kAy_xxcerP7SZ}`XaQ{58caMupqFs`wCJ;WRlz9v{>uiVnN5b9k zWrxprd53$YWz3BG9qwN|z3}1-cV2kzwdWLIixl4_RV8@ zyv5h!$@*rqkDtD$SNf=5$y9vZ!viJs1}6Gc-r`lc5$z7q>LpU91H z#@vmwabFj=o-bg(`m*c?kGqUG(mh!0(C(> z1SpDvM8Q$eLf=h+1ag7PC`c4E!9h+D$2g!d5Cl=L8wH7iCfIgWExiE-w8G5=>En)q zLUF*_uUv35Tnc6_$B54(S(IKs-BBw$t3|JYM>^FkWL^H+18L zE>+!n1_5{UbaQ@F@!op?$xTgss*_CPNlg_W9tSZG+O_9F>4$K7Z`Afcvw1RSZPL}*}YN5)X zXA++IcUY+z_Q_v8+{zyw9(!)EOL1=`56gRMce=hc0vaN!16aPdZdJm#(LxovzRU48 zJk2}ay13qr%(QYWA-ga3A^Ku}^T+;;f9cOeyj7Qg;jMWk+X`%FDLRHt0dGw(mIp~r za_TwtzF5L6(2-bXG$3(A?~85gssrvo5N_a{@yz>TQ3MUsh5*%;v~d!DvG>Jx{Xpt^ z(gs!&LGOzl3$f?iz{FcS>#^$&lo4i2!>)}dBY10)P2UYTNX%Uvhm?{p?bcWl17*u3o)?Y8js*uo$4J@0>R-4<42 zDFS4TsHHc|a$C3{b14K%w0Fq_6I-}#0_0XjX6l`_h5MvRhz2RKIa=3H2Na^<(9Wh# zY+-93+n~RUZ#2sh6I-~3cUtv_9&YpWtP@+fY2iVpEv&iUz-DLRlBLNG68&{Syek&& zuwo0>2-E86R8?xRUkTz0(uU;Yx#C}e&l_h8TTN2_iyGtI7T#^)H2HQ)<3OMHPR}Jd zpt~)c)1i*+a7p>`Rp%xB(7*QHpL#mBkc&7$PnE9Ww*lZXISBsH!~x7=qUd{uAXvYF z5^O4e1W$d0sJ!Z^N9-1bI;dLiYXEO5NLAaW27fM7qF7^`dWtz>#9Qd*bwy__1>3@- zBLySg(oC&^o_aEqsUzMp8RW<|^(+Xk6WUIW81WWUw-uO1Fm+pkMl(AZ=X=Ikwcxju zA)7w%oP`s`kk0pvG5dkF)u=k^(V;FM)88DJz~oM2ebNzB!|A0=YK$?Gb{Xi3KxusaFuhIf*U>RCwUk8@RnpxrGR z!pQL{YtfZ%hPnO9=3s5#L(x)DALJ*GUq|!Y6+eljbE?bwb(`(Smt#c)bBj)W*CF`%s?ojtdnl9FP-Kc64BKd8+;RX9nz z63P^54d?gg%k1_ScF`ESM-_6}CG+#0_WN@^?vK!SvdZ_>neRfxe!2M`p5@(qzq#i7 zwp+BD@7H6#zvFMe?;}4sy4IB>kA|!SzCz2@reI$f0_z&vXAKXy04r?cyosxPZ>)(c z{NNJ(#VZ}SyaGQTrY2k&mt|8xpAfKwgFELBl`l{jvG33sM5nGwwRhu)@~N?@kq(Vx z1pLnMXv#+@2)2DeErEh)`yu!jN95vr8|z^TpZnYi*_8n9vuCQU-`d2c38zxv^dic_0Tcr$$kFSED!e( zYVrc=c^q9tJ&&U;x^@XX0+>UNwjqz2(jl-^@1xMQynpbgj0-RH$R1rvE&XFhW?=rgx{p!c-u?H}kXPpjT`i*^j%ev2+itNzq~__4ol4<&1K z1!iz`Z6kGe4<+BUv}(iG8NA&?$(Q-ACFtQ?!PIb_?{`nDKD~PPF($CwL&=XOl>B=? z_)YKsv=~ZO<>&r=zuP-K9pRv5D7h>{4pY#(a^LTgxt#cZm)y>Y&AQ}v_P*am1Kj(5 z7Y*>lW<^w(`DHw95`d@1zZPN;Z85MzikU1=+{@8OmhEPY%gO`zwRJGF$#pbai&JKHx#N`V$9MB%IOJwW1XIW;^_`~D9C_#wz&mXYrZo-T%ERF4(N@0mbX!C@;Cnj_q(ZWHHFl>SX zkYuM7=+vx&T~bWUTqRy#HYv*PdX#5pf=PB-bEK;t zBbM;RXM$8IY-+^0;em$JxCCVFh^ge;OPan^`F*^+|PJ#=VHSNunq@+{lx$kxZ480*S$x%456{XOQrgwogJ3Il-yx zrUk+AqGmqwm;m=K>D(*|W;`1f063TfypU!NowuJwqle90SK)y@^SLpi;T^jkA$D!M zMZ5cX`z^Y}{rrc1`MW;lTVe=TZ$^$?Ju-JBVH(W^Q2Ux9O@duZDcByn7E`dPKO54t zKqMHDOqec?Dbl2w)kvqtw}FC);pQOcvCB1nsF4Pqo=kK<Ix|PI+UtXoYe4%V0dJVT zi)F|l)BrmNP#)z`iOoxuC4%<_lw9EUVkc&nA$M%%xKM_?NZv(aUc2O|LmO~9ApR)J zK>QIl;V8wIZIbRT4{}X@i$D>nN`C1H{jUj3?eb*v~7IkkMagjrdO1lXzQe)96Y=Vo-STw9n z5JZ$!Am6Y$sIVzuvk3xlS=$VFBvq$a;3l{g^yVPO{w5GOLx&YHM^GQn>0y3YX(tcraH!qC3uQ|A<~W&TYFzJI-ytMVH{* z;rrh;{I<>cR1LZTsB<=EKlzNEz85lMBw&Ob zpyt@JP8Fp+!*L?$^QpS72N=N+Y+F)Qq$B!#s@H`J0^_?y#ed9 zKRBCK1RUPW`XlsABW&s^DUc3zOeiHJAIOD)m1M)kUEys_;>-|$ueLa%xyYd|kp;x{ z7&o49aDa8

l8_Ztdgb%-2fd)+_l{Lf;ZkM=wvLrv^hu8(h(nA0=<{m>=b~GCwL= z-SeZU)`&+IPmJZ^jQZ)j$W|R4w9mcA=kwk$ChPExT+!lo72$eRg#YkAc;A2WQj9UH zzLuN9O$Aq|;4~;LG@HqcLd}l~YoxCNq7cv}G)!QNg5DHH+7oHM0Kf2VDOyEAZwj~Y zIYXC#AXv9@L2n8V=sJk0*?!QFKaS{4;U3gf>QsipqfX^0NEIQhQ7OTpVQRoR7^+f& zYN`k(*yHj9mvR?qzT$|YB8-wq1r_LR$^mI#>Gcs6VU)yasKH)VAt&9&Tm@+Yuz>DS z=%!^c))dQXNMfFY>IGJ6fnNvD5xD1;#=~gPxGxf=D_XQW=74KME$%e*|&a zTMO4`5`W)h8JmNgmMLsvTeV;L^NbA~-Q;4@ripX%C+Dx1br(*LJI5uPaPBusEiZB3 z<@C9oMEf$L6io^l&0#G!AMIbP!u5Z70$q5NSH91?vwpjAb>+_bZMSH*f4ASFOYGn8 z|LSl21E04Bp?$SLALdiEy0ShZjLs~!YSLrEXJ~ckfu(}A+%rO8A}uB7TQ}# z+KuJKEm3`mPcH~A(sHK|x2o;>Ys_)xjma;EQnYnUkngBL2Zw*V|f>`HaDd`#-j3? zEGJYLx(P$4$Jm6*Ge4QcOzqG_5tc9V0Qx#h{zg!kVYTqV0)5zmF`Xo-w@cQvrWpl< zZx+pIuL3=YIW6Fu7he-iWy6d{a1N~nqsIVqMCPalJbL3gs0Fxl23$zGP7=fREcFM; zQioa~FS%V^Qid9-C)EN3vb$X^xceCLr)<;QcGG*i=5~qc{R!XohA)2Cn&};Az~K8@ z=>ypf9eJsNq+l`0K|H}7BxbAkxsl8f&Qm-}$)?JSFUM&D6oN}GOW8dbAMxqwPy1BB&E~z$@s0A>9%p+ch zT7dAPY-U9-*aN>AuTb+(X}uG3{X>N;$an=XIq zl0U`Cc&yIlJ!7ozJveSce)_hL`fiSI|ETZg_+w>`f9_xSrhoS7Yvy=htwyoc0MN?d z!n?dtGESRVYQ{UQ95b{?qsBct7Q-&pn(EIQe^6c? zl#>DEZGOyEC%zzYZn(=IV?bP1gBM?xGev=a%SzZHN@q(VyWE3Ar(4n#qMFf~ZXn~X zXbNVx&u9vJ7mmFP#}zVdQkglCyOaMuR8!Hm>3zE~zg_RU#F+o6|KT4z|3i^*EtX(; zvh1$vSuM5Rg##8UYuPBc(uJdH)#_jC!qK2^8=yrdbC~r4S|N84!2l{1VGXHj1E@ME zxYC7VsOV>)V9}Kdtv2E+4APbq+!+~Gyd`YQB9Vb#hHo2I6{>tN+r}S{g0SZQn2ovx z?94fx|K7U2_u)v&sHE7=@xjP?Bv270GiHG?dBPEWqbLkdsu6u-4Lwa_{2Jxqq%63< z8mYA$-rZo{{^7iGgE@Ry&X}QljnuoYHO>0_KjLS8Wdnms)4&*o)mq^d6o4^0kDNiJ zVG1(@kA1B!+bBqjEUbZ)q^Y#Bxh*=i2csYjG82RoxDaEs0Tks^6WdG>s7hiKGN`aI zBrUf%A`NB}>_LSlrYIQE7!jA+%NA)eM)YlhO+R2D+N{MfShsOReymKeuMmx-YKLsEwO&62p z^WN$VT5Z64BuU9yqJgEPazGXHcs zd3G@du9Ko*0W?2hihn5k1*FBIVu0mk-6wIpp3ZG&P$_OnY0}6XHq9v7jmhJ|K3hBB zK9ahoXW#V;U;4oq8CLCQ!l2R)!A)F{l8iW^g2X7#(E+eS?X}XN*Vx_(Np?b#J9}5HcgCkcm~P+n#1l{ak>B^1zv-i6bh@pOmMLZ@;YHT~ zNG0m0XviX@46Br&5jF+vH^Bj1pb(_7U9-OwD2<|^7~3*FY>WoCHF}7_)l!A#>J|H$9JO|@3s<9m;Vfz*0W9ZR;Ya&VPx5-;rbvpUc`d!B>DbZ<@ z?2DG{<2cL`X>QqJN_2XZM86c#%m#3dX>8n$>2FU5%}wz{96;lk)<4g{dy*P zc9r`qncHcl-C;nsAR-l1L%ZNGig(DT_8mYAps}2`DyP+Z?h!t8M>G|Y=Li9l{K?dY z(R$ktp&=@sIJk@^DTwYNK7^bG6k(!mPhNMRtvvJ;#TT&&jmL7KxqIZ{1aL5Ap$*6G0?+!P>G8YO6_J_IRHB<9Qk%Pb`JU^E5u5 zSXzBNPxg48&ODx{lRchT@;MptN%N0mz8s~Nm%`)uc1uAtWzc@1wq%hN>PXAn^5BE5 zT_}d3luH`LXm^(w%0bU^l-0S|69iIzSos!?sSxCs^`Ru_U3@-JmJ%+M`uQ#q%<)MOqcO0&&4|v4=aC}!?WUFcqcwXHO zV6<(M9S9QX4!%)Ph2!n~{>`CE5=$VE?k}&_c>U_)Pz`?n?ocP^4}oNVS&t`N<9Pl3 zuZBYnitu>-A&~AbFg?zX3+7Je>8EO44_Y+V@c50XELg?W99KcxP$P$^%F&B=b z^wL_)(Ckw-dzVuZ$#D+XVBWWw z-+Wi7QE#~`^K#>5n7g#xCA6sLh1D$DZFhyzfp|f8fj~p1_Yy0#lvYRh!8H6XgCE0 zt}poVOeLQvXI|4@uDgh)4!&m(Sa$&hTO(k(RuL3+S8@T-xxCY$VXJ#;Q5VnDld$8& z8D=v(_ZO!Qt9G1c1~cziwmIlNXH_4l<^nyY$X1ocG|@FVQzaqk749A;o_Ii^bfOVs z`jjLJchet1<{>6tfzWd%>7L~SYL&I4WLqM|+In;AcGVo}W?Y4)FP|~jqUt*r=BtjUc7?s_~7sz@+CZ(UK3|LGt9-mm%3V-}sF@Wf+|2r+;iRoQSx=cli_|V&k7v(K zEeM~Xc5#Xi+1A+4Q*Dajr{qT$N@zfq0h0Kr)~bybR(NSyIe%JkQfzh+4V`v@$Y!`)hKn=UnFiX zdRcCrcRmRki|Z*puz8#qv3oC*4jS3)Eh1Jwid&>UxIta9LDRBtfmSYJEJT0mAhDf?s=cL#s}(_irJyfNbx}?CV%vYf8~3- zh{>A2oM*NNUQU3{=#sER=QOh&p=M?30%J(%u^k18$zcsiTosv8Sbfz7Q#%S0lTEN` zI?$N_7za2*5Q0lGyot#sIFh5yC5;o4O;Ftx9I?mQWFr!jqhPJhh`Ho)V)7`6Y%xJv zxEcaAP1uACyd<+7EGZ1T^0bcu=1p=HX$9iu{bh!zB67~J5WETzV+Bty$`ZGE>{sWD zLC6fv+YMCX<`oOSFpJGDgH!D2ZAw4@$g!PGD84XfQ%(}f@zNy$Er}PWJ9w)bo+#9k zMXURWVzeZnC9W#Jzi4pL>V);>16t(i#Q4z(AZ{tQzq+a7{y1JA&_XHNMYUz>MI49I z%VVahkcmU79wSs+5i~rX@xwLyd8Xm{C_c}L_4}cUpxbT{A?Q)uBF-B^TDs^_FnO3> zp9uQD-TU4D@2^Lq5iR&N1l2W^AC(I=)>M2=aIwak2x@|hHP%E>6I`sZCW4NFeL*`J zD1ST#j_|MvFh&G5LG;2#ax_t_20V*p83p-GG{H_{2qJhyGCRW#Cb$ZBhA|{vQ+TC4 z*RHKy+qUiQ+O}=mwrv|zyHneCr?#iY9(rN7*zuBw8EG(x5t66F$d3Rri*o7>y3NQ=yG1%yj3;UsAEul} zlPeu%<w#@J*OJ290kX_R8|`lu(v{zNiA z3KS!H)RX(~Qz-+!6kZ)+d@~wkj~mcCCPo#S|JD7+haUcGO9d9!psY>L3E69O?>?s_1 z_CJqMb|l16qB2I7bxFUdmGC$rBkGkK38k*(emMiU%S(w_HX*iaETr+Tr*a&uLp;&R zDZlxulz>xo5hr-F5NRY-UsdU5RF_oacgjhb?=Ee7nt%;;67x4`RI0r?86T2Cc&V@= zL=d`~C?V%&oA@;6w|h*oomj|0jfyL-d@kij278L6beUG|5iUU%I|iSfi2)j&mR!m) z0!cpnj>#rQ3J^W@x(Gy?1C#%~m@hNlJNn?JXs^!bJzd^X;J^g&4EQbYm22dm+m`=t z=r5+lHTHwJ%c9H#r)9Uq-xZ3VCwYmWlZsg8WHf}KJ1$8^-Cx^``WbTcUl}kK^j3-L zRSRc9Cx2oM8emAI`*&)MRbH|sQ%1{(X4-UHeItL2^L>ne_1wKZAZAc|XIL8zkDrR{3hPT zi<%3WLJryv8RuF<XsyqpnjGc{_Fkm zHFd7O#?SqmR=1t$FY=P<^oMbh5X|GZ;YjWGb4>O06MuY&Z1wbr3z}V~N@ny4WoK6R z^_2^zYNo#gD{LWXuU!v}&dGIM^a&@&PInH1z(7mP_GSv;bw;^r-D{r76Rv#vg*P(OXJ&$D^}AuQ&d zXmAJPX3M@=Ti z138~xe}2AFBodEpmV8KhA@sCMFD1ELi$<_?-wsqkX1koHqZu!oYN9~kmL%IFxxji5 zO#UL?NQW0B_JGyMKXBoWCEbJyt_kPG$tSB#WI<1Dato{HV11dK2>gS6mSEud>)E6( zC0nux*?X*?ppVeJ{l-`5Z1vwuL1q(c^b~3$jl4(VaM>Q}S zaS`z-5=igo<|9$E?466#FoP7hJ5lad;$UIln$W|R9pWtt9{%FD^4g^OR03D*g^{1D zVuFfBz!)sn$urR9FEG=F`7>{nF})nGkWnsLSxf#JZDjjKpa2)p;sy$-5_)4xmbO$s$9Nb(Dt&l)HDMlLsh zAR5cXNP?Ugu6t*e`01qxyko1b@*Ms3(vl9B-w|TGkdlZmEbhYDc))IS3Y6AUbp=}kL$7y@i8@wC|;*j{3@XWaUJ0e_eIMeDoL5g_waKA>a`?nSN z)l&GxCwTb3>J7TS;cxyZc~BGYA!*&TZ&HpT@hOZJ(OT9GmfxggX8@as$tSfI0qnt& z9OFIAIDjI3I14=SoRg~Jb0J)=lv=8eND>&H@S5_FOx>zR1t;SoiQRMsXGfK+YD)g5 zoTTMQMmic@(Wh1#+30|t29d~Y^5cXRziCLjJX4Y&j?>dH^i5PD*Wp8sbq{2pZA^ur zl7`a%thG2wzZi};7XP#<*e5AIJISQjx1kesL&3o%@0$-#5Y>K+n|^MQnRs!h2!8{m zsj9P59GX!0Df%fA$*t)wlEDTB3wpy&z)kAYPTq`}kdY3gB0fyq0;?h%KSBHg zA((ZG>IRm>eu5{lTtv~;{k=f)su+)1g{}xp8qv*M zqa*Ox1%*#|e>-uG{!NxT)u9HG50SKcH{Y>!$K?3d+0WUSQ0wAt5(}DS?DnUaDh$gP zGR&iJzyqb*rEuUIsC5PVAFs`4X#A7t-!)UfR(C;AdyQrtRTNIWk0=~d4kmv{+d7(y zo$OOsyOI&bKtwx(onxMq}ggQ6!f8sDIH54bn?DNCwAsm`+t3~Hpe{TG)71EAASD`a~3?qLXag8y9 z(+2H7ci@BQi&+u%!HHTCnI(lV8>>1AeB*bM{8fS)5d;pu)3|Af$PyB7QWUg$CybU7 zViH^QV^I`@3uxse+HlyCBfgYY9uwv7_iIU!0BboE^tk&bF%gHSND0A)nTDvxi0)trr<-`6vgDgR=E>9VQ-A8mVWi^Ovo++zamk@J>~ z&vIYav?t2_=pnbJf_Wk|2=={WoelzIijkLVY&u29*9yuRdAmF`A|cP~USjHWD*CXh zHs=cT@3OKC@1|FTUeRtqwI-l`AHa=)>&t6Rq4^m|&74DV_fgcZT z1hl8*Xl`uGH^DI>W<50K*N*>);j0l)Twa4k4WXLu9toM;UD!fzkkhxd$3!&qOl51% zEj-BwI~if(BI=`YbH)D`XG9BHhDpgGHTOz*5P=gXhzq|&NeL{H-#)r!uEFdqwUiqB zpht9kERhfz@Z`>$vw@tgfhKXrb2uF0kBeZ3AE>=tUR%hA-m>=_cS4G6n4ZcXn-rrC z2_q3TK&$EuWA{B#mYF7kn*{T^<3z(E?Hv5r9K`_LD->Rrg8i{Ce1He2vB-BmdL;eh zFU&G&M(3ux2K3uwIX{+Bby_ta-m52}ifs)_$$FgYkT< z+z2r?Gl_64=wjwBG9CumrJm&_-Jp*Fb%lH*c7SJg$qX34YBy3L=2JAF?uq~l?rdYy z4_o31tQ_OEvmE_JE%p5f&>;G5h||P~lKw~a2N>}~FtO{Ifl=O22vklJO3NzsDUc0h z2E=-}M!{QVVE(l6my=ct;FLQ&-i`C8-`e#m2(U8>`kS%SQB+gpVySx8*+K=96MSbx3 zz=dZF`RANaCoIxUj(upNkcef${soHT*~>$hv+Q+x~&!wn}Q#n6`v}+a7Hh*X{Tg zO7G~l88Tjt$Z@FWcC7oXJ8sWf$|cYH>GY>OQ>8@R)MIdv_{QytHz6dWv!AkKVrQyY zG676qBxBSpfyV`0CJ}VeV_5)4V#toS$jY}U zi7rA23cj0G9!q>^2aCs{qT9D`5q}a)qjA<6-PNx=%>6C5bJa)Z^sqsP--@9akgz@; zI!Cw{j7%q@t({2SgS{sb5k^jyh=bbMP3()l0O=%J4~=nsGFW(jI>Pi|r%faj{=Z5d zMG*@$BmidaXlf6_Hzw_OH$3P>%CDo*!ai|yfAo&1-%xd{;@rP)a-HaSmS|L5BXbpg z{?!(Gu?%z)%Q!KJPcAafG4IkeySzshY4tCFYrO_bD>AQxOFfu_T1xhf+`f(c1`P z|GIq7 o*-I{pveOx_vDuPkTus}KnY*7Je^~4a`)0jG={!4TzOO#B(NDXEzGsNL zlH)4~BwH+z?a3F!4e{inP*)aJ3FHF=uiju{j1beN)Hrb;{9(#?O?-##t|J@@?sZdtKRK4n!h-1A$c zeA-ioCASCl>j2I{MC6k)l{Clr*!4gjNp->S19sjUW!GkQpz{};G7(?+UvYZKyt5QX z;!+p1ukvqltcdx33Z{q&O`5oiwMCM_Z|SDhWL9tev5fgk+D)h_CUuYfjLx%n5R7=p zrt_wS6_RFCEZme8wBgHQ$neaaUZjuWROlgrgUEYfqZ!;4(-P%wmR+)dbKK^%d*_kq zblDgSqn1DZv*XF~&cfr#@icp$sdfYJ6yNBP?y%9B2rGr zDKvWlA53?)XZU6;YM0?k@)3?SYju2dLYSXZvOvruy?RQ1neRw48}N%Y*-qIcKmOuQ znb_$ltJC1y2u9MYPpNk1YLiIJIz4yyS1DnA)N{FgGF|?6W~sbgI-eTHlkNXr>t#)u z)N7JbiI#D#&Oh55Q+ZMAg=;8IAFlbp{xe1^=R1?YOy^5}ay2@LtV;@Z&%M?#0|nITssueBRwuv=im_-F2+(!1PSHy``t z+y7kCcE5M;z<*Z<3*@It!|=xqh5uV)=YaoHZP-90#NulA)F|K|r&#~W% zOV{IgbjUdb4>=^GmEPfCTakVO;>?#Ys)2@eqVfpamw4)0Kp8PYp6=Z>dW?44{H>6i zv(1j^#<+{2Bcppe)w^@3f57M~lhi{e2m814$LEdXn;J-ZFQHo?>G%p-K2&ubX{}GuVcvk+Yn$?gnqA; zi2Q|R{$&W}Y$G^Lr-KNA@6vCFrXu0UN?Oe3Iu}Sqw9e2~IBZ&=a|i|GE{FQP3OpQ< zRsN^dE`RA(hL)25BCY)|dewSWreL0ZFqHJRw2a~CsY`hf6j-A4KBRVyiVa7Ndq$bO z5*f+un7_(u1+VMTq?R`L>D&N}Zw`KJ;M@vl_E>Y3+nf>yRVcgG;$nrn%i|xxH(#Yw zm(1%|>E8Hr{X-h{`O0PS{cgD;Ze$*n;qWte5{*8J zZWJx^CGgYkTtmGsWh`Ja{!r#^SUBexQGXbL#Cju8=*Y>(TTZuAUXn==q_jP`3KFT7WdA0N_mIgUh}LxxzJk)=|5sk z*8Q4AA^$m8_%TA|Nr+=SU(72$O1d2q)Cdd4e8E|D; z)KdATBVL%O?MSGIhIykeHWFYY=d|5m0#XP^8GLq>9~c5pWcv?3S?f3X2#rdF>s4`?w+i>SLj72gg(l-g7Z_xQmX;5oKy$?dh(8_KAz?^_dxX zr7kMNguID%A|(lf4iO4 z7>LAj;oiCaHW(Ey1%=v?QCc>)Jaz<_K>F=*`^HO!X6mgfRK-RixvUVW(+_l!2G)^z z?rMmOucZDf?7IufETerPY%U_cpKy)>n_itfkj93900oD~7WRys2{ABI26`X9q719D zl=6d@nn29JK$!`DhW$auz<7q`g(0ODfgq2%|EyI|&v*6tt8mCzw{?Z==qdkvaeL{i za6(IUJJZdw3Y_l`OTWf${8$O{JpGg9iJVD*dg{h1tT=RS%n)dI>Na-=ONf2?MBNI4 zBhltV6|qq z=|BX)g2Ig@0o>GHtVK*lM2^v79I{oshB5v*nPP0`g)-s;j^u}_k@S!3A&!$2kI)S` zTOit|Ukx}kjCf)9w@q_!mp8730aIAxFdYt!+gModcb@WY}!S;0{raZz4j)26L`&2z3w(iF?x|06k^kLVQdCF?nLjZ>@s6n z)|&O_e*XyeHuW9b#huW4c$|(gkHmS1X4UAm(|T~^{OO>K>0&7@I1@9AP#C^rPU0y^ z*+Q9;jY_{xUz^mEwjV-&%n08VYYMtNd`f>R1mBgamq@*)R7%P=XtD`|Y03CG*#F#{ zaEHKHa}@h#E`kWjQfwtg#-vfjrEMmOEWh^;1}VDu795rSsXo#NSu}FQ=?rv}(OoL* zZLun0=iNFY;1R`l#+Wg9frMqpk0>C2+~lX_3y=I2&bX)l8fh+MnLS#++c5CN31tZtM!Xwd8NF)a`ZBH?0Ix}#QYjdSniK-##Yp|6-j66T_^@Vp z6*~9zWCuwow(QkW>5z$YLVz0p@>-8&Mq0b!g8h zb95Y>U4Y%P2zPB7oMpWL#H%C!k~nKdyo#=?+*(b6P3YsU#3p=}S)XcAJM298gcouq zglr3f$p<9Bpf<}H?`u(%wMGr2BHj*0^dFY*G0L&h5kJOK7AM=Kz;wj*WO3x*AkrW! z>WqZR{yP!T3xfB?*r>P|7anv%$y|A4W#Oi!QyCgh`1GF_v{bZ?p5j4zH;QLF$YbQ6 zns*7Dz72KC_1qh@Vfh0wG$M%fP*MXy2|W4OM!nn=3-aEsubJM>-kSnHPRYJlaKh&Y z2CrRz3QJPr)AH7w{asJIlfX%X)alFj909Ti|O4h{)3bJFx+=G>BJ2KidJ5Z zNM7sOs!be0e`V(0z;`(enIRs#CQQ5ERyQI+$cK8wR&B(B7jJCyZYd4Sys-$|&ya?k zmY{uI0_E#FRb#^F%!5sbBM$`|m6lNxfGQTBg&XyhG?(Lkl>fHC8kos@>mB)A9(LnQ z@KYUOO)oxR{&bLZb6DQEHSe4HC6^$;Ws+*fW2)-Yi4K1}N&T|&Zc0Rbatk)~x%L7x5-t8(jiFJJ@ z-c$xfTUyyq4}lP|O9%Il-q=^iQLQ#!C0Hy2MUM5LMWtCyfjF006a{kPEHRToNSs?b z?6iyhr;>&gdYA0!g>r$R+88oSa(w7~-$O3#d{}fec^!~45%9T95Q-cI5Bw$G6h%h6 zsl=4fh(J;fCWqQxDCcYT_`2YG8^r5<-Z%3F*kNcnNu1w3-OUjC-lQRt9)uRj+dqy7 z`9CA*pZ3HdhuH_fqDCeyi~p#_GtPPazT_dI$aXli6jTo=eBnYALX=oX+5$6b*LY@! z@0%CBLpJPwwVH}S#uc*6=ZA*h8EXnL-pHjSh)>G+|1B$Ur|<9fyut7vcw^2ofJ;t_ zy4XC@**0TF*^jmgv#vCM@w$?8r1$n@q{yx3It;vAQ*+f#y|x2{e*?=V4H4MpgBW;f z(-?5xZ9y7%4^ejT3xKQgkmVhCr%);s?Pc^4+-~)rUS6|NszMgCQTO9ko)H&)m-pv- z$(%{CpJE?y`SiWHdLRpAV(bDQ{d2q&=r=Sm!C%>96%c_4N8E%aW!`z+=5fZvikAgr z==zDO#b`Nt?30{8PRL7upl1MaO!Yd7@1%BMTX5?HvyfATfk-n+ytcS}_;I9%2I`;k zi(9ARg&pHkd4A8lbRcsrb#Y@i>LGLOC} zY%m*@M#-a2>WDXjBK+VQDBv!1oUfk%!-ELR8DE7OdP^PrGzQNu!*wDRNR=ZsE`?yP z!8V8o=>wliMug0#E%B3C*BdwseeCK0Ri=t<#AR(;i<;sBA^B_ceU>LGU=~Zp>wN1C z7*^N|!sH(O-uK~m#I6h-!$Wgl+rLB#;Fe=z->Mp(-q8Z?8Z3H(yQ@F7#u+Y3lpt9E z`;iI`rDp?M%+k z`?^pyP9f9>^qRe>?FdsGQ?wkd#`{3(S2)I|?$i1xrL=}BgLn0ytl)CW;Zcho+suWk z)BuJGwp4Q=7DQ~W?o03O2H2OD7PRpqfOrwv7zUoJr+d;T{1KOBOHxoYDig+eJ6#~| zn)Ht>a0$Yo$+Ycw$-L!wG_G{M-TzNAi}qe-|q+7!d0LksDY z$2gHuhcXI#PxBME4)D)Gt}%}BE*cH@!II<^mh)QTV=)qCcOK z;rhL!FNLX?hv!2@Gu2?y#5BtU@|UdWv-85tX^18xo0J+@G5$exB*+LoWf~>bXt5t2 z83&Ls344E3rRFDip>l{dqRH>Cyg&NG3@45k`9M4hEx8Ae%5>0u#+m@MpQg-^XkRR# zhCu5z=l4l`wEf_)V)sw#P6xSt%#HnUrNJ-O04B}drvg{fvqa=8R3+RjyX(i%fvf0> zn?VwOB{M;7b_iO}tC)6wPt<3FD5QCnwxcn+*goph^%0=DKh*H)QVN5l@+MI9g=u}7 zitm$;E(w#dlSzk&B`BNVA{v0|^zhip#}MMc8Tct~R#Fz8L;C<=3L1J-%z(N@NR+!h zWnnTWrWids4Qpk0kpXqAa50&}5O{QI9=fI^+}oUx)Yn*EL{<1~cB3Jw8Mc{gm_-bi|s8dGSODG5Z;F(@72g79Gn#KANx`1otFnU=s8AZAU zw($MTvT0FB9v=o&89-4$i5!+>&0}IYlePZJchn0l8uurf^_1g_>YE{Vn2;H875ncj zJM#)yyoY6*uuM-Po;cLZHKB%@d26`#6*f{>zVzCE>q4KowR zZQ3j=nI$=tVjQoV`R=;YPR zg7w`RdIKdydBp$LDC38gqhMbhY9-XRftG=6zP#xYRq+K%n3+|Z+tc(CZHs(7N7slr zWy+0|Ry`W2T{a48pNLpK+$RpNDF40|m4cC)$;z9Ye45SLdCU#t{yrbFcAAY{_|OZ! z-Xwb|)}`@nCNbVjMF_%ocnS!;p-qa?3sZ1&PAP=tQ8nu&UYo+;Dc;-w~Ik`kirz)`V{1er8*jsJm=0$Q*}frgNmkYOy<>`pE- z_wPj?EEGmVr?Gnw(xX}02??;N{+rrO&Z7gnrA%s)?&cLL#R)LsBY5G3RXR1qgu!h$ zIx7dkzo+qci>Hhi?~pauKP*(mKdo$GfPbBAz7ph>;x%2 z4M6U5vzj7p_Yy1#DT}uF$M|GV7)4lEFv}xjEh9Q+ams4&hZ1Vo(>J8a$=s&GB!Wmv zMsjvBh2=xks`_jPZQ?Kbpo&8#ku18h#e7^qK^Tf7hH*0SM8~lqc4uBR@ni*z_UQ=> zfO@*ujl>W$7x4DHTsp#SGYk2ke4T^U8X<8&5TJvifW_gc$Lo6ypxS347j?Wq%_9mp zh85gTAY!>&U|(W@v}I0W6etQlhTEO_7?xyeaCXavX|@9THIa70bEAIF8$u-<*(ij0Gc@SlA4?>3}jtH zr;iE4QnXWEMRk(V-8&H$8Jh5uvTzdsF39Fwz;ffB(4RVxN-q}@QnvmbtlgheiS2I) z&~{*|VjR=3M}MCa`0CK<@i%}f*l48m2QkW$OBo<>83uc`4iJnjuBH|%cjY>Td76~* z^^dcHwfCG&wFoQ5=oCf{czJ2bd}ta@q;`?U+`;ZRCYTmT)-v{B|6Fp@ezp(x`DwA( zTYo0Tbx0~LOrk4S+CDFa$`g|;3cBVvG`f2xHl;HyCJfBNYX?ksEBwP!zqZ~kXd{lC z+N*J1XZIunN;8VYeFpuWk>4Kc-2>Erx0KJsf6ij0YBFBm%uLBE27qUfg`qk#j z0^in20Ozn!9A`9aojeRrw~Vlq+1S(Z%+RsuV^wj?lf;AAO(}qb;7bLkowVQ3!otDu zLXB>&Ll#Cfm03ZJB`Dzq;uzuF)fh%DqE zL$S?cZ%Bp>{4;*5Q9K~KF%IY|Z1st>{)HI30GzR8JrHOmVyC5uu_liNV%!EV2;QXJ zJgtf3MnPp}A$=kge}a_fqa+RlK*_iNu83U-SO~f>z(;)!<^+5X9Vu%Nb|F^*)IQ>h zKa1uJzyq==XQ)_Ajs>g8PM5nhi-f2y(7KS5Di6TmR0$A^-$5*p;8e^cLyx0gu@ZNo zqb7nP!*`+e;P}eSR}{f4oFB0Olv-L(5@fs3QI0(V4N!&`^3FWc_;LGol=84S>=W^P(_4j|Fpw zrQeS<7wZAKGyXSLi@qpckzP0%se9?T3poNGPx2q#&nmQ}k9+}SmZwTHtmEjaWlp8Z zeq^@+By3(4(I(f}sV}f|I59hM_$O9b6vuauJ*8n21FlfM^KyOKBU?w4`gm3NLnrm_ zGf*b^d06#Yl`9pmw+CFPh2#v_a90XOP}}nef&jl{H_tGV@n8PE%DAZS+4sEO86)0(Q6%&-xYGetRQc7t0pEI4>inu+15;>Y`9m7@UeW@qb#AiMXm~ZMp`ku z6*XM!E~;fM6L_327Apz+*d0eWNquZm1>BOhLJcVh=Aq=?Y2BnXT>vkiEEZv@r$p> zA0}hqN0Zd9}f*U^gH=b65O{cV6bzu}lA=M!o zBv>XN!tTyuDpHKC+u-9ZY2a$L;pe78De1K6bYm;@{S19G0vBDHfOtgSl5p~35)T)x zd{!8?bEi4>^U0)yw8X`r(sL3Amp-4^hI8$CXl9a|=-f`#DnL;|MY?=bPREjLEDp)9 zkr=c|Eu$Iqt6ppq7PTDyrU4@s7D+Ip$&%5bR!`v{Yp5(lrZ*DbZL(=_ak$#}djGB9 z9(OKhGxJhXDI0ElaGB#oZyA(W?Mc59)$T9)=IbEuc>1Fa77jWmn&kIvDypN-;}5ee+LVskyNv&fi`#M1s!Stw$sQBJ z0ivyGO*ZJgh^_Mxkz#n*ZU4Wq|NqAEzebyAYlrKDe>nF&;#XyGg_J8*wj@y%@gXt- zvI>qQVcZPN0hUeC9SffB{+}fcZikTgglm(CJH0NYx9D&-GiHXoK_?WPDgN^hR~als zrr^$Zs1vJGdU>`#Rysuz>#oB zj+^e9;aEol-WYV)zLS0Qck@)%mvsWe#%ISqlRLqP2*1fnZRYm45Dj7&_~4&{G7{A# zegrPlRYi6fH>xIIM2`bLU2;)L$}-{&@>pJ8c|9>S^^T|1%wk))#wC?-s#V$%H{f@n zDtkkV&YrA2*cPBScTwlFs03kIXt=~tJQ4qBhBcvP0x58TSHpmxmOWvhVnY9LG?Eg2 z7}3ANeao0}M&B2I%tjC`a)`k%Ad=S?GuxvVFe_nmk>C>NIW*I^n9cKp-leI3JL=&FnqvrlW)yezIQhPN*y5^q` z5}R0L%HCA?M*)5bzz{_Oi*ov42np1J$Ut$) z*sHJQnTu{#9Ak=B5`2qUEA<*PmD$tDG3^;SEVPa@PBw%y^0g>-9a18NHt3&u{0i_X z_Tv!OEV=2Q`S6#c;KM{_?>OCG#zh6+#m*MekB13%mn}|KR4uWz!lFskcg+#nlu`X# zl`fQY6RKs<2P|=*vG1b}F$wJGcK+OjB>9VDR5@vRI>1DPcf=QH@-JqiE<+!FopOkn zh4eavFnOb&^l;5Y(31d+^m{Y{#uh?Sh*K3M`jgHCZ4536dOoTVGIQn7aW*CZw*uV~ zo>_WPQ?8Y%NDU)SJK{Qtn1_!Hy(O6UOX(LZnsYwjRI4rrr;Ng!4j$sVeg_)N0A z`B_U0#6q-LsG}_R0XpcZS#sZv zq9XY;=>e8(P*-=BoQlg3&+!=o1|X5<>70Ns*eSv(p?R}Yj@tbPqy1FDFN&`>Rh?oH zF|{aKbe>SyP8b>AfE_8&>_IYgp~E;u;I+nB9src*zgze3X5+cqW2V5l96^-Iq7b%a`qR0%TG=-ELcpr z<-=$mJd0xBp3AW%rV*)B!lX}n#2FXd{$8B6aim0=0)e)|lz56EHJ@9fv0s`OqK;~t z-cTL+5`hG(pGECy?HOC4OTBh+4q8q8;<8tZS;(BA2c*8_S){b|<%^%c&RimLiN=W> zL!n|DKBuq2iHv?8#??NKPBxN?fF;_Xl3r{)fB6rfWD=qKvkk zMpc4TG+Z+Ra?g+9?z`gkh@!yA9T8E^d1q+ z!}AQxj7+!-*?zzvd}*GH+E4KRVniR$*PU&u8~3zkh3St3MVK{(=NAUPCAe^1NB4;` zd@AB1q)6F!`EN)R|zc9NVUgQ9>7FR@*fi2#wg}Voh-R=b zcw(p$;L0W_HPS9Cn;$}y_i!fGQC*x??ctJ0Hb268mA*Ul2n*-+P%H$ z!AA%L)N!U%3dM9q4~xfyOzK&2_LXD2C}@-X2HGOgqoJb0#9*Cb*K-%CG@n~1eMKX# z{jRgWnyu8%_(L=qTkXsjtLBa{WC_R^sFLaTuU#9{nKO}OlzAF%R8*hgKCd>POg%li z-iM$L9kQ6S&j~KZH*1V$6LzbWxI)miNn#~tc`=&nSVRX~bMm#>YotP|QE?yks6<8I zEiM1`_n#uS2)(p%8;46i^+=R zD=?|%jr9Qig1tm*Or^6UzBoJ}nUb05J?m@Q4aV^U%D^i=2?EOhr8gk9YMm(k#UhI1 zCl_tQA~~k5dL}){%Mb zOS9QM^cGKtvf5Ee4>^z8+_*!zxa@<*z)iSL*$E?gg`qef6&A7vkY!H7WGXL7s)bCG z$EXrgClkF(oQDV%#Z>a@SO3 zP{++vtReEJ5{MvewTW{n3-`(XZ2YrqLvcCCXoOX!TqTNul5{qT2A5+m@+}}F3LnDT zc1|Pbj_R=_o%mlj%!w!m&TW2_xq}Tl=HC!;c3i~_TtN55+) z_K{?;IS5usrfL?<_3QmZ(O=Y=9GzKwQsHp(_^@9-MBX`zvGDd)V_JG*D>-$^YHL!o zw&ZOa(U_iWUzV#*8Xp~sMDDGLK-cOPo8C1$|H&&V*jg2L zm52}WFsJ_(h_1~3^)+dk-<)-fkEhG^s1;; zpKKLM0Fp-aTHdZ+0IF|2Ddz%JW__*HS{h+KVX~R9IPd`~rQXSw{n5?~zf z?)=A^150a|MQ)@vqAc?;;@DwZlDMQ%W(VGut>yxh9Buu&j%*xLGP5C@ zDAg66dyUZv2kdt<0Z9VBXTewuJfTq}=kwp&ep2lKrgyWsLy|QENndzjsT*WS(=+VC zDlOvJL-k+2OFs;cYRR}I3m$cyubdNlkNBNHDE$Xo9Wa`78Z`1nO5@t5C?Vfq<(S+s zOW9f6^{EUrgjJt6YVmnv2QQ}b#5BYMuZ`ypCAvgUl8qIGe-xF8@!cmfT9p7n9OR>@ z!IC;T9&~-PDVtBTc-EAJXo96azANMBFLM&eY3NB`&)*l>f9GH@$Vr;i`RMXw`I8g_ zWeAvf%g-uc!A7Jqdppm{P6cWkiW5F!cdFDe&rH~CAH4CbsL%u*k&;{wqP+)~yLeV2 zb8lWw=Or#`AKn}Er!2;Jhb+cGnG}Kov40XAamN$UL4SjLA`M|uBG~2zB*;%G{Yx** z5Stwug8&e<;h2eLK18wrMi!1Il-j_oz=9e-6TfBPeh!|;We`WYz?0*e_yNfO(R9^u zQG8!p0i|OB>0G*{rIqgP6p#k#F6pJE8>AbgOB(4$nkA&WyWYX?@BM@2GqW>u?>+TA z=j^?A;+2#89rsa+w{E{!S*$i~EVU1qV5GEA;OSBa@cXg#19NhaH3^@wx{6!Hri}#6z~r16d;2`jry-JmCYjU6+N8)0x>PvSKmz zZfT2x+$sici|&{%MVbaX0^Xj{P?sd%xZ>O}F)yxV(XQtX1xJs1Jqp|(VeXtQ6^q{% zag`L4C&Rt9hEv5METPenA)LX1&cj$xh&~1@HqGsR3+`|JMV7-%9+dUHPUUdYf&C}R z%;uY_x7~H-C92pTj?j8aa+Vjg;D1bl7t`>*&Lu-Q#l#rN$CI56XfLr3Fu>K!ERdX> zn)%HA`(Q}f5HeWU2g4e+8*Yyq92UT7a2#7&a$fJ4v43~{vAeXC$8x2Xabono>l60Il(l|Q=6AZiktJspE5#YS0;AP zg6Bo+XnrXLz#Qdr6VTz~Ehd#Q%3va!z=>eaf7^t+AOXAiuZQSGs!+kbMH6R#|G`|R zs9{@YWWIVFl?!itRb1smBqyVh`P#<~&TD#tt_FDF{Oq6dUFLKgJQr+=MNX6{cKvew zMHXncbNX=WIXiY3mJz0+-Nw0E%v_`Lbx7R~AF!>65qWhN-v1JUm!7{I=y%kvrluuJ zOP_6SR<#TEm`IdE!-pich>=9^V}3V@EnYW%LlSLlo9UX=0T?J2u*WxE)yBaz&YxD^ zBWnt&q>puB-*mZFli&}}hKqXmQEOPl?qbQ)_8!^J9fhd$V2bY11>YEO{6dhrx7)!i z;)o`+=r#+TQsu3w+|AiYo^62P8gsx*|M$ur0g!#WrkrVA5^G)RQ`_oH|! z!&{1AO4a%`h{Z1y>v`B`7iTZQ4X9$A6G{LzTf(}QgF zuVeXG5`$(_Pt=Or{KO*$>|$PQx1Z-}|E_Dvum@b-ES^6&DmIWuVK}g%@dCd>_xyM~ ze0wc~|<^PC5vH;O2#Ahmg@ApP!u5T>`T>5R>vu*`9$;vc|8h+1f-PAK9#=n?#z%u}wDhSw_S9Ln?Xn-?w(9Ttv^+JJSu%|3yx)MJYT3`2Za8Ag zSly#)MNzvR*?f+Y63!0NY z55ln;tnO&xzu z*74}+FI_BaIbp8m;>#bSsJ@hzAeu=|98vY3E1Mp8l+lNF7O?fenRtD$3NJE}hCo0H z)PF!&sy!a>B6l0LUP(LkFwcp~O=uI(Ti}5R7(Z~)jXjwdRUTn2U^ToAY4AM9gxQE2 z!}lSfy^a@`+I4zIN0V#If%9y(rE{Ub>L%Cqy^OsQ7#z-M&N zI(E96&mBWrChzgm4>kUfMl1Ajat|G38e5?UOlLu~HB)8@q+nit=+z=Ih))yMTHf!k z`8q!{gqW%p_{Et~%{91v^X+9CQ#OgH)Li=m9C0!*B_sZUQMgRz4Slp^gd`{?D`}X8~Vu`)FvyO5LS60y(Zu1^-tuOdOU>n9TO_4s5(VG$xar?xt z(UK1SFVw;B@`4wpA!C1r;x3{RCVjdHdz1y%uM>Y>06c`qo9A}9TSq=asn6Gc$ajw8TL~E&Q~%mJ5bd?>lE7nyS?G< zrF=9n6U5$d9)f)Q!8=2Sg>W;YBEbrqSj@%h@MpIAR-g?rFCVrBSwUk}DCvMnd?EF~ ztjS)zgl=!NB|kCz=BXR(;C(3=l!=H3P@d)P` z@@(^%(J+j!5eU<$L9&$l{lb+L8FhXeMuj!Pq_RuAGg={mIbyt4@1XmkbX@yl^uKU^ zo6`Urqeu_F!qV^T^rt1dThGK-T3Cffgi2Ek6h=6!oBzQelr)=G9#0u1XfAQq_|qN$ zvS6m;MWHj!XGAcR>HSXr)~4u9s`22j*pQ7vTKv%TmY?G@Y1rR2nK!PTF8Lo&Z~1|B z3kpi9+|`*hyL^7SrTzZ$Z_lJ+tJpFw&A%wh!0&e^7Y?hTYB(3vjFQxSn1H79W?8-a zc2j6CS}vtKUHQSPoRnzYW=y_la+uaNcvQQN+PNHNmPt{Bu@XJjVc&TM{7$g4adi(< ztv3wR%7P6sp~vYRF2r^>vJkDT!NL90bbw$lSUV%Vys%$}SEX8JBpQoSs}RR8D1K34 z`AfF$RW!3bRNOCpWwn`PV!!O8)AC;@(c_Zdr-eUkpYvm}$#z6l5;M!-7G>Lf~0s-cn%gQ%lxTi+rc1EQrsjCMQg#?i(&0TTQ=D-<(TJmqk?? z=swM5p9h;d%YFIPo>t2U!B=_~O(U&lFE8%&N>qW)blR_myHuXnb1+te`L<9kJz(%a z%XzY|ig(xf@R?qpHFk|_eHG20S#54^gA zl9$uBAPJON*C@DX(+nvd#yo#Au81BO`c+}0PXq<%OR&^nXvTqZuofTr#z}>xERmrp z2Iq=(Dg%{R)c%+Eh8h_CD!R@b zF_U|kQ^e37n-x(%xdlFme66;=HFJ#9)?WoP0#<+Yki3x7KiUXeOK|Q6XU2UDKJJi` z-5*}?wok#`XDC$T>9HX*sfB=L?<66NWg{WT9`|VeZMm5lcRh?|9zP-YLiuFig3Z(# zE-6P{t%Q0cy+QG>(WK!f3zt7KxK=I(EljQg2NPTk(!~g1Glk@Ku?It};buV$V%Tit zop7nlx2YncFV`YfDf!l2VMcc@}neIKqJsk68V?efOd%EuIew9HA)>1yI4$3RWZtB7@Ai5jzWr z#-?03aYmQUSvh$MVDXLN^3$R+5r#)c9UsM~YWM$eK?3HxZ8Fi?YH6}q+HwkT(*ozBaIVxB+CBx4?R zDaqa(NV)t7qkWSX)K}{S>}*(7ph(sf^xm*F@_k?3_c;B1tU^gr6Unfk^ffxQQB8Ii ze9Z;IA%@lm;~$#mP3GItB7E|!Q4|~<#a?$w&aFnAWeSPYa@m(e1Ox8g_ri3)!XD|$ z)!1W2s$7HuhQpC0V}#XGdA-EML>FR=B0E~{u*2%gAhK0x2Q@=lM7Kbep;DF+V2Fg7h*%C2^SK{wx<)tC(QgUjr@?Mw1y_E8VZmBe!aiK; z$LO!ZV@qquYlr$SM<>I9@_MbQK|Eh#oz^>r#CkPNg?+)OulUNJa$2L2(l@OsW+WY1 z;kt3$-ZF;g1p-a6M^;i9YQGeLCtHeJ#v(-g-TddD5h+y3*>Uf$9lUiD# z%k9Wv^Ca1|ccyN{AbKY&39@C+4#t0%8|39U%-bd_ae%SWw@g}fH-?d`^k)cgp>MdU zmMB>+(?O5NJbgOsQ#e%T=|;Lo@HjU_v~oJtilKCpi<7-YOszAF*GfX ziYpZAA)0)H5AvIh`gE&<)xs%?`&XU?b4N_h$OAq&ir!~G)AR+ZY-lCViBT&2ArmVh zXjjM%wElLp4rjmh)2K^&fak!xi#Q+pPQ0%m<&H>=%&aZLNLZtd@ z{_H)E?&C@XX4@` zKLy3S4Ly5!G_2_K@M-rxK+j7%+i`3`J1^cyK7V3fAu z3cuut2PF4`jVP?Kyw%g28KpUV>39`0>#j0MSjFcB;IQitLkFChMD)QIU40@K^^K2C z5uu~x6~Bt3cw&u9n`xQX;VQSLLVxuR7pE{J=>=nx(x~Z1*Oj?9q!tFb>cry>|M{E& zVpwO^dCfrh`8V)}4axW)Vg%wLr+ZwcsCzl<;o>1Ng2d_mwN%=Lc5+O@9_l_zFpKN< zVG$|OV{~k$f+3tH<3kEC{jAtHAJ4lbNO3t`^KhL(uno{fKTkIwA{`jaykYr0h>H3%J}f;atD_M3 z9U@KNNk1c_hO`sDsbO(7(UVCO!F4TB^jd+%1n>LEkM@Qh6~0pyQUM@A+5TpdSl`aC zHH>A9$P7bWyjwl_5?LM>lU}ljoKP%-3Ge>%#In1&_5M%j^LueDcR3m%83G1!{d>cr z8j`fy#%@9TR3)r(kX&t5)xkfXkOY^s#f&00OjqTzH5&Crl@mnru7;|{*e{SMhEgUI z=NtvbFZ@3gwaJzT;;7_p3b&K&py7K2otkfae+zc|Iti5~QkCc#qvaKsK9a1o!++$& zuhEK+FneBz(ZH)WKMn5wE3hhbHQo0kE`G@TXK2eTvN;;Qk~yYxGEdGvYVok*^O?eX zy?vfX6C9#KNmlb@f?))T!O&fSB>{neE7`e7HgTS+JhU=d-l-t;5x!(bO)CobFOHKMA|3u06KLu{)1h#G_Du_g+w>&Dl5A_4hs-nk^WGuQsvoyz3< zna@YcIX?=|Z@xRDM^1%lUXQ*JQ3SosGvn7<4jN2l6ZcJ8|1tAD<%o8(zs zcHa5dG37@P`>g6Y=laQ*5}#QM{BHx`jbWcBLZ7ySBVlTm6hZw$Z}qtOg&As0$9&f# z2T=d4vwn+^h#q^j1^p-hq*-nkH(BPGB#(6~?Kcx`+Y`VRf74b{LIDe!j#N?@_u13s zjIWbX|7a7`%>N)F!G;qvKpYrm73ET7$YFO^@hl<;)9h2@ng7TH84(wY%|?>D@QDJw z9CDu7#E|GSaeVNmEju50YuW0Y&8XH9PNfTq?tg3fNwgogDY%%7RzLhwog6|?Pfj_bd50IV1FllFPxTt#9U5 zYx+1(8_k)&6d_d&ZW>9i@`L?Vk4EN)mJP`pVzYs5$>IUT`_msav7#uwE=Plm87XqC zDO8X4wRxmBm)FPNwI(xSUJ>!D_OZ(}?{q~)_710MT?qwK>1lHJP(*qT<_vDtyf!tJ zde$fxjkVZS_(B!SV({GS%6OvPjNGEir04&Bf;biySQV~M8_K8Y1Ji(P+(o#PHO%ve zQx!w5S0^s9f}-nVR8EHCcJZJYTS{oHlbEPKQe93CeUY=J?Ykh45Y{!hn_8ZA`~v@Vy$Q7i zwERzCneUWl(X4NufKdV8=l-bUn4g9;!7dai4F(KZvP$lTc0+8%)w1*pmux||xr#yLFex^k^K$hhu^RHJUsr8?~LV zxVwn>M^=(5@b@xoMmf6jw6>fL3qZHi-Fb_mZ(tmg+w)@j%r!xBz>5L$h7 z<04Lf?Q9&z1;%JiLo&1AN=|OII;zV)C*~YP5ECSt)nXKy>!!GyK3gm@!%K0dG+o(q z%=+x;WG$8PNN-!k6R37{afU+@QUgadI_{{)jLRoQB^8Pud)zywG5L!lARXIZOzn%# zr%QJbfAf-FyFnySoCV>CTNEveGB8yb9Y7b8irZE>@BxHX>MT@P!4w(jL4J)M*ndX+ z&@i$`LoA7@K3P+<*()`NxwxxQ?2E;Bf)9T#Flwwvti>#3SJQ48*aub{TZqh2JJ#le zt7rBz?KKgTPOvwojdTj#ietkjG`>IN=oC^ZE73X|Kl{$lk?9d5#vNIyKkVr=1yF*i zSAEZ-aiZKdr@s%Mwr`Y}(w{&F1(GDf_7+AfbqgP9a=(Y<9AMk?ljVr0OcD{CkF&i~ zDgf;hy?w`3f#h!9s#Qs58!8bGGn?rx!H-?lB}QZXCv`e6BBm%`DTMMHD`=BroRgs< zf6YUw+fs*?f{Z?qP4ie8EsB!1Bt|M(aW^hGTdUBKJ0#^hHn&{G-r_`B*l?TP z_kJ{e5rIUtkq$xJ;Zn`f@ubPNI)w;=?E7q4a=J6IDc+iisjmlqTD%?2j&8XZ)?I~- z%utt-cy2a)WA)ILXz3#VB$lU^Qy>vqH>5aSP>f$xLd7inZl~VdGw?*DG7Xm8{ZmmI z1$z;;I&pRn#U7dTyI!-wpkFe?NVfg#1GR=udcvD1zR$`jclaT*Q;dj}+gj_Dedob0 zE*vuAiv=eh+R(MjUkisG7>r&S;J7~A6H8?`W5tee-1@s-na)bB5p>+-ON9w8Fcxp7 zq}gF4%gxPpb#39F52cKUyOdSg>^hGU3ZBxeC|@iTv=&r!WhlafX8KQyN+fXLhw9py;Ej)YZR1Gj}=v{zozyGAMR3Q_+O|bio==QTB#* z0wI7k#wVc%Wh(PT3dy>?qYuAdDtt2Yn?{Hh49TK0=(k*dAO^qLg}b6fnm6fBj>R5Nwup1eSqK+Ku2VM1|&$N?vgsR<^OOC-ZsK?KgQ^6PvrVt?X~m!L!`D zDVVLUT_QiYaewNvCzXB-fvfi&4eb8>v9iw#!Sp)fVw0JrNW)TDPT&UId6D@^kyPyZ zb&Yn6TjwkGwNtoYV*Nyyq#L|Ag!~x5Q&e2`sy2{>HBW8RZduY1T4MLzfJ-X z_<4GxkTq1+H$?#)-|&Y|QY?eGXz^+>AfZ2#dK?S9D9W_TYF`hZ028?tca`5MHPJSi z%B*o)suQYuBijBcl8!XYe{kEkQlFi*ZfrA++qdF!_8!TMZ)-D!pPel$XCaEzHmIpt zyX$J5n!)`_Qo({k$VAp2@8)gTzhkClAOY!!yxp+=aEA+RpHEyrRNMrYX=D=@r$a30 zlFT4AT8fgztle>wC~jT=mz$F)atyW~V1>N|GJuxM2J24l24#8J zyb>(7;DT8M(JKHW|HQPpAj^YfNjH^>UK!0~LZ*51A)!mQ37=m+pF@Q%xKbrsjOf1^ z_}zw0JSw3mAs;36F`z%WR1i@^5`dR+uo0=7M+R}{IX*un^vdRw2--OpV73YY3&GZ} zD_7mSj}3~)R99h6R?vZYTd;7*BxaQYrN4+vEI?+-KI&ib3V#%27%{7de;OVa#%Kt` zds`~>YkWk##+di-zJ+SWO%YWe5f%dxy(wQnCKE`6f9oXwPoeuMEq^IVT0y7iZTb;h zTeqL*uyb(={;o2;QJU`?&Xfk7zr%g$Xe_3Du8w!)o%9z!uxAOESRrSqSURXG131C3 zxVqDNK7Lj0NIZOfxAePi;yJ(yUaEBM{kB$_CgS7L@qA^u0AM~GOjq#jv?{97RK9K7bug%KjzT1Ss9LDFa?%5)|MFVMk&`Ty8Fty z*f8wsp&1Q+(Joa`3T_A?7gaWa&Mhm0h2Fs}LA2dlYJdu&{)j#@=#p1}-H6+&s zRlPNi!(-e^)Eq}FV~8m>DSB87mSL}h9K;w|e1f1>o53UwGYWqHH1{5_+9?n&D3xpA z)t4uB8RW&YzsO`%1XBgye~eEGlPkpJzd?u@@80gbL|{c?V!}Sd9{6aTi+ns*UE3}+ z#Mp^Uu|ZeO(cy%>B2>>|C#!t&fs*O|fjri{V1P7M&KRwJ&H0`txRWRR)uHlI1~tQV ze1a$M)egRl<}7@9NP2q{+$>QQkLz1P-8hf=Dl)~G?|QdVmg0Ee zzqW=O5P__H3;>PUEB5!r(ozJ)`$gNLPCw|{&H@?vemVL4}C|DnFrnc`{V z8D)L4UIaPM6yR8<0dszs`ip#PFE~M|{g4yB;<8!#3#anlz5i1u{Z^}wXzoNFft2PX zs->62->Mep*AJtPiba2Zmmu>N&2k3yQFx#sS+q<#m0N*&$p+dBz5#l3znhFD7>auY-rJS-vc zcI#MPUs7p9jo@>cp)@}-fpH5up66()`l%eOv!GajD}|Uxi>uA}$4{5h*et>Yoh;}C zzZm0WpEQ>@qnoVHEx!zGM4QC5{#s^9dU_-|ZgkxjYeg9RvekY`esM-$bKue1G3v*& z87(ZL{Yrg5f1LUwKl>Nkza2kJ!`>i(t1vJe8VI!6fnA9j{O!raQ8uG{e_lmoKd{yc z7*qP99FE})ke*N8VPJlw^;IqX{WehWJA(bv0C)5TI-cc#tH>et5GE#rqhW2npC$#n zvl;7$fp-js{X{&y4?0~Z9ZMPnB%bwYEWzV<{n$NnX6B9 zGw`bINP2tk`_R!5zXcJztN8Uu$VTFIm;IQ@v`~Z=QmjWujuSTu8{o<&hQIKT&5y#UqhCC6vW@GKTW4HG_HXt_C;P#*CiWI z7nLgxmr@)VP%iRRapCRg;RKPg{(VY7(nXHYBpvUhIEn_FTJQ8hrpNm}`b+dYBEQoh zYSt$tV(ok!QZu{#M!q6OcoP!Qhmzn%lotk-)={1tM;vJ-57fsATTa*46ERz=UJ27wuydkpn9?JvpxchCVCunywR`d+ z;ZTGjIJ%#~pG1^P z(Xp1@lp3Oz${V%l#BYS1dR^VW7AW1I%@gIu`CEUf@lS}4qp2vl)Wq^_M_|$E{E8N?eSeQ2Yx=p{J-N; z=aF`sJ1ydGjHJ>NC4NMq;SLw?8^5o#!_Guargjh)F60|vlTI2Xa{N1)t03S}Oj8e< zP)Q3Mw{Mf?1unT#+lgh&<>)`pkC;4m_5C^6&}uvg>=0oWR)X=9G-g-}#!Ma(`#K!H zJ@{NCZ>|IxbQ-~jE&uzfQ@QL$lHaIf6=bC>Mq}N~nKzd=EX5bE8~peR{4LH1RG;eB ztN0;d@&ZG|ax<1PHxH>0q9jJd#AP8_Wi)U)Cg~Zq-0Zh-iJ@W6&D<5m)$pqRRgm0! z%+QZPV2|uRwx-g|b&@whZuFSS$oRB$TaKS2mWerim8wHbc8r8y5z@iL{8`uWJD*RF zp?t6s_M)CEYmB~`(<`?q(w~tdVm(R+*S5q3Mi$*9@B%Lw0zoBWeGPdBEf?jey{p`g zf)Jx3&xn$j%-_3lg(+H>nosm-F=#feE{mO9t!fxuPFVC*7#qv?V>^|*FMr=Gc1Q`` zr0r4z_7=*btzly#WSFwOUb?FY@e1L%W_^p-gp4HDfG8I6OV#lWYDt}cVm%u*KAXdr z1h9kO2ZH(lN^Vqq_d3l_Vsu6ubW0pxHb&k$M;JyK5DoTQB?wDRs+4H^jb*Y{v-yc3 zfwho@EBInX=5}`Vz3`tmjXa#i9h zpa7M5{d+e)YaDadCp5leKb)7<`-oA%>V3pow>8-Phl`zT@WU%jMa(DE?l%ix;SH&g7V1ZMfl!|SNdM6Yd|sDk@yt5ufaq&XNkyD z*L~?b*P54mI}=6;*Ty!4!$#vCE430OuUdHAN_a8YY&?N2;xTPJVQ->04H#UPW?ITu z<+Xj6oc5vW@y7m@*d4PDeUC}d`v+U0Y2s@aTA{}Kh1Xsgui7g3Mum=x5?t@OU6;Ij zmrD=o^o?Y8mbV@i zSTRiCF^d8dX|XEws;ETwnLAqp&G`#358WG+Fv_1TR*@&}TwfbN-rjV#AWt}i`>}}b zw|UG8#G6C8Gn!^qMTZQoGi?&gp<~Z3gjCx11yl8EInD;pt6b8x56H(ybQ8Soi`!o_ zEKqQBSar=7EUe1%M29jO?vbQx`8$KmQD)KI;d@ncE}xfFayAi|MfWjvA&K`?tHQOT zAm3fO|9XePbRF;MqLEYQdN+8a0xH+JyHuC1U9vK0OTa95?Bi}=%MrLgD33Qk4A3_M zM)^?ZtCDlM;_R?ux&X;xW2A`% z+n1p~pa|FMgKpTS76M;JN_+$KL#^?kJ_nkaN%Q@#tSU(nW}ozMdF%Hl!yLi;ne}T~ z?b%?7BY4-;{t4y{E8m>7BbIlk}h1;b$iLv2{xdRsj z_647qY&m5idue^uTxg$)6!7gX;Bs7OV9ETv)ek#4yzA1=kt;eh9lfHJMA+?YOw%}= zzLan*q$q}PAXb3Gx^%3BPB1Z}o34?wImTztK=DtZvt}L+(|>Z7Qze8Xu8WBN)A3~m zbmmXasD{CV<$u}&9OiK*1Z{kCrsXOCUX~MhujkX{RDyZ=;_=ESz%%r|!fAYU+nkkY zuDXV4DwVJ!C+Ie5x)f^4K`d>$*Ec5QdvOCm=e`Yb1A0y9f==m}k!!*)Znj`%Yv`cy zRCC`d4?HNt0uC^-=$ncGJt+HVn*5Qao_$c#3AcBO)D1Mrz#P-(sa_)`aE0&7;qlJd z!C_lJ<-^0k%NgIJ<6l3UM^pqRTzod$fek+~bVJ4M$hED6B8n|1nj4cC$7aG=3r1_# z?N~A_E>N2J89I_S2Y>+bGz3IVE1QPRW!|YX8o}#%m66)zxHePZ+%;W|wv*tAI)(Oe z;(o(SO5*eG^P_0!%;R;gwZagz^;oXC@bZdbE_23xRzL^nkhjh6@u(@}MN~F#Jj1qOMxG>?H^3S|W?HSsaA_y*(7Djl!_3QJ6<7`H zE_>4l^iXCurR=ma%X?d7kM>CF_bEznSalO=x=q~c4fJ$mLT7w`w<)N-G}H?W({pM} zAcwuYVZvZ^W^>?0jv6`8rt@yzDF;{UTPiB;tkr+wK09t3WA~r6*^(2$lDbJEe&T>m z@ml#<^FgOP{?}~-=XJfwD~{m81B>z*e+id&_nP}+`-0V_5RCt!#CDd6r?we%j1-8w zSpT)ZJubA8E4puc@~8qh{cOsM=%2gM)A}bKZ*>Z=c$#H|nyN6%J-ZSJ@h75$oQwf5 z`lTkTnW4JT|9DSPx-Yx`G$ySunF0*vB|v0++%OlS(c9P=_K3-K9VVxCs1?G55ZPXX zC63GWH_V?+ZG@(n1>JaKa-BQ0#A}z^bUb(>SLq#yP#TA8kGOG}CQ@+dS5cB)K5vB@ zG?a2Sqdt6A`~T>3$;ICbn7!6)tC|@>x3fDY5FHnncYy8bpU%88EWG`PWUa7tO|@P3 z-$j}?dE&@_to_(^-2OB~xGTGE9CLE33F++vz^8k2g`Q&Pp!yEUvaTO`TiB8Ssx|N) zd!#SiymCw6rk|{0R0w96K&W8130OetMX2Y$;vPisPV@wwY#spB(? zes07xq+u!BTJ{_TuHyxut=lez2P(qNWmxyzq$WQF97A&vnAr=VAFdQPgKrJmZpMot zGoH&7)N8I+bB2D0N;-9BPEF1YH&-xvUk??$PmnTr%8{?Hn#o_H0pQn%8USh7oW1Me z;&oj3&q#V_OAt<_BZ|RrP?;AuBUledn0TaGr5DC%!vH+Hb}7@WRS}>&XB)Wk616K( z%jKLW79YUVqgR`kfbNuECUj?3ursNK<5h@KI7>lhZtrq96U-l5gf`z<0$2*1UcCCK z67EpgdY%tpFksIwKw@xm4b2hn`I)8~ZEv(g7 zxz0ZC7XU0|_xO_VUIUHu4gYmn>~5@{|9-DL;2&m(RsNVvuDby|X%=v^0%5Do{OBEZ zCEwa%jZ0wU0qX@ErgjNsm2Jx7wp;eKS>wN9%^*KpdTQ?%mwGgXV_Vh#__dJmY6rWHS!{Fb+fLbF@iQSt)Au{2;Fq{*TfDq$xW? z?#R;Cw;FKv|00IAJNp#X;7ZJ4<-l`OkSjSDuHPijUI%rlslf93yocZFy!t4YD7;GP-5m?hv<&IO>hz1Xa` zZ3h++ETThz=O!*Top}KPfR98dL;H{Sv5zU`!IH!7)gXd{87wn9lP@1Ie(Hpl1qmk3 zqj{n0@JtYf?)5*a({jAFS@C>xrVIrzP?Vx^v{oVgtAmE^wyl>^=nVJ;K#?bS3-#*T zG0SJ4AD>hXEy|r|xb@hsi($C{s`zB}#?kAdUh`KI=y2`4y>zMO{8z}4ie9x05cE)z z1j;YB%8%9RidwfEpNuR)oi8p5Ni=w9xOXaWj_ysaF8p8QD-1Oo7dY%v@X-M9gX$lz z5~;j4gf0E+M!-|vKJ%W!@Fn0 zD^-VKfJgo_Z?lECWpj3>_TAI%`4^HDxyCycQ~FmuM^B$;$icHaQP8f0EBctj-%yW})5!|KL0KZOUNMav82 zJ&JC(S9IBFGxUp8YepPs)bpwbp<=odu`9+EX~ z9JCO+S@&+{zhCj#5!A~h_TL8xCb{X}OfXAg~t) z_KRB~R(LK1m=R3y()_y4Xy!lvpY-%@*{}CAXP{Qkw*TKWlkb_UciHb6d;XEkL?L61 z^-L)D(>O4I|Jb;VuW*TX1Q1;Mw|pl^kLpvdl34I4cCd8K2s)h$4qe*@EN;say?`u> z`i12KdDM(Va@2eOrvR4ArMUm_Z((-X@~TRGS7d6w&*RxW)B^6-cBw7JK_R%b|C1fn zLToGgpOi!)>YqJ;xdFr`v-068K)QCuQs;Rjk*3pG0WgR1x@9fXP#e9w;RYZ9`j5+J z+zVa1QfXfgmapWqzu3!5uGD(2P|ttAFZ5yajNf}3Rjtv+!0zcS@U&e*+ad?;<53x| z_c?NSPP0Dqt-b(2Rm^Xb{J+edh3-9#;h>Hz^*{JJ1SoC>-}WLjleQ1weL8B`{p9M6 zgrJ)9NNX#)f5=&`bo%7|l2_F0&pM6Gkng)g&-a1~JnIeWn#|xNm|4X;>`dU`G-7gV zN;T$$9+i$CsQ&ZMJEw9x1rn7FAX5TNWVBZ9TjkJsxw|nOU>;|bjhKgTxPgQL`8fG= z!2ohh)WY)CtHr4s&3HAz#La=eYW{oVqpBY*@0DW!rO+y$-fDPmvk)^-{4gfh&Ws8& z_bR79aAHxLqoY@b^j>3vYngANZmDkFQX^ZPkKZot4i6AFgz^YFjEtpf|7pL{TikU> zbK5HOEeB;^wwI=am&?6iS0&-6$eV4U%evNw?3t!M15f47j#bXSo{9MH9NT%FzszFq~|2c!tajE*utAtT_gQ@BND3Ei(3yq<3yPA;1c06lsNbhS~%!U=74+4;i_Q&0ZQiRUF>Z(B3jTSh}r4jYNRM1p|^De|&<5>^g*(y$pl? zr|`z{d9$JCafY0cS>q^?KQidfw#iLS?`tcet*4?H+CO!e%0Dw~YY$sKLmF1{ha%V5 z9Pt2N)1o$gpm)n)z-4}%GcSVW2jjK-$jMJegN~rc?CJ}A_o%_d=BZ)JLb|i~I8tnS zY$@PYnZ?7RX??}~l7GM@0q>EznMdCl-X&6R*9cPh7j4G=2yRN+9`ll1$3|wX{Zrgw zN@J4s!EM^{`C8IHwIwae_!?bR%utrc{9-O% zX0=2e=~Iw>_tITMS_9<2yrQW6ce!R*jloc1qRdYMg08CC^pN4b@AqSr-B6cP^|S4GYm9+F}R z!7rKpaP9KIw%gRmV`18E@LID3NH_GVX_|C0QlMei(dP$yxy%dEb}pD`bt$1iAud31-q(NMpHmG-qjFvjMJpI^? zI)XIOe4;Hh#icz^hS7BXm778Ie$ebeI&|iF&K}2ZQfQm7T?4WGvF2$7s0p=RJ(jZh z>${GHIQ>`jAlH7H!c&3Fc#t0DTTa-%FF3LQ%2V;?q_5gA4`(|x>UJzw_s<>>PJr;l zUSA6+4kf&24lF_D>fG?q{g&SKw5ngvi*YC}+Qj{;J&D=X*&vzOwNBo%Pe7VO5T_{| z@F=gcq4U@@Aomj@zE(bx;z<^+UCz`$vdpOIfOPa3V}1H6i79%|DW+1?0uQY!XKr}% ziB3(OYO_UFDLVI+QP|w~W)nzYX_%5q+jAK5y^h=J|J;b-w{|9*o?6(vmhYH%=1nP( zP+7N}U0m$dGfbU*5`u`alLb{cmg-^_5#v;~VkPidrR|9RdbA*ACTUOBBn zO(3j3R&eSbAM0-uS__WJIz2#@>pF&dG!5txzoZsGU~JE39#ffoj5s897ordfus&#Q z1&*LPutMu~?-r*#7VBx|<~CnjDD3Mw=8V)H(eb4Xm7VWyCp*?VKZXyR%s}nMRKm|H z-J=mp|GDpUj$Zxz$Lrb|PN5Zm@nyacALg;1StOu9Tk#nvfS9TzuV^%dzq4V5ULaI-S^oHTP1>~3_3B1bjvI)^kpOb@7YuWd zsE8pD0DsL%Kq2YP3Rh5SbRmdbyYgJT{YinD(^meT*fp8EuxpfZU%$5S1% zn=VtEE7hAYCK&^^S5S*rn$`@jbUG|J}4 zyFaR1E^3ukH7?5ylpI6=vhd_qX)?OCTz{8Z4@A6w5yEOaV8kiT^GRKU;Xj6pdC2rq zJonrmP0WP?a2WYg%)V^DhzVTuHJ5I9@~ixLGBhLNTlf8pztf}%sLU?j5l&A_;`It& z0IUw#nB3#q=Q9>`?%cMu_y36c?m())|NlxtvO{*16s~oRGP9Kx${s0uZ*h$xdsVVm z5t5y2uMpBTviHuuuFPxuo!9mLe1HFl+x5EVbLjf(63RqGnn5t8%R zuM$#m^w)<0$b?-BM>S@bex@wkem^K&0tqURF5**&(GbkxQNQ}5AIL+j`q&h*!zAsK z5BRM>zK6)qdWs)lsJ6st$9}Z+=`)L<=b$AV=e$O#pf(QY@!WEPN+CHX zC_3^Kesvs>nvk6eNQTDkS%YUH9g(X^ewueRNI)o(<<{(+>ldW>MtV_!WkKkBp?Mu5(g?V zqhs_=@BiVj80C6^6grpEZ)tQ~%JUnLw-4J5R@38aPnHb6{^~tk=BOA*8cNc$Rt7?K zUz}#pGthD)>f{p&c0JRqt6P}M_lv}FJlJyVfc!B42Z}n!4FUl)fc!w4M^yS4nK3gu z@cr>Q4PGZH+Kzl)uCCrrxaC=70sgXPma$oM42I*>DmrG!KkWthiuHG}7C6aZb$$p0 zKd3Sy^f$JrYfZw2>gybYeS#qt0DPvPCEc%@{=-;<3)SKh%-5QfS?aTGIVNG+yxb+}WXPA})Rf2;(t- zvHy4eOXqvHmcAbGt(??9A3qhKLvUjB)5<~ts7*TvO3AH(TppTsQs$i~a{ckKd3}1Q zdGlob5j=_ezP27f1R&TGGx+e@DZYQ%0Lz(D=<@Nogm=J;ISy*9TU0kuD+IWnw+@~ z=p?4YX*RtY&p1VYA`qM}-=9j~A4b|PpAn-%G}qe!RRlP?u#WwAZ6#E zXLmq#b!~0S4Ch1iTE$){QpCl&dWf z#f|D5x#czRXCPz{o`paN_r2hhWW6485fbrZ;xckw^w-oId{|l_E(;`H^|aAH5``wB zrJq5*0o4XrAra~)YxTRGKrH9M#TF3fVrX@hyw)nP_3A)n!BwKdF}#p_y@$0^-Xl+e zD61Z!@^|_4D7H&*p#Ipfl#Ri#DD5$-Zoq-i1>$sR{T%o~Jefnli z@9xvnl6h{wNJt(in%LfE@eW?MHkIH9drot^8(UesrA_sqTW`Z-P=c-qS~POVm3OOo zUAgEa6^CvQK}fl$`!uk3SBli{5Ci21XW|vQ{_6MamnbY7imp{H&)ww}?JHoc-{Ae{ zGS-VoOdUF!nle^P;d_|fc&5ee^?7%fp>v`OI>k7v;e!it$0X|CFT~M6^-3qR{)SUr ze?w&{{#Q3h!T>6WFmJi%m?h)VOT(DP5rYR{<*|U^j4gH6saWb;(Z2wF<&WTif6GbZ zpQIs)`Ah?QP$6(d7kg}*{^cU)NjBD33sTv^<6#~rltUoVCNWl z^Xx+cf-A(k^Ik!0JVzR^Hy`jCcs+dWlBUC}`-x4_aeG*={Nj1D1rliaKx4_+1#kn> z7hCvngfrr=)0@%XKj!B zP!wCX4ue;k90bdoc=n`kCROuJP8hyQ+a2PkH9ifWK9TXgTs?i9OU=FNi(Zsk-LjKd zxAh+Y_6~5OfuW!nd2a%aO;W8V-q4QBLv0HISOfE)9wS>zk8gZ#>k0(gD-9UyjH(q{ zpll{gnxo)Y^t~3bqm9GT2ik{Z$G;f4s>uwkqT{+h5U8lFOxMKUaruEHio}PV2sH&w5=uJGxwMnWzVUSt`bQI?=?vjcB zG;mNK?MQ6}2*@3BBN~5+MTmtYbn12D)aN9cJ5IaI_3n=|jkfe(Uap6qS;(!`Wm`qw z^dFOin)N{-*+%4;X`Ng2ziz5&t4)hSyp58YV%UGGs%{GKX?Ff~?-4uk8l?|>khL5` zyeX!a{zIBo_9rCJLkiKz-tC!j9D8rR%VD|$ZC%eaEQHVx0sa-a;R3R?ctoSx@~#V# zD4os4O?~8t&8{0)9Bkls)A=Dxs_(z3o^jyS1T6`)9oo!Y=7|AN%Eu}eO)Vii8>Nzx zaQO0sU{65d9l{f6hHK0}pzMA>U#F-QG%gNu?xW1z$WPm)T-+CO5I>5+d+;D1eNE+P zE@u&IH(mPeLBzQh4nC-B4Fw)ruNg)_BLX;1UwLw^Y@#AY4BQLl%Xjs@Uw-vAIJi4v zj5m#oOQ;MNaHy?a+}ASAT7#Pa6c$r%#iQ|0fl*IqgkG`#p;?>-%XSHnqrv4eK%w!XV{D|H&{94zLjvgxA&SkF)jnV`a- z=>->q^j|w7vmT5>-(2yw;=XXZV(Myws^HMEveV~TJVqJ_!)N24!GSXnVH`DQNuF7r z{?<~f{c4^IxIZ0`gs6<_!Z5}ICVvqlf}-vdvVGCgjnqjECa!fy!^*5~qhSLd+{6bE8R3Np%|if!I+3KaT)mQ4d~iSl1`y=X(Pwracj3dn%S0yM z-K^*;hrRw}2Jh<%`bfr0M8%kcTN0C)%|A-td0X85zNftIf@J)Umy$G@o2BHi$NS#C z-px8#_Z1?;)J~zUwyBeKkvjbyY!>WO&@8Yd4dp`(deq1W*k4^0L7RNfEUw@Fwg$6I zg>*e0IV<0@JM+N@asJ+K9MIQ>qta#sT=l09%HrB={Fmz!Ry$3r9MHg6?f067wUHdL z)Vql~jGQfAX(Ms&7!cG_U|wctDk3-(RzIX_|CiZ}?bq{bgEqZ3?(}55mFjjF zKWN^)nzZ^p2OH9+AwM&QPLsPcJ^?PHE zpKparY@zk(OLKn?x`aj$dKkmFUFTjDIzB_0^04vIqQW>5c)k&@BL6B~pX8?n4`#~m zT|mDk7>?T(n>p)u`Ag9EFil%G*`P%KXg?njiG@^ZY_V$tb=u)c~xE$ zGa|5fm9;37B4PUaun+!1S}z?}Zk|=Ayx<~R@`LZRHMUng8}DmPMpcKl8Oo14kx#%R z$Azxk``H&j|ExqY{+1+w4 z2RGkx_Wb+_y{^8~nblL1_N6H! z-CcL5fZ80zq2Q*}QbNhDlAQY*FT$llX5a^0p4n`!6flFl8{H1k{J-l4FGjH?f$h;pu!>{`( zN;v|13Q3i3@x-?o!t57kX}@NhTegJ0BEW_ znc1qRzgE&aU8{1v>IG}W@nhmzXc$~6!1mHGYEux~AlCLco`OA~cP&TK=l+n$S`(dd zl6!0@4}KT_i0!SCcg)W=0x48tTaS(P4jlz7ebcm?y!m4flgtP#qI9#VhrW~ww@%YA zOLCdp5)-wz-zquou*w~e3%n(_sIg`0|BxeyW%1@QdoCgs*Ybne6I%&O$(NK_f4ic~ zz$({364A-dJ9|NA>p1M0+jC1O+9>+AeTclPCPcVj@Wi(ma)+QM%_F~0SNEW9w1S_i zb=5{zEvFY&x^jX@&@12iou2Yd`afv9ySVDGz(|YYADkp<{oZqfY|B?KDWaBtc(laY zcH+*{8{gGK-pn_u=5SBmNp^jREbi-co{tRB>l_k-1fer03-` zY&A1MqE401C&wGE&D?7T;j-%BHDz#p{eIQ86;U|%8#6nSLx2J8cik^|G3JI_SF@V7TrD6|teLF1Vp%tH?fN#*kQ zugvj4XIzdnU6MwY*c)^P6FIx9&#@)fwq3%j)x!?BP~#FquB=6JIp?MR;lD$N8~s~h z|61RPFf*c$(=x2iw)0rC`PXsFqId=6~1va6f4*l5Qaurb-G4c zYwS+Eq<1Y9WL`64BW$bbLCO7q%A4+(g`m#tpQcLD) zIgWstv>+>|>&EWCmy)B^)H1eG9J<@a9a~j%X626#UJ5}q7u1rprQhOc)v-i zunBim|A5Qgxav0RBu*x{-M(;C{|BS?lTQpRxPGGls$W^jB)5^}G*#{Ld53|yUl5l3 zP$Rqe71wfJSOtpbd-O#%dAMaRg0VbYP>0+epgJlC@8-K>4Xm}~dvKy8w#_>!7ItVa{%uKl?< zi0*qN)uHc5v|Gwm-?1q3dad)Nkt9VBcFMo~f+8Yk zWv*+ejn?RFbxDj^xV)tOFfgl1zl>pUGI8yPnxPz=_bi%}vt!m^>wZ#7Y3k14=#7yB zJ?@YUA>HhrP^;O`w7yqSc}Db}rP=G=a~0;;I$0P;ssMR8`7w8VOAi~pRD{)L>$5-A zJU(tqkJCu{PcT31QJ7%wi3&TZ;($(0sg3dlGr7>BQ%VeY`X#9d!s7zA0h2Nr`B3$` z%WQA6#R?Ub{#5reQ#T1OYiVkU92cyZ&#_%{rC_yRXwZ_q8aY4D^0R@I?N9r%3JIf-t5H)L$ z!NK=Qf&Oh*PgLjB?}UarLd;9vR}*A)x7&<)-#LmCc8NB#6t}2mS@#dWe^%nEGn3Qn z6Zl9glT@u+A&WCJffQE;+Ae;>FifS2C93eay~&S$aNWm-@1W+WPGytv&+o*S1l2te z*`pCzMaetF7CkWZM?EAGFXxr(D!GaG&iMPpxh_@@KxDE10#xrH_6pC zJ55rvlyA(?G!yA|P%aPl2{6z}>wtNm6j{UESD=D6D$6P7pTxV~3ak#bXjWP2J+{2c zB@vzzEqgKSp2qF@^p_<^RB0X;Cg9?y%MUp9b@wO+J_-zvMpcDZ!1uLo{i`|%sw!%R z@Kl7AsWR5GwNE8P-}S%p3-g^deR?=L&v5G!RGbXulpPQD&iuJDjIh_EOD_|9zEaNT zqiNS|{>16wzcnd9T%)FN@zK_w;6}I6W~ju3$FGcijNCM^7%fumbk)^&`%w1KS-s*R zgj?d*$F9dDKl_xuJH86fo+sTQ3H>bj`GC&)i2Q>!Gla&9DqKS z-Ubd>vvgVH{_I31KW*=aUD_8aaC5Hf!a1X)v3wLisTiKKg<4K7)(XSy);R*iC;Ly! z@d(Ca&1pZe-*YV&oMY2>dF$EpYDL3IeUaV=l0NORy1Lm!iPlK; ztEx1sqW&4a+2cUj4S8+Wsv~RUMuel!4H4d-`G#CCyEx5wE(x1dX}1aFoD@o9*{AIW z`C$i(@<`Q+pU(v6Y^-ClLu(_gXL&FAaksKxx|0~JWq~oeZys;|C(S-36?{cU9I>qL zFo%wGA*LT9ovf92l1Ur5141Q6T*!yKXJ=f=3zFd$zJ-}dVb915?vSBl);Rm%Bz>s! zz9Le!@iFX2!^{+WS+4JdZ*F%wN3~~Ib}MalkL@q%MpbUujde-h_%`S}n?6DczgJcn z%>f3r)iE*uu%6WSDQDrsgc(%|`(9Ibs77w_BNPI8<=9!zyP7-Wn}UEz-K7J3(4=vP z6`A;kY5i|NjBhFk0{~XnQ^w7hO~Q|#=@#Q(^^Bz~d*3Kf*3;Ud2d}=EJRK}%ljS7B zS!OQ8UATHB_;Bu06JFm56Dzs`V^2l|*vHB`O?(5@6F}yGE-z9-8P( zyRi46HZ_>O*)(uf&(U6Qm5-gIHmZsIZO8?liP|Gjj`qH4U}5oaNrHYt0GFKpYhwc) z^~&7qjz%e=`BtO0t$oV9=$edY!GS({~_j)_SR zna#?Wvtm9ECI692WtKawq2vEoQx?fO(}nX+18O+VpHVz|r56oHT&03}f2WJr=uL6w zrx>5F4y=_iUkN|yo8W}hw@mgp>J$|-004(ar>|fP;6G&BiralzS3Zj- z<9ac1iepoNgs~UGG+R|;e42bhfjwgWr{Ysnl7MlfCR6JEui9&$y7=sp@I~r_#hhTy zAiirX7kMgX8YavyENYuvA(vX+ctPB-ZGORrB{h^rb<_Mpg^|Qt#@CZGOPR5F~1t$xecR50wcX0r9%lkt*y(Mx(~>Ou>t zD$5b)VBUKiJeUqcZkW$=u8PhNbnH$?*Pw0C)prT!z5giQ@6T3ao8a#$&DZL={mauP zm3`lb8{4Kc79y5;B(8ggzAZ*8Q1+?SP`t&RLnefWu)Tio^LVIaQ__I44*YyE+) z0z2wNAUwf>O@E@BH|y0s4rl$XC=-Rvr9la?T98tE&5D1v`HY8hOHhAWx|H8lt0I`9 ze#B-UaVU~ z%}gHoC4j4JfLfYL$M2pql#Z-Gi!e!x@qHv#hX?R9?m1}F5Twi-o#VGC&$(AFPJy;> z^9@`EC8dXR{+86jlg20VKKs|y!cLu?^^6cXsz?J!!hIpqFm_%azyXr1v=J-d9LAoHgq$Igvn=vG4lN0&j@O&SRzyMOnDJ=c>6YVOE%W+OOl7Q3oTf}!M0pnQO;QIlJJA*gAk6n@+*1y#S+zN=D)98&M(%4?^a&~!bu zjAfR6XI`D@vn*Nsh_U>D)P>{WgUr2t=ry6`cSTouC0IDb<~AR2 zLPQDN{RT_Kz>_EQkGA94WCJa z`@5iS6NlwzyEk5>l6ISS7s*GoK{*1FFlX9?Q{UUCiwImBhcP7P6$>Q##fZf}Cfj)v z$Hxy8;VHv&yF#)@MHoJB@$oG2oDIV2v}vEm+^n83I_1jDHfn6^B7KxK?Dtd|9@p+D zP5Ge;u={674UCvM8{r;rneHT<4%47z*+U8%T(Vfi+fle=iFgf(9LeO8JaS*mpWnGc zvvg*$vSY%3wj{8A%@YJ;el~>;7Ja%LMV~urV&o`Wm~#g@g0LU0Z|>tU>yZ9wh=Irw zMVE@t^+&(4 z-}9v!O7G~IF9~V~zghG$d3{=b63&pTH#e8=AJIQ189Fnht^3+XU&gQs;RK@ss}{RFho2o`#Tre(IJ1%2{$! zRii**ZB>cnjaiC7enfB_{qsFDDBa|gSq{s-=qDSzoa0mgwX@TmjgN}LWu&Okrwir` zq4eZxbIuR%n&jhHhQeM~1E^MtblZ1B9z{gh$^U9P2Pp;V!3Ow&4%l)Yt0sqv$X17^ z`_isItpPZ$9RnN3S#4mgH)kyPw?EVPN+$mJZ(6PM0O4P+%zdw}9L#+1E}RBJhWS>7 zG>7;{1zsb~%HU+~0Tu1{4oew!h`Upw|&zO<28ai2@Ptx5Aev!zS` z>R^!c2Cq68;!1;PdG!M{^S}7`+RdJ51!jG6!CX;XQI0dU_NbKqEZ_>AdW8r{^am-! zz*_*au6c9XNUn#)I9W`jZ_u;lWyAhSP-9hL?IWlslo=H#_>X?QuEK5EGV&1~2(x&0 zz8+I+i?a_(GRbkYS-C*{j;hwzpOh>?e*H~g#rz@^QY?A{mif_$9FARIbxU=qOqL>@ z`k60RIu8m21u=S@K1L(%m}JC^#^Mm+T2)S7czhZm+nk?Di-vTW<#!--2{QpTE}Y}GjxZ?=5)zmxUZKgTlg#_zOdTQQ~sK|dPhdCOAh z9~4&H;(G;^N7laHZ^Z*Wxp_;9E@MLqbE2k^!;A_bgAFq`d#R+jwRx#*xAiJjH1s`({!9u%;3jVq7j;g-$R^q%~~Z_=u&47|J7zuF1%sy#eRuC4;oIjq2y z?rKc`f(VjV3Hcl?D0u(sn#rmo5#s`=I>Ig>YfY-Sm)uJMZfk`7SgOG*b6I(a{G3q< z@)Z=>^&YL#3o!&ArC6Y90(Q6~ak%7yx>T)eU3c(*nq5Envxl>+?HStdtY+8ppIcWf z$NIq@ofkgY2_caW+dUR9K;ax!u{-vAlsc_aoF3wK;x5WQUJ_ZCc@MY-*%OcDpLwVFwVFjHU&yFAIMXG0-%4N3%#1=gdek(YH-abm~ zy#z$eCK&FHyb8Lj#sIx>8lMR4ipIBGoQw@mM?o zA?b0@yP7VIV!8`g!a*j%1m~b^{Ab322mxA9isCJ}PVki@92zz?+;It4j4AT2jN@Smkxd6(U#wJ9 zrz0(4%?Dkt`u*<5c6&;OBLsAmv&7g2C8k|G4Z{(^=HFO-nJ9I7Ljk7Dh2)Q0nbiJyzN3Hac00{N6gz)|3Z0ukD6L@{GkuCUr?sji>tZ5w|*aC0<*KD zL>492^qec$Edj_7P79><)Z^Uh>=M#zl)m>>B2#S>;^fO$8#Xik~|3@f21wZHaay&V(H z>fS@FKU1J3(?dVeR|aI-Nw{l|#^Vi2<@t>P{-~+!_}CRb!+rM~I>rM}2=@L~T#0g% zPNSryNQ@v{ygyv3g}NfJVg-_mf|g=VUuQ-%vZ@=VGzna-#QcTC9Nj01M;pVM?Ozii zC#p2n%-3t+rvje#=hEA*Oe3I^I15taA&y%iH%r?O&0< zxQubx>R#CZfa7y@|5ubDx-i`CTabDh!t*U9qht%fYJd-hGCresN0gRmeqH`9|7(`R zUZC9xga9R=i0PsiXp7B;KXeXCz->F0<6HcCp9@ua-i@Xaz%5)lsJ zDjTwfg=gKF#>iodxjS02I5#sp&0ltcnn~9`5~wOTYy&>pG%tOoLzy_@Uw_V(GSj-9 zhju=E!~CFg(p;AyWC|{`eFO@<2aIkAIRd*{G#*wV475~`mCnN|&tfEAQMNGw2j zCIN&}_ZsZ!}&RD9POh0bDT1t6OaL?dq4~#csCHwz=6lIev~;Kfv@p)G&tj##bZ5QzE6X1t2U`w>Q4W?BIQnp)a#n& z72jirkm56>xMMz1k67n4UW1+F)iChbJ!htV<{HvWd5d;8YS>L^BjqB7yV@JR-0O8O z(ubNXxPisrWhZF86?!Q|U%@YHOKc-0;?$LQZDrDV)=0qT22WiC;3OcN_FssF^?x_8 zJ9C>g`ezieD@t)&D8*s0%Mhxr<;bj<&E1z$WG0_7TLD5=22>`z^KMa>Li3TIuQJ3( z!CvgCb{w*#y(?ErF4#5d4}+XgL9vt16VD`mnyVZcXgbUKdFI?8Btu$ig+pi&dwQh zn|9G6Owv+=nWl@?tYD%7}d$*b=5;n(b zac9jDGt&0jDYnZaeH>@5l5%{~H(zPr3z3oQH;Z>OaK=KF$iu@E6L6*&s8 z_9Wn>PQo40URUDKsE|#p-YvcR#HhQ6S2EXs>infSNlYZ~&E1F5Iy>(Eio z7p2LRSDXx4UBg8e%w8I83sbXxWBybQ>>r5I73YjkcZL`b@{7Z|IR2Kjzg6PAazZAj z$v>fg>5)8QJWq*paXe!>hd4h!J6jo3m;)KQq50Vhh^1fG{Fg%0+`l)vzCB+p?R9xS z?g@V*Q&vU3Z!B_UlgWoNbC~R#$q!oW2g0-Q%OhCxe)&?cQ=uSu&OH(s#rx@v=7<|@ z(Sw&z?80FEOoAuXcRz$%=ZM_}AqO`aexg^RxG?G1A4Pe-(>AYoF^rE=v^lwjK3;6|@yCzv+aAw1-0{mJUj;TTtTerwC|sNm6ewJ5 zbOPk#!(s8~!8+g7SD`dd_X-!gR10U*O|$OCs1(!$q=>hCzV*b-Qs4M>d9GKXSU4uK zn#8upDwb<#aFU(#kXo5|ZBbJH#su9#X6^8mTS7lU^95A9vsXrv^KQ~eBNOaPR=^AE zCPsfG-?{;wB5{ky=^a9N7Yl4c3mU49wYB5X7~Q^&^e7 zba_8LAKmuxCW_Led&O$9aEo?f_SEa0c?z0WVw}4ub1bYDBH0%MG z{i)?8sxNOziOBK-iZH+kG6G$`kE>D>PinJEruin)o17Q!u5X05e0yM>lgihVS{@-TD`+4$t3oEqn)~fe+}$(~oh)|+iTt89Po zy0dOs?`_FCl`22AHt5c00N}y-QEru`ZY6<3j?!ac1!-c)L=!bLi`Vej97@#@5zy&f z-eyaloIVlOqcAIe0M>xNkih!4BrXHXN)Yu7ky1^v6#0Y3*xOgc#8Cm#SA!Y7rn0Uh zF~JtM_F~COaqW<{^i4rZj>ew<% zN$2FG27rL?e`EF*zJgWVrfRa4^)*Nl*SWmd6;tv&hDDT2brxz5@<_Bhv&EDYBdp*9 zSYgq&l3%wklFw#F=klYq!(S6)#iBG-dp-_CnzFsK?a!zC{f@3{%38-pH+*96;r#T~ zfJrcjI5+hz4i$kDl0_Ua?P)b^5_ANVgNHJRd`$hg6@VomkSwbv4ZOu#<0<{Xve`;LrZlKg(`G0`3zYW3u`NA zI;evodf%zTiv?wr2i>fA|Mr91SnOhXr(R}+D)<59W3Y#z@jQNHmGT4&{ml*$!oH_Q z#8=vlkF1IH4r(}v_Bx==tW>^#v3}Ot#qJ^VqUaAKi zOodH<0ka`EEMYn*Fv4B25aCyh>jS{OmuKhCX;t4j-QbT>;aj3fKPK)yW9ezUMs-mN z#WG__5tRW3j7EE0jUA|cC;71p+VIsm^oMNAJP|;Kq5s^!=3o8H`#mCoC#$H_(4l;w zURjP0&sO%bAJ4K}$LHx=gRzW4**13-zaFO<+-{1x4&DMyn1000S7Tm>Pvh z4iH=1FTV|mUN5YVZZA0sC$!49b+lr(UO_#ZMvDWV-Yd2T%O_3-BSCnqEe{ggm9ON!BC* zINRs=6Y!?r3f>8jcN#U#o{3*SSu42Y|4V{+78<64N}O@c4QCx)d%ri%!(Ch@2e#3O ztHe&qpgwxNVU_c?;4pj9B_ivyavh?N(biadg^4&GFXl-i?sE$0FEYp*5zeCsG>K3P zP?AZE0;4FkJ||A!J;*?1+7UV*v)-@0-d|S5A%#xkE3=95{$8Z7rg>uWt6*`>AxD-7%#{V^9+tB@Q@p9x@Ah80v+9uG z?OBE!v0dP!^&}<`KyQc}=y?9HFWc}DO|Altu6)+U_1@+W7%`!;$B@38 z2`GGWRSy8bpmDM33t9-OZ6&MXZQt)maTXE7+>%2db7R_i<{ZF37M@IvYLi{*ZqF&) zMYiY3s$xax8<;rc`g(Leab1^+sNDo)xCczjTJs7HtM=4wDN}bLy)Ih*=-+KQq5G#X z==)QxC#cF`|CM>;(Yyn`HZ(c><)`~6jL?Z03DNhUCSWV8Rq)+k9=yc%lOZ9~5L6^E zQD!;#%Fb+LidvE92?`9{fpJfcdxtzj-ixurj+!XbB0M3$l6^IAFmC9-F<0}MYF*}N zGbFH?D93SZ_e(n*`qZiFki-Y;dR|c}&u6soFKB)K~Rf; zovRr15xC=-?^WAenM}svzY;Tu?wqud)LjD#hP-3_fcCadN3zZs_22Z(kX{PW62%RB z1%t(zwnfEdyF^JXqIeoL@i{!EtiA@FPZ>9$!2ki$u^`XW&#Z4G_IV6#Kp6vrnKXuB zp_8c|H+Y0X!*C2d!n=CX47I8qK2P=iIMrZqyzb;lEO9|4>y$AO(q@NKg@ zUClV$9`BKoQIk9=7;*UW0zxOWy_xxzM>BBIeSDzu;Kmn`%Sm^oW6oYN+RC2uI2EbV zeYA0q>SYT}Yq$Ap(Hl|tLJ$gzwzfB5&ZUy}$mj(gFA4)6<8Qo0Y)X^1QAQ4dN4Dhk zsq06P9n~UkT9BLsP@SjqwLQAP)->;P&nMriE8@8d9K~_H;(;xvNmTjgq#-=p7UUzH z0+QIQ;OF*2nDcWB4;eD=(b6#bi zk?IY%G%s=}=qHK`*^6^mntZFutGHk~bri_~Q=~A`uYP*Z=t&3|%m!3uv;w|KT#cmz zHQM9zwB@yT3rE)TGoA`2dtQ%76c`}32eDRzR8Hj|R)~4#y7sTl?46iu`-U9Hw9RNnRE+2c9 zBi}b%tXls*WHRu*P^mq@qUY<#8lgj=!a^@Sm%cc4wyK4)1d9vWg+Ruf?HBXosIax~ zp``h%->r73fD<-M)*7#;gwr?iSwsf^6!1iVRsgI^wEXl< z_gM5nQOSU+cZbG}{^own9lpr_;`nES5`4s3Qr5!*G>478%@{A%%^iN*s#*TKcm zvggq=^;UF}gq1q@-p<1PSJJYrX>g^y2{8}=K*=H5Bv_Ai)K0zH8@h%D>H~31ap-** z^Tetsm|%xg%(ehSzOkY$%IKWKE3;_{T6MVOyiT_Ooxomqizt!S3si3@v)hEd2)p@nfiEC2l}nRy3zKgBmM1 zoe^Hn(Ws1j&w=4&S$^Y_bLSOyysYTIT4bLfM^-FyEa1H5E8>fZDzhs1NbOd_@QcC2)|Y&q5@`%5+zr$rS7Wbhob#5F@b1 zEOj$#XA}-x;ZhZTyF9_x<%9hT`+vFzc`UFU~5U72*e*W zHlggF6dd;B`Xrw^4AP!~9A<11zz_R+5XI7exw!~tUP_|C8z9RvGbwI;EC zhd?;a=gR6qCDL>|HLA*xp4Q?auSlWKRyU-q46=mQuhCfA`@2G5boj|W4B0FuB$s&r*uO^Yc_{@XT=xXF84mQPXS zp+@Sx_n96*#l7TQ{x-0YrO5edMFR?Q0`mW~UP;iwhE4m?OOTO3@b5SW*>>;7*LC}h zjM|3)y?`-d=ZwG2viwiR0qY#%52>yq12YFEA357Oa}j!hA(tmuBKfQ=)^F|vcr~*Uj@nQB5Y;!liIztl*&#>HaC9G}FEt_i8$c;wKgY)wgQuVLK!lCzmJ?E~E5c9L|+2L9dEv^;J(dGEIXbdfW4`qIrfp5EZDj$QJ^?HV^8P2l1`StsvGc_3V0z&}uM_-Aq?0wOGI?`aa zV=4cU-4zan_iKfinP`Dqeege{oe_0 zJkk8H@d+?79dC~@U8=dmN?A&fZv*-juBy!6VWux7z!-^An57`dfgrk;s6Fg#v`Btl zsRWIq@w8WB=7}Rl<|~FX7i9iemu&?2h0o8Wce?M@oqgD&_pzuY(1oxj;`RC=P0go} zK~KhP=j-Pz`_Z3Y8?QHPrnM$x!O))!XsZlUZ!s4)Bh{VD@NkNm1;+U8c8o=PL36j% zm3+zx#iWn-NzL(^sT-r6_UucpvzG}M>4RD#^WEUkS-D3nEfo<6srEF|~hEzVB(Y+z8r5BB-3UsR6Z zS&4WlzzPkG0acW0sxM&Ln7gyDbDYcRlpkG7vilN(f^7Oo7dsvIp10lP*4PV>-b?gg z&el)P$#$CY%^^R8C#Vax5uNEBd9Eje;peDtaoR!e_RHkjn`9D{No#Ts!Di)Bw~qaZ zmiSprvfbe+ANO*gDyK(%XYxK|bLc2D)Ev`OuRBJuLy)oC9lYi4v|#t;>|ejeRSTZ; z{a*>7_4)8`P|9*O5ws9upz(RAI)9W2S{jtK=Wkp*e5pyNrGhO-a$ zbuPA{+*KfTPDfd+k#SCt!Eb^xFU5x&R_%6=W@a;D5WGdA=!n-a#IzE-#T6ha+EIIAK&Uay28f00_-mLheRN>~!jOYpp@ z8`2_m_3xYd;Jf#r1JVHWAN~I3Xwn_&UDh(d(_r`d{EcGXdxG2}Ew)pPMIad=++k5s z7v+#T%P`oh`{8`*tEigB-mI^Tmm3{D`Bna&nRZ~z1Znga@4jjiR;D!ZiW@7-KE*AB zV}5#%UA){aL%n&7R8%FUF-VZVOVxTJ8*kAv8nJjwCGGa>) zG4|g4q~Y(yT3@NsH6|!>)h^SX4N}JM9)qiKBG+UQ~59*q1$qbzo z6FDzW?`!=J0}MTT=#?u`%rf?i53*9t}U2-lt=dv(da_R6~UUcdKqeSZJk;_`Uk_jBIoyw2;q z&Uvu5N%)O#h(KvH@;O66>NRqGX=9;wflgAo!+wpfkBhB_SS7pg9TxDuzW<%c1}&SWD=8P|gM&tODv1O9ql;iTTS0 zKr@%W%-34oyAt}oP96|}%!tCtq2VqeHFJu>%}x98WKFNaMJYSPPk4r+``dY+nUYBh zr+Sb0*}3FW3hz?WQ1BlgqBZw<@|5IG?8y1ZjEJdi?pKVOXthZsbNWy5S*g=QM$AEf zcdiNC$9wvIg8l8af3_3#IS3JkYti~Y`ODl_kL`h|8rT$ zPGk9C37GS%^!>#qv2mSoNQvrqL6-81RgOFN{^_(r1+BMc?S($yE$>z=)9);r@Zj3g zv{&3KOp37%j#L+FOxU9tnLK=7yYAD_UuIQ93T^LJPvazJ1?2BJKtk98Ci!i@u^iJ8 zL>Lst@=NiryU208qKbVA3MV)u>bw)q(sYB+&iSG_i$GHHj&6Vd%b;&}aHvjZjBV|# z3;q)O_7dP9DyJ0$SuG2?0Aq;qD(pp}Alm(VWlM%P)KwrqM-7 zCB=+kX|)~VZaEQ66v6$g^;IemMFyR4^r-(c!V%&KUdslCys_Z%tR4OO!@ndD8mcl` z3>S4tW1bNOq&yE#W@>zQea!!ZqWU0aD`Q~E@le= zumeX2_j$;eD!VOy>?i^)27y>eKxGZ^o=cGa8P1>`T{f!`j%9pyUcdB!4r|u4>iw|* zAEcPh5wma2G7Kv-Kx(zNdK==qZE4S)89B-O`_E-Q+=SxQNrtJ8*VXb(BMTJ`IK)&@ zq7^fX@vUd0M-3-&axs}OmEA+ZWAqNtE$Vvk>`C~!tbBXi+_OC}v^gw1yx&!RhjVq! zy`^Kc|Em)%(Wn4~AyQS{Vpd5vsvQjjEI_GQNPraRCI)t1YS zp2O#%S{Df`cWmXOJ9fOXQyMJi)I=l>ZAXE`Vr28UZ3D5*H~F_9yaSgMKwPnY2Tt(3 z3iRh(L?ufZ>yg{||q`?y$m@c8Yw(S<4 z<1-pe+MP%*yl#6atCJPno&svySvK!lb>Ip?1S%~t8hde*oKbB{8DOjn`Kb5$FNuDE z$!%l%6jXI!+kN?)w1X)l}{y13ibbrf~eDorzoA{WxnA?lsr(&I~IAw z0&2o;AZjK#Bl_p7l6n4^(E7^WMUwm}Zu#PlRBoo^GNM$LXywiETP+OX#r`EWHiA|H zRuN6p_>hnkWG>0r@UpJyzs12&-2{j=mc*Tck|xrRAw~KDkVczL0U{tXB{IY6qQiMa zz}r7P-Q^5BTLL0-mcY$`-=)#ZPw+T8 z*M{uj*Gz@wD3gc(__&9oW5!7``C|E?c39P8QBhtK6QC`nTy0;+E)$0I_bp1b%EB%* zC(hV6idPMi-sDB#impKA{XUWpc7hfAdnkZ2RlAsk3jVPjVli@7#H4g#0A-K(O>Ng{ zLv605RWsJ4P*{zp2WNuwl|S4f98wfkR?r5imnf>pqVBq=G_&tWkVkj+JVAZlF`QK6 ztIO?RC@ncqom4}6>s`ZAC%Z#6Pod=Zuo~N0anS3K=>C>~H?QW39gXIrj@9-=nwjMD zS2w7=&Qw*w@7Y>7u0fL5f>iR&m`|#@<*Lkv2`o6VJxp(Iomz$Wgh*W)SI|ulu(8^= z8+GBj8P?wiuX}t+vEn-q5qOrL7!Nl7Y^tKd1YC&EBVYfc&WrFZs5np=5m zz|%n6>t-h;xqlGJ^R!(IWZK5nAOpbRNbvksE6k#LNjI_Ko5S|5N8? zi}MK})<{f>cq25~`6Fs1-+2l7RoD5)&+kyeq}h4sqr*y0ksrU!pFNkWxN_j(^Xpf# z#<14#FY5`8KoaJvjr(6Je)L8p~Api`sYBivFi!Q*%9=VL_~rY^cIwS19XXoi^(?{dM8-BgUX~OqJ%_+EHg3ShIhb_8xEBkgRzuIHl`#?zp=^-syvG$kP zkg_9sgA2D9UE*_lo?v2D9aDz7^_MgAQPU%m*ibfJY!2$Xo85_)hg61F!iRd0>C^P;8L`F%M|Z4DfJ1@4AvY27kn_O(tkztV$u5a7B&@obc7OZIv5w2- z0uuDwO-)gM=l&APO36L@;IdGHT`+Q0%^T8(L|O3+%j96oI3eb zWH@JpE}Ln4dfzJplLzt(C?!dVUy6#43Sh5z$!F;zVSljXZIgN==3T^Qf6!34du>uO zT6iVY(b0Z{0OYY3{JhA%9MPomCPXSg8Gdu_$>;-}LUN|77y8N(WtVH^eWBLbk@pQ8 zW$=P2A|$RVG#)fOA%RUck)jWxPsxXO*Ehwd0Pp(=6x9|xjNU9l8efSkJ$QV7`v#A@ z%soBt`IBV$_vW=|pG*gPMco%%WBlO3+B_js$Cb6V$JH#6Ho#K!tw+4k=k%lbai#}q zwwak2%fb#F>0nEWnSGJLf2-8`!cJ)m8&{wxQd$y~`=U6RBEL?~Z{Sl!1siC2n2L(D zE%|d|aYzHCZ@6>Ng+u}gMEbo`nNPjO)}9kr3I$QNLN{MQo}A#8=*+#yW{%38!}{g_ zui1o)-JQdqc>S;XDTHQnO~X%FuGx(>ElA^Jpro+MD(Zx?_yib1NlA0TSm9iO)#N%S zSQ+l8)5EdRV|~Gkg@VV=PEO-<16d4X%*09^{+od8sn`IHt$?amFmk^k*` zsz%6l`65a770=YmOEt29#aVnsW|syVD=9lE!Wm2hfy@ynuGy)|+#fPh{d4w1;lExi z#75u$u9jn&(LT8lrUEp@>$wLX&7NfUoJ(Y^*`0rX9a9r|cDj@@LN<>lHn$gF_XMqL zkKU!~Pu&K@`066aK>l(^=E-UZE{Mv&od+A5e>X5S+m(ERe4Do#CM}EiMP=bO2?U@h z$TZQ(9<*dnDlD&xWnED3h?4YcEhTTf78_dxQHyeS#;>)jvR4u8F@~mA|4_JhXMA3J zk@2jWD#zV-f9yOD#Rdtv&Sdr0R!*80`JK+jJd=DXx`z(g;;jLlHuPfAhJXB)Z_~bA zk`HEvhw^wR4B9Sf9iUcYZb> zzaGhoa48Vg5n_hM25df7^(KWMZ-$)(lV8HoCmwRVQo#ZXBOupz>Q!X2d${g#C+oLB z!7tbcNK$2PR$mtuayMi@F&_|rP}K+{kX%PW_Qche5ZE-p?K8>kF-B;Srbkr;g|M=U z=J5#$VsWbU7slP{w!J0JSN*fA@%3?YQe*lTz%2Z&SgaBUMEhxWHvV6TLBS@>jni32s3jpW= zi(OA6RD^C_V^D{5`%u52`qFZz(NO?B9xcnml)Bg<>|$zQK6fiA~Ua*3Q-)s>QKxm{c38^BQOh!P@g0OlFwFDb@EL;q{1Pc z_=n2iH!HUu`!jw_a!5gd=@cI-Uq@)6=)+lNMOyD=w?R{vf+h6gdtSMP% z2voKNJ*puggo0$Jk~m6gn`p>L#FNnx%4z$lstV}gqiO(*D4f^^RI4fm;)GX;_y*b zA>QM22%*cKw2wDV%8%~acw1QF+898Uh6BKYG;AO<5D8A-e3+ezwwZ`UB6~RfIO!dH zSM*`vQ;&QCMYf~W6p016ncAK|KIoM3s8({dXtt_C-m)GKExmtXBs-OqD1(>WN$LOb zX~D-ZOOn&HL(JWe+ts7qEN6fck!xUuDN@rPq=&S39grd_OgyRlESjw0T)J z%(!x_;>Xs5BDU|dxa>Mqp!_4~CMc%lNrmS@%*G=Wh@|jeKyTT%MC|)T_xq6f(s>*(gZ;7k~H7lN9TJ%*_z- zcP7hoQ?(#|N2d=C>;BIV*ZF+2o_w^sB?Fdz;W+zJJmEoN;x{dpNRn0W!~`|ad%bX@ z`f_r;8s4r`euX7<#Qxm&sXxfA9PMR{dn-mC>ibI8zyMEt(NV|aAn6?2NPbB<_`*(> zuEmovvjI&6V1P)wZ)>8JPf4}y1J-%yb%^Z{jx#R*D&AJn`NIiQXFH}39CRuvK^$N! z*xxmry`F|=2*i&Fu^(xPOxaYg#EBGS|63*Xe$wr{(HIF;sTMF&f_eoig4z7}lm>D! zG15Q4CCpS~7G(w1oN^fZtsm9`+4;j+_Ak`_kxFt$V)PDFG|Vh;<5w@>1X8xu!-(00 z5k8gP&RRojMADX@@>TQ^EKvy8tqp{tCxqs(K6e6DH&Y6q*`cV?lZeX7w-SR&_ORM1zH~|(@_Ur?g=;Z1}gYhuUO%v8oAe7tHa`dWEQdBwa;Y>86)Mw zEl%2WslW^%P;(>wkfQFtj1_nK7@BiH#Az|^l7mkMY7Si^lmzC-OSc(|_Luxh4fw4i zB8UCBii8z=+Pz$~9~>}Zt;Y`E|I}LBubAWqU2dRE;>(q|b7gmnzjEC=jNhevVB4iU zosBNEdaSPiTOdN6m1cB?EwiLZok|!us9hfZO4wOHgCdC@SGu_@8D0J@>XRA||BH1c zPFB%^8$9&S7_9ukla+FhLAfR~RHZrYOp-kMHZ<_2%eu>`D`eeXNBZ*7BV!Rz>WC6C zN%irz|F?FnNj2a^8d!sP=C56I>+hB zZ)<%kFsJ3B4z(JKA}zvOEO&kOU!O#3Z@p|EZ;nW2p;3hH;3)CmUdgahgAL_SUoy3& z$9KNYjoC9TPZuctHR|b%MoYYhxEu0t<>+>*m~{#Hc4e3I&w!nK1$SocdbE zuYI$-15xqjX89+VxKN9?=?aT~J23-F^_mazX@kPfg5^&38(cJKRN6njqA{DXH+tMS zrHF~qI~4#GPDl|LW_prmH)Mj&G&jp|HnWVEW!pPZnB@U;sVM(0rL2(J1Ka-p>4%>C zx40dA`R_@grv(1(bP^@uM-kWmO1i5WE%%Ifds`1LW$P&erb_s+vgGQ(azeT_a3iFqV`u*m-t}JmrzuEIb{iU~i8SmTDt5a_}XZhX4R+7L8v{mHs4G~NLEC;5B3g0Bh32%oyg zLq~=*{5;Q?{CO2%R>5`!Kq%_1nE@F%)))5oZp~EZjmh;}9kZ9KyDX`6ytpvYDMI96 z6kB*@PaxxtkAg|l8@c_Ifj(}C5U;s6GSJ2t{T)&hfL`9-EK`SZ7ju8ciuG4$kgfoz zMIt=uYeXbP$uGy!o)nzcc>78bUxSW`^o&?x%6TVs72ju=1ZeHY?{fOMtV7-!?ib1R zo!0`$zuxdL-?usD%2}&IjV}M{fxdwx^YJ2S$=Zn}lpe4L)7Ktoc7}Kwz0pB=8^nB2 zu-DYB7=shf*0oIufe8N333>@;F|pW)AMXZT5z!;veED^c9l>srSok( z*;hT9{M@cK!xMhCUPrr~*QT#ZvQ{3^&4Yaf@T-`XY%@|)_v^%LUp?oj)Q_-P(M5xg zp#^(OGdsIppLvjs&l++h8p%=Z%i_a7*`oZx1~3Dd49j^Zj7c0+-wa+?^-J(PwYl>N z^yGzBglg2^^Ho1y7n*Xyfn&nKd8H-tH8u7qZq~iiD*7p$N!hd&QRBHs&>}Gw{=C1h}ew#`5hlB)NRu%N7+a=026cpeNr#0 z>FAX~k0aVu^RDKLuM}S4R;P0`F5v-Jb8kSJbaS)m+O@wDC^9g!3nMVr^kDgzG{<`8 zx*?Yt7{dU$sY*^6f4cTyftK9=27yqxxDznRyJ}Qw0`(a{GMf8&(`8#i^tIpB491QW z%Fo#E^3flCr|^Z07EHonj@kK3dcKshZoKSh>`!lw%SgK&wZgBt3KngW{l7EuJ^@el z{U32Iy_BvjAeBiq(VX6ZEpq);(%6S?FcJ6^5rH+kvVW^5A=uGzOHQQ1r0zH>qs73( zkvX_kC=eQ*7o6*1yvNe5Qn}Bv#sXga!aCpT^P8{iv&?RK>lbfWd7WyfKhAIE&SQzL z_LdV9JJ|Po4VU90YP42GV8*MN>_#W8B_)<0z8ZgLBPrCGoRimJwY8iHM#+J@e5f>% zs4|;~8DZ3g8(T|;=Ty36dssh^R6ZU~A)lGNi=-H`PXSRdCpnNIaA~*pW;KuSN$xF> z8QH_QVV0n$;>q4s`8sXO_Rot4d@y(VasG?8zzVY;+`qQh%o%uk#|HpoYFzFa^68%F zLhofZ-+0O!%qEi@%8nC zx;)hkkH;G?v2}SFp;B+iYm3NJWrL7VH5>VJS1Te+QeLgJqNLNiP3DdEfONO{pIJ74 zy03mwKbRfjCF0@8raiA)`BTwC@~H#lP&&^{bFNh+I0nY`j7Qts@q;S;{#-BIn_HsY zOH$tZW94gvP6m5I&Imf6Ks<(GT(v`=(xbaGs|i#&(wpdGNBX~b9Lo@j77w67kg#-e ztlGIuH;*c>4rHp*23?H6!me3qf3v*1>Yh=b{o$e&u|?-Y@ue|mDvhh4-~)alz2AEc zjENatUTNfcS=7zOR3Q|0e8iXkVV1_81W-oVY$Ay%_q@7wWRA7<2b*gFp|O@cj!=jL zZvsxNAAWqzqmwoMibe!ZWj&JvMhX6yRQ6K%Ph!wnl_@IZFmo^E?+Y4Cz!qE}hpNXh zaiu`|(CFkdSMw`$mPu?+vR`jQ-TnR5+vqNtA>?y`qQwC@dhfm}{1y=Va6@!3t95D^ zfw-w-zjzbR+}s~U>%_=pFz60T?PQ-{^jpI3Qk_&>y08xOsN_;g3WWqM%A~4-i5o_* z&0vRcSLJ<;r~}E?jTlbTHUoK2Z8@MHf{xHEJr{kyDH}XiwM6>|n9;}$OPY3xP1V$V z0tG|}hzWQkdy!GBPG67J?l-yvWPBi75(r(WS!BPP+$6Cks($hz&r0e5RH>m^BNRQH z#QTDoh#!F$akOyoafQhNHkO_C0)Oqbf5>}tI`A;rvRpE9wjF4m?iY|fN%rDw^b-SD zYZW-*qlVOtq%iXbjGb9`t-|hKWXQ&Y5pwD(j&t{S5{z-hgidF-V%bR!?zw06R3VNo z8-vxLUV~#1rZvM8mR94fumEWQrPjTIM{{spxe>LxgXe6QFJ?GVjWw8vdh;CD6kNC& zxVD`X7>JX5Y!G=DRD3`Ico*2P8!C87{D2tJJzc0cH&GHH(eJ%4+ChqZ<%>0G+Gwl=nSOc1Z^EKrfEtvjdt> znfiCu8qS1GAaqUIt;`+Jmo3O1(Z_)cbFkM(v>mr+va7wcF1wAN7ws+Dy;d4Om-0^d zNGKx{crW$C_5S=PWybBFzH~UUQ$KgfxS1{eY~lOIX(|HQw63H!MJ5($r~8ELG+D2X zl1sgR?uunj9xvNf7pScJOk^&4IVI)BmhS0!td$P6WE`Ha2H(~hd7v$4mEAZsxKhR# zt9sU0b;}wEn69&_bd9#XO6=miJI|V6OSTpyNEXJYG-;ImU1vcU$;~I@z-SylHurt$ zTJ<9qKUA01!MyoG{|SL}*G$Pd2MtC4R;6iqEH!3XpheItFntNtot&7Q1cr^A2`@f- z$gNa4-;Z5Ofu+rXu1)q{5~UO-gyVu-a#uLd^LnyWj&eSwhumz~vnwLccPhWYD(4~? zAIiywdCwNn%(MF129xwi z;3~Q_|1l~SIwV>C@NXm4lVP>YVaqIxvGg?SD4uRH>X{b;>8vKbVd=n1eoDYu==fYz zMon+#7sL5B7mA|2XK2;P@QZzL23=}%XYm39I&6;2z zyl^APbh=bPj4zw2<`u$$m{Q{%KB(iq4ISNm{)wi%DJpORo`<0#@0uH#z!fvrpW;tf-Kk@Oi^@1Cc@({qc(~Eq zC+u^&?DYE2ZOIBe3jyCr;y08#Z|bjT{kiScpZmt=!Fo{RX~RiTnAWvBUKLWknw%nN zaOri}yF&0}%0Q5-?o(_jx;*f@RsC)8*ss#qOp34b5FW|@8c}io)QSX_Jn!%(QaefO zH}<9VoBiq^A5sSr+Xb#W&o3l50x`e8lpBfO`qD4C5c}5&_BHqZ9SHKy|24U_dUOlD zL!HVp-n$4g*~GfesL)0Ovks=GXOEGRvHXR24h4p%uLaNW1|vDjKj=P`!FM)$U3drV zb=y$nH51Lu`Kw8LMq-G{kh>UelUI{@-j)YF>$V?n6OnpO+m^c?$_z%jS$QWKA=5A| z?9(JL8tn*V^p0h$mNH*<;z5mN7|h#2|9Xmd`4?%yd1Fxm9}d?sdv3bac{P#t4J_u8 z%3#k7Q3dWkx+N*U`OQFAn4S`nfxB2Y>`^$WN6lG%WSnV-mGB)RG={RfLii&aqu$%) z4D3AS0DnKXjgJ$&0j_?2?7cYmG&pH3sSPKzpbn2}1JBM8_=-$HHY zQPEsX?&JgX+vx>sa5tqac`3#Z#Rq5VetG=av@{Y_WLD2IRAqXY+#Oe|3}MB{$ZpTx;< zxcJ=_p?nOt(!=Y{BJ+3*CPdZVJ>5Ff0-22IwT8v)o!G=o^(G8gAXjxs33-pAURf!6E8kLby%_J-WhB zcAQm`!*GrqS^Xc~T1CK;>BX;4(2s1=ce>11ClH9h$%x?FlzOe!SK1W_T|bB7?(a2N zLVq7-Rr}`y=yrj7BxyttEBmDXyPx943dVRSvt<~|yO6R?nFHk&or$0Afvi2rp3Lgp zz)-QobGe0#2wnDkT!;RzFx)}O{BNnzXEJNU1lwQ=hEZQ6((xx9FqCeI0O^vcN|ut6 zH<3-Qel_-FN)Kfm!g+d)dxL&*TJ1TWiw1Wx+XOXfk>z4qzzyq`n(6Q*`(Pi8jOKTX_)k#s|1i4x_1XTQIKylpUYXQg>Wpw3WWK!454Mr>)h_vWeZ=E2vg8jGJ* zR%Y5JN_2LOC1NXc5JFX%whk)OBueW8rT4$r5Ogxn8=HX}jS;C{WFIaJ+^6FJ4oAAg zb#A{a@>-fP#pnCKiT}Qt@8;pA4X&zdp|`E~_9|NsYdQNwf52I0wPj7YY3nbNDytRB ziZlPt-Q^o9oS0mBU?|QVh^Qkr-QRi=9gsgn^4^cY%j=#IB)wv>d>$XMm#|}CEJR(N ztS&L}2j%*l$FiJDmaFVYtioPcrM-FoM?RJ1B_43qAk)Kw7wF=doS#jk0l7bG<;xs) zoeokAMu-lclsklV+jtS}vm|-2jJ?fOPsYagA`XD7JIs^)YhS;5f4$#F;{hC)^$iht zrx6SjJO3{^q5HiDXHfbzrGCr6HMsM0n}@PF^3X{Efc!DbL&N80*!Uf(Pj(3VA-=Ep zQO84evQv|k@5HAFY~sP~-nUw>2kDjn*eVB|A>v?P@p^f?T?{pb6KDJfYDX@78P{g} z)=R>P!A^_H^!G{&o)RJ%qrtzxoDrpk;jlE!xS5wF2bfY)&Y?r<8cj4EdY3`ytM2z1 z7=7gxhPuLV=a6svPRAr)bL=PYuokaP$__R* z{Pz0lM7-%0yy6~x$W38TXJ{SLt0^Uq0+@nqp(8=Slie$@pjy4GnSi@GFphmgGqZ`M zpf9Y5iXUtiuqbSP#mDD$l5t7cDAjT!bqTLN_&oRAfvNkT73hgKxr^{Z}W zTFM39xg}G=45FVf`zs!7F)`WE_Lzd^i8u>WXgH#=z5Eit|- zlpF&72IMgRl=w(u`t?8U^+IMp)6iE>kQ1d89@U#ZMoB!yf$7CsAv(TB=XNFO2KUU2Tq5vZ?&T4K=xUj{Nt<>BVyOqR$@*z{;{u|^BJl6Np|sSQ%>opI{OBkK zlWkl7A?Ey|^3qJmelP-VyUNa{H+rS~lCY3J-%FfZf2$ZanmKu6|F6r4%w6anrB|X} z679c&0(8m2rnw@a+bk&edEYv}OWN(k2;cu}vTC?2z^#;GV21rpbIpU36X44u#u@rE zKlMuaZ@n7X?6@uSw7o04Ej9eBTVKCI>1rdB@440$o?p9_Ki&w5#h|_?+H#pRJ*xm9 z1+!MIP0*rSXB%9oj+s{h&)oYLb*B2(LP6!B%nNH~PiH}RB1T!f&K$cy5QN`hAZjqg zr$Z4iYrPO}H!n3$9efO&P4{}M?gvywTcq-o$t+UfD6Stn~#Bxhwy<~>k!#m}3 zHJxW|9oLawZN(qnb_zw`TPPBLV!M``hzp&fGM%v&Rr|&E-5c3?Is&#@mByG{fli^_ z@`mwLaTMyAyYfvirIxcw;(5)Zaac|qEG(6{k{jU9ZU3geR00)i1bd^V-mgC2Wir<& z5q5#E>JpGS8-uA~8P&49Dm2gGAntv!MV08W6-m5#x%ATbt@Lyua6;V{JNMy#qUrlg zP=b7{rCB@YhHa|wd#%J@q=E=dR7-46dE!lD^k)(Lh?Ko*;eGC{7v@{r>>HlPDreW&KauYC(`J&Bf>MUgk~tKOE%u4 zC%&Uwf4;Ef8SpDDl-T1OjB%6BY>kH768+tKp1d zF6DFAp@**a_L1fVj0mzaH`fY_a_NfZ)wW{}4su+O2k>sI9CNyX5Z1CT@IAg zZb!;C%tSi_1YnPgd*Teg`a<*VcB`AOS?JVCj-|B>=zyt$|I$+8OYw!hepPgO@XiZo z#GF0|t4{VVu=)e%iyR^};lH}Gvw}sxHjCK zSHA^(BoGZiTREq?o16O?NSpwqznVLp-tR^)SF!)lSx40x34o_Z8GSEvKNkG1`OVpuN&EP7%sRluT~xcyukejN`6++NGyH;lLT&%{SApENqJ z`jOU*O=)*VvZ(03Y->%<`XsATmD7D@&;I%4sw(gceqF=MOoorfOaDTx+bzFFoDNac%YH)tYyJ{O&c z`dV)bK7R0m6nLT7t&dr|8k1-WLD-(j>g{Gr*}K+(A)3WHbHqx?mFpX-^vS?f`KTjNIIS*z;iJdGw_x5{JtV*V0C8nQw}cQcmJsGbf2C)kah5b%s!R|Q18tw3Trqu&`TISiq(8DK!)EMhpd;p=V>cUxq4)2@)>u2VgC{{ zLM*9E*_F5qpjE$}CSj^u)a(g7(g~gaJ@B+FDMW0jv*Y?i-Fqt&%o=^M@m*Og#kI`( z$D~mWWO1c0tg)ZqE`;r7;tc5WXRo&PIDXnKHQDTnq0PDur4_CwsgyZe7j3@x0W_V zX@{_blfxiL|82{sx-11myhX;k)QMcwz=31TYkwMSq>p4pJSt~}501Ol+g@VuOeQK5 zmh0ATzUErgYL3~ScT-Olf3tA26Tyx{y0-n7tv#JFwB}BWJOJ>qAfrGB&fT=Hk>U zgw_fYwXJL&xE$|9$3j&H$fFI+CjMy`m(Fcc7OLcdV+zuq&T11^=aBRln{2dH(?PtE z2CTwIhj?-a*tNL$VcR8Pp7}?a8|hySCQ)wjuWStioIsWcTq=<=4wJtu)n5dTmj78YKfKRwrFCc0^{U^1Ys%3r zji%oIiQ~eOZ+hC-bFuBK=CbQcx^AU!Pu!eK-+E$5^zy0dCy{os;%214(E*6l-8Uz0 zrI;ICN015u&h@n&U1PH?w?zCN1u0_m&UT@okNc6^Ys6N&DKfA668-ZPi_bcaOu0Xs z28K3Xy1Oh&$(P@oxy<0JS|Hlean1V zej@^xU#GJ<4=ldp&_LG!LdJ%?pcGj039DAV?1_w9RVEI3TEz3M9^QvVTI(By+JQkzcVR1N{ zuGCt$aB&`urA@U;xg6tRQdA>w_Fz0;&Y#hlrgf`l>g#6SHt!yT?S8MX?n943R}r{A zL6B(?$PVcS9I;YKdLM9NP5nT?NdPNNYBV%*p6jbKN&(Z)AL>i;{Wmd#2)`i$8bPXk^KpI)N) zqo`;73N4-%CLN+zj71M`?_64PLEwJ|1+F_po$dORE7tJJ0Fug;x4_5h!@AwqyOLiZ z#72ekeM*up5t|oSn2bgok34v^RjLa^;g0gk*P~bG4@-=-2Pv8H0nc(y+Z-J$SaC)LKNHPJ3_mRf{7aEqFvL+O=HHVzx}l_EOe<>v2O-SkBG?Yrn55hnBA(`lFd!z zm%-jw2a7?AF;@)mBrNFjAu5|HUP38vsth~?BM_pz$F|G@*IL}%%r^ooyg zYo@@k7h&-MO}nCHNx#&`Y8+?8WL6S~OzTbmt*hE?6IT_I`FGYbi;kIH#D!}MG|L25 z8gctSyAq!sqZWAYY|fJ0b28~lslJb_b7GgFL@{T= zhXC*pCTDI>%EUyBJ2si7T*r)|I2U^h0`7=O40Z3dNg2>CNOKrSIs3T%TElrF|KTV+B0#S;5*uo6Fn$ z%yr*%2uwSAH+7dK&gPG|6(~WjK6pWR=pA9szW0$%$0{|UcAKM}?ZRW8PcexeH$~8) zHGQMi=?WB{dTVi^s=(Qm^Q|3|RXmPJuHTYF39>=q674;nh+J6K^GVS{0FnT>ISW)2 z!CX}JCD--wwme^-4FztAt(3zL$AJ@R>)Q{WVkE8PU)OOmd_*7C~@y!AqL!M85 zEH-uoics`V#1E~Jxtr%XPsEC_ZFtS&03B)8(O;}u8U{Ly3TBVzIU6fEI!1wUf_Fi$&6F;cHEKz5s#Y zxYze>g!x^3-4cHi0R`BUV)=Nkhp~qb#?G${PgeQaC3nVI>13k!F%{=fVH01mSDp&~ zR4TKf(u*IDr#+1c>z~^uff4ohY7^#oPGB5{xRt|rPGiQ7yw{u4tyYe0t~&O<>yzdV zRfBku{lD;}u0D4o)M6Qrhdn%(e`(PJ=0=%_^P-3C)-|gfSv!XU7u0ZKdj4tnA(zj2 zW=o%H5WNV)dT}=h+ z_jUrBB(}YDq|Z4S0J!gfhVffqCffB!mXNiO zS!1>M2u%|+-u^hJ{G0R&VM{Afh0LECC+B@3=+m?zO`Yk)(dyOm_So+*I*9S{e$97M zZFY8GDr3+LzKr?7}nuj}HByYEbU#Ck4#VXwS zo$^FdJ(A6;Da?~+_@0@?|Mt5kS;=^m$L_?X&)YbuU&;=Jrm+gIR1_4 zG{w!$uXzDaZ534pU6$4aG;;3OH*hOir|_X8tlLIVbJ1m+SOHPet3M@rtxo@?m+)N1 zQcDP@T)W?lH9gj>ZBTaH{A|K6^aG;ku^iRY6q)TjmCa^=Za$)YV>Vq}%)LV>r_)7m zg$vT#nU+D~4z(?`0{g2@1c{S!t!$3H|NdkSpOg)MYN}s)!81HQQI9ol$iFZuTYCz7 z8L9cFL>D=zzjhm1k}$|}51ge(z_@k#$^*j9&MuXgbDB|;?>`LW@^xL1YcV@><0ucA zTKO_2^Puu&gBr-f9eOdy`0^}X;(M<3k6N4XFZ_c!L&wyh&pB4x3QFw&(e`gdt+VK4 z>nK_a6diC#BUvjMFw*CoBcrIek$wqJJOZy%Rg0x$+yy9l3;woHtR8|-$BM9)7Z(+> zB8Yt0Ew%QRq3|WRV4_ElHM6Z<(PA%k}dz(-kK~Y;;aQ$n^M>Svp;&Poto}41F9e&t~HS ziEV9T@C_e?hQdF6HR0U*cW|(6jO9i=-J#@4bxlBPf8U9O-dnHOb0rhytIZV6ET_47 zBJi+@;d$OMc2($O3;OIU-x96ySuSK(76o*O%=X!G+oyY;`F!JQz@HPLeb*QJ`g|Al zIJ^5W1*gjhNtk((RUUi!h#DX>AD(ZCIjW32Zdk|z>pE%an4tiTqrE}uWg&MjkjOjp zE7!{#)WwTLN^z%C_Db1<3PQO$_e7nC9c-3w~#8sHL zg3>rrwGuKP*yJnN*UGw_Yvr3yT2YJNL3OG^E5VcPDbcAi{OL`=etP?b48k0G58`e* zf>|HX!adh%Tg)16urTNaS4r7{A9q>SR&?P4)CHg!PLy^Dv=X*1BNs%%hHa!?>xakM zLx{~vQCl+>xqz^KILhk#0(TwE(sAJr%s>SX7YD%IUlL7OV!w=K$XA_(wnWYnRY)3c zYo>Hd%nOjfD%m97-+*^A(x`)<(>6DKscS6y)U9RVC&V-anjX0rm>nfSd?VQAwsPO0 z#g~0UcKrr5SB8$Ay(sdMC(9z5b*BfyN1lFvO|JH zB$7B09{{q$*_HyE4%qANT_f=4QZsGZ5bR&w4UeOI2ePmcw={HDL?7+V=x);V4`Gk1 zZfT?`j1vees97VUZK8x{JGi~Z&298-K7+uaTmk9{3WyV#BOahNfEL#&qRcm z5CNTbly~2;evdO%A>s6~f=i7?OlRspR8@)K`mCts$v;?qTxaTAJm>Xgfl3z2q%&Jk zLIV(dR%GeN8|>b5vG0edETh*_+ z7O!kkO5$U@WQVq(nP^cL*3ugZhA%F#NcB?><@g+8Msc^G6_=L44XZ913&>RGItsMct^iCP?WT$L1nX;4Zz_eOS>+;55w=&XsyR=CIY0ofNtqjlI zVm6F#yACI7@}`Igf1~=+hs(j=p!5Jvb;=>TL9BO>7c%AFbQ|F2sLy2ap$d}gg6%DI z^TG@GLGuT&V^#3n#VpG%4pwq9p~NShA?LHN6|!)|k2`(bwdg+5p5?i6JEgeu&ip>ICp zE3DL?_X>t!-vu9;#*hD)T9XR1d*x{79R0LrgSTMU(P9EX6L@FrrK^U>cXofjA-|4; zquK}vOQZj7B~dw)ab5w}-!l5&nW#~mdRy!zQ0}w#_;TsDlFfII7PZJ(xj=|NE-aba zD%G^h*AxeNDOAz=R`4p&#kqnk*UmW%NKXKTdNNCp=NzGVe(+5z!Jgm-fIn05OW-gJ z@5k$D5JA{$YoG_4<0r7s-O^XHWK?-P+b#AHn~k~d3`9Ec<75+1#dv}Tk+GB|B3PmS z8N8}N@;ullV9Dw1?77HNhbrBF*0HiGo$ayx14Oa$hUW(*Zo}rE6P$#Hkm)FE{UG$%(($@l zMG#x28Z%-s&$ive>AP)W`Wxu5C<*Ri1Ah#EQYuI@#Y7c?Pqn(~i0!A=*z8!AxSRvg zOJreb>aN#?j=i+a*bN%gocVPYSA=}!Z1D!_d^+@`p6}#rA7)w=g}s<38!{E$o*LnD zNs_hMU^2H1y>cQNZK-w03CHk3cwB&KUC*YtLL6>zo; z%#IV7y1OFxtc_77%N!E}FL6x{VG3*KroElpl*i)Cd6U~b8wyXnU1r4k(H{@|mG@gT zd{Y)2(~gWRSbl9)UdBo`c*?BgP|`jEmkTgTnoX*$x=2;GD{bN3$D(Y55B%JReXSXu z7xpO?^DQ_|j_$A{aghnhtkq360b*H&;#x1{#I;-1UacK9mr%VfN`E%eVZGj^sJ^f+ zX{mU+?WqO)^zqT0aw}8${5PO5ovypg(WBy~f`b3yQ+&Oo4%^_u=ySo9`i*<>Lxp8y z#ZN$jTgx4+m(r11p^uNyR)FqNha^+w$Amn*F#wLK?m!DhP#yK->)6_l($Xh}CDe=2 zmSvAW=y8CF+xEC0*s#q0iMEm1*qIa2Q0KwQoR_+9eo^SUwY{M4MlH1rsA9r^*ORQy zzA8$PyrlES5CK(SK~mQHwjFVvru@(sBHVx^p^>I@JeFEfW8b?O?XBuHugAxmAgP{` zBDYJEPJhmzP;{Ewj-WQHoIs)-qP;;1l_#k)kCyu_{;Z6?JHjS{3tWMjO~L}wZo`88 zl092IFY%4m4y`O|D9|Y)-H>4guCnElp=;T_%C*kLIX%8*+S(M<_4&n*Gp!f<3?0!- znS=>oayz6mwd+a&hYO{r(BzJqHZk8FkRh3_AW~?r=kBBOE!edQ7j0G*A`UPS@hNU z@~Y)SCps%XIPT(8gVE?WGU4CW!{XeJ2ZF_Wh^T^+);4oRSj=Mc$2+6Cyf^hkrdD@2 z2)exSi7-(f>D=`6f}`cqXIP4n9MKdl6N4qW8=&+DRsT%A4{S}$_QF{~?AOM0bg+0P zpJd{%{C&?Qu!z6}c*t>4H#SBi3WdXo4nlBe%LD|{juCVwLZ0~2}OPPluJ0k9G4Lswr1SGqqWbz(XQ zcqi+{pNX{)WI@>2KKb2sDBqTB$}A7KiQwUMch0%!cGWJ|>4NeMkp-%!(?8sVHho>r zQW-vZsdZ2w{(uUQ_ZuqtJoBKm5w-matDuBFT#O^(1(S5ca-fRc8NWz)it{{ljLEe$lomfiwbnDja{{c0J%%mqp zJ~mnxg`#=W|p^m zq;#=-oS;r?=TZQlrnOO;{O>d|*|=5ve1sbwcVy~hqYL~bp$W}D96N2J)aCr}v|=vX z4gTcvciktmANb*=F0#>TNgwQsmLPjgSsEoJQGh8Dx{qvzNQE-e*`+j0zJRkKtdKDL zu`O#1BfX%J2sk8=3D(idmyCiHj4{4v2Y`x*Y{<2|#-JYy$4yrOEf1<*qv&1Eaglv! zvx4UZ7!;<9Ikyk@7l^oDTxu=(!dkT?+?m{{J8~m$ZZ+ohRz-x?vE>Cg(RQP1t2jI= zYzi1p%Id4#e5|kR{9R+hxou4it?kVo05mLL`CNwoMDUIsOa&MLjOJimUrB%gn1_pE zlSm+`kS7$=F@!)biJV-w`Qq=KA)Wwfa$#o_Z_ z$wb=DM0EGsubM>3ql?gGNHEP?u{JY|7jhBA`wq$8ZsSTI@v>~3Y_BWvW;8X7XFmls zB+wTo(PI(L?f{M@0;L7(H#0GKIOG3SHxk!W-9x&;C`ARXhh$RX39xOWfeykQ8ya*A z_2Q^>tEA7Hg3GX5D4Z4Bu=9h093og2IJ3XA*S0Nr)G;vp7JA(hbQ}iTOFff+8Qj86 z6c454&+Cl8o+Z2Fp}FNX%DKTHh_WxHb(tHOqU%x~6mkl;sIG}ZXqSPLM!fhUEf6%1 zp-v)bQl!Q$e0yl~ zoF|Eo;_`w3>c#$5K$WUMR?KJlQ4{<-oMw6h=1aA6&tAEhJI=r~lB{R5-Gh8}X1*lM zY@L>_n@2Q6o#}CYpGQdd1d=_soUfx}8E7OOT4dqUU!7?jy*BxS(Nau;u0KUun6AHI zrS<*riTgAio6pWb>uaGxu7K%#d%r^7|clg8)ubRj)E zJt~d9?k%917t(`R8szaWO08YFQq;Hlx>yd!wt}xRAHIfbBz%Q_4iz;rcTo#R50-{P$v%G6K@r+*)|rU znxkJCPMzkNl8F#DT!B=pg7wds5mP0I4{}3 zfLQ_NM^lKdXuVuT(w~>yULps1Xf}i&gQ=Nry6~VXh&&*mi6`!{0<327qazVlkwF{% zD!s~Ff3(5__g!7dX)=CMJob1b?{tIlyY~Hd%qg#4K?Mf8jW4s;xJ}na_a|wzsKNF_ z`NIN_BIo0TxF2>occ!Bjxos_lww4E=$d+*EJ!+x^ham)O5cHVPp#s zuD^>w6q!FQ(39W(PNFjBdh^3;@Cm_5i-8%kkTg;0D)>7i&7W3>_P>-=EiV10``BXH z)w>K8is)FX*LOTZBBMWVMEr@y6;rEwMTVK>0F;G|z~8BZ@K?Id1{4Rm&jd*7T09-MEng@dK>IBVef3wk3GX)S(hN3=RZ~xAQSYKKgXuPLp zQrIyV>Ott8cd10)#!)-BKu6Xhm9<)`{No))$&}u{mU6=w#7jO8v1%vsmB3r!`ki`E z!LTHVE#xcSIe-5HtW-CwX8X9YYqMqn79QA2=V(R1||^?-cwQ3fGyc`Uac zSs5*ZK8#!bqO$KAvO4X48}I^_b_UUc)}#BZ$@4Xfn&B_-<1#MP97NC-MO|ICdNl;Q z4y}xmXj9fyTUc%>37N#_gGgfF*`c$}F)R=#5kgS|G0rG0f9v~`D%I`ew)T(_N-G!D0=t4{Drk}>}n z!SkhhLWSPIo0~rc7$^W#H+85VaVuk%s9UVxnFfJMT%sxmOf5p93_a3Q$G{jPXQpb! z^J7vAkRkyEC?uhr+hsButhu?O2BL6RhV60`>|&vGEO8h7urbCYp9p-n6|P@7z3P6o zeDx9R!;K$SPmO{4;ieIcS$lF+VUcn5puFArf_vvwEabgMYQU{Z9xW;~t%n89NCFNX zb+5U@N60yX-XHgHfWI9-#F3Q-oq~1WJ>Hmkj==FE9Ynp%6?4zxcWm~J5P#C{=Bot&vSYse0jwU6mowe_KyCT z>`0dtRQ~w`aC8J9+Fp1aZt{yFY3;&7GgMXtcTVuFEZ*mM0}8Dnqq4faa@DF@NI?N) zV=0?6&PHlSy5=_tm5=l0lk<&Vj}p2q@6nHAzuEY%_)E2dS=ohcnj^$Q8aX^{igx@G zjw*aLZ2%M~{77Y1Q30@=8J8%A4csh_k7Cn1%aLCL=_V_*u97eR!SK zZ!Zad%F{5QM%dBLc%KK@E`Wam%Ly#W0tfIaeF>nTzkwL3-*o&v8O+1#NB@2_1=Pc) z^m2n0#EzmFlzcm(i7CPWMnZg7nD_jzpdao_%NBCa6%%KeY~5t+afM!bjBgwr z!D9M62KFjAmubU#v3EyGH8+jcBydBWX6~;otAkXq$Pg1kX~$0msli(M&Pn zXFfsFwrM|WZO`>3j|^>;_>Y`!pOR87WeIY%+kr7gc?9YS^=k&?1yg+YJP?loLSd^F z4*X(ARhol$=4Y7^UWTj)TN4wrM(#A9?@J;XtZUBgnIPE*zZyIACioH$`Jagr1ZqRC z=&+iIMuuP7xCrsE0XeT!h`VwlpvPFM1FBZZ2=3UiQV%zVIFE&6h*dL0lnPc;3Ql|c z+H96DD%-FkGNw~F5$6wd3qUG$2C=w=a%#gt}gU z)vG|)z?S2rdGf%Hj?0+I(}0=IIAj2|y7bcASq0eO@g^x8UcMblHmU(!6n*I>@yW(= zoI%`sJBYQDS*|dvj8YlOexd>l7jIWims#m_ca@>g>Xk)Vs8C{vh-1fut}`ZW`S{Tn zLAkL#?rR$+H29M87eGEpAn|RGOe+XCNu*}F{`uZuHl=3qa`Jv0mk;#6LDb;JujM{t z<<$SD)VL)DiIj7*9&Kll1aTTT@A&aEA)BeR;$#BQX#ruzhz-59Q=snOwRep~j&0zK zWrTTK{WlhxMJoeO6f5vdhPJ>0@$)SSTAAYxZOwpv&pRnEosD+qyG*+%A;P6V4&5z# zT+BuOsVA)?E%~a+r|1)%UZF1%-rd&(`>E@*<xppAE zla^>56SAtkv|)yCzw8}L;tGYf+3yY~XZWP4b0uQ6>_9SRSCS~LehvLf<=FQLOVzt+ zS-RvY&2Oun5&cR3L9y+!(6j|2yzlK!`!LjJP2kS_!-b~z_Udcy81@(kv29_Z{$Ah7 z3TiUU(`PvYS4a;PcCX@tC7G`uRq3fov*DoMpxSv3U%xfqa?O|Az;E+sK)M?Q-ONZ0 zd+SHu2Lx1ZcB{jylUb`jBnMJKy#4$d#bGpq2zDCw3Q$DXFK~`aP2aq}TPv7rM1i#n z{6diJUsJr8(n71AYC%MNHorn)#Rg9Exa;e zBG^6k1Bs8*{`8Fl@Woh@%eUjPZyoCzu7T)|QSe6vHE_ZIg``C?&X*cPQ9JNE-L$JDe=j6z4y0`)*7QKs7XLatz)V3T^(|B z^H<+LBIOWI*u4)AlwvE_$aVw2)16xOf-LpI-YS3w;l=~X9d*p=kj0ZXg8@1S1tiU3 zhO4v;LZ*=*-G#VGk?{|Tvy;Cs=|QI%N+E`Lz9+aSf5;vB@wvzW_pOg{;3)ErREE1- zm{YPzTcZB{&15;K;xxpc*-{VeS{kb0K8ykasF)Ep2MReB`edze?`F_4PFx>ngE-2g zl5WA%R^mYF;loQS?O|fth(YG=xi2{)bYj*Q`ussH1)mRk{+D77G_FMB9*F=7%XAn1 zcyLg0*ad&-_5T$!c8|6bNvg;u7EXaiw!w`_5sIH%R&M@KeYR7zADt7KK_L(?y zWbQx|<-^r&1+<`d?SsYMNu{Gil-iho;XTJl-82v=7#xi|6{r7RPPX5$WjdfQSz#(Zh5k*DCG{X%Z~|!f3zyuur)Gtadmb1oc_1-8Ow7Qi z3!Jte_POt>okogET8*A9S=!#9O#wP#vI=F*YqP7rqG$dvd&tbWs&Ov?_S%r^vW2$^mJD2Qp>;T1l=oW1{-Mki6NAaDby1- zU(vh~yYCt}bFA!5CkwOJvS5vI_CLr78!TiY$>+c!V4w&9Y^KPFTz1|5juxb; zZQ%}p_=W%tPw>Zg9kQ)KWD#%?WDlll(daCNi0kmYx9Ux1Ylp4$-JoYn34=iv#k_VT zgn<2Q&ez;3g_{0N%p!CWS(&BHT!i7^Q-^>PHWiXdn~CY5*{IZj9!?nltR|PUoOj+E z5lzRnf3>>@8JK}1htu22jtA-Y)lH%JiWz3I%<~&j<*ZN$k_tF73 z2bpz6RShO$K@IcX?|dWbb2EGB?BM-Cy*E!WAb_B+oj*zcCK0Dv@l6{vTa+~q{$?ol zE$_WM>aEjE-Lw2-u`GUf{;{JH+GvAQSBskGZ5utnv%&$vC4V>oyv2?&;Z2BN!T|}0 zqs2SyOfpyacPOk-cjyhnYhbposzj#M0(euJW79wwz~n_}F%jOGYD;>iHPbE<<_V7! z??a|Ps~@*&9k+5*5A1cGUhW_M!@zQ!eK)5;Z|AkB7Q|rr1c=NrW_9#6InJX;^jT1S zj%|=ne7)?JvsoX<4W$aw9>6T~|1$*?70nO2wpl-rF&Zwo^krQx?>`g>o`4FeDz0IjUAv%K>-IC5A$k@zd6ZO*Xb$5gaL)>!7~H=nL71DZy~<) zbt{xp9S?nfS|+Y@;vQ|zvE!=fTmH#=<)hP~{U6}YwjuCDp~$7#ikeA&}_Bd!IZpVV<}grm!GH?v&Um(mJ%IKZ6A(6(l|k*!;{3K&nHt)>8#)Rc%7g zVZdl18Ptq3C!h4Rt4 z|248~TROSclidi}JY?5oNK*9hm%JVg;a;hjiz_>B&5r`LUnJVWnC&{O(`Z;Kk!V9V z{km)ikgT!M*K%aPX5*rJA{15xTq(FM38pdD1~pu}Q?F2;#ZLhho=daU5&kxM{54-` zqkLcVT?5@N%KN-gzQ;}VFtU^#)15qMqg6bu!`?{PBwtGTk zJGvNgJV!map~bxy`>qw4Uce!#fOb<;{9FkDOLgv7p{)|}6KViX7O0uDdhvYCRLB4( z&pU1PqR9AMe$!JB?lKkLgK`VVKq_~=JRdm2n0vniN`t_n*6%~fwVk;mij>H-PMvPO zc=$c)nK}1-yb=fsc^Or99N06}Tk9o141H*qWXk@;kho&Yp(?Bl*sc^-hJ#-Uj?vt{ zwW(YMF=0eLSiBZK0`|Ai6|*>2MND!o40k!lnd)UgTxw81h1#aXJk-Zs5MX}BeG}$X zcn80N`)z>5+@Q6S?N%A%5L($Pwb;pooV#hu{&Hw{71r564CT1mhyZCo!UAEVZqifDP(I{Lk>_zZ--P%im8v|Tks2r_%pu=(Vb^`pLlthbJDfLcp~dFV~Z z5K_zHX`Dq!hxwlCtLT*MF5khdnJ-57(gg>`Sg@^YOrlibD<$w@-~4-*Fd{3I2hJ) zR&`PfWd5hn67ym?dtc{P9#S@cN;q&F8;&~_@cnVDWoTJqH{^aW^_-kw+T z98qaU>4eC24L^ zAP(vZ1tFTLyAfPFGohbwC-=8V+fN=*zYfXNgZ&~*z>f3MQxh|*9eFh^a5f=pRQKgb zru`?I^9ia~`4mdjzH_8pktxjSNdqy-|6RFssCA(g4wY}7SucO0>z@o4C}yy9ZTbOa zjkrR=>Q~iZ01Yqbcz7@1Qtc-NTpbq5%e`WohtbTm^i2nz9&9Ry(t1Y^mP|xl;0nDa?-?o*6nl zAoCWrd9SG)NvIQ-sxL^HWnkg~6Y6m%i1QmO4i>=$wu<|5Crfp?kGc%*Acf0kj7_M5 z8M30J^wz1Rh4+SX2Q@1+eQXXh?g~F=Z@5C%(>g9C#__|mDzghWgjm_M_@Z{L%~ruZ zv8(EPXselvefx;qPdQQiVRd-=feUg#O_gVts>u_;Lx7_H}6cdUH8+#_e*0SCbi6E0dQG6rAJT3Gb-21wp(Qrld zDq0fJH5~kOpOqA4)P^drF;Qa{y9->WJcv^>*ESQlRc|pSVzoe&1FAzuv)#E@H}kE3 z`aeo0`oKSvZ$Z0JJT@W&q6vZ|UvD@FHsvxxQ*xS>lEbZZQEjN*$v7pB_}Jvj>@wj- ziqoUk?IqsNTYaLs4lF68=LDMWK|qN1#7(M9nxy+@V~`;~ra@4Iyp0e^6K~F{7^-5j z2wflbWTQ(%I8WS+UUa-C_j~qb)4h{EO@alf@TTF1+tcKAmSBb&2^TM~OI@O!eYrNr zr<0$bN-e&GUvz4{b^bD_r+3&18Sgl_KUJ4+qp(t~0vE%%FTefz&j%+a;01`SipP?; z6su)w`V;LS!=4;@W5XU_&culi`7n*Kapg*XzY2VvWyxLLnuB!6271LEu9Gxp*{t76 z{zbe4f=5^s>&F!|iS`JXl?Q$#w8OEM@H_db1VAhRrdm(6VP?j<<7b2XCa*qb)glV$ zhEO~Xn#g}??WMNdDeh^lgSR5WbwHp6VO(nh6$zA{LI)-QQHJcNrRxJmo>hO`G*JA7 zwj}kz)=l}wbAM1MgzY4gA?inO1u71VGKfzBFke!3kttl7&L5Bq?K=dkzLpnu@$P@I zumk`EbSd&IT|529X{~kSAj<|mAk!`6EUn(LLpJFmL{yOxEMHivO72ZLeq+{GQi)%> z7r(Pg(auQ(c;Zh8ggcf(inuod%}{RHJVl{~iT6~C%5h(`guD@OIoKnXnY6=Om+)PQ zMDON0`q}^wq>eX2{xOtUGbP$O{@Bdfr$>7nqztEonaQ&|sGOW@eneQs3UU7=%^#4a z>$an#g!pxqis#{d>P106hFv+yXrK=PzR9`BRBV zG0IEdmx-w`9)+Sm5-i_3+P=hB&s+AlX|&`z+55-px*ZoEo<6G5|K6~WNGnnLLm_`A z$oX8&y2w)Z9{jNx{Y`v%^jHPI;=XIiV^rCN8FjrT2V3->>N1_1bLdo)tj92OyT?V# z9WD#AdKhTnm(AqXk=W492m$qC4HssGA?c&eM<}+ccj=gYj^^SgaB^sgY=PbawO@2I zirVgHcgn1f*s(XT1mP^I zVF4@6B+_ysuFz4v$v7-^{4FLIVRSu-W4AGAHYX zvl1Zp;us#e@6IN?IkiYjkoE=jXvm>R+9yqHii2YYLPRJA`25*W5w>#V|L-jq@`nrXdw~IL#LBw=c;Q-7@6K)3-Qj9qZs0x7j9{1v%4cX$owf>!~ zy&;W}1t+uPXA3kNW!fc&f1T5~kx38AZUU=Pq5ipu3&%GRV8(;YI+Bl0CB~tj&W%?g0%cdp-b&5=;{C$jcxGZ(oF)J{9ynR zeU2FZ!g3^jj{O%t7phm5vR9T7y7z`>Vpo(m(=w zZCWaar{+yIBt5mZR0^%cDJ}8NW==^t2b1p1o=)3bsqcXl@j5BaIyNPM2<{-S$F&M^0df2#bxDRR;P}VAx!QlDWHODWo&r=LP zA*jwF`TY!jVmFmaTLCq|4r89$)6-H`K3{;>X1l!gud{?MP4{ih;3JZiy*i0c%a2@2JrS)OEBM3A<#Si$H)ItPD)8kvgiL}^hesX z2aye?oCDoo(9fq>bBa9Y;vJJ}A$6RSs+)UHpA`+-n|766Y;nUV7z7hfe{gvc9{*^^ zRyf93Sr-!~3J$V#KFBFXd{v)?^dC7!*vC=Q_XF&>kQ11^2Z|VsN|dAG@Ge8i-)UmW zqwO-!4yOI7*R3W>+S5eoWF&4czrv7yFgA@q04)t6mvpc#6ZcLU|1OTE6GLx&5p2%N zU{=sTBRKtncWo0NyVU_Hnb|1~YLk=x=Zn4kXG4`N&01(!`TUVgOrdwoDgHL~n2-@s zV6hq>L=8X#VDeWnMTB1@yx3jJYdu7tijp|EHr&m%VQ2;>bLwA0#7l2W@nl8S(i9$Z z(o2SIsgE1@MRc;-C3ZAr5orG!jZ&JKjSHBKUWS55;FD3(G7MHyiSo1S$gJu;sNwne zYH7L5{!ni4W3LaG*@%G`SktCxehk zWf&?uzd_LdH>_d9s^*hr@Z>m7%Ix2pA8sKSFDWZ)yc)C}8%v{et+*{_^59?l;Y5LOv1IdJeVfzi_S1{6z7oqBlzG1v?)vyCY0}2#E;V@&H&d1l8bc~EcZpFV z0(2jN+Mb4iDCi1Ko0dGCI1`A{w&P{@vB_iyq>%cf90AyPt@bCl%y5F)!E?%`-gt}k z6-iwe7Lx26QZfwemw*tiaNf&5Q+h;CKi&B}fT7!2vxdvrUu;)AfyAe;+n*8DFq-pF zh$43{j`E?6-XFy~-ZNs~%f1GFyhAgNU0^G6dXz#jz5tDWNFXWWbN82~NtPTg$QwYu zPT_O^OB@N2(MCJ9I;=Xd7$@z*SQFRbzjy9A$Y}`E(E}mP0Y{8@3RLY&FbP5CyfCKo zb^wmDM(@i zE}%5@#-gMzbD0_Ud_2T$7JGM&5E8d`blW=yTz1R|M?rrDXJ>NtawOnzJ@x{?_9%#Q zPT4dhP+7S}Mf*bM7&IWPxAji*E41~tu!BA%juKzf7QT6FuIG@ia@|@UE4pF5^ETZW z?n9++-^Tq`h*g0XRz6TD*UFc%r((qs%kf%QUlC?r+m`0^B7rYp5KJ+IZr8E3W=4;m zt+P5^H-ssH1h3syaqGOb@R;0PC}>JBEs$zeG+Wy7zl_G}g zx>Eo8;j{zFGiT$K&p2*4l0)Z{bQ z`a7N66#$P0lrC8BU_A{rL%uY|H>web@_=3j9d9tz@F0v)TOai95&7v>uGr8Ad@!7p zJH)<%4%}X;y=54fPAs?ExG2yxE8KQXk+dR|b-J!CVC}~%k2a+$_IuftVOrZf93E}D zyLfIBcvXsgvG!?ewf4d0ABmiPF@_pZ`sXzTw zdv7p3l=va#NNe8MEDZjs#r@05eFhb13I5Gw?)^~qaT!!@N<3zoC)AK8D78@2V+I`o z1-dOknla#dcnPxRBgI6TA2q}A;|oJb2lb;^M=&9Xh#+z2$>I;S%x%(Sp@2J(TIeXQ z1gX8flJIXfF~mMj-NWg=iZ`vROp7aBHIY=n`>m|2zVkI}`7d&9UjdW3^Pu|WT-YtJlFKC#?kq&_NP>6dnoAT>hYM=Xc zbL~^lm`b^mnvS8v!SP+Fqk8`Tt$g?jCcYBAHuXqf)G+sOL22LMpej^&wA?0Wgd_7C zGRp5uqW}^Px%!P{MZEwzRB=3U{7Gu{>VK%3W6N^pnPf0|0L&JKW2TY14inJSN4pNA zIn?46GjGHl7{qnI42YD2Z9M3n-)f@XP>I;?*tRkFQk=V6-DS-ZO=4K7z>_17CveOP zfH4ci*@SOjN9PS5t>n`hoqEr~ZL?8wWyImTqyDmY&EUcyM4Ng>lH<({gyP}X!L}l-*e-KIy+cU{K0Sz&d&YMsmC#j0{H6|eU=r}yd-TJlA+Y+u zTu+la+z!*jo=j^MV8vb(4gDZ27?O!)Ok#>xj(`&lH*mE|9u0M zM6xIVuTK9of7I9W+-@8HE0dLh_dg69qzr~YB)4T1&Xt&c)%PA6Ti6a-SOtO|jfU!v z1UD4>WP!Yo6p$e?i61?O@A_)(`2`Ym0#YRN&eU8!74>JlSEz(Z3ESC)@%$M?egX^~eS(Z(|=(zr0xJXriW$?;<_-LaY%iMn1}ExU=$acX2A~ zPaqDJRDGrS?c#xwP4_(@gFYc9!IeDWIryS^^EnWn`r8eJQN2I=n$9;k78Sh-BudI` zKfCO9I7WXJ=ki7=<<>t{(^)GqEx9&bPar7{zQ#I<@6semcBE=nyd(;G$-=x{sU)vX z%E^bRL(dOBF_*I3+j}ml%=<&we5E;qU)pfXM-22-g2Ku3T0Bz%5|hs2X_^6^%j`eR zTFk?9OnJeY#35t`AE6b?6(QLaQY)mkuIeP(=x=3-puKqzB-AH}9cAt3E5~N)=KNb} zr@gPv%>J)(?Bx_#=`*n&H zuwPz&!XU2MmL{%I6=HAH6d7c7`lBi|fY7aFf#8i|XLw!R-sU&mem-GI2BN$VtM-NB z;KwD&^if4%liMv~uT_llhU`C{n`_gSg@L2+Vk$;%JojO=Lj|9DGbF2*%}wuq*yA4a z@SjL_^`d|@A=TFPs_@?X8!3%gug0?kf+vi6tgO$_>x`6>)V}8c^`!}mPnhZ8)F*m7 zE{1V4haWyQFRC$7asOQ=GopHme;!Dbe^$UYcxITq!8weke`nlRq*}TN*5%g8#g?Cs zmxd1_gQiEnlo+V&)CB+W(pBXS$=AfEei^3~79ro`8=N%?^qqa*o7cHa(#L# z&oji29t(?{YF01WOUO^0>5Kg7=yE+~oL1YxrbD|S$~AnPwz`Kl{dvox*W6Ac@kIyT z*1Y5+#Las>)dtG32*i+dAxcr%>gXLJ9+H6vI&Aq|AO9BN2*U?Y&Us#ryqLTUWQb4Dnr$l1&m#QV|AWi zy-Sn{ngr^E?H%E5t42Jjv_RNx)5!T1CmWd#qCcq&&%pZ-UEv_AH>;v8Lo)Hk%og)K zbEX(*adX27+q0Yu$uIhHD02D10yK2x%loFFr8kt4NGu*S%RyS-b^~`c5cfCM%U^-N z0fT5cQc#Glj|#4yVW}@Kp}SLG{!g5F{G0AAJ0sp$VEv4(r(yETKrc4S{nGL?AQJOf zTUNjxwXa`VFHR1utVvLg>9&~O2yJ!5p~fR^*${BVw$W69-I1cpUu2nW5xcv<8yDsY zWf#PSn6~f$ZNDkJiq&g{HtOq;`q9EFVb)!nML)Qpe$foFRB~t=BS|B;&y`FTNW%&; zz&g6JozkA)uJRbZAufCUyy}bJZ=B)q#p`G6H$tye{x2ebIJkxy|J9Q#D?PnYX0!BH z`AGZnvhOgf0J5GZY&8z3H}_^nuWy-b3+h=@pX&a`)#cA9c_5x!z_Z_h&q1`x)cWu^ zMrnKjE64G^-iBeE@h8uW_ba_Jxa)TJ`^KLFeI}j1gO1N^65vU1cu-~X^F2QD;lE42 zeMRO4r6Z}rlH~-Cwc<#21&mpU`cV`Mz}S%0(~Mb6CRGXdr8sHKwllIK zFusekT-`0~voF5KuDviBkbR}MQL=lFC=m{~46x?Al`^RndU3%c1V5)d`lg58$(?Wa zo|_tz9PV%0oF=>@`Te%%(^9(MZv^Qhzj&rp8*mQpG}0vcetee#TYEA7iIhT~HNtuo z%vVne72%ZnCis?py?RC}R+O?YGhP~%I_8TB1_t++u6@dly#VuN%bEBCcJF$rGz_18 z$5GUAyt`_vo_($J6=gQkt<QtUfS8C_Oism<1jy?PNq9r8lv0(*8%y^S_fL2UoA=<~B{mlle z5V8T0Szk0H77msK6P^?C*UkV&1*a>b1Gih~jS6oi<@bcmY9xgRbZutX}=(unW zW+sq7`c^yBEiQHk(O+_lWqY-t{6)SQ7meIcMjgrK81ordb;SO!`>#~l!|1-o$3sgY zmv+#1cXtYl178mMWCd$tlw=jW9aqdFS6BCHz9T^47_qJ}laJ#soH{0JZCV9w1`*FTy;968JKlCsUO z_`np$oq&p6AO;2im4F4cpd6JnbB$^7`Y>a%Kjc0stiD7^>DPk$TBU;fiWFf5HdOfQ z6a0i?o!DK>M{<^3&|90e+ST`bF5#L+#MwxrrAz&1+jd$L^gEt?i|MFu9CCQy4m3{l zS&Yhlq^^0vxG_yS25AkJezogt5~X**@DY9Q=`gNLGCeKdIaK?(%h(4aBg(^HX#%dXjm3mYm4Gfl?i1(hcP8 zq}RQ|>+ zTVwKo#_e&j+xxtn?bHLF{q7B*GsRQ_BPY@%i<4sw}@r$^=1FN{%YSsS1`yp#bj6}^;QrfrSLl{k59T&hl0L2db*=H~P{qrms2+L0EtBzYmNgCC zHGIEt{sDSKTDbYXi?h~9KUcTLSr3aqF8&G>G%C`8)3yCS1oBGK7&kQAe%OPR}!r?pdiDIYgW zpumFjmS;ww$>WNZ>k5;&8<31~Bl18hXMRrT-fbZB3oiH&mrg=ts{G{rN5vvlqDWWXl8HLDdv*y2{^J;bV0_a_Mtzrs*vLg14 z?WHjDsdS|Tp}f*Wt)$u+c#VM(aoc%@HuSrnHD%;TztecePeoYn7sc{h3AF%rC>ISb zOJ@+8> zk*m`trLhL~LY_-YOO^ivphpyt&J>hB&u*#Yc5{FH)SqPF`$WtZl-E0(kVcFKzpfxYF|0S%P2HdL zw>yx;9)V1=PEsVF$`;P4zP#!05FEn@|hwI-xgLA?eGlounB!`8n`r*7=j>K|;X?LXX2f^Yp-5b)Q2?h2B_u8@urLeY}I?a#?J8O(Hr`Qc(Y$7->_$y&MH5&|ez% z`+71@FL7f$3wc8`+mP)uXE0Gob%cw zbM0Po8wsSR(n+-2w>P${z>(NBdpbUDL(LtcJdG$NGjxnk#v zjRp>j)o=T1DG0Q!FV$IKz<0Me2Q-TuZCMv8c zktH@_+_Pj%x(Xl!00?hd)vh7j-~E<4t2~iINyc}O6&{$ibBYAOkp5+uIs0ZWx}XrA zCLc|0zr2C_2~O99YA3|F=K7@7d0Sc~j<-+*0uLjV)r2X2U+`uwI0hAjb!i2IQ;Jlg z0>=L^RD>9S-0SYTncOvGb+mpjD6i?Rx3FrO5tqcrF@-iIDIt?zCS0cVKV*VJ7rU2p zIoL0@>|P4GwGaR4oO;)7tpJ2iC>$YzAJWP0YBiEX1cRjn&YBjMVP^6#$0c!ny5_6$ zDHyt{iLfk8hI`cH(vicor!<*m4RIGv#PilUXgN0G>V{+P)L}Ij9%A`mpW_|ST)*o# zX^j!LphJC^BxZKV3S-DE>4RQc5Ke0ihM%0U|8%ZfE2v{qJu4bDtNIO`|Fwq^t-_BB zshRwb^SK;PCY>Z+havP_s2xvSQ+CJY3M&K$zSmm1Pc4}Lgx5G;7Vc_HyA33N(Kqa* zZV-q9H$VMQ@v7!w)vmV~iRM0UFOCBQ^sYUxtC|M(Yhmddhs;R&id`AhdXwqAG>slD zb?LdXaLzyHa6!X&v(2qU{eGn0+9r((HH}cnG(QlIUM$LU%5-0r`l4!oy+=sBIRnTfDtSrP|uOe{#NY&?6;vP`v>2Q6GZ z%0KGe>Awe5?qqGFzi^{5l=% zUP1+PV6bh_@b4cr`(qCVJ+9%1wwG3UW&GdfbJsYJ2MmQ2Mm^~7nUn?DLKjsB;u6bd zXM^?E+iOV74Q8aXwA9Rcw1+MeNLQRe<=V=))u}5^D{!zJe|_;cI`#V-aNp5e#!L!& zcH3%Y1`(sFcWiJ5Qo;UcVb`hE;(4E^x^SBFa^s2N@HFU%c4p+H{-4<^xL8>&c&%yv zyJ@@6=g(l+{#dsSR`6o_b3EQ;{x0Dh^P2l(YK%<&LN({}kIx`=)ZJsT(T!0JcW|&d z0fpcXB30$hIndqo0ousFvxU)ob&;A9dQw4VL!AyKs19&=^p~SI-%K^9pU2t8opC8~ z%jnw(SgIBOca{rK0^k_>Hbl%cm40_sQ^D!VFbu+lzIGnpJHpCMM)dy2(UJ{eD-@^m z3zsWnk14y@AF@a&Sv@~kQ#su|70~80I11?)nMijWXjc+<)syk)aG+lKZ0rO-U~OoiKG{IfPt^xewUd1S9(UuZn6;Kgkqd62m>B@ zm$UwNi%gf5XDX+P^OLf`E{AB-Qf!h<53c>kFj?hImhw@3(%<;Gm}k2^wg20Li19GB z)Ofege~Bf8@?SV45d)eEMAYS}oJXR5UfcX7l)*2 zT#iFoYYZ$~90=@g8-{T=)w+VqQ`8HZORXu?MafAq0XC?eZw75#gCG{Nwe#&52?iM& zr0%FnyE>ikTVa*S>JZxkSPmH(Ps;k4y4rzu6L4W#UCE>Gf4uf=*C)DdU1M{Z*>iI$ ziTysMu*g|-g!MYP@|l5(YG@-ae$Mc1Mv$9y9ua}r9xAu_R6;CFFRm(63_c|we@FMo zrchfSlWr#8hv+RJ0qKT&8)V4cuQ{g`bZQV4THC`50#&{kyi%sMJxW{Q@@%J^u<&>| zrN<%d5BGM4;jY*((8KT=A}{;&-KtfJhmH{h9e==(TkVHO{+NGxGZ~_{PZQE-@5T>O zr~Z4Wb9#P?aQ1g2?qBsYmXjh%cO^MHQU6ZIv+%v{YOHvvcH>wi8?d_ypwwlday?4V zPJY|PQZ_IBn~*VN+U8Ejryuj8E<}Z9j9#XXHp&;zE1qUXV%&-Xs4!2ao9EZnS{isj z>dG zFNk1DzN^fewtM{23~M+ksf)7ykFd;(rw?Dh&2afSJ{vG!zICFdKjU2 zAmcHs@Vm_jFZ~dj96$AddR%n&p1v#y3(Qj-$YdtDw@9VLg$UY`S_(mEc>=;t)y1FQ z$Q@TMEP9rpx29j4yHIm6x+ekM1zHECTCTrWF7l~8qjzzP9hCRxtS;pw4O#KszA4(6ijmUvIsRIuh%RG-#z7* zJeXJCP5#P1x*S>^979mhMw$P6^vodPGW4zCheJRttj>+mq`<%A1#h_^TdNCFzaUSN zLgD>aA9`fC*>YLSTuX7g1jZ2#Tm}?qXEKO>okIh%UP#xpdrVw0F*amWJ6p|`b(KMB zDukU997FN0ZtbhYpmQ>X3P^4MUQMb=eEG|;IiD+>bygxND7bZeaAq!K>x}p~`&Y1o zh3{nBk-d8xu%}zE7k3|ZM;lp`jxsNQmBYHU=*(gD%&>|{HTPc)2hlHNXE6d$1WNLz z?R{ZUtMWBmyG=%?1c+NihX=x^_NR2J;0<2TDfKstsln8Sf%rO3g!{h3=UeyKwEi>4 z`vx6nmz9lV6lx=TmJ-yZD5NsYS!RNtS9BLFcH6pSzGj&zG>DYZP}wqK1Y@NM6>B@D z;P9oL%&1$o`7RpZxiIhe*HwXAcBK6^rRj7nE;Y=Orw{u+o(GAL~o4Ul^Q07wveR z7grN%CKDL!dIqDR1KAmpQ(lFMl>Zs&j@sfVdP`H+n6Fgz{F#h&vD% z4Vp7(R84?=I4UgUl-qiSXs|G~WP4*92Q(rY1qD07**8hVzvQ3cc|?olTfY6_6;z8F zS}!f( zF@dG*k%V;|*uWlgUIEqR6!Xxla;kem?mU5+3ze5lE)x_%6)SR_Zw6Awq; z!$o0;)B^P{YMz}lZ7Mo)H9b`aIxC)frT#TL??aXtyqX=W=98)TA?!Cv0f{#0-&jkF ztq2P2^>UHRa#}O1H|e)U&tI+B*RgsH{WX`jJD3Y1%eB5Z!FB4I36aZeGAN z>HQTq-Ehe&Aue!|+i~Vk+$bq1(eArc0^je8B+8ky>ofVJzl->p4Z$1@f0BMfbb#E= zp2+luM#ryVvzM%f_9l1UDfC1FB3xFFlg;DU>Tls+^in6+GmfU+ibVz(LiB)~J|~3L z=Yxsb-mJvPK|kAWp3lNhM9Y4&hRC=12q@UffWLGM6dl8okeY4obWkYcq(%>EpDw#S zv^@@^Mek~$w2t$9Hr718hbxNi7=FGbo!RSa9GO4#0sTOp&ZGH6ZB{;bozk83${f|y z;y}pK$1(BCUqTnm@=w2$ml0@P8IhsmJkBudd4P)*LZIc@wXCah@;x;mGKHT+JQSjS zIXhOvM_CSy-+j)#GEN^7<$|9|`?_TPbpy$*yD{C*7@E)BL~plxOJ>iodYE~}p?q-VGE0&}Iv;@>Kz-YE2(HAT zCs+O_eVD>+>XAI7zwSh$6};~>>Mu}HPWI>?9l2>Z@1UwHG1LL1r zebFsa*E&!M;f91_$D2yTGl>{^Tn{l>)8lu*U>Fl>#Y@np1#~3KoL#;}XrfPrIV*64 zeB%AI8L6TjnCOGqFB1H^`cf>jB7cF$&i4`kR}|C&UZV}2f# z#NdjJW@U(N{SMh`(*8$2!YPia;s)l&jE}@k#ZzT=QId=w*5mJbj%z_r6kvJ)lg5U2o|iJHeYW?lI8V6p1^|Eg+u$J$*dPl4zl>bgGL4Kea=&~RX=HL@% zS$UIxrza8sHxe0O=lSVXW=d0zIf0!E?K`;pqrlHkljbYtmH6#?Uiz*ppzHH6bidC5er@tbxc_BPp%mz1{zjMapbqIjY>`rIFHbd*$#$(S04x@RZ)nE|JCV zjJZ|i-wfxZQS9AGzZES))hQ*~mRqsRv=X2K$;lu7uRS?KWp(vZYc*#0iM@dgQ0KVr z^+tO>zEIz>tgQC!x`a3H(_q6DJ9brhH}9~^a<1>~>>GgG0o2J4N4JZOcuekgGC$I4 zW8z}I7rQ^2>O6d5rV>P!XL^BjKC#-$)}wY*ZAM%`!wl3_U3%nyZ6o69yEmFH?1D8$ zctpMYD{sv}#O~D+VW9JdajeD}ZckRbhi4Q}|Yy3E314OVpX04nddo|(YZYoUxkL<2lwiwq&*0~HY+XXg98-!U_KtKj%A&DhnY2w!mB-5{=$|}9HY*wR|!tTg(S&Z zKD$N*-I3HrmNuq{&}rSVK1dRzbAYWdu4%SCh=`x;^ZK;}i%bfLV27RIB=tnE@1Zf( z$sitpuf4aO=DLVUxT-5fA#NU|$at|s(O`IuD&GD7VRJ%Cz(qaRQ=Q14XRA40zmM$j zgF{UasV?4sXDD}1k={G%cy_(X$@b4^^A}J(wb#hko5?a)qfgeBcs?RbMIl~3iRYNtFX5|T;$SVTIKVCN@6Z?fZ%+(K2Ajjq82se7aGsou z1{%R-2#4mUcH9j12*agO_(-z(0{jkE&76*pao6nPxmvwn*^p^sXF0KU9frfV6}3;!J&h4^ z9oIdM*by2(^xFh{??*H;;@z^Z9+UJ_Q8{8*p14W2Z5$CLA2K`pCZ73%6O73#JwobbFw8rrn8ChbH75a)@GDn52)LnNRgp^^0KWv{c10#@+aD&A;}S9R+kl6 z99{cCyfkt1S&mCdqtdT9;q$*n2)^+Q&P+V%tr_vVIgw}OxG%{Ap6suF6KP*_jMruW zd2zIN{>3AUX6k_S*Eph!h1i>kzs?AFMYXqAQsw{q z+F{!@+|Lr$(l>-pG#kV-~E1X<>RYZ7Ggwxt(0aKlCW=l~v+p zW$0G&YhcaE7*8^!{>HYzV%iXfn30-dP@Og+2?{`naPgOrG7S`I#iGY2T-157HNI^5 zgYbkrwcV>(YotDIHA>QLtR!jfM9I|8`70~$u=qdJ?>~HAClFg-lyLdV@1nVcSNuss z`<8QLW5)_$ND6a26QJZfwy-I)xJE*e2q000AQza(b3(B&8;sV5stS+g#@iKng%_gs z-v3*-_-+w;-2qRVdz~gFW^Eg8QMOt5tyGFqMmf10;%t!GE3z|{zIWZHly%Rj$W?Jh z2DMbLL(2ZiR(kOc2Uy;EWiN1RSh5Lb!lv@)|HhqFK*BJ3(VPg`y+LtmsQx+0{iP!n z{9|x-!1}60?r{(xPyoj=D-gFulzVlWYA&)bgh1mUY_?o~*hal_Q5~lygjI8~KTIxE zJ#OLlWIFK1GA-Y9B8mK`R-D~==y3tj)EiO$`+d2WFQz=v_tO4xt3vclhOxl#<*@C? z_oCwqbV}5xIZ_85JjzY^29AYeWOhoq3rzra2rs{!Gn)cvy1c2E0x^(&5l7q}c*SiV z$&>hymQ4_ZIj|7kTHRH@E}Np^^#l=K4sKx4V_vT?iEe%Cf9DtolwDZ53D%j$Q^oD* ztr9sFokAwgN3ENF!B=;9?LMQUUOBAT%(aXi@RKHPVX13auGCtasslOtLMS?bL*Ofi z-$xDddU;%Q4ZCnw_9afBFP9-selNEI(IzCvJe?naC}-S{x#gpk^wJKi)%G*ldjfei3pM*2?WaMrN9*m#9E$ z->u}M++w!syQz)(^&|b|tKtH4F8N*i5~-D}5>}>PpnQO^Nd`c2OdkgR+hJ*3Wwlb; ze?5m*aG(<#&>q+tU0FrkH`;+5EbeBQ`@t2h@C5y9Y&| z(DgS;Jyvnbb62&RldsW0Ra$hX=WQ$b;f0{go+4gC31edCPiatrDV&6t-G6VQw%)J! z!?up*=ofoMgA7o5Ffi}JeRDxqr~Rk&)@FpDpDKFy=vzVLdWRDkjGznWn8(3MGZaY- zZasUH#j4=q_|mOj&-+d_4Ta}rrCwah;q(XBqyMHYEpq5VL}wJQq7V<|eBKw;?xc-8 z+8+2POgGe|GVSODZL57HS5ugFJcHgCW5-=C01r4jL+llAP-&(c9cY4z!DlHf0sy+i`}K z8T1uTq1jcXmPuX$C)pUsU^9%^1wt~gy!5N9sJR(ugGVPF2G*#X*9YBG>&N+p!*A*Y zhEwu&!qAKH-Oj))Sl^upOd{Aq<=*0lqW9H5PPXa=nX&p4hk5$Zd)puE0^XYdTJ|a> zYGI<)YhA*yhq2&A(h9pmH`xTER#2y)g!dvZ!Bv0R#fd@k4X^6 zX%os+o2Ens4V1OT%V(gti{Y11$*oL37NtPXvN?94;AVA6$sADUT z2tLwwG!}S#=PboXot((-O++)Jw<94M2!>d|kM)~$nKgEiCZ93gJI^nsZsGAQ$cD0> z-xov==GEUIaF-FP#qo9)FMmCFViCpMI@C`1%U&oC#6n%Idov}h$;lSCQLdW_+OwVveUphqOlT}=88HMMG^yyw%S zQQjoY(JKfswV{SH=Gkl!8HG_O8<^*9#O+l!;PlEi87wU%6R7?!a(rDL)9Q-Qd#|bG z!Qz$F=Un#C>fj)r6==-B46=Y`z)%kFe5d60swtIPN=hI_FeCs1=HCDk=m ze_E7(eswJkplN7nkhya|{mHhtDenVBA#jrc8J?Xi7CQfr#MaMvT-wfZb5j4A0UP4V zL|@I`2dz(-&i>4Fo1dvvNrMM$uCdTtG#>v85*F=$mja1CoKT@M)m`V6RP*Jd-}ssA z!E0B0K4)u^Kb$OHth>Z^;a&`Ub^e3N=UZabbS#oJ$=b4UrR5@B0lY`+?XIb0VI|US z0qd>9_Bet(7G#z|rLVfiDBLBsD$?a3AR69%xpJH}b;Isj*Nep{w$$V4^EsZJ9bwg<2gX%GDR|4~t8<;%w%9Z(l!?H{b>VN80GEL&yeA z;Nk~$FLW$mZ^VRzYmI&AQ5`5p1e(1_V_IyEV)A<^x|s)N^-Fk2=odEYb3F-vKoB%c zc{Rk2^m#l?bKKFs&!of3oS(4r$4%w9FUQbkErwt>G{ih+5Bdv7)wPl9lED?ndmPP; zxTv^@rO=5FD`mB~pLd^(jb=H-(ekf;Ur6k6IXF$xhN!9w0Nx=f-*r)Gk=^k!%A&6Gpy{Ymwq7@+h9?% zA94rb&PO3~j~c%>-8wVVPb>Xk4$z$md{XvpP)VSTyq*$)C|@Rl0WQcR@!G;8 z_`=e+W0wK;B8a^JzV(T&O1_zAZB-WmdLq1GU7xfo6<*&Z_TO1HtbSp7t-ZV{Gtjao z*m7~67Z5~Q$v|@(aT@%%@ZxWjejzWA!^vDl=D$!mzipzt?T`x86KIE-XGq_zF*N8Y zss=xRWKe0|D_gR0@Aqd4KUorZC17@stF)Fe#clJaZ4)!M`=mnTyP3WRt{4JcW>@-^b$o}N#}qIfJkVCM6dJrdx~115 zD=%yV>x*g~&jY!QkYXkGgPLZ3(c{ZG=h|uPUrPNNhu_H3p5nOR0Atc~<>O?lTFl2- z3bo5z0(H3-=3*NOD`lfnMj)Yw12Me#hr&7BhV1&v2fTT6ECsvEPgd$_j)*0Dx@B~z z>wfX>y!Fk~1*enq4kh$cuahitUS%%XlRY>NZ2Jyv`d+zFk88lj5mIfRrYTJbTr6c@ zo(lYDC@DqX^7>4tX?TaG=g6z6Gobi_wVq!M+Y*_ewrc|79jxOTP%jtD@SKt$cbh2u zowk*G%_|_j&%cE=%LmMHsOxeY5b#M0s=vj+VFY&!nI*Lxi932NC;H5e2>i-_aNbv{ z>?%b$5ld6Lvz-vEb z;SukX$u@*)9T+}Fs|c4!d1=`X?F(L_Jszv93jIw~jVwN3B=xHQlEUO;LLos@0-)+8 zJo8h>r*iF@lSP=nvE|4M4!6YB^tJvzsC}cJkLKQD;i?ZRM=B4Ow|tJ5lcVOZWMQCu zisR0%xg{@w7xoO!!QOK8)Ntt;{9yoMA@;U>jV{in;z?|odP znHT8mfmCzr(_8X2DE&|G*M$h1Dc%N60p{sqI22J^Ir7gMwJTu|K8H|Bgk6QS%<$c> zP~Gg;y4Nxu(KUz=%hVv+25S!Tx;z(HXR4*%EKIXGQ>&MQm551F(7!Uh4zrP zU#nsNZUD=%ZvlBHZ@d|%5pV68S$R$d1h&#z88(Y)FULNpnEU0jXB1fpd!KnVa5DEA z6oHXQD}mg{FKH{*uFG|7?=#1P3}>>muB6dylGjYY$Bkr|iW{k70*@n05&te)D4B~S z2T#V?5<74pDo!6dbCO^sE>_#t9gmiDPIW8d|13;AbjvFSG?=6CffK?PYu~C0C^&E} z{}O&_Da-X&hlPJw24@|e2emH>d{f)FDr~KPr@N$|8L8ROkqlxmNfyvXSU z?MfHstaY1Jx=8?;2Pe9%?RULe)G%+`Jf1az=nG!3p}!6Dyv){7w=YLfKst5~NNY32 zJT7sN#DTZVpiqZ8*ANTCSr5BXBUp+)!D3han1w4%czM#h{u!~S9%eqTLu;>2H%-Mf_$+M>hIE(5?MPQn}Q|qH6*+BOJ-zu;Vcop7u zKsrB*t20&EY!Gkx9LU`#r7V7I!dKdkd&xXA6J3-(X(8nU*FC;FdI3Ng zfUkhb0?$XN;_eh`CfKd$Q|(zEDXo`xKWYF1c9kQGG@1DQ&_Q0?RYBGZ%^Ilw`0@PI z07U0#x>Y@>2%7X_Utz@}5xgFTK0SU!&|VT2)zW(}h#0WTtRW{53^H^suqhO3lni4< z1@0hZXK1}Zj{VVDRTrbS(5G{)L%D(>20ki5lA2ya$^BN6f$Ic~R=zYD+pBsLIRAmX zX+qN@xi&&(2~yEspssn_y5eo0n~+EVxZ9O4)bDj3!G!j=Hu=q;G!U*JKHJ_gWkCqn z1{5A!dpLUxyic4VUCC%$?o)svA!b`gFBw`aEGK^zBk0Xt%bOCvadm?dQ?Kj@+dgmI z{UL)eS8^CujE3sGghHQhip*ng&92U@#9~Ga6KDwSrW}u_otJOHMwLvMF(hwA1e-1% zc<+4@JAb?mEwWz6+j~UKulDe7&=8<2Kow+P%&r|clAD|AHUmx(N11z zRSUApc%1LB2;)Hh+5pC|X$@Yl{n@=)89sUQ;oC*egLJq0{xNVM)pM?cr)0(?0c=J$ zKrQsH*(-zdcQn^(rStg{%%LK6UN-Q6bYK?8XquDx_lVP8|C*Q%8iQH+BR2z_11tgL`rF8&1e)XlXI+VXX66x%^aHY5V3G zXW{M2X#M7%?yGXeGg||4NX=k!-S^guStD&)=uIGo?TG(yuhWT~`?T09xbvoiWuRrk ztfQ5R5ISlt-TEUBP`df+F?|Dkf3J0+ivm669@}kQR^s?qEa*yTu9D`ZtiblDW3TxX zsIFJkXtC$7Cb<0AMQ=*F+@GCr-|lu|s_($4UTJ>S{*w|cIYLXszpEsvMJrxG(PX!S z03*xn59r~C=75Wf7!0+l0-E?k&>pe+?lO~P#g>2`8`z7$w-_N-M!Q(j-pWUs)Bl{& zomQMfA4wxlaIPcfDx19cUJJ~rh&}OAf1)RK0q8A8`*;?S1}KE>iq3uxqFT&CJH(jo{)s zi`2NEHPio5XPV#hH_WeJxskFKYM@v3A}B#ZQhfJ5g}zjv&y+A>VSpR}j_9KCjsJKnVYx z18uKJX$CcCe(UM6e&?-YOnnFg9xNx^p{>m~@ecCStvX*%xvUCA_kBMA zh)V0o={*LG`JwD?R{ulq{*6^uuY5VUZ#f8!2bAR*u_;$GHRYmD|3h~MLL}VY3+tuf zpn_ToK7!wI=oJZ5yGU#*LJ|2hnmcp(ouK=|SHBT4unUrpF;m3?v1%!BWg8-b69J<4T~XRz)7%6;+&hxDT~koh*92p{|q9G?q(%LW}gX{K_A|U)?_RvAi=d&Z?@t zpf@(#xNNpGSF^d3&~{~=6;w~OaN-lG)@&QxW)HG86=x+w|JyjDJbN)<1EmLbcOFej zjyMO$Wb$dT`K`7h{iWA7EkJQ3*n=s`lFAe8v>_bOAuxufxf;Oi-Zv2q8aY8MI?W?5 zn@8-%#lOlZT^FXiP6PW@hTquqflD@*(Y-PnS0Wa#m)yOx_XbFqdWgAFxGcgfl2&bs z`Dv-_+9KT50UG}cUj(;8^gL$&1r_6r?awr3zLlJ$kJDV?dX1)nyL*}q8 z)X?j=dPqMT(VA%YkKL_37~Woffk_fMd8Oy+L#-4kJ(;%6DgDjg<=*c-`z{KOVgYRs z(q_kV%;=v+ahom_Nel%~>JBdH2r)174k_B}XECS4vx1}^aokHCedCSAoM-SPyz1cI zi$_cZ;W1{ddY~2eJ>A8%*ym^^a)?mmBUU0d!Biz%W_Zh)jnlMV>SN8X(UU598L*o` zVjHHLqnhq!o9@xxo2wSjSzj+1xIm`KDXCdYj`gBbSpQbZBok=1!w>h+f6()EfVDa& zEAkADER&sj(jRz?7$C=B6E6*GNqDhD()!o_O8j1 zl-++xCyvNoMhovaf+)K|t6EHYYGh-clI$6eMB*$*TCV{Ks!313DR+(HZ;8>-bkqCA z?lW=Xaj^|cjL-%NHT+B?eQkk%?o-G7T%2p!Uj}U};eRdT9}6)Pp=5iDujJQrV5Jqh zeAV?35&$8>j*YK&=x;<`j{@PcLG=G}U%Ghh)xSiLg@Di&>be!)VlYTTIs2&w=c6Iu z5uc%3l$Vn0xcmAUF~MjJ8sE4D{*)v@R7feNEwS7@vq`_UKXdAvFjPDrWo&Jc+1D|z z3T#n>$V6~Pkw&}D4?WZyp)0u-Nca>AY(2Ho*C;HP*e>V`p2il7PUgY~T7qL0rPTBW zGB4yu2VX9KEd&dvBx+fokvbn0u$NhXVFzU~UrZ~f6j=v3ejoMwv}m3bK5RoibM9Vfj%?Hfae{(?`iOc zX<61O=>K8AS~>Tp=t$SiA1u^X2vprKP zx;in`sR2r?ug~8;OWCID9@h~0|7NU9pojt>+fkhXNfO0jmaQb{j&Jd#XQVI{_`OX9 zx%e$D_^>i&<<`Q&P{e;gvJz`&-8INNB1|Ozlu#Naf4N;7zJibP20jl+k&{88HQa*X zE(H~@z$6jK0&2Qe;K#7C*A{W=#C)elR(!=rLGB7K#cyfD$g1nJu?sh#SpXbEzhghu zV@)-sfON%QOuMBMkcviWB&nJKJ_Y)WRTZVX76t`Rv#whCRZG%I2#u+}kmp$h@W(d6RigY0fs z(t+wTN9AsQ>b5|ORWmng_9r6^Um_`@FG6%yVYrp&@{Gbb`1wS-VFL#6# zHq=*ePfn7T$_8kUjsqY+atB0_U+k`+b@Uhb@K0O`5zZXyLneefxO&ZT;6UKuApcld zvgOmjmA@jw<1bCWujnYU`4+Zr1_N?V1(ftAVN=T`1G+f2< z8EZ@p#5AwRg3VTfvKl(PsAEOh6haBwbi;yk%%?N%qOaCGc!&K(MLztWG%}CbV_>2*;LeK7EGsc+|Hspe@0Y>rG!vR+7Ah!rO)i&ZDVS5 z$}L`U2=J6WiyrT=Oi%2Sk&+3$;`HlubX5}L9Psl#FWg3C#wSz5&CB5?#bi5_R&16h zKEF#XdN?;u>!|H<%n$tFXExp9s{wGlE&H2SyI%LEyssG6+D4K%D6;0wc>8H1k!C`) zq$^)K9lN|$j3Vzas1kh%A~eZO?mzARBMe*aq6U$^&|+&ka86%_tp~AffwJ zCCn@-IONXBl4pBzJD>91e21Y$jw>o!3T-1*hEPZxsk+Af)~W2^@k>_U|FxWS?FoP> zK9#iOU4Z{4poP5!e(RIK$>@0zLL*}dO3{)Xv=JIH$?etWn7w|><(_v`!xBd9AkxdKLhHe-jHd z8(0&&F$z{VAYXTSC63eMwqs@;EHVx#X^xtv15^8$*+)mTw%e;HXX8zGx3fxG+ywrR zFZ%vW-ddyT+WQ3Y{fHHp8anF74wVYmGvj(~-Q?MPSK~&rM2>AgCr!DfEwk6qeIajK zmSu2dUTm(@)F)H8O0;=2m};NTm~7fWf2j=gTRaloRC3FV%^#LSAUqv49-YP1b2a5WzYyE>D z%)(ERH|ALUmW03O2%V)C<$aQvnB*fjqZm_()wl5nsJ$!%)W_f%e}4_|1qB6?VS&~y zM!`$cA49s^>mt3%-ghsh4xfYceJ~WI{pY$x>2v22WuPWA1L;F1Rzucr6}@@A;f&B8 zdS&s~27?*b9U96kT)XAD3*`jVU7WcTq~FgidNQJLG?ya*i)I zXfy~}rvz2vbKr&L(`uJ$kp%tWAi(hG1J}3-YfFZ+j}#^UE7#}V6D$1x(|6@hG!aCaST=%Ox;&b%5g3oI z6D*S#L%5*F#{+hPc_z~F%A^|rJ8K?es@veV0Mdl<$R9p7!et_F$c<2Iy6JiKTmy9j@3+M}!7OV1r2l<1>9 zW9omcwUVVMMlsg5wpDMe=dTHv_5yW|Ex66XCa)}E8)N7RH>wmoC9e#wuwQg6fHLeJ zu>1U#<$Q$+4I_&bPj+d`}VutLLg~(4|p~nJ1GW2Lu^V{G#`6^z>ZxhflDql20_}6hGZVN z({4;f43oIKmO|?( z#8)9>3T0rs1;KUpjYyZ$1{fBi>ew)~?Wfs2eg~uv;$rAG_DP#Qm4n3%gA;R^}M#l z<%N^C#B|7GOew`FV&IsP8zK`6{UyK$P-`ObLSb5@#D#x(d9_ZnS=sGPPCCnB>YGvV zhZL1EFaj>dn-cQ@poO8@s_)BivxGhW|!ScE)71k0a4E@ywY}k*LhgeuTb}M zzJaDTw*KvkdV~H>&>;{9W5LWC3alvi1!Y}{2=RQQO$u!VDCL3yY|+D>QR}e7uTA>x zz~Br_pNv7_lrJp=EnmN!qJ0WPum^k0hWqd7bNd*4FH5)fW=jM@^IAYNS6)+M4hU1; zAIi0nrQV#FxhGp=Btu%Y_X+KJ@Nf2K4X z?ac-tNlnD#UJl$^e{&?);?~&xpdRP@2n;8hYzALi?d}d`n`ijiX#<s~qRKkUh zwjxS{mM$coc@+fQ!2AaO-5R<7q2fCJi$|E5mNk1pU&sr`fsCBSzU-R1n3Eh-@`Eev zq-`?TP{|6k%Caf%?sGwbfgw3sVXhMjT)$?Mb^?dJ4l$|2o=T7~4J5JjX^doFo%QIy ziZhRLOsO6BXSXJOHbt!z_!*`eq~NISsSQxNv|zxZMnzZkmu&x0w=pZNd&1hwndW~s z+MlfxgMYoBDI$fMA|brezf3G&Xa5acmeNOQR93m2_Kg?a_u`0g{iBCGpb#ybcN{Nd z7dE7N{=M$D0l$Cxr-G?~(!=r7(sPkRHcjiOFFt`PWIo>K>$_3nj9@4UwPeMEfBQws zX%485K(Ygv;@85wx#udir075a@tzk9@wVR%|8GOK2(@f#i`w+io3j-5ang`XCGvXk z8gc7(}%ri_~Yz{BJlDJY`@Xto&WDY;d|Em9MC}Y02I{8)On?Hn0~m6aZ2#b=`x)Ai z+4PpFtnppbR1hf1{+>|YMMC#;i6<1SK-CV1t3tFrV)_U~WgOkL{*XJBaUKzsA+kFx zHYuc-l$+2_IzXiz+qAKA>8c@E<5Yx;6V0I z1$X-z2Qg*eJX;|FZvCGbpVbQv9tUCfV^{rCMF>U#uc3rvdxJN9IPwj8P^Zy`Ja61P zky8Uw0GOpy(>kU}^}u)QQ+EM?xr7a5o^rhqm9?9?dS)vCX*}w34F5ZIBQ3^2CxfOT z-s5?znv0kn<&;_U(8>o;92Z@28e^>oeLT9RZzQYj zyN3xQ??H!)NbEPRWYjfr1cqBO5%0csr|nZg`rxtf)bH4Lwl^HXs>B7;m|Ivl*;!0> z_!<4rP%$awbNqg$2cH{|P9%nvJl3vqVnSN5yv&zA)?U|h(R*fgB0OnVg34-NlQ6er zXRo;)D>hjCpbi)HBCHz1&5r;D3KxTAe{Vpqy->(m7JtdvVXbrMheV=AjxQIX<_l@r zE5ayU!GIOK+U~GA>Ot9^6g&kMUK(lPUhOZHyD$^*>?t&1#YNAP*1%(uEM&JkIrP+- zK^AplN&ot)NJWl*3Dfm6V)L;lZIHI#ecjujr5=h~z=z}_(rL^}9f(N-1wORa+mesM z8FOa(llM6X>Pe)){8BsHU}^$x4y1oK)(DST3-XL-sJy)YKjw!1;DWS*W&h25=+Qy& zKmO43c;M?65rB^%@(D@vz8h_fVMvzAoSG>RvnUx42jMKh3;-{d+AQY^ByF$ZJm~R~ zicqwXEnF8$d=xpyUmJ2AqFnZs@YCHNXNec#asn5DbOaKxR$AB)xvuec8|X5FpkvI) zbfsHU!mzu-U9D{cnq_=OkQ&hqMZ1te-n}Y;PA(ROqvbfU%7)!u%Zdap`u;(ukV+p- zFd+KnA%n07t5S?zU$FudaR3__x~i-jC)RQQWckGtuo4Gr!8gAumnpU_EDkvYD{pwN z0*9My?(f0$YNw9JCwNmKHYHZI4TKhi!lBvmX>0Mp|O) zeZ|Jf{_Xy8A&6z3a5>0rIGC`S?Bs;-a#Z zrnY64B4dx~SABu+D%MXReO39=yH_7$`K#!eg7ho7p8`S(+8nn>DQa!r?l|h5{voiJ zrYicO8TOKShl~)V1r@+M1G}7CzEv}WejjOnrH2_u{_Z@cuC<|0dVlW?#vy(X|5~)w z@!pbcUNGp0gqo?tjU|duGqQ}C4$Ew*=`#8wEIR$byYI#WWBRXzpqxL5|JpdQ?t^1g60@I7+&^NfK3v6(0Fb7-Cf) z9r^~Os;a|wJt72{uQ2bWFPk)h&ZvX{DX7OhtL>sU7jfzf6^{%KWZ#>Rj63hNWihoq z6paom_|M#Qfixn>!DQkgC<_{6e#?dn#g+E#7}{T8Qq_&6-Zw3I78 zm|-Uni06h=0%$J&#aFasuDsLL+q91B#WBh-!VYPbcX{L?8}xw|&% z%rhVT|3EJq%?n}b+kC_hT0D*%pr;JDmYc^)wLLl-R=a>hs!4w^e?cn$_xb$!q7Xq| zK&QgK=0sW!U4VIS^?TT569=OA*nz`k#~_ke!qc|f!%_&i(9ZIWG z&rsP_%m|Iqg%AoG)*~YI9hrlW`r|B-gH2vg^)7*7=p-U|qk#Kj8h|S#$Y}TWVY5ja z2TrTd(tyHp)|_17A6DsIhV%sXi)j&LVY*?9)k#8?JnClSM5Od<%{&stBtJ%eDVMwgx2|);DZ=v<0pyTM!;g&G8ctZJ`*{IXf z^epvF>jAL}{#;w)EpBv@p}IHS)8KFQkLf3#ufCd)3ijZvcsBbWUT2rimgGYar8Ld` zvk&`f^`!0j2sLWG+Uv~fIPXQm6r~IJDaf7#+AzjE-Z$w_u5J^>-1>kBFj2c^D>Fik zJ#Dr7e57{~%^LD^S$7(jitsp(cDz`QbgWWh^~ICfMpsYJ-eQ;x(6d6?>ZfKG1B5?T+Bhc|magge;znxcZ3Yi&s9FVxBC{kgM zq1)Sq#z4{pb$+_jRa30}|J8Ke@lgMNykS&ARLCqd;q0B1?8^#?%m`<1LZ`B`$zEkw zwlmJmC}myFJ~Oh=YdKKFUOU*q|DzTU6bdnsQ08`!5o=mDNfcyY(z zS`mHGe{sjMyHY}nxjGF^ps}pbe@RkrLm--AmzkJDh6-7e=k`!#Ooc5uuj#%E!gY5ECI|V}vy-`+t zaQ*GCgewt}f!^DFDv55+O+DoAzw|N82mPM_;+_Z9Wk5drWxRZ@HpA zyNoyaUU)HrwJHy6$Ja-dfiCQ)pt1Lq$V=e1790jf#w6baLC02bVw(IWo1>bmZ9R@|fFD>7MmN-jB_UdhjAy>jbNgr*{2 za*C`gqt`Q%fa1}cfbW)uoySl|YXW&;uKo(ehvQiG`?{D|K<=Mcb0)j9R0l4Y@K$_sbcZ z*9A)v^>xZjI8nS{AG5BfZCV2LDFx$9nppYgRljBCEZFiv;9^-af4d;IjdBQ-$(@tNCfl7IFVx!WiAiMfj?}?^y++eK^1*!Lka26nAT{jKoS}ZT zlcE_hU$F=DiAn0?1XBQh8fBLA3{8k^gC@W7zZc2U{PQyOK)Lk`wz*E*$ygsZP_zJs z{{b|ef?P7g^$8AJ^1m8Hii%>wbTV^>le;pqahrS6u$Y+57M9VkCFD@kmMY4Bhw z@c@H4&r2LObQrhPak3b!#g*9VOYa&1()tw?&QaByQ`%(v8*i=nyiBx6jpK|8DE)n!=6$ndmyQPRfG zu&2i-CFj!*>LFa0&Uua@nFzRngtst-{7VQJ7l8OQ854rAw$4;$JAFKKq-|t_`tL;A zX$ljlVGfWYexm&dzm#o!51Wy<&@to`Tq1)cUtNDy3``h7=D1pHZy;s3X;1GQ^V2Y| zE?a6x;)$m?{8d9E-~+%oDb)iDpV#RcWVG1lqyTFJfmPKe@S}MqZPN4dIf`Qx4cYiy zgB{ncf}0fSMRi5`wwjDmt~pMy1aBysm&heQ8gBnv8Z%W#_ljh%QKr>cTk|nPknG(^O4qlh8do_ zoczqeVtlu%uPZ4E4B^;|V-k?9;t%GjKi0TPHUK4xQOq0?eQ&%tU)lAxCXdTGh6Z2o zx-n9w)w0AyQ!|lmp^S_N`#CvGnXE3CRQ&^D{mZ0gQM%(JsEd_`o5M=O@h`9i1*{iY z$p$fBZ`EVXKP|u<+7t|i2WSxiH*K>&1p-|Ks%SB)?xZJkbvQ1|n+_BbVVOCG=sw?A zW=D?J0-3y^5U96heozZWZ_0&7e2&pDw4v#?m^hwvV0~4Q`nE+SzChmu4+b+u}YGm@gPddpJgb*YD6G*yv?z& zS|VslC$G{I!tyG+TIJ`rTcF1@6X&<1Dg;)ksfAgvHyBytY=h6 zqc0Ar3hq2TKt{*Uf=R2KtIk_M6CbN=9-~ z$lT!A3##O>0PLU~9D>);P<-!$^M10uUZFf?74sd%dMBq=n=d_UU-#BqQnJIySnI$^ zt=KfTJ<1e^Ltg4#efISV)q*wKm;Q5bB^BJtNp-e7djJ_+ZhzgijKjJhZzVSUkeBt& z6^z-^&s9Hu)@HcOIc@P?_r((_gDVORFJrzN_667Ep}J?`+LxOPbf2FG=PO)rjbAs@ zexg*zfcf0cOqGZ;gDhT8pZ3B2zShJYkIbV4R%a18amBGncX*V~+Ek#+d7d1ft}#t7 z*(T~j64^7N84Ol7ZxAH45C?2|r&Jagi9oQbX+br?3i^|+o&&^ZOW;JmAu{obxmgVQ zlvW4w1Q!>RaFu7$AXrlDK6jMO(vuv8Y{+o76ZfZk<2d z`fit7@*=d9yMXB>PFnQfb2Xd0kDa*e<$59 zTjSqNIN?}eE*CDRoU~V<9o7QNKEIh4(z%CO$v3lGP<#-e`ol|i_2PGbQ6%NLD2{!Z!S~q`nI2Sb4vck}4QK-`CqEnjdPLieK806jy3% zbFIJB6>B11ATl)dC4wHn1;WZ1Cj>8AOYc>BZvS`HRWSP4T%+?*m-R|dRaT&aWX-2v!C@?O)_o~RuQs<6ys%Psp>f9rH@p(jKBHh73O-E_ zW1-zsUY?*IwV|G-2k|haq42WmXS*LI`32zG0HFwjd4U%7pZ3Kcpf_VINgZ0kZjaSc z#RU+)UA%{-c3OCK`*5^Zq%s+4Lnyi7U!>Ki{ggAcY^MCwNp-l~dHL*FA`rD)yMa;u z9$9LxzLe~U2;X0zw8j6ZKCLM zsNGTIi=xHk`)?r6!l(&hKp6lUO?@N24^|@FPyZ9w*dIIoH$Jtyh9+;+3`YrpvLM!Y z3Qk|Szxb@#fAz%H8+|g<1Myr|Z^{ldHTu5S1S6HBCX1v7;HqQ8_wv)@kadYZVfD2?W2p_&SLN3_h$KkHWEo$FiYI2XXJLz9>&neE6M8+n_L6Q~ zuI4K=kEFc8Vdu!P+xt&kb6{eCh?3!a--DIF8KSt37h1BGp#ah8!EU1czKNE->066c zhB~S$q*?;^QY|DS{+I;oP*Me_$OIB9Myrz@+Gu@Naty2pGPU`&GE5r(p=(>=A^0*y zl;ipQ7gCXOA;4aW(Tyo@X&-G)dGklqsIo!OEqPmI>r{gcyRX1^r3UJ4P;M`(lWbGck z`-X6}g&es0NB$RfGxRGycsRt}>q`fx`z)#$vaN)sFN~3tr2P|-VRE(y^a0|e)&N(X zKH8PmbnBRt;`NLbtZ!F8XQM*7B7dj*^=IRnJ3HVQo$dQL@bcW@-szt@d-699pGWSA zWYlS2PR>T`J};7+J8b=$toG$1g+gmam&)4G&C45$_J8yv8Yjqh?k4+1HgA`AakwOf zE&SVH(~_ntDF}cNxq>LlzTOls)}f}+1f2}R8Bt4uH%;33(oGMK7DtS8K{l zg2e508dI4R_q!<^kdxS{<3(|4`JN&5kH1C*;69Qc7M^U!34-BveZUEJ?#zX(XS1fg zv1efRcUL5+PL)SjzgE31}_5)ecLOZsNbB<RVFl?%S=W)5bU8tP^ zG-9rCO%@JSzd)C*hjW$a``-G7;Peu31o zYh70&Q?}TP2ps|31q6+?=Q$iM-DFQgp$KwQeX$qK&&|>}Rl+grH_GwnM7NK!CM;tc zopC@8?2|#kaJ0ZTD#cOs>U?7mbjUQxoM7{tsfLR|A&B*_Yo#W!V4Wan!$f+CbN3}| zOc+H`XSywmZy6T2OaEf{{M7HL{FjbeF1=5?3WW$LHKyu|5tkaujtO)_+20tLx24TG zWSX?`CSfrSdzu??>a|)tE($O)k)%)j!C3%I3Lf$BRS3dqn$^zO^i=jW3hrHnH1(wS zv7WaRhGPS*vhG5PYrf>=S=E};`h;a|#%tM{k$T{R-?<&_BubG{-G53J{EmHX0B^-E zc6iP4@o8dV;NrS3UTHR|c^PWg8@D)l1J?X{(K8fb`fwlo+;HrJ4_ySH#z4MFEr*zF z>5;+iu`WF6F-(6fdEk?dnxO?_(*XxM4k=H+b-{#a^*H1Cc}gqh*#o0fP)8FbO}coC za(BiOIEd5evEj_N&Uz`E;fN{^sAGt2PQ#Ii#~kPN;G0##;&g5-XyLC_ zH4>GnFn>${N#W$}Vv~i7u>FSW%Z?yw0MA$<4%u0Jy)1(Q2N@8OB!hk=4_bIchi1#@ zH1sE^Xo!E-21!11Sybj4IC3vYp*NLBi^)E9!rx45Y`T@`sMx;G*~Z(gr~Jg)ThTvd zCg5+u2KMVdUPL>1rrI@QIZ`b~s>`-0}!|E!TKfmE8#K-hxaY0f?V=a!?!R<@tdCWC$sG1K8_+~gEJi~xS0-u3pk_4e3w)X;m) z-SZ~mYgDJXqm~D+WckHf#>fnJUKJFG5FF^Nd48iWi?{l}gg}(gm7O$d8l#^(J(!>= z$&-42l);=C=w^j#Hp)6D{@VrE??^mPD%AVuO3?n|0uxiU^}hfUokz7Bu6N8;e15zt zaCUcp_V_()?51dOZ=K0!1ov9%)2Or>g~=f22qi7d4Qk+5bmeldk=HH0pc2m|=^kPm z$5wF+<6mm1IzAf+dXv$_JZ# zvMAozl*ix-PynWY8ad5X;KhvQyGQSDV>5?YV=X2>Sl_2CKw#y9IEq_Y4W^<$R<+ui z`|EnGsoIskJhgcz2YX(NHcHQ=JaTEmbr|p-6{XQsPkd+0<7v*^G~z%YC!e8w&?Guv zSL(;Dw@T#qvdqK}*8GFgk&5)r@pv>x&AYIu@3GzjP{Z8xq=`>oS4^w*pIe15O+>vQ zzIX;2)h|=Qil0V=>Cn5r0i8s!jThRQlyf9I?c5q?7(d)7f}_G|TF8Vl0abd}rByTc zI;vrl&VCr=$8`d;DH(A+_60Es=`3WSw%(SIU(g9yV4p;si`pOkSHN9;LwwnOLp!~* zB6FJn9g1TH5mjq)_qTflSk3I(^><~B5dv?fc89r++*dFJnXim zQI&a)x8?h%&PJ0+4NR_d2I=yPZ1+5i~U3UsJ@D& zI5C3YvhVTLJ(5$6 zi0p?ehsmn8=SwBjiylE13o*rT-dl+(O;Gk5jXY|PrQ=P*?!8PG91}ZtacMoFn@)3- znN9GMI&(&_5p1NwE%wMIGuIsAgShXFHK!~I0O6AQs&o9h`)Y;Tt}k;aW2z*SD-Q*= z5=}`v78|dBIKOAzs@|lQdt^oZHM={6J5Utsu;8Vml-g9r~k z4E%d+Twd#UfpZlAh#o(t)E3`pMCLnWxhH=~HNClSWVV$_W}E%KcI8hiWz<>#bED+f z^B<;L9<(kkj7F28arVl@cD37UjvuC3s>)Tnl7T^N{4dPw1YH;9 z1QI<24slNMDF>VP($u<7-Q%I7LW+JGJW-t*?Wy7|B%9=&2xB;S)WIRsU2k&8euqFg z<;J(!Xg%L2zWP0zLFx0|NTe9HE!WJGA%DATVC`i__T=n@?`5vukqw#B^=3mh0xvqM z@vw0NxMb7^h2GFU?SB>w=+iMwbYgr1x7E z=mWR@t$i@HiNF)^!KW|b*2nLxkoiz0bsY8PAuT;Wt5qnSL}Eh!eK4}_Ygwk;o=tQ+ zA4fnj_!>qV+^5z`HR1Tr4duH#;9+#vU(@LX*2VibZ7VjS2b}U;#D_B^#n4M-9*)H zd_c09`tE4paQsh{)F(~?P!o}E7V!}`feMI1h;3?ON2 z1*KdqN3btK<+Pvxq0Y*KqoXpz(jE3M_Q6Az`xwk+;53&{dA~`Ad8S~WuyQ?}R96=h zEv9hCfNBQWZ$#7g-epvFf3__V9bc$pA1~Kq+4Kwz_;Bva634!hlBrYJnVWux> z4oQypmN@bdLX2V$Im6DtJ$lcRHd0*z3jnf*-H4QeEa!?zoM`TUcCn)bRSDuxkLAK?tt5u# z&BUd^n&LSLLsW+$AqVAn+JJp9j`HLjy4v!b-}{wJEs@qpFWoY+A7gsOwL}12X%}py zC0;RjLw=J&~YH|pIM`ZnH?wzJXE*b@lgScF98ibW3Brc zdyg_(jm%^%z>Ey&JV=7IMlL#wsf)=Z&ns(qw)Q1K#I)!C%1IH>?~vF^S(U_Icjd8N zxMB*RE>sM2W#If2e>^&+rvFt(*MN8(fk4^(RWNojQ@aAT>=5!;sOuLL|Vgi}aMU1GkK+Fq&vzx}(Czwk%ldt{wB4d;6$JyZQj zibfi;>wA!8u1?H>e&Af@RKGKU_Xce1;LfH|$er24@?$}`{-g{xaXW4ft}hFV_R*i* z=KuTZNWd=An@_LghI)ks1h@eqkj%Ow`0|uV>nNGDN_a4S7M;-zMQ$Wg5W z+-;2Ig80JldI+w1ao9<9pFy7fL@b7ev5Baft8TuS-_C9!k}j&+s^9wpM#RUu<1}C9 z;JH@`Buf)5Fhu((?`_+&17^LYq!n__k{_qe;WuoB3fB8mTeGI~^!KV+ho-uwjeN#Z7Tw?KHPb< zq;Z521ZSwDL*_xnvDq&Q;S>x0tyNROxRr7M0~+%s#$)xfmrsh-{tZ0dP1lo6#;Alw z;zIv3RiM|dD=s%=eEby+sxXqkmJ`ys-G{m)RJo2?`Z&!&pFV-oQQU45=os|#`RE}j zH+_oGTR6}6{45I|kNm=LmR9+;sPk5lxZb96Y{E4Z zhdnkwY3+k_&dy4QK*uREO{v2_Q4R~kOnK0qrGAfatUojI`kxdY6YN&O!0YhKf=io! z4>#5lZPCeN-51*oWB*mCFjhv25z(75XB10aTZ&2*Cfzn#{lKO9^^7wb8OU^cwmQH< z0D}87iiat#+rfZZbC`vGt05cZvG}I8VUK|-fW+u5!RhvRneFWXj?yt9O4d$#vi)~> z-0`F^R17Q!2zY4nMNoxmn2#c4*#vt$sv=^0Z@o$?vBtw%%nt%+k$hDHdo`2)UYW)4 zRX2z6B|tz0p$Zk}#nzzbBbE6F`yop0U!My>LjbbF=`CI_y8X)4~1~^c}Ud(q+IVJa)CKvm$B1_^q*T4S7sS~?PPCqy> zqkDx?rS$0+i>S%5>c2A^o6)?REQ3>s26Zb*v@lkJDlXc2ap#Ep38BIk?_unoW3`E* zpet1(l=Q+xY)u||r`%c2(1D#Xfbq)SB%JA4F})2&PP|vtK{x|$pvdvYd>pYjRp=q>#w+vnrzAv`7(}|MmRE8YVMvPf5rraJqBMUghb>nrt^_R3)G>Ae@!8Jf{h1`|7%w}k zp`IkN4X-K6hNskv*Mh!Lu*;$8w~m_Q!|3Eui{pWstP~`uf{LO$Xg;e!pD_yPvJr}k z;C*|C*RE!_L^NFS@xf%6A04-oi@&tFD>ZYUk?eZo5idkaW$^fee&qTgE|%&`I)Ms; z<|u@rrF0iv*cpCeOV}qpPhBJvwGr&NwEAT@MmiBxRVTUUe$1`>gI>RuK= z+a*P#`%tT)#M%4p3xX}psIse|Or7>ebJPf;ihT+Tj8)|c9km+kB;ETl-BI$1_ZTbAt?pgI;-Rpva zr}L=KCY_?n4Ndu!s>K`C0UWZOyri;Wp!pK^_qE>a{qsrS2KMix*91ydqIkaU>F3q$ z>G#DwU_)o+9ut}BeLd;KAYT;|NX9#d3op_rf1-%VzYjUKoEtkj&dzM)EVl1o1$47$ zk%%Q<`2h>LbNoa5L}g`qu`THb#S*&$5sY)J&47AZE&A50h_o5nmGlGfyhc8fNtWFH zV-fy3#h4`o{C5lgHt?<yP+u+qUIBXD#Lc`X;(_@Y_9BZS6Pd>V3VB$WVU~wF+l|R74yVKv+sgRY zg+dZObm!ca4~Q(H)~sD%hQv2OL87ZN;+`i6qlC>Gb?mf8jXM(3Nx;H$}60r>RSjd3>we!d2CFmueYlDI3gB2PH@ zh+x^pwr=J4x^ideAv#MDELprsh081l##%_G zdhwgqeNUQX&$gpux!2IUXUDxA)|4*+*_xW zXoJmT&uGi2`p;o!<*A&&Wxam^BUOxI>GxfY19q!PuhwLup zxthNpA52*wy?%R{$SM-*9fzErJ41H^Kd5I$skD5Yk*lq7B>yOf& zPkc`$=22@#n!A}9xzAHKI#y82B~BDazDpJC5^Sef7q6e!pSX3uiY8y+2bdFBCO{}7 z$0u;F{d@W}d96HovnNDVMRfeDAqC8t!DIsV?cf`wk($YbzEEGUl57Y>Uc#3yFPqO< zMSL#SVJ>uBa)=`t6rz?7<2K1|clS$W-KLHPd?J_in-<2zl+`8ZLspyr99JE z#r#iZln{*9XRubFo+46F#pqEs(waAs1C|z)u5w2F+E)-{F}^tKx@mDNyT6C}N9ai^ zy~~Q@A?3Mq=T1(%&RfKGs$LYl5!4rEutI!8hltjw#;G?j#FRTXx`!yj!m@+?;(*$M z!qRODgs>6v-tOJ^Q$7n5TvTo8&+mtl8*r}2IrVr#BvpuCX)@oz;qE)M1eJfH4$;<= z8vbF`hRTwntNqa)brq{BP4@kYo!#9LJhn4U(W=Pj=I7pS;@V%iU+!Z_muWLH@2TpJ zUHI~WX|m$dJbJ^f<(Wv;j!;^1Z5`Q@A)}XiqGS#YHztWyq{AKZJ%!YRA{3iwgD*;` zw^;Wxj^Q{fIjYNlS)j?{p8LEI4VH){;}kT{2n?J*!F($cbvyUlb$iELS8cnA{OOVF z646z%B_z6KP?Gz$X#ddh-&ExLS|lsKSFi#5&C6$Yj-W& zEK@^3iLwptcpIkf2S?xPZ-V=_T;%kkVtUlF*ZO;2-03S%pH|91lrH-ByFMuK$47m4 sDU^D*OX(F=Bo&gJhmHSO%443Js*HXAlB2v8SHN@0w^9~IN?3#c0i&2CcmMzZ diff --git a/CH6/CH6-1/db/BCD_to_decimal_decoder.cuda_io_sim_cache.31um_tt_1200mv_85c_slow.hsd b/CH6/CH6-1/db/BCD_to_decimal_decoder.cuda_io_sim_cache.31um_tt_1200mv_85c_slow.hsd deleted file mode 100644 index fa762e05d29d8402cf06277a697c82eb03492361..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 382865 zcmV*pKt{h5000233jqKC0001L0BQg;00011WpZ4R5F*Y(F zF)%MOGcPhQF*6`jN+4HdVjx9iX>@6CZo3L40000001eg!000000GI**0000000000 z0Fl=P000000C)lHy$jH8X;v22N*I}8AO(S#ib#$jCebrr*!RmMRSa~?E#oDpTSO@O zc)I(XnUk6R`lP!VrxRikFQ`Ki2&06-(!>-oSfv#LMF9#aKrSX_Oq@hXMFEk*OQJ;~ zP$VQ$dDdF*de?g2y}!Npch3Kx|L-%qr_TI)e|zos-S=m$XWc&Gsi&U$H2nKy{G*4EsEm#^2T$|R&lMkIh14DJztkt=<4$M zLp21!0)n*@Y|DlO>ziXU)I%oNIKi=Py7KwDx;fU>kdN3p!M3PI2x7!;sM>7A&I$J2 z*ot6N)O9A&DdpvW#J^dvM4IP zko7Q3B<%*^MpQ$~V-8hUHTjtS=8Q#Iv~)gwUyS(`DwDe-*Rr`ev}KiF^wQs+VW5kR zt3I^--O91W3eVGFA&zkDbv% zVE_J5b$oLruMW`25zixznqQHC)YpC~-AAoO^Y^Q{J_0jju-8-MIJa2VOng zd*#);AGrJKjo0tLeD`p?d*@Ypf^WZc_x*R?IN(qYdtZCaq+WjI z_{u@0Xyx@+j`v^0koWH5dv@>cSlnQdIH{L8lFX50(gS<_)jP-6?!SKjmE&#RAcx4( zx;Peg49Sts@5@Ic`_eJlzAxYT;0NrAVD0t?UU~oPciwaNfCHy(@!XP4uO8p?!uxE$ zSMgbR;m(Wivz_I%L`;X6k>U%q;TDzKZxM-J#Vw+F>0a{VM2on$^AFSX7SXLzsR2J`m4)l|s_V0Y;jWzwJ8wY%YNdKva zK8G2PutNpp6h*0MbL8>>+c)(fx>0A5dQB9i1YNs& z1Y+Y;-47NS?wQ=Q7|KSS4yy&!v4Otcz*ciN7XPGC?y6#1Da`cDsqj`dimfD;`t z(SOFMtF-M@PfKnPv>wrFpe3go2+rN#p`mT)aRb&&w8Txi3S+4Fwm>uU33{R71Ii zRp?8*4wC9GyABeRNv{H4teW_w>mcv_{xADmt035v6@#$}k`AJRL*0P?APxph1F1iLYJxZw zY{!DA6{3F{hIcPW2&aNWQ&qrM9AigPaT~ z7E#emkaZB1>~SS=kTmz$ix!}go6t4E&}C><(G12avBPU;g)iFvwmUEBsQOn>)sWW2 z8H2mmJkwws<5-JUepww#4=GTS$^;UHVKPVo=To*0gP_%woyQ;{g_0dS=m2xe-tXTM zh&v31Kw@R{x?vbls>EX#F%X&+XbQK#pqkCk>u!G>uV*QMiNI99KdY&3|J1C<1xrqc zHHL_xxLPpl&&Sa4gq|?HyvctRMk3jFAMZT`q7J^mVla=ZP*-TWysu{%a9eS|fsUTa4L9its3R}oyRpo;Gjnrf8N$cLr@Z_OhXeGZWx$oq~x=rkfM z?d%vmkaxofUp#9iHPAObnFPo?L^d$d-6O&ypF08ap{U>lfNkFoRW(r#=qs&2j;IN; z**EOR-h+=*m92hb-$ z1?N)k(3m`3P$8%wp(`qWr%i+6b*Dklo9tHk@|Z#aLcV_pb3eRFyOywP37%Hp9$E3E zoBRLOr~koU_(e1K1wV4iyalcT3Mzc=dicgU`e&Xp?+8yJI1WWCh!xlz@B*GPkDghW z-pmWYy%PLi|)mF(&s5dd`Nh^a^kdpOSh?dhSB1!})WM1nu{ zRqXfh{UDMDY{EO>=XH?vD((Lo)Q9*wGxb>~IEUkxc|je>gc*mRv&yhR>KNntY~E~% z_5<6$0RK68&t$xZe^y)_8s=;}jN-G{_DAId0M|)O9{2z}QZ5LQwMcisv~G9}^&t%r z06%|DOd>loY&Z$yF!<+)#hvYc0zKy83gAq5)L=0UfNbs+MeFv6+&$`FKWP$|$ zD%g#sBuqd}>cnGiQ#1UVWI+*w0N@dtKsF?k1W^*s4F+Hw0}?^f(_yw>C6R#uy$ECC zng<2R%}(&Ik{!GoJUb*O7oFihO4cLd80cam4skNOzd!9zP*w?MFN-FzZi_w7*jlg0kS94m(G1fgAz64D_Dk z1IDpDl;r@8#JmjYD*QL}i8wnCAiN?ga7BLnt8h;5@dHTJ*mjF{jpA}Nil6w7Km5aA zZG?glaHri4Yw-gJNoNoqR^kWxvVm&COudAUdvAiLwfnk5RwEE=K++g0j6G$ALuIz z#K1u!2yx;f-~g*Ic}P8lB=mqZZelA^GZ4n80EI{T%Ugn3{s3urew%Jz$l5c^WGqEI zz5Ml?m&Cktl$xK99}71htvl3t#F%&T z(kG}7qwyRNX12#24nwYiAg9ea5Twl(73a3@Ah?4d*9C~V+m}{4;7NCI|C&$x|Ng;; z-Wowr$N_b5E8#hoeJ!MLS=ExE9X90*H(bVW%Yr>F5fue&^EC53!#%=roe6pdwHr~z zg}K(g!a_PCeckjJJMpa(6<$sRJ;U7>4bs(!y(O+Y8__e|ZCMkng1m(ekkio#!>t8; zI$Wmw@+1uRh?*VYY?|OWwhNgu++|6jb##*?8{%Q@p&U~4Z*mW^Z< zb_Fz>{5btB>MO)Y$e1&J0Dq5K&GSdbJt+C~IpWl;I6LAGSxG`V!{oMeZIvk56v3%d zH3-4X#`O27?GYc!$BCTE^eS!Ar&yVZ)B>+vP;DSqf;NW?vUHM%z{k?KxB>owLIg;8 z;+2)$dl?funBHYes~Q-^(It*~#04%p);Uzpmob^$LVkoNHPm}-OZ2V^_dAM;Dh(n@6VC1cKkK1+y1y<$tqhMs)M0A z5N(c50<7U`MkhhGil7F>)b37#Cot?FeByRy!Ucv>A)%;nUTU$RzuF#u;NLbUfy98Q zQWnG21by)B7^yfNf0bg4ppR>7TV(h#L_?<#^(2guMIE-t;}8}}+(SgeB(0v5G8OE)h8+4(IFKG73WpsdeUMdMJp-14LSmSvmndhGMC>p{63CT)MSM&hxQM5-?JcrMt>@kA#$O2NxOUvD$3?Y@| z0ZEOjf8rK5!30GIP1SD^8=s#IQyY3ZfLkdjfOLsKF6fwB@m6uo|Mf7-%c1U^${50B zON^{}(7eg;=EUZu5oI=S=BmxB^U1IY+{#eNPc{$j=X~xn&W7FRe(^r{^R3tLtgd|2 z_Yk-3PFqy)-B3prQd>*iZo5UhUw!*6I;Yg_@Hv0;Q$A`z^KvsD!FnZwkO<~g>ort| zMacKE^}1By&RehO86fph+lFd`rzn}=?uhET0?;LD#HNBCcRN(NWgd90W}dfR7i;Dv zxC@s)B_9Iwk-3-8o!~AIT$&~z9X=GsSKLK@8?laI6@M2B@v%!GN-h=H;~=Lfxm00~ z%g3nXa)~{EgBD8cg;KXVCgSj^JP`PW5&?eM9YV0PoqOLDRC0*ffRLmS2D!0SJ7L}6 zQQ3M^3|MRMwe>`^2vL9=tN5!{qJQ}qK_}2UFDDuf&#*OuUzvfRu{fpxew8%oowM*9 zSIvg93);)sd@72U1V}J@o$ipZ+06bMH9_S5Ia&7L`jJQP_D7oGInDu)`LN~P{z%MA z0wf@iG6l45)Bro8+-e#ifvW{qg9S)%CI3-r8FB>ZR#fs&S2rLhX)O8$*p(Y+v0k$} z{^4u1a*KB5=5myq&wu;peTuLDH{Zvo0xWVxdla&j$N_11q&0NI8p0%caH`B^_W`_3 zkVpj;M2I~p4xB^Z3d)-xyYM8h2hR0{V)}%gI^tq6eNt{zaIuy?A*Tw4JV-)SaA1HemA}gFEe;vb^eN_oZGIVIXl#j_S zRh?b?5`fzXTAdq{xmlGgL66Yg8PFw3lm?YtRRt7hBfeS`q~8=bBX3V(n87zjLh{-Y z`U_SEZ2*YQ>tVQKg6jFie%;iKtA>WI1|7iPy+uQ=5tVpgK#PR<92{M zA;HYcj_hat-R7sr{=}iqrTyFi^ST3R9B-mCK*>2rXJOsfhLg)<-T~HV&SxpYgSvte zJ4>-0B`%z$*mjF{Am4tA&S5Ej>!13q` zx|w)J0&*2Zwj33hqcFvS3kCWJNdj^e3{7Mx0I-NFU5=g8Z-vF$ihkqm~syJHpTs z&`J8BIs_q5I3tZY2Af_bSK*mJ@_{7RM+i2A=B+MYkJm%m-Gg8>({345GV(6P(y=^C zT{b=|9#3s@@zxvMNlt;sXI3XIehlnLf^Xn|9&e%iM=jmMNfLI#u%gexcV@91KJ(>K zd`4D%5-^7~WN5^IIbb{D=gvp(@Eq^xeSOXFZMSGg@5@2&4}I>py?)ow`&1e|r3}Dm zMwPtfGW-{xMqe#t1F{*uB^!{KsrX%(?;_gQZEG4MV(+KS>J}@IU`GMzuOXxGxg~^ zhMd6E6UJgado*Si$f0pw6lc$)hjJd8+uj0J1KZEX+wl1Aczb>EcH1r5@%D1?_UHfT zzwpa@*%|>(SgZ-&?qzGxR9@WOM^9863<^*hMQvMb$pd}9Y*Nch^Kc8!Kz*b;-0o#- zX4;5uuXj#_`Nw<*x*dv-FWpYt#XrVQ^8ePm|K7K(6W3d7(xRsum2q9KNxN9Q))Ut~ z%B)LuROFE7q_8Khd-%pV$sGE6mkTDuH4^$cP`(3+oRi0%xE_jz+UXIw4stkSnLTm6 zSiCkNt{oSS^tf!ul(=533hRmM3#baqrf>`~Bi!R(Tm^Z>Q2u=`6rMpjWL@*}eBPNM zE(lw~ffxnujUy&V-qk2I=f0|v2zyEGGI z#}to`zS4C~5$aU=jq<0{5edEHhy|5>WkHGoPX!6RRj})+*gXXMrsX0n{ul|pRd8%N zO7}uTH&m4&1oeW1-X>U4|CM<`LT?r9s)3rxp%NOZsYvE~*hJ1`l*V$BJtcvYWErZu zI!THji({g456&Bs2%wWhHz!Hxt&$@={c;e+qA(vE)HFMK;3Q|h>Y$F0 zo`Af9y8acwP20p|P%ABFj#4h>*hqL-p6$k_@%1mm>4gFA?uEYi%yP@q;t(+_WV=^$pBUv& zM75XjHCoY^b}+siF#hZxf9E^?(A!rE*}8acvR>0LnDVw}MR z<2K|SX%O;r&w5%M<|Qn2;-+B-=Tm!H9P#1QvxG?OYSJ&w)8ZY9xx)~p5n+jDC*x`H zMGo83;vFSFlfr;-_bxAJn$Y4MYN|09cigT$y^sklj#`pZ_O%@wG-1yqJuTkV#1GK< zp!w^BYESMC0{TMaH~PRPV{QWY+YhqXZ~Tx(f8&P`Xhs-|wK)deOj;3wksV?A_JdxH%gnRa z1=nEov%`vysS*c-j?i}k$62G0R6eGAxDO-VLa9%Uti!zGwWt!({SoIr5)VdW%9T%M zcORbvI69J~>xo3kWcF<@%rSpqj`<67OkSAdmKWxjyfDZ3!eD9sg*i_18TnPf+~Exg zt8*09Z48^d8zOsLd0}2S`NmKSy`&T`W&bZ$3y_?Ab755-)dF_Wo}AI|QVn_Cat~0- zm$=^8bqTeI>AkZq#9Zsw?@tew+aCpc$r>ci`B2|@H`O9eiY3?d(D?mn-R+NLL|^+D z^?>W7yh6=ZrA4dqy6w*$2yL|<4zl@bvxjuJ2YT(eP9c1mDOC zk}@ZAoZ2-S8MN!YyV&(*D(w)b#M*5~^-;NB+m_V(<^A-OW_F94lD+6axod@u>e^Nm z5?6GjQgim1eT_LMu%Uzk5iw8V+X=xWa>TvHRK5E-tpMK6yGY|iV^_G$n!%7XxT1j6vWOm{UZVeB^CUx_1Wnz5sq^$-%{UtG5>_U@G z5Ts9(j%Z{fdMyyn;{y-{>07RXD0NH}8GLOc>S|?z^wCm5G=LKOrpI?C_}b!#^tDn! zINBOkg%JP4jide4{GAFX6AU5h-U#I`nR=5h0!@UF+fqb$&D3{g$nw8YHP2#IX?OU= zOjBN7%7^vq%X`{Z+Gp7Vw)d4v6oHiz5Nw(nObQvS$aM>=l?S@yqj?I(PzxzM+4kLJ zS^Xp%lI^{W?;f;*V?>Hq?;qdu!kri2XNbxmalwTix7{LwnX70w?T}Fx@tj5wP9CNy zt~zLxMZYgco5o9hWs#_V^c~;yBcu7hq%f71PzYtJQ28GyMJ?Cdu1W<7{f|6Fk=vjGkcSQC(~^e zzAq<`N!1(zp&diFsX6DAA^KCl{eSz&Pnn@8K14MXgGUiyp)n*C^;h%(4bMHxFKS5X z2jEdRKH~VwLCiXfkzj61bN|OyYVZ#f$&ro7Bw!GXjvj=2qLoiNHM3nhb%|ztY*7vs zQ_Ma*gJ~@lL`z4BN&zV?_MaUiVW^%pEKgu8g-@c>Q5wFo3V4iaR^LY<#{XrJlWrXb zqZm7Bz++1+@vt$IJdBKxaMCusEv;kSZY^HDwHTh-mCq>TOu+ql(_}?_%JN0G7Ik3!oWD1(Zx;WZDk&{qAq7J*a1^T-6V{PqZIx8^q?5G zjK1-xAnf)BxtxTdK_ES0TDSeVM7~?)%VX|dj>>b{7qmHc$&r{njf&dp3Jx#MiO;xl zq`OXVg*w5uTeKVZ+i%f1H1_zfe&(0_lx1It4y~@>piv{Bf}^G3mV>KT3jTym!4<56 z9bBA19SGJE@8lcAFpV7yXVj9fBuc$PoH`)S3-U)K2=*2F!3&BaPAL;)8e0Vc+zfcs z(vLvYkH{aIAUJjdBEH$VjF$A7pf~Op`{!_gtDa1aA`LX+P?V_2lupe$fnFc*=IDk) zG=Ed0WI`tC+u{re42Sl>Eo`P^ab$i`$RLp<}6bOIb_Uxf~Nb{jc~ zK#vo>c!=t!*-`&L8FQ0Pz^EbshI_aV$O1=u zBHWM;HKHY3!rc(}bRRmT9`PgAjUYpl8-n}~_jn&-a?#K>llK4`3h-GThr`g}f#DYc zfgY3D-2;9Y+L3CD(BsfSO->&%(OJTnZB>ynNdXx(yQlnH$c}5B9WfFExdN`Bdy89ilUxC2IJMv_^8REhL}Y29>aO2zQu zGDzI0lT;Q?J#Z>LuiO6I*V0xclfw>25;xrCK;}hqIC*9Uk`EqlW(Kk&l2jCAW+dm& zsTS`1dEK2bju&4R%j`g=N8*!g_(SM2J80eQPtQ|aedg(w*3lsdvDd|oTUhh0J+X>3 z7`0(;;aO}^ztIvoaB*9Jf&Cy)YqXH$;_GT+1I1*D7+q+_4VgEQt&W1zc-VQlIN+4@ z62D?B%trgiG>DZjN!fMJ>#rQ|zldPny|2l%O2T}?FCnZZ z9+;V&kPk|P>|lI3V0`Omx~~{4n^c0ePiMlcwDd$1OsV07`cR=VdIsvrMFbTiESpi$od8TR~A#sf1u7`b-#v_t6jTXc>+Th%}M z74I>o#RLNHawvf*n-YS$Vlw{JdDMaC@;9?gNf>0G)>_S3;3Mx3lug@_v{n&@X z+%`2qs0#KtHm+vXw zxtAM(kb_etAEglpquff#BbGT)!y&+JhJgI*r05a!-f)d%xiO z-xfP}2!)_lYakR*<+`ak4RUCzP=_{b3RY_rtQrcRhI!jGsMVJiEb_#!E2=wXh&jAo z3l`NV#Ls*#exPm>zOqq5{8n8rf+*&fPwk0cR5&DZ8VoT?_)~znACY70!_;kwSamLe zgglRQ>Q$DOSWUtc&YcJgn*HF4_j_7a`dkFrJf||VAWyE!?#GZ%iQI}wlM9_P|9f)C zYy<7LRQ&I`XF1qbt|2-vAU2qWg(Z8TN!rT8*9&8juP*QV-lX zJ(=A-y66y5(OZM;Qq+S^eHPoFA4(43n|gc@o*&=*L2qJ^KtU~CTJ8g$-BVkY-Q)8! z)AK>6%>UXL%S++;S?KJ5RG89-)1&?{GFDyux@5p4{5Mi?E&dxRs%H`AXyuLiinc$p zVX7p5V5QeLa!rte>-I;A?>Q;8=tPmE6eFMwtu5RMLm&y*=k*w{ev5O5Y!&Gbl@F&! z06M;LemH(Z?fOwC3ZH*~G+lhc-3|~)=I3>rkUCKyTPVigQ^H1)k_>@#e_D6@Bj3U2 zU{gLva{eowEs$P;2z@s{I&GYje}N0;4+oDI(l0cJ`4+M(Vk?LC2Kqj5c0h&HqUXyS zwpoTGd@(Iqa_JkxPlsV%wCVUz|-%pLd-)WOPzp6m73&f~3br!J2x+ z(}#AZ%C+Z$7OPy-H%CWYC~}PiRr>6yBrc<*ezzzaE{UIZkgTjl$*QC#@HlUzO-tJ` zm0VSVNEvahue`>=n_Tko=`j9G8=)N+%4YNCiOwvu1=kC&%=NgM>2S5y-ztxGh+mA| z2SZ9&qJ&63v1u*5Zb}5J^KO+@4h7R&P~oA{o$rGQ&#HaOXQR?~{%AWnvGYeK9;T@R zMnE4rG|3{q!SM@mP1;cW!mYRencw}17sVef(n6j;>QL=~!EYG)GPSMKz(H^YXr>DM z8F~~p$=DvxABBGHumjPqJ?9EMe}smwlK7AAO^D@4i3-mjEmrS#B+1RTuZU70qF|`= zsXa-8vJXsCK$5*ae(qH?CHN%lisd}QO0BEj6Lw6Ya<*(>4FC#h!8|mZ2{u7C&Djhn$H zlI|fspuPw-hT&%ujbE}ea!)aemQdqhk<8w-?a!vx!r&)}n69v(&*pLU**xmAdDLg~ zIDIyk+nr`ln12@Yk9OW_3DpVw{wEb28Uv4oWJ z!|{vihRazeuvTZWPB`9#W&`V#(3C>&xCv95DKFDO{Zy0lXQIhne_prqM>LTlfUal%ktQWU-jWsc(5p2}G z(WrIjwX|1j)-UXIJQM48k&fDXnMP`Eswb2A6*XmDffIDGJ;Ixy!z}Sy|M^#csQXN- z_bVl)l-CLcr*4LPoB5J`uDI6C4w1OfI!KVJ0j6cRSUr)7Sr>Qhof0teWVE+QD^f#5L>% z8GC}@Vi7>muXV^0TpDX-@B*ea^Pp>S*(4pafT~AFi6Ciq4*SW)2^l2&o&;D@+SVnK z{_cz=>Kck<7kcYyNio$P^*E~zs-Voy9qy7b{ry1*cNg51CCnCoBR6YhtKD8nNmB-n zm*IaVjmOj>`gNj)seusN*Rj+z1e$*yrZx#r6+Gpae4$^cfOWu8yOg%`p2kDZ8HXoUVM`oF#pPNL8t{_*sK-FAxzs;{C66U`gE zX0qtXwB%u$Di}_^kVU`mH6h}D-Jkr!AOALUfJyYqB#;XQX@PGmN# zNDQ>9MPYFs5rtKALC0aBe0~9vgP4gXCkrng13f6E7-(pCa*46&3X9?Iz3h@enG5KA zZWc82714qgx+j{<>1q;Xu#h37(QDBukbK81^y6y2xMYdNx{~4JJAb6pFD~sH3rhyu zF7CT%*PXVguLcxD*kC;P*;_=YvV-EqH+X&9@vr_dSJpz(wG^KzX=aw~oL;^(>gyBwj+#os@6)u>D z51SCVLZGOEW7CBZJJk8k1PK&PaAUp5$Y5_q5lbO?_^Tte4yf>2wQp$SIV zlm%9H?Lv<>f>0f?U+U3D5UPUcR&%;V8$qZFE_P@m2#tcEzS#hU?~P4bw9A@LvMp+) zBmtD$qT(`FUXTcA9kFW~v~Op@5s@B5!rF*TKu5{4C^&!s#xx=5>k?aa?0rJGh`VljLg|Q2(7rkPUvzrQ%jjAU@H5@ritwlQ(3XJq4CIAb2n+@){ z)~^Y`4*dY)o9CW^V)F3n zgO-Ry@7Lfi57R-TLeEi~y~phd%0&-)!e69Sm4Wqu6ZNfl+e}GG{vGh|VSPq_U~OTc z@2l-H@!CFUoZ&6gxgTa!2%f7yVUw?`5xa-?;yt{M_og@6TEMdZS9$NPM0a@fR}hLw z>RpMrcqL*F6MF*LhCNJ-8igbQ{R^@FTY?tOVH+O& z_+NkH3yf{(ka0V%hl^dt8-lL}D9MutO(pK1S3&U?01`Jj^zjWHAbzKY}F4hU9qi zC|OazX}Ab*45+@Ho({V{RWg(%BgrAO5f7ViKI|1$$qog-d3H!nE;?r;M#*}l_8PeA z6=Kly+5P=#hhoIJV|E19rB`z++YoVB3R=ySLnDbO6=KO5kAI4n5wC20M(u!cHeN-gB8 z6nKvJH1=iQNoCHW?~ggy;mjz8#;_U(JuR2?w+GRORJDN1SOu?y zo88}^ko5-D5CuANWs~b0=;g!>#IwUX(o~bFv6NJPS$JfI>s3!>I0FwzV1cOs0~4WmS#4A8Ym zGxCC@l*JK&->ex8MIBNp!X_w=q?D=P0Hz91O34{@M@pF=k^Mno>b7K>2ibsanbkhp zgrv$AFf!FK41!lfMM@RXzYI_jH;piuTVh$|EHVK<-h@@e& zzWVWiIMP@*4Wvm4dj^#d;q$4B8&5Oe;>;&7ASHC9xWhnk@m@YNmzXgza|ywkY2F*+ z>XSNs9L?$Hqi4kJpF0hjLz&d+W9Gx}Pfvu~AIIyvJAhJIZl}R4yX{XLh^@)Rv9vqD z%eWhGPhwWUZoplopZ=%+!|(p8pIb}2b6Ei^{S3MqHCKqYEkJ@ZE?6`k3EIaw-*JVA zf%Fl2z&#lK5yk;?%Qy;7KWWPZoJNIS2mDO>JK*JYgGwaOA;H~Eh=liqr{#P*zkkZp zPKaq#2u|86F%j$cr$@!j55>bPI>b{aiU-(N@F!NFme*~6_Pw}OW?KyTxqR^X)NOcP zcdPaK`qQ`FqTOn}9IN%Uk9+&4^oIOGA!yASWjp(=h1?c7Uix0d5tle#{!l1&yTtJ( z)a??-%O4YEWWnt+{`FnINZsPwEy;U5SIr+9VY2xa3APsLPfVE!R;r+c8@W2&xS541(@G zt*=ALn(!p=2zq@HblWZ35%h8p^uPR>Kk&9+YY}OZaG<_C>22E!OFLJOoDo!lA}He?&9N!wqUvGErc*P52EncwsHF-7(Lh)XLpw%B zP#v*?*OLWjs<5;3GYBr0Yi9(FBcih(k2q7VT@X|ca;aWB+s=9=VQPR=pwpQt*v_`I zN_GXA%ye^Vu<6+qVt!R6(X5GT36KxF3>gS^iKOF>p3!1$cYl9SluxqsXKTCr8#ML> zg$!c$zHTHc%gyfZ5bEgC<3{!3reoYrW1nT*f!L65qxJ| z1@7ID(St2&=TSl#M(jYsXCr#7MgK<0x&s9cx**k>Jk*YTP3je<22+u%S6&%H$z6%M z$H{YSnO6Zl(8eP7BX}2~-R)#DWr*wdk>WBSPCq@!R2dSLjTDzIgy*|4i3tv?V02Md zh|UL{WTq-ka(CofQVd)f+&pefe|v_3F1Dy6llv$RX}#m9RKy_#T0GxhBxyewB%^ML zn7$Ao;bu?nP~B3j9+V;U$dtSYC+Tky9*WTXu*UpD6auOCr z`VKyFXuK&WtcfhTMnrS*>525q=~76%^>PE_cXj9j)gh{@&#PEMZ1Qx{T7`Q=J(XykN&-X>KrC~Gja`QJKckV!U!5*1V=``$C2lr^$;3! zx5jE5bKBo*I6Z(#^pQ^5@_GbKG@PcU7CEF`6_cqG{8UsNTaH>wxT^#{ktfFy5b&#n z+&TkVkDgtP-Udu$K-tK3rbNSuX>g#Xrn-=CkvDX3QVAkch-G>u6S@c0qeGMK;I8pk zD4~0h%PAopD9$+5h5YRqkoUv>4RD4 z2BWiYD9b;bY1Ze{={l(Wn9|~0T2^WZ~MC$=JKLpaiMOwE=MZ`rSveN=DVR@ew zILZ8%4JVh!+!`Dv8QmIKLrtgX)_^dQ>vt>v3Cw8Uri<-X{^eNtpZt4&?8km+4d~CX z@~I%tCM$m-1j7S;yA*=qfj-jYu<}E+zuDv-=vxG)oD9M6K)=}E-UIy^R{mnJL<01S z!4i)j=ov<@k?kHeikV0T+Gap*OFPuZWX!9CdLluPF|mXB4(5R$yFo_y;ohBBZ{HAw|59ueU2e**4 zNE*KiqPkuSq8SeVffD}GOgpmLRd6xIkic987gG!g%vG>M2SE0Y&*dT#n5$rm_&_)T zkh#~CI9MXX!zP5A5|~H9u?WGTI4LQiV}bU30`n*dr-z()(Pe3!n{080>D9ammO4qVGhi}SZp)hxSoJRU#P)tH01eX=VGovs z$TYh%Z70P5I7`v(fMNtmu{ntyb+$M?OlG`Jk{FS;hwWDF;Ifk#alF3R9K~3kW6N_0 zubqxu9%qZw>ut@2aCKd=IpP7_D)xOSG!Kvbig$N+z;CzvF5CgX?H28(`Sx3MjsyPi z*85*}*U(&&U-FDaRG=rnI>ozDg zj~K)Jtl2bI!J;7KZEp7H1F)E}h?qHw5+GV1r5Cb;ln}!(W?R+N8X38bD5Xpec)OCT z7|qBV&1hbe6oC{n(>8#9+fjC&tJQCYt|9p2t9ZBI^UezW05DLw$6=-9lQ^u+OY z@~qN4InfSn;IR_zT#Cq)3nJRjsZfwtI^wre!TA=h)7YkCN7PLLU_5k>8)p^*P%Q*1$V>h6m$$K-@{tZPy{H$kSpng z3h_1~2fT$j30E!}oE>!LoxkvagA|XU1ODJZ^EyC>X89ua#AqY3KL?tDEGa>07VjL&x zRq?agpbd2{>7L>P+7b*r``ESIsyQk2 zG2zbL(Ljd|Cl4AN{tp?IP``r!=!$+?4D^2YTAaQ^={sQ#$D| z@-CyzcZD?S2&|JUW_M*?ZtLcZK{^ zf9Y?0@821W*%AoOHSLbvO6-0b(VHhjb*HA_B`pI~Bhf=rT@BQomU6R)cEII4+7`K# zneO3~6nJAGKuQW`Mgfpip>R41z6a`6f?1He$efA-s;Gj3&3bXkl`v2FsZg^ksKrGU z@>lI-oPvTLM^V#;LYJLPs%e*;JWHw!RH{UBvnoT{D6>`8nL(9X{yC_`^QEgvBB(8@ zwD3VD#VvBUD~q_|@iHezI=w}-LjYb`^!svC=?M8( z)^NJN|I2Ue^(Q2MB9K)f+!LK8_WBcGf%f_ns-ZaLg_RXoiAzQJ_Ye`o%a@m^B8T7- zO=WJMhI)bZC#D+C&dWR+FOv}gSl#PSWK_fxqT%eBDOcI5+RmWm@Y(f%9^AFhHGaWcQNw63m>)aTQc325!U2cH^W=Rb# z6v4XS78s0b#uo5usP3SktA8^`7>O!RFt=&Nt06P3IZ}=>0W_O}SLw)>oNr|Hrrn=7 z0M(K6QeMNjYUDhmZ8Bh4B;>rLLnb>Adp#n$t0_=8A`e*QE);&kjGX5HR@?uP16Fao zo}5QA>rN9SB>&Wo+-ZW%Ob*%EJ55mB*uvzT6VuZFk+1$gf51Sr=Xx|6Ln><^`_NI5 znReuPgg(S!6D(^2Q56j2v=vtzbrS>$L{)I?ksLwXJz^0!#=r{_)2@QlP(orzTeNdx zrro9{5LLl$fLTujI*Lc5Sp`w@CP*NvfNkBv*q7%;<{R$3>mzFwr~9FzCXUp8 zqb?-C&8i@Lk?yf=Haq>q8TiE1QlU%2Y@if-^O&3CdN__31#LC;*jJ29&nT zQLq@Py92!I^|XK?LAeUT#VviQ#u`6mBeJ;@P6j>hv4?gbF@-fu zr))%qa+PdL3Ob-6+iF^MnO&lKB}XiXWXF-0{=C^c8plLGN|_y9D@c(@B>ml4u1)Q4 z4}v%m;>%i0(MeEnn2sVu{ry3NF9dK#aF0ytcZ>BIFK1oyaZ+Tmgci6l{T)J4PfCTs zm`L$SCAX>^tVkpotNe@O=y^R*2fRGP)0+`b5(qZPesG}%wk2EQJs+`Ah7BpBY0Hd2 zD5%ykW##kYP{PtX`+ky8npJb6YEXDuT?Q&YP(~b zYEIzl(2)d@ir5;1`?f|UgtuVVQTDQt6ltPGD)s^t6wpF}eP8|)FaL%gT%{WZgtiGe zpj{+`W|`9sNB{s1fo>>Uj)gOWHlrKHf$*Q&;Pl)c!V7x3p_3}qWb+GJdcl-#prAT} z)u0}ELHdxXc|IUYPt0?4%@zlQos6d&kbWxNI1vgM@^4Krp&OtUG1GxPxJj3vI-?sJ zq|*X@k=$D3oJdADlxTFulHHWTNa=<$=o9F}JsH!}4ectN>_cD{il&|(zX?mE!APRjETiJ;%u6?JRp z8!m@$_{s12+wc9)*06kNkRQho9r{Fiu<~fJ-E|;M7zm<;9q=P2ST4;hA=p8$hc)d5 zQ!HQL?>&|;()S+ALuJT$_CCRKseC~gNu9IPV@$9do_ZohSd#e$e>N$WFFFJgEPn)t zK!oN}5n5r_|7ExiB>tAze+J=(NA&G01)Cqp?<*MXr`KiE1eTXb9&Y{23irN%y^hp* zEW%sW=4tnIuhky44Z2$0Ic=Z3aTeM^I_FHt0TmPs3{O5hrPrN0Ts#q%x zRaa=pNZ=C+j08J7tWmqbQ2Jt&U^SSTPA}Je@ zusKd$);%-#{a9qRl%9K*3B)iW8WT|~Zc+=DOGAa8o1_*X**}^39J5rRCI5Ra;$?tz zwMYl$AbP!vgU{8Lcs9o#v&!MvTYTt~3X3SQv|Pi9o*T#3=HYK#ztGRePld6??2vH# zKVtYB(d)kVL5UJm=ahtEUCkX1JAf?9jXN`(k8|NI*m3R}^U3o4S-C|!&Rq`9{q--s z^DEt&4H}!-_9#@GM|^o3G?;CGh6W(Q(4dTE$|oZAdZYXa5I`4+!38~Bhz`N!=}~gfm`Wl*oZ1}Y#EZPn%PJ_V z@ybT)!~0kRHqV)|d0Z8Xt}wWKG^>^FHYI4OJ)8eURCi{tO8%8MIGL|-24`+z?i~Rv zp6a2Q-0&2i_@=72ZwKfr1n6zIXt!{;-=cGv-0%ALKWq4!Re)|$IiI|&h)U*=AdhJY zK-Uz^%_zBC3Jq~m5?T#_o>UL>06l_uga9qX534UBsXVTOM5P_ET&U;7=9w}0&~++yB#i*`WXev8fl* z-G8wf!W^88ln7mp;xRQQ*;CFTA8SL#G)j|mAk|vNG$4#}jES4Bk-wbsz6s@A0~T2@ zdQ9yYJ>}euB~X(_oJoZClyi@WEDp0CqC?q6^prE4xs8z6;KlRHlY~7XVJpzxb9vAN z$YF+?T}9;nsQ@V-_JqcH)NY7EsmkC1w!`GQ9C6ILEubzz60u}y@b)<%&tQJiyjFP6 z|1#{xZa#*%{QERU$^_5;r)y}YP$;ZJa&fkGwk;s!n}tt?;*_hUU~KI2kyQq z6<=d8w~`tJ(E9aS;XigPzZ@+8OW#_2{VJBjX}qT+rD}x7a(JQlbR>aSY1l&TT0FAq zvcWQA?e$DY+Ohl=wXgMUPAKs?31x#onz)>WvJpMzLcvJqj)}*6`IDK>Bu_{=&|o#} zSni_rsNslKJHGLDS;(D_RiL42yyY^_NQ?Qq>g%bGX7|C4@h&2x=7 zC!w{+acCtnf0e|sJ!T#c=4VZ5n=L7pVVA!$Bljh;rAlr<^pjeJ|! zrmhAUSH-d*H!dP(wkw&wDC`$&fKgHJa~jpdQbx@&+nPk#;u26P&-kd?@zwn>@@c;c)mVGSm z%Ot40DNwGO0KMo#P0sLQWys%k=%UFHX7Iv_L)!#Bw1iMJ%Em#(k0d1Pxn~pPS4Mwq zyHGOQM)b&U?K>xZG&cEviTY(^h|XUngHQdPK_~uc(rVt=*V~3%%v-B3aafhK3 z57V0yhQ8$+KKyI`%9;VXXjdko(_3?!7OlVphNP{=d?u2Ip{}i|GBf2i)uA%8;ZgDWv-cf~+}= z&dz5O{xbF^wWAdnc}sBA^734)wV|TNU|!B`#7wk5I+#u8l*Ussr!}Fn{cVXS>a{|& z{T_f>xuO8fxWch^M-G+@e$MQZy5A10_S_~9&vJPbpAl#+x`en^*gxD_pf$OR-71x| zYIiih|H|<;v#t0;)pL9C;UH87^D?iEFTL=K= zMx~>ZA9uS)bXTgmQdQf){>)I#qxpc$Duh!5_Uj&Kou>9CXui}JGNF@ebaV_30xVRHx`*@kiO1zvZ@DD`(09=olU#b$&WxMLrtK!-14!Y zboHhtfFD5ni=AxV^#WDX8T4aplxr73%@WwVyXysdd0xGLe9sGaUVPu}7+Qu4BrPRw zJm3BCFI~0rVK;O3)LI3Tu`X-$o|rF8;>qS=tf=i32~G=>cq<=muPBFzjQ5hsX0u_X z?Nx*_Xe@w7jEKH7d0`T-7YucaCKeE!%cS;t!DcHTjkEyBbc~Z4B4`rjt$cKxK-(~q zEPFWaz4EfjB9HJ|ag6jSq~+h&-KjB#+KgjfVo(lcr;jVuM$|g@f3x9EuF^g(k&cunQ~$28gBb1cO*yT@=FO3XJI5gop%qn|FxaA$Et@7v6{1vOBNdzI%M>_6&GZ zXkh3iy#Zo>_y71U|LM0I#7b3KZ4#}On)?cw$7C^D1Lm+PuO{8}Xx2!ARf|F&WCofb zL9CA0b{*9qLEbTf!^E;QLDtl@nskRmUKT_d6bT|TK~l=&h=BJnBFdIzQxn9hVAqvY zO$^6~p`|Z_jYtq11^bSYs1f8+P>qrFWZ2E8$2d?|C!EY&#cPIG9kT6E&V-YWYb{r5 zb4%G}9kWH>E0G-0=qa6C9I7hWmsG(UiOh8!YMJSnn_!#qI3dz=ztO6%*>dVJEhS>i7-Ly_6D$=USTwrN5VphmW$j9La}^v z!$o<%Pq;02ANV!!frszR$}J-HdJn=@Oujhi{Y?IxnVz^xmr=IwEV=Lv1Z#BNB9*;6j=)LAMH` z7c_JLAo6N~Y_xi2Qy+qh1+u#uY`IhcnYM6b=+?QrvLQ!3)eEUYTMIiIhVCed*0U_x zqv$v}0sNI@=vK)Qmq>J$vI`ONZcKl7pf8aXKUde}9FS*Z7xUZ$IW6<1lb-Sb?)*nO zH6BltDPfTfcDc-#RW0G2sZxoIvRbl)4tz0=4LF5ZGJ`$p{jeMx#t~a?2e^Qf*f;Jy z?1Rxr6Et9y-py`*ZguSZ^ALcFY5sX$cl&3poe{Nt7EY7P+K2A#8O}vkqhlKIv?o;U z)k?&iPScpN_P8>ffB$FQ_@(du#Msp7Q9a;og@W3|qK%DcL~F8KX_2gRtyOnL#!FZ zz=((60=bRf0HX@i(MG=gAY?vcK{#?OEQFBDpvPV^s31EVzoygpzbC2jY(oaZ%8=_{ zP((gd-~dfWyemV~htA&NaU@9>DsX5AI9T{G22ryVDsX5=#9QzUZH7q|d$v`>6op;c#wPe5D)Wl>0v%n zRvtfXSPBpG@qs?fSekp7kNPkl^rkiB6~CaW0zM0hxD6enG|bWU@n|QX(@CPif3gKr8~DFh3!ak#7G~ zC9TUt?eiO|;ZT=;e+VQhDX*JKTH|C`x0uhQ1_p&pVQn6*uI@DnyTUc5ba{QaT9cFUB6Kyv)NZU@{{ zhsI}0*5jc`&L0Bl{^|KQINr|9-;{?Yq23^n?mxL;{&b+>H(Ct1y>Y8<4~@pC67|+3 zkt$e=!f>O}stVI8KdBlFd#m9Kud4}9_tO1)_tK(&M!=E!9AA$psJ@3axyXmi>E(%L zE-MJBoXl}*SB|#u!KpVEV^T@oi-(h`f_FaXRKYtRv|xoB3tA!_=;`>+LaI1Wod7lkyj;8tbC=eIqtZQ*Y82b<3Q33J2C^%i*_-~ZyyK~-p8B>w z|BbJH;u$fl7giEsA^IiJ;Foe-=$jF=1Zd9-VKPqbf$MG|OvY(FFfJCtWSr7-6Z+uk zFqtG)B`RlgaWaVpzntYlUur$^H;o?8BBm%Dq1NUGi zjFmTU39c~(h<3T24cYU&&OL@T(~KUXB!ctN(YtK%Hmszc>!VZ4A_C296(0K1Uxfom z6bAZkaCQn8X)uVFG^RUU#Q2?A6S$koVhS%_J@Tj8- zKd3MW*0e_|$hv$G44JR6GLS1O1fY$`9}yKqPa%mntw6J7BXW3+P7NYa$hB&yB{* zEJwPJa7-buNGKaOyT3od*$R6ZiAR%j_csWadjtgrW}>e{+eJ5~ze8yGKykh_W(6;4 zc0K(qLKYJ|yd>E`HkX{aM0JIRRH8D8J z)E!>pjk9^ayd?}n>=LgJLTnueqp*}$@_(~QPOb)yNhzlA7Y`l%hYFv!awnotPL8qQ zSXu8xLQYYkxYJ{$sMyQtjvtgyLeYS8N+=RuH;N{AqVfx@ zM8-2uGob~qyj)$ZfxuH$=T^3gON*E2{7B>QvKay;=w&R(t_s~fY>S1t@RA+dZV~Ac zSD~$n{(}T+<5W&OOm9wA==c4NkN(cT`Sz#^iRthNNxl@Ngf|r>rnu3M_v3gA93?v_ z6llH{RYH(-a2v8pFjI>vAxO%RVus*7oXG}G2of|^60g)uHgHOiEck0vf@Hy8n-U~Y z=T3S}=LwPxNxldoBei85SCf2W(or)`d}sdlbOm}sicboyn^?sNM&*r7iUT`X38b+g zBncMOFZw`;68sXV5?TtbDR^9iyNZ3U9>;0fz*`1~dusAi9N?(_t_Mf;cVWr9rflDQ zBEN1@%S*J63wu(11(pSNCX+=lJ4m~poM36v8n?8c~VUES%ASr8i39|!W%EIAY$LsrzZ1xF8YvJ zjnXj}eaP&?QprUxE*BJ0NhCKQWPv@db(T_x9rfKh>brG}?-rKAyOp_u{Uc7x_HfJK z(|7Brgyd~3>7eo5IzEPXYsmqe`D39#t|+T;045$|Xt#+25FX7`yUiSc?SX7Xb6`S6 zO|*OX0Mq?x-L&JnPaTjoJg|v&4}o-lT6g=i!`RIakL@`Q*ot0q8!q?9ihtEvH*k<9 zhXXey9Hwr5IAD_kf#SzB2QaU@3EAsu`@`SbBtf9;Gj-Nc@S&;$yBQ9RcR<5W+9c-> zf%JfB-ObMqV>dq>(1`=TVN=>2|Kx)C;b8l;AdNUZ0uEqYt+tG-&ph3d@e_GntVLmX zd}&pMX_d_?HI#0(eBpI#)QI^mE8gS>Os5D#fu#;qFrsW zC$`*`dAT^?)Yzcg5X;-^3cR@SVAS=0YS$;z0sOCg{MY^DU6)D8T%X!E!SuX|j%viH zflWjlWzOJwG7)SZp*&!sZaUG0jL!2^FLEqEAp&0zQU(noW%C#p0_hlZJ0da%)EGzw zJ(CDlFrFJ5Am9MJVBC$H<>Vof@epY+51d5mre$B7ggW zAc#qd4FuSsm_sg@P`$%|_)*p>+kV1FCsc1R0Euixreretsuo1r3h5|Ne{v;;e})B+ z|E{|nBlk#>A-YXt+xVwh{?T+JThbvPv{|}@&Mm{OW=rshw2!N6pkE06%c=G#-)m?k zDHIN#C*^oKr^4-k)cr)gSgD_jj0zOVb^F8bo515`r(EE8eS8~{r%8O*gl!dsRrP>l zRt@dt@+gSj!s*e8-2s@;~YgZzXX~D;LBJJ1qx~;sIQ_&*$P1-bTS@2(8td1}PC~(jMWh3gSB@ zHSyqsWs<^=NO-G)G06a=M#x(To50Ty-m2hYmsP@B6&#y}_%}*_M9_D3jD)u)SfZ!` z3u5q0knlDNqM1GO69ek|ei0C{|q8=`X_-LtDrP zj3t5i$HwspCc2SzqY)+%>28#1WnSLM{}&MSwEGU}4kgsAXs-hTP|L<6XlCzEs`cUh zOAJ&_OmGG&uRF-eYy5I=6+dBSpq8nD3d95Ax&wwg5H_SmNHh87=I3>rAMUp_%MuJp z5@F8%Iln&{nzldZhqzU~JcghgaDTo>E6zx{A;9j-Y$e;T0zxe&^@^(kFbM zA*fVyPy`LN9Vkeo1Vq3hs9;GkmS}mI=bq&kHPoT$QNdn}JXCniEA_C&s)7>bixHa! z33~*!HX>WBL2$s39QZS!7EV4jTdXQr!)9f(5kClc+lXwj2En%K0?LyME1R0XkwLIS zaRauhVG0MQg^kD-s|psil(PjU2V68ku~>s7d}oyGPeskDtWFR7HO#!}QA*eiS;5G8 z%#M=3m0=3F04j9D0U12hBZmjsrChab83M&fwT^52W1YY-!JWXR_{%(O((f>I4LBo2 z8(d~fyo(F4vCSU)^JSA-Udpgp^z1+DLETb`>YlI z+3NruU%UVMlUO}Je2!K$Eix*vLervopX6q&|8DU=Kl{y=c_gevPCNs2sE$0$k_wGv zfGM8Ti8!47&IL<(&ljJo1Tv%Q`qXOql*AqlOF04PJGZmAleyk@w?_P3vq zpB-~nXGus%X0LpAXDW2FOr2)H`BCKJ7;5&9fC^ zWKPNEkvT21$ELC+8ENVi*y)B_>>PJ^%guKkd|zapVZb-N-Z8ihYra2Uwu-=oy9}Qd7BXqax;{0P{45Q`W|o1(}hLJEs|`6aW8S>13H?$m1WF98@F!7MLhd5E>v4rGYk^ z(%`msHmFaFlka)Uzy5O{v0#D@ z&USNFNastkHQ7207RRZd>4|CZ#|HJJ8@vU3WOv{21v=qJl%o&3te&oWq)) z3@HUFhlu=M4=Om%$*?7;;CvcBJgqxMJFD=TRU_MW zi*_1*yBc+l;r>N``iH+}LyFpf`cdT9ugM+nUAH0NFZg&PvY-wqhpBnzx{nly?E^=y zrk{?;ioT90MbByKX|1g!QUGp$b`{K(+G1lMjEIl})G>gW-J^p+o<_%xM4h@uC;9`5ucfhj0+JH)cgiE4LL}{Rktu2m0rnu7_Y}1xK%XmKIj8)dqP7RvBfx%l zdtaqZ{1-m+AHVAt%_g2F%G<5|>to|uIDkVgTcA9EJl^d+z~E#Hwrvq}&IoRT-t7&- zm|&DDSykNg&ljCZiSiJcx7NSDMHx{kcmuAQLiYWLo+$6Mo~V>6O$9wsKBAki#Kz6h z-<~K(At_Xl0*WHhCvOGc?xH0|h!BJA`Ivpo&Kyr;Xp?$Qq1+YUQ9xcP8MR=&Zl?QxXX3S=A_x7*- z>iBW{@kCY~BR=))-3I+UYj?uPPIU>Fp*~}7?}fq7EAP35Ed)qDA z&F#xExBuK5-|*l5kioy`VoHgtJ-NO3Hypty?Q(B!4;A@|IWWIy1%u5(P0Ve@;Bls= zw>P&JYkGTgd$9nwH@6q-Z+mll(ST3PZL~jID5~uP^OuWivn3T+f#m|&J}`ffBIPjl zAG!cGgXm(2oWQJws`2`pBEWsYmft({9;&389mlx&WO;hIEy9jVd%9JAQO);_aQSu* zVSggC?Bt`gqHpbBemP+N>wo`O{P=qf%tf)p0Yy#FnocvQ&{1hk!CkZ4XBUcEH>6s7XyzLlBj*CA-`N3C#6m z7VT+o%P*SK-j-jsroAoSEn3q)=1a`(&U{z%nf{uo$`^(` zYQG>KdqjW}0MawG9&(Y~d^)|%LvF|#yTFbS7oRn@n7HL37oLEJ&f8)%5+CvA3NaqI z3@5iPF~UtEy8M`ekY)nUjVz-)Otu!3XXrmxxQ&6*D$W*V?!;_Sq>gK`aV`!cPU+Bw35jEI z>mG*<<(F%25~URu(F#aI4wpM5U$YpmZFD*+(on>jdfirlnnq0IvBuf5iEMngC!HgkJHp+ams#x@ok{< z^W*exfsn#RIDjLaG4&a=IE!6bX6cEDn;Kem8A_b%b*(!0_(8r|SgC zqp7Y!`%PWn-gM7urSIinxcrOLuz206B=la}>Rt{e)N7A= z#>Zw??C=FO1uH3tu9<(kj&eCV$|v0WuHXI{YdT7|^F@uk+( z9s!>;$9UhP8VzYPNYtC?T#1g-SCwdI1Js_0Hsj+KqIx#lZQ2JeJc_eDLUV{(i$Qd> z!T%F1mjL*=2GbdaFX<8t^0SHN0{7gGKj|fxP#Mr8I<8rV()?KP_i>d>P$;FpjPFy2zl!kY zKpQYhizDP1-0(|q&+yFqsLP*M5-vce@qcB?;Qi-are1#;=|l_w4d#%4kDRBH^GR!S-8pjuYdf zx8D7K{i+cJQZ+!4KhzMwTRKn{*M?#;J++f(!6tM74P%7FIUTWu0|6Oi@cfTx!NSmD zg7jff!M?32)*MAudWt?E3^GCbWJJMYkR0%?17c@_L=dPT66eTF=JGV5UaXBs_J#_^ zwsKtunj#yKkY5FH3MEGlf*(PV*Yp_KiJ_9Aa}S*j8pY?5(9gK4Ik}*Ms=SrGmUHuw4_9$xr!EWH9xY@LI2HMvDYR zNDZ>7A|$~FDI)++qYHds)4?J=s0@A5Bo>s&ITQli5+DiOgeXpXPFZ_2eW%E#7^#7p zb|_Wv4j2Lng~98l$kaGq)R(aR#{)iyet&jW+6iL^uLw=c{9?AK2tlpFtA4&B6rS!~ z5xTx9gxhY>t_WR@BJ`Kv`l&zr^G1P4OG|Chr@dJb&OohRP~>K%$t|{1of(u)DO=WW zeD7L9+Bl+8AU*OAhyw9~1hgvHN$dr+s%wB`sUYEO6htHiBQgMwi_7^D31*`plsX1a zZ2|8E31wBVM@u8tm^9vs=!Xgx+|ARL;Wq{Y0 ziU=6ZA!DGqJ>&6Njd^9(klb*xdXP=ExqN_T9I6hWf6)SPFCTCnNRaT@@7RBRv47hw z+Ohv~u>b%4ypR08FE$cH!fKTS(Ih=rX8+ozKPD!AB& zhp|6O#)AAs7y9~zO;p#9j3JdAdb9v!_XkodL^*PjVagHgVG_?}0w%3h{F zCD=hBmA$v^4T|5gEFLFa#{AkF@tM`xZdEw5B@4FpUOO8Bw$5T%|^$-8{N5Ar4 zHl;#3H!Go_ZRN?Bhr4NT8*H2m_Gfcmv4Ad_*D?qF_-{kwfA%TdwEtMp&Rvw#JAFbnB1l2W09 zv19I7bnLS5Ba%{~g57`~0emuD2^UK`A}bY95}l8w1aLuh1T#ryT*H+dP^podJq-4CZB!Vll+5PQ7&;@En&^2o7Vj}79PiTJ( z$*v02gC6Hr>VZK#x*`a=`4>k$cnyNu1@LwU^$h9J4`JlM2`>bT&xT)`&Y)Ay{WYoO zCE9nIy@7id`~2*Im*;s+E-CO*-jAJmi0~l!r3xP}-}#^+M}U?XsyKr!9IUtjfe@sz zq1_VE3HqsBxkWqka5>DwSN);?_@Dk`^E!w@nKKVkxEGM#mSve6x1=Pf9omuoPjIJ}L8nrayssz>P{I zR{@;>kFj}E)j~n>lW1-*z8YI;qM`WVaW%G5aeW!VZEJ?*isAYfUWC1F;lDKME_DvPWHR$CL|?x(uJMm0PsK{`OmR4ma?9UwQxY&v7`c z_4OUZ@SseOkjVxY@%1n7Rn}qZ}7KgCYj0bsTM$I?3eR1REgMK4!00i zStenP7wK}iMP7v7Hv*>CKPeR| z*i~f_5(TQP)9@+`DvTKh<^Z?Y*T?MFlS>Rx>S@oU;_PieJwG`u&j4OFgsqaiW5X5n z^zV&Z;{o9iF(4fw7O1`G0@B5iVgc!3Es~~x1C=iH^6Z#OIv@R&Ip=x@@HCx9jAvNRtF-^3H4Dx>Ev3&{ zX5K!fM=-VTQ0qTM`g06I;9x?XB3A0G+WmP7d^w)i6bCuqNfkjVMVuJq5J*m#*Bw%m zlfkX>!v|q&Ip3VizxhyQdcVVNU~_w9W=o7VSLpGA z{9ySx7TMcN}pTF%SowPa~QMx}zY@h2ykf&vtn*H&b$PYDApV z)O|}m?$c;$f^HoV8Q~PAg|KV1)tv-Wvo)gu+6@BiS&}VmKjb+u8?kL#6yL+2UuM9I z5!Af4E$ZqNHu}N+@|^tKZ?w;H={oSW34h9X>+v;%n@O5Cz8Oiy7Ve7f0hyj32S^}n z6MW`Ua(+U7I!+R{kBrYaUdnDD?&VP5csJp5Qn3Yqi-*qU=XH1dq|mulzC4Prx4@=X zC>X&HA{)lExvQv0MG3fdTel})g%@B)*XxU}+iua0u9t(Z-}uY_%~PMaimppJRs0eM z^jOTP^5{Br$P{8MtlE+)terwvlvbsRdi2%lxWt(k^yu27^pn8wP;q#%7xd_gGKv6I z8nJ7+>x~!m=!(2&a+(4(+Y)8g{4t&bg>2%*k|rLAXqmTWS;})@wEP>R4>)Z`36sBY5yF9MH?gO8X&{1K7vwp+BL;`Uo~j*s=P{ewUKIXhhpTe*0?KuGOQ7XzucAU7juv|$-p`KN10 z7tcic?sV}^7e8A24^kPpSqLa4Ui1|~l741Su%~yYi;pkee);~e*(6EY z%ema=K=*4O`X@Jj${Domu2D~~HWoph&Kkxd$U9W7P8)Uvx>&qC@IEqU3&Do6Z72yh zi~(3=gH{E*N>WnBx@H2}3o>0C1pBe3Qe8+r?s~)xrz5i6qJpSa4)YWKtEQ;)E@f=c z2EkDO0weZSC=+JK$Odf?T%2fYk?a^H2sTK@0wn{i>PZV(Hf(hA z3f1B1bk;kx^mT>AXHfL0X+Ig0=>{FMY;pH^%)FP&s)f!5am?!YbqlJFsUd_$CJ3JW4Nzds&>U5Vy66$(vC7TswIOFmu>bm2y;xzS4@htBcMhh4z2Ri3L z7NpmMl)kbxK5SJ*DwCg^BVGe#bu$(?MKbm{aW-=>Y#4KFd&)Nk6_55MQmQ=+a^p<= zW_&)2WT!o{%v}B{nCgbr*%Aw#q}us7W^;0F7#U$XA2(R%$i!w;!9`Pa$+>g!DSPsp zpFu}H=T2j=<$F*U8YMnoHC!_Vn!x#KO56C^okHLK>E9{z6MDpyDj|)6TDCIDLW}rP z$1eoi+R>iAGCTZ3f9L!E*6*|=TPg0K=qzjWbwiXD8*s50W!MDsnk+pN9HbZ)rTB#Q z5~%IaA~Hnma1}%$Z0Xg}H&n}lV9G|MFQW=l{cCmu_vm;P+JuA=w_=YPjr`F+-L_N3 zG6V?0O^gPU{uCygE$Lu$e>2gOtF*5R9G+rKfAGDyDJjI=R{iH;)<3=JMo3bLSHj%oEoLKYQ7 z-y$@(gsFr^RiPrWRtOSgQNa=Y5CIq{I@Eyc%tj<+QNdvt8yJWXtb0V=rc;yMr-B#X zgkpr1E97#E_+>^vI~lV3bZXGqVsfGYCb#TF{7y`%WxG!$Q9P>VVvOU+mG1$lPLe+6 zD!JTRg6uw(Txcz^ioFVa47$W9MN^zwi|A0DXOl*=@II2k6TI=>Oq2 ze%$c~4WOlES2X?jt41aQsx>n^T4=L`(A!H*zaU6lp`^_qu2&db6C`3btO3A#bTk5z z_agv?!OsNQ^a~^6S0ZLXJGQ{IOppLtM}+sc=jws*ZiZeGHX^D1DoAc*737x9zMmsm z1uBS$DnV0>I1wa(R>2OwT;_z)AY$6hkur8v67FGg)`FM?>zki86SGlL+i%ZG5uKq3dQj3un9MZYc;E6i;E47gEEUMlm%jYTQ2iv3YV~w@$=!)oMD!6TE=*&zK@F8 z7l`GG-BS~LQu~SfFgP=Nk_v>NBBpa`i6I)KI_HE?8=y z`B2%n;W6H^{Q6?~wp+Ag`Q>2w-~aIa@A-Ud&LoOeZfu{?@sXN51EOJ-bE_WTeUPwR zEkyWg#dkVqzI$VP(R@#g?Y2U;4?rJQY?E8%gylLl4h(KxGT#CExt&i~u7V*-iaI%< zcQv5TpNu!Q5zj>3CTPT#yEA&h#Mp+nFSK%4@Az0#)-l4V);m6;y)~bB(Xb|w%$`so zP>bXel@)qur6vMnn?Xmc>Aj&mTC_*``d79rV6ln4|a`5pS&F>Wofc|OC!z&bvnuk{? zmem9z2Nb9m9Rf@asAO#UH0u#a*!uyUAqmoFJpzeB(JZMRUf)AQ%u}kzCN<&qg9oB0 zU_tsgVxi)kErM{Y1m^%P39Mr&F#WVNrS4@vgouTOS!coR2gf)Fg?Iz^N9>l{Ui^hZYspgT7ia zndZl2IwMMsaK-WL&A>H!5=r-PJ(>0lIXyekLm9b3MhnzbCc`2?bSN! zt98^@>*!ytV|=x+6yAVie6_H2;?+9pt93lizgoxmYGFwSKI*G=dn3Z-vw z8)Mi@T^?%al9tg>(`VSxX?1;|c-*){H5~9Z_#NosN_X(FC3Sls#yaj_R|njEzdt=l zet%lG*p#|I)IO@GZVq*y>`xDk-=Egq{y1J=?5OSzbrKg;)9dc{7v)-u#p8nc;hN%5 z`4c9b>2HzPw|MAcyDI5^UcSt7e4h>l9xPMb0nk3Svq1Ve#Nb7d~ z&2VUZ3Q5x*n&kW;knW$Je}m)o_rK{6O~T(oAl-j*!QA0I^K?tkSF~NQhR07V8 zDz0W+#k1I=KSgX^e+w74t^5!2v_{vcTzp+k%1XR+|K2^0NQxnbsxjk$5SJL?CkkqD z-!-{7;56V-hK`w~G!J)poy>7+R}PV+QD|2Ros{;namuv6>|zMzoe#QH#-uInLY5;P z=*zF5l&{T*wbx&fe(};V-A;)m^|H~53G#{X32eSAWXWu~EAw*kfafkP?Ls#qTv$o| zy|L-8(5@kdmF|f|vA*f=3iY%99bf*nU-XUU{**{@b$@D-!~m#=PJl1MWAA6bSbK>- zf|~NNRC|fhA_y+lUgFPU5De+GIO~}<_5A${g6%*#uK>Kh>nGVbOqs?DS&(ZMP&(VC zokg1ck{u=J1cx2x0y?EB-{kU3^{&*$Oh7X=8KN11m|zOd-N3=a>)=d;$0l$tYf7r!0?svT89tqvs*EW(OUnS*a!sEV zX5PeSoD0r5Y(4})3#taM;>p}m&jHf%96rze7I;9#;vJi*R`BKZ0k|b`!_JFHM2poa z=BVOmdZQQwf{b-FvDr>p(dY}m!}t#4cV1)CM1bFSVmCKvq4^y0*!?KgVJyrW?s>ESKYMQj zbjy~OgG{|7$j8@1d0R&LK&r;AQhrel%dp^qVhcN z&sy(V-MhQ@Ip25AH+TBny7ld~_uFgr?q0j!zvq2khNnmK^`5OyOoyVfXYem#6O14P zvK^qa44V>U3G;u=?_DXAq*u<3`@cDxyws^(_Vi~m@L4?lxo%1@TUImKe}$eJdRsg; zWtc6knT|y?`VGwN?%b`8O)tAetAf56XzcfX&G-DZ*RoTqg-@P1_JYghb+f3U(IEDI z2gc!8nQ4?slDh+@XR5%Kv8}Z9RDms>S{Ixwhozt5f|KR2RM1J#S(9_R+&)5BoC?|n z0pDZg>X~X-02Q+2WQ{8m$3EvZ)VPYCJLf2?5`35(V|S4GSJa=?tz?xa?+!?#s83*^6*uO9oC|mZH4@@mzu5fMtW=E<}WA1LP3U~R_{MwVj%Wl!CaF^er zOPHj7`4hk8wddZNYEhJN+oQV~!HQRbdNd}u>-)(miMFzbDrY>ic(4o($yuf_g?Y8xoQKXii3$Gz5H2^1g#di(8==W4R*!e+lzSTNQ373Fctl~L zMr?J|9UaBgIUax}tR+Y85zh0ltM2;Jl)2j?0r~H^e@Fd0=AVdvF=;}-1Q}Z$?L%b1 z#Z=MKnuXB>F@EH*P>w6(qb1glIkgZiD1V);r|DOepDL@do_pC?P-cuNWiL74Z~&}> zA{QY21A;ju<7^J>dltcl<6#dJ))53s>!P%d>RJU9l7Pi6p0I3|oJ**%`T&Q+{s`-% z6rjij3~C){XNU8zm_&+Pzzff#bRu+5z$kSA29muR!fwKk=yFrYelx2nbbEO|mffP& z6uKEx=odf#hyU|`CYR+Z0L5bu21mI&*&{(rA%j{^wmuMD>zM2gZGA=8@^Jwj7P|_F zDU<~FN2&EY_Ncq91Vz)jpce%mM`o1gqzO*;NDw{if=b0j5E~4)YH4|Ly5Qnw3N5%p z@NLMNh1Lhvlxhn3*Eww2@&S^~R+9Ab0+qP96YNf%)8jpk^P5%juH8`yyNgA$jVNl? z%&Ly%tMgix+88CTs@CyB;~jVrxi(CwsG6Nt$a=)X13D(SF0cRkq-UBcRP)bic%wLT z5EiPP4a9iZ8E@SW+_<_1N?h`AWH3)Q|KQ3izTPB(OE8-qz#H1a zdCujVpY^TjmvHsaHh)#$T5mlc%N8tZTJ4>|=CA5ntIb&rb&~nbk4Z1u{8Oc^wYe(h zXEYb(d0Uu^MJ+6fpD(j7rFOQoFN<1Gu@HlOEaPln)}^&DNBJwrSQQ8q_OXn^@nTQs z^;ue5l$N4awmhEWe0*iDvobaY15p?|U1?j_!lKmoP}tWHDAt#8USDfx!}&|8Y54vS zD39kj9AA~zqWbf)(pr=z$69EX))xK^YGupyInL|jg2f@+6IA9pzV;ppmr;1@!xx^H zI@<)dWm&EZ!(GrSU5DG=)0*BCNJY&p&claA-ZIrJrc7Oqk1|5)!R}#k%Rml4OTZ`Xu=TBs9}1|6~> z^|K2ij|%23mY0rD-%~%k;OWpYHl|pgn#Z5|IScN=SdIx-cyCC&Y7oOd z!k3X`W|l;!IhEWY@=$(liQpy42Ce2q61mEOB=xgPo{-PeOSx}_e>Yyrl6Al9NP5BZ zMBAcb^~K?i%ueCjIsO&RUQtuz(1a-GnrdI(e4OSvTvyktLVP+S-jo+?tC~+?>=h>( zHnxMYWX~g(ZkAO!E9-t0+7RNp?3&kANfP;S2YO}QfAq@LdtZOw>+iX`FRBg(y!hdK zQY5CS?0kMWA%X3gluv%uUFZmbto;rd zAw=<8WPY@M(>{W-qAqtq= zyY3+ESfe1H5lQg4)%-yRe%bmQsmomu^RRC#V2)_BS1(Ono&wNg|(V1bfMY{kjqTLt5|noI)+$MsF?M?D1W^i zcVb&}<}pgGvDUn7?<{$YUONPL*)3YV)|>HKf6o_w&O6>Ri#yqO2PH*Heb{maUUGYy z1|}sC6eSbe$%D#*V(FS7x|Yy^f-?$o<~jpTO=pMQMITl2EJF~P`Z}#G*09fbYOG}% z`_PF?mY0^ilL>a+j*Z80jw2k1e5U!*U_Kr}g8V?l#9}acrD`RQ;N-Gvos z5=-7+zshVR%JA*DmWrwMJPqi|pFWH;8qt788kKYc5X9w- z!GZ$GxfI*MDg%>xX+^yBVlbc(1Un&s$E8uuR)c|q{dBk6Zq;o;E)4~8<?dUWD)YT|2@Ys{I= zT-{p!^xEWiV+aIuRrF26X~FnWGrkCap+r7wd&b)?iI>5MMtm$B50o$BX&E%DR(4)c z#yLO+gBks!!|`Z{b4khj)8J^mJYHvR(;Bb4#dTu(;F`HbYrO7e;&p%h(?0n}Pje{H zkIa71P~8>>X<8#%H{>PKY*fw(hdGJZgguufp8&DBM3IV&K{m!3wN)SsieEJ~2t(ez z6-Z4eDT(H#vn`sF&*w)xBhdd+z)e?VV*&TH8%T6O61TXYG!<1c>eTONGTTN3Sz z-i*4E;sPDo5IMn)rEJDZ(1zV!LwDE+wHbR&jzO?jewr*u2iFBBYcuHJx}ePn263d5 z6tt0Nq=V~%r{)OgBb-clkm3-=bEJdof*t5-B;7V979Uiz9ofn1K8p93B$1uai?r>GKgiGXTHI^53KCAH!hwpyH%>Zv9ZOut zOa|wq6XDVRC%UATmdoo=o_IOFb1qn&%}04%LxE2C+BMspaHR*eSwl^rHsKowJqrSr zOZ2QV#N^|l_b=)dHpq;?_bv)7r2;i(M)-5iiXDlQ!q;bkZeiyPGAsO*=i`NaFAOCl z<#xgg&kOxbYDJ0>0uiYMvl`;k5>=L3krqXmf-y{$d9j4ge% zR6IIH&7UM3?K)5pD%3c5p|DZB7>`KfgGym$-L&+Tu5>l3D?Qq6XEUlP^Ey7$<$6+s z79J4=UZ~d^nlTm>1}$8&Kb{)RC>)vrXGjDY1>6dByuplgtpk#ZX)LwsCz5xE&xzJW+F;y2U(n#A>N zpsNKN=<4B;4TPD_ZlDP&kpZvoYH7)%E<|J|Ev-GQrI_R)6JG&p7N)*n_>sCKW@TV~ z;b|XCTL|Enn%9?cHtoQ4LMT;=D1m7OKahC5{DQ*q9Eam;?vrNI6qcrNeZ=+4?+?%g zs0G9NpcW~p4k1vUKgW4}T(CHVwFzbt=MK4ttJR>UP7(<19Z-qzv6ZPrKpW%2M0jB6 z!ZtkrDX=yX|ItV;)-B}1#!cKFBX%xhVksq<1RX4q?cs5`G~qe6kksCQ$4eWz!5Zfd zU5Q4lgm7@h@?D{2JG^_7cZG+nL?ac^$nU)WcRu>Z=8B)d_eE?4YMRgnSA$tz22>S4 z9br416Xe6o4rA{>@;tMqiHL~v#ZSjA@;40BbcAwOf_$9$jNts$hz=%1{c$-XpIa`7 zZuhK`!qPyKmNU)JoqlRZ#dgVxhZ6df|Dgh9Z~|%;i9zz%D}_x+q5II$X)M+Ud<%*K3cQtf=Z8X~qkH`PA~IyHmFl zC%;1~sn%G9YD1TH@$sPo2ZB+x_1lAHevo^SqKjB5OrzPCMju>+07U&Zpa(6#44-8# zh$i)<42Jr}x39mo=m3}OJJv-r^K;w_AS13Vfe4p0k}*hjaP_OjB}?v-mX?z`x8D>UVzNWLxrj0N===B6%f3Mchf|j_kYg z2H7~Gg)utK8O9DCxAJsE^^A;6f#xK-vhcfwmm~Pim+*8TL&G0=()Jhu=90BNvRU0@ zq-1QP�W=dnEAf9*JrWw&Te$t=G`m*719(69c7fAU>f+iQNzwY?|vJJqBJ(xHG+ z%$txbRV8nT#zLJ;>%y>Kq-A94RD6o=EPm(Vp zEn3imm0!ESdGx4QHuOe=o%8k-`_k$h zM`xHjFzBa&_Y3d22;>T;pgbTdM0%u!w|{B>`WmwFrs(KrzmZktt|*AL$NgB3`w#rc z*Zp6=`)vsYp}&_}<+j}fVv<2X*HV*c#Hey7(TGvyPBKMDl{<+=EL84c2c}9Tj4F2m+_*@QQt=6}B5Kw6+62b3;rh{qJ!b46$0Jrg zYh0PHef8Y&CLiE44YT4|hI34HlC%0sf2bpq!48Em$H-7#-5?1o& zu@t3=LvUwbX7shRiEX+aXj5e#lF|#DBH_>e4zX z&$)beIyXnXH`4w9exmH$Ecaiw$CdBSt6>MEu_iEf&?UR`40^+7TCh7O&>J=Eb!~Kb zS);=mjG^F)U^EZ<($we)pf4^$Pe`Ykobf^<86X>DJy|3VdUz<^Pn zYk~zZ5sY>jhvNk;5{^eBngS<{&N79UAme;|2}S`vgJ6{eEXF8yhQtt0s}#jT#O#2m zYyuYF!^K4xoA8EG{CEnCp9jdsiqTj(I$pg$27g&G8t)V~V}Ss9Gnh~Q{9m>IH?o~Q zjy6VbM;bJwwLp^+F%%3VY6P`5LXIAMePa0tl~{?{l)yqJt59PX-BF~LCj&8+Y~E*V zPmBR^X|n89OViFyf>WIsX=f+FsYZQFfk>HeVfC|fYXd#!gL(t(~x|< zO?g5tNztzZGQLHY%vs~*A$v9_Q)W4C*{olSS)s!tT0UpHH(NjthiDN!^kHl1BOBJ) zBwwINAlaLJ@n&9@-(!KfZI>SubG;@Uu}gpfhmWbylFwPtZo}>xCSs~n%jP!-Bi0oF}IWCRJ%ltx7m44v`d6r5Oy<~O2W65 zZ`6Q~u>S5ex6|qkU``@==&k(SKAIskKU-g**pK$+%qCr}?qKy@Z+r38>V6`t`yLHxWTP4~>Gq((gBgt{pATVm)6518 zqI>xWkhBcd2!}9+cpxMb8;u~ta5b@46MHqWUt{1twW*9z{0avB_kYu;{Mk!b0$@do zdbU8Bnb<&p53iR*n^7vRTG_6f*qaRYFKl!L{kV<@{r09&|;+e0HQm7-t>0rJbBKwg$c z0U0h&6gyG=w=oE^)2kiLGU#u8hTtz%SfM4 zWmlbNygfjQgZK}&XuCUF`-^om}ad06AmNqGr zuZZ}v;Z?m7S&w_lU|^EDS>`lFvixZ2noj1SPo2$2d0oyojX_&k0#=rQ2Y5(mUu8bE zQ!P(?Y_ClseW8-xSiIGz^Fir3JHuHT50Kc#9LZY^3sbE?Qp^EN#WwBhGkpSZ&^@+9VXaA zyCN(?hXZMcN>JiESD4iPPj1spq!R~|?G9$3viiXQeOQgeR1f`EI4O>aI zbrzIBhtG&Uj#wI(r$KbFI!7@o>^OAjk~Uc=Lb4{B@g%hp-sU`QVRq1%LSom-+4ADb zt(#9%VQxq88P(rMgHb*w^0+X5m`@(wM(yTgk_MEABs+xF-zeUn)3(uz1mnZVrowr~ z8+7Wy1Hf;D;sY}{gJirzR-M4}1jeseeb!L%P)xE88to)G1CbZwq0gaD*ePNs?DS9M zGm`fw!Fg(7!~keKt8`{&tLV~ET8>}JYUI^$^FaX5d}VY;G1?jAXs zP9c4`K)(^{?$5Ou{kEdwm+QA{N5$K7Xyz8JCdl$zbO|c{TYvLk{rK;nB`N}iE@B2k zRAjJkPbMfT-bU!1jNqdRBJBX>5i^1z;X);NL=p!xjxkTwRmQg$Lb(sukxRrKhMN1NB)^XS1F4<3zN#5oG0A%PTa+Y9ds4_T>-svO-1 zw*TCZgg)(Rl-8u1=!;4eJS@*kjkYY{blPvTKWzuVx@gNDLHHn&A;W`!YC$B-Pq&m79n(gYpHY>42n$TH| z@SrRDFs*&sVP=G=k1!QwJ3|7jVR$`#+HDW#hvFzL&Kk8@9um0zsExTz>6bHli`J;k z@>_IC)aDDn>AOGY@6K@q|E{N!X7R0qEjjLfR)O0S0B#Jed7=eyNG|I8TOgu*b zW`@WShM#>(^r}Py3V!XaHp-4)yWlcFZ+Jtv0Hdt5Nj3>`b+KrW{jqtPXOJWD?pVuA zu8tce2^pVrR&`U|iEdVQAbB~&jL6%-jLTnWas8X?EIB@l9gz>~j7(O^Nb88iD1s!a zc7I(d?6bphO%DB&GB`UlX00d6 z^ND?DT`Oz!GwUSSLta0>;xQrZGvYe04IeL4_+k)d{jYE#FV}#EC3-n)SX|vuU=3lw zLvlU!s$==wPQ>{3EKd*rcfa!fH}ZoRqxb;lXa4jpKU(qtzjoJpnHfYS^{)56H|w{v zl?PEVH3ksv``&Z)Itz-I!)8^nCOs(!rpx>$(6K-Im0$M5Uz{yi*^X!&5Fl_9o8!dhlcFR$do+OL;4&&26< zWklfRg;$ijrQv^dT9dlEj(|Og`D3PAyEsQTU(hJo&4*eofQrbRgUBQtpv)x20Ws6g zc8x&C7Rb&^$br>24#z{27ql|sS%)x#jI)^l+-1@JlI$@-ILNres=E6w#=;*so zc8@Of)>NNtwtK;En6Y zxXf(PgAuM~qC3O2gblH3%~fl9`f2sXX)cV!vM-Bxf91WO__|NMMsIwjT`2rac%;!A z&l-Eq8((P`Ud=&$3*xIfFX?AReQy<%Ca+^LW7hKWN#Lox+6mX18UI&4>-8Tv&Ux39IJX!VoT17lRiP>Ayt>-g;%a~OcYoOzed@=hWjQqFTF?=>VlymZQCdrQJ#@1)CD`l4^=UOcD(-9;%XA=21yss!KP;#vx z88-9=ZJ@QJp+f~>z5T@D^f^J<#KJ-BsvSrasvnd1qAr=*2H`A?5Gt%5_Vng-I-&zK zktxS+&@)OQB{G+^~+3tUC{QXMX?>M| zn9q{vZorxotPXmwQgYTPl?``Q@BYZMU=qGz!Gf;!68D7x-9DY!{a3~AKg)%#lU@1l z2aQ7Hr;5A1etmYkS0C8!pWt60sDSMnnC{p#civ9W!8Axga0B50pd^aoi9PpJ$R5c! zr&}5{tBL8VJ(}kf#S;)Hj+b#bzS*#*H=Qtg1Mx?gvp<9GKHNLuc%i!r$D^N3f$BiJ zpmKeV^YPNtIS-qwBtp(PszY@x=+UWgbxQY ziRbT+UO?#A6HXWc4Sw_(`y zc?f{aAx72if$QNAK`g9C!Qu(zqFfL@ETFSPQiNR3PlRdG5J}LHqjPczcR8#*P>_j0 zDfyD?tSk#F%fg~EASq4pJy=$zaEqRY{6^4kl1vi?Y;eW0@R$GmH~)s8%qcmgZ*xDv z$lO5db%Is9gtT;bpdcRA2M~CQC6I|J<&i^V!^?O!fMh|a#XwproCuMW3m!_{+^_h{cqc@%D{koo6(G;2>r!;Iz}U`FyM0CQbL-iHIlvAn)HB2+zv zz@DLN+Z(!g7+ev<%Xc$OS4K0eUalc)-%3C;C300ldBMgQAa83c6fB^HQ6W}Wu%ap} zOs&>zln}am2~-v~Dt?*ac$gs<8MW8jOGht{n=Nb&g9q&8pd=vyhKsUp#@qw@Sx!1ljTR3M*fSR7n-%#PGqpd4Ks6)M0 zs6~87Bca>gbZ9OJp$bichb>4oFyk1emllo-c3n&Ub}WsEi^iDpj8vd5h{!8qP*9+- zolbSZ4)tdegn=XEZJ?NQFnj| zC?|<1+dz^Qj?bB?$#Kn=Cn1ar1vyH{J)ztOCXA^N&50g^X| z#)iC&*p(X{@o$a|iFS_wC3(X_UMWH=Z#qmVA1tqAor9STsjFmM&CQ$<Vn4uI+BT-o7x^{ zL29)m=$aD5kfu|!AeEX6B2US56+;0hqsz*IG&)?cM~+GYHJM;D3)1LtK}38|mkjF! z{njK;d-9L)WoUF{LCchl3G&(Gf>wJAmdhPVf2+$QMn{(1(}WU92`%KcFI2o^%8e~@ zMHM1RH1@fZX(gSB#E_`fgZ`;iZdQfWt9tS{u0+ocdCU;N6fwXnfax{>m~88=8gx-u z{FyL!EW1Uk+kP`{`;UL_U-;#}^tJ?GQb)=Y6YlR#dgIY;@3uWUtkX3+?AqGXjeg-V zxy0}!Yr*IiTH|`A5dhDqT+PC5hsUgu=Mg`*blWG~+~~GXdb!bUpLTK)l5;~I7!Qem zoN;oa+YX+K$98h5oTwQAEm8{0sNwvz30M%_-xY6YyJZ}SfSIOb{>V`97jtyea(u-gN!0NA!!5ZNmsh5s3+# z87Qa5s9<-^AO!+H{c~XcH&KC|x0a0QG z_{1!Av%T|tBT3o}(UEbE(g@lu4*^KR&niqOkfS&ql~1^SjbM<%0f0;u9r_?p9}qA( z_XZFppltAYK7R-l$ICdMFc3#i22sNK#twyqDB-yh)|b?EzJ3Re^ZJ6|N<%=5xW7_Z z3nL)jJgjvOLp%)95Sc^3nR2e}UITnq7vF#czI;l~;qx#oqGSmp=UAB-yd0BDCp@08TpPJC)0@bI$a8z=L*MWPzbz-= zG#l!cyyZskcF4mZASK8cK)tcaO4-|`nmXNrq1m*W^ zg1}a@n=T$chwWf#T0ee{32lPp(g2G@Kn`%?@o^nHUa>f?TdU$ARm~#_3bGkMrzE_IEIB--AJW<_gn56(qZ@L#m1cV=X?2FExuEglj|Mu1&>?Q;M1@`{T@I=v}y9vG%Q>YRscao<3)iqEL28K`+=W-+aJjIku%Lt0m~H7 zYQ|>dexc%(X`rJx$6)D}Ebh!fX8pg!hI@(70=p#q#_iyrUQlv2KL2O^&Utbu){JeAHe)W2DR#|+UX2r>xX3CyasYE@ks zb+K%}po?pYIw;d3Eg%*0QJHZQ1@-l$ytBKKj~%-6vbJxc{IfBDwA4j>^tEjvm&dj7 zJtX+RR?ddafi&kBHGrIUc`{uv- zwr|L~SYbgmmNV6W9(N6BXsDG8KV)vEjnIf(!qU*iJ6Ew;kUwV^G(aVk(%~S*8F5DH zVi&~22B1f3uLe!ds->xmT@Z>B(P#*w@ryXFaYjByUGQ``un{?)G-wg4y4XI#m!U4s zf*?pyK}=pPObOswLpUo)l za?Py3vs%_!7AWqs_!MfIl7AgeWl^lDA^M=WtDrG8|0P~16eaqzX2l6Te-Yt3>L<%a zFeq#&^6`UmROaWi;edSnF6N_w5`n4>mWm|ogW*vn@CAF5r_;-m6}P}4E_ak|2hTkD znP*-LExh$+%FvGTm0x>+ zG?1v6pn;$w3zfyd^Xe;iFf{iEgdW5jzFg%l%jvBuclq=D+EwndTePa&<+tdP-e-UB zkA3b}{ML-;QY5vjT*Fmg(o=Qq;sTE}!_EQYkChqFyh;~3+f#Mz;__zf;^MJ%{|m)d zt9=Q1M>|2R!0ejSl|`*dPEdpRs9@uiiiluX$T<75@O0ry=i?dEzQi>i(2ojqKAi9z z@e^VXF*Lw9_cVPe4eNaVk}?QGxjfl5mo6?Lrp}KOkQ~gVC`(8Q?{c^l$>qf+=^m~~ zuG@y>T6T+8lj3GfiZA`{|Mb^?fA-=vq%sJxD+?u_L?bK+^x{3-n>h=>9bE^8ix7Le zN5d2N+`wxOBIhy04k`kY8z96EviivodxO^EmPsB&uVaY4JMBnU$&~YufPqh-^ksxc ziE)8uF9m-wXdgrD{b>t&A_nbyup$;gZZj!-%F|)b!1*{;LlI&J*xUo>%rV5kIp$;l z)#vQ?s7%xlyK+m$)0CuUlFX@qR`@rw<|-!R&z9P>@(_kybu`83#SjUvL;ov@NTB7(uZHY^g4{ZM;V0 zZ*RJM*)3Xi`OWC^|Ka!}zv2JO;Q_@FdU(Kr%)mR7(hp$k-5z1@5*kfi?t;gDOGFw4 z55_NSA0ZVj=ojtWL1sd0Y;mHlE@$MEz-I&{dz6?iVPhIcc0NyGBk(!7$Ay)$+k#=CIM3_1c*H2N7Cvm? zBV+46@(_@Gu}P8zB%_2(5W7U)3Yiia+k~uFld(;BW6ez5Q?CC4{&1L1XUn{2QIBsmqR_^p(|!6qQ9T#m#Mo2kVMz`hL4D~1Oh?KiWGL+G~8hTDTL-O zG_P1`QFC)k1yxy@8iwL*cQWDs&W|4T12Dd96BVbcmx9~c4* z*O6zG#InuU^{DR@Yz2716?>8e87=V{!JmLo0kpjH^u?tmqU_`ZD2OzqNKH;K8!~X6 z$iLK!Al_~gTEX71P(MC8)87Jw*BW)eiwP)$PIqORmn_+_(Rq#p#B-`&XQ}B|JTBBy zc+9~6Vmr{7v`f8V8&O(28z#iuK96 zOiK?<^0e!a!s9mr-=dbjy5!}%=c?X4SKb@tK3)yOKe8pReD{#)`s{5rQQtivrM~gq zGr?w!*hpDfvqEg;As3Qd99OZWJgjqOnga_tFZ5!??=w#aI}4fV5Gq5Gtf<}<_U!V2 zxc-1X8|>ais7>giIn?;Q|-fEx)JF@q_fUfo95?|yD~19CLFu8Jmd;j)9#7hqGfl5hw?_GLwSon z?C%Pxt6%-JZ~oVRZN@UG<{X%%iH2*>PA{c`5BeQ!8iG86{d(AM6;129Sg}kty4ypm zYb`KRYD%k-1q-uu2Mm*F_oH@SkG4f&mTp1$A%Z=awySe2%+dyECY=!x%1SVrr7ci8 zDhQ}jeU8EU0$OLnbdJ^+z)lmkb3wxe9uFG$u#}E_OZVjq6s@njZNDc`87-xwG7SW<~pBvF~KnQ%r_ss@#3yh-Z zQYY4!yq>`OjG1gc_1NDr`LXwSY_Q>nfv(jEyMa2V%VSse`^b7{Enb>19G*$1x@EVB zYWi8Q#01MiU*e&kjF@6G4egR(TIkDo?4)h?`qjDKBTH`4C9$g?`v=c`>mQnpUA5g& z14uKmtM16^9XjlNH?SsR>P>LtByEUp5p;*BvVGhZp-Kc zbm{?Jz6!z1qYvY;t1%Tsm9{Wyl~mw#z)EL4+XKCrWwzd@(tQ3~@V|rT6`F2X^lGpn zqWy&UQBP)6Mz4<6k}z0BF?waq3sJ-iz|tUoL@c;JQb3GK!%@o5syhfIda!OcAeB35 zlx;qG1?JX8(JL6WM_6y%Xh7>f;rn~&QFG{Wd9&WcBWXYm}TkyS>snZ zV6wm767e;DwZ^aRKe&4J{?H;}lw*xw@hYSyUu*p8>i!}y;8Tq%GJf?LpYa2K%d|KVmxtM)xg`4tCQC#)Ph!g%zw#L;vE__kx!@$W zobf9coWzzFAoMB3IOA77<1}C#KZGnf2^gpS<#SF0#%X`K=F+g$s76I5H`wh&SdU%yy=awX2n3!PAilXg^I=l3P$Z^ROzA*o07^yJEPeAtOPd3k#65B7d3p^stxdZG$mGRM9pXbE|9^sOw{-BW4ZU=w3o-^7O=-`6y!Se+B9-aSq zcM7VPZigCdT^)^23(3dS@S=4`TvpY_#&L2U`B16eYN#szq4JtMEq;@_x;fdU_t?EN zA`#ar=VwyoY(J1y_piGD-S4@2&->nU_4+#z^A_AHVUl;m`AiPvFi-Gi_guex|8IZy zcg^X3AS0oF7+OA&Qmj-OQM*j?!A0Fa$p=^H{tpA1w`rm^Ix$I4L+45nNelR9?S~h- zf0CB0(EU$BOD39k2^UG>oR6qzQO2TztvEzp8#J-f)LHgv(T~+?TDpp?ebFuJNG?m z6kqnb1|6Y6#ObJvsyzVyS_)tv!F#6icENVXbTC}Q9#x68AeFZZT7jc9AO)t45c@nM zmA4DFD1?;ag1+t0i8Kn%x{#)t3dNI)Uj6O*Z&o#;fULK+ygr<-TFghut9sHnu0+oc zc}#%CyJP5o@7(J*u}$S^Q*cpAg+{J2gJrNoE;*I8!M7|Le`rxfAz#E%>yBgyq!!P9 z1ptQQxh+B*wx%qX=GdVsPScFxcy5Ale7A|rnNTID%8Z9giAy+~<9s*+H+dwN#_Qnj z33u4#Az|B~t6O+`-NI$JXw@t?qgno~fBa8>*Z-5%r%$~|;t?zraa&wUdEmPwx}vb>htOUciF=Tw;&(~? zP0H%H0mWCJ)#t86MX&Fb>~h%fLq45K{)#Fe5D>__m!Ozr9rpat1`}vW@s&U#f;RY5VYC8DP`nWFnH!N5ajbn71`y_mM`v8jf)Z4A!5!#wRFGI@i52Td=K9-VccIWh zRD&7&V~?uhQjoge1@V_NqUsnpGmB@Z8R`1FV0YSTW2Yln__U1LKEl$}{Vs^^qNuFm zml0;4a%t-RBzQc*lV&I#bOH4_itcyG6WXDvB$x%1(I>Ahy5A)``14pCprY+g5ZAfk zCaeVN{v^3?PPpcj+*jR8XWewsxOGNY-W)pX?$XzPO*_k<=&H*bmv-l@Cy(Q^(f8^D z9utD`=9lA=$#y_os$s;gs&n$~CFjZ-*RH~=B`B7zTr`hXb49`v+87YBUa zpV%s`+1`2nrh8i8d}IEBJuQlqfcBTlF?kv1DEwqP_#P9!C?U9*U)eVBs+(_dSYDr? zGq-5f%{QZ)zvTD5^V>f=>t>D14#M)?ZYLZy?Y2i_#WFxm&(FiT?O}^NFVqMVT?BiC-hhi+5Pez}y+xF<3$pA4lX&oCc!t$N5p@6Mz5JZn*c?+WNaE?G$ zS6?QE<$G}1Ks_QX53CxK(lLe}!}7Q$7VbtnP{m67x1QCGR3xGdlNT$aVP0C^hVL1* zm8ictr?t{tatzu%=C|t^A9hvnI*ZCHodGh{`!bwYjQAzv_GZN>spO!i#PEoUvCb94 zqbZsl&E<+~%3f&Zf`L`rA7#ZPp5>J?WA)YYD`FJYk!V*BY>`N50m~44E zy*!?-22P66oRga!80~1s61D*bSO}vr)#Yl_w(ra{d39-*Ka;P$z-d%{Q+Q-uxMpnI zPKO=awmY_M+qP|V(y?vZwrx98|2Z=kbGde{UG+TsV%Pd!0uY0xnuJ9=a2B;T{^Ee1LrGf(t75`ZrxR$L z{8k&VrA)tBM~(2hv61?9A3+069O+aZ(vXt$8i;x{RJP8cbHvbK@skhw!QF!CtQA zL0YUfb0(G7{LTG~|Ct~zsQXFZ<_pvI54;@)CQG~brtjQQg^$c`MqYVbgud0D^1uk~ z?dCptC@Nb`0(>`OxN4D$zM>Xf-cw=daNsvAU%58Hiy}6xIOQwjW7RjAs*hLH(-q*! zoNr{!webJEw7dj$+swT{{!^wzJHB=XE1vmh(1ft1I3-H(MfxC=q#tCcWtscO2?-q? z{0Q^+11Zfa%b^1iTpKTSNXS$GHhGmvApU4EQFzIeP7Jfs&igz>z&H*MjM7f~E_8+z zBf+~b4VxfZGFS$E%x0}fVSfWQJZ-q4q3~E$ljE_pL2#8e zP9?h2PR6u&=v?|zwWW5y-|(I~F8O#iTa(-}Bak8LR-Oq#UKE|EN5iGCT@E~Dw63@< zZ8n#CXXnz+EVygAF0~`%FwW=F)p5SjvV-7bwc)nZ(xSHW-|*huh3)g9=R3vjGgEU| ztrtn{^tlMM3EC$=-*{eBw@}Kh17d7}KNziT z`uMGswy2;H|GsPnb{)2^C@?7-Bm1}8MR1X!V2_eT12VG|M?wa0cW*|mAhnqYYNe&c zxfbT2+NxwPwxC@)?hz*j(Xs#5w(K7*nSmVAaF0y&BEXkV>L0)o=XF-~wE3&dvu76X zhQC0%(`w`DPW^^%sJJ1pQ&{OWRFYH>S^SP7XQD=}iTc@hkHBfuX?A zQf5#66h)|wvw5F*LBM@@2skQ0Tp$AO-2pO{^&Zwr`YSo%Vla@QJ0^sY18;+06f8iv znHT|4V(kluhn>+6cdhz&&S*xdAc$D||2#Z)`&?fvp#UC6bHKX?z95S+xcf+W=Osq( zwfQ8sVkjmDE z06A04?=S?L?+kVqqPW}l5tOV2{)4cWb$as>>12Vq5r z$h;bcok)LJs(k`MPo?Rsx670Z+VohqeNG+1B8qja_&i&s$@9IuN&j5+;&GKK)$GdB zsfFJSZ4G9)0BU2n#_357^^i{_B8|7lQa6F`LMF4IZZ~%0V4M`?6|*YqJTjziCz~vh zn>?%Y`NzT5b%MJHigXsRGibnWy@t`uklBIn;Q1=1P}r-iS`o(ESr?2$zF{!YTpPiT z|K7D*3OfN(Cp=^yd_&-R7kb=%voGcNw%r-&m9({LOrulM6`{uL)o?oYR~+%>cq!#Z zw$n4x>j9tO42SWxd=Q>CHDfReXfLQQSVlG=G@Dp-tB5w=|7@V2mPrMP5{?ZUGU!4C zl(7;K^ieq(d-Qq~0++VkykJg0+FW8a4W4ht1cn*HD5cf%xe@c>j3o@-7utdAt>AdU zq8+})g^9yJo-L#gE@1y-g2V3z;sh7|7~*RdCI}nk=&q#Cd(}2mLTB+YOZe`KSOJgz zpz|B}zb(g&oM;ftVB-hqgy$K2+O_W7`uv3P2zIB(!1U`ZS)c?ld_22x}-h?c_UdvZHjonXSy}$f$uGPI7s?k4P{}ZSZB0DBw;h18goo z6dP#Vl}ACpC7;mFf?Fi09UM*!Y@~8sKc<$lA?;Q-j-yOS_MQ{M2uwbOH;V3#7T1Cg z&l-8s-O}XDs3i?dhpo))H=HI2VvAva>{`0Fya=50{vCdIo{g-OalE;&p6*y!3rIu# zZ>l^0Q_9D{Uz80b!8)4Bbmq>UDm2qa?;YMdm4o3hTz^(-yBHo(n3`~^*i$+G3G7uu$ z2KP)c<0ODmf{3;KW&g1RFmqw&31)p zk%_<{(z9B+cP0VqPr=DwXNN_nq;_=zQw)Uj*&+OsaC&Bx25J!T+o=eok^z1=%`?)O z<80*(O~>OtN+L~rDsmPEI9CxGuv--@LTZhWDkRH@7PEPbaD#*k1_+V8Qp*WrkZ9%D zY-V_o6N*Y%Hqhv7T|NW}Tx@8l28tU3tI{Zazx$<~N1anBMD}0O@ za4|)8*!)e2IK*@XekX&WH*w183Xt}J%fq}9u>^k|lM!Wx+gp&e5*2<{cKGWr;KJwm z1UnTc>;~3Kg^vJF2r?T39sd~t7i0zE&tWDhRi&2&+>KmslpCaHI_gLy76^#Qa6xqf zv28ZNxg^al#)78Hz{w4A)?naEXcUYFOS_C?pd_pIFUOh+59S2?lChgWK)JV5@iUq< z9@)WF0Dg$os1;bM1bBPv;XH>w<)h>hJ<8t>NlbNdc#*WUa(+m#!vV9>SDn2LJupvj zGij#66tY*QfdT~8aKSwEosID~YA-p`f2HwPFai^oN7F zY=9Gb4Bn>9;Vz=@tD@(*ui6e*J8z8 zW{{UYE)*dBf?pA2oMBx#U={a*2h4JYDi&z1`D>)JPo%uN=4h>e8K*(-6Z?~jv{15M zkXC#WH+=R>xaQU!n|B?|-yt1~2-Q2eq2SH0)OfXbp68Pwn zqM}@odw|1#+H5S>I5>IHb2)G^Et6Z4E#DGl%m&sL=GTwUw1$t&Q^a8Vr$?pG(zuoC z=+4rC!rM4!BfIKfoUPLojD04T?s*~aU}|lz*h(1oRgoIKt~yDHT9U?YFh~bz&b zlOs8cVE5k=F7|3ZAG8lkc@NAxuRTBg4fggqO7^kOwOdx@-m%X}K3W;nfb)QrfDjh1 z(3IK+Uk9vuh9csx+h(8OGIwYDj)mAobP*54H{_!3oX09yNX%YGr)p#(HG3~zt@|b4 z)Y5@qx8HA;Y1ytd862aDf38^{mL%`jH?8jMQ|5Xt4?3A_tLf+(NQ{5eT7D^sYsncz zUZ|UvVCG_SeOT(=)x4cw%&6%hC^zKr91PWQS=v~?M9*FV5I>x??u^lAv(Ih9_u?%r zRQNy;FdhmU`v53qqcCo2m66|FkA}fQO;?J7=U28Zf2Q+ju@V$0Wz^vFaB`q;JI`s=P-tf$rbrqH^{ zsd5I~zz6xOUjqo{KS~VL#|Y^jqV0tGXDWe;^rWwb@vj4Ytt>hlAno!zc^5~h=H7?z z{(exMLM6zWt8xpj2z#1*6kHtFLxbD}_CiIX22bW|AUF}#eT|#0l>0T^@%qi)(~BnM z;6Z{G@Y}6l0>3AJ8oJrYs|zq$!pCvEE>p^1M`;e3-}R)To!}1NCKbXlqP#~rL)TDt zIkj!7i2Rgsb(Qd213z~@-amBdD_;2anW>(MxQZ;d2DLz`*-;hV*5yC%PJYCuizzqS zAeEy(@Ot?RhC#0|c^sZhe!Z zX(^GctT5*Kp2EB)LNR)~)B=SN^#f-oEloM37~TA5Wu!DU9UyMmr>u(Ub1qlPu3#xizu)rlz?$DsS@H$ZYxsLXw z5cTyw7g=dNz{F5!4WC{T{3B-~CXqBy1?Bv&36C%{Ri3I9-qe!MYxU}*Mn}S7PIqWr zCjNlunQxR?9mPc8@V#yR?VvUU>)u`6soXBryHlt1sMwVr=cRmW$F5I)9Ek*43n?JU zzt)XT43u_vgg^sIb4~SBf&n^P#v?+`2cmS36iA5a%Im3(u0Zi;NS;8Y26?@>-V6rW z0fItFJV68nb_Py6k+lw+IgMwvtJeV^oQgAFu;)TOq7H$l>(q|7 zUaS5c>&(WZPlj5T$Qz@fvF4Gttbf(<_O;b4W3c9ty_{MPo$^itH=!y&JR?^ZmwBXF zyN#xm9p1u3WV((0@tfTQ&J=_dv}Xhuh))>;>HDK1#$)@=@4lV6MHro*5E_H;c+6xW z>p+uH6m)-S52bG(dyzaDSPG;QBQvJZK+du{0%=_FLpydo6F#Mm`2(Q~;1#YW_LN0^ z$Zg15G*N(Ley1Lx{HJcnZN>K5=l1*Pc^vO>Totervysn!_OeuEM5S%CcAM&lU=wnR zxM-#j=$|;}T}Q1lz`LKH%ROxw-Hy@+M4J|@vRKDYJB$lOJP#I!0Q>+Vab>Uyg|BSk zEQ`%D2J-%dXT=o?XaZ587&l~;)b#9{r`8Zatd@xRSsbP;3>Is<)o z2bg_+Jo4Ob8pY11u2(W45v^+y-XYa$_}rhqD{4A#QUV3!Sst>OmG}!sS0ui0{`u+K zSQE&HDY$qKQXB%VG|<|7_T{~+*nLcI0wUD+1I*xsR1eo`8V;VC8m(g2{AF+d z?gpd~cFZ_P5L!&j1R~mkF}sM~XbS^3DyfYfR%ufuJ-7K+z>{iDiz9apKq%lprWQN$ z=w17}cLPFQ9J=c!@CsbhX3rU?QB0Gf4meM}Mwf_4d&EMdiPp!t*$ufyMd#$4&A8*M z=%{N@yvlu^YJMsRU&pgeRE_g4=pgYRao#wC-^2}FbUSWha`DqU1b=IZqz4hMzvpgAjJ*LG}Aj5Y8|%PuR zQdAb$A;T<85FAs#BVO6HV3Mi(H}A!I8zag(&(Q7Ob{{j6JI(@C*D%x$ta4lx&QzyT z!gx$^`gH473hV80D%kR~z;%8LWUnCv2o$WCWV15X8k#U`&Q9rq&GZQSy# zkSVEC`R_DKQuL?g=Cefpb&<2ksD7TmylD*NyKL##lXJo1-$my|izJsf=Q!;*+0>&4 z;G@9OKVi;{{b2snxb2gCfg!!(X|Qs*P;;m0Y)FN3j5b7r^=v>Z6jE0QTN$)b^b_m3 z`Lx?ASHeeY=qcGjr{x90z@6v=9rYOGUGS_nfAn7}E0VAo23s#8O;JaCFLt9?H9+!C zk`~m}zxhz4_1^q*RV+5vr;jbw$zT3L$*nH9HlczH?wvV2`u6?2#qwiI+|97`+u*R} z5wCPHFPtEa&k9?heuJ~cN9G)fY7w}syH&J%*`Ef?X{=xS=?f#TA734`bop&XW{6U;T`E#=B9mZ^bn~>1+OH z?*CogzZ(@DY#nQaB?P5lAF@7q24;O1Zh$|0B9HZ6UJ-1;MU6>^36gTru^o>>WNxFW z`SVt$xkwuV!(5x13ogB<+L)(z{8E+L@5t(WwR8qJC0bJb7fqvF`m=UL_`0x@S231s z=rPMzDjt%`G2ifY{(Sr#Tl@G29XsSf2kE$fFBp-3cwS!iFz^+&8;z@hH2d9oN~e}P zkwOiuCEu=q-inZTS5CSQJnkd($s*ffl{zjp5Vmj@J-glv%z~;l|Lr!cgVHCd`P#w4 zVM=En=f?t;$0VU44v#&aEX$XIjKwERtYvA1>h$xji7Mg-R8z+gIdaK@>NQ&*;}p5e zpC8g)nDa!*jlXSUC8-$`KWlwYg-ew$+x}yrExNIK=Fb`JO-zRWXF(^<$`SAnWbYP2 z8oNogpdj3Fa=ZtoV^esUmWR0^k|4dj+!Ad3t|MH&&B4+IBIBl(Dt0&E@3Q^V)cNh@ z3-;!H&5zpaOH*bSOMrg$+{+y8*I&V9h;tU9l}pkxBIpL(Hth9^r1O+VJL495Kt!U? zFe%9@l!35)aA9owCiw4NAcn{9V5KuhG3bVk`7eTN`l=v6+>s8!jAH-gFLRujVmG+S zG-jo=kNJpySHb(v>|;id2!3NY z`K8rLn-G$MHgDPHB~j7f$+80&tw%44o2}Bv|sGM7lU7%xPME5(v)n^hHkj(R@Y@{eNi*v>&`8|J>wJ% zf9nvx0no#w-@Ku{0m8x?Z)IO5;-);^ByWLjK>4_f20-VG?r4+X7Su@op87sCK(A@f zDSk@%gp)byx3xWTHbDS-u?gOGnC;ak z?{;{CFuTS^*fu9K+r?l!A@iS>IWC!4YA%&0GEY7?;*&B)@x6nf^QxdQ9%W;-RV>WE znZQPPZKO>ndnb+h&_88qsT84eOXQ>=O*Y&re?7$bB7BCM?NZdcK})(cap^cJUJ_L$ zy=fJ9Uab_xR1Uc=G6D91E_k8kBb=1}ioeU)FjcAK9CKtyK2^3_6&nQkkLyykp>X)o zv3`SUrW-{@!@cTFD^un*e&2+j?KAHWoKjW0AaP3EnN%JzP?wrut9*_#%fL#IOnKDv za8nZ`m;b=xn{>o~*|!>%F4PT*cRd!mH?tXST+Jp12bN_mG`o3N+*#|~E~?k2BHvnC z+>y#K1nkA7w-1Lzm|X<&zAr>NwUH-xd@>;mEEBwFwVs75x#jECfWZQ3Y!nD*4Mt4C zPSr9PCquKmNXMo+iL?#yTFP|*FUs&}{p^1sMBk9Csmo*Z2&I`DSu!hj;IMRevR0ms zk2ng8mW;30v1eXb{Lnn)Iq^5BrmCe_x~47s^goH5vDJoh-qKc^-;zO=?5ZeYOV82I z*@CX9ST^?u?w4=pUqn7!^<(}b_EO|V)N6Fk;he5+=*90>@GauJg%iiy&~r8Rg3K+$ z%J&jc*4-|+(tdUJh)K8E$L^~Am7aDHVkOV;UvBrgt}nn;!tnDn<35r0*ieoOtR!kV z^UwBF6f#1qeql-CUwc4;Ef}2-PvMDW$ra2}Om%S~M!~@gYc*H7EocO&D_>zk(Rpa0 zX!u)nVNCf)_;W+%0j~|Pa-PDLhKHqktHLOXtTXEdtFdo^kXV0b`aR>4wX$`vbp!<7 zSZm}yjaALzZ!5CDo^!9F4(=r|6$SKM&n*BPptA8oq5!>iN;F%g(MG`)v@n$mCE9G* znIQdxqO3@hTRNYWj5519z%IF{l?p#DwK~S8S1dhs`L4LB zOxp=AY)szKQFcnOxEpAF^@<@uV~kvSI}6-=$dXg`#@ zzK7;L(>tAWRRs(qk6tmoWP$(7*13h?@*-7+tWm9=iB~zQqp51X#E7Nu-q6%)`1BbB zf20T7#WS#o-$b#}^#!CMTh>Ez#FVm}1Q0oAJZO6PcUTZfy`QOQl6UlyfSuTY)xmMK zz!B`om95Evd-Cr6LG<(niSdp=m0>}vyhKY1`{Sz^t~oHd=bqmMQzRdZpfw>T5mp=c6z$LyLq!sZRgH! zcA|R#9T6X}J#RbS8%{IC!b7iF+tKUA`Zu<%$+c+BU)`!Jk1slX`3udS|E_>-XlY7B z{dT~;4o#4`#NuRMKbl;ls4u|ESiwpHTHij{AZfwhKln)X4+PAiwIaio8;HKX$e_Aa zBGQYTR7*K8X|ZG@zr)ixn~u%~w-2M!%>@73Zmu0Y?&1}nSZQ7?QO_+|*gd)UquUFl z;keB1X5;o#P*9|EG2EkUgXFJuT|=FwvD2+ow)PbU%J!$z#KEn5{_nPX%CFAMe+iKk z2Q?$!PjxSp{2{SyJpMY9@cFLW$DLbN@5DQV&=IZ1J;J3uE`dnQ>aa+V6V*En^r!>xZd0Slm}}I zXU+WaU`5@O$5GaUW$XW}Xg0FdES&b2+^a{}_g8o7VmO|2ZKmDSEh$9fnEVUbo)_I= zm-qac)dYb0+5=tO5?mm9MS%7FT~yIv{lQyg>g@3D=GN zs2ASUbwL!rB?(BC)(VWJv_`AU{nSr=!lSv{9 z5#ad6B)Yuo{o(H2VF3dK3t)zp1b7Puk7~P|Zfdt!=D2L%rwaZ{`3BhRzxyGx?PL;I zOQ#BM5r`BBW*qnkFlpiR+`sdUiin4yx`Q-V@Z~VnFda;xQ2_1`cc5=hm&vXb0Iw9k z@CLtCMRgE5<3PtpdwHX#=Xb?w(V1$Wvj$O$p6g2@dCNNBH$7GYJ>p*ouB;5{?*zBZ z;bvZlb66ntH3Krzc-R5x*Zk6FP3ooddwy5>y!19W?3j7jz7tvNqMVGJ`(NdpU`D43 zTBY-#bfWK?;X={xX?(U&?|>nfu#G3djt4a6$Nd@~8u*d`b5;dINc|KY=BgMSBT$M+6HX z`3G)c@)6y}O*c-xByoEW-c+xHL_;mxryizhnMT&itu6?5PP`aD&V0{!9{Ba$s|m;B z+01=F=h`Q#3i-qoquE#|OAlK2lRkdpWkjvJeV}b?GCRyclU^J$^RvmtwUyx*VQD+f zsKFbskvQ%4Wq;ysv)=>FBe<^gW?NK3h|{&iem*83H0m0GsPF(i0y*nx9;S7 z#v(+BFFIgI?OCkh&bEv8O@Jw=l_yd-6~_*H`sX{K(D=`))~i*$EO`>9#@#*lj~Nuz z+V$kjOyP<7vN;XmZqO9-KB%^9RGC38xJePUsG`$53jalMbkr2fVof>vLS5neLY<*C z*-^A&qvA9!$^Q-Usfrh`G5Q?m?x7~6{X2?}?u2SA5=kL!;`a18@}1&=^y~ZxZNx#p zL;zYG?a#t4++XxNJfQcUYFSDmvJZkiJe)<+&UR(j)DDrr%C(4L!MDNuSb<#gW@iZR z#;N8(cIpZFOoxu8$Y{oP?K|Sn3F{Yb#Zg{$hx2+UkMsJ#B zyV+%OZK))gPs3d3S(Jba&!w0tezy-lCCs#LUHK{Q#pW^5IgVrY`w4n84l{>{5Dm&( z(W$P!hz7bUpvcoung7M1hw^KXm?bIHfMDQ67=i6($gb_Z+lQ8i6wnw@OMV0u4tsMF zbNSn^yFa$)e0YBdRIV@r{;HecEAoz{AU?xR2VcETufLQ@{NO&JJ@B6pFq6HA)$bN` z=lPRfyJ%Tk8)Vk}N~>c7_C#h7_paci95$I5P8>x*G+hK*tJLZ=Q2e$YMi)^*kjgxY zS*!{=eMz-XD__5YF+|adB&n=VMIQ#T2}IFK4)h~~9>$NZo5CZWMUX$K=Xx8Wn($yH_{^vI6es7;ugkwr*M% zJ(8%vbGA5Aaa~ON>ELpe;ftsvcjQhoAr4V!564h2{P1mr`rF~;hV1yM5)zDdvHG{D z9p&N+>VSCxTsYFh2`rB{k_#z|FoW@LI(t_tJkx@|(txE+U7&S{><%$;FK66_j;L(w z<+koymkVCoW?n_q^>5(AH#t4t0k01PKcJ<2hje@tFR1FSV4OfJzFyO%Ixzp9TuWNP zU8TKXWNFG?vqUZg{c7rzXm^1=>lvkClGj4_13d2p2PnQ-rs)BnDQ*`76f@KL2J~HJq3aypYW7c}z_r+*B;=;#sDSsB4{%wvZP(J||w1 z^R3Uj?MEyWYmXBUxU5j*b-g|Rs!bvI&&=sHSx&+|LFb>~Ce?yVJj!L}Z`Yu6FaXxY`;kR35SD4V1v&U;+Nk~h=!_c&LH zB{Fn$qZjgU z7h8BDO0B01J0-IfxqhK$kQE^#5GGzq402FkwhaPhQFsebq5-!Zmp`WsH>$IeB!rrY z5q=&N)l+x4!4se&C4c|d83vl;LjH9@CiD6UL%SqDU0enx>uymOQk4M*wtC zp*@J5B8yg_rdiB)qYLD7w{->0$!2$)8gtgq`8eATICwEE8$U+w#%Gymm-&PIQv5P` z0g4=~Y9R{?j4H{bgyVj3_OGk`LNUYYP*hVglIb`1MG*{B-pk2;+EfRIDBR4tH}~{0 z%#0Gsdyy$i4g!-%(HI!8@&D>gqV_Vvf)W*|?%-AsSu=;6gK(yw`*GwJ6){9B%Jyg{ zJ*mdw*e4@d{=lCFxTL=UTJBLz(gA4H(#!vxr~YZcj}%2WNGJ;De*%0}2PGnGh;>~E z_=E*hcP7da^@ZqowEwHf(SK|Hbmkb8x(40k9!+`PJ4ZS6gmEF~!P5XKSPoRIVw1cM z*83Yro3`upvrGSV;Fp}S-I$XRR8-l9-TC4JIutd67|Ha{-RMMWZuYgnR!=NpBjT%; z5=s+8lSfe@m;RS~@DofFW*~QdCbghPd^(Xi1tpXhB&D`2$GS!z9V+TLn0ysW#vmzj zG{#f(eef-IODZB?pU=M;ML0^-%h@ksrpeOKl%k_FnJ9%?U2_0QKKU6_XTnPdnMDtUm9D+{{o79 zeWxDM3La&~7dvgTr9tB!@)-6?2HH3O(R?DxX~MT4)@*IP#H1#SCad0BB?Fc8^a1S> zcJWCMz7K3R(5#9I;)9#cjL$wehZ?i4aBMa>20Eqr_QD=SV|WXA7#Hgs7jeW!jo=cp zZSTD8T{zIuE-E>`UZqkihNlYtJ?R)9h%Xj zdo0duHB*q%HqJm{+bqsdxhxWRGVG^Gi+@eBm6uHzUb&d{MSI68^1l#)r#K^NrWPhv zWHX+dA-PjwN_K!hm=kkc{It6!4-z+F>y{M`MiFC8la*7``C$G_s>H(VtS|ay)n<=! zebr7^WBRNCbHX-pTSmo&So{77 zdvZdST7Lw;rA&Dnr!ZeTkF0RN`3&+2uCPP9UK9skknNi+9*L3-vfFF550{Kk@vgUu zFW(l>*3_T15b))WoC$oz^bR|i)8;+Es6oMgGtRz=B{V}e%!cRW@+L;_UgD%9YsY~! zt|?+-IiRD%c+J%O*QPb%5f6JT(mD%FQ>fWgK|u}qHR$g04CR%dO0+WnQ-}aBr>d&+NbZs8)*T=`08s2IZmcx z97n#y%pG)^jFv*@`Rcwm7V-26YihrEmNeas0X+$82KZp0`K zRjCoONgV`*Dxz+7w%ihG$rbk{)yS24_|!TQ142-fe;gBtUgqQ)H{W|Begiw|8aHS> z%Bf`eSo$ViUMK#N%Qk44Y=|{+Pt)-(#JFHlt~R{d`kAIu=!4)AQfVbP$Sd{r+g*-N z+bcUSo^R^%?jBygn&R@EUxj4@C0=@6!gi5c7p3Cw$S_$vdDw(ctB#~|696kP9z&Wk zh(|K8IUxK3S_!*N@VM~VIX06|O? z7)%*)i*74K42bAE2767nHFw@2uuiQlLe@fM^ZOQ?-K%J=Q|Gm|XcPqzl4=ovZB*C< ziHGyT+4W?ROPq?s(8qa)+n}~ShhHZ_?jFu_WIvT7;;Y1O?PE8akh2zuCtlf+X!K3w z(f3IiL@nWx@AGRljtXW_J;^QuDI-7N4Lqs*%{`YZSQzpKTxb2!@ZPlg%~<(M>+IZM z^Lt);3~_g-v+Mp^8oZV(;DxP~9zS^)k)!9U&Uf{D071^|n7iYP!q7&*mDDaxn1ZrZ z1lSlVr&7WF`?4XYU1)Euo60VI099}g+3El_Ufr#4(IEIL&B*4I7ri41hKVnDIXru0 zh97hC-1cb~C*i5@pSqC^4cGV5#|hE36?e((VPsvio!=w$&V$u)yaCIWJcYMminSTm#RF-P#7OnDWP}k5FmS49D{laIfKkDIA)) z!+ezh>xNJ0^b1N9Ju}b%agHe0deu!XkLH}TO{kY18kf_JjMm_~gfnW0jA@cTqSZ_0 zS>ajfRs#%R9umv3A>UcOG8@M_j2bk*tcHwZ4C;OFsz!PqLfUL)d{((aLp`s4Cqw)C zZxp2Y zVzh=`1c))wX78C4h5H7}GWy={}t6~|km0$8b>MNdwM zk?3fB0t}xsCcf6XcL&_TmYB?)*iFM-p-{Te1&^E*I6es(Z{9%3vQk(QO_tygj<6W4 z;ZKJyfx`fa7P1deyugKVUEbL)jr`q#OV=kNh!Vz#U-KEd6Q2kvuz?0MLD|5{f z3O7RG=Jij|;KP@d(zZsh4#0v*wq;3<<99zVY`^3Bxg7sZv`@!HQzgVapHO_Mz+qOy z{bNEd7_Ss52;5FqJo=h76?p$yr+ILYtIVVn-pv}50&b!38h!dE0mKSB*CJGkYIcY^ z3SP(XtB{Dv{AnH~x}hY7B#b$csDVcu2hBpvt{0pXI(oZ=2p$F#Yyt@sj&cKnTH7i0 z8QF>bF-v>CJ`2i=P`yJ}P6MNGU_C({3`#r1p3>Lusrm9FUo|@?J;K%Yle&pVg4u)Bwj|8?X6hxO6qIDyn=x9sg z>q;2l=Hq=tx(|E~$b#4C+JGF%{)~bEO}HVJzPC3Q(2p?|f-`e*Dr6$z!P)a`^XNy| zan@Oo0ta7(gXqanAG@h_)fe2GMZ|*aCxEQQL$K~ZIzCenk4^}`|W?A$b~tbcXXP$!7oSPLRC6cHujNn9aMrA9#D+?wAkA-713D?@)S2pjc^PX zR}h12w#yg{sr}WkHR2Tv3Dm`MJf7JHDt(ssiF?&Y^()bxGP#2OhmjBARnM1R4RORT zT5W)k)GxpfKo34LPm%iBBPfCG~nGBB}3+OjQwD*)r zN2c|I=E&L9c(wVJ)Xk|ooI~#Z;LW8!OkLL4>kMvLdFv8COG5|wR@y6{woKusx|b*c zL9fk^9R}{uJqHP@g5l`uAo!MXbm6wkLAV2=Pe>Ke=f1T6;5|UBkX;LCVC;WFpZ)>6 z6?5q1fqQtj!B+nLZ&260JB1@~U*dXm0BVDtoR)ELNk;f`DAhhTwJ!yKtTR(KND3jjZ~ZU88i0FNh1!q zPVeY(*h->;F|Dco6|ESMXi_gYk)X;G&2GBxy5Ww<^N^wDkh*mLAYAaZPiG^FPneXs zCjO}wmYIp7mB{C2Fx=x5b!K24nfYGieH`h~;bE;*OL!|{3UyrHmCplsMUX>jeK;^d z&gpn@We3HM=YL`Idq7H~N(`226%4)6+-x=U;6<*y1OkBtxu2>)YYt~+I=|1MhqhaS zVtEuhTqX5d^m%JiW7p9yU5%H5al|x$gs~jXggWF@A1tEhpKgICaqnGvjTPvt8Ksg~ z4B>})1%nkU`6}v$Lg+n(*0`gDL#oxEI7)xjCd}-Mf|)&4jbV-n<*og!XJ|Ob-^9wE zFngR5Puzybt2(n@`(Y!)X<=7B!tdQ44w}`8yZjl@g|H`BPLqhey9}G^6hyQNp5izu zz*hf<6W17KV9NmhecE@0xPe`a#x3^ImV+3SmreGq4Vl}UQK0zwr8@2x2~eo8yz2+Z z%K8qI@_gXLJw9BVIr5NiEDLJb&~s0;Hc@wwG=X5! z-!gD*$tR}!?M}<{WGWS`JYKjzMinpO3owWpnB0m}Pczi2V z>TEe^;i=Y5b0gHMh=-2us9B(VoS)Ed0EQ;8=TjFrJr6VJ`LM-6twD|gemel2h@+(K z8#Qb0-1mFkM#JD$)bHHPZ~wxvU(S!dNrORBV}c|4YchT|X_XY+n1V5>QckJ?h!=QG zT*>*tU!N@#Jfj{1TR;@DEpYyuySuTEpx~ibw|CV@n=2E%7irG9Z+Or8H5Gjd+80G}dB~@9JN_5GPC7>&-dET3k$CR47 zl?^wn&pttv$kb?d6ouL)##*DRGH`Bu%gbyaMf_-Loc-tc5VB!pr94TvY1G3%iFyMq z1Di3N45&_>;-`oI^||Id@Uq-WC;Y;gUZ2^AeFiafUaa0j5}Axg))FOaK>LZMsF@d+ z0;NdKRj@)s-{zopJhr+W))kU#TOpc=fWeQGUN+}4FivHI65jtHtw8P>J8gwcjyVE3 zJpDMkQa!pUW>@Tne1=&wOd@LRM1+QiYqwRT<6svyr6~qJkdB0NSPtVxUdW<|Du?r_ zc{4|2L(sV?k$Fsd=^K_BLlh?hS@Fna$xwCg-l0q*nXz^1u?8OjU}C3uptTjawafK; zU+M&&10}_YZypaKh_B8ph9&S^3P7NvE5{rFW~Rgy9Y2f*LU#`UU&hl^kME$cx)h{? zT1rZ5Jp{Tc!eg#PEYTH+f;{~58F2D66ox1~HU71tHSpUa8O6Y{TTJ!A^ueJ=lXbeVK?ytW2P-R z!M37kvT&pFf_=s>3$(i`67Gnhc&7^RB4Gx~#k6ys(*S|?LW|&s&zE}+_vZqI_Fh3N z`=|T`NLeX)_j%NWAn_okk!_!$1$Ha}p9{dJ1TO-&;oBER#@*=Q%5(3FaU21|B^*ft z)`^rx(l}e1$%iyl1%7EFh`NS6qyD=wUfPbTMSo5dvv6kF%Vj+_3h(dRNwCq$dA55+ z_+UIc=R5B21bilNs=z^v6Q)1(;`^8~!;gVmsC7Q?9-0Fg*vrd9?NUw`QRn8){LFkWb`kz$UOwan zJQwB@N|^&UVg{I>!UjAeSjvLn-P=-oL6EEnt%R-!+xv5^i@9xFhuiAS3IN@~&&Ds# zY1qr-%Z4A+l}jq}6_JfHeK|3YGpiDZUX~YNJI4P=R1*mi|0`OErX^3s)@THs!Ucyl zw_9-q1b<#I2V&6WcZF-`!bFXB-$qZGdXp%rZG?rci`p~Qtb+YOtPNRA3+|AZ@% z?y3}pEy?_gbDw@zIk+wpqiSDU@SFlqexUDstCkZM7&w|8376o1{nZ&+ctEf?iA#@%cfpAE?= z?D1T+z4=l-3<4vMg1Tx%6-ZetNnuqAcZj$5`oMumDkmWVDJ*42J`V%|_lv|b27>_% zWID2cAgzc^b}gTq2nWH5)-585kS=8n7q|jAkknQQCdJ1L3Vy4$+Zvyqi<-pfc73kq ze_1>owqkBRACUaVag`iwETD#nacR26K3Aiy=p(=0AD;JDLvhjsPs)T;D8%q76$6FB zQlt>29!N^m+R6Zt4R9J#!nhc;OL#?-{|Bc)SijhDN#{c+UX}(IC7+L{k9P^OeZ{ej z^{OQ7$cmt(wThc~cZzn8!+w4veb7zJmbrFxlLaagI@dP_%3r34+rCbtsIZ z@p$4`^YL}d1j$aNAO#?YNR25>kA-;PcjdwATijE&*977#hf6Vgw(Hk;#_f$~EW1T( zJmY5K8TUW#fBU{K&UgU58MnxGnlyX$liY#@Pg^vL@Hm=E#1Ft6j0$6>Hzbl9EB|{Xh5@|ChgV%C!Lcdl}dj z-e6^nizmipx@NC+ZexTvQE|1+;UqbJ2pV!ftIeplCW&*R+L|o7FYJmbf}LnrO!Dg# zcEu#UPK?Ps3B8UZ2$DK&5^T*sq858tVfM4)5*=4&Jyh*IROh?3{vh(^8Ext-`Cnae z491|d4CxH>`EZValN-r;tQ6gsWlaQs8dPfO#>2)$4&`#J!KyyZW-2K*)3Xq z^PBO_-}=+v`^x9d>d?hhdQo4o0XDw z6tlNd(lYP9QqpE?KwizO{*{vU|7Y)AV=h^<^00$Nh;4?80#P6YXgdl9noaiJbvLmf zI>!jmfsj6DVjRoUoH>`FJbB+cGA&QCP#I}?`EL+A{T#SVr2sXB3J9fftNWjQZ zoJ_EYMaV$L$Py+pm)OWeK%QrO|z`|I!P{-&uPt={$RRc}@8s=c3edDgQo z)4vfKi3_16X&o!!Y~J4_X*ahZ<4bOCK|Xuny5;Y_bl3dWPrk;|H*3G(vn^5K6SLoXX!q_+5JD!3Km9 zz2}eRrEj#gQ+W>{T4Ur;VVKbdF|m)Mllb{8l)mRFe!lb#PN!-BP%20TebOhCm%dwE zi3ax*q(z_o==b=Q)`S5ju$Ncl(;t-dQ30MkgoQH8wFv_W)s>@`H0|>^UOUyru*kqm z+#XbOZox={n2^aeO`nv`xGxokxK z-!$+b{?zW9cN}ZTuUO>EE~cX2RnA#ET0y!jHp`}VD0%J6W9+b)^&*Z;u(`Gen?{DcNb#^JLs zzCb9_Ei{=AJ*Q!!p|>LAo`@OV3hf1E2rU*0y}%GSsLkcckU(UH7zfx35K&jRT#-0r zh6B`bMPz1Z2EcoMX!;3e*mOfD@ITa;*;yD$Kq8lhjAvUh6%Nk>lW&ybpU#n`Y+Dh( zJ(z7NR}Ba*R%9vL4B;oJ(_^wR{^Jop!7PWmVbcJ3!BDTEXia8Gda+qT|4zs0^l)a% zvsB5Pa6NsCRs~{u4=H|iXTl;R_)9f2SVDUT@_g`TY$kSg^z8Pu2VOeYMDIj-p4t7u z%t(>;fe=yP5xS#iHwYtog5@EI^g2s_hvEPPoM)e3`=akbU?yni6S>Xnu>Zwkg3>Q= zA6ay=<^2x%Ls_+uKbrTEJOuf3pNjnP#7NhV8)WO-#;jb9E|+opx!-&Vqv%Ie7sL4= zstJ<_@}-V0Q8!Z^xM>|-$rLz7KUxqjp~JDGz^e{GcK4!=0^!f4JcfQe=7T`UpI>^6 zzLoaid)Pv^W~A_!n|n4RT<)-huf!RQv8b6~w2kk6Qu;djbGmSgwtC0S)H~k$>_@-< zHp0V_|sIB48$t{`?RTB8IE5Z638J%rp@QaEr0k2l z;W`Rv5v^{*9}>4^G8>a0+7UW3YuP^z7}V9)W@GToMXWBA71Yu3o{)q)&J#i}q9;R8 zN+HuvS{Tv?o<3?GkKoC`x$t^X^kgzA3GqdI`iS4}{&VqbjMFZYDP7hwTrH&19i%wD_F;JRD1c|z-N(IrZQ|M?sL z_9wn?i9y*Q=m&sLS8j;4p{9T@=uAPz*AO5$jHE1p30(+>vLTR2Yb$n`ehf_JjKD?| zdVN8LKx>9=b5H@nDR~CstQmGSx)yas8#EB!f;Zy35K>kZ7emO@{v+3GN?R&j*z_dfh{FaB@>b4>$US|cO{?{*!A z07*px`Hiy1;WPa$+8hvKPZw-_qCZR_oG#e-Jbw&a2NXNXVn~+eS0Q5jJ_WsdUu5uE z{`S!D9BGJ&L;`-P9^mURfM%=gKsLEBB$(UBGhba1F&L|a90n$75aHETkR?jT7BG)% z?rTa%u@bTeYlKmmvy`aO);zXHad%*OC~IGN9Y#2rJUTSC@+)72eF1Q-P)wIN#2~a} zWHI&?Rf`G_Avpl9wxP#NUPbgxvRMB7N*2*`KUdMP_}%^o4WN`Y7MWrf#|snDg~dpz zKzBIB;q)D{I9glgQ{Z2g0E)x`D;hs`UYdH4owXnuRqeBCYDLi3vm&Hv07yyv+OCN$SPiJ^JS@TMXK z$O{53;Vne7J`FxQRnTX_dsY9L9zU2R!TW*gj;s_wKCL*k&w&SZM;JCOB>(cfdX@sm zARK8$Y|*Y?jvw?H@Yy+qzDUu|%_+=_6sVwRZKgwkqd3W|NHHA1Cy{IjNTB6e3ai3y z&iq(Ge|H{btYD7jvUT}@p9INa$PU*zg(!XsbkhzQKFi_H3J{tvQ7kCOTF@Lcc4YY9 z<>fa#zfL?yD9%*$g7de$+NC)&4Hh~2|iVnh4ei}veo(T4UngZBT&`+oSJ>=PxS zld9tpAkOemw-{h!_8pgC70})cG5HRZJ2AxM9iW*vB-)$d&>TT9fFYQV;y-vp z`5}-Kfj58}z7(>1h#F`%Jgk936YXuqwt@t(gvD{9Uos@xN5kPjHUuKrhEs?0b0pfE zVTWY6Lk1z zWKCowa%Smo&d~L&lHhudO~+DyW=XWSHKDUWG6u%^9iZz}TKd}qodu;2K+YJSYO$uj zKbYNgL|KDtV%5xYF&_{^?Stm_RjLS;07dhV@vlP#pvVi1Fh|m_bqS zfZ$|?ZHvM;4W}driA`o0_>m}kS6xwf)``Vth+%@Yq`$%L#~6Y9&{++C-(l<^5OhkL z(AV(cg8(-H%1zyk^o02{qsR`hFYTDl{ zFM;`p_({r8vG#m3+?pB;;KebZMLM+nY0DHy7gM#r^XyVD z#Z6}ZL#7}V{qs!0H6;$h)4iep?M44}w`fEEn?e6y{r2Dgt^YhF4zy-t=pQOL$lpmm z02u`Ez?G~Y-U^8WGfYK)$OD(`0HVJchExP|665YZ2Bv1%0Q@C@Mo(oJ0h|u)i~d6+ zorQ2bs$*aF3;Lozh7f^D5A;VKe$=HYF-WMUqe)Yx4Tq|3weXM*?Th|h#R@)so)(#S z@lcYXpub&B*Fr8)j$Q9V^50qd=F6e07?86?6eap{O`^Z8iSAUK9j`~Id<8v#=x>%i zN-4T#SC1Py{p}g{XrATKyN*ssv1WFE^wVS-<`5i1cSZgNp)D65H_o$fy3w7KSkTTB z*_R%lC)TXd@=+e$-=gM-ZeUrn-G_D)cXWS`aC)dR@#r<18vGS4dOqS_Oc5UB4cE&c z+abXruP6E$3U6eww=>zNS6ur9@`D~9E{+~JWIFW7eq+?)nU+egbkWRTqALY5gpnVt zh+^9q*i>*6wx))Kflq6i8e)6rHFYtBZ^Y&U-CiGP-7VUDpquf5p8Lli_T9hw5plj{ z({o^;6;E^vj*2D?SUhQ@=U_ndAPwm(3Cil$-R{_9Y$MSNvJqNFNQMHk!H~`Z;FyM} zdH|CAih{9bs8k6Y8d;cfMS)l|g#RV306GPbkH}XPA1D|Cf+?9m)UHBqA)TWDY%pvN z!fQZX0FD@;IGy7nY+4{5!}aNGfj2YLlcMd(2k!}~(ksC%s|2J!%PU(~u`y=`^1WoP zs~7_?{XM;+{VWi|%ky&p!Q(h&96cp;I*uPgYu_9Pj$p>A6TZCT)Rp}CQXUd>rnA)h zh=j<;B+IpDoIa8uvbl$vjCbeDhr;cT^Uda3g9LdAr%{|@x14ysmioVk4=c(VaBiW1 zCY04g7AE){Mk!c3s4zU<8wlQF5Y*qCgr>p8!x`#K@chcETz*>#e4jU9t3Cc*Mnf+4mxcZuqr+C zenk(09g2-oFF;^A3xe$&{z{N(XbIdEMDL(}z&wdRv?c-!fcN}Z5RP`kUa}RLrTiS) znjrm4!JtQFrSuY1g~=>IZvd!Y39AJpV~8tTv5ap(YX8f-A+q&<1856k3Y_ZRSD*me ziuS)AEx~sJ<@8F9*o^_4YdF}pxYhngQMrI&z$QA+Jegk}!3+m;-~{kq0-PY<11McH z0GjjxtdPw>mPJzX#lT<@07^(%PDnCp?}I3`Zdyp8@bnzA|Foz zZjmfdXcpk++X=YcyC~=*!;e0K4ac84HN|zV)`sIZgX5q5CqMmDTsdE9nU>HGBu#ko zJuwozyhEw%lJovoixbUi4$03z5dP`rG>3@ec8;(I3l9*9taZp%hqn-L6UWUEI1X`Q zF>JsTU}`rRGHx-$SrsGVxEao>7zvJ><-Ft&aojBDrF{6SZI<&=K1?-4%ULNOx>;uV zC{jK|Q9z9=97IC-w)`iBLpM#hPrIO(#K$3v2R+hGq&{c2r zE~sncwxAcYd?GX(9xNe`$y5=Zo#P(r1;v^Y&IZe%YJ`U;$UbF9cMmR(E~6v(^kCdX zxu$z^cT~Q_bB3p)szc+0Jrz)wbdTwC?#i zEj~XuUSJ5A&t(70V&SW zm2Fmd7YR8wtn%IQCXN){)=HASwwaZlUU~4^Ycdui4su9B+4M*4`*9~4zV^}^*>p;s zr!lsR3ywV!-9;3P_>#wwi4vn@oZD3fRoJdNu!0k^;~|Ub*$+O@(a+ff%gZD&d zc8u@*xm|;ksk`#Z?%+Q7Pd=Y%{vmKzC@=N@{oQZ)<~I}5R71H@V(Q2N5=>0RnNK0+ z3oHvuX!QM1pEcy~kr{>woRoTohX~mA*&*V)8Bz&a{1CKPjs&}XVhWr@ZMVR*FN~T{E4~h%8vHcb%IjeACj<)mf5>Xd zhWY!lG3s`)gZcky`7pE8g)FFj1WXwi+wINp=d>) zOM`@$WI<|(TMM>^vjSqu&zEUD?guv5B3)9AXKl&vl(nzawNgw5%nd15E)n{ zh4hxpQUcXriSA!SaI&UKX=Ij?{Rx)y-76BjrZp+g!Q|nDw5DW#Y|SGkn(*k8e+QoRPaF74me-nt9vTUY=Wbi#9K0eFgQBc^Nmy(1uecni)2QO*o? zycZ9RT8ma~k|9CP3_;fA#&%n%$N#-n8W zK>t(RiDXC^iiRd)g|IY$U@{~MnIY2u93jrAc`Ut2FTo6xj%aKbN-NS!FhhuY(36># z$&~bI&=C#uHKq;eCD@7(9Hr6~>d*%rg^|f=GTcd)M|~n_N+=~7H7|?WcoOJRwXlwvoZ36W2X+d?8P`c^zC@%t`G-hbH_|G+2w;$m11VjeQIh_)BP z@)R3Gd_om6g3pNf-G}AdjE%(^8!bG9Gsy!9z%10CpT@z^HCoP2n6` zGU7m)9}zxDN+?{huXbIuy3q&MN2}LfxLE2G8e!>)Q(MoZ{}cIY`7 zElDWYlI*~ccH*h#U*9|`{hcBbGO42_^ucg+uqvUQDUF`J2tc1}9=+SNdsbH15{d$c z6imX2!84QQ1v}4K8BO0jdiPOuGzE>zghKQgoR?roEjvtS6a=>?W^~HmXB!g=U%`%L z|LZZA6*!7zWRq)*%MQ4Cu_IwiCR~3q;qtOWcCT{Eij{h;l`G3DHn{@}TC`*OKn==SVNX42K$%u^7#_kdP=> z6feOnTTFC7>mZlxLWv-}MV$66j;;}toV@%4%32(|miL4e9JYg&mdp^uql0}Zlm!eC z+m9@nA&8cs6be@oo*88xv)fa&IHI>vK20Q%v}MCxk-tG+O$_;_VoiUCFy%zg6V0mQ z&(q(cSVBTRDh%jwNB8%Ls&V*~*xrefN_O^gCJ{$QPp&c0paNvv$IDt`o;YCPv7S7DC0jRz~4uO zO+#n?FK;1v5MLL$2LWTjT`et~VvQof^wcZaC2JLoN3i0A9GZ0qe?G-px{T2piZ{8R zQ$sMIw*OI~fWmjyDF|WAObtmhLXPrSCMz%tBvTV8r9w-VebLLv5=o}_r1}ExfP8Ec z$FTG$*xGmrWf7%kEj_ytZwK;66TosGHh)U+Lj zpT7l{X~W~2!Q*Cl@Q%;_$OTokIH`FamXhI z5kT8WJO9#E^M}>W5%L6V=ff}Ku^$UsO8>M~8ZfC8OR|2zV9$S$i_x(G9P~sL(+FOo zHX2@pbU*%7r)0YazqEpBMpAQB_XhAL_zQmuNq>liqFFz^JN2qOjNhKJpZXj3nZw(V zxe1^84y76nQ={PMaa^@=^_^XkCWW-$sA}V$-(A~af}1>+5VTNVE!}co-`O#rCJzU^ zw!=v7)IGtw{?H+-#V-%KQ}cU{D{_yp38UhQAkkGmnd%zobF}M`D${F6A8`4t0IJ7l zbBBZtJBH=i6a{?$MN@YntchTkBVfg{_@=FYHc$T9q>`yTN~eeRY~t0zvzh6c>fYmL z^K`Oj6R#fQv-!@DrGe)x*&2Me^?wj8i-yoGTXR{qrj#aCsZrNIRLK`L`L-i1QD~87 z{X;Oo_aNKBJHr*^Ju~rCXo+Sz88FEA=XUa5>%GW>#{M5jkJflU35&oW+h4Zb{-T|l zoM|YC)|oS2Gd^?YFWYJVLYfu6d5xjWQM|O;)%YQ?%^L5bh26AF$D24(bi`}&d`JqW?|CJa$Eq+(Ez{5Gf9Uhx zml9kms$vPQAhB`-YGM$dI4|iX?uhAH%}IL6FJ>^DmGlya+E$!X^pfAuU^pwjB@T5k z41ynUnf90kAVs9`$+3JVKdW}^Bc($aM@*@7$q%RS&U} zu-lk2cIdOt^}H5cCb~8cBX!67%X}^GF6GU{iKbvvgE-(BuqI zQ?oOh^4N7Hlj2Gi+-IJ(Bbl;f-TbpBZC`-A1xf&-x9zG@XVHq#KKx% z(~k1W*5m(roT)02u5hL{lvd;-4(lucvj0&f+UCDsg4E*PIiwRGE+L&{S+0O|A;}eQ z%Z7Bf4(V3iq7CV82I;=+mwxu&{Gk-KYD7^~Lll2P7fT5Ak`$AQU`NA#U|9gz8T7ZkA(74u zgRm40YvFTxLx!zph%g1>Ph7F;!gRRs7Ot9Ms~HBi1+E(6oSNxRhVr{+mP1`L*A6&F z?}=vmoh5(rlI4Lu11-_{BCde5WY}t!AaM@b=X31GmJCnK5?w5m#XzS2K%y$EP`2jU zur)&G*}hZ*Sq0b}$OT%1Mx-FA{NY?*YQkDEn~YrZqdij8dOpQZg(*A*G2^>MtxqIs z4bSQpwccKi<+@w6VfoEq`M>w~K4bXIgyqULuoSeh4V{!Uy8v=pBGJMO8?Yo)x$1yK zFATk&v*%cXcL9+lU^zxSNqFlGiRHFp%fVYT90tyU$Pdk^H5pdg9)aeR(4Y|B0`d~e z%@BtUg318aT>SXO7l`F%h*lGjLjb1`z6|XNX+>hW8HO>Tl#c2}8Z%wYT8tFrQ+~z6 z2$Kd>_P-cSks=f7t$6iVfYD;7@_&T5_$K3{OTZ|^NS7UzMjBVj93d$ReA$cy$#>>E z_}Z%?uREZmSN#Dcr;%#E!k%zZWtmRClt_v5^>Z*uq|9o+$Pee~U~aqP)5UX}QhrE5 zE|SBCpxM;i!IUVzorsX`-Hix|&-H1UzYuxqynT#48)Y6T8QDq9QBL{IX6e$(a1P{;QSnQpX!~RqwZ6^=wm#e zJeInxUCdnaI443Td4w?~Pks`^lHi(Q?4=MP2Smc22$|FT?l$0u0gekn1zlp6?qh({1#pYihnu8!4lf8?&lDP`Hlx-D< z51ztIKD%s3l&IK8(c%TBD7xghlXsL+mSK>Gy?LCgocI*BU(BM~h8`ubde7^p*VFhw zY`pdQ>A}kghh-Qchwk1Hlf;>IHbSO8(I%IDo+4j6>NQ^u`*aRj6@7Z&r(!hX7Medv zb>%xh@V?*j58f7g6wi1H>qjG2XoOnjDI7J1UmDqf9xQ6!AgNrVI9>Q2KA+w91Ex08 z5Y1yM3>2QMGM|kExiH0=s+We#lzq={RUL!ZwEw|QpZAw%r@msBTr^}I)uUUdnUCH%8z$vs+I!j+|Xwl4v42ukRkZw7D zLDGR2*X#yT+Gtr9qY)Pq1H?`ANh+Z2_EwxmJH_SOGcJmnmoJSa%Y=7ndX$M!vZos!ii#5kRvPJpBExn7; za(~b@QP#mw_1sc&@n+eyP%o9I=1`6NU~yl}68*;P1?9IIMWu30rsU1CK?)0NGWLM; zR9d>Hd)M@BC%g}6W63k?uU(4iwkDE&s-A|XOre1K?*T?kyQAZ~hx2Su(yFU+$jQ;) zqvpU~Yq8uHBSK$%0b{4rntgj<%@5zLL)9?PnU22hyLGbf78^q4!91Y`#-}fA*mp}B zLc`zYzFS#aXnLOImF^zDTd5^9ys_rHbz1S=I-S}T->tbGQH%(~CmHKx5S^;BveBqz zvZ4%C+TnpCTODb_Z;CH8Rg} ze{@FHS&wNgnRmB8x845zuJ%2qb+fCp9@Dyruw>iqkMs5SzebN~My9PL{qBxG`oP@j zJojuvppTVAY~k^Xvth7_Pcv@fS!@ojspK9$+{SC7-P5NvmM!(ex6Q3>)Q=*$B@K$X zZcgmcjUi%c(q);^3jKGYp*6-V*~JB?W5N(dYARX7%keSJ?aIg&Q7+5A2Z?p>2$MM; zGH=?E{?GJYJ|O0n+!eNse&82>?00OsXrhX;M=+Fec2rabuI0o8_AJI3_DA)uD)_DN zTD*59{M+DNp$i5rrsCTjFqkj%Z{PtlX%#IWecYS(*6lSt6HZe%kLckP1&BsTw^X)5 z{jqR>(xw<)HMdwUvo5a~@{4eYO$uYvMcZ`IUV7vHtIQc~ImhG%0IiU4%^agV`)aUC zWV2tOgu+>})ti3Bn{Oto$CMW4DM~I_aai)n@fRRF(=m=Ka0`kXhP(4;1@atVKfdbZ z=l$dt{?2b)q676v4M^Y*X{XuF&#oRi#OQG4CwN1i4%8v;))kRPDG5dy9Z35$*=vHZ zUa&5dvw@xxlo)vjnHfoVKxu6x0433zQF2U5VSun!xuT~7A%mhkUt|d|HznupNIYUI zVphDg;!Jg?3LYD;sy|enWKgHtQw5LBvZ)ZhFf-A&O~@Xl>sFen9sRI}G!}_Nbty5o zDu=>_BjFVZ7L zJrc4L>G-T~X6cm$RR_sOr^`{&vIW5RunCQajXAV2hs-nHu$eyL8xKzJdgn_ozuP%R zbRX{MP*zJZOjxm$%vkxG?>+Out-obDR^IwsUJ(SIf1YOb!}v3n@4FFsAS2OW6g_D{_>3m{VFjdOB3w1# zd35yeW*^eEgwql}hn5=F;x(MsSoq<5SbY}jZ_g~R5uk5W+oEzVOcfVP=R^WcBv@#T zmRNlofz>TnYJ` z*cy>gHb(h*ImAtN@Zc^H@O-6x>)^Q!)6dtz(B>&@o`R4frYqu4b2a^M9A5nTuSnH& z9l>h7zF{CM0^nmO0LK~9O3Dgvp`=YLGQ%i^(PBgwrK|kFa8}dJ5Xw~u(-Gaa{T_V` zxgn7#8qUosXP^=d=Vp~NCNaa=x#CPdm?36|ogV>CPazssa}&Tx?2VT7fgMh`?5Szp zWVc1jN0`)%+tAPF*|dAzLq9JM&chR& zctaWIXbaAB7POXDBdcnnwko~AD=M%T5ZKn;qAfUI?-gBAB!BLo{=Z-IwgkCKlDe{< z6|{#{rN!sSxGI-!ZZm{Sijm~%ZJP}x2cZ)Vy zyct;hw|?qtzWjfE8p_~9g~o8H++y%wS=}ZqTHzKmgwPVt5-+;x%X;}um%%YxLD<@y zEnFTu%UO3_ODa8!^#dmQ6`5#X2A?mO`uy^96)f*vsUtjSqP|aV@6-vpITU1E4dWF9ap|6h@k?~l*qQ}Sg*MZ<08t_?n|*h)^x zYA73U=TM(lodfe_?&X_nU7Ib*&VIU=zBi#FHhW?Y;9_PI}e z@KH~~wOKj#VW^G);wL;7kDO4++5?TJJr)m~Xuktm3vmx!aD~(0adT)}PMqM1^@s}S z%klHPJto-=-Z77=m$4WFB&$@HI=qEyMdsSfyCIotGwX(U*9Ox&cyu!S_9NY|%(dyj z)(eIEk~MfW-d;>RIDbL>gV4_I6BK3}gt4Y&vAmZcc?)$Fe9|MOGi-G|V(PZDzb8vw zWz6cR5DOXD@vFY3#Q4c>AErDdL;3|_3zhV~#W^B? z+N0^cSW#Qq?by~vk5+#a_VZ(lUtnvZ@XyLWB@^2)-6|biTiNY2I|yjX(L3OMxhDMr zTeFYUzorj_K3nrbv^PMt|2@RZ>$9~D0bV8Joz~6EkUg?=LVi?*c|B@^`~>9bm98`f z{Q~MIm~>^wo-peiatpLMa?Zj^w~kebQ9nw)n)a~98| zERS!7b2a3-aQOgkCu~N#BJ15}0^|}`L7GF-a{BQ&s26&TB>> zLmUnf$UP)q1a^{P#fJ^AqVYEsFhbjj2Z&j{384~L4&<*UR z9000&t?o!NB-EQ>TLC-?q9DhGxfPm~KpgZU}<@;S0!X9^r442f0Nw`Ab^NDfbVumA2>EFhb@%GzWw(yNxPL_&WHfqe)qr4ScF=hUz@toJPh z-a=seer+RH#-VArcTOrwNhE&ZK zp*DzihYqh~NT@TzphJS1>Cj2~*sn;aGei8(tT5WF(88t4W@$x2of)E}3_UY3+yfl* zb0pN6;m`#-d5>9VL|K1mLY*0gGAKK|8lgvdL;iS~VTV#Oh+#+oMg{lq7Cs*NT{BB? z(pUkm?t)MiwBDU1Yje@Ef{OcDOBUVDvIXm0KcNaU61!e_*CGsLBwpXx;{H$#N-)Q>^&aX5y#B;hT?apH)`Qfowq{8kh>4T| zbldVnXLW?CgUAdGn+ojl+|bt%g0C=LnecUl4*3(SOvs#Yf7QN@&>WC+&~rqKd2#5x zj!;!>Zs)O&P5D8J_YptIeRYuB1JECdjr?-N`-qm3lsQ+$p!X3ihdtWDSjFf##I;z{ zmwDQb%>g)1OpP7N>Wz3GwkF#4z`PHYk&&gpK?557^xEMrjp`l#4mE8{ngr?{2jsBI z^Ypi~q$ABaLvVh8 z_K7w?=K;@s9HU1i*-N_1;ai|>%c1d?Q%Q@RJAO~m8+XAl z$Szp6Q>%e=^tny>c+45Phfj;a9cEP_a5}VW=7h6gVqfjezq!5sP51>`xJ8?Pb2I+U zhyTd?|D$24wgN#F^+tx_H__y~R9is@Us)*fwUznVb6yu`M)qzIWd+0(93KWq8zmVO z=z;_tbme^9&(|rMPY@Ss9O*4Ca&lsH>7DedT-a|XtNB;lXI^1Oy6Y08XfvII6v-Vq z3`I64ZwN%5^;vu2LfgCey=gi9cp`>k z6X})9F?3z}d*dgp|AD@Ce!{w2v|;G_TXYFO;f;UyTmRy#2}9MPDRTs&@eHB=et~OP zW85f1|EXkx4?=@3>vS@~a}7a7RE3nOWP%StBS`@AZ4ige17IJ4B9R|N;wRXPJ^)P# zj|mE)&k+RKd1Vle;$&i|MXE#JheQTs?MR}?V%m&r2uZ!bAUw!K+GP1y&{lwSKMA@% zlzl>YTha)&=`FWpdds?iXv5p}Kjzntx9e`vhPUf)(It5M_J8@~KH=9=PF@QnB_qHI zxs1!xzUJJoZ(|cFHdNae+StGrBtoI-49|&D5knCN_3n%jum`zc4BLHG*6%$d0NGhI z2_WCxf@@f=NW2Z}-wh>f92&x^N<~bE_KX13Aj#e1Io3g6Eg5EvfDp5C$u6j-IZNVg z*hK1hPa#<$VL&lHCpct`0OZ#>R30BC*%PIuzdPtBVCMzJX>p%Q%Zw2)H!H4S1k4SK z^EW6-@*FBOI22dp?+{oMdY<5Rj6Y9*iy~WeasZvbM;!GhOiYaK5q@Rra$M`bFvgao zKui_LmdnXoO8XT)a15j_5o9|ocgolYb^=rXr}gJwao^cg z0;vHY!=~)|mX3dfbbOGohYS_eks!AR&3a@OA~&JfWbXbdd57?`vXB_w{Gjz9d+mPE zx?8mQLF;ePC4SIPe(rzvGvAl;4%1WYP)R?a0F5j`jKIT?B{(BQ+OUOE_COvZ451IB zRr+K|ppAxyn#FG#j>p|G?_h?Zi-8FWi`3JKgxO?>%Da^Pd$11xvxB{bb0o@|VF!AY zG6_haC=3a*W*CHcacH!KlovycHA6T|pgFRV4<&(eMe&2o64=42J2Di*Ubu<=BvtoK z$XQU0gSf3;4q8n#LlG7s-%$#iX1Rw{y;R*}&!$V;DID7ouE|KgYHrA`J9s%2h+`wm3)PxEc0c z#nwt_t)jtEOBdlSVy>^j$B8q;a1iYv-~XBQH+JYj5Z?f)5^31TEc5=xphwi8!J)h! zDZ|=%cI|;)1V#8Nj41RU`Xb^J%>&%Wm*f6Mu?REe9=d?u`vN9b5O6UXeM#Oj?vuHp?w9U1!AD;LP_HQrjue(JX?B5LR zfBhf)FTdfpC)igX%xD9_Bb$%Pv+#W`D)-@g-?l`x>8My4LAZ$5bIX`IKl#llZ=9^1V?`eWbo4-+VAfx%*7D>S>>{``=Z22<8F zXr5@|_r+sq<`J1t>{5j^e{e1-mK=KOq$1poBEC3Nf?!LmP z+1K__>#GF1o1qSItFvS*9FOjX&Om!N|AZtKHp>Pj35kW1%+rTM2u8 zfVGvd&pb?HkBo6U#rdN+J%0M5H}@V+qdCQi1`kg6U7F(YO{#h4_k8S+zdKR+G$CPd zZ#mNo+Ru8eOg9G%ITb(&YXHs&_qHOWZIojV!I?o7>64*PefMogqB~RH2Wg=8s)9cC zjjS?S#%YK-t>ub@dpmRwv=_giK{Km55b4la>igIs>C8+qMyMsAD`iz}=_5gZvQ_+6QUw_n%On`i5R$NPUk7(o2=n zt_Yuyi4|g|EAC^Kc=z`Q@(1Wv=ZAElf8x{WaA5omf=Clf4m?lXtITq(vms7KY`u%V zg(K66#bX)uE=L;mwp)(h{-@Qc2_5jK*xFvWKWUTuIUe0azG2h&xvZ^T$OSIrAU>8= z-%)PoH$LUvbB&*?iu#1FQTnmZLif$P*>{cr?dP7Dvi zH1pu=y^f~o_KJS!A1G`6-s|X&H9RMAc*gFD_c~H#e#)0%p4HGC>cC8JfRKP8vr4fG zZ&{MTEMsXNzQpJ(g*X>2_m~c#Xg+q1GOuI4Io~^l*WR2z=OL)4Fz(P7#Eud;37O@U z!nwBhpF)ZMc692OjrZav_`JN7fl^+6M5+U`3_$1xwDSpaq`b0I{!vMFk18D~gLEA! z5B&s}sP%|z>f8ePc${0nFL`nc@acB3{(g_D0^Qcf|1{Pf!q3}+=eD^8H{%xk>^Fb+ zH~e&}J%H_ont&xEbPH|h5Qmc{H?-%AL|so>obwPyg<-J%WZ*WaQ`G5~+2df$({l`;T&GfJp$5xD|Jrx82@lOqFL8X^|f zRDFUS5A_&U8-aP`6L_fa4+z91X6_E5?LDpNp}svpV}Lj|fGJmEqlbF1;(?uv!r4iX z0p5!*c&KmpB)iL1BdJ~(dZ>qvFiRdJ5~}L4_ggZkpY1>A6l738>lFCA zgMmiufF#u8Gb}Dh>JH(fz1tm++Tw>c#L?{$2?4W&nl7C?tXZSMvRu>Opl~N?Svo|E zjt1QY0rSa$M&?u~+y~J=z`kr>U*~>uLBwb1L;9f~=PvAoaxW+CKlO^XZl=*zakxhz zUnI|xQ~^>Wmk9Z;sW`OJ-^eQtC`b4q16M6Tgm1~_ez=a6tqf#nS1fct2q`-~ki22vAzH}OuZg77yaQ~10ub=&tUs^0IU;qnh z`A8y!DfT{`_VH{gEMSUop1M|+qXnsP@*U<9wq?@ZXB)bT8F;DCgOguGvp$&Z+Ck?C zqOcIA1BQbE#j|V!I{c-{aKM7vx1S7swqZb-K#~X@QupP>_-sRqsYQ~%==YHHDb6v= zHZ*%7MR17FAxwf!$Ih}1eGg@#Pz0!ywCOB;wgGdCbljjdB>I~pe1otfNDd+dh^z=k z@06cqmTlb^O}$d82n7Zd~Q;;2_kG0^Rao^kC;_#E-`F-E?S3lyJXCSVX8tSan5TjmH{)H?+%~#D) zhqnN%1b;JJuT~628mvgkVCn%8UDApKe_IipD>hBAQ4!^d!jRx^hOO}wri5$xTWf|* z4|9ikKG$++{Lw>V;(XF{u6=HXW0*X@t< zg>M^ApYVN&3?F?#x0=ucjW9@Iu)}f^Wj@Cw*2%+eXnB)xi~8{6>Fyr9dab+@yvBw( zcy%O1miPS79=x20eH|*h>0*4Mx@%F)XV45?K8OtDEArRME+!1rWozKREe|cf z@@6@k`pEEzx<7%%5Z=OV zl*rXAhpxuJUw)W}@ue41`wcFcmf#4Cj-K711G)qBJli0lXGgz+(;&V>(gQHR!Ty)G zmrCT~9-L7HkoSVP-YL}FPd64HBDH*L|3d~yV&4R=O0V~^u%uEFxI>d2&HHHw za>_vsar}G9eq=j%{5?J@e_kZz((x${kWK1?=3E}Ub35VxjTXu8vF;6b`QmA_;ep-U ziOrqZVqxDw$r{RMJ@d>nU;qA3d-bzYB3#=Y%$=~_uBMsk;%6)z4d=S}v(7F=)rf`h z1BVv$QXH1ipcV@hRFlNVt2v_le01Gz@`isW!nKG&KF$6 z6lO!E2f*ooT_Wdn!9`=8c$sNA{dg?S23wsetkjr>PuII*@#Ja@69DC=4<(L5Ui8eMa-YDT>$$Un$`7)!5h#+o^uU~gRe{i zSXSKrhikB@(_FtgO==;#9(9`V?Oni~%{5q$J6p^8nTKhbgLd0_WFPbUzvb;+N&-CM zxR}`~Fw(LfrocdiRtKc^AaawS?Z=)n31E|I&@4`^ebBDs1h(mMF>Q~0f%J_}%w}a0 zAg+iZuafDWn$0RCa+_2GZC=U7PmN}ke5uV_?G7AigSj#lXynDkDB;R1+X^#p)KYZ* z(`8>0hDVG|wP*#v(5?*;w<$<2=CcX*&V}L$DT`O-;(og@J-f+$#-gx3^ahnID<;c` zRv&sx`$Eb&j{q6rL{|MB#p-$Ww=8!v_P>NnHd3FHmTPyyk`#Bjf+9(dEO=fdK)v{o zCE1xK_xo$wJaX}v8gfZ?`FJeJeh=xOE2YuH*XGQRo<(jOf<8$E)nAu|TeJn&>u=E| zqAx%HE#Le9d_fAXwWeXgwUt*d5Szbl+1D0I?*fcdWolo2o>g=8)#q6?S6_W@A#V=^ zoi2Q5)n~}t2l;xNEo0SZki=M9v=0)BE@5=*lt3(h5X}uMzm;Y= zFXrmG0W)H*fy`!W_WOqC33}cE9a)m@4{wq7=Gg%qW&=qQA2M`-AZM0~K7pYAUgPb9 z{-?q8!}FejM#o9m#lTzKfl!E-*T=~myu9_eIcgSlm;WOQFA3z5e|Mk@R4+j@6GDMg za1qKr^1vCHcAiS<XOA`&zs0Jq`bys^s{(_rf z2M(P!Y>T9Pelc_@qM_-tq39n*w}-a~z;r3h5`FCK!mjQDiTm>Nk;=STq6UBl1BUNG zhSr~@keZ^UR{Lpr6!_09{oMiIpWO?Xgbh7Ak{6QYBK#A&1u%A8GvN#R4~Si>8d*3aCLQT z%~sa$KRjtV054pkA6o&``D#S9BTVCsvx4wEha?Hlb4cZe0>x$1)_Zf5P*?)_kW;ZD zE`G@9s2xH0LQY3=D&Z~5shFjz6VP&KM$*Yz22g3>9n=ZvPg2IW9!fPMiIr_li2p+& zi0vMGl$y$;OO_$5=_GiX(dY$b+MA`$cf^{914axmoK9Dy<$_?@b(p0fPCRBSk1VAy zV3r3sl45y;!(Xl`4S2y4Q?5I8x(A3YmzGjK2$rq2Q9@V=s>j87N?|Wp_8nE5@p%rh zkHlS(RQ7C5{p8{DcSE5gwdnZDBXzPb%jw*g<&=F{P77a_lYLoE*_Y)Mzbtq){bf1L zd|7Y~?#qI6Sn*}SdS|~Z?=0I<%r(9v8oypl;V2D)(`O^N!9ReZ0zdSIh#D^WS{gJ!# z+DlcvtFl~J#bDIs(quawKP0=XfL8Ups&l7P<8m_YZY5RUbUO8MSNjrW)$Qu6-xUVg z@nt(5zpi(+Z>y;fySnz*4}*MvZoB>4U5yYketvbetF!B`i|dzdw?EF;-~YPV)tT5; zEroM;!I~X(ADBCx=bo+kd_@Wmw(xt_NpY&Za}S&NG~*_o#oCK&+{1_4VDH!-drzNM zOHJ~9d=|F(+8ghEWB1zYZ@fxw@GB2qd+i)Qm}$iL6^Vu>qL}KJ({_>jJ7#wvh2WXJ zx`9O8=osgAjS`UDRq4ia+K+RimCwsS%S2|oT5O~Nlyaiy3C><@iI*gnaUrM4v{x-l zxq#Joh0(^EyE1JzdsnziP=4YEtK{o-cZJr0u%o;37TuXu0} z3JJ0o_(hu-IN{s@G?Bm+6Of-658=FlyR+jVoHKwQjhXQf@*}2R!`<2O5b|pk3}>f9 zIByLFx&h7)acE*Vg#6~%inFsJqyaKm&d-K$&f3Ck20BM@lFWFIXo-2=UA+lDj4fbMM_gh!GeoJAm* z^ua+Qj4P}=+*!IudIx3z)n@Sh?06df#^UJincj7Kvg+uY9RbIsrF*D%^KpfHsCVea6+mkq%D&n zJ%MNl;v;-8XzA_Y<_NwgLplOx7}LcAXnRVfNQR6)%#c({;WIQ~`m6t!4C$(wA*S@I z6VhNln|AvrLwagv*ka;5oOMzp$A)y&%&@`eStNkPFevY)70*y4!B$W=(OJ?_vo&L~ zHZ@l`OFC+12^BqD29LgP!jNERNk`2rJMb9vBifte>n#24>7e>AaS9{?gNy7e{r%~V zX#UWnw?W{+EEgR$x=SHZj5M)qX-&lWYw}lDS1A+4e7|U(NIqKeN^DKPKsL@6Qha83 zIxnn?SuR*tv#oU8B8UkIV%$FA4ed9QFD?C-pBde=MOjZEH4Z?N(B{u>Xl{nE=`|4oG8)yzDq39E5pa{3lA`M2^G(ez2& zBF$z#y`uJi_TT@(|Lu?ew#b!G(Fo&8nCQ)L{fb1JEkC#p;S|JoE@^1Gpb4S6Kus< z)gDZYf5iSCfPQ32EhU8&>mco0qHD{%mGDV_UEv8HtxRpUR zIC@i?G@(0Be}86WnNZK)Kc44#-KG~`5ZSzF zS?`Z}kKjG4*OxveG#R8Pq+!Piy(x6Fgu=U7E_zdRHRy(nTq*hE1mjfx9!M(k8aXOk zBqYB=>MK;=eeK;U@3HRA6`1c5-$ynw=SmJxI|i!!p$&hK4az;l<2TrlRcPYYw5{Y~ z$*bUJnO_zBEI8EWXN~lWMUU9kvq!xh;z^_eE}KiTmeaCgFWW8!wa-&fC}^Xg?+%EH zd{#h~ZMQ!P?!JHx#sCx1u7EGu+Ef6pxb5~Af#r1mBotgi0FcCOT24P6EAc+}Eb957 zX=?`f&OL0QQzjOWi%skc?!e_vS$Om}r|k9;Sl8X6%_+MX0_zKZQ4pQf!DB~BGKR9)>Fa0mZSkXY+sx4Ri-BEhmXqioG6d0JtrWU~oD+E3?#yR~8Y z&0zWaKKSk5@%vI^sV31a!4gvHpj^yIQ210o=oK1B0tUl4UQ5gC$l$nQwI^|fwTl`l zf5te;&?ou_4E}*3LJ$-jXy|J|z7T`)L~JZ29b_!-;0rNGSfKk;3KxgK8%c-ug_wSi zN{kvFLyjXE`a%q(Ja~?{n8SWl+Q|wr9rPSR(WD&ba7m!;G19-*;8w$F`+EvvLu6aB zN#;Z9iGbHu=Kp%cDFSCPnRiCNa8I8&yrn>{AegSXs8ay z6&2Kb*un&d7(7!A3hBH^w%$q@EW1QTcAx>rhjW$!e4c#0l`!6T>GWncyW(jtVf@3_ zzWQTcNeHXzX(ruZ5`>gZfH)B7nbk4KB80aB)xiuq%mEUQhN`)%SMWYJ^eH|Ffm(w!Y_wZ?532wvFyAUmHjDhvh(zPokt-D2A zK)e1HU80oq?LYGV`&&R8X_8w&tBnLG1kSL5dH1{uhGsi=y5U;SEuE zCSW22FPA1L3132xb0;i(GM8pp5HkkfEfaGF2@K49zrSRvQr4kRd;yc+)7ey;$)a|o z(D`=cpJ5B1W=x?Mo7fi#t;;j&;rqOW_#6N1YChuAo>70-KmDR#e?B=NT9h>hB$R0H zUNBz)a%19GfYYw9naaFGI9m~NYvjBG+z-d0Z1wXsYf$_NTc}y17OkquX+>YNZZP9O zOVOxFD~moE`kHl%`ny1;R^sUdBtswKw@`Ty6h@snF!+<9uUSLSnS#xv(3h2HC`XVZ z9QMOSwD5UA3PyDs3G@8el2JFy*%G|eCe5-%j{`n)sh9`tx^$Z2fS6_19XP9kZH6e^ zmq+)vr$6*;GnDxX7*JiDr@uc~vJMOYYag(quXQWLS&umwe=A><4WgGR#!TQ9zlxfM;f4^F_C;pW|n)nl7xS$LUS zF-NY;+=^LtUFKHI4`X6p!<>bgVN8p_ZdfU38X;Y_ToapOt%@p5)%6q7j%FGN{su|_ z+t+0K@mKaOSKz>0#wezc8z~qRzFo+yGG+=eZy~!{@p9*W)t^84$u@*uOwkZ-yg-bo}Jh zwM;okoc1fil!4c|{{?IEMk@JJ7y*zn+w-r^EziHt@0s4Ets|O^Q`Y^?C#>N!WP;nm z3QWJuB}E*eQicSQb#O>fGNeoB!*@5!R*y(+#ZSLLWkM1nVJ5e&x}NTQM`7Us?n{*G zCaEsVRxfbm@?^DqHkPZtCn5O;L$9CiOB)taT2@fCmyk_~UeLzpeicNxElfPGEyQ4& z(sggX_N8C)yO+Ym3$o8MtyLa|qRjPT_IdSm-uEQg10<0l-h%f2b3GfBC-^&{W(gm)hvhlIH2Gu^K2m*o1diQ zT9f4g^U2h5Jo%QtmC+J2y6{ordJbU4m1{B+9xV@TC+*Qa6xOtbJG^ygG29i<+(&(` zRBM$tE=|lP7}o?Zl*I&d>k$ZRhIcJ#d>YOZY)`!kP;TkiaU84-RxUO~Y&#!YmJL$~ zZnM}~$xJR7<&1NPG>~_}Uw;*4?5lEqgO*+28$#e(tUJB`80WmTfs` z9>6=L(4Lh|!46ivj|t)REFNx-D1tJ2tr$U{FPlP`mGA>z5IUwy{*o`7wnt3n(RlbU z(o^^Ga915eJdD=ra>eO*7#F2pL?|J^f${Nh)Fc68tkp*7WSEsryS_gN><;i`N^V(J zHicv(d2QrFO^B)cvT4_1K&V{!z6x}3JNhC(6UGFKlZfVojJjBankS{yWgGFb0cQb_ z0?KYY?TBlgfIJMinI5-k%lrR}L!I5^J_xmE@VU$#Z)H<{k$tGmZ3oCj?mR1-f?BO_ z8wU!Xu9NnpZ{RjrW4#KPhsSyY^R33ZaiH?{J56p^@BZv(K64Sw*UEv-lBGPDLl%pO zI?b5&HP#lY=K-U~{ALg4T@7xvqJAHmzzow~e8Gb`w1hF>g`UX-z1f3#XaW;{aa%Hx zJ(yEW8!($j{ewvBW(oboK> z6p<0f#2M9ZOIb}6_2#)se0m%PNf4c4RMdAbD!3wq2Gz;!f2k-5&ezX(29*jix4U4` zdiNMgD!w~^HvG9wx^XdG4Gh({4MXwiGSx)}J;p8Lv)q!|ne^0F5k9>hdDR{ew`BGu z$?Wg?lYjm1{mjxR<*tMHql{`rXSBAbq`{`*IEPWeEy%V2Bs5`G?2Kk$n+yq>VGEO7 zd!%S-I5C`AoNHUr20?&8JZSwktw_+c6}uxEa|DJZBFqm>&@{s^`IQW&9zQO*Awkm& zO zYXUWO&55bb?g8Jms7-}uj4gV_^WdKFUEku_k}uaD!_o^&g?)W;c5Q`_v>Weuap0N`KitFc%B|keZORO zGoRG-B~k0~_X{(c)la`K)0)!`#jCKt|9;`vQ{OKf+<(8YZ1x38>)Mjp+u{2)H@F!j z3h(7|h)d?Bj)urooD!4V;$wf=)RzjQTJYl=OGg+ggV8O{ErtQGB9*RWJNdyCrrdZ& zKPJIc8IKYM`TpE?`{R7Q>H!8hSJ~8^O1|^%_}otWV@i(StoOSLoZfgj^>J5cm9(0E zy1SgZ-qk)VsD@pgmA+t5?vNd@-PK;Ju&#D>Rx7OKJC@F0w$u67{jT<`+q&7++4aL9 zKYo7wHO|-H|GL}NS?Li5`TnC1%w5iN&o+#DFsELXim`>qFUB$0#HSfI@hmn_W{Dh~ zd-!l0PujVsPiw3M>W6Qena=Sm4_J?Y0j zxcS4r>MOtat)#u6h-*z40e|6N&4e({*FXqEQU5a`jB_>acI}>m0Sq|yBR9*(I*|$l zndH5e19gN&?%O^I)SI)-`Po&|0m~d z{uTF|i;_5#IH%L#M=ZnSk`A;H(O&!iqul}a=B!-No>_9P^U1h>LlrS>Go^#P0!JsfIC zI}zZLA@MD)xON`&>`-RLV7B7yP-eOx(a@5&?Zgycx*ulvC_{V&`KD;LB|3>AAk+VS z9;c?>6+Aqvj;O~d@*c%9x}pGbHVgs zXV2}1b+?y`6MkA2ZqbHyH$$v_-o8*_BLi94Ej~I~uBTGl{j$s4bLO7Y$dB<~u^}Pnk0Z0CRq5Vx6tnA?F_$ zt5$gkZHlIy+Pm)2jgE7Va>yRoua%A?gd3lkUW<(3QC|4jWlr)*gcv+-Ojd^s zX>_f!cy&56D%=uHsY;48?mL?vJ)Z)7A}uHDZqbH}H-n7t{KRM9{vRh~)PYOZJsQR@ zfuOOC)B}X3sdn0OVjs^oQcsY0LA0TSTW%MqdUkUeZiVfd%EXN8=Htqey}FImOYGM$ zQcvr`&7IIt0_75vc@7wND+BkeYP=ST!?$IF;@cafuDeAW6yFRK|J3vUb<-y()>d4D z;?S6jqOIr;fQ!B$*!lwDwuYKF0f*|nGVcV;SgrlhPN(~QkX(D{!OCIsqC&mSSt+gPYnsCW8c<|P;S7QI`8g6o?HnP) zGyrSSb2*Glz5=1q^4Nf(#FN}Z7ok{E3V+ek&8Z8GCh08Yw=!BDp!ln6wxP?#EElOt zf`eLmjSyVL`l`Gevc=S!SJWK0eI{5;Abh(76bzT`fAk|3RaLOR7J50$Iw<1jjHQh9 zZN@T|?F4Ad_GWconHA?)<_pc2BZAaz(wz_SZkkPZJ&Fn8Io{Cw_M-Q?TeP9~&7k*R z`ez^3|D7fD9u6Hwk_piW8h8ch(9Jd^p$>vHi8TX=vW1~XZ;rMVNk5cz@ghe?kKSzy zRbED+s1%P3J$iS03}q8T^pln_@6j7<_IESO8 zC(_XuaXx~3>g5C_S@7Hylnw7`UH&UDK5cR*>oJQRobtyr*=;>4B5XZe5ro@ub=hEb zij(8gaf?^nZ(LsXw5w9QVN*2ZSK9S~1q~z{R3ps!yR+q(EIeteNe^jZ|J?D_mqU;yMEwrzWntmAXlcIrPBj%&rgR(TMc5q#0!4$ z1y7rXT*-NvVSc}D#aWqQ{xF!~tjw^dP0z{er3{7U^MwwS--b9u}VMEtI~!V7%@YZQ1dg z$&UZvE5GF*?UP)H5)DdvSYK5-l?T%Hs0-)(CxUU{Cy+iynLhL~LZH7SN{O>AUuX1k zwiV04%X5A(TU@2@$rdjs<7D)(YS2a^s172poS&nohauMuLK^bU5C|+NS{h2ni|L?# zB8EZV!jJFq^sprDfxLTp)fqi(mcu65aXa`>&2ZDCwjC{J$!Y%X%#hQN#rLo@ntbyJuo>DlO=aPxm_E{!uiU-TnanObiVMdDflVX@8ly z>o$c{U~a^-@@XLmr!ZqhRb?nRcUNeC4o~>zI^15@Vcjj-T!))+9lq_~`PBdMi<9f1 ze%vUIuP}yALf>H_jz{%dJc6kKL_UshYUqk`MHq&nb#NgOBl|c$>iae*G?&fFK929J zBO0zzFQ@jE)|bt-p>H8d(lQCOQj){QS9{jxU~s+mw&T{ET}f?}J_vWlh(1tcAya^DAzzU$O2MZGOeg z_!aN{q2nL>!>K-??Sqz*uuNj76KS)W`M{vV(L;l`GLz}J8 z*EM+exuFyeWljr(jgJsJmEgETcA_wp$R!wJRs%Ir5k*4|rCd>dddv`V^1`C;p**U& zi*zv(-IyT;7YV7bgVt$zX!&_E!~LG#6q@Bgrq@D3T2cH8yX?N*W1Is|vaUr#)PIoT zSC}Qb5vZh(Uu0;pX-US`ygCY7rGRKx>bU#&W@J7m&~<4pupTXqH?$+Ar4+y4^ujRd zO?g$s^#ON1n{F!)T;?xap**m4kKcb4<@Tpo$R+&5EVxS>7T*jO|HVK3*604|6yvM5 zs>S$0X%)%-n&VJ7=colkoo;b>%dj{oMmG%_)EMnTHhVN-MX=Zm_k(ic(eqtMOKXg8 zhwc!<0y(iF(*HzGe`vvCTk&vE2SqJSAIGb<`oZh3hGAqr~{OCR+75}E zCCDFUvjJj}6&~!6_CGBiB6$$cGP9QbTXRixHQP!)3iowne`77!|Hz9MlM+!RSeSGu z{pBf~W?~&*JP=Iq09N}u&!Vo7htu3n@iVFd2>;Xm7ncnX&iffMOfOs#&O;GAOJ^{> zkaZ`*AU}U@r}HmFSG5<>(gEo1<99yEk0U#Px~RD0C_=y(6H+cu7KCry0{U%AbL-J> zFwJWl5Aw!?)4SgJ(#tth4OT1iIrKUV>K1O%=Af*+|fB+i{{W%uN0<_?% zGr`Ftg{8<&hXh2k=WI+#79jhPSuTRKz~5BLKEp>~tZn=M1rN`|a{@?trC2&WQ<1C6 zsa(JWD3(u~T+G37nWf*zgwF#aFRCtV*@8Dmvyy+)%f4>e0ybYfIQixa4lXliCx2^= zGVdi|{0pD-d0*hbSj#AuEr?}botJ?^;u0fZWA-y%&+6xFwYLv)WA=O8=j#Nw^REIJ z8whWy`+JDR(fj6N^P30bjoJUSr|!2h`jZ6X??3$fAKX&+nukUmLL%dkmEKbKTk2l9 zfTLmX^D;e>F36Jcr3)engX>M*Pq-&r>V8YzzxvV};y_%j$$T3Uv!(9C^Yf>f|x zue<+Aj$0s^<5B7!RC?(j07-v7bzftkxMt+%Q}^AWMnZZ`>K=@IWyYb%S0>th>b~7~ z?An(ce-On_yAH41Ww^FxP%!*)n zY!a}Rw7LX$#VcEM>i@#@>?ZdGg3c5PWGZ>enS&yUS*4B-ClzYf-lqEbZU>NpvVJzz zk4=5^vh4_HMFStap~ow)kMs2ezS}XrW<$_hueZ1E7Ht%{^-j(uRrv?s`R9N6BNKw6 znHr&(#o4+A>VBliLFrwwB)knDNO`fEI*EhD6Y4*kYu&03(!aG&oF#q{x{e z2F2-cmL7R|a>OAvBj-rw01?y-5$7p2AASF!l`k0*LCp}_A1d+F)ooLrBN5aLL5UwE z#78F|I?VG!lOmT4=`H}}$A+tLkzgX&INf_bg;V^SfRi9&Ojpa6ver){ft#~nCdZx| zQ+{^O;*EfcMk6o(2agS3V{jCZM1cEH-FY4$c*vT@HG|+lt`wa|u#>6DXwaYSkkPWs zKo&5Z(ZVHg^DxXu#i44EOeabY8H@{8(jA}MX@9oSqnwg17&LRd?`ZKPZMfqLaXjrW zxfi!72^OQ3UwK4%cyJejmMyMa|C4*|apk&Ow4vhqTXYF3zUw!tcl>ffMOAG!u3FG= z#I#BB{){l4D^S8OfT4qvRU$&9PI>Jq$B#v}G);fs5gfUtDp3 zrLM@9c-G{Sp~RJD$%!?{|Kju6_s4Qc`2{q~G^1{6*b9Fg%@RvN3GD*TMi`qVh+$MoEMx`tbRBR^+-r7=gPmK*}Z_>sZFu8RI+SL zR;J}35Wn4y1cbMB8ExQ3sX5EJ|6a>*(O zK3S;3!mvI-=$-fy)(GftE6#Sx`@ZSfPI<{H8>O1{m!0xBo8lZZavVhP zB&&>}@IhZMU5tc6Va3_rd(A4_NzOIjYwg+2a<2Qn>}x;Yey^F=u;zTPyd?eYJZF35 z{r!PDKgZm$2YsSfKD$9xMQtBis-(YvHZ(M~^XzNt>%bDaK^OM53&_Woxd`>+?soMC zw2m#WCpz2{-isfw*xjD&(<|AtPqk}3?$`^Fzh{0+S|h#E)yyAR_Ckt~ers)il-71O zuOlaSxfc?CHa0Kh_Ie@fZqeq2+>96UKmYCB%kN7$2)!9M?}Y?S{b^Ugqa5{c&$MfAI)-$6zktz;>goc#89dvndekwX^Zj(h^h#GU2v2{f{X9{vtPgBM zX~kzv1qqSSbrl4Dh25rnQBz7mM0GbXfBkg-tq1RUb%){%R9rY&G`<}6$z{PHyZ7!+ zk!zpj4dlJpS)IN0L{bVHm_NyWyWjN(zU~KpF@d?xCWvKrn|o95q1#HL+8*L%Kr263 zg>YeWRY2)t?g55D3eFqy`)`KOvqK#Lh8+~tl&BWoLhgaT1ZD^|T8!i&QLU{4qb(Wo zHzFEV9m#Ysj6}6$NHC9v!=b@B(r|!oU4AiAW)D~0;c88TE^y@1iUf08F-_7ziK6^? z1kBB{1vySD_jNOr$Ci|WSq^mzl{kdeU8_2M{*#oHLb5!P+Hl6w-<<(|k$yzS23-gF zm1mQ5Fms2s6cFkT(B;o87YhmkvHO&`SLD9(P-E!vmtiSr``W9> zsf$U1S7)<++Bxz2H@)!9x?8jb@;4L6fBd)op1=F*BKEfk{S>LKTPoZ&Ahk!Aq-{Sj zZqH+Xf9Qe~Tx7GS6+QMtT26&!yS@_%T91Jq`}?ZF`-JpLwQ9X#hW&do>je8%R@NJ4 z*xy0eP8}JH(aQi($pqnyp$U8dKoWhMw%2y9bTJwBgIh%zVVop7z(!sI1Npe-p~ajY zS|(Pb`XqPOqOX8mtmw;DH442I5mUC?2g&1NKCLtEp8Nrcc>Vvp8l5d${$Bv~+077Z zmt}ibzTYJ4uU~}j@>n}Oz6nV;yuEF)_PSfN;qA@f?N@#9TfX)$r1HJqj55|vFnT)z1gZJ5Ef9Bbo*!u-^U$k^j8m;>z0VhC+r>*2|QPvG&E0A_}x z5IVzK%t+JeG>!G6r4@awJ#;k|l0#ejbTZ8FHl(g`l9&)P8*6vyF&A0-)UEH{rbD=9?6p|yl@P+k+bCTU z`J-!Gm+fVqp|~8nmTBS0pXM_IV)6)O0ongj3H#u-;3<+1YcHzm8F^62fS!6URh=wT z4$1n&)?)|TMQp!Eok%CMXw&g2iGPU%Jv8Q_k?ZycKQQZ65D|LcYrt=Oss$9S2Qn8J zQWF>@ajfxi94hv$%2l2}43VM^>MUtRqG4QdAKKuiM(Pp_&9FZnRLvg! zh`iS=duLC>>%_Ag3aaYW;>(LmmmQ9#_ z=PZc`W(gt$46r6#B`G5Kvy{?6w3N05S{{y)@XsviOq%7qDlgrYXo-FeaYEo!RqRqs zYhFuoqRbKybRS}fjsEYm3~o1El%r3WERvdK1A3`t>n-k$l*MYz2#Likx)xJ8?ba5FB#$A0hc_?)|` zf}l5J@RNv$A6!{b5c?E+Ad(D5r?E1(L17HxY4gss?A4D~SV zF;kC$V!Quiv8t{Bo8s@XS%9bo&G!G+sQ>)o1{(Dph%(!iKvdck4MaE8d}=s%;kRc) zP3PD=K5@7=|AmkL)sMW!z`CMr%$mUZh!(mPsEG+TIw%zl_acz$q00x>eUI|DU|a>Q zwhX$o4qzD9)N*J9qCn3a<8su*fI1Qgfto10GimP+?E`Bt*%9;0#k3;#>J78NdO)^Z zJ|Cp7L)JW;7NA`?cXpQOgT#>CbHO@)8O;(yU$tB@fT2*v|567q%NEjp64p0JLTCe{ zJ9-vaL%u*N8wl%LZKN~HtN8)MQUT;M`E`%cW}61q7w9agT`JkhAJ6daY;`$<(8zCp z&+|Jyn@F6A|yIo~Ytq=e=F#O@FMHP=?Bzx`z_-OOdteL{CO zIqqQxlVDZw4nQ^vXvxMiB2mbrv2r-_;QV%{5FVdy<0iW2o7fj_!{t=J@ab zwcaMT$9{o{(e1>wJKB9`{RtCR(tEJN-y+)C59Gov+7jb8lNkTTk9+;AUPy^?l@d0p zUl0}sW&FDJ-x1(aYZh#-z7s(|KdOabZij|CUl`Q_VO*uHhqn+EGsKUEtM%WN@CIre z;%ue2rxoP~IY`gX3dJ+Tw?jWk{dWOa7dI1Jr~V1TYLELV=c6TPEu-cX*A}m)4q$&P zOwVr8-i69z_8ABQhfI0^u|Q70PT<$WE!{{*#u|y^;WuN`e>e6Sl0D$Ixloy(UW;tk z{v8K!)7-o5ofSXbQg#ksfQ6s#4PDp&bYDBVuDeAWx~{)Pm#Ea2p@4vBtMB`8vbodyIUc z!Q4ps=CEP&(-z*F{h&HjpKtkoY4Y3gAI& z);@(7#`ZxCy+G5=KhG9HiGUc zB=y+bA9_}J86uBIlxEoM=8ldhl;W!zFS`M|43s(GXf?p)C=fTpX5cJb4O$}Yo>?v; zF40twbT&C{nCt&vz*%|wqWD-&ngtuUFG2mz6HbX*Zh`= z!mqnUTQGbx!SEOTYoGonzQO^nXnb1TIEd?UcnXQo)OzRGGV-dzTgdkc;09?>q=TuB zhI&+u{+_~605=#8sNM>M4-F|>`HBL#X1G6~Qxg$s*X^aD-5**2HyE}UFeDNR%X z++f)3k7!k9mK%Ld<)H;|gJIvpZQ#XVJa?F&lCD|+*H+Y?GprcGQGbpa3GV{7DMi;TeLy$%|PyNd-Xft+kMnC&!Fp2LM*u% zBgh?kNN*5wtG+9d)I%;rK{;HFh7kMAfI_FR8Ho2s(3`{%h?*lxnC@MI6hM4*Xb-tj zif!l)dnIrufb@_X3d?j0Iw4Vefb@_XMvS1@i&VAZVm#zx)+zK{=@x)5#yH%sm_crm zSDo-(a>(7+q5LL{WDh6H#P- zYj$++5`~W)Yl7vTJ20zU1LE!dhYz*jj28;@crl#-#~UF9&Q~W1G9}7fYI-s?_Fd; z!mAy9WBeGKU0Z`e#m5nMIj|ekH$e$y;U^a}6VY%$O9&&5JV5t|@96l6FAeu|S=zO4$jw>q00>Lu7M^zQ1pN6A| zOK0cleuQ=%hDyrkIl%2Ne_M|3S7_H95KKsffY_wGN2)es*N$IO_0k7QI{0f}|5ZHM zSMg+D#nZX3;wgR=@hW_aPVuXVSEH}uY5Y|@#jhe>*&^|)c$)Yso=)~v#H+F5qyI{t z{q7ZSgs=K(Mii|DsM6)s?XE_GHy*z}?COlF1B3kd+)l@@ zalW13zuDCpvla&V{@hOcH~U@f$70squF0++2HF0y?e@p{GJ|jTzaH>S_McxP?pC^B z*-rb{e4gUwbI&&1ZY{dR79KzAX1#|^e423+&tiiiv#}s}4BDd?vMN(sm+TMMGnKF4@He$2|^O!Hbg8JdV&xN5?p~Yn&#*T~+6L zZj8iS*Tne*r8HaE)#gPip6GdH?Y*jH$4fdZ)9r=2SKk#zN^9=QwB77o;Vz+Xg&(YT zuH0F5S7;sDR&-b1qC2z0Jw62P%4a?E%rl?=lYi-7`hJ(RlyYCmk42?Q^`Db2V=Dg1 zw=Yx)XUmCMl@R@<1kyg+q7(!B$10Co( zar8xAsgs}8U^rWM6#TIj=jx6HgJ-7js1cN{S+yvN@+{*hi}aD}B+FnK;x|}69tMwh zQ6%3OrcKN1Jkwb?Drr+}GY_j=^@0O=?or`{f?CXZ>=uRbEIYF!8}T< zOW^Q0?k&H;iF+#ypNQ`itwf1lp5Be_qs~G^`~Wld#Lh#^d2oL+^P~QM_TC2QwlpgX z8zPxV%?wI@3lY3hB&A%waQ4}M=ODyb(**;JOnJKn5uLV~?tz<``TE-3HJQNxAq12d z1EZvX2nNZBBSnA+Nlb-MGn7P%PyzzR5ariO2^pPI#HgfVo@cFhz3+P8z0cnJ-1~j^ zetr9$t~=k^=dAtiea=2-KWjbfS!?MHDZzJE3u+D(q4ZJIB02Oo>_2g)Q@6~)zdpoG zJzb(Dq~~k%PS%!7bdD7FTmR=beE0XhI#QuJAKa+WFfIJ{u^C(Na?Nc>Y68I!UJBVq zGYmbOX^6QB5{)NA0=XIXP1_1if#C_HK{Axv0G8UlnLD6wG3mPjr=Z+MG9-}OilLDu z4EJ3i0+Jzt+zfk6dXVW1L$kxuWDLx3Gh-0=0pxI*SF6q6)St)`urLbwOT(1QH6hM~k{LD(A~h6a8fRHzZ5wUp!< z!qD^4d7EsqqVskQM=kR_;p$BtsFwpefB6r;?Tg->`XuV_=UQ8zvjvfkjae>gYO8YscE2)v6o#&2XE|$@B zxR%)!T8;iCuGeM}IRPLq%ygTO=L;erI34mQ^iY<^OKqOSAk*h0{(!ID<9uXe8{~2`UZaGyx+ZMlekTo+=M8gyyMYuK9>Xx~W&Us?nN{i=SKMJ5 zknr2Y?~IMsMo!VN*|8RehlcXDF!cO(P}!lMG{!EMZ-)0ITk+T&*)AD|1NDQR2-mKR zW;lRm754`PF`*JByadice2{2~Dqp-CyzO0sVT1XS;)9qaDu1ZLq$LI?m6oFWu?zsx z?Q(Qj1`VhkeHF1S%Fp52kkbAtV&;6k;ACtJCBP_8-7iervFxuNGb#BJ^vYr4l*06U zdc-xBdqhc3sDzcZCF6tSsY6Rff}cJ$-S5$=LNR`tarUX{{;*@cD_879wdS1x58a1^@rN*vA)TX#?g3>w zn#0~%&XK-P`!l}HN(Z#m z#qFO-zweal03ncKw2V&++`;+La`-}5$i2CRvD+?Dh1~64(K(R&d4KP>{q{qOmLHI@ zAC}bG86`XnI+J#eh|d_|B_cj!>`@IS7==Q&2@y}o4fODGnw*du4VOssjIqB8S@$ZC z3R>=j^p%IIM3aXzM6_Y7{S`Qj(XDK4lwi*wZpJ>_5$tbae{z%dj&QbQxBZ3oy7oOL z0lgi9MeXkda#^_(0TzIKK7@v!Lxs?r3!&RCQH9X!fzZ$Sy5I3-uU&)C#eyea8MPTg z7aJ{l2wluP=McJ3@Z=#BEm%+29C-+Rkdh% zZA9dggvOg7(7;ZBF7?KfO{DqkW&c;;EHayOfR~;V+Y_={TT_pfbUOBYxyl*i&!-@W zdvlHUe6%Wjx{EevaPLZ@y&B#TxF3r`+-(tf1;Oh9!OwsFy}xxkv7S0#*t9w}SiFa{ z25A42ha%h`(BTaX|M&vZwygL`^e9j5%s^#5fNwtw_`~!{gW~#$ogeh}nEaqNW*! zPErVO5n`5y7M@E!tOoC)Mad37o;W5p9alkqj1Wblg_f2EhnH@xqPsw8CianMTE*BIy^msV z9w7_Xdflu%p=(@rryEp>*~3Em5E~;wCzlu17L&$MqKx%OFC0b|EM}FdOpTtCOVk9Q zb1lx5zKXIY?N8}z)(LB+7KP;{zKJsnU9()Sc63i~ShgLs^B3$k<>N7=zk@_B%4W}} zfx~yTV)@P0{cO8L70a&&%Rl<#?tWN}fMb+iBj78IfM+=48Ufb`__=3Zc>1|ZOpCs+ z+JADtulNRzH3H`M=TS`?|K*Q;|A)S4H3IJTP})emiqWD)kVW3uVyrZ9ps`e^#aPN4 zn<2V5vBncYV}mXU#fm-xj)R@hOW{x++DE|S2w|-Rf?*_>4+MP#oTj0n?Lv8I9|0p{ z%q~qhM>I6nENgl*J_0_r9iwzatNkDYt^A?$2pGe$^d!-pqC9pM0i!imtI;YtU*&P_ z)@W5?&{K4@yttggO)RlIk}z}yBh>H2o87S3F2hvGqq4=5gnPSCGX8PN6AnSH*8`K!VY9FEt^rM1|`cY#Zkq% zlx#JaD^N_}GPE#CFDZ!L3%vpT0K;Ts3(oybR?Ck9h8kv;SXyg5-w4g_4XE)9U*3wL zHy1;uq>hFd_`iYt%%M=XgbJX6auMo zMPg_)1k3^F=S9TC&}fKJ#nL@#M9V;ptiwyzRM0G;gjUN#*Gk2W|9rF%GQ1tkH0Ho& zydBh}=Ixj74{bf#Da0yDvOkf3jgJLIqw-j`_On6dLx$TDW(|G;w>4zF2_b9vo>#cN zxp2Gf5>>dp9=Lt+qd(zIV}e_ycqTF_Op22L6Ws1HNena=;qGCDB!;91lrPbwJxsV? zPZFcRli*0$*+FHTi-2;H81eKeO4pObM`gT)(mj^yCr=Wi9Wmz!%yYk6U&hCI6^dg>5&MHw_T!&px1+-ANd!a`Q;CLO`P(o z?7@WeZAVSB9*j34&YvC z2<%2x4bdqXdeV2;jTr6 z9omz=c&l1)3q7)M;_1+vaPjGT_cS`h15JzKfp*=)gH+vWKt;I23&r@DIhb00PS?3S zso=Ha6iL!zQCsCiqI3-@!<>Nd$zCA)tR0cjwkk-~(}yl0eY8{p9i=aQAE*pxNh!8j zayS9LY_x=~z4#7^6x%F^4suwrgtol=wn?WXvm6`rtdg%A#u?BuU30u6_YJWR@!W(&#&z+`16ZJdHdr9?$mwiZoB<)zF;`h@yClcs~l*Bz;wsww%b21 zxx?41gEmRH$^OIukU#CiY0g0ipJ>H!c8;gCRgsGh`-DFn`K4p&)$9O zTV;Y$?8Bex?5OvPu}d^GCvnX#E;wyKrPG?Clu&hrs8yRBeyJxm$cG+lwbE1DE2P^x}b?swAljkhdh3aUmzY+-WJjyn)SE zg+A|=t1@pFPk8R5@h$=pH50WAM2s1>j9gc`w zGgicSrhG--0?n}Bu{jM5Q6g6!n)f<099XL^evt?%^A&|nik6n0 zLIB?GnF+Ta%@`s@d;aM0U%;D)XW5y~LsZ26061~qMX)&y^N)ny+IEQus&!J7jev^2 z!UIo=`pcjEAAbI4xIxo0sm&n0*ST%ChvR{HAIxw?Q!+)t@RI2nn$1zCPeHj6nyR`rr3Fc_g#4rjq?5eS6k4{XG{_5<7Q@ z&2qozR1vI+vWN15Yr7Xa&vry-1zL88Fk91|r@uhqO43r(Xz4uf)1ff%w!eb#uBoeR zhyJYhK;m&5NizAP{=lV|naK0bwUF(w+-2rn$SVicvs@mgdKNn&{!OmS@*~QP)z!t8JUsf*w6bE&DTx_9PLSab=2C)JaP{f|gF2o!~vf=6M7m>RvYT{#L5L}oe1 zCU}wnSbn;%xhDzwrM~8#Bv|Zg?n#0N>1$5RK~91&ArCeEbs`nPM1)waG?6 ztF^g>E%q1UklNKXW6u@45_>M&2`R@5GX7boT2at&0*UOaCkdj9M^a zH43ScZR-?}r2;-*5*R|z`2s$nphIcgVga8}SZ26Xz$X-RFkCFl6AIc4AD}AF1D(Wz zNhc|r4)eUJVPYam6QC831m9)}(qvdJ?YEQF@+0oEOpVrX@v6hcB({?N<|jrzZYE9j z`@C|4B^Eu39ACvi^4gm&QN_UP!N6C&;g5gQ$G$dJ8Jr+ZH7B@EnjV*iK@tNay9#<0 z((8GxmM2ZeZeU^;@+Rod`+~3aq$wK9kX@x<3hC9t(37SZWP;ZbN)E$R-Q-Er!wxND z^w2{XE0U;UudEseB$b6zM#s7G&=N}8+dg9aq$=5uIUl8zW7riaJV91{=`yru3tN*8HU;zs zvy&wc?tE6bWn1awh?0}?bJPboo!~{k-?j3UIvybc5YoY21NU{lK(3lyh*&h!g_;9r z>AuewM-1rJ@5*j~bWT!%_|m9QL23@dju(d=MnUU00K>XVOZSbw7%gdz@5)4dD9K1$ zvxkZTYvQ}#^hjD1M|a=piylG&N!jD)6q+EVcO)WwTNB@CE#k$bgCIh1mSlvZ4GWGwC)eOFRL1ZW?e}VFZY=4|@ zR(*hSiA-sL@`&vGWjh@oA6T|rSqve?4gPc_7)z&v8Ua)*q|Xo452z?`J7^I>wu3*Q zmK?bKj~5*kOGmJ(_+)Qs!Gf#42n7sBsH!>)YUsL)&MPfYq|jyW9|qa`m+kcaJE_)4 z$M4#UE}PHMMxoaT+eR@b!z+aIuL}k+=!bMmIVg#hrG%=)ADvj>-jiF78Dc7uiYr@a9R=Q#q1;=7VH_!RM4dw^02Qvx3=e3% zOt%X9j^Ki)73B^Q3=fc0!UN*%bT5N&{hJZ4EEpcqodW>{Ml+4Oi3(HjFBpcj7yxUB zYV6|8NSt6FBs@=+b*Jtm{@9sZGl)uY6UT`A$(os5(|lC8n<%=arcP=rCZ!~W4X^4&yadr>k%3y3Ayya@?^B0xX!dhxCX%PL~4aozxjA`K4yGd$0ePYKJbj55rg$ZIoiSYbxE4<)aw zX2ljNF0Wc#^0z+n`+n~aIHVVPc9IoqTMQRu>=h^Y1s>N5GeRP1lr{)7j8g`ap&)%Q z9FDD$0|q+2W27K`Fhu(a&?Q`P-v?GEt?092s0m>^5eBsAF9yK#D+0t362TgzOmk@S2?JIGoma~Ii~b(6zOeE zus{&3;O$_7a(QrJ1jCN-Y^2x&mfeU3-u{iBiQj_HtAbBMcm5S{4*kI7agN;u>6bRe z_9Xcy=dq#p#9HTx1?LTy1OWN4gIKk+ zbZHRWF!wsk8J3}{HJli{ET5`Eo@6Oq=r2$I0O>*l_|TaOeW~IzZlJM%HRAL5T9G3Z zsP`>HlNV4t5=$$9l#N*rK@X_O8F@ULBGJVxEuyR0Ryrs z4AgLkI*fcp-b~C8#Qvx&q9Bu^0ly-{cr%1rhs_Y?4^8xsh5&UD7mOVg3B`(n{$`1W zQkY2z7X?k2hKVK7KUqp}e!`OIA1x2k922LBjz}cz{reI0kCyw6QW03T4b&yxa%;dJ z!8%6!f}}IBF3-=*(nuI8*c#)0+44gm<%x%<-nE#&{9F0@HW}}K@A&bLh#FmGRSe3F^i6Y=*G5-qoeH451C|R| zgf&9BXIv4ZiGeCGY%~q*`Ex?K84gS3CmAEJJ(Ylchdori#3?)UCY;Kpss>qjHdUDL z$+>@mPDGxk?`6`wd#lpCglqC>ZgG3AFg>|RdlzI*km;!>B}fVcXJB6Nso~10Z(*O> zQ%}0|#04r}IYJDE7)quNP%?DsiHq21eQdjFD#4{EE->axn|_awE>DsU^lQQqE`kUe z2S+FR`$#%Goe44d3CY!(>v?S-xBZpRS*^2cyDqydu8=J__qQ-TxygN(RhG;Y;71h$ zYM^S9p|EzuN(=&00~uA1WKWazBZ2`gM7Bc~au-dtncK)znk!u%vj%*)qz-9+s%EoPji_4P=U=E? z%Z8Wu{Q8ia8PVe!8cs{qU=v?HH1tk{46`$M-94dS&&c5Dr)`^A_GYTLOHvF{Gj`*f zN*>qj76p7)g0kLak4?v zhDoP(mYY1)B!Q$~5(aoUH&vbuIg--b;A`1PwdmhmdKx7?YZ;QlC$V+)SgCf!o=@g- z#9^8YNfyDYDK(Gr243fqKA=-mfGRej#z>%>!Rms#F?>lAvd&89YFcD_pnm0Pk!_c# zaw*#{(K%_6U-^N5`b)1(fUD7K$)zyRmO{ljibU!df+la=LA4|}+I47+eT@ixgtPKGct)4aP$G%QjcLID#Qdi z&#;Pl#0SBdM*qYtf`N_w6{A8BkYHx(BLS>gEkHbxD^LqApk3|zaSnkXsj?IgtE|-` z#?U6xX$3_rvq#a)n;VD&rHQ181B=bAuxKPz^j9h@E{yltqA0&|Slo7rDlA?PEdI!U z`q}S)Z<@xSK8nF&@K_)`UkEP=i_vf?yv)=BOW~!DL&G2lg4pu7Zd4YI8sde@!c%eR zV!)ckp-Ta49*2qsfFZCs1K|UqT8|WA{CrRVs36}?MG{#Yx)=nD=-<`^?XLYSM|c{g z`XN&bpwfb(4{&}hT84<{;LbCWA`-REju)9{ zv(mraJXmyYd-d{}q4qpI=?)&ON3=NrXHO zlXN)oF!-iusom;0aTF955wgMMuJg1uR3L4#4UI{5y8M|nh) zCuv9Yo|3}s1FPJMV2pPyJ2YyjRl_vb-o=M^(D>>&+qv#8S$KzGgvtnGYEgM;pQP=f zT*5hm>g=2Qky(dHejfAuW5YlHTuRDH@D>ZZ((~!jmhjHj)?+1IQn|_oJ(4k(Wu~?c z@&l?~b$E9^kGU;AsA{C`Bw00&7v$ogdm2GXa$Mhy|I>TYiaLSSfT|>?lP>B zr~+%62h97VWVp9^2vWe@1di#Ef~^Lt`JDJ zu4NBYPCbEEw^%V_Oflj};z~>~k_Ip7(5pbk+rEI=EMqFKoTy+~hLiNF0@&rJq-WBj zc=Z0oX1SvKl2YHa+&W+JKQ0C0(X|s-A7$}1G4NDaHlpj#0(Z$3! z>V84qVq}PhmFYBdjc(Hos^URCkn+LK(ra`vdyqX(QP7XJ-+7m?RfHD|FGE#%vQ^}F z@>fFW+&{r^UBjAh2m;IVoh)-1g568VSm)3=JtxQRP5{1n1ef#((mp321<*HcIQ(^> zuRXq9>aw=-lq^STL?OK$Ty~T`sM{1`$C9OY*ungMCUS%?b_M=TEeakeF^y03oFCce(Q|hzC&ppA+SG8n@VS4>r&foAGVH5ZMU~_M;E$FVDllPXSF0H;j*qYP3NuybREiZg+n`p$w+gsD$sZKH}Rwg;ZEEDz4I@ zv$%bPoJmr$Gkvpt+#c8p2H9oX><0>7=K@v#oFGy@rApvRo}W}|CL}8!`6bK8h3Uyn z?zfy=t%WL&Uda@`=N05`F34@WL>1(&2ju?1U;q1m{?}3i2_4~SF{%v~4kMKz*Kel7 zilwhon3N1{D`zirNb{l9)M{7*d@e!GR^;SHkvyTNL71hK428_H6?c1WWPAjjTTYxN z$k~eHaO|-n54}7e`e^f%k3Gau5>ci@i;^iE8sjTKUUS7B)t-f+D4Bv`?5}Zzl8fAZ zn!6ktNZ3iDZv!VZCd2A8vtK}}l z{OJm5S%_KU8i@;Z81aQG*UYaG2Ab+S(pD4cJXbvy?;=PHeVS#LmqREokH<7mE0x-c zyRvs&xI9sY^zx*Y+{#84)$^Hqo^zKctbLYjjDeAtoyoq-gP3-gE!xaEIfZ{?DR=v8 z4W1GU&+g32gDhowq}u^yI9bKfr2jL&Xo zh#2l*NdG4q?xDP901dKU#%*~+0<0MhLr1c@1&ZU509RU(w>>jF9>%?}{zsJ1YC<^~ z5?;+POwoe3((J-qu4Kp?p&9naeJ|L41axb1J{i*gF~h@tq%0k81|z?edQXPLRx?CX z4K38_F-lWCs$?h}y;&YcYQnMP@i>Ylniho*ax)4Gvgrg%17>+@Nz*1s7vL|igOXQD z;szhHJzlAD#t3a#z{Z&Ko0&bY)~WAF%x z%sIZz2_a=WK@xl`)bJ*RW{vPU`m(YO6*J2~V6-@c=icsaywSo{yp78(J~+=3?l!OH?uRdNA~J_y6U8RXGF=N#PJw4goF{ zyo1UiJa_r*bI(5g>@zPt6J-F=gLnmU2va2=LSi@w3Gge2uriXWatO~{zBp-J5{E`{ ze$;Vy!c&`;8b3Y_y%c;-ym70+sQ%CO_&?uy_kVo$l{K;($r$qP%p~k5f_N_!7{Emc zA%bDxO{s?`hY&Rihdq)pCo~F~!2dc%b`O}6j=T)s4^j_{0)ON~m`7mCYLFQqZc?4b zDuW@+fhq#!VH~z0TQiz{c|J_szUTT!^Z9Jjlsusx#dOw`&<=}$Qm{jo zY{2-f7Kr9PT#{$FF!OuX2b-*_-n_KK-x#%}-Bq2<4T^bimNvEfuYU@^hq(*Xmk00@&uaPC?rm=5jJ0ewI2q_VE>nx;%J_;kQ1 z1$B~%=v$@({5kq`z|c2H#xotzwFgyVq(f)vfDTy@&26v(F<&uD2f%CXrJoJj&;-eT zT2dtYVUxHFy#&s3F1sPLuUVohYZh z|D$&~{i72l{S<%1_p@)dyuF_FQrcmLG*+KfpIWR7Di~ z3*Ca~6cVawy}pZ`C#}Ux-2wX2E#kfaU4p>})*S%0Gogh{mvSeJ=skyFZoA{-d^2St z(i-QG1=2?@EvFw(f^l&&&etu3Z)wHmo2y&cc8MxBUk^5a!wx8t;%Wy+Qy_fgtUl18q5hMUU_Y+ej_8g@ z%MO`{^60|Q+DC*KKUiuDpS0$gfjQw%aQ$>tZip&oA)LN95$|U)xkTP8Iz+KK1P=d@ z8nD~p{NH5=Pp@(xh!73TXJYco+@CiZQ_$Oix%^NK%u&nFUwD-HV+)=`48q|l-B&A~ z@O!9Ge{-RJ+a;<{e?3tDTi^H8o8NE-uMbijXp+?F1+PD}Y+DCje>Y0oI?tJV;yCr} z*^QwwY@(PwZ#*C#RlEh)T#E8DUSGQafwV^Ro|3foy#BFebt5_JuGwj6TskzNK5X5N zx$ikc&+GSXhjGr506<4U0o%0V30@z4^ClSphT(Sb!^ma`1n}5@-mU3tFi`%0x51$=4t5SiBw?6;EbMJiah2oR&Qa-zhu6TXbrMMoK;_H9+ z&wk#QB$q<{y9s-svNpu}=t*A&s6IXgYt9lw7@QokFYxR^zoU{3D2QGR%j^OA5h;7nw!!xZUTsnJFdkc?I@p6@43&e)(6a|4surcv zf39gm#vX(g6f7{GHjK#FgK>vx-|}{lv@hRx#vU97_)v11TA`LvJ6Y$1=A48xw^JHf8QASPp{zJ*Q5T z?Lbw~fsk#dL6Gg21?yq{N%!L08Q5(4`X+4V6x( zAsz|PgqHyDL^(4=v<|W#E(5#Rmxcs6GlT$=eV#T<#?gL9n2a+(uvi?L5EoZG?6e`& zaOgsdg>;U*Cz+vZG0cQMIx&l;5evZK%FrKy5KZ zMehXB?|69V{Wre(z1^p#*jsbV7JFNQ`;1z_g@U~-X}wgi=X2JJ1$$moFfJABc})Q# zZVZW+9Q?GXkmzmiItUV(te^Hl^qPW5SkG40kz=(bub{Hd0$%@Dg5m`-+iZ&&co<&7_<#P z=k1n<_ArV>@j@ZJhtVUH@+vf(=_j0*+%JKBR&u|H_L4_85QjI!qvM@p5JD+R6pGR; zk?6*VVR1FeGXAZ!xIlZO>1pj3EYHkjvJK?@U%~a6`M8NAFV*_8hw1K;gCLJ=WjTW& zy0ho_H<{}yQ;A)&p#5^+;Ia*HosTQR3zxj+cFIV>(JdQr1aG-cUxw@_aO+d#9HGB}d`FDOM)_X|hV})C-n46QT znSBCRfnSy9ug}{Rhsb`e&c2rBU14e79Twm9_c0$J{M;&*yYTUucexzuGw(_S z_pL2C)GNpGZI`Hu)V8}@=cKuR?ef3=(!ZRrTr~%V<)(w`lSqw2Xmf3q7pWchRH;J% zKkN=NNZ0GSa*>+E<6;;F&Y806sV9l$cIdH#R$Ihc7!6knz=-A1(4^&P#u0?rX+>hW z86v!;uAOSOJw*8VoAGJxe(cdxM)Yw_D2h)jdXd@)r5)Zicnws%PXuL*@a@=6_lCBD z#jz#AH%rtUYJ~t)ZDDRk>{gv17k69dUAVSKw2O- zOstc~L8+DyJUx=v9~L~%5b!n2U9;RZ%l-5VcWaiLm5$Sf$8*8+->%$BXB6*GjCr%xeg!o}rB4+S;tTV4jYsJy~20oNiHB7rGr$ce-ma$3Td zxLgjY^OaNh4K-Qi)TG;7LH?Gd>45EQtgKepxdSTy%Vbcuo0f_pj6APXJd%O?c5|eb#!wxS!e|h&UxIfm?aQT2XTp}XL z#!E!gM{$XGTp85E?+71y+{?a9FHg+;`tcwA&<`cd)KIAmc#pdSr=}u2MFmhRqb!?I=P(WYvVa#A)KVHg0A|a`I1709 zXu6^SFB{q9=ja1o+}8MJcxW)=g`p34@fI3AM@)*%>!O}|QpjryPVr{gpcPAE0)5FG zE~+=frHjdmQ@Aa4yS< z`7!ji?C3oLO?l@>bX}o0;hO+v5;aVK({?z}uD9wOrO!x$PFr)tA`~T^n7~6HI|#a*IKbFtQA8$m6Bx# z!=IYGw@izlKhGun{#I_^m3H5L`@V9W*|tkm5qA3}I!kBvy0`z)cc(C2MSq5{Q51~| zC}GnvQe~pYCc>KGVShMiN7k7!Eke@;;r57tR)Xp|g*Oq_Rt!_qiLjE*%oN_@&xaKu zfK#3tv(7_DvaklCZz613F=(uhP_StO^JFMKe^@chVMm9@7TPr7vy&ka)>iD%*%je0 zRNK&mX;7OCB}_NVMH#w;>1NsQFl>$Rj>#`UXXe(Fe5GmNhYpo<2-9t@e5P3US0^-< z!oo9A|;MfGqCaCe8rN2T4WLo6Q zf%C)!TP70B@?1YeJb=?le}cT2guH+_7qNg%wtQ|tp4eEzCblj~5D#TRKMn4UfdSB! zu^|s=k~|T4q|0T`7aoeq1MKfK%U&C@)`qMvJahTt-Iwk@fBCc|EL2AIiXR{!ZZ9it zV)a3;#|L@c+u!hg|J|!!^{QJ>ygu~8JADu{TojxWMo`jt;pdlWywtNwbgru2 z(hjKL&bo<<1i|HrW!ureWnvxi{X^Bn;e35JacbhSZsLtNr|$i7JDop0(zK-?PD*nl z#J!(p;^7Osa$~IV^Y$C#mB-K9E>XpnT2l=*wOxR@D`TU;_?TaPTjGY5sJFPx zGMhs?a(EMURBHo38xD?ygllyG=nlD5HC!A38hOIL8=!t75{0t@`erP(UOxL8DzBl;Y9XaA4xMLT!%z#*qmZuW=O|9P9XklK8hk!0 z13-@lbO@D?d?xFhcNtR&7n8($D8ut4vBW=i^buGtsE%~9OaSwF=S;!{xf}$RTFR7mVAn4EkX;#- zBpOo48FI8%#_0rAj2tNDm?QC!?+d|urGOYCx^@yCP2FmE{Q5ISG zh#E~&(Z_`G?~I|3s8OoMcAPBRg4SH1Kb(HZNZXq^G&{B|Wz^sGr6KP@W;o#1B`rnf zhLZ8-6%7&V3!b9Ds#oMqhZe6RTDC_W=RMocB+>E6cIyx^yl*sW$6-|)eY|AjRc+jSd5X;6V@8H=r$3p{I9 zim%bjTWXQkimy?5gB0AGTit&bF@E**r~`uwZMC}PoKX8}ow-qaj-x6!WjI5~QD zd8~B`hGR85 zuO~GBgn#90zUpUEXpT%NxZ>!p$QFXE;{jnYuycgV5HLw!GIu2y9!C66Kz2u6n7~Ig z6g)J;ZU-uwXpG|pjE#l@hrtkZxHbYG;R}>23L3^0QKv1a4KZB6xVWN#VK5v!Iv)h> zb~rGG2f#){!NOojCq>a6dGLVl!EhA|i5vIItg$>ftrjAd_M7(9=^U65XIM5>=499*}$TbN9%CdipI zsw&N@(hNE=i=GQlKs*-q6_W?oq%;e`Gbk7fo|~#Pt4g!jz^k?#Ph%!zHpP~argSCj zCSSb{=NR6-bPeFL2_9RqFbJ(uxFsBwS-ODqM4jM$wy_ zLU?lG5Wp5;s}HS*;mC#^q{NXlC=7jQJ+`e*kh0DmJ`m1AYmSCiLcB*roJY)AXx*R# ziGYT#dmk=oRc*o^NHQ_E%t#`(4Hm zT(-2o=jDWfE?_fl%CUW8PCJ7AO{U9_XpczTFmg&bBiT`hbbwHH>To8&U4Fb^m?b;p z^5ddKkAySS1yH7)pC7NWIFqk8IzPVc64hAzdSdYp{?otqpS&ZXqQ;@7zqY7!Q27+A zz7EKL6Cc7$fp9j%eh(IxK!T>&p+8hIBr2L=oFa`ek)fOu6px5;MQN?M2JQ zhS-z@nI!=CK^tNlrun}DfHUJV%;qHO3ErNozSg4!5t?jRJ1je%E}Kcv>S33Y0<_4b?kl~-GD zyF?Xtw_l=jqS2pt_1i!8E6>2)m1=91REY!5BKk5emb7E|~h0IG7_ z-H%#)G-K#-w_oa>?QwUpd$z~j#qQZ2cTu{$my)X^CTeLiI=z_;cabR9x~ComQj^-` z40rb^o>tHw_FOZ4lB*G}Ae;)o4d3jqytIb9f_!C!JBNblIR(o(5x5@l%B!9XY3*-C z+&3bSz4EFjH;$4&-=k6ST7zxPQ0n9fdJvC=O1z@+qg07M`;UJ7Z@(d_#Pwn zR`NWZW!C#-K=rjU54%pZ-`UX_K5ymI^4RyYF1&`YJ9Euqil*55cg~xz z6Y+o3ddrWv&$4Q*EQ7AItN5GtF*~cMxry99 zT-@`C_3-ts0lv#+)?rp>3?V16@HkJne$Nx{`$M0*7U1_wo)J>M@kw0kpI9V+};(iWqEmF6?h}fl6+i~Plne9@w(wD=*&!qMm zKi-E~dtFvu5@DAeUEt%*MZKfad;|{PN z{7YZ{zIA|=^fE)u29--0ovW4IF)BpUkr3vVJt?1hG6PtQdlu5U?+-(n70v;+V=rzb z&1Xh0X8_w`B?h_3H-{2%3m&zLiJfBvSlpVW_{vAFK4w4c+7RNRb!eI5_A$GQnr8+{ zX8;S$neyTds;sjQ@|6m(2B-+sc}gv1l?t!{SlJ`J0&I<7 zulDX;0k&2oDMRs&tn3I|533^S2R`a`&wth#S?3k)b$?*Caz51c5p3VKji#rYJ*N43 ziBq8gLnCX%#FTxr>qjp8_7Uu&?Auesi?VMY!46BZZx67GvhPd-c095O3VpXxJ{7uT zr!ZTpPhy;{b2R1y@*?ZpHc~i+HPK5g|0sn;wKcWMfm!Dr!uZnCU!KFFM%*(DSD+CW zVtXy?OhQ=Jl3=ZjI=ZNtv^URSXXj{)nV2>_ zO@>4*GaR5S7hmB3^;c;~&@w~luT?4qNmuCil~$xvkql*m81r_RYAN1FGNe-x4UcVT z(aEl>g`wP_<1Yog7%=0{i}oK*7TPL6VB-T(DE3X zr#Z{)`d~O0TpC(JN>HAszd#4Pc}e4=eQq;3dUl0&GUya*A|;~oMLYT`w;_%|*}5%y zB(9CAc_!*P%z7%~EQG=`dE7eZ#bxT9pW(%D$-9ta_&RbuDBKV`3p>O682M#xiqRjo z4R=L^aVd|DwDn3J93!@T7f=FpHYIy6UniIN=61q&b{+MJbGA**rewnaUq`n;x843o z)cXM^l&_yNvWq@~pOVcHV&`;0mHuz;bV#_x#66qB;#YXS_APvO6X7hWSR z%#SikcF}S%a7Vty~byXX8Xk51bS2%LKL*E$hc)E!a?2#$PvX3DUOs4z@6lEtZwR7|g)=v2^%~ z*I4@I){$+yL^YPao>=yq@5Ja&7$n483&=ze>!;l9|$neIxTb z4#AN9#f9S2pY82oM2B&L@}cD(1m&;>423WbhJ6pdT14p`%A^ZJxo?_bvky&Jpo>>M zd|FZHVmowq&;i-Ub|=KYKeVvLw&MQ49;~=?q3xU|)zgY{2M&fKFd06&$p9odZsbBq$VBwNh+UjYwj920%AG+fJ7u<7iWN~AK3(c=8y>#AElOB z*_!xduqM0DHo;{|?_B3&nx(pH;AT5^jm@v4-nfJ15mUzHJdwe{Xw$;dU!dc-J0d-T zqmSt6Q(F2fgd7jQZYaygw`B5p`b&gP0Jwx=iEex4n%Onlb?D5)oD0+`s!Fl znSuH4vf^ya^x%=_QT=Yaqkvv~j!6ePdA3Xwl#Ys*I2@8^qX(Zd7JBg0S%%BeJRdpw z!M1p^uE=l7Txf0}ITLUWR=U)bTtC?HhsyQC+d)A~94Je!|D1_sEzTF;$h7}~Gs>Lz z1cS_blkMd0NDZLdl$2cZep+$eMTm+D4{YJnjNVVN2|o=#qw}4i@P)6=(9Ly*wq2s? z3|)^i^evzGqd)gLCvFgoE1jVOrhp;>2i`*HS7MHLhQh>7f<2~t7U1Nap(8?dFcc6% z0z;YU_FjQ^h8CgTV{N~L^d4%_!F?~P&Jm4xN>I*_cE=Iu#Lun`4SfthwNFpjx{Y=| zY!O88-h`4#jsnRlFYs?WbB56WhAs}*gmSZ7Q|_eZj$q`ea5$zD$=38& z=QuRlB?zL9WoPJsR{TOB!EeL^|BhB&2jZyx6D&SG5Kf_b&QB0%_Too*qVyExNt^5< z8?(6$I~)F`)jjsYwT&4$fv&WyfLtI+%CYLgfjHC@#h=gcmm~+=h74wMFF2A>xU#>fcHV@6(k>|R#7~cy4y@U*Hq0!)l58M&BO;j;qu!m(V#Iq zGDDSUVA31ZNhKP#5e?IP#H5E{B^nC(iPTa!Ct=2Ch_wq)V^pF6UGPq%O6Glv$6`=G zB^n+j(eV5ezx3U|GpR9D8e>F*$@Pv91b~W%^0C1bS>dC@OF@lchKF&-mJKxQ(2{|~ zbuuJvX@-3}YIS9Q#G}HHw-GZO`%bG2hjACk{j{RoF;vufdxR)cvH%b^21!IRB&TUB z_NvC{pw8^ji$6a{`UTPOusb4K0CgrB9BA4lt?0Fs$Lk_E{y(^3xFbdXCz zBw8F>5)gK9qLHw^SGo=mIY_Fa?{J;Uqx;L_ zG@r+iq;YHd>oZ`C0X}wonmdeaD%bQEXh14Mk}ty!#8qjTT_KDq#Cc*(Bw@-AUL=e5 zDM6X4?`YVg2S~Z5zec#$936~Le&4m_7fu*{Ta({$e4czqbdLP@V^i`)QXGwDI^x^| zd2*q)Q(g~)3+{!%1^19mkz7cWZ^%|&Ya&m%#Efjap3Jg+-IEYZqFQEU&=a9Zj<8-5 z=}FhJT}%^}5yO}o<; z0cuF+iofcxh1bW>aRD6-h;|riuWP`NplpUgIYB`L)E0#yG1&~EB4wjy7{V1QS0p4y z!z1LgG{lf&5pw!N6OqmE*zF;~z};l*(HEmQG;gTMuwh3EF%;3GUy*ohhUguExsL40 zuxrGx^M>LxMazAA#0N>s{p5obpUEt7m!-rP?;GP9%J(e_)@a!b92Nyj__Nv*BD{3# zJ}}-39PBZ1_ui=i3nH-;WR74iTb<(l0-PstW_o0Yoco)smLGB7<&;RRJz4Wy_@hq9 zy;ys4ht(zUFwe&3@GY&_d~*er+b&VX=Ig=cU;6r={H*U!*sN_c44Xp}4TsHZ-J+l@ zM9c~hU+ES#HYl%9Lj&<}Fo3wwqSmrWdknE6qL+~ez84a)Ii4e{Oa-Dq6)>>&hN965 zD?;>0Y=&eEXO;c zPEy`uXW61{p1hr*2TzlqrB;`irAGhkt%S}^ndK@r3+k4&q4MNZ1u0L@AhaNH-UL#f zT4?SduRp9H+k$QTi!MqPo39?5HLC7Wr6y^NVUOG)%GB{qFAl%7 z3gb5y#iYakuQvUleL^!+zyw6#06c8xy+@M-CUoj)S4=rf`(#~TT zbZjfG!XBeHfwM?E&&7gbQFJ_Bjtb{qRjl)xI)5xZM$tB~SVmXamUcIgv%k0Q94~xL z9pe86QCH%V)jdgkGFu+N*@}EJlJpt>tmUeieHO(*To0BY?ha1{O#=M!#JO|(bK6CM zWj|&>b=EJitVWnB=^AiJ>G;s!XLR;T2M4-!?rgGlfvCS0Mka`2q!}X!8bdaGlBk0? zKST}R+KO#A7u&X7qKa+TgKdB8D?jWLfB$PDwyC30hNvJ~nR3UitJ{Mp&Eq9W3Wab9 z>F-X`zlTU42iGOg$6*V})RDPT-p$ImDi2WsK%7wz!*Q7ODfS`ia5(DR1(c};W;Pw# zhp2#Qbn_)Ed_)Oe@n*6R6*+zszQMolK}i>eS%`|fGw>Hd;vNlv3&Si#MTaE}u$T6~ zr0M+!xfxfVz86O661uR_3fkg9$msG>s*Lw|XvqBZy-q`INH}x*8bufq*amnUAsWhLC5F(nqy7(6~2IAgDeZb zna!jfxMH)d2^C2A4x{UKd7kb|d;x_4dTi@=bHdyRJh z3I?2W+sY3$Ik)X_!7pp{MxkA3GjRqCGI51$C;zj>`Fe3i+h4SqHw%M&e{QG!yWK_W z#To5*(c$&v{lg&JU$));IA8D0x5tYv6K8ZnS*ABE+i8D%VE%NV)#$8eMvoR6?L~(h ziQF&98+8&{8gGQHXbj$nn`X>St{la%cRKmtP4s#|l$w`sHI+OVGh=$WX z-t%^Gz~`LUG%I1z4fMF49OKlkQK`yZbt3dL?|NsdnaMR3GO{Y3PBG4k``KHX*)6`5 z4Z-4x$`sACs_TBb)5)YVg*sZsg)+l5vu*QLp&z~Fs?6KP6Q28MxeL$wg8%6T24Zfy zDzxT>h`aKg-NFa@vc4*x^r~0A>WR<%*Z#(@C+U~P%6nA=&qZ1!#)MHIAX&&C*YPACTw{BdU0@tb4>uH_dmD7 z`CPLeq0%YYipR)AESx>2V5n$qpn|y21%=DXqwT;P=|E za;CUrcj1ACv`+>U>J}%UC{r+)YmxPEP)9bi_ee6SC6UIL4%pObb^G&sl7I;;P~PZM zdP4a93t$!6$nB5w^(+}YUo`&o`%CcUjz2>zx#a{#4ZiN+!vd3(fKeJ#rG$!mb-PH> zTxh$5x9Z3Wxpzm@dgfhECjzRDta#y>%NOsySf^XLOKQo5eXGDFL`dC+g7hF|=6-ER!`|*glK1XT1PcT${y-VOS(^ z+S`4HLJJ@@`2RSGf+%Q*#%wFC*TD29kr;SG-v7)Htw47odxW8AFuWmeY-R{yCf+O$ z4WU+fXknSm5}hy)!VX}tC^ATNC&Ej{p+w7WzlS9|GfWRI97?p@wdA;HxexUxZcRoA zW;t{nyH(Nh2!ba6hy;3~r52#T5)_G+LD-tBjDw)>32}wI=IR?1sqY2Ep7IX~iUqMt zCd{}7G7VzjDjL$R>5=&WbAOZ7@}qU1pmvtJ!p>40Rf@_E=N0g`oMvmfSGRiw;k%q} z#@UTL1?iC=Dm;p&)#r`S5W&( z)xJ{XF3f*GUrZf#TKh_^_LZ`T!2Z_h+;3$0XO=K{kiJq-W|l4kv@ub?f*1WJ7!OvX zpIvdW4X?<)J_@{2CZ3^)5WvC($M4$;UMUqH_Z2cY?z>0?pQhleOYw>$8vewGe%tT* zP^!4l--}5#4L8ncRoSvmA4J1$M7w}IlqKD6E0R7C0w0E9fK_-25x0k|BMSbdMxpP} z?7CQyG^(u_=6fO9ht5FTBcv5Q(GU)eAR1Gu^B`I~OWBGTA&#yDLR~aF6@R7`J<$LO zp0 z9`8el29%u?pJhfgj3b7fs3pf>`0IFoL<7U~Q!K+=*e|rfsl0={=*ldG8Bn5OUfN(s zkTVE#I_)|BP1J&}VpA>B^XU=omqa&rCfR`6SxWL}Dg7WN87|*YWJCC4P04g68Y=QX zhE1x&9c+c~sbs?S2w7kJ4X^#EF(LnaqJ3N{p%j@*NVFdYplQg+PbwpQq8-FFRfv+2 zM-!<$b)O~L`vV46iXju}xnY)Qk3!a&%1F7Hgmc8)N?mcTGSVm7A(3L7OkLql0Nod5 zN-ot_963fx008Bw`F@2u^ zGIlITPnAHLpssk7A8;uvQ0!Q21_ZTWrTmLPTk`cusHW+8pU;+WUILT}%@1*+Ga zfJXwE;QNqV;S%QEpbN$WCRzIxiJ)ePbu=(UuYyT~AR?$4Vlox_ zJrWqvjZhQi;U&BoB4{#{IZ^Y5M9^q>VBG*wK6X?{D++=}%YDbGSFmgw%z^SBeDlMcaBr0BOp52ZD{2Z(ezQ| z2Of6-z5PG^m5=<%*Tz~(mi?m%54Mrj0TAWW%N)EC7!hHZL*wc^iLeRiQO~K&F&fw3NGs}JUJRF2LD?oa6Mnl; zND;bLy36L$Di^WmH3wuWZ{aLmUIhi7O!;t44l))0%vrkc_Qer0NBvpt*>F7nZcB+} z*zw{B!bU9{o^Wm{eV@&;$NA|Gt7$@i>U4Aom!qW?qT-j;p|eQ2rpv5Y$trIsARkIg z_vO9_M@RJtI*;(z>II}S0n(0+GLs-6g)Vk-bbpQdeRGiV(jKixv^TeVNB$c1`#tNf zd&oi|IxWxBU!wsZDEKN0NXyT2+fVD#ep;+sl`s9J|7jI(q zOjG)_)USr;?5B145Pn)qm8`|6a28fV44DcWC!@a6+u0Xvpo=wrH-3kL;F40##P_iB z5^+$f`3vZGc4!lUf|OitD7X*|!zdLPcIeGr7|IPW7>;O3uC=igek94J}Zif3Tt7Xa-ZPIehxk8iF9g1BM zI!51z$-xXewMR?BPT5Y_i&y~VtZsiOOV7!*VJ^qsV>hCZm6<5~p19*n54m)F&REHO zmz9C>6337WgCl{uOmBqg(W8?am!UPlUxu-1^X^tr5JYRJ%%SY)4?5hbd*A;H{M zL=mZ$c5re@nVBP)N5l5W)^rFT!N)0~lg^P~9t{t`Nipmj(enE2A;H`X(J_N~$`z*> zPJ+1^4#zPldOB;0o(?TwZkAzg96leZ;mzN+fVo*7+di}^o0)AQV4f_6^+iguA11?1 zgsL>lVZSFoj5ie9(}kDFqxn>9-!^Pbi&zq4Q1ayNR@jfYu6f1K0nGY;`R-qe!#Vm3 z?uK^f3GruglL@MmS%&uD$sJ0Rv`A9;bKP&p9fp)h~Y8?APg2dVz@-X?Zu85#C|)+#fBKf zelzTkySK$8nXjsw*A@IiW82*ggthsd)b|L_p9 z4+fg$-zt`U z*oyeMFqH7xKA$*F8=4Lowdt3X@Y*axe|qrR_{>XTL*DPI#aMD49flzkPN$hEfksS7 zk@JLR2p?)LmdMIb(T58;FWh4sDL;#^=>WnKEeTz>Z651{LI`i<&8ejv%Nc zeT82Vh^qXTCVoNq_FaI!53S`UIvUIocHaKQfV`gM&U%qt6>BVgi+|WxxF4HCXYlPXmrVMH)wE{bEmHjB8oLc(%;sDX|a@hLw zT~IVZZv;?GNh%c_xvU(T&6fh9Tu}r?(pie4BNasMfKU`=Sv@p+&kxA$lm>(51-<0m z{!r*-S-q9s@Q@sl#f@1-NRpt?ac@{#yr-<9Y^UQF0xj(i@0Jt6kzY;{@ou?NQ3OTO zIf^3acAy|~2OO#LaR*dkPdcD%r}I}CklX)w(P!^pL`066lx?@aD5%o@5DxX3qOIpm z(eKagw0|Mc!q=;}F^yC-^%s5b6-~Uy#b$&3kc=r|!loH>Q@*J&LE6g)Z<|%olnN)b zd%TIXa?(?};>MS1Z~i#KV4BQS{nO*woBzN0ji3H8hczN}SZWRITqDpZO>j9d#wYj0 zH3=Fp|2F(0X4x14F>RXr5k3l7q%ECa1cl=QZRy-1&hI+i@7H-n&?hal`*n^h9egWpU$kTzryf-WFp~Z@zNK7gX+TMC2bUA0uc6>a02AG>}JaujC zzXYp~B$v4D5>=w}dWg>7{^y?fsGm&`s-iB-B?gHXRWEyl?a=z7AH6bpNp~uabda46 z4HY*O+;*JUM`TQf;`jzbc;4VQ#Slexg`v2_wjvtfBfh507}Z3DAtBVx5ivc3drEW~ zkaYQ>387}VsP!d;nqj}B;Fa(%oMR9ioe=O6LT$+rlPjf)lGS15+s;M7R_b{`r=Id7 ze*~gnL+J$oHxmK!F)4&YnPTc!kTVokNfq_Lis7L)Q8qGRkR7J{tB3U^jk=JDfORF? zO6S0JmdL|9L{eYf#BnxRbxxH8^QWDH=S4{{GMfB-4<@?87Cz0C(S%@lxQ~ox_=VMs z=FQD$Zo5Pk=&uLp|NKw#2fkA{ZV~HzFXq)*NW;v?1#O)xb-F;gGNsF|IVN z^{QRo2!fQ1BXf~bgGaF190(ozz|Dh>TWt=s!+2<}h~_|=XbA^HdX_+MheiQ5yXMUs z66m8L8rH**LR{oN;bZtWlL>}dat?0<6fTDC61mEwE#m9QQxsn@QWs0g3e6D<> zfHqlF(_Yz_*`YyuGOV~ZX0}I$SY=^g&P(m9Pv{P4yBBiF%MJL3mi;&=UYp5Idx%A0 zt~QIugxl%(R-e#49tRGiZ`h{`VSk>-&SkeMrUkD*H;~r#C__5ArM?iSiQZqz*N2}J zYgK)^9l}>OBBt;aS?%)ZWE8`W$T}QIHXz>)sce~;7qZbTu_V;CvgWwUwaHtX&wu*x?n4h-l zjs5xRP`RreDtD(rH;>UN#YDLpm15Y6uRrZl(m`rP9cD*caT}3xz zbOl8wxMr`Ge+5hOv1jtsr2MpinPRhC)^8W4CpWo|LoTC}?eDEShYQ~V5o~;>*Oq*J zk{g3UZJ;TL`f8+fGkLLQ*faYq!-=LzNcYFx;VdCV?BvZ-Hss{Rvh66_a`=0@mQq%Q z@e#R%4owr8g7)%qrl>P{yVSe*$qaWp7Sba3+cUn-4=oAUupji#d##8Yk%3iEO-riMDy)e? zt|;E++d%SfKmZ;pzKgw1772H)M4V|i|(WSwn*se#Gn~+$H7WGqlw7J}F z!eU)zn&l0k9CErK5=7PGTPl@6DZuA}Qoe!?Xk|L7LslSTR(OPn3VOP12ajbBEMrFd zL+=={n?vRqNZqA7e(29&$c$o3$eGglv%O{&A@pyXSB}B zCZ)pYV)WQY{ODd~IGJs34JX5|mA>mfLYS zbeIG;FV+?uw{u()Yb%Zi%SE+zrkGxoYb%c1nu`sW{nc64Yx~P{T-0ml*Joh3FKTgV zOyM>1DkZj0X~$vb^i0soD_7I6`Wr-MLH5}ht#h7+SYMTFyKo&2$-T%AtN@2!?2sqaPXjYXgZGFf?_`%=NM z-Gd~^4ZTkh`a{zYZ=x{tJ_XxRi8%X+s_U|@%lj1Lp@kA%4viapv0~;^Kn}12uF^x= zWAImgl9^929PyE=C3^9cmdtyHD{vc)t8_Bh5ejCS=uMc`*SzX!mLgqe&wgsj4p$)YBC0Eus934Hb4qB^*l)kD1>>aIo z9|Gu+?TEgJcB7h;;YE``dw6H0K!2Z7r`e=_EZL_=V(7dOSw9TclgFXpTpnk1Nm?U4 z($xwt#2};m3%0%(5uqa=oEIYfp>j?jo9VzTGQO>8fY%C%ppn1xBH-1W)7P0^L`Gu3 zt2xJuU?Lkgm6#r(SCf%AFvz_SZWnGx+SHH7yb!P+{Mn}hGB_nD(GZS~tjCVS&Z>{{ z80Ig+?PrCWs=UYb@E-5`e_r=f8>Y+4(D?O<6Cth_E?snDzLbhSiq%{phd>yD;lNpu zr2NB6@Op@WW(e6EM>DaCiz6*_L;5IYI2;Gk8Q@V{OcN~(>7$q-JPzV7R-BpTz&lnl zY%tkM4JV@2+B6xO zbu^S!;dpo{1o>td()G=4lq6%&10C#SNB}iMwm$Tqh}YW=|~6A z5{anNGP^?1%j*ZfYeETtJJ0MA?f8Ln&87{b&z)ssE zNB?wXi_)6X3fU}s>Rt5ccuUzkI>#LnwfC8`#XIGh=h!3Q0Bn9BElCY-)TY(fLb_<8YpIylN!i` zniAYQ->I0*t+ULN?*k?Qzejh%(w*7uFWbp?YK00(`=jkMex-hYVfE4*#txb9mCH3W zos*~u>AQ>jy3V%7R+MXinkY80FMm!w8(hHwy|q=L5q=XZC|azM3t%7 zeu>VhB7FOsKjGUxkd&6{^_tSs0_+32#KAwH(o*}yr2zY>C;1~c!;p5N;Sh9@;U#!v zo~b}KfoMkVW0VAhU(qua5M;s;!*3s>H1?`EOe_Dsbn12*`s+x?^`j%O-HG__Oi1Fj6yE`G(Fsfa8IT$%FNl1;Hsa@cbUDVyRT z|3XhRTa)+MWI3{mke1U~pWN{9QRqQmLO-5aR{}kUFqp?J2?*c zz_LyLPJ07>jt)hJ<$Np;U*n49E+T*CUGGfg2G6|9b%cK;YRhexsABo`VEH%i|D6}V zKVi8_Uk%HH5Ek%=Y14w`5!J#=fiyA05hd}!Nx&b33YttvhVuIlQhzjr)7AlK)H*4K z;GMu$Jb(ky@`~6JF0Cl{h_E8k7nl!2EI-(=>10SOw-tfVn)N>-=}$ty7ikAXG;<4r3Twl@6`S2s_E9)rmuZf0?@DkDXUdw5VcMAEp;&L}n>5 zR9F*@0I16VLU$N-mA#);gceLxfSrJ}!J_>Y+mMg&DGhY$3LN!zwBl)17{4AE|EQn; z(m(wRsRm#9k%im``lkXZd`g2Mxv{|qRN?`2g@+Fky6{p6$;}WgaELvm;o&0`D|&AI zcwpSj9Kf&-^1ZZTMy;c-JwkFxlJq5A@0s5RbC@xK9haCn{X6LvS zABiZ$s`E+;PXg4*7nbo*&VbY2JUA9>=?W)e*Z_7BcU-&OjQ3q z#`7U-2!hE|cOnSzhCXCPt2Y8SV?nm&^Y3~U>pZFm)XcYK^JaW3h%>{{7QiH%V!O~1 zT@Gvg*vbRB6;9w=IwbdXA)tkR_7@>wMY^j-I#)F>>W^48FF0uW@vTYNK%lJLVrvq1 zdpL3BN!V?ds78F-FVQ(k*yHAm29n%%V7;frCAd8*dl5r_D8xp8RkjY zg_$UM5_V~XNuGplp+VQw>MpRx>ENPv3!Aq?1j{6BnXX+0YeC8r#I3ycu(PWrTKnyU z%gc`n)Sih?D{*`E#O?VJYWMXTW&qc#;@V80?CqrVh8KToU zG}B_(g!=4cNNhGkB#4z{r5aw9#>tS_Y=%b=z`$l6x`QO09CR`yHk%V^p~gOzg^$CXX4vmCFNRIyOM zo|+cEBvG}K8Zb0!0e9<4y!jP!+_VVi6Sq5QRC8{hx(97kBQ>u}g19 zI}apoS_;t5NB;0tuE>9Lk$>AIs!{p%MCHHso%?_5=Mp!qi2_6Zm^IyAgSMkeYC{d0 zHgQ?6LF@NmxrsrTi!!MQ@_E?)uw(0*`Jye}YwgWoolXE9;%#(j$&<=H4~uFgmTa+T z>L@CylzrX`e-UnCi4mXi{{;SKL`rj@bMiSsy@xDMf}%@$yR!Ls<)*Kin?4`uwx#$g z|GfP+dgc7{wo6ozZu=!VhkyRhn|J@xaV^H(JoRI7o)`IN3!)b1d1W!~)~O#TBxa{~ z?us?v~B-a;8CEkY*mt82?IVaSBr1BupIwXc2^E`f5kU} zC-y_OYR8hBF!4HkLu<5Hqeb7kw2myH2=0@>6aU#i@khS-KTa5WLdk@dB}gcWl4)gR z3F=KvD!xJ2GSIF#$MumVXz?zV2Qbgtz$_hG#hc+QQL@awD%4xG zMM2Lh+6u~*nOTpk3WHkwGRK<=5=KFlZ26X)DQcCM9)5$cnY1i)ySSoBM=Q0jj%%Ih zfTbAL?Et*anp~`m*D4!+g6up?xT_69-SMTLraNKjjpE5+Dw-0T3UatX+CIKvaK~p2 zF_8p9T24Qn03Si%zC_Lnb?oF+^nZ~x2}4NStJ`~8gfDu9;+qS_+b&TJXRjxm{n=ms z#jp9|1jWiNl*H|E&!*ca>SFQ&njW*j$eu!frA@U1TTvF!4BenKE4096&nbqpVK56v*iW3+7)RO|m(Xv5OSJxa+Wb5hWRVa=@XI?TBpAOM0L0CX}hBppioIp1{ zl9y%!V0q=b`D2TED;(c>7;sz}vcrtI*Duw{onTN0wDcatla z(fVI3M@}OtEqxoQBR(SK2O2in^v$6F>bmRk3tx<;InDrJPEh<3LOwK{~x&RrK;H>MbtenHq`YEEJA z&@Q)%Mm-1>)?0>JZH@7}jtwgrx??qss~uNP3AVOamYyX?VtX{@TuJgbvRJv2 z=wJ?ZB;109w`6ddw$O+zCDrTI&RxY z@-Te+_JDR4QuBLg6$1{p!%ILvLU}aQPRW=9-vX?JhE0Z&B-1fzfcgWa)G$PWlQwBi zh9t>t#SsO(5)8BJhqhEshLT7ND;^c0(Q%_kO;mAck$VS2w3+58Xw+6ApIsU<7`8)W zY%?`xTyYmfN#Pz2br6ct?4h%(L@0@3L~@h*QW=UFV4xKZy*LF zo$ikAFHmUbhNHI!OzHHNtHgVZ^fjR0*7I7N33ve9x$q0TcwHQYjg-6C9#Zrl&&!hT zdQ9Zl?Ul==%)2g^W#qXZx{jDb5&rs0?4rT6HWhKn=(0idq-zLxWqhYy*Ae+Px$HH! zQ@R=>3-`EaNm!2FndbmIr#)uEbaV~LCT|N1?uG5jin9w)hthLSpG2Z39Uom&GGRUp z@LP1}&+T;n4S&;aQ+~OYeFq26m!U2R96UZ~6h-^C}`qf-N@&Q(k*(826RGv}Ho(IoSLW zpa0SS!#5>%Ukeh960jPmV}n9$Z3DKK5f#2#ib1CtB7y`HfS?m2C?>UE#AY*$k>qgL z1>IbD2_yrtIT^O-(kW$Ut%&9Qp^43A2u1+&j(KRDtBS(Xio|9!bY*AglOmyMGL-n> zz{wrhVdR^YYO-m48nM|{49a$vok59TtSFR#S&sXTCwZbkO{Nyu!Mh!2P2=rAsi<1K zbe5u#QLy$K^vJ<1@yKY-zG<64Be&$#7wEZ#}FRhq2k@3W6J2?8n zu;OoyjK3=6HSDv$0d?h1sdp3PQ;^i8`lIQJW^DEh-qtoP=dtly+O$-@`Z25v4|kXq zm#E_H_2BJye%uHD{ePVBRt5Zqw^oF-xwB}T#)t|iN!j|kCgQEFctF4@5p3T#=%eO~ zl{6-fh8>z{Gd4u^nN*tl$}h&m(NM=I!w^GY73#xFh_Z>dX1Lh>lz3}~i``F&w`Lfp zZ_Uoc@Y6FEo0Vp@WXs)3naH%GL%49NTWP2ZH_O0*qlyl-aVxcCm^?g-UKoPUpkz!@gq?+PX?EV(@Vfbyaa_!oQtk)Y?Pb zCOUQ>9Q$w^HQZ3dz@a#Hqm*-dLmzG*_XD|Rs^kXg%;F7wxV;#_=PJ48hzffK(I}AD z26W;4;iF_KIdpbq(K!`uJDf%SkqaemZycrb$Q97CgQBjq^eVYS14>>kOa5RrgctOT z1>%H1d1gAbT46Q`$z(gI)$e6QIA6J3)vFc2D?1%R$Y1Ey49x9svFpjr0Okv^eC-Q! zo-z)NbTVa{{7_Aqb_We)p_{9*e2wKPPDJ+c78{md#2hd-UV8rW?pvUvXYX$OADj*` zNhxG!Q#+hnhx3p7*Z+U^-UjHB^ePWqy8=~6kRl05Ss3snfK3=abNlw+ZCg-fuYxgN z{$@8O!m>6a?JOEgx;xBngaDUGs1VBKB={#J#4$xdu!$XGh$sjphfu;42pn7B7%(Od ziHXZaFeW$wgDD`-bIyC-_q=cS?e07CeKX&VTB@z@_T1BN_r2Y>pL3q`oO8bC^`D#O zVPP%?g1A`!En5}!q^c4JVj^yovL}5jNZxff8d4GuU_n!G$;v`L8Vw2NX4r!PcvUXyw+qvg zo7`tMVC*kCCj}lZ4qnJCZb{Ms!&9gb|3~34V$I5%t0$4!XOH8OnnwHscPQzmg^G2$ z<=F*mYH4$1wLViB7ggbc) z6R&SpcHJe~!o;fy6aUp;|IUy8KtdKxkz1G;66P4`v?meC0g4OCsebLG#km2Be5lx= zX9po70jOBi;~~Rdi^W72?2{NW^@c#%BQ6GZH9N#9_aqlw z@<33G{RNO@vn%kpex$%tPQn24WCb@%lQ3|;pezz{0eCZvb^n0yig88z?ZV){mkHxATT<*B9B=U7`)yt_Iou?*H`8 z`>#&~sIt+9Y=N7;>3V6hTLxpM@K%VK%&ed&F(| zia!5|J}9jwbE`ubHk{5;9&@%N<-7RUT(S$*(PnvW1ZXfC!8T+C=LSqnA*lSDz-XCP zOz%8mfQ9ZV5F@uh_2!TxGF}g{!tlCSt4uuN!EsJ>1qcHsI*dcGcMisB)vnuLid@|Z z!yqqe<#s|v41=n`k0*>pp=uV~E=-FOZagigp{#-IEo`Dh_*EB0E=iacW1hXKVY>F4u^ zJ%%Go84NmtU=~J^^OMJBSQ0%dTR=FQ9qdE%>a=8*M?_al?T0-8qD#4QmPb5v=(3?} zj@yjrV>BFnfczLv4g|~nZYa~iI$qDd%>6Dj)11m;`s)*tt;~0hFQgKJwq_HibsTU> zM@RRW-sqN$J9w;hHbN91eT>sOCb68DZ6*Kys>pwu(^3AFW)biK5+>_J$2m+Fm`yvt zsX(UBG2wkVro~05%up`no9VF45+q zT#bwJ&cE=jpZ^=lMN!(tB7LKMhur~vq%W1jt|4(ITDAj}I)duqVBik;*s??SZB20y zi+WiO%O_4U^c;4-J7@>34kMNo0h6KUu%UEDEfb<`%!3LFresJLB_7%ub3^eYgDd=@ znb@34@kq~u#D@{PXp+x#|N$?fY=Bw+g&^jy~TU->goUKt77sV{m4TM|>zDnw- zi*HC=6tje2OOxDfgIq&tNe|L22ekW^4lAgr9-pVbK4=Gq?}p#z4%0}=HT?zZYBT~< zOE5>JWp;()pwX(9Zl&4x=`T^YJ5UGD%I677e~pk^XDORhQwPah_^`O)1d8mlhYsdk zvrO15I!Mtaia8GPbjA*n@ig*wsH--o$QD5dRQ}UZ*McZawrVYYme(aWBmZcUZ`sJS zWp+^eON)D_?{k#pb-8vVj;HkY!xWQUfu#DW0pL z+jNebQX{G0Gx6|>;Ci?dhC#;F$aeB;YH66}+@#?GPfowTR1hXN4Lf9RTG5Y9oI-Px zZowzSPyKwZCfwbdBXoTop>>yNbA+zO5>V`W+wj3n}HHUa2`k!AG6%W9qq@*{-9W ztC{UOD)C&1@E>D|^=##|=V}hKT}(Y!GgCNSXujvWnDSX^7iYeUslPfyS1~n%nsLT)(mb}6&Ou%UrazZc-4#4f8ltDsH z*-pq?x*BZf`AA!zN>(VHKd|~Nf<_p<^l|j-iOA0ZVGmO6wJyHis=e03%B5F(t-C}U z@~^){=Tv*W@9p37C0~{(5WN^B@^4w1ElU%ArXUQYlqYQ7+_E%Br6x+Rq;MF-$+(&- zHFG*jXJpILJaeg8np^O=whrlcU%9(gshJpSt5UmjeBt@~caLB6mfLTAduri|&aUS; zA%FP)`}8~CpXdkWg3JjqYWfOl3A9dnIS+FfmAQA8TJZX0UM*96RkyQm!>trcG=JumRP*nD(w z?n*1*c;!M!DPC|C!Kh3Q6$4(S!MeJ^a|)tLaH54$r2sbHR~1sUk+Jy;%1d$p;F+Rw zlK^^_=tHV8-2T^M&RV!#w`{_eO(6bTVft2dZbj$c|IAN(^EU8AnPJ4sgmarYvJE_W z(YJgv+%EqLyv8&@!e06JW>vtQb}AS5+sSJF758zO{t#+=Y?dmF1Xaradu*0`R-*5L zrFm5a3L&#hJq)r;yKEo0G4_|q_eH%%Y|JuL(%b)Pkj_;RhVrvM~$`+mqZNnL;aNXm)?(i1i zOmfM=u*cv|Q8K2P;>l1_$!1tJy{_1@;R|`RWGGL@V2I?jwi!L(Sx_2ERfZYvDz=NI zCfr7@rxiu>9}FSUWAIMH9!+WTL(4NZ7-HJFmL?47uSSKSKS#;*+o5%mI=-H+g7z^t z)EaKHM2U$`HjSNi(n)GnhMgsP-inN}hu&0&lsikw^xJ8QdXmG)!VFY@np%}%mR-#* zJYtEIe{r7v@<0lJ+4202+Ily#^w%fUnZUB!L!0W?T+{?e^sT6VuhJa+J`N9^atmaK ziGBzZ?t%Q%33;Hr7nV7iLa@Ml?-Z1;3)7REg_B^sy!{0qUv?Xi_}n`Q1?Fp6MdnpJ z+5r4vt1h5|@S+ClAJ369iVDCv3G($MCqcxH=Q|1EhqXBg*Vjo{cZoJ9;cA?OfAh89 z{lfc~`FKnkTUQqWcR~#t)Ntm^G*Kv0!w}I+z2Q<_#O$0kFT0;)uTC8U!0*P z@AToFg|#xpUjgY8asS52Ux!Ap;g;PX{rW=sx=XY{`qe=CuYJk4eao{6($&8zyBv`b)n1DyrL*@I(^GKCwgphHI9dI-LF|6TVlUVQ2Pop;^2 zezNZm0d3??xqJ`oJK6 z}-Y)e{B8(k$TS+d~{HTmrgBH`EpHQl@G8|{X>cSFtVi<;{$(H4_lO-%Yvzv^H9 zjo(bUXe9^?H3MC6v&Dch2{qe+tzL5W*b|wD)h*<=_B-RYHlAjFyzO`9o9fW3v0G!l zsZO2;*s+h|iB>rTs<`HCQ=KdmFxON^gw(d?!!*?qPhyt{bZvw)F+J7140Sym<&I8+ z=8}w>>LiClypfU^kbksakCS4<1=SKjnGu_;%b09?Mnd2j! z0-Xr{vO5Q&5Z{aNS(BED@TK>kKYs4`uqBUoe9&0%td=~Y(NB(#em&;+yy0nSz!PT- zuzyeD2W}Oc*mvu{^JEslg=f`*v$}agSK|qN@xS*y@BDL#KbU6c4|PqE7MSln*>_JW z7pgq8_EUDg*-PIR&wP7!9v&04W?ZppMv6Dj&Z7nI0eto}-|tm|n zh{li)tw#H3p%+HU1qv(h2Vo1{zN7|in(vp8(Gmj~U@jWADH=ah<;AI|P~&6fZ2m=N zs=T&thM4Pa^MRe3|(W6&KxIC}BvAUpd!SI%AtGVfg1n!HM3N?QkeV@JjG?p;n1e73R1H@UgQ*s4}-go z9sj8`1Ll^7J_?RA0y=U5#fm-%#wa3W!Wmd&RzS(l5S=XsPJ%S321gBWy&MkkIU}4) z7XWL|3g?K&z&tHGI3D?x z6fv|}Vp^2R_9_g1C@ix}gpSa9^u;#8`wFTL9=+O+E++W*^nHfuSGbWdw5n`2AOWYH zC)}d&i7I@g>^#@{MUh&#PTYrhM~%zyWbTq|nBI^Z#}=mY;;jCH<|~zdEs>wnA-+TZ9avEn1KzLXhqatnLP8c*s)=NN$2uFj+cH%8+oGbT@9*`6j9u_#@dSFCL#42TVOP8O&}k zy_5FN+wXoCT)5l!pJ%feY^6RiH{?BY;PSWbqp8f>(|(gpW-h%MVR&qv@GwmjvpHH< z<7nOc-JkrLpGh=`UW^BJw1#S?K*1wH9P|fjJ-iM|Hd&!U-VF3yaO+c_ZMG+0FTcP5k@A5?C#M!WOtA6x`+;0_SPDQ?3}KDAM*!) z@|)k6&|dpOSV@94%o+Mt=Ne*kpTwkt_0kEg2+WYaI)YbbM-Y@E(Da6UI+)>rVt1)B z?1vsQ%iNG?Z-)5Gqz5Sdc0l4KH{=t<3^AJ$5=b%JK@^!Al2$Q84!JZ#nF8d`kukd& z;#s7O0s1P83`wh)VTWmt60)PUfe;3MMM-*?B_>Fs3WDVjATG*}ElH1PX%n3$Es6Hg z67vbLCcdG4D|4f>qf103kX79bBOzEiu}5nO{}o*x4IoGystpZy?QenLF+(DF-e~Tm zurc97`e%7%E9%jbk756w%w_FvJT@p5ZEh|99s=n(l>sEe`H_3L z;nO2DOFURuxMBHY#PW5QXp7v}U!rrc{3m|P&%gg}!t&|J{ftS9k|L2j1zPgthLeQHGHY^j4!J<*}hiw4nNzgE#8 z#vGR`=AywJV|&KWQw{pamfOKG8i$cKJ`Us-WgkJUI}0D2!97kB&)&8|eFCFm`#g*2 zED-n*C65A+mo6G4xLzVSTOe$I5fE>h!A&!mw<;L3pDGmO&v(-dE^roGKzua;@%Ml4 zr+?Qw6F6(3sim?*+36V_0ru=n14wBb@TU^Sg*5=BbhqP*UDHcI4A#8SDfh{c0dX{( zEA%Hgo8fGsKfyT~?tAtEX8nE@#^t3$6P(SkA28xx4M7_hABNy;hKIiHMY%R03!uGb z(~1Jl(Q>ZIfCR*5c?n$xEFkrN1@i*+toWzFvDu`sw0I>jd~P_bM+@RJJ)Bdb83v2y zEFDgcL`_=yr}T>ZjDuo$H8JJ91;y7PC=R#r!b5!{qSrsvFFmQe?hTh9sa+CYcVoZPG2Z{_A**Fvl?;Yog9}ql+CKcC`kUll`2XA`kPw^}lEUhvEZyjjlIcKHl6TEbez z7P{9kb1`fc&WK5>1!SRcBRTE#hMlb#+tC+x-<9P*w*^)?wA-p|8Y+ zc=V>CgF?%uE}QUY-D*($g+5Dio`X>0={(QzP{cb~U9lzBNa)I6bga?8VYIrk+{aKr zHP_CTyB(2>*1uu*u-tJnt9+#n&DN`C>s2GtTS{?nNWpxP-9AuGb7QvAjyOEi7F^ZM z54svZ=;!|GzxKbrn3!$V-Ngty=zZ7AwxRSw0Xb#c73P)^E3KxiLmX^ zbNX)jL2<=7H8xS!3}@BY1ledf)SSWYlkMRxcvfDvJAmD%M;wFv>$T8UmmGk^^gf0cA(P_(%JFTR&I?fq<_5ia z-$-WufplKs{#|&QZr}?E*+UqXS2Ds}l(K(d%jqkqkfv-(~tNDd8l?)iw4sGb3 z4sM%5-;jt1ly=x+qm~xYKlGibsM1QDWav}Lq3D+#-XUlzRwUrsiWtB;piT;gb&vTd z`JsI(8KYlGJRn1inPjCQ0oPXS_Z8aFamAJ+S@RVMxMny&S|)&t5ig+tDSenMm5jW( zB+!SU>Xh+MhFL1PN9Q_GgbgVA;<3Tg$`F?wzQ`<<+%-)|%J0}EEt-6h)a`D*a_^`G*?KmOZOVn8oOiO-=i z24Hs}Wt+nasb|9q6>gF5zqH{m5REBFLU>goT8_^>e0jnYntILSbA!1wg3qlCLi5gs z$L9|H;Z*7c(z*0XJU;gg6mGgAB-1$x(S-o~L)8;9c=N;lorIm0ntU|0zt}qi_yYY?+T2Qq9%Ho@;4CyTfq$Y+Osp0uuhv34b}gAgwH5>i z^kkADqj>u;vqf0))Cpx!9eSM#R3pmv@!7x-Wu;}{`T27A zaPuxaptr2_`Umu-XQkI&q76gWU!rre(y#lmfAVpkkXUckKNi^s-w|a8=7|(WHC#X6l)xvj;nV;fWz&n^q)-+KOT1IXe$^74zIl#;oyd zG(2!92Z-7Z?LfBepmL}zznH(N<+QITL zDlGs&r8OZgzz5-Xw6B`cC-T<^EP@uob-O-@0m6yhSY*8kqT(4uty=sS*Zf;7LhvoG zL0Wsr7RVoo)}}yPEKRZ|8=00|7H0==dBI5^@pL%t!yi*t*X67dTi3I#;_%$pxeF8F z_(p%TJ-2r^9tZs$Zr#mYxW4rFx=XaV3s>VV{G<2&-rx0sRF|z6W8^MC9tDKuAP!E< z%2Cb(()wBNb0us!9PQA0jKFnhLWdQDqrzR7E2Q%7!o$>2Mf}JB&I3ubm`LOn7&5=9 z@Dl>x4A#oS`R(~N)4SZq)qESRY=3XuVwJax{qXb4Vn=a;TFc)KGL%7 z5^W(-JFC+t&NmD05xbugYtwmjp)OgLn7YMqLcSfxSYQj^rE$l%@J%8tKR*y&_t@M5 z;q{NrOAmzCU7`)y)?cD?0^z@R=k1^Sktq;{WSMeS#J7yKbPGSj9-$zS4P{PHEECzn zTOklO!)`dR9twsvBjVhUkybQpb`T%|u!j90qpAIhL^d<*_Z=cJ3ce8stGp;3T6|?W zW1J&2T9SsvsEE;_C9xX}L)9@>gz&rkFhUlZAtF$$xJR>-J(kWt3?Ei@j$K`I-UXiQ zyD9{j=^PaU!jc$_q0=nU0Xs;;ouwppgXN)Xw9Fkz_3@hi;-FPpXe)|_r7AafYYLxe zAE>KTe1;mcz-Ks1e|gZ!6nSS{9!o%{%d;5XN*EbQZx6eCE$_~RwF!I$+T)}P`FHuJ zpanZZC!UPc+ao7Hk2n|B>atl3EC006ZY+eeY{4}}N7z3wJ{gfONmVTczp^8JNyeEWlm6%lFk1xMzcYJQA z<4Xepw<*6@W5M6>v}nLO`IdJW9*S?bcdh{#`~Cr3gK+C_LGSeqde>c|%{90h*Wkzh z!EgJ^Z(GbW>rieyY$gh_2tFx~+M@@V%CiFp9NvNtLF_TZ(0H4bTSl!WLq0a5A$rC@ zo{i_$0Hz-J>}#E}Jo8*un2(ycV%=EFP7uJwigXRku*aE+G8|=Am`R==ny!HvLcGnI zYCKC1O;B=$xA3XOH84x4(clsA``NXP!nm`fYhadWq=epUVp+=47s1)Xu>fPLkhZtv zp9``%0j&Vz3RD(5Bc0Rk^2&}B{ehBamYvB&>na&C7i+}@9nWm>x&1|TZxMKJLopu9 zP>gT~Z;*R^A$Q#++93C8Aopi~=$C)*-%mWVw#+k`b!ec+8Z7Op)+7I_BB$_Hh{nzE zfVdrLSH`8xzY?XAAt5&!HpuykA=;EMB=&}cTr)&f7Y8z7j0Doj<%)z{GX&bPbc*vD zJE_pR;)$#U^Mkw z07h^qz|muGL27ZQ!JGXB%a<5miIW5ak?h*bU^aFUYOC zL>tIm4aj}g-~Gzhet3#2RZMOmXT>s+_r(n1FqJsGWt6j(-wd^);Qo!(lJwwBArCEHkVjQW8Uy z$d>0Qlyfjd8*Wn0Sg}EnmmPW${Pq)ri>v+1gtM|P&k3jnj z&FzM>0wQO0Yt51xfEXHajF?_ew<2#Anqlm^Ls@#1(X9hoPcfQ=khd$jQBSubugPX! z(u|^L=Cv9>pTBoc5fm>pO2mt46vZ0_QA$jE{@!twE;qqp*y|*k8np9xsXJEMKfSV* z#znFLP)i_mdrbvC1!>&myb2k zlWnx7yL&HsbWjr)3>Ul^wI6Y}??rFz;NgnNL=xZ3MLL+<(c7V7oi=|u2odp#GD~*@ z#%aLX5#?heMc1pb2M*9ek>=)gYv`upu@_uJ9#x8te%?KpYA9Utg^JI=Qh zmpci^TXDZ(rIYvDTTb3^w-~->Nn>#{T=+gJy^?CEl%)oLfULFOLDUW@-bWhR2l&WR zBdeYE2M2yumU@p&v!C(8ta+xNg8@__?)cnJ1jAk?Tf5_TytVxKODy2_=XTn^pf74@ z;(X~^y}y9+#w}M1p^=uWn+yK*=!75`5`tzxt}s z_gr&TrtRWaH1*Xwx0YWluL|^gtF8*IL*p3Tm6zyMe^odf{O|n4cYNR7L=m0P+wZB2 z!;f^aJnt}|1pb5}A9+E73WwF4W;j18HVkjU#icK7hM1GK7l*V%Kbf*JkPpFVh-AKw zI6-H;(vaw2hA1-U{81?Q(N3m3G#`Uz2wq5)lc>6r4zIp4kk30a#4vJ<9mR@mvs)<7 zGfOnX9##Bh<#}+iLetn`AEu;+!#zmZ8(j=|I`YR=z8QyPf8~9&XybP7=^p(wmGsuh zS6u0MNFI$H!T#!zM!LdcJzd2-9`3to4?N7mt;$OJ0gPvf5v65eLTyb#8IW>>`U5p; z5BF($jAvcB60lYmZ+rRWWF?3*g(H_sZe-XTc#a4-*_lpbNCfAA2yx$8j6RLS_m5xn zmbWKtzke6ox8M5qgw#UC+)y+7dM2#KFgxw|5X$VymA?G!gWDyd>EpOWip@`Oi?^Tr zsn>q}M=bD9L8S({9{^y!txQGAW}2vS0X)$ON&+<;wSM>f6A+oT1;n#1R03G6o%2s9 z&DK$JP)CetB^SNlOiDwD8MG`ttw_ubTQ^&jJt!eiLvvUV0>oT1?5w;FqzR^k7t8^} z4YPzGV-Mzl;_)%}<@pr~E9q;nUSZrNK5Nu|n3FHcQ`L?>t6!7{u363t82$B`7ce@0 zg_4d37BCWgL4&E711}s^MbQLB+@uz)SNq{NJ#S=^b$dCeDhpC^nb84zPLdPQQScs`a7BD~2R#xWI61L8HX` z@}kog!6$e>cdvu@JLu2sz}Y>x*S-8!g5hw8i=i8+mc=i3U!nP8{;QDwIA#fMA+%<$ zc*2Ec#wBv%lB_8ot+4c5A{s$~LKHTy9{qSh;iS*rw>4)RipLBZxmeTP>lZjCbx_k> zqEsu`Cqg$$GK8S1&?KW2DGOg@mIKO&0_#|h9$TP}(s{N!K3vE;R!t~O3X9-sg49Wt zfyD)rS?@v{kz@(}C|R~ucR--RHFdCtXGew0u=C`c3>7M4q*czNE^?l7s~_#xn-QP! z*L%EYzh1lwzux2Qub2F%u6*LxdyKzcyz;-^<8kiSi{sm`7bh_H>&3Fsa{aG&h8rcd zmQh`x%I2v$aABUCBpH}-lOW4-Zj9T>%O!=r_=7e*dC^2|HxxpHsa}bJ2LJv*|9HtRSi3&)HG64bIndlTCfmWZWbS z${qaiTb!>aW1Ie>#rvrO3kKQ#vYkGEyT53C1y?g%wAtr}LB4%V?Xh3AWcKVr%zu`1?5a?0l~xqAvK4!_>V^+B)nQv6%fYZc(8uDF z3cbtdzK~!t~@O_jQsy`z_mFNbBMK&Xv8ob$GWZtux_WtHL@H-qGz1M4zej_Q@D3JiG~-(Y#=a_A;JHILD1= zdj8Jwh3BEjOX+)6iCN-))8GZkg~lBl&qSW-8$bX5{#(D8c&1*sVB?u|3j{y3Wk^MV zXWH4^weS{FQFJBDus`f;C8YLU$Ur7TdJ<+hw5+AXibyJoOdoara%h&q(2+1h^abSL z8W2)&b+wux8Pbo4hILQ+2!_alm4@_$qoL~HXvog?q>s{y^n}fDK)=7RV(ga?&yhTn z8TPwcThBwhfP#j6Md6vEr3eQ2Mb`VSJW0_5nB~x*;UC#B^kfuWpFc~{10>5mTMC`9 z^jD|b_mnB(lh?7OMEpRa2e38UJuaj$XVpP6is|T!d>GvwI9f(-g=&Wx1)%IOQDKwS zJJ9H;wA!&boi-P~3YL>WF9?9j6VWr+P|I6F^<*l&jDQ^aBdD0+I#>H$)F zSv|4e42KF0gvGFHgP1A21^lnO)Plc8XLwA|GwsD)*>+e7}8A3M|E zqk{!I4Rg(=R)vyVlO&I=c`4&@77;x{xytZ>=!T{Kuj1-H6ciEiF2>5s?p>Z-)@%zdwiD z*gvn~by_^=Q#n_b#4~m%3v<1ibU<4g5iDX4wA;&TXuX;g?0F|S^tP#?49E>#4J$tk zS~<$08|Y7SL*dcw)1-cqs9`PJL}oShp;8{~CoDD7pT5bgrXClEqwDd(Q5ap;F6TA% z_%emXXMe%b(f#GYI0m+ZMxAkWkTMm2d3?`83^feX5kTjMiG6mSi`+cHJjfbDm3&IL z8(S_mp)g)v&m`NIoCA5^*m>E=vhDdHwFi$LGWFw0?7J>zJ$7_8+e%h}qqqEe`4{>2 za^kH}@QyN<+ILS`OAo@tag%q>%2=(FiT2Eak~G&{FOUWp1)o3tcf&9<>=Gt_p4R4A#+(#Z_QH1X%?U!EWq#WsQ394cY9%UOY74 zjwN#{Ae?0D6me;wCMZ6;zd$-Cg_b*9tIYDj+z$e_fWB+@C)+d4c~T8*0)BZVUcosU z0X=e%0L(V@i0wSqH}fc+58H+lQj9r5-hDpr&&0a#1wolx)(Zj#fMq9|<90%Ag1&El zLE*qTiF)`5=!=}3APNW0aUxisffyqyBiMI2>qP>C{QM`pj`VS)?QwKM*fx6eEAGB> z_od_W!hon|J$9gYHJ83_e#N*>vfCOwg?NQQe2#SfT1s1o^fXu?)B z#LNPT*{4>NM~^j@Ku=zAX;NiCT2VqDGwk>5js+z>n(&n$hJJ+|x-%(X-_jGI50F+A zzrrlrPCgBuv-^YiG?}FoE?El-kN|LM*n$Gxgv&Jr3d~YlvT@By=z_H7B3?&me*DcU zB2F7;Q2tfqUzG5Pm%x3Uj&CBDPz*st$dC55o+FT(FrjUU=Wy5%2Kyc4*2#9r;92lL z!6V@v@26H#(mc<@JFD6f9**1t0ODEhfsAQP$ESO6jt)@V&U8BBTRM0a2E%o&;J25$ zR=9V&8*3?n@SE8vf$OW{TX%^zO5kcJfe*a)V_w%K=+@#1gKjI`3e@~^tsVsKghkfD zvBQ2|wMOW+711wV!)evY@yamrjpgUUb8=W$Bs4G$Sr;oh| zvx03}16QJ&ZHozrc>Pymnks4-8D#J= zP~Dm;zIA#e%oK9kznz54;*DtpMNq#XD3Z(<^^Ir9_nwo2UxT17JSD)U@N{dc_+&E6 zTX_07ndR^Pu@C*a_k3tT3{TAv*5j*n#O+_I$)q6K?=Q&(w?DVt z{y5((uM4L69O9U4gS>^LX!*IuLq2cun4cA?wEi}BE(C|l}`I6nAOx&vG zs13YA#u_5!8F7>s^vsH*GLi6{IEv3DJM^qL%By*1#Zi1x*^0B`C~~W2I4h&}a;tV= zpELmM(CEaXOq|3AnJqalPI3)zIYmqd5TW_VuiA5ZThJn?@R%^2a$jP)gz3jEZPvN+G;DACtq6 zVZ-F>i^=OQ(T2%agUP@2%AfeR-nfX#ahmr;PkoQc7-h=Idz|}+X`B*JhRch{Gs9#Z zBo0H&z$;b59+Sf$aR_HIU#3(xdrTf`oTTm?FSBgTKAdEo0F z;9Hr|`Um({W^}?~8@Gd@UvS+g;r!euzW;SM7co}HqfL+b_81!wQ4B|8zCFe&SId%Q z^zKxZZvr}xv9kkiJjTurxbYa9>W3$0a(j$@B$IFmGZG+18d$cbr;*&7DcnuSHUCPG z@2a`rk^^?ADeSKc^dwUCfucyAU7%Rfxp-L53wJM>&VAU(7U%2BbQswpa$cTWUe1Qt1#^^p2Nb3KkjhdQS+1khhP5DkG;g=+^yrsTx6OM zd#N~gma5K*bGMEkHeN#@deZBLBy zFT%kxN4s_WpfBwBdFcS++y;8UZj*kl6CA@Q={G-N^AqfsrcOW{9JcuhFTLXxp-5zK z@tQs>)sEA(KKs3&_n&_9Qgr=l=nOX7$197j=lXd0=z6w2mS?c9x;vJU!wOpY{E7rg zYhNj(c_p!}Z-4bTiJYEVc_lEGVK#sf-gA~m-NI`TP$fP=%5EJJYWzGj!IQ5RdTNKVlV(MdkOAC{ss&Ykwrmff>cDiB@WpdeWLtOQ+BJ?rTIBES^CK$FodQNFKi9=}lnG98MYnB*Cg_JY$ysacd@KZebV6R@4^P5GC!0K^r)x6$L?qVZVm}n3z0tm4LTDv>>P%qW(rob|6g} zoueRVFbvHsup&js#W@Os+Bw#k>MZWSu9B`7{?LM;!4NYTTaiN@(BWF;Q0c=cf(FY& z2VX=)TcMGLUsBR{_D!Oz6go-JbAV4WT2n%g_<1U%#p$)6%Vzm`v;Za^eSphA$r!qH zX!k}t&vWowU_2k}DE}1jTV5C0=K?(iv*!joCVMd*<)HO=&`A@A^?+f+fL!)#vyM=@ zRQ6BlI04WtOb&nXJ;$=!GPx|gvP;fx(;fK(cj0o8T$dlKWurOx8Z9Ls0MPYb-T?jj zDxt$OW#JNSfWF@6I;R=*NBroU?zxayyNFmw92*w(7#*R|W&vz?D*$>jY*gucXsd?0 z<lfVqoz*s?OvGbF*SX|TjX@?e!jC9fYNd;o*!w}Hz z&_mrb(w#OWphv?VmBjL4A`y^Q6hJr2wjUr~V@SM552)<>S%g%7V75Uze}tlvZS8i)G?kTS)8?@Op;C;nrPvJZ~ZK`p5I7 zlgjHZ(T1SwFVQ&&dhd6={y+X>i;AtfN2tfR6vaYHuO?M;rgH|ND{YV4UB55K8hM>_ z+w3aDhSP#*B4}72Jt%CuFAyr050V~$%OqVe(`R4nJqTi#f^hlA2Q6Jr*e;$!Nv+F2o^U7hxQMXj1*7lM z!7wM|0p=-KXl^07{Y55ro2PL3^Aubrn?LNN8<%MdrW;?|usQrxHmBqIhVAPv(dKkq zjnnbM5B}mu{#bH4)B`NTb|jNAoRCy+Rbyaa9*xuKu&@*~JlSI!wlYZRRM4=8t?j?Wv+|^B=;)$Fm&zkimzeP&TR-r^77a=g^6w zdI0BHd_&@NM9aRZ;5(9sKr7ACl1_(Nc0;W#O)xuRyr#cCL)~z?2G+#*jFPAkYTDKu zxa$#oF~W)xUgm0Z-A~l&;6c9XRB#t!GB9rq+~0o+U4~;g(4TN4|U-F6{*_D=$(-LJj6pm&>P~vLFBv<4_ZRJ489f^3q18*xY z59fBG^*PJQw}0VmsjU6W!Yrq~_z$V7kF%wQ?D+gi`{S$oVY0g#=j)5)_J@la=a)V( z46^-Y+ns-|;?v1lF)e{j_7OaLMrF9YH~;4P`Zwz?(dOS=jeqmp@A#^}yquQMSrO*m!zlLHjhP$UG^c~A+#D5TG(A&!&)ynhk` zm2?F=uAS5MQ^!TRgeS*BguaD; zR}=ny<^7NNL+?p4bG;ZQGmp=EO&TKuj@%0?`A^DyTEe|rY9Du7b#u$1yh~jf77JAz(WA=S&eudE6(Gp*^BmlY{ zRpfrnMKBiliy@_KO?Upx@p69Rpm+XzvE?>O z_!376qlKApJ1POcaSL1M?`Em!TZp3{NKzi|@C9Vp1aa$;;nD?h>n_m--s>;XIfA(V z=lIm;{c4)lqy>AHn-1lBHxG#VG&dbJ)8Q?v5erqsNM%}0bX{piH5u~vZ7a5j`gH1W z>~Nh73EpPdVcIZ4R8X=L#nS}uXxOs~8<8i9sNp~`@sw61c$*Q(+BaUEV>f|Y#%Pgy)Uq~ZP;gh_N@K*o&P9w?MpGEmPS3C>u@+cWvWW3Q^ z(;XkRVp)z;QsOShDckP+nZk6NOj)`XTrvQ|>9hEDnpo)GUEgUW+_W18Uf=xXx=XZS z;MHK@=l#-`{Mcd}&$c~qY#<`EstR0b(g=G|I2lq2~~Fd71Mh0ldtsn8rh_bf5c3?T^91|ltLmX)RrZvoJWfo3=iT?;<9!l&K=408oH z16VT*$ygM9!%#J3X+;TOqa^}dVSe$9L|IwBq=1E4qMVFFA}O|p-%(mp`(l=;TvuV* zfRZg$Gr6Ptt8=IhEP8{=9rA3A@tvi=JeW8Ig|{3XGbpp8uY!NUc~`|e_5cX2VpXuz z0_h>oDzAN8PWgw^Syp*_6b$oU@0n&>HcIi5E}JQpbgAs0lB=-n7L+(GLT;aW6{jru z1*6m_LcUD=BQ3ieuof-6An!pNE(gpIBh9O&cn2jUrr0SH9|3GL$3y~DfBf7r*-NT7 z9iM8gbHrDYSx%>;leQ9jEz&IK81UOm!fp31#$>3D%Yx@k$IbX&I$Oi{vbNGj=-faL zvUi~k$nE>j-`P5n*|*?YeQxc(dF!x^=fCqqf9DTwM0ZROZ$$T#NOXq)-*-nT0(R9% zc_bIYCL#Gq1cea&oHXvp6F64{^{}3RPznyo;R(R8O)_LaJA(G~fo0o4ckUSIplL($ zjpz$YmIS~V%P*NR$4I&@|!(m(GtK` zs6NjFZ6D-=F%K*5(CMTw%!2$K9&#ag4te5q=q$*;gl^p>VI8Ao1i$fh5jm&$w0NcA zZD7kWo?`0lQPdodvN+EoEf<1jAF<1uT+5}CCs0;+^8`8=Cyaqn4s?!d_SaE1t7W<&;x!VVQR9FMlwj_*$Au`pcTYw5T z84PDzfJ(wR7|wP8C63yMnQs6pHI89PG%I77E_lhd3tmC`G@4lrmY4uNAfrypHh{QU zuA-|W*gc4vT2L?cDigq)L|pmD6Aeaq0`?#6?wzElb(Isubb{glxdCbc9@_5H{vvZN zQ!yOmN*hoQxpD`lIBzs>3YeYM$0})??cgb9=8n+@CgrZdk)M-0E(?MYXoK>0Ck%r; zFwG$o6nU0AN1%NcMJ_IggIxJ~666YjetvaOxJ|eG^fk^;>qBPY5^eeEtI1D)(g%L) zzw!^0MoW1FgWSL>phL7Y>7;JDLH(@P@a6*R4&Al|Qabc3D@%0vi?$U*FESSWpiZb* zk&tVK{jOozELG0cE|(80s)DeXm# zZ^%!FHEuiz>Iv?+=30hF<=+G{#VdiP)7jYxYiTY~u5a7A+#=G+vfO7r!rX*f=YXy7 z84m0OS`*lb6zhDj3wP(jlXMd#uYZzmg5(o7(<}FHA79Q2z6j9QeWvoS-TU&d_%kWK z)VgF7B!?6S!{V*^L@#WA%5SAM< zzBEJp4Skc^an}igof%?2RG2swXIO=|f*8vT`=Mr=N}S_FdkV&v$#A9*w>;O(a<*-@ z#Fu6Xbv2u!o@h`(DNV9Ota>R8Dg=%-th}dfChn~OX93Nf3ZuP%TK@eSw5ccqQUsz~ zhV>FNtWqolA9HK;bbMZNtEPJ5FaK38fC|r;6NqOszqUYhz58@dvCuDl)aU()Kbf#u zbAJ|yru<~B{hQGG5sYM>cFd%>2nRKr*j6$?F?C4R`4hGxYG+vk#2o5gI$nB1pF=%h zLY1_sXz|-GldWDTJuAKTIn-I{b(TY|8!C{e_0K+s%E46fVTPs=emd()P43q|c4!HO zjBvF>*qncnxlkHfWz~J}@Lgq=mk|XE42P_%MW*&QMYIC1IR~8HlviSLg8h|ajQT9v zQS7fe(!d-2`^=@%ztA=D$DJg}AlEV9MG6BUNrsC9HTC(J9DWQ75Bx1& zUjM+q^muvQCE74~{UtgFlmB-0<=^^QskyXXj1rSW@OG^yTFj1>$S`?ss)WbnZr7tb zhbX1cDm#P4=brVL9EL;F!NAOj!Z6b{!NFkkk9w#b$nMgT6rrK_`iU0d;;_tVVy1-m zm}X{5ph+aTdpkN}^}S?+Tg>Vh`3~M^LK2`72Ayf{++ADr9R##B(jN6~Hpmv68OfG7 z1*eLg<{SvwairPO!@)V=@nxe-BTx7cy3`4eBKQ$y)b7&AGA+_&btTK|va(3imRVU; zHn|pudJ?maq;?-1hxP{C3cj7(3imE6owCiX(&>p2OK(oa;}lE(wLkx9|Kg9OKwrz# zjf4-y>cASCSP|*y@K)Is#O6F7BEg*uMMDzWha8%o!@TB#{*kAvK_+y>WTnkUF8HSr!(MwF3vYxw!d4Pf4OnKMDmb& zoXxI>yM2q~ud&QaA2SP=Xp7{pCX)ZckG}g|-1AH+ zTr*38uB|xR%#xsMhE#z8OQrub3X5_n8bQ|#XS-e!bj@(K=_Nte47*`(!Z8$P6^9nk zjg~c9RI3&ZRbQngLDwu}uQF<}%O;*#AI%_A$J23dHC$nlb!s#sUJ9fQ5dB}V2wlJK z0b%Vu!OA@6E&mkSXUc1b(z5;7nul$*#V&TWK-L!(-;e2jBAI@4S0V6;C(rqXz2jsprc>*F=5h*Z*JbM<)(mJ>5~b41ya1DhY0- z@0E`SiQEhKKc`Cd()Xh^QQ_lYlqSa^!t$^mhv3w#*>~Rddus3ySjQoUZcTr6TI2{q{4Yax z^03}t9(;#8=@O10}TS)zVE0iG@ZWa|%^0Du18yPu zH7rrXqcYyl-#e;^4libgU&Jgn%qElIJhDrJ^Uz__&M{Hw*T zT+$1SpXPiol%vC4QYS6E1t(VOh|Llg5}HLgKTv^=?qn}&xaixOlnrGXJ4`mu?|E^k zY|Xwx8vtDsV^T^>cQaogpF)iY)~x$clN>4Mwly)eR6EB)TG45i#(g4pJ708I37-ge zY1c}R+3YTL_wxnnASoxIC8Vw5_@yn*!;6g*|(kN(4g~yd?HD^ zdCOJKB8!EfUY8I4{+7vvn!6vcE`u~>`YfuOD-|BCy?zV#Hd9FlJ>`R zZ9cqH-#Zu}WODm+JMCYz!K<4K+}Uoq?g9apUru6Fw;ay5_dC=Vb=EhqmdG;O!5_cD z`FdSS-Cs0#Kb=1evh$bibpFl$qVXMs>*1owK0gfd{qxV?;C%h~^rpIK@Y8n3mq0R| zKX&lvk1xz`;`VAxLEGNARks(7O~j!trr~2O>SC}JjiHO-rWtcn;?gLwY2=Hy%}h1( z!rd2Nl%kQy${Bg2^jb`-Mnm(Smh9q!Q#4#g;^_u-s-t6^+BG)!RYDKD&UW>s(-%)C zr-o!d`$*VutPu=P`HDPI=`WO4bzJ67`AIU9@{vw`Sk z%#WWPK#&!|%ugWTliI%X`NA51bs)GsRagTr)?c3axdQ(BJj`4Hq4z#W1h@7~D;+cl zdN#rBB?@k#u;q_EdJ@<|ld4#_Q*5&36ox;at^Tp-#IEX%tm|*`9jc4Bz5H^*vy)IM zh%Z2S8h6)suFu?$57z#~>n;(o{Bc|&=Zok|z{K>;H1=fF|3F?ixgu#_aqFTRRCt4@ zUB1iCA^wxsz4hPz)31s2pmMck4skX$D8WU@D9xq@B^a>OleUdQoC{fNnM{)~!3^gz zesn8hDs3*~=W~c)Tn^Gh86MJ*i&=FDQCzXwqt-?xw@~+-rFwNlobhYOj|FNjX1{rF6{u{>ns9p#JGVF%tlxV!{iA({-6>8RtrOyj$aF*lUayx4D{Ip}!EFj1e1^yw+*E=v0h75_LtQY)$>ojq$pF@#0JO@4V~I{X{aw zFEM@Fbm!Jwm1(=U;M7%7*HS!1^%Z%E(#aSxcxu-tlkxiE<}dEPDKTE!gU4J`>-v>C ztyMNwIlL9B!J;9OV{rSt$C(WIJTgO6ZgEgEI<0{&(u7+wq-$!1_{$Y3pV|fgFd6cR zWrp3bgHJ)jhC~&g#>tS6A~S@%4M$YpVsvOeq|6ZgNkbvp#G(1jF~iW7oQJlGwRC9l zzswSyOb;^OgYK1j*I(PK!@}SMEuGx0b;}u8u*9QQ=hH&`o6%wAMrN2N!)gi4Fd9yc2PYQVibK6`gonY5ZoKe1o?@8y&|p3_z#Y}&gO=NcHQ;&i8D}e^LA?4& zQ$x$>teD~K(6TH&H=lI#GCMS;J3WoeOUG``jwAEZv70E|Q&$NkdXU=ZUs0Bx>+!XV zyMPV^#lZ7LCxE~UNtFCi4lyu5Gk$=PG5&9&V+6|mh%osFSDz=Q@{a)iv|oB<>&i)m z@nrUI{F^Lwm6!d|(wp*%_VWew+bHkz8suEwDDRA^n8qB&srJiVz#N)B4$R?kBiFH| z*Mdtu>A7ug!POMk|Kq>@+jh%s1a49^3 zfWS!pxT0WlFzhQxRfKD9>Y!fGb0n=G*c=QGO~?EKX?D~A6^9mVwiO$+qvMKT*+HV` z=P1}54ABx+(H^>qP`RHDE!Z3l5ev(TFbsUSzO}CP43SQP$@$`O4~Tp%FenJ9k#VT? zL8VWC#e|ddujJV5|6U%!w&!vo)8s{cAlk(J&`@j5PKqf zo*-t#YR7&Ug4iI6e+9>;b%B$$vI1O!m3xKE6CK-<-2x+QCnF~^sXmmWb!RZb<76-% z`jr=|X{6~OMQC~lDH?h@48>C;OXdn?n(vT-&ViWe_6H_s$y{i~vShAoC(tUh*MQiw zFc9h5+y_8%I4i9kWxpptGo6lpJqbgl()fG~4L9$Gq1P8f*Il9wL$3xy|JtAb_kZNs zgrO&jDw+Yo8Y9bz_T)~Rels*aq28(u{Y;WFo)~Hc3*CN4dKf_ie&TaQ6|v_E`k12@ zf^lG4kr*0RMAHYAdSMoKX-Eu>hM1QvSz}-%29o6~$}=+5bD*0mH2VxeJNApn4T+() zV%zUez)jynz0C_c78%-zoo;f629f8F{0wlKVnX?cGQFDKE?yaePW>%R zPi}Hwms8yk^wy(5P%T=Np&|U;LL&%mcm?g6;H#B1uFa{2AHasL*B4#aU7`(LuLfN| z=d0fLac@lMs;*8Mq0S1Ue1tlyck&S`S_hogJNXE8R`28^)LFe#7NO2f`ScO$Y|Q)k zB2)MI1a7(up0uuovu&@F;}oRhdd_WKV1%TFaaa|@4_F70#AN)|@8Adx}23?f)C za-nSIfWAUB+=bj|*t&_}kR|ubrfLC{H5vt7p8gu)^l-n@ zvKd5rm)2b54#b^^0&O}I@-JihK>dz;l4F+FD6fj``gwacoaCtkgxbH@VL&%YdLen>4C{u;b|k)E(_U8V!n)^(@y71N`_? zu3NSfv5ks#6lo=%9z6^nZ3|2ph3bxvBJnKOjh>d-`OCID|D3khuP5^K*u=B*d@m>5 z^Ba?JjhO^}wk=$ujY+r~CgEM}&-~$^PE3OSUPfL{k0h;nIb-L=<0uM#Nx#F(0s549 z?_o4HHXJbZql7$8Yg4wi?| zP&f`vIwpE+8CZf)kDy&$Q=N_ML{L)ubIiP)wi-+!N5?2XcJAfu8a8yKPoS0c*_z%3 z#TSE?+&nrObd;y5>CW&K4HY~*U2_jN!XNzske(1|{|T@Le4Z=99znc7>s;bp_7JN_ zRe)_WTKkI-yOg0x7et5UqZE3n8-wmFD_}-Nc7}zronc|-JRqfhR?b_U4Tr_&jwu(N zoZBBtv#guVsQ)&9+v)s8mghFb*)=Q&pTQq^7t7o6?RJjOK=FCEA^qJakk44>jBQAN zHAw&azv@k&u$5=mM$Tk8gRMLpk>ysNo!k?7wHV}&v~{AD^NxWyo-SsGAl$cd0Z^Wo zXb{PF0%mr0A!#*`uB&%9G*#e90!0up-lTsk&we$RfNg1x$C>6h{?I%A`u8USK*!k{0bql;uVD7M zwjf5=mI1FM7PW!h&pj*g0sBk788UHnT9dfGL&Q)TGO)07#6(i2IgkrI?9fP!(QR6h zPm*XjH+G$FK{PzCi#|m?b*9X$X#gXjtd& zPf`egXxSoV!Zk7VG|&`oO+MDm5;O+t&Uo~y3LRFQrO2_ucZr!;OtLfxzIsf*@<(4J z00@Q>=4-iGYQ(aZ1CX6V5PvTloF?JDr~3;WE;hyda8E`1;QH+Zrv4VYp4{ZVV?H00 zv_+{K2XL7jz%4iea2(F(^TUn3Ko+w)dh52buEW=*H(^_MiMA;JYNGt_f95B?c}2Gs z2y~UOHu1YP^my)B0)}<|K+Du1e&0iu0Ko8VTgmxF!(GkVH45}Qfl6;kI5)$gM=ui^ z_IRaA^>k>$xfxRXE`{2M*2b47L&CWkb_ev15y^inB1ndWb2AKD4V+`Ww_D5ymecl2GG+0l9Y421Gin=Jwex} zj_o8R;3A$qXeivk8#Z6xT>H99v|;nrVDpE)@pa$zUoVH+Jth}Z>{3Beh{wN=5PdLr zXb~Yw*wFA5tY}ks9<|XtBP=^Wtc^%YoW)~oNDteW- zPAlW&?JU@ir$Mz_+$bkH>#~{TO_$34DV-1(nvb71jJ^&Sy)HQ0;^*}Mu*J_O9KP|S z7Wx%oPK&<8^V+=n8`oqQ;4i=F$N$BrB#b^$SqG+7Bv&nXR0WKX#0aYYum$dRhjMj9 zyD$u#bTTAHn_<_XZjFX$e;Nw7lOZuW8t!^P6i^K<$J?^yI5FA`L&?1G$7rNZ_)9Ai zqs?$QkPC*x0ijta4@ibSOO9D0oUDu$D}1hEMPjtAi0_0_Je=bW(_Avcb5N3iGp3n6 zCYF6&1fq6MNS*-dUmlO3ct*nEtzx$Y8eq3!xhgz;;uXMcR>SO0~de@!Zu z(~DtQWWxpY1S5a|)*&onRou3D(?3$;U zS^BHfHE71F@ZN+FJbgvk><^9l`5jez>B^dXkVQv8(7&XzBP2JP{=L_PQZJ`H_wa4@ zY4Fz+cDwLdu-uLQD$HfGJb>SxvY+}l?t^%F#x2+;e{9mS`_JE@H0Y({ORv0iy!%!R zQI}aB$2$l*bIsd0*rGGCP5w}LS@7F7r{HRwfZf>{Ca6-`}b4>5jJwPgYC;dgDiGBh2ysBb)j z%I>oy=v!^s?c>XLUn%OtKIoBtt1Ww+BJ#iZ2mYmRczx=Y!6k<$>$;>7u8wH zEn^-Rn`hV;iR=Sad9a+&rRSOL)gtng(yzd06yLd2k=xNRbKLUkZLL-0c6Anm`iSRC zBJyZ>UZ0rc1Dt#%cpp^b;z~^B!Q~xm4zsXUmJ;WQ&nLpSV)9D_{7pzcnpwrb|A`n4 z7M?0w@b2`4caLs*+uh^y>8&->+s8c!zqrp@+&0Z@23xu)AT8A#` zL1GvZ;b9A^XX$E3efYePyvIsHE~D>>B$<=?S?j`V#neg#1ExzsbtWWl(GyB&3@m^5sZ2OiH-}q$kUsDRYXxwOO41*aGR%5{f%; z|FGP(Qfrnh7vWb>Z86X$gq8mZYWmE&{6m~ICR>h1KZ%&~Mg(_*-pQl!&G~iWVh(UE zvbMj{AQe-_Qq8hfayhZIq%2&`G|G_Cki_kPhO3WsYFN=a?(FU_IA7czam*U>>1P#* zi?>&Z#hp4_O2{{L_!HQlB|Ks_z)h$ss|HoSmCZ{krYE=D* z%L4u_g?{-d^bPno;J4%zg$WlC9Gyx*=m#pjZ-~k9jsd8%S+8VZdY+O8$RG5jmyKsa z%&`iBJ6w0k`4{}k2Y&TO&d|Tfo)<(k7txF^7G zmIuh(g%H3O)M^=cI!y_uqGhw+!;;c?G;1l3?k~^mq*Y0R+L}&}SGI5i%iu3i=srU| zUJqZc`0Uvg+TlaXXRi)2qb@1;u%^F6tca7!J?JW1O&r)~Ka-DG=b(s{OaIIEy0$Xv zU8r*K*s5~y=)`{V{#8o>jF>3@VpbZSZ}@4YxLumPIif(PRzg_%6&f?7Tw`cW#UhmH;Uh%+irj9UgwUF4sThT+&zEd zet&Me{h1VVoBZ)6q5|I*8qlY1a&=Z1fUWp03Ip=ZwR7sijlK{t6SlT)G$tmG&cOY< z$1i%z?YF)?aoB?LYc%I@ELL11V!u7i7cLP^AIBw9f9A<`ZNBv7@BLff@u5#Wb>j_B z^Vg>toslkJ-?6A#ip0Z66-y{x!WH*bqmAv8A%W8jF_Q-UZvgOUu@=e#%y1D7BQGAa<0Ua3 zEkat{-e19!7bc2zkFV@)xbS^Mk>RNDC{KM%#bt9(emGGXiH}CBS;G@?vT}P54a)f^ zq=EMairgQMcZJ`d+X-4mDFJDa?)T?C;P>aY+aKqfO>GA?ks+nHRDS;)DRbP@FDJE4 z6~E#9z&zZS3y;PPz1EAPw_5NMH`A*#FdyFc)PMNe#K`N&TT6l4knRxwfIn~c(x221 z5@%o@4fi0%B@c~m;~FG}w}Pt84AHEU@;9tlwV{_3y>VB~w2nbXTohVtYJhHZn0T&VjNeOC)Zapr?zC>qC#sHe+6%mcuj#BlXw(zz`0 zE?{iM<~-6RL`{uErxw*!ULJKR<^J=9T)PV&)Dd~A40$AKNv*n&jRv$OsKO|bET$V} ztLgYSq$x>n0B07{2_fK-CXeX=L`Zh0!xK5rK`O+c8Bh~BvzSgso%rKVV4M3mc}xdC z0kz^Orjv*`4I6jwBBsNe(Rh8s@bJSp@gRHQ&O2^@DTv4o6(1uiuDe8AOt=0Log)l; z|JVG^AADOvMfD=bG2Ncfv?ivLk>X+~-7bBo=3}~4>WvcZQlRW(x?U>0CHQMcI%*%& z^*c7}rlF|A$z55frg`eHh0HiuTMZ}2hbAhTA((e|LB)z_sZvIXe9lEfO=y!T-;DxP zaqrEt-?fcuy)h6hS6hRKO+hvMj0QBdP|M)y^Zw@#4#|SduGrcFPWvnGuVY`QP&NIV z;Oo^i^+sHV|GgRCmHfrSTmNp-uxGA^G%Vcf8{|6O(d~CTq3`W?Cz3SqqmP(IU3ZB# z$h{iK{ml>g>kG5Qr_#Im5tnJ~9fv7>sY8sVkT+I+KK<+Hx>LGWQZ}l|l zEZ^!O_hI-}0k2(Zf*Hk8hHmy(3}5w>EBuNr67`%dJ+g<3L^sm)2~FwGaz!-uD!Eio zx6!Oj1ME@{+lPD}{D|iL|;EUm909yGo zncI|iP(NgV>;Lk-)W1u%Ml5U*M|M81nclNi^=OIjwSP~Ra+Ge&_9hGN-NA>b|>o(=rnUb6jSauYC-&<^XePhdYmuQPEuO_zq#Ml4Aul*+p zZ&lJ}bgoHE(Pna8!)vHsPPeD#FWOd|ZBI?SHA6JSCiyzu>ymhDh9PZ=+!@-7mJKY4 zx6!c1L`4EK>;m3~w?dOHGwh+@5iJi|VurM7G9=!bA!NTC7=;xNO+e9PD0pj@@B~;o zO#N>g=07@1;;mWseRU8FM}D=CJ}=@e!AU@FJZi$QPTrmhX$ATeq>mnF<=@K^U-`!c zw2dcoa>j(NvRL&KAa|p4cX6EaRaW|*zz~;kWPg|)3{dJ0OSXm5*6<64Un%d zAg{Yb8z5f|Ab-r){mjud-cavo6ad#X6|M+Z_tyO)xKW|;M%cQEmRrOy7&fTy&B8YA zr4}_?x>BD3J zFoJJMhW6+tQXbj|z}>D!{uMCWw|!`4omR{P;0{wQXgL-#h5V|0?zX}V4+((L#ypR{ z7Xg_FcP}>Hkga_d?8-kqk^oqb1h6$v!2gx_mKH~Xb&7VUUTttpg^>?g5zt=4#v9@O z-2nIc0`9s?v;pqb0PgR5&sTo!@3_SJ+k+Cxmsfv_Nst2JOZB%cYG5_EgkFj8=!-Ue z1l&QW1bAd+>-_ABuC6#}V!8hIfT_+nM+%xIYEW6HXK^h`X`y4{xGB`img6u)&}5be zjnh%*F;!LTXIF&b%B*Vz@E0FEtG`85O`nAEHyonUG9xIe1M7tGi4cdYoGP3cJglt# z7E7{~2-oa)c}?$wIR*mJNe=CO19@G9?Sj{#HWL)u_50`K zG%H=1*kNdFO{}weA{gXcH@6eHjl$Zz)EB{YrqW)hyu}yhm(%K@#Fa~3?)katb#dP& zeO?bkmtGLG?h~&b}VK=Dsd7^_fpDlKdSf`N~9=*Y8?HG|hjMrgtS~bp* zb*~Jly_k9}2offUBg-=%#R@29p=$wh^P37)p$o(a{cOqd@BEtSUGC@d!V_4xsjx1) z9_W0e3-|CA6JOt$c-3Plh9}gsR`F%*NtdxPeQl#0B0TmcEBj+ zlK=K3G-6Duq9KH8kfO~Fy@+%~2jb83_wH$m6)#kEj29{5Gw=zfi1+N(Ltx(X_l}`Z zhp)Us$__X6HzC{nEAH!XuT0Y7@;QF~0`3PIej>O=!_JX5&hzsZ@O;=|oR%O|vTr-* z=Pw}K>%>*T4FS?3za^wym(gqk^8eziAVD$8iD)MY6}?@wE$Xj$Qw;EK%It|&;s(pZ zfa#`k`!w1H%ioYf7ueBJl!jI#ynm4F$Zxdu_s`MppQGJB$5Z#uktNh}|KQcb+&{;7 z|KQaX-9K+JhiP;JZ6pEPeLVz8P-d`X%aU@57K^abJ(gK5wSQu;*&;#rj}=+ZDy^k zUby?>i}`5JRB}${#eN?*qM-fr_+BGyj)m?dsZpgPA5Bci5DxdPyQ%}AA_kYNXn_w}{P3J=@ zu!1)?!J^YF(B(N^C&6NHX|@`H1j(0Lf4&J816o8hT4;`~V9jt#`q>Cv#C>O>;xtIF z8AG*YMUum?aES=|0mk(uu2#B4oCcQAJolp>-K4PBeE8r0vLE~K$Q3CVl@$btj&*tn zME7Vh#?T|6R>+DNhM~_UGY;rqzU%j8CvTsiXIFAW++x_53Hq#p0I3V15YQn-`j>lI z1Vacr^Z^V`*5x{nMVce9T`HK&xia!h;qB0t2Jbi8%Nws| zB$QyiY{BD5+o(&Ly8u(P2N%LCykU}wf&??hESwQ`vVXC^X$M#c8DyjJkm_OGz2I)`3(%z~BB3S9TeY#Dvt-;GHZL?v6-x~IDenb$b6*VjwFrra zJ0Y|ta%;M~`(oHZ!Na3NOM|>)es{wMa7VY{vS`jf`W=Xf!_Kqs2F?Vc;;4}aioX-3 zw9qVDOx6v*Esz)G(cRsBfiC{4i$V%h?pgnk0{xQCGjzPb-Hnk4WhZ?Rf5f9(-7Lyx zM>-;rc_d5n$)G%_Vk)&sdw-2E;(#+A@y?4B>FmWDFq;vg6CPJ79Ow zc!sd4FPe-YYzl@@w%z`Ri^ey*Y}$(^`}|Gu`DNSfkMs4{zv(ZUj8bic=yM;K+iri} zPiYg3Y@51U-om%lR<7A)OS%RRk_@m^0yV*t?;V5Ct6GAxtr9by0U)HAz>4(gP&!)KLaTgkHK+A3YL!iPIM{GJ5 z9um?3l34hh4CMhBRt%L}cw$X78j)N`Fl><*6-Dz@{}6d32EzkJC~0Th9sa+CYIoVq=U?JsoG(o8zxzxIxH_&pknPOb{omJl@c^ja!u z#xOuczksoci3=Hmf@u_n5Q7p%1<0A2Y;NL$M^ID(k;UXAs1kb( zKEiX)dIarIcP#BqrfQ!(f}T;*96|Z8N9z=ipt)oU^rZ;P@o^@`J$T6!D2Y9*!->iR zhBf&$7g1U8R;jnZHk_~UUclxl2$fx~dYYe+3E{8L?GvW)EmZeJjP+%M0dhnVi=y@gKH2{PFD85@YvDpsY zw>8p$pj9zuHZbk!(8T6w2t_#D0vG}+H6NG^iOpt+GHKQ-vq}ohQHnzoo6QhPM;2&t zMNCjC42jKVh>w6qVsefE%EC~xcV-DkgT4zt4Flr*V`t+W4%Lp8$}$)uBqPHj_{s&F z_y`4ze)*l%rfSSS}35)PcF}2vmB~{xkIekA=Ocyr@uZO+M7zAuiJ4< zbn%J&1p=MH?ky}4qgJ}G%&w41>|u$_jpmEoXZM#V)Pb(_ap7S6oGRba($=pps7uLE8$St+aGE z@C6D*mAgZ}3~D60pSI@u+bz?}$c=Dr-JR}q?(OzSYVS_oZ?`zr69BkCN55ZJRCdrM zo6p-64R*j)oka#cbb}ovmNGI5wR4#=M)XuZ(ZpNDIbAs4%xQ&Bnvro*9On1uBQWhR zQ%=)n=~7bYGw%`>wR5aC%ak!!T{{P5kXOb~sg!+wNQZJCHvjyna05!E8-_}yOc|pk zaEZ*6?d%J4U*{X1hO~&=!Rf`8o9udvx+AB3K`;l|QF3~3!QX~V$NhtZd#)UBQ^zlSo}YR( z@Q3{@gU3goee8K&j?Xc(j1Jrs-<9!)55srSo0)8EEN?9EUFMyOr_CKqJ1nmQ&o%3Y z&-Wpab8w#i)KYS&el4wqFW4|hwKfUiw*1~g&&1jM9%}da>yES*wva82v=)+qpac_w zX9`x~rlJnh%Wdq(u<=-1pC@1EFLEg9aFE|S<3f7!XQ2XLU;6sHj1-;T_qx~a{gmU6@`4-k03msdC&$nsO-Ns`Q}WOC^!4qg`$T4dj1Pc)frn2USjvj|2 zOsNIaKxmC$)@wU<9R2-6|2m|B1ZNZH{oJ#T9KVV3VM=8|%_CP_67D{`)1lxsB$mpt zbVYWj!88J05#=Vuhw90@ULaZd&x1BZvOkewAszH!?K`kpbu98L3Jyf>1fS3Pn znFe`1JsCjW3b`>>1KLc?95w-jp+W;ogeI7U1%@NpvBI*g&d!auf?480M+3wZb zmtckiI&BE1W2_#+^4ySKLNcrv-?M8kX4V&mRArhW6u9gRf*>0$Ldp*#UP83oqpU?O z(b73v@=Q$iv33m9XBx*Cf=Uc7*jOt>Hu~r6nozDW-_K$`+~-N7F0@3>_z2tTLJ@Ia zgSyag>n;RwTb#Wgr-`Ew4xqrb`Xe1%Sx7rL+CNB@$^qT@Mr%?gXloA8kHRCs z(UE5#ttrZNTeE7DNKwZk+0icvzYSL9ZwP0-&@+LmfcV<(4r2VMqG7{u`-^C}Em|JP ztUOnZFlyOQX!V$=K8K!GPT3{4MGEH*D2%kDw`Du=*+>IF+-yCZua};&ek7B=Nv7Bz zpTF&Nd|_hTru!=NdrH*RjYx(WXWoNX z#*+9nBYt}kO)dTvoJ?IGt>>e4d_2|faTZ3?oAOGq6O3~4&$5}F#$tEJRlOYzHOt6& z>y-4&rAEZaO4A99dTeY}t_YERlpdt&Fx=}K#$I2HU3ZB#jJ+C+{hNQ~+dk);QeIlg zi;{6h!Iox`4|@cgf;NHWC>dvT83x)=goS{(F!YS`(4#>(4N)^D)mSGP=Uo+sprItJ zq`c^C|Vv4VBq?z1Ec}|qObB<#9vwJs~|?}Q{&>G=$dHvn0%JTxh(3$ zXmB5G@xA{mP#Rh+Cr&{A)gx}g;cLMips}IHA7$hnAuisS z!youZStmqzfMB%!4w4c|#m}XHt7P!eIx+)15tRD_7Jw%r@dTJGzMuCHcz-yA#QA0o zm{4wgPA5q5W7Bf_^&|#f+>s4%pTJIz;Ssao6K#NdHGunDKkGgJVAG>TDVzsfOsd-S zXd@clkXcZDmVr-LJ@ty~I6Ycm-(AQ`0QB8dn3B%sBne`8K-=aNctF;#Cn2b0*f#{- z5R?x)wt*D6_S8gkk-4)7c~f-T*K_~w@r&Ma`>k((J`xvF3_wNqrbo-fbwto8^wJTI zn;z}2CSe5NpsUB?t%QrpRdGz_FNBbbH&f4^7k8`fU7d-tt z-}tL3SFRVs^k{}^>$T;WZn2`r@(xY)rC;n&H^M6WLz7C3Ur${((uQ^D+JoxR z!duK2lYWktHjQ~o0H15iO))-T$@1e!uH4o{f*fbZ&m-m3`8T8?QTXJsc5djKL94yp zc``d-YtG8*3;ddA()sjX#8=Vswo`gQb7!J?m{^dQ0a{o# zJyH2<%H(9vLvWdzmqW6@#fx~f&TsT?<4Ch~66uvLn!U-@W+y8mD~v8Ii9%OVyQA<$ z0OuHsQNvnr=GiD`848~7;6r@$qkXuBwMdd@9eSa2&vFI}Npg0m^&$Zz?U5FDA9!Kt zL0JSq(MxPhCEH3K2={df5-U@%zbH>AWRb@yO-_*UNKLH!VLReU4bs( zikQL(sPu;7P(({qDCieCRaW3NeM8eVLMBa?0Qdrgq1gk;;m%B2`i4Z^zGqJZoM)}2 z{n>eX$t7lDv%c~FXYXx*Zp+fLur5gf!=QlqDTEnv0}>5)4mtbm-vb!Y?hw!ctM0T_ zN@8Dnrf)NsVNPGW+W{o8WFi4eAvlbw5-E`JHw96CqY+|+N(@z+h!U$vP@t5eOiG9Z zQWXLf@;vXm-nHJf_C9;>bMN=v`}Jja*R6Bbx8J?i{yY15f1g(p`>Xt_FM>ZI6QPud zt_t30;UpVY637eg`m{p%LuBbmKwNwiu@Rm>pyR<*067fvIoKa3r^y@)Ng`msVf{pD zVwvk8x`4<2B3rO50D#H{EOk3b!n=1hX!8^n(l&dL#!A6}(;Xzg-tRBQdrD6fUq{O4 zdt}`K5v0sjfpmsTTqRPdk`6~bYzh0tk#afqughKAoC)hK)hl-+wzz(D=^|0py*KIH*;6llq2`CT<=KLX0 z0Vl!CnGkCU+G7)%BRWa(rowMQek4%n;dFW4hYFkk`31_H2?)3-1wyy)K_TcR7jZfQ zbb>}hLxIS^pQmuCEsXv4j6*MhqX6*vz<_Md@HrmFWK1AiF%JjmRPz{JVzXg3>~l$2 zbdV{S?I2S_M+q`ZgC4FLV4mMWqCMFT-k=>}yx7Zb|Lewh9BC%OaSl>qcqH&i+ux>U4k$LFapyiRo;}XmJMO&2 zg;f>&uid<&WW#~ir&Ah{E z^SSl;NVxrRyji^g;QA8urOM`JlSCIYF!9S0Z;mE^Ks7+I9-KQYJADBiS#Tv`jBn8P z6z1lK7tn(Cv6-LOV}8E%_rB$4ek8@Rm59ioEzlC(27#J7ijPOKywJBAHicNW38L!! zN%dqo))fpoKwCROZO8YR9pi8e`FvBie&BkB6LZwIM#_ofoXTNDNmR3oisDu$s+eR4 zHoq|EL`N9v9#L|(BTROOW;??8TXbC=VMIBZ@sg|Z5)$eHiS2{Tq863HD-`kvXOqkL z=5&WCEo1d&d&`)bulIfzp>6>7;|+DA`aa{ch4GsyBS^K&518YmemoBP8&zM}ZcY;Q zBC#Jv$O4SYO+H-PZZ7<;7I1U(tgpw-EBCCgyG5I7v;G!c;#vQ!Kllw_`FV?~P2Dqa z%bsuO(lV#ofCgTY_S=0Q64%4+g$_i|y5SrW+5oOhf!p^X1uh9@&*QzF~x$kQq$2_7a1J%#lDkQ^Fm_~sWE&$>w>oq#uoY6z+|iW3)O*(8zh zh)N2P9QV)5R2$5wIgf3HJ5|o!tOe!XuXL8dC{*g3c>=Tk9j2QQ}%httbf%e z0u_M_GHt|`@SnO|h{?+?bnWXz=Fr!fY*e^TIGIbo!%bqOHh@C}4|8tJ-ZcD2H*B~KkT<2 zQ?y>;XXGvscRh8A31IgIc_ev-D`G@UpXn5J1F~8|5qj{HegltLLr-$zGr;b3ibS4| z&;n=lAe`$IRVVpsXo@Sb1uy7z3XmBqO&=5hDJJlOUZ?2LH!ol{GVw|TrdK$jI_e%3 zftxPJ|3w5IL0ug~0JcdG5GJ^d`I#_Iu3P$KG z;~e*NJlx;Gt--8)!biT*0bQmph_0DD0vdg>fW#dPk^6sn$RRwun_Yf$qv`8z(Po!l zk6r$z|Lyx<{B4UEnnDf>cDYu?0UGNebe#*gx3J4WHNb{*q$>oIf|l`{;TL*?tm z(Ef%&QHj|x}aI5$xKv!aDl9A1m zwyPXWpwpA@j~3Jq<|-T$YOdPnhND;8)MmrcS6@86cK`MJPhseO!_g;6#q$GSd;Bdw zwUm|C9^mE_Z}db>G;LAKAzPo7RwH<=GqNhor#t6aX|w5T#8F#%HhqmaYJ%Nd`WkIf z6MU5PHNlpt(y2)ZJZnBCNSpt59`J0vD*!tKw+SEZe&;=wYD0P#Z4ve@Q?}vK%?g~w z*X~@pGIlmrS(POT(SAKX7=C|_-Tp;IH&f!WsBh^~ma*F($D5JP!$qC(xoF9=OM#n6 zT65FM)k!9!_^&TF8N*|{nT$8rWL$TPHk0vsOvaD>?>_K5ZoldACt$CII&|qqOc=Ih z*E3Tt$HWZkKn9~lQr*5T`B6O7&4Q@k#%gZqFXiZPLp0;Ctj75b>=BDrv0h zYr`_e6~_w$(wTBjW~tfg=*tEw8b-y*PE$&HMWlm2T3pzy;~q)+8aBragDSOhPMY+# zdN+XZjYcp^&H{&D*aRnm6uw5ux*o*c4T8839(I!19RekbN>(8LD_8foh}1C>@b0E0 z{XLphaHKZLj`LA894Z!~RA{&t3HmZxR~#;)kCP?Ft3SH9_qFpcsfn$O`mq`WMRG1|V&t0e&(Em8Qw~<)RD{dfmxrkVfTyegZ0O@e3NO31D8EZ}v zlX22~%HnnYf;}-EfBPt;6dLtAHR9;5k!>-=rRAHmm8enG-C7&04nKuWYudCXAJ9JC z1DKI5=GQ!}%#VEO&;5Jfv*aK+Ry`DvRb^|EGsgP1g|fA(MuT^PZAW(BT+zr;IWW

j|1#^Ze1d+QBzzSCUA;fjC>}AcXw?+(8JwP zK0WGf50aqXrP~Hi1u`Z^tGh>chh+Id^6HU*AGq@DI4^&GPUZ7+dNB9=ob35IfmpV9 zB#NOt9VhmNFE+?9K0jD*@%h0lYoDKY&SVB`3GJ78IfPKaISq=MJ#}I@r$fo64^IIs z6X#`tmm_PL3jzG7Qn*IOX~DXoYa#8=O1msv1%Z5jj@|yOoL2DJfIU)v{wy+Vm^<5nBz*ru zb?XjBQ5Xyg9GWnOwctsNT-DTZqf(oBU|zca^2@K^fA2lm)FFNRrF&oc;#VMboc~wJ z{SpN&k9tWiPB>zfObwsd&CWL8iw7$01JjBw>E4syM#(r)CYV|cuD&bONTX|Wbj8wLnU;$OJauWg zOJL&Vh2;Pxd80MX?aDfX@YrZM#vA^wu)pEQeaBaS)!#}9jS8hBO|=H!t7xhR`cZN% z!T~9S|RmlYrykCC?{9?7ZoCEDXD9rjAB5=E3>ArJSb8|xQFrh$@jeG zU`q9ozlrQ*res>F(2I(>bcQo}5hrol^w@_~W`ZD$w!lVI=5B1{eF<^{d0zr^DK(5> zu9bduE)nsGEP$D~?=0}22lrRe&-Oh@DVXSQD;kN4+2xs#lG?fzw}@!E@)l9_N!%ib z{)YYOGG`Cd_!e=80RQ?GFZFbb=JYRav+J8HS!-_5C5!>LzTxLT`vVEcl^EH8-00)M zFHdG3z%IJCsF@u$1(#D41a}$yJ`@Dqo&Z%6B#@gR+UseV8=B4`rGSW*1ZlUMU?|)7 z4x%JTAUDC_a%yh(O^d?8d`1Gf38KD^O$C&XVb~Q(s2%x13Xt2JU>3qugy%yWJTPuf2{lU+e}%R~M<$QZ2Xeq& z@^dZ%d4$cF7Qw%i{|K5TgeP3h0bE9nc)3nx$%Aa0ac%f@bL=hU0gTZ;;Uv zuqk0i!#K+Ipn9H+@-n4WJZsUCepnLT@Ia?`V)j1Wz&W?P*Sz_!oX%(6Eh4&Y;Jm-J z<`!K7&foP_|M#bSMxyi47Km1Q9~vUw?!YMnaE8wijb4?tJ#4agkme*ID1*uf6$GLI zD15VCv9g08oayxGW5x<3G=-r2^n)OncS#q6H%YS}EiG2I&8P+}{g{a36f=sIZO2&Q ziPIVTz}J^fMy%{02o4{nJzz$}q{>$}zApFr-6q^+n zl9KjrbArWR@->HckE19g#mWwnpa`nqHGo65#d(yH;sY_s!-34lknE15YpFpGJ5JP~ zsAMyQ&V=b2DIac-jDCXw^`DwVVv-M`8VDq(z?q@7BJ~4Js06yp_-Y6O3ngRFMNVkz zYl}~ProHCBao>4!e~W!QQtU$tQKEH6Z7$nZdK zO2o}giClM!HYMVEl1e`CwIB5j-(0Y6>b5COBVAK?^@h&K6Tp ziHMSOm7S6~VUmwh_#kMU_zVgRmtjaYRn!Fk$0 zebbp13mnQ61|Z7TYUc{Ae89Ij@Km(~eBhk>E)X%1bYz0KGoC0`uc@KOsoe&T)CygCzvQh?+)dZ{N^^!Wxc!3)TCLx-Ma zvfTlBYB-r?vfbF9hskhsD~6-8aJ(HPlps(z@cLATUj5@HxynCo&?)G)>=`%l1fPPO zdGee5$wkg(46|H6&({UmVxL5|ICkl#3!bpxxUax5W1Rc7tv+OXj|@q(`Z@dat|DKwmpO18H6Hmt>{#<#bAzO zG?)#>(6xoNk!+l|A2rUS!EAzvfS=a~XfT`LtUe$Hvq{1oh(|~9tF@Cb+944DvPE?=oD0&;?Wq@-9ZlMe4fo+3>jh+=Ak7ztYtA5EM@x>GGiX z6~)NI6TgA^&5e<-yG0wAUk{kS`SWjo(3$*N@oYtGsU-ZsRBVLY>O&~!N~O`_n+tW> zeS<7@5rjEimaP-aZN|Q8Xj5WFc+E>eg1HHHhdopDQOpJRUnwYYw^$P1)4dKs)Nhx9 z1aq4aN#dAD1j&`dqOWu^1alKab{$!FIODjqUh?E^X^rFKWKiB-F0Fa;CfT7oufzaA zzLQfi!RVHtXOKi}RCC(<<9<|JFDAboCe$L9yP=2;6h)(2cz<`=ZckN9Btr|~bmp2T zZ>u8}0LPcw#p#;pS=y>u%AeC0vh|@Z;b9JwM<~eyvKdz(A-`K#I$OE%Q+OcBuDd z5t!gmsI3ZlZx96Y+*~ysyx9tZN2Jb|C18R=VaC?#x{k2a%Na#W2!cKO3P{Qwa5!Z9 zg~NxpL9_&$v7$EuCO>!`rQF=tzKNC)1dn@E`caeVdp4@4Zy?PmT0#&E5d>%ndu74# zf_XLFfw2fd--#MI(GqNNE#+g4T!(9)FRogGN%rWtD+(9-Ih2=DDFlOLtUjO~rOg8E zgf2w%(pyg0HMT$bel}%Z0D3;SKjlG~^x5mGSDrLK0p?p8-4&+MNuu1tq{WAabp!Jo z4CeZ(S-3?Tm|qW=|ILs4=Wp7lD8KR)n8_bl3sAp~>Ttn}1>Tx~`hc}1-kSD+W=90d zBa+j_?hcCqdI{#XbccRIYJ8%%ZYfAGkAihi3R|&K_oX1gJPIBwHX)=Sy3H3$6UOAuk`cr+&Tnv6HEo~sJv5?KWwr@Ig-dZE4xm4lmg~9=Mh!x zdg6yxlJERs3YeQD+Wk_4f_Yvc8g7Ht;ndQIUHa<}*QAK85{S+MHyF&GN| zA6PsMTcMDOZR{KM?r0>Ib&}n6Y!FKoyfTNUW}w-O?OqDI;S;V81t(abP!BHDA&^v=zibOw@!C7a?FYuy*oNIs)=vl^X}+9J81IqpoMMj=0zx) z8EEme4~PP*D?piPGsku2j-K;{XYS~B*2V4bPAI!SAvIMz!E#@e9gxle8D9?M`?PCN|iyZhzRdnf4&WZT^7y%((rf^4;x^>hP@D z;6Z-eZv9-}zy-^uli#iBA4sv|=0qEJWZ8YJM8~y66X46$lki^H)RWD@?)m-KbN5p% z3TQ>R%YW%x{{3Yux!EI&omMis^J&~TnX0*!OvI;6J5jRk6Xb*O z3oCh|o{X=tFh3_ENiAjsos7DA)6%(>yeIlm;b}w97!zELX+)INGv1r4Q{M%DB7BL- zDt}tyQAx(Fe4Cou{#J;d9a7#^b=w1KpPQAO;oN2=zjpuig4E>Ke1DSgvN-c{H%G>1 zC0~z~{E>fU_}4xmS;=}c%t{Wo=5}b74lFpLY^v~>dKf~25hz9#ufX-4dv!ewv7Srt zY6{pq49#+}WH40iH8j~a=&o8UO?}!nVLXO4p4 zAeUJ56vc{I$tH>Psv2ugbF4_5*CgSZCTlCo&h{{ai_;M+*(4Ds*H$m>zUj*IkvMOZ zJi^(|6KbbwwEgYr4>)CA9UXM%CMJF;MR2lU)N(Z%w_}a8AS!=L1=Y7R6u|SOGb^z5U?6u?^AK9}s;GB5~ zbJY9RVeWzW%Qn8g&L73Z$n66sdX1uQGki{^q{f-w9Xp88sN1!W@=!RF=!Y$gAg!Q-R5?A^= zKjI&LvSUrtGHCH7c88y*un2VLVF+>wy#Hf94~$mDHIK~zl0W5bVrA_5=KdA zE2OCp;qKL^eBu4PLFoGT^OZyBRvdMD>2BWa6Qjr02lRjX$IO1Wut6FX?d&8-2sOdko*sly6P)eoK?pU$Zmz8c zA=CsPrK?87uV_G)P!%Q4mIoyeng1p*m*xAGYYCdc!nD6n&8M@RH5bhxTz=j{`j5Ar znAnt|fd<(f8llr90?qOD591P^_kcuhedhS^XbVg2X#)(}d6T$%6%b_hi&l|Me+(_oS zTeMk+>$Q(dti$*H^{@MN-}B~Yo`G{FkyDJULv+9jr9xH<6BLpFZDvOH;MtOpC)ls{ z+QK;rdh4)P1~0q}n+5^M^VVUHxTJD<^eCPw1T*XKP@x^C+MA)UCoP>>huT2{OSg5X zDN2IZZ5^U1s5pj)rWP_%Zxo9Q*{&p-i8CEcDxV5|j#YN#-3Nhc6JHdE@kI?vLqeh+ z{upwF_${GiE)&ekyAP1ptb3ijXE#A=*ch^xAaAbSBqHBz`l-)C^z4xP&P8vL<0h`t zVB8E)yVTaNTWL$0U3xL3OtKOZ6?g^k5Np6BJs_S$Wf%3X9`thM!>M2#=45V}dPBM< zG>La}y61R@Q~@LuG9r(T;^QVk=1c(q4j(^PIYC6GwM3l@T*HVkFewJSfN*qJjyi+dNRp%kMhYL z<&!RHz6dz@LJM$=?>`^}1qkNislusvnl=01n8`4ub^$6SFlGOaS@F>4Ccu4Rf z)^s`hgm1j;hk`J}yqmvFTSJ^73+FGTt-&+lb=w2d*0LG_Nj&puZ@G3?S4=%isxGqJ zVDUIz69Py;O}eIxldf4ynqJz!Mk-qt7lA;&e-RhSRp+|d;qiCVbvu+y`oj^Iq&plO zuP>3P>s_7cx^>|xl5slz2FL5;LUq4uGTQ(G+40NR?SI%cUe~UVyCysTrZ|5YyZv#z zK5en7c1>n$H1f(zCoJQ%KQ5S8{ZY-=pukmr`|Yheb-Qb9fe4uxqNZjqP?3WP9pUE zgCRtP%P%f!sY&uI^yUa#e<8_tv`O|In2jVo2Yq=ots`v0wG_3~Bt!fQsUi(rjpge8 z{ z4KVEot5>x!I{M=jwKSglp`w~k$;0Tji&`2bwaW$WI}}(=cS@_HN+4bNmTby8=U&J$ z)9Q-~ELA&NMWbvQ`Qt=bPyQbKqVhdt*6{mmcuxde;fJW4@<-ELWso^b`4=HaFpX9I zV66yc(1$>v>`eLu`Eo@p2_y;dY8kc6Of8dQ45uYRjf5JT^f>CpnOX*cOs$f!Q)}Qy z^lBNjV5q5H4+KhNYAMHV{{z)nxBl@mwG?V(R!u@RIaA9ZkguQXvAAIVaP;z+@^lA7 zKKUR;$Gf)bZe_TAPLo0oO?d7TbZ060N$J`eBCPGnbL9cRb+?F`&Xed_;gmB|&CWbb zW7W=SXZjVG8+FK8_4x4x0H6EzulT~BP7M+CW|VpsoTT(XqTb_3q|PDIBYDc7olu|n z0}nTwg@&CZu|g|qO3?K<4vt<;Wa)i7sTAhSfdQbtrMb7FdzODpj~X4kYGMT_P7Qi zI}QxlJ=~utk^ED#DnUQ}DShL9v%C^pqha{1<{fi6f^Ut6Up#GfHQu*H5VRLu0>Vj+ zjG`OdUwxOvAN_*ib6!qxuYrs*C>kP@3}KF&2Z$E0krJSIr_B$CYTOAyoS{Jw8MAOJ zK!YE8@FGKj@F5j(liG~vS0H-90Pf;4dP=wtp%<6}T?i3P#5!Fp308frfk|)~(s;<0 z7L&@Bu7J4`N*WG}Qd{y)HFH-Q4>|>_w_^=TZcC)aNWcfIQUdCyErGs$m z3wwe)V2v!M1D3JdUs9sd{_qW5R8AwBV46-ZPqeQwguCK$`#L=73vcr+!FGL$%az;L z>u%8oxa)7xC0R%x|JT0%EC19I;0}mRz)=stee6AoLRtitKD2>PAv`c4j$v3fbbFHU zGsnM2F|9|a(0w4_XrxCvq5%MX^d25P;0C1tOHZ^O$^aMi%z#luX}iA27gkK$`JR+n@albxUwqell7z(QZ>g9~F(EZhE0_*Xh%vlj^OG!o zdG14B@YNrauvv?F&G&8W1f9n3k)bp}VMJrt1OtdOHcj4oI?2N(ASsREC45fhtD5RH$?Mgc)I+{sys7tzrWj-P^*=cxm|D8DC5F+gJRhca56HW%My zU6SaRE7(}(zcQ;8zj|D|SSACbY=LmNER4acFAF1!m(^RHe1M#pS6aQ77REfP)r;fx zVekr8Zx)r-%v)#m=22;JlDN~6)WBr53dO|b$QT~pgc*}Q$jxe9ZxUU()w=E$ZC300 zTXc!l`fvQ?@agYfM#hQ`Qy?QiKs~GBt^x2oGJ?XGg!R+C>N8{n5s~0ni(b5%RF`JV zkWq0A`6VFLS2uAI^vKwr=gL>BHS7lSw`ccX5*t$l_s9so zWy4yutrNR39izC3ZEZ9SLiZ1@UBOvhOet?blf*I7JEvvrhrTv!UC7 zwDK_*ycGe<%qrm4R^q3wRzR=pOt{rq&O~d|tej{Zw*^c@THei3d=nhS;R)U#cU2Pn z6EQ~D-J%V0uLp8J_%)yM@o!s#+_oK9Y{&LA=<%Ap|8vNl?PKF17x`D`LEJ;`EQou^ z9p*sX8>6$GaXjP>)u2UwElBb;J3TzBP&7Q`0v#D|B|KVsxCyR;M?oZop7XT73R`)1 zHvy~QqfOW}|4sH8Wi}Z=>M8{|78B|WXCSfwMgv6qgqL=+MX%5n1p^?%3yA>`R~PLf zB`(3PZm?7j58=aI_fFm{)%EY>%~Cz1gS|2Hm;cIJe&cW7>cr6DW&gq+Xh_(M>AqW? z*j6VdI%0St@O%hJ*#k10Ilk42nTz5I>%_)+Y+Ie!Rws5Vj}VW6nEG9;+YiO!QIXGL z7t{O3!u?P!9`}7C#md85>x}kdrF@%vV!bMSNkrfm|Mb^?+fOFYvN3||@%ZqeBfw0qNn3`Ce9SX$Vr7ey%D0WFR58uJY4drT>U z{Q+GQazT&u9q15sM&!DcGkTc-ZC~bT+8uk8^GjF?^=@Q~Of)hYpb3|AcSLR^vMks* zvKujpdq$#NYb`NAvm{)meoD!SusQn*VIO%OK%-jTX3t23IZ=|p@K9HStFkyuuK|Hp z5(lVr_EHO&R$u=_oaJ=Po`};GJrSpcCj#rNcp@;%d^~A}$#GME9!>pGiXf*=2_ukG zA4_6OFh}N;KOo7;k}DyQsc$k)>KlkAAC2;-q@IK;CsY4~YSAU97SxO2T4a)R%!VZs zWtQ9uf&BP6PREZ6=7!HbTXP(F)-giCXN|Nn58=Ir!*d&|d_rBxb`g^}`iHp5c`f^qnqNX44>A+W|q)OCjYRs~s85#lj+W2*X$cHjseLzTR| zV$pPy@-t|!sH@am@N4bqV?~?MUSSB^Qt9VLxUscMmeu;Z_rW2Y4klML0c8 zTA?521Wh9!C!j0nvdTwZYe0fzCyVNXCp4n|SEQI`k{#NqhDTILDlcJL}S{6*!zVP#0L)$3YGvp^zJT%}bicKLwD$5P1B-Ds`BC6CMLWDhC6 zO+Ta)ini|hfN9Hq;i-od(`L_OSanJ3HV`TeGVrIPEvhdmQa*LBHOjN;Dr}^jG-+KV zlE}2K5i@0>b(Q?)zdf}x}Gr<+&?{EJD^j~`PZSfXGEXEx9<1n4=icDkIcU=TDm)a@ULh5^&nISJf#Ol#%X<_ zr%yxpd`aJ4GXtdue|jx!>^1~qFORl*^xOz);s-%;Xr8*VCgsy$Xp zZ`0@}c-G^ljAlL3phu0>d!_dpQ;r<)LaiPr%9zzR!gQm!&#te8&*K%#%E=!qjXhQD zKm0F;&;Iz2jBZ;^9JK&#NF%)+GJaUH1!`!4XG@SS^2fUt^ylKJ1HU;0eL0lRABQTc z6ktfX4*Z;HMgm7%8tz!QZKvy~`Gzz>c9z!ytBE>lY9%I!MnY-^fD9bP%K0(!of!rD z8Z_8=t520j`}}d#R2{?&Kt)@p7D$+b+8~`NHf0Zu4ZdaQWAIv+wQpI;7WmE}0LgaW z1oxzylh|x?!j+Du_LQs+P$2X35%;7?#_l{YGQf;dNc!8;cWjFztHZp=Bp0`HiApse07;3kOxq`r^bFq$zhXaMtRXE z!7Q11>wc}~aD^Ax!Y$fzxUMIM>+^rhZ~L;}mvXrDW|S(zETGeQVIUOJp2&B?U|9}A z9)sY{J4%2Kd?x_7T+pisE!{O2WQtSi0Ry-!-qDWGccO@_Q8lBqyL7 zrQruHg-f5~JDG=buOiIA`6BdYaH_ox35yS0R}C2l_?&7AmmFOJsOLz-6m1jgrrpvv zJDRD_BsKqy`#M5T!&&>33aPvWFLH}AJ6tp%2VWzA#%m+9!`0c9?AQ{Z1kf|QzNqK# z2=4(}7vVjQ7k;v&U;ytkJ6s$U?t}}+g@m>p-il+<@D>0|)iMDr*h9gJC%!k9qXF9= zhVFCS`8>O(I9hbci1v29JW zmKG+OrIw(bLF|6tBHSRkKO@?+%nI*GfqlkY&#dsFL3a?YJ!!AgjcLjXYSJ~@Fln!Q zE4)9#{FW;;Wn25Z(<6MMmuTM=dd(_;7X(icg9p=`-6B=QFa)%7O3;M9veDVqX^VW5 z&6#Cxur4cw_OkLF94@x4n8eNW+NfXhGSqK*(wCX$65w-C&mXEYt);b?Gp)HfA-~&7 z(>fb&rnM%&@U0S9MbS(%fy!Ka(;G%5x=uACa6SpujO&K182#$8JM+`LF>(Jt_}%}s z-q>4eWC_PIbc5cFy#=N(F|oi<1>!6jAV~xawKq|4Q$tVDJZxBS_!&XHN!H`WBueLt zHNRbKssB`?^^ILsJc0C^wS0xvGLWABuvjI6k*^X#i~y16R0XouK(=DE zsGv>4$mSSd49_9VX^0MYmhL1)m6nH5@+)! zIGquN)*P|0Sa6Kn(&$Uc4wcMRJ)%UFLFyz(hnfj?Xn86AVH_$dn{z=r#!V3ILg?>i z(dmduRfMARXf-*c~eniHq|d<+FvLIL1xVDGy+REhT9QnPk_a zN2R#$k7&bHO43M*lGaEQB`|?|Hf&;Y93A5(iI=N7+uLIklFpnY;}#|f8uLo{%u(7B z;ucPlFKUzQK(-zv2Pu{C_eUbTQF5;ATe6Z&@+#`SlSU%iNAlr&#f0BwGt$}YJ6d{) z2Ouz==ka;jQu^@akH)JmgGo2OUzk!4u7JPH(&Q$zlY+uT%Mo1q{3z?K;2c3--DR93 zW%&Zq<}OK7)7-M;IAPk{Mdb_9x6|zpjUh|lKk&Eh{SX{G#{+-SX{eXS!uEGCMC!?= ze>{b~zQS84MHePj#7+OW9{uBYfBDCJ!j}6s>7d_o-|8_{4h@pS~p+i+k48s2t?TFTQ0CUJ+bv81r-2!`Jg-IghcyfU?llOSzKn-Lk= z+B6KQ+o(aMHl74&LYg4kZNk(=QgL$#c6Jh!l$x+~Kh$&@Fc}<%Ofe%({5T_;un0}m zu{|IWEniy9fH326k3MBI@%x_bGIK#11U6%L7&;sydSdU<6uS@<6W=6bo9zMF_nLQ? z&PJIFY;pCfp!^MdXrnnL4r-D;^5kT7bSx_`rRHA;NjNG($I%vjKeOXp%zYE1RIOiF z&n2kJR+zs0yL>Nl-xA7lD=Nz5V~!-W*kjUY)>Sp%O;>%S+&6Orm!F8+Z{GZ?_vUw& z6>&x0{P06sz>&?Hzur{4a&P{+TeMlz>u=E|-u%D#VL$et{PKs#Vsj;}IlvDrXrc@+ z0B&+Z5X->RN!;=LG6MBMaF+NYK>U*t)t-!CYet$%Hz?nY^{MB=?!4SGogjCM%Z~8`wFHRfloqTVfO^$15Dq zS$VVaC1vv7(TW&BUeAq$xyM;9hH`E+1WSjHNW?{4-=h2_zp-AO=r_g?#b+)DTw**2 zXlu~G14ocmf31yahv$1kL1#_fu%z#Gw`fDb>p{T}e(3-Bv>#6~ZB!C!+kF_yEry0G$+t)Q3Swl%Ub_mB7$Cw$seTT{{J)3=qX{RZ3gSW3)%#ArQ z<*dOQyN2M33jBNDL2mFW{$tL*2_@%lb?=Xuwe^*%FBsA2AkM3aB4Cu9jgHgbpkd(U z#+@A6esm|5X~X7JJ{}VAfmpJJ1_i?0)$k;^s-a;9qCiWRhs{P4*m=UgkjqHAWU_gT^BRBg&S+ zD0*JZCqtby(}@=KAB~hJg;z%WIEHK;@N*lF!S6r|Ej@uNS21?C>c0(WzmG4LnDTMu`9>Hp6lbYIiM zL9neyNPEs~_x z%TGMha*+C{HTnaJ+sjL&26k9|K$@f|c;M>B7eD8sPGIf?gL-r;NsbP`(FwTBIkYWN zg#up`zVXFZp%abqr;tC#Z-L1-Y%upn7)}NR{9&kEmPf})gYJN@R2ZTjfbjyGuVvGX zq65gIbo%=aJ?x>4gC`D2cvW$=`{G=vB5(vKLU^!j3nK| zy#oak=?L+=LR4t<%(|x=$5}HslRqpYLP$S;O>onvW(SH$sP2@knIf7Wr{KH6aogfo zDnG4><5cJmbK=w)GJ`J&)a>PPO2aanv$M`Yj1=b2EIgO)<+BT6IQ$Ba^+?}Veq>u+ zTrl`;9pP*#UsKYc!d8c-hnN~A_fX=?bNBbC1*?U|9}jKFpSA0G`ETpA^xHZ;@V~8- z{kBf_+d7SZTT?9A@*_FLG@3A6_uIlDIQ{#sa%9_t*>CGn`qLahu;d92N!$z%p<{Y3 zDoELn#JlW}*~#1Ib`WGsE=Qq?PCh!cFCjL>8eLLNXQ`?S{Y`4LB%3a{MoG!rs>RO+sJP&9lwmz z@i*PB@f4ikhi)>LEd;Xjm$BP_*fqXAVbkuK?ED*f-?{ZUcKhRa{rzwHU6ZwEY~(HI z_8(m^H{Em3wtT)~tP&$Ue&&?DgCVYF9KsfAy*YCS7q1X=kd&n)>0s+m^XkqTAkN(t+A?eI%Cy|1r-&Y}9i`G}~tRc*ajNNo0p2D~f+BLcsE;xx6S5Fk7hbYT8=% zscTNd+}U3(M+R_S5II3&iACG`B(2_{NlUb zliI3a+a*~~ANtqd{|mpE5}Xx3%oA#KS1oWAKt!6$aYGEgMoh5lh^G)dqEc89D{Mj@ z6=BE(k#R)5gPffmGM&YUjfFf!p(u#3r+68e>8zfRG$Y?2QLuw^QD$sOq@B-5Ex`oa zLj`Xxz#lUPw{Dt|Zygit8pN7)#slh!^BJinm>^D;<$o}n z?dhSBL5ZVe4gaz~^+oK@;8jzZ;jR&|Jrf$WJ?t~O9pSD?kemKGTSPpu!#E^rE7_+2 zzBxaW>$8|E4Y}Vu{Fj+oTc-`tEgk%G?C^d<8%TjrCi{|UnXx?8jb zLf7A-OW^;ze)yCBhYva@fkXfd{)2-aIav)OG1JLeVuotq02Mz-J(h4l(~eS)#0oV* zO(=$aQjcSY@}dZWscfkkCrF8tAP9y8GUhVPr+fALM%lvAs8no!AB+^E!)8>4f-@ox zA{b~9i|5}VPJ$rlg0;g?hD)+^ul4{xg7`)dR8oy1O_`^A%@tRvlOU`L#nyIMn0T(J9aG@dbl8pi{!(3xQZcx4L%oWbW|zaxr-YooDmpdP(=sb za6m*Wze=l>4+6BP9AnMHWBvoU97GH*1NlVQNIyfbE)B|tu+b=JWZ_r_W4YTYOvi|k zjKg%N#gLI5R8leKzXb)v{Hf5>q%hIOsL9$mO!$boz}BO1n1Er8zxWvEVA##YIpAwS z*dxU;R(mJ(iQm+dElKS04^_8E;c$eOk2`7n{w(iNzH%bzVn9oR*{v3_n{YLVFwvIGn_FkHj)9*788j6lvSLAnO>nN$ z&@(}@eM&64gL$R~X%eKJ7X{T_PlC{DBuJby!DuvhLlqcK(u~A86U2&2lQ~5YN}P*= zRRe|(z!wU2LJR3MBXQ0I`vZ%uL=erZva?>qIYSl!v+0(TCQ^Q$g&l#t(gQs!E$-)X zejDp_mJfJ#9@)TsT=}sndiDvUZv(q04|d_fJ@bC@(dj4Av`BN-=#`Q*Imw!e572_e zzVBuKC`!5$%LiGG4ys?p4+BuKC`K~x)rH2uzU;*uc2&IEh- zUSZV1@T?EOC|Z9>kYHzm#|9NJV*PcXt11Kuc1iHS2rbOS2|;S^CWvHyrkf!-3v6AQ z6PK3eFVsn*^`uH_L9RbY@j1siQTT&EGUi0}5ku`sauI0-Vbxi>z<*`HXP*Lqq3@%h zocuxEwx>qjsH@?YlggnmmTN2cPnnz>=aF^_ZYO-K(w{1&OeqhRb2*OaJIbUm@PlN@ z?^^bpf}~|qRN>Sm|HmbOH_9;+-e-5fOF3pZUOXN#`JOpuF+Ni7Z)t;Om%J}UEzX>z zmggXC>{K?1G`4zLd#)aO7(X;6_PQq-wTYht(mOWp4Q#ui=1@ ztQ_XPk~DpPK2q&!bM{CF)9kibIT$wKganUF5?9D_(ZGyZuI}#-68be=9%mFP9NnD$ z2H_~l>A}1))CpwgexV8>C_ZhnE5JVlZJ2_;MtHOO*;wIMXa%y;h)=`o6Q*#)%GveF zV`e#(pD^PkSuBIud6;Lewl9ify3{4O%v^0>)J8oaD{9k1(xjKjI03mfV0H(LT=YwF zzuCV6KeeP+AQwH;iy)ABt!13nmt1-`6d$+g6^PyP7oVC(a($UBBrqKldced?DjzG+1-2k`=2Q8)oi;-&z$Ouy+Jf z%diPZ>CJq=Ux)^Gwa^Rr8NHbg+D+!FOGq|Ui=`R8nID>7QPAScNzj}5vmS=b%k|Jj$mIRU>WRhfU^Qmkg{$t7z!%BoCo(LOd)bFn+4pb~Pg z421ZZfNt$Kfv(xSWnE{gembq8vy3aLc}!d0mcynS!=$|tYoA`b|N8x>uvuex2`wlt z8!BE8Dt^mHeA!$7n>R_K2{y~dTB-5Q9OjIA+uC4ZQLb)uSPo2^Y0AtAkI(}-Iq9-CqCb;d zgk+){h#sdisl!IZt_`^%It9xrXw-D1eGGpIL?;PNi*G?}5~OYQ)c%R9FN3u(J$m9v zS+juak;@vP1sARWbt7p4ctgRrI4W~@&+)G9K)NYczp6*&{XSf=i&W{z$&jChe~_gn zE!?(UkNSU6vT*NtX|W4FJs{iOXt#K#x6qlJ_%Na=*Z`{>sfav?VzSRH*psRm2qJWC{w z*V7u3rZTJEtHq3R>vNnIEO{KkBH3VjI(`Ub=PzTozwkb~^B0;#zkhN5Ad1Y^$MJev zOE4FLCerUOHf=h7@ILzeYd%lua1dVutE9%LTF@ebth8yX0|{d}n4lzwMc4p83ar^b7vwrzOvpB5SF`Yl6s`{1~VEfPZKvKgR3u0Dopx zFg1t0S#zr()8Uanqk2M9()MUbE9e5Zdng85z(i8R6SC;E0lzqF{Km@2T^1xD4w>j@l#Qn1jY&kjZWan(xGyngCne zr$r#q2kq7zs3;Lc0u@a_O(=$E9Qm4l2YLHvJ9yZE%8UMSZhy3=%d{BLiJcZA;{;nJ z>A=PLsW@J*HjwoX$CprCv|_iujNSTFa@uV<+Y9BMU)hntj@*m=H~di9>>=={=i54*?;o}XP=_>5k87D z=<2)$w`X%jJ!sD6ih9sQt2=tg=yMz6wR07Kr+CnQ@GW|-jB-k8+8DC0&pr)Ygs@VO zr>e22gxKDlgVf-;{7Y73Hht@Ym{CMQ38U_Am2y+grq;ncK9XaFESXpGx*mBZ75HX0XwZw zv$20^XKqQOg$^vHH}iA*?zvDef2%xmqKJ3uPhTs0(0;(+s|xE;sd7}iu2$-mpL5Fo3>?t3F?-t7k>E`+i$Xmx|oNF&YO(D*>-!t$9f8Ycokz|aT z-7)&s5WFw6^E0}R_=?Nhf}7pF5F(h>?s$YdKcA5KUj z`OFqru)p#%$%fUtaUdbda1WQyVpwdSti2IqRIli602P&ZOL_z{%Lhce*O}zLgTeuZE&wqG{6Wm>1+I*t_`$Ns`+{)2aK;jvf??>&8>RN2B~>f%bu$o#jUQkx1L+*;70 zg)azs4_kO_3y;N@v=8s6;C>#rn|_hU+>_8lGCp8glxTY2r-BV_onLYbkFEDhUc!d< zG5^cQ{>s;XRLl!kvvuV3nyYP+%rZ5E(T`!qMdh7dvo0#{^qO^1IbQ)dL}xl+I#&Uj zO3bvN^iHow@jH3*SB%~VMaT!fsMX%4d7#qiZ;q!abD^~84=*m18eXB&EyKWJbj!>- zg)$e)tWzj+bIm%1+;h8w&uYdgau;B9z<;M5&K50vw9chqn?dS#<|~>{<%V-9YiSR1 z8)hScE6I0`%{W^tDbd&{856C+ z=UZN%tYC4rTC&L7I%qE$@cK$jF3YjX^R~dZ%s3yYk;mnd{`SllR{Hz%sD+iI{_#|A z3MJljFxgbpR`PF_>n-1Bv?AGV;WZhI^0ufraf`)@P7Krn8MzvY?2S*;)~I zwyZ6(5?;b0SSF*3DQV!pWo^Cs;?qiO5Z<9lXUlXc(+oBT*7Z2BKJ=6S>i_ehq$eo% zk~y%9AP#&t$^|^d(oZCcf_q@A^2g$u3M*zI{kc37e3QCj)WQL`ft=DQn`Pt+Wh2Y+}}wzd^_XQmO_}T1eGewz|JVQ9)y# z)#!TqTf{6mE(&a3Wg8WP&Pce3rI-k z!B&j+go@GD-J(rdxgKTZZ+ybH|EFJ^D!BD#l*-C=^d2%Sp3rK%va*_#c($97hr-!z zMji=gxrXV~2v06rGtChQGo}#cT|CCKL)zk^$if@9f*ik=6Ra^4skyBn*n=7W) z=`y(0sj@$%V+puxZut#&@4%G5fldFy1HbT6-z?qrFZHdM`pm;L&f}o2aMO#azx$7V z+uMII;cknB8J0sm{|-selMxIx^%0|Y7}~Zgv8W2}At?EIK`>;2LJ%m)0?Prwszgf9 zzeCcCOb|_X1SOAk9f*mOAaOU0;I4Be4VYwJ#(k zdooCZ;h2r@;rm1@;L)7^<{bN)Y{&32_Rx09IsM&1buR9n%&Dyp(j{7p<$|O+4+)`A z0XBH5LBA?v1lslUZ1h__;YRsN;nO6v%a+GQux6OTO1%v|Pj}{RXmqYcRG$aAuOuMt z*UoI{L6a3IIqn{urQ!{HyfxJ>AJe1e4SbuurQ!`iIk8K$h~*Kn703mo>zJV?!x__D z!1x@|nQWZIaIu|b^T<4p$K#0GeY`uF-RYaobT(hkz`OiJ-uMRQR}bb!K@Rd%>g1`3 zu0VYxPt^v%Hp~+3^Ab!C=H|58aR26Z(hIMigH&_hGq#zeYxu;y$Z) zazVZ?Y-zBUg1VF5_y)y;gKnj~0hlE|oQBnb~`iUk?$qJ}_p9VOOBn4U;k4?urfs6T?^V1rKt3G-qj<&QmXKgzBTZ25KXLn@PXoEgvs)RvPfe^z8qg zkNoCu{oBbKpy>^x95&4;XL|e)cq3Ell~3tT#Er3SZW7EA?qQCKJGuuOd71T2tsv~i zPjwq0k6wJ?DWzJy0VdcT_u8(+f(l-cZxRzk7B^M|HV*YLTAEsc35J$x$qnykq*f3G z5p|G=I?}&I7xIEx!hOniYrApEJGBCv^Vn3HAOPmsK^3vE3GR|C;T{g(P7r*kI!R8* zR`+-3SaoQ0$TJTCnk2av>m#1oIGt(@GClhph-?lZ@> zy7>ZbhGRcG{%794-WRZ?qi^ZxccI8|JC>YruQWSU!yE$;9IzQs2bETks_oT zM~rxH5ZVXnTbPPK{r~4^O1EhI?gPK;(%VaA_-dSGB-k_vzB%+n@r&E(4!<1 zcrd4SfO38LaE=8Nk-xi40vU%?fCq>qa2!~&Lu+KKg%jOCHaj$}BMJ z!afmNpxG1tO9ctZz!dUGWl;V{y?_71Bl{%!L4bV66c8QZS6&_1P`$d1PHAW{!5?Vlb5R%23L-#u5~KoRf-&D8$PVJL{22MFih?)? zNowejv8O4IHshj2P3$7Dr2eCZX8uzo9J1NAd7HM_`dIM`K*U^5=O;hz5c5fSarpV+ zF-VMZs#Ya1(axl4hk<=Oy}T$wnY;&&QRrS$&i(!cc$Il*WrMRz`tCB! zp8vk?7H!D3{uW)5GxvRe^Edp&FC;Iy22TyyER@=|=+Jd8B+hr13C=bNC9;{|Y?DyF z#Y}LvNvMyV&o&7qve}HYO+tO_ygeS+c9edC1{^wh#(Br%e$3jNY*xiSe01S9*BGC1(y^3;3g!9Le~(bK5)C12Y>k15hen9%JTMuTjD$ z^=GJ;8&#@_gD$MyqJ&&9eL(uZwkJ=gojKM~Plxo~vEDV{c+;4_Brs%> z{_fxsY6-vq%GvVFmF6QH=g`sQm(}ZF``d9Y+WrE@n)C+q-_Y1TWh=lwst~aMZ5uxm z_zPIa5W4(b{&ZtB1#I#$#YkqJa;+xhevh;|Cu%9z`d^Rf|NhVV=byYq z)q}(F`FD8m-=gYURQ-(-Ri98mwy63RRnH3w$2nt+dBhewArpM9Y5UY<=x++h30-jV z{?+VlZB3l=O>2rME{Q$-=C9p<=MN|Eg9d`k`w+rHh^qIscpsLc>Zv2nvF^*zwvVdA zx~-52SKsbH?xtpVSS)xSZ0W9J?i~e@U{(tHsCsYNdL(|&gXKzzZ!-=kE|ic0W^779 zDj+7QBnb9|4W9xPfrl zrh^}cb5?D6-r{{Q$>`DOs#*x-vpMN~Fv;#vf#-;GR);~8^}?oGi#~-2_bG^@;Y=Bxu8O#VE>}byY+adw@d=$O3quq~AVcow3i9kdY@b{7 z;q5mgQ$IYin@{29`V`jPqRpppJwAo^{E7Gf+&@g2`Wk>UNVf?56D-A_CH3*?1jo6W z{Vbheu4dn-6U>tO_;i9ij+St)+q zF!2Df8L~Pc)fLend`CX{Lm32-KbpcKgHgtWEy+(x7{8Ew&!lxSqYu#8 zY?O2t&f_$eu@hozb#o1^ZW4t+&63(kA%GDY;l%Wbe7NxpJvIJ{@Frf+KR4y(dX$@g z=P&=G_kUILSG1CxY>WarLXrgN03}y)IN%$Q_INvN3RM6mi1K#=EqH62gHQl_LCKbo z`K#N#B|!{e{ZT|tGfKvH6zn_k1q^*RT3WItf*_JAl++$+omDxbWJ{Rfa18wjp_FI} zWLjDRCMJk;5&Z&xAK*n1lmSIA+cdu797!Nth7CnIk+CwP=^PY8?0W^!2)sAUGe zrcYEsR})wk@u3JXt3Vguhbx&c`@I**0W9Kstla!JvQN&sm-&Xb>tE&@-kwq3#;F+8 z5pH^)oL~9T&-#uJB)nD1Dzkl!+RfR%m86&_8aCzFje@`}M1x`bPBePu3(o|PXnLb2 zZCjze4NbeSDa7hc5Ec0_dBs{pP`VJL?Q4Pvm@~2t19YM{J8fSRgkPUsZE6$%fYPp* zk$4*g>mKwoX9Q{cnqX+($L_8B(D5#8!pVs3nt;rHj*?T_Q_+zGQ0PsUKN&^SlRNYZq| zKhRo5S*>f6JywBtk7Ln^@2KN+=UAQ%oWG9&4~Ot zIT@ql^=GY)=^)v|)g6lSTF}bn*C#9EJ61=aH!cvG*oE%8Y4NO#?}!$A8Vu;`&?3M| z`nwZT2nWy@=4E`VU_1lPRKah5wok#;5RMkeK5tsf=2;9Ei7d!$%aotGtoM=2z7fWC zrZK25T9l!u3UgYtye!e}28uAe-Vue(JXlwS{&fBK*NKY#u+ zlAWyqe}nQ6{=e-K=ob3GcQxC)qnFJdx$Xj0DVd8 zX)qCN;&zYn`Qogz1iHS5m9LUbrcCh_DiOT{JNYmfbw*o{boYnB%X6B{X$IiTz7JbgVRD;V8}HKZ4%{3~=4D|4H~9=6;j zKp6~Yc(sv_T?+a{EwHqrR*Ozs@Vl0&P4Y$zGY)80ha4zC9=e^D`Bzz@7Rsu0>A;1a zQlN_9Fu3p4c-@m=&gV)6d)Shy24wNU*fX9ENurF1b*)h>A;)Q1Zi zE#&gkc{sQ=l;h_d)(ZBWw_pZqWv~*8{kJa{uo>^EpqV?5o!FgT+XcwCcdaJc>4%%%7Y`dUz%LOy56@KXR&D_NSZ6--2ZPIff1X~RHyyRlsLIO$t*{YmOd0ywYR`j%gwRWiXB{RD~Rdy;o9`>b>`ugZnhq+ zt~{c>?iOtd#rj)xNkseZKlW6Ui0wrzSqI_&bAeBAzQ7U4G<#2Gn@2h;~4Hau0=Gy%Bs zr*-7;36bTSEjsmCh@KsC-}ylD<^#V>S-2h__&R%UL(uiF=PO6hb+>3k(Dk?I5(M4- zo=nayZS)56C-~VarP#8i(D)XBsUeJ-m6S<(pcwgJB3 z+drtcJZxeTcy5xR8MoFsB6DDHin^C?Q z<$I~?xy9xqAX|O$)w}n|w~5i~ubl3`YqwVhR<`cGwehvS{K~8M-gocS;!0S0KlKEA zi7o_UHkIOfREp2|J-_uo`h!WOP^LZ0O$)qxXz9XU>1=Ka`i_#hl%QpTgA%8SV4x%m zn*fBwEE7aw*8n6!5*xzh>Ksmjge(&b8Sdn39ShPZH^C0(t^~nR3>FlCG$W026C|B0 zz?#Q6W>=+AZi0OWu2eb39gbu49Vj-Z7|1; zB&kxEWCzctLiG`i=*u~&QbfrESXZd|OftLry950|btK%z(M1=P!sZMmdbsLnL|2}= zs1zoN)InCYK@!>hnr#<0QT54gP=y>mJM&$9GnoZRV3apK(Z z2ro3*{^DbE3v%rCmt=CcKf()_xbx9-Jqu?rypWZ|FEnMZ)WLs5;YGtve{y*&91#5f zu`w+i{)jyop9}r}eu#bH3cNhSAUxd*z_z(F)&tv>yEE3^qD}W$e~T`0XM9ofmaq9U zzdm+gS5wq<53{X9fi>P;$0c`0MKgF!Ui_X6t0Fj?7avOBiR+yt3Hp2hLYp1qP#-h_ zuLVne=_&Ae@u3F|W<;R9bUyHnWix{NRbvsDF+g?L1X%U%jM)4g>4~8nEeU2B21oRW zLv{nfWx<_+G5}T;;*z(WBux0E{LGyJ)xxhE{BY(sp`NxEl!*FqK6 zJXi7_;C}n(QmW>|j=N(A4OTSrLlyMwG$%48;ty>H4JG9KR6O9^9Vj}H&DpVjRpyja zD8vd)6T-^cu(y z>JFl3XIIJJqhnWxG^VN^y|$nPrK{BL`Eo%pk&GRq)%`t!X@QI=`eExmE?0g=wd*NgS-H;Dt7`}JblD7pUE`_8jJ-#c}^!_$=%_PX8i z9h?k?x={G*eph>5iTbdsGYuUAnS~+aWFgeYU5#5F6PD_3S7)SE5XkrEIPKq5y9Q5a z+JD$JS;7+pvi)W3_Q&xeIv)3L_PZvtkeb3ml5yG}7c4uRX4iOLk*3=zf zYH+-sSEQ+TO;!g5fpQ1GV2k7R2~$nKYq34uFbHJ(%Qzi>d%#c7pZ{^!X6Fxqe1DGJ z{y1KL|J!QU;-%x(=LK>n+>S1opAL^#i^2rSb7*hf!PRQpIDGEeman*&u!T_+M#2_{ zCd{Ecsb=imY30J(FiYop>HfR$@pf_mL+Fiu zSKju_Gtd0(zxB3yqajh25a_t_N)DVM?M6d#dg7Hk(i7OPrHP@@+JGm>S>+nVWPqt4asG`VF@54MMCSv4WBm}l2>0my>|cg z`>&ktifW?jLd5(4G!X-5LFPQXKM_W;jfUh&w&wh<|L>#k{Dlt4Yq1mu7UI{jsLQ6PG*| zt*4_DcdN~b&K~fH!d=w&h=#+t;%|-=beZHVBdUaYO%jb&&oiCMn>tF)(vtccG($@o z+=Mn~Oxr_Mo4DVyGxE0xe68$uMyk_V`mrX-MJJGH8o~Pm-w(n5fijkw^T%92=J*kj z=>#@W71R%W3WVhHr>6Am$Y0IjBZEm*gUcNti5}V;e`HAW#SSa3az(TFeZzBFt zXSbK3V8%l;&yct@^BufpkHRfff+a@_E6pwn1xt07+aICe%uyn)O20mzo1|YHgfP|Z ze+^B%E{_g}UqCWu1?i6)!yThvv@IF7<_q#J^w z0U`gY%U#FQZ*%%&jj-sBRo&m$nt&Wx^lY|2ozT}drAKQkBg*# z_=EwM0fBU_hQw*C1w#XkA_u`GKp;Z`E&Vk8qM0RpK`IivQQ~Bf!>sk$>8xG)1N(l4 zRE7PRYexJ7c75jiXS);?GQ)CloDNu8G-*67o~2{W^v_;pBS$-Vm4RG3*b`_@W;D(F z@r#rQo7-E)_BVbeaY_KCXEXuIk6VIxfZkeyT*mbxi0lA53$QyB&4TEC%wNBl6QXvvgmBd(AniP}sca7Eg)u0e0I~SyCoaDiqGyNPZzE92e9Cyo zdA2k9#OIyp)aV>!=DBoY@j4S%xY#Tg4%JFjtW)=Cw{?LVy2!p^YnJC=%jOWqJ5P2 z^v)KwdQb0cQLFd#cKf~(ixV#45t*0w^rpgFl%dWQ-p*FZW}aScPJM>+i|;kNkn=^d z-qVY^!I>ginhZAQe37ibJ3Yz(rC%l3KP0uyd(8!2Y)*6&V}T?5%=;RhynX53BFYmO z1z2YpL!(py^8&Z$D7t0id2?5x+NX8LRjhzc4vZD9++zQ9TKqBLzfP6?DQ)C_^EPma z#X{NPv5*g>Pg8j zQeKxd?n>)3uPdu~TVVVy`+~{kN#Ktxti3A6Fr zOiw_vh=x#`8jUGEv_1b0uO}e9O%^eRj?w?R5Tr?LU^&~bBhwR3^@IT}sFk!}s$EB>Cp64_7xXudH@l5*IP^~%z!EWN!uJ6Fqd0QFeKYk#dKd0S zOz+ytzb?}o)|7ua-#3593HK$JT(=VUv*CvOTc8=FtkGTUYR#`UJD&Q+)0}$!jX(Z% zKlGvGA5dqF;l4SA!E{YbT|){wkf3r}Fmr`BN03c2w`_qFw1?+f^0vC}7}{!uqbgkC zAPpsxmAJxj4Ch_pp{>K&AdGF*_8f+FoMNP=Fexa>J8sG|=>EF!-_LMUZt z)S^00!ykL|;Mj3qqMwK_;A{-SI|m+sKGYP6QHi=}3umZ9MW+yf<;pKx&=z{o2RDW6 zZxlZ%3tj$9nWVU+Z=A%rD1xd2bug+b(nQQz?BZbe9s}y5-eVx4=ClJ?H6L$NFtO{? z`gB=j9778%pr{N(Sl;aqec_VQF~m1AkBeL$b5S5J&&`QN6#f?sC5TJiq!{jZHQo*v zr>%#Fe8B=H@NZdh`&(;*!W)a|nTKhdxJX6krq_x6{h$80&;EsFCk2~RQ?*!fQdraN zrL^@HojsM54rY7a-crlj%~R09Jt9%|Rvaa{?;&$i)Kx2}In`CvJ1K@9sqmV%KJM$8 zIVp|@&IsQF=+;S`2Y0h{1KUGS%A6DjFl4InUp3{)WNGUtK@TbjSky~TO5UfmXESoB z3&&$Gl78_lZG8aAI3&S>2$s&WovNfp*|qG^5nV6(9{ClbF4zfOq69>=O(Zo0*15{> zgt7_V=kPztD`Y>m0EMA_`H9?w%~5fMjtZbZU6IK%fvf8y68R8HFX9!Kqknk1&%Cg= zqO}`Pw6^XRZ6S*52~oV|m;c*e_koX!S$pSl;yXeSGL@QxSgt-XoyM>wrh{je8F3VB z4m3x-AZ=k2ob5i!_d*l|8L#HV53pN{8HxTTh*Uj|zGLb@kR3Jwt0gpQ8SD*`9f+#~ z(()A4lc3Lu52*|gY!K1UmR=2(Adz-_*Mu_sC84I7uVF(G)=wC?_M6UgTFl?WK}=Gl zq;+hjvpIaFBj4~cXhz|Z?uI>*D5;x((m9kdrmHQQkx4=+BZm+rYhjD`&yME(h5X(D z{5X=5(brmbm`O^|K1d!Bgi=Z*cz)<|$t2yAyNfhF#{&~j3rY9r?vDGzAbJ@Z$d1Z6 z#Elcr=m^qjq1>tV;yp{NuYZ6t%4NALr*jX`iS66%k>Y2%oom180xKRMthJm1diQkd z&ptpiT*^#?^whXalg!)>@T1_DpVA_?=Iyv1DJ_(48&8c4-f%qm-cLp2#a%ygPiKA} za8qYo#t9cr! zH8t8s&_5J5K@|~*4}8NYs$``Iy*c~P)FKHA!Uw@)kA5`NVvh)#sE;NIigId#=zRhI z5U3e@_FT!AmN!-q?9k_4a(ti;A>lR`6y-DsqEQ6feo#5B(Agpv6wnKT!!RiI0+gXp zdy8VXH^-hC?GD9k2w#L2v`KE(0}}jlzVVCyH#g76EI4%aze+EV6Sfb+(?Yx zj%3`68t7dUiIG0R30pJffrP7DH0a*$2}Og#n`Ge@ZT_I^@dthL`@i}#@2Auib

& z23H*!byyPtfIPy90+jNh2|}(3w(U&Vh>#lvkyfgyD-*OxK4oc}_$Sa)4vNI61Dj7- z4bCWB@(Bi|JQ_3`i}H78J{IL~53bNmEXv;>`2Ru?Ohrm)DlqA?OX`YUcSOvn!LVEg z_bQWIR3ZekmEj?A5=Yg+QACI!ieEh7+joKXNE4B;T)xT1vT8>Dct)j=m8|>JZ11Vq zc`Bu)6pN?fvR<(@w!JK+MO;V8@|O&iWUga~C)Q=p@^_tLRBr2f=7{jXF8~^?zAXdg z3F#c`ZqcT5T#wFCf6nO_zR{Wa;=C_;nTEPXQv>K6iRC{95}YUhc8Wov)ngek5;H#p zg1TcpAPodFqH$&^n8%>VNkKuhilMWfGHhE|eo6cIy{BSbuz{nhC>=ADvn)+k(ahBULTck%8YI!z3&Vm+{$W)c1 z1Q}&{fkfx96F)X=J<9V5PKLDl!(q9g>2#c|3~~r4=f$t`r{Eh%SXz7&p|g=PIe^kD zFge2+-@r0*7t|F{j;gHuQ)5Xf$N}W4yjP!O6|+&10_w`f1&Fdd-g;Rf*GNu!25qv2 zheFfjob)nwP|Y8&8_G}AJnIk5niwA84V`ap5$n2Jw4w9$pz~Y**cbizKk%lQA)@)z z<`J;!f@9Sq^N=+vBZeb&!kb`7{Gi|huM@b31$5g5UMH5YNQMDC1NcJyJuC)8pH6sN zIs^(>%u{z^< zd*~D8>i!Pl;n8~JZVxT4l=Qa<&C_}1IcF6-EN*pwkI34gt5-B|)NV z;b9-$cIo~TCDl=edvs_*+$XIr-O)|5?b~ohVEfLm(xL`MRYUZZNGSQyNdp=B! zk{z$JR7Vz|V5m_5^u3 zGCfPO1-zcZ2QDclnr-10l;i}rptNy!`^(tvUnXOq(f38k7&u;^J;P*-OwW?e+kSnT zPicLjEpfwK&%)K>uW75pdHUK*hIi3|D!l1g*P~~>^SL+w_g|4BFRJw3ATLOZVqqyD zMb<359Jz-sZOWAhXS) zGB3!yXT|%dT9g$-BSXqtjf{}u%$2j!E+#60aWN?g)v%QGUMjezpk9e&9eQj8!--m+ zzd-{|9%%sf|~PbM!~os*r9_H1hI5Iz)h0RC=eF}TO@yIwCDiSyATwF3xdbF6i(4W zZ0WfaPSHVZ>A4h6)j@*fYz}9ZaEb6sXze{p;#|aGf|I~rvcNsgS<$Zj%|3JFmC=f% z%Y=!=w|Erfww@#g0=$?&t6}*!-TTV`~|?Wn1RGET`%jwvJTx=H6_67yf#D zJu`mzU;Oshw`|KusX@H8^uR6K@(l9_^&z`RTehWWHj6riC>PA;n9?TF-?}AXcQHY}uB+_;Txl8Hn{Ji*Pm@|9Wiv-*Nlfp81K?r|V3d0lh|$hOnG% zIdn(mvw}S?QS+4f_xwA2wk23OLUYRjCOIr}FPQnU!Okt->8VV{%#FQgeka@uQ;Ce; ziyinP=Q zzxOZwkw5anQsUwT4JnxeFBy(o;vzb9GN}A?CoUqNbS520fSP+6En{J_JSjpghxXb`2i#AGd2UuD!?CM)@XUC6BcM%S5?|@(|D=8~X z9)!Os?GN&!tRpJ~G6#Z;-TpY~i#R(Ne~QH&=N#rWz7yUI=C$1#l&41|0|} z%M3d2Q5X&tOG&0&4~rfJGv)ay$du|KWf-9EL7S|6+lAXx&y56`#WxXyLqb2-ITmaQ zeiqZ69a0t!1->y&xCI(p@l5M{FDySArjum(wChfaO6#jpK-YZOSx3%n3*Gw8h!f08!TM-8^ z*fy)yK0#`@Q5akyg#kKZ@TVQES&TpkVMr|m2kk>7y@%)f%-hzZ{6;_Ygy@IX-J&fZ zzFxb!BN^k08-Bse$|5RddjhcOqKW(u}n?`Ew&zrBH z4-gv?eLG95Gz*AFPT*rZ>b~L4*HFAaZ4i6&b=DyEg?BKA)gbNx%%wrBN$;Vc4QT?1 z!P6ohb=5#bL7a(J`i8CvAWdfR+5V6h94-Pf!3|9=6j<{n9EObi3}Q0`fp;GSI~rdU z-0@}9g z+u@$$9egEPlM=kA{69iB^4YcpM>8u5au!L1M8ZUCDHm>?(#La$vKUkn#})ze9PP z!USQGD9$9W2OoR(((z}s?qNRJ!<;n)89mIWxq1%t;|RZqlReC*#fSN{_%LJ5#fKTQ ztWCe1X$(Rt2E4UWG-3;%%s1vJ!Y89X9nyEhrGwV;drkSPY;NH>#}HCMXAHlC{KT>y zeE6tAxCi9G@rI!fM}zIDF$e^*@R5vD_^3JT8sC@%?KGM!d<21fe~#V$(nut&-&DIM zl3n<)*0_b2YWP{fAQ73_n3&{`q}UCXQ(By^@&K@ zWy=Mn;R)SOw^rX3YU*q5%CuZO;ISu+w>xAF<98DV&~-<5{@kv?ji$Tu%--AEs=pBd-rtnQ_CFtZWr=Iqjc2v}glYoQ^7{ zVa~oM69vu(T&#g0&8;r)Xp=k~H0u|J#OPWob%q^h-IL!HbAm}tU7l`EdEW*}XrsLB zl&s*O^>=(xIWv_$@sLw_KhvU(q+7BX_JMqYcuPNh@Qr`T)H3;l%XE?PWZX`+3_9s) zNNzu`C))R9w9J2_{CxCoYu84`EvMBiRMmia)hj7#rWp3$!4Nez50Mb8Pjf<^$+8N* z`Zq`46LR#0_s_yD+8lk?7(fFp0%b-j9#j)d@CY6Zs0lz;G@e)ASP~=*nIOD-fnf_SM)l4lL8=K+ zus&)#4j`})Yxt%4Zi#{e5Llo(v=j4!R1-|F@8Q8vOjiW-f>aYsFoC}ifaYf{YJy3E zCPe#t!0{rXNk65i2__laiGc%C*gP{y8gxlgcrf6ZX**=<6s!BYbA)!FCxig$NYdXP z@S4G6Ngobq%ajj2EO%=W{0X=4%S0XEY^tjWo^dlK^cD1uEE_Uv$|M{4>lyc=tg_gH zBzhRvyJXD2IFY#{tmVnT26%y_+(DsWozE`?0C|r9Sk50W3;Cl~)S@wcmQV|qR7TrA zq7&s$Jh>3W&*_Gu)hFzibl=OdKRmGuz_MZgdSJQo{G4^SXv6;Xx9E}}-zWY5?7eHS zELm0_)>as724o~0p@%JnZ%9}X&>T}$S@|engo19#!X5*@-4YL*x%3P@Lu)jrFFhU2 zh=+{9;)lf}goF*Dz*s^8g(Vw=giM5yv4V%eGGH8lF)|2?Ag~a|2EtZYAmQ&@Yp=c5 z-kFt|)%Tox&-CPv8@KY*%AHx2S^2HUx4w1tm;U-sI!9G6#u)o|pnbBKuxmxwIRa5c zmtl`^S_}_(RpC$s7r-j{pI9;JP{kD^_M>8_Bh~s-pOh92g_NV3wt##GHr!&x<}i=_ zD8-5Lb$^6}dUcKw`;*55P(@v^G+YaS0z7*SWitj^oO$klL9%jiheOi{_Z%gwSLc@J z-z(Fzo3!0JUIQvYuQJwIIFS9O391{&y#X6BHjsOg9k0LiiNn`_uIIs(R%Cf_<2kM; zp)y;Y*s5tBbXp??xVB3~;mM zEU}d?h*?4x8k*V2!jI{yAU@CsF-ru(XpiBSxko0v`Z8t6lv#ES9ROOwtx5C3oAlssasjh4BD)Cvzq-zgA2Z0c-g|`L7lzY1kneHy32i!bmMOU zcIYsC;k~b2<(l~m?|tBJy>k7|x4p|N@2|Xs?HAtuF1OIiyPDY&6ISE0`*e>Iqh@>F zEnK24z`mUT`>*~-pZ7Pv%#-V?P-wn~=@rh-11GwfVb@Sv8tB#@n=}S1y_I|qGek;V z%fapO)GH9VA$<=soE@Oe`GsF2Y z+hj_a<-G7CS+r*PC}Xw*QlA4xLaB|CG8+lAOLX?gTmBwu*=~F$scoy~lc}e2>WW|& z%8S)BL&M`5r-9F#dh}%~l`=yvWDn?l=9${SXa2H%h&H}m~Tf7;)8#(Ui*+5ma|CAuWz{mM7~t>6DAJ|wrbr~_+&Y;jzohpp&H1%4tloHi_? z&Edq!3v4t!jN<2*4Cqimw-`S$)6!W1;)O_ywo(X9F&W`m?w4>=U$r1Q;_e6X zL331Rql7iXU3~?9r}oz%<1#zz^a}Ypmap&|J?s*3HLMwuTvwRL%IU4l*d3*Q6_Z?X z*69^yy^%yoOWfJjCyKL9ulk;BS$?7h)w9|%B)QOAbRc<^mWM>)^z#&FA#LJ3!3&j# z2?n~9X{P6&lWtkF#OJ`|7_NCh6{|jayhg}@kr9gr)DaWy3d{Asm9tGa#oc;6`CBQP zaQwG&UHn$A^KS*O;%{ZP9j89})Ncjr*>B~BnsRE1GLak$6cEYJ1PaJVQw&`w7io&2 zsUudWFh7;ynm3i8OQmQEk!|-+(YApb#W^dGAOrm;?$7%ro-nt={-U84_J^=oLH}&{ zOON|=+xHjEblGy#SE(MD5nhK_vx#r?VM`{N4>n`pZ!@_*QZ3P#TV zVG|ulc@y{IG3Nj7qob%rt~}4PWx@~#x9lR{51Xr7Kp1#rJQ=lTEH&`Vwt#SH>0V(A zh;G1jA01<=1%%ep&tkhug6-W*%HQ{-pwgc$21#US{5FLngT@@_t=4@S@+41f8r^W> z#CsS{44#vmgBfDxo2KxZCOOXD`618tWZ0h?xIX|BIvRBck37W95LrP{hJ%llG;DlD zd0HjI%nUj~ORj7sdHy+hvLwUV!E^G=Nrq_0F;Wr+>x#ePhb|j)4m(np0c}(5Hqu&e z2iLA3w%Z`nTCYi8%`OglY#=2-xb0iCI`h#QjvlYhY2R`-AJ{$}*i zm=|OJ%eyIbpxT{mhfW%^tCEZdO$dev#C)pkQDyJz`nIcqh6O3+z*j6>@f{LiAe2UL zgj|~fO|>)L(HJGHf`N)yUytE6vW?>G+qa7?e482mVT?{4NBaWL zr)x`mB-=gjkiPZSh}V!IzHl0zNck^4mKHA2mTkP9Y~zoA&8K|k_bnm)`pL0fdjfzH z>BlXm1=7!rm@SZgZp3VX^d0m}2xCh~pONu|nYA^BMx;kRT)+~_TazfDE9Sz}?3Qs;daxBORiT98)J?0ZEB0aHM@LSV$ z4-W;}8`5X1D%a=;@5sG~?`}Gx`sndemPFl(iIj;XO#INayQWIK|H)tR%mb6yVBY@U z;QlQ)3itO3=&wf=Zyj0?`?qG(A~%-X`l$==MxJGq}HXkP(xvGXoe`D90da*SewdYZb(4ShE2m5 zAN7X);iOdrHzc5&Vb>nnUdlu7Q=hII641>Mbrwj70ae@MK1pS`AwzdFga!mo4X_3Y z3h0zE)OAAwx*2xu9`dxZ;+UL7KsQ4O*t2NL6|H>eD+-{SCE{|{IQg-6seBPEBcEqz zu$K5I6Z9kjbXybc#B6NE2Z#SrQ=#-YUi^+E zFf&VZ=xUELauJE^o!(mARx5y<{RG)MFM+SkF zp;-V5bxDrj8A&3zk#hui6Z#vrxi&+PZf<{$ZyAESmLXVoiM9;E?PLi4(x3d7e*QO<3^utU#IQKLg)hL18tEl0o^{|wOL{|0*TZxyhu*c9v=#m2U#9-|72t5tP{ zbs_OM1Ae-Sf(jr@?NQ$KYP4oP9O`O%F8V!+^t0wF3j0tl9_QN+lv$6*le|&bpW7kd zT&rD4Iy>$!^`Njnx5NH8UnI72^&Jr`()n@!3fcwgeSbQbzNKG|XTL?rHcQB}Q~>o9 z>xF?aoP^7>-|2?lu+2MM?i#kOyF?qd-43?>#@Bwyo4?kBWlb&_wprTr=Ih+U{>X$e zo+kTo8CRZSsoskiSQ|T`@+j)lF|!=A-=Lgo#0v4IN(u4#=j6F&Rq44Bd(3{%me^zV zyPqqu>tik*d$z_dT-*GW?~at;06c&~teBqOBF8gkzmw*7rcuq*xpxS>8LIdFE^#Nr znz1+mrH+|;e7ru1hJB_UUtFMLQozDc`;j=QYAxBfq_k#q9^;xa@befdM(807ZyN^EXN-qK?4iCDac1@cFYrE5YaV(EfkCj`WpJSaUB2Efrn;mp{=Ly_<~?#~~5@JUJ+MZX?* zQDow5XPFKTs0}U(j4^Naau+521UDDu?z$-JF45+q+>VR#k)QC}fAgPzQ*H-3Gv#I* z(n|hOa*)y{a~81)O;0HqkWHfPW5ZInt#Rp zg6OlMqx}!}Nqo0FF=eNIR^J?j9_inpF&C00S%jiW22x{EPD>+F=#dFW(JWyq#S;kD zjK=$_?`B8eAGDhVl4#=@Fv3x^HPOx`C7#I{Fygx~h+798(0= zMg!LPBi=pN_1z=$TZz^k#Ix4sjnx}3eN$<*$iRedCnc=yaqa@h(Tk5=H&B86v> z&;x_VR&+|`_vub4n_LQ~u4fZX*Z~GbMM<{ZsT+-s*|Uiz?k_)|a6oQ{{bkflIANL0 z68D!dC+yGdus_Z>7P#2NQ#iF4EmNNV$f=FX!6(Nc8>LvNVMyNJw5B%c<3X)F(l(Squ91yK z`pOH}?|JFfm&&%p+$x&jCP#l#{qnBRqWR;lRmQfo)032>{j4wjt)K8y&iXi$b^@0} z*pIGh?n!|VzdsEP3d|;Btc@mxdfsXX-=EHF`woN8 zDnp4#lA#a8g%%6jJ>rTIdYEByvjU+ho2Svy4*_SQg!l1nQ!A0)rw>mF;kR zoNpAjV4|c5?1kYG&%dm;NToC^Cn+^6zTC%`^|}}!mh8$1u(2EO9M0)uWuXGLl~-=3 zyz;X@^upC&bOwmhaf|_Cgut6uTZ8H;bqOfXG*(n6&e@^+4nr`7-PoN*N@je5nIRJ2 zrqSMy#F>b5W;iR$#%G)v&dRd!;bn%H&wlgL>$3`OOnK&`LzYc&ds4wIa!=7@%qdtxS=jmT%aJ*E>q$np;Z_l`RH(`q)(;W_2|BdWZFdsgRm@~Lk_TI%WGRT z=F8h)df1MsCXwdMS8j%Q9yQlP{%9pF**MEdwS((H6E{^C#fC0qY*byzwkl_Y3(b|e z?$=@q8~Nh~$RC-XT9ZF+h6|GJ{{RYVz`8ST70nIX%@Sfl0XeU+=2qCR8_U#1`4QXDSH$fJ#^CFO7g3PjDzRZ@rNqR>MN4n)`i21PDOwmtbX z;(UPR@K`w?ATr0+F6gjcB(#bzEO0iSeMWRuv-60pDD8#K(6-Bp4)`HXB)*R?7bK4G z2Z^ejx1nFYWy*@S->j{fOCC!Co~DbnWS18li$o|#U=#Y>sbcAP6wusNq34)$mDzB7 zRi^D`uL^fb!XF!NgIDFFo_gx3@A?nl{NN*8{!2Tp&7(|x*AU#^>5SVutQIn>?Lm*y zKFqAP2h(3>I4hY!*U1cTTQS4@L^=}`CfEPx-G#Gm33q;yqM7&=MmC`K1P5ePf^et` z)xHaUyN|W&w`w9{ndy?U4*Ft>surq7DCC3>JZeIsYv8VcRLR1okUb6T?&I4+$B(fg zp^w40T^}R7+ubXWQOZF1ap`CW$;@^yOYyRNYHQTIRUYrf$(dq~crrX8v4h+IVil-IX&mq8NX*QKKDGy8jvz&VlPwQjG!0|w4pcoz%Q!Y^ zwyiIz90L1-(3v6wLI$u%YGF^s75h9GA%e^o)@-2dSsz`9E6K9&_SE7!)8HE~&k0>5 zqBK61(MDP%UY~Z~@j^~D_@FQMWNB3a<5d@=uWm)7#i&{xCcWI$4(#=g^;+TT&KV56Hw4YHLeV$ zBM!wo%lWd{eSOubg6#o6U~nhuTO-`-SGe0c+?ce40Df&5ZuYBw2ZR<&i0!FNn_Wgs z!;w^g-fmYzi&CoHZndtx%6liv_HfW?mjhB~^?8aLkPdOxwUFPJHQ^)IKQ)#7PHUcy zq*CD%4W|=+o#l^G#l4eduRUI{MD4Zi&sW7TJ5S^Y^`|zqW_TJQuXv(5EjT|rOvS2? z9v(+mc>)=JbFF3|!}nQSBh}!?5B=0Mqi;T5Bh4r95r^iH`jMdN8v8`j0nLZUfQMLh zo*0pnA99#avQLlAEDmVrx&G%{PshKH>X=LGk%z zJM4eBYGX&-5tD$5>}Xqc#L0HpU&bE!`M3M4wh*Ff#RKpUEZe@nOj*jC&py*it;}>0 zu!UzsZZg9rzRkRed$EnO$M^B&wsEk*eZ55z>+JRD73fJF^eB2W;go*#CSEt$Egg7U-{6d0~`OT z9Neam&d*UIwQSk#IntfF#Z4NJYL<)c4zVADgv_0Z|I1q`yjV9y$U_Zz#RZ<=1fiN4 zpC8N2-j#}i!hjDzI8sEcIqpc8JWh@~lbI2@5jkCy%tQoJj!Xh?tnhp^#Bt=6?F_a2 z!lLJ*UyorU$G;yCjpd1e1z2tg&-dkCu=E^pm!?P~TKbyF!!6;tZtBA&w6pK~l~4Pu zcRGyr;_4m%di*)+7JkJ##2AqnrU)~2f#IEE$jm4mYBS6#fJh7^B^fs)jGAH6UBrq9 zh!$2W5=PDNgk*@2>5--cR+Wb)jGAGOa}#vLpuHqbaYNpS#`ao3HOcck-pXH(Av@>*JkA5kdt6VClTrnC0Q*0z zP$UNYp#F;c%=e_BDJQ7jZj~!w7gx*`5VDJ0fhG?fv1B_S)}xjWrSHpQRhADg zK}=)^2zI50ANU`-YJ`KJn^&HNLH4If9o9!Feg;ehOUG2*7Xbm4K%*z`#}&UxHS z-$|U2?6eiYGZh{aX8C)T`7%<<%KJ)alw)gbh3UF8Vbc?t%D3(kZHRk2MDNf4eZT+s ztB$xzleH3KBF!RGebYqm{)mod#(JoNpzDlbrW1CFxOQkv=Fzdb`0Xb(TQ?-)nqiXr z=R*P|gK9-0t{L{I#7WFN#W5%1njr=eohPFcam{d+j4p_qE%&H6C}Z9-`spo0T(iV* zBUW<2gx?{4u0D=LCuWH+669#LOx4=3CjFsoImd;UCTFv}2{L>t2B!Iag1i&5z!{ZO zLBYUy8ucB3{gqf6=F7$;D_%|@>Ep@kNgA{+X4Ge0&9?lI3H@i{?K*sxmhS+DHJFd$ zUGQRyOtlv80(vrY?QjV&Ed+Vdly(vNa+pqRiAOkm(ZpR2(T$Y~3LF=y{e|H^!nE~| zqhF7szsMC|j{fO(-q8Qv0w4*LJ(XZ^&Nez2pzYB*TDofI9O?m>1DQUPLF zM1c%?(_1Ot&W8IV6SxR_(tP)5DE_?Znqbh55E>A8%D}y7C^f)rcw*4Y3OHK7t3yjk zKNGn5=nYFJJrlQiXt!4k82RuK<0@zt>QG*`l)o$`DMN$3+v87aXhrgyOK8vK;DPgP%EQDWk>i7Y-_y^#t)79Kf)^7~QRtwHh;1A65w z!Qj*EdstJbILY!f^pJVy=Q*N8T&%eW-h!2RvW;vhn>7fpm$<5uCh>2OHW6SIOx3-w z(-e9K-0GF$?=7Rap?CTp-+@0Ij|ab18;!5ew%{D=MNzxJcw>fo&TgHghIhn%eBSr>=I?ijK{!eoC0u$6ge zf^*J@f}13fD2Bu)0#$koL2!|+M~}#4ACf{ta?9mOjx5Ugbf8uE+12ujT;sT>kedgEJ;iB=2qX2fU}(? z#?muU56eB$%(W%K*({mSMiP9=auJ*fvI5CDvNqU_|BJ)mr zc)Wa8D{{)!GP242=c~z;V(A9Xj{?r(%gdlFD#2jB#~m z`kqrUYY|uP8-&wmLe>~p4{!m5`)ne{@fv5U^3{ianJJi(wZZ-cZ;h+Vn7M$AuTdH|e`d*BGHcl0kHP&Sj zHk`X1ocqfAU;B4{$wO2nxLH*-(cQpe7Md=Ggo9D5Z87PsR8=*@p=mfR0)V);X%TKH zeyoz8xe#lhG;9HQB{`WmXDepygnsCy**`LbiF0PyK@>oOUM9OWjp~Oc&Sk^q#2#_x zTbmI%nK+jX5tmEshpK9tXyGeH7Bf=Z4Om)q1CF8u8qZNeR9mv|k8~K2KZY)O{Y4fm z=2Md4$!!N>`TJ4dt^;7Vs$R*lk7tO~`DpDTk6M;p zhW(9Bbrj9a;ih zTXDaKPeMZk&$XI~1h~l%+BFz}D~6rWsp5x`0M}ML>>!IGhT4@B4W)2wEAH4O%&%vp zM1-a!vR>_rMEIW^qtvw(m(;>>TJr=Hht~mLtKTJ|a{nfUOzms3k6Pkzj0LN{A?*US zH8B-TXygMvPkk8$_-)OUzX!dRMTUCKczsT2XO=I?=6w~PC|)46pHX=W?op4#d%b47 zLYWs2MWCZLblYcNbUUIevEXgU+d&k@Bw@t6$X7g~LA+HPm zw=QNJZrM67ZEcx`iSl^WUZvElz~~+kw53Pb%gd;KUsPTOVHyl<-=7Tcq8`7%sD@ba zA@-Az;a&8T)l$;3?d9!*^nZopLp-@C@57)d@5{FDFDy9U)UPL($FT_->E${0bn9*| z$lY~8)?K2_1-Ts;})whRZ)whQR@fcZx z7OX0l;G251DEMZ__mV|C{>)OcsI6z{&wb}+dEuIcn;L40J9gGV=>x3C`WB2ro6vG3 zDTJ1%cBGgpy-oI1oK&iyA zH8Ctlek%ip_|>0CAv#$O#~maGxh85|^{)!fPjWwV-e3 zx$p3Ef*TIc9m&1&Hz37(`|NDz(8Af!jDG#1Axwc;mg%a~^ z7&Q{*e!I50@-zu%)4!IL8j1cJ@mG4Z(XR!^pZK*vA#v5O<@$Q4el0VCh$9~pU5B$i zB19`Oo}_Rpff>PzR#i*qT*|h4ks}4h-4#R1UPOhP-Mwc-aWDu1Rtkiw*yzWnAYbZf`lFf9^>%^!ovA;+xM@0Z0IpU57JKu`ik@Vj>iK99DRqTzf6#E zq`(M)$YS)dC=Q12uT&tse-y<=KmJh!4#Ve%LGk^`wts#IFcyN8&>V!oW87bm-M>HV z5WhcsVPW&xXGHH2d3EFy!W*$`$(0%1b54Cy>uOvCvIMPuN*ayzleO+{%tefSaVgT z?ecauGNM^@RM;e28U39Z3U26e87aa6>+w%n-5@ zObC+Jx|15HA9~ThFgKypOfUz+{wLtycDJY=qblsV&yn3~%!i9bM7Q9{1}>}p=IsA_ z_fJ<*C;)j{jA;o7pebHQ07N{6kP^C13dGllsIU(ZabIm=~D;kajRrZJonOz-+wmLL1Y|M$=SP3QM0 z0Myu)g#FO9aYEcHA*|y@ROM;Wf`NPw+dB~HSB6YS7{KI8&XmGY#I{+5qlj&@3P%y! zW)+V3z_N1;EhueMt1$6dW`-RekrLr!mI=CB=(zjWBN&h^Axs^JiZwO z08h`(`Ykk*9!1|s5Yp+kvRNj~ECH|ruW4ePq4N4X14rI2g80HOSd=z$h}M-X%=f=1 z=$@h9Zfz)+74c?!M7K7S_gBfo^k_Q+isoSv3ZI0B72;WaiN|qv-wR*xeG|T)`O|Oy z))ziFho-9dZ18Oo^Nz|pB)A2iOk!R|hRyJFK3b8I7X|i?v!spf}4^VKG68fQ7Qu z!PDsvA0{n9{P}oo2348L@X--Y7eaw5y_nWyNN*Yg#dnuiwrV=5D%s9=eFs}WA7$S>3SD$Tv!(K*IC+5+yy7)V zB{8!EahhopT+MxxWGTa%;V!=FQ3^{p=P|LKf@QdkQRq6dSsOQ9*CHKMeWGw5U!e(^ zMJZYyQ_ELaGu+4++tX90q{VsestFPJMBz@pA}LGKHuyxz{kAnPyFahv{dt}5PrOR^ z=cq7bcaq-=a=)-7lwQo-pBQX4UC^;rb&0wdG;x1&L{{Wv`RT~3bbrp3fhy@}l=2qD zCu?pKG8IKq=C-*_Qm68y5T?lEXB3i92i_r}3?kc})WMXtQY{meh9ZfjW86HcBip{e z)K7hrRj8OFVpXZgagC~4m;;86gMoFAtLENYSXR5F3{}^iSQaaiQc`CLpTDfT+}Ao) zIKGs1!uJP*;`@_r|G*eC#yYH@|LL;1Wcb2DRt#kz-L^6im~x@{UWD~yv=iEMZnTD!xhA=Xx?#7M-Jq zlWHyXDr46uIYe8U3~Ioqw;n;ffWuc5$!RQ@@`%WP< z(pACKtutI9*?`2>9{B(S1R&Mo=~bpWLaqU+vIE=nB)OJ4+x*ZW)qq3qnE*se@hkiUbrE!_c~`*>&i~yxn17XA1dBB4{~=_vb+liW@(~ifXAqQi zV?p_SY@*pMxCs0upNto(G-Y!U*7^kLhjZpJ?g9Lh0i4YzfXvOhOSJg}x6^9&KYr*h z{^V=!6KF?<`2?vy<5s%e(eEOVx4PT?h`wq;r*u{bu;~-{Ii5JIlhX=0bc?|3hJ2Ek zVZS?S!3|wLB9k5s=@XdYU;|E4PPAB2VtZ{$fvoBquG<}j7r8v}amMXy4BhNY%EQZt z{)k=5uRS(R;kq;|OG>vpdZH@Jc!f^;b|>zgjiB)>wCIsUpuf-&5py*7=>I8Mp}lp` z+7VwPR#@Z@f$1aLL0?a{({i`&oYZ*?I44`LJ8!X2&(O{gujj~i@J9HP_RX!=eIeqd z^OBoU65rE&lU`2jl}k{e|`qIgw|&xUhP98CqiZ3NjNz z$r$xoxdIL6%r&r$75eEwqbTYs0GAW@$XbXYwO1-baX`!vZM%}aFwBfA3cQ(N?g`#C zA_)`?#Q{l%kc!vV@E&c%rJihAT)^OO3dx|F46>0XPSWRulO#zA``D1Vp>&^EB0ms6 z_9|#4sEr%V(_afVPXyxlT5T~~CB0B&MNfH+t!y(_FkWalmnc3SqJBLe=KH(cFLb?( zFcsgW^i1pJxf*sr3;M8_>MjmVypAeJWR^1amX^>DP;yMTK2n-amKYwCX7~)J@s$iW z@Kp;3TDaFBoR98H?T4|WALQqfpD&BF4#FI|u3aljXfhH~2-e=GzQmM>l7hBj6Ex+>gdYuc`ww%_v&Kl$obc>B9ttVi;*Wbl5;_k6&o|4d-;O5v)m@?9V(Igsj$Zij|d zyt0xbIf_&mTQ4XHDv_204_LEWv8eK)5h#&rno9po=Pg=Ys@p^OPYR63UXmVOLsFl> z4ADzR#TV2KTeRd?hmKXgCoCul0Y4S}I}WK2EPVo|_#|GkFMZ!9c|bH2mW9y8Gzt?S z&gUUC%3sEv@$%=;H7DDlcIHVnzB9`0S!VB?kfiK1X!b8^<5{96{_lsHf0cl~PMidw zZ?E>Tp#=#q5Mo0CNz0iYPuC?jG>Y$w4kYPO3jw%nhZ0lOas?HcLm{N65{-Vqs^v&C zJR##F9ZlhcVNfIhWIG&RWN^a%=(#C` ze}~*DkbS&irwH=rNWOZPdqi1OsbbXmBp9Scn&ABv>bH8@lZ5(T`nuowqu=jPuPOxw z^(M!%TC>eN=uf5~o7MpA@M&NxcBf7UICWrzXa~I;3fJHCT{^OEP-8%)Ze++Oh^?4; zIvwPwmBH#OvYuv!V2QB8AS)*QW;bN`Z-(uj6QgK2?2;US8xrcx5T5}`b|?;_G?>8F z4W*uzEp>7fExVJtLcucX+5nWb>3UKDha_KHv)xh5jz^yw!pujyS$2m#v<1ZSPzmKN zLVX5zm%)W(wBUHE<5|zMDd9xWE9f1VF=8lxJk#y0M}-!hyl5-oIyxM1dg;aTe-rBm)F~0af%cy@;e6a%8F%BSofdjzCiywotpN3@ksVphnuyp^Z@4>q3HyOZ*~y=UoCH|oIyeEF|S7> zsSRUum|5o&IKY%o={yeCR_jIyVt1biG>(%&)O2V{!S7RHMW4Ve)` zB;2}JAZ&BA7AFdHAZ1lY5BKgBNHN;ag>=&BPAk|k9Y%HI=YXT**HE%!*55&7oyZlT zeoT6C<_@~Pp*!e$;SR!aR@^~YW&RGDQH;_2h^G%U9TL(H$Y+%4hdSSZ9Kd7EchrhC zmrmnCzgHX_z-e4Xf(Q~cx_+`J$K66=R@SQ^+u55<+?W(H9oK zKipmryvYm`d|R9pV1yH>-C#2lPR5%!lI5y6MIdYzxu}hBvP}sHg}jY$!h)s*H59vH zap~i~=7-<)ah`F~N}pw%Qr++A3);$ij`?IKwz5@SYEUyywjvTLXgfzX2b5Zj3jpmv z62D*-D!ql&6x~xZobB!A6WR=Cd%O84GDEo7P+;UaVzQCejQr4%a58AQiiQVqq2oF7 znUhzXZP%s{ms#Ebkt4d5_L@!$6FvIBHb2o*k?jSI6>&{zI9=9}cOF+Gl$CSre>6g2 zSU?nJW0j^5W*ZG3sN1>`*A_58ssmLpk7)rB*WqqnG%Wy~M}?cgzG&c{&qvbMB!_v~ zc9=$$sH|f_DDM(COQ`FHb|vA+Uh56>hAupUICCrG4@q*AM_| zVYTVn8dEp+h*`KqTbAc`vONFn&0p}-ALE_a`g?f<7#%RM0O7&Ssk7+V!DV%p0p%0v zi)kUMQln!9quNA*DpMLyn4S_q@B&6psat#s>LZL|h&KmxPRqCy>MR3BZwsFS5;DEk ztNhr7Itz}?QJ(nRQ#vk;xYCaJ|41d3GdeCzWSC`(kWETfrzss5Mqy@&j2e}fMb%ey zb)yF%i43#sF%>d7B}nlV{?K9~Lr{LFV4tcmn#Y(Z2^Aj0R5ncTURPP6;o{Ciq4g$b zbPO2``NN8o#!^DV9E19QI12TYAnBkExVz;uDo3Xb&-LqZM?umXLC%H0XqR&d?{=m1 z=QKjmGq7L90zz$0#Cn9vrZu7;OL(hN;!g zL3~RS`*w69R!r<`fc4^SOwdFk`vdtn6az@X==P_YCc>wSonsG{h%iDsh?42(hV&ME z13q`m5EFq3nnPGerEv55q(ET|F$2PJ|iaBS7+mS^~n}_xJRJ_`2q2#C2FwyF z@rqHWK?`DIpp+Vd+EE%tJkN>|fa#>0Y8a&QY__7aIfboRu2|steg~Nd!Sh2`Gb$sV zgZZKI8{mhIXrB?!`wjppUk_R+>JL-k`Rw3BNebAjgq%2;chOLIo5>IyKFWq6Uk$Xc`#2el+c}<~ zY=#xtBPm>?Vnu?t8FHFk%4=f`Om%32w;7%=<`<~Q6#lPcb8%;bH;2z9S{GIy+QfzWR zaJ{WZ5gF{Fa<7&6SOJh*GgRKeAS}2ADAnVh4yF2vktbuxytYmTAud{G#Bt`zB^{WY zc^7BMu_LsgDl9M(DXNZIlOG=p$%2sw1N;`l2j;e)KfbVHQ@$Rr0LX;C%c%nCCSO3U zEz7?iwQfAizwQ!kj>Y;*bcti}{O@|^S6*7m3d{!kgf5F^JtvQlx{kPGR-gw63T}36 zJQS2kYb3^Da<{rZFrD2g3fUBZC50kNe%<{L81QmCoLlUF>IG4aMaVq{3 z;G4+Bn~gx=t_DCy!5V-aDP_;1?jF~)v9ogg1rDIDWchbL0@n+eYglXlqw0>aV`y5+ z5Ja|I0fFi~IRuT$^x*dum<59Zvt&D9RwaxMN9ysaHsE{<%m(fM5}!f%c;VxSZ|RsT z9>wLDn|>A>=DzmwAAeP!+A_M+(#P0vU7f_c%em{vL(f}xi8jo=9nAf?zx?-p@KYUg zm33voym7FSyf!lNJIEjisHC@mkdXk^LB>d73M6*LTsPz|IqSpUE8P7IJNQz{kEp`IlIe-bx6Y z4K)jn&?2iBxFHeN3{M!-DKIx2O%K5hiLhpf7$wOZG(DJP<)MkNW(Xx9oh{Ng2m~ub zBCHvL{mp45c(}Bvk5`67SU21c!U9M4m&gpoPfdh1L)4|&J%{)LoG?{bNN+J^OoYvr z2a@x}@-X@$C0%Zor-3DIVDSJqpgv1UmzyQT8aO`!N8dNe5wN3Qg4%+Whav)ns}!yaCCc*=6Oj)EUk!nUMkl&HP1_H*>;lZ<>1pG?AM6ePf}~yw&qS+ z;{dsEW6H055po4*r|4ydtYVN7&-OR_U(i)|o|=y7pe(P%dL~rXBcKL-geFF140WOmC0=&B|-~1L7weLW_Io-}1;NIPQ^SVp40q*Sp?stFdH~sq0d|(#+ z)8xP9o2`i1?oSjiTV{R_V06Q9nfdL4zW~y@eoDagL*I0bcec(NYrKzAW)+~>WeQP} zYeSo}fsOqyhEplxDbzXpH^IHh0&963Z-bgzN>>@Dh!fZu$W26-yQ?TzhF~31D1n}& zu0*J@Y+hWW0&(Rx?E!SP54eHikqDx}S|NiN3{I9=rF}6gP6LO3Ac^}w9JQG`L zQ!WfqZLH-)V{DlO#AtXtum*cBwoC*m80J*6M`J~7*|Y~uB_lf18mt?}*s?uzDd)_R zX?5r#w$!i|helLehIi!%=x*0&X%(sDlzR0;m$79QdmRo3l9b}dE@MlbIm0M)tir22 zX^TS9s|@!7Q7EX_fIPFRDzCmn6T-5{8?Qh-RyN}s3$O`8QZn;^geU|;E)*wS{wsg-Hv2GD7 zJD07WdFxeX>7P2F-}CSNFJJz>ADkmrEv8$zaD{cTM{{Et;(X{3*E2sixe0) z%O2t+Sd(0;CiQ6Bnv38Zqg0W{=N|~2*hu}ye5HD!cy%UO>?o}JCS9-x4^gJ|_xx3| zI+HNA<0BcIUa}F$PBs3Ykse2y=n?V*$}8?U$5loJoy4H@JS7@i#{neRcG3LtjDghWtg`r(G+ubdehRS@! zQnO6$ZY?AWcP)M0`1_R6ciFP(lm6}jAHJ}>?2e{ff4-xy^Bs*>>5jf$c}HK{9eq7_ zM_*sh-O)Iz-O)Jg;vJ2}U{l?~)n>Y*XGHwjF^1?O)9=+c3Mrz|3_$peg&zmMu%O>B zw2VQfofp;*h!(aYM^mfb%eFgy4Mgkl8}$95^Iqh%U{KKiWjpLIqW``>RQG{K;RB0k zesH`i5q)t}!*a(f+}mL}(a4X>@kbk%!}&&a;07Z4g&z;4`g(`x#~*RNF{cO3{3G7? zmO~g6`^&bU@bPeUj4g|hzCRY99|qH2TF z{u1pee|6LK=l4+P`u~M*{LpXub(b&D9I5&9Rt7?A95rt#5qM)-f->otHLdZ;N&cSg z&_R7~m)iK#Ti`m<0m_C@J4$_v7_BJof*aDGH$!Ca$X{XKB8CrChI}k%Lv8c}4ID|v zx=KcW-VE{7)MkqgVhi<(;?J8Un4*|+2`s@Ml0To`B6Bl#8HQfSK|HFccqksKt=V_% zfn=}+L;7BYC7;V?*wqdK~HsJ15WaeSZr zM5pfc_jfXA@xr5Qt{|=uWJI1{4vE;@;VS-Wjl#O(GivH+s=R*@n)O> zMUzFLouFC>!I2py1Z%MaWDtw$;*!`wHE)ToZsB=hAXDMF$ae5tWImI7J{-SPcwTTN zi~VIg>@VUDVSfxPy2Nv>wIjsOGT-JtzAgOFx9a4}*AD`$zaiRE(&J|#^So6jZ>Ku> zg@5?NzVD}|fJBl#?){Uy4DhV$dU{nx(Y zxg{D-59vJM7YQlj&JFQM6E=9G<^kol3Pd8%bs*KChz6j8FIyvG33Pi(0TMb}G=-F~ z6oIZA5c|nFV#;)F7=doKbE5#d;Q$o^9D1sALmp;^h%yL3h%hP`SdeQZJ}}F!VeOEV znL(-@Zn=n^8L(UnZ)Q->6x59ZM@KWkyAU?|J5#Uf#pCvr{nX#M&wS6urf+bA`Uaw4 z06|T;5!-u^JCICJCd)`g$a3yQ&U|CXqzN#V)wm}bL0Jc=6bZU(`av7IUVrw3cfWKU zbeypD+Etc#D&BhK`kilkmyaiylz6%XUH{RCz3rW!_aT`bqXLSSO;2))h#oOn_MCLD zplcF*1!%G_5{0)TWPyQ&QAAeIH5sDvXo|c_NsWeruD0Tif+k2v4Grq=)rx|ywqmnO z=n4Wtt??`9nhZ~K(!GMNwxV{i0N9UBlFdtB2vXmIuC^k?|AcP?=R;_w@xw^eU|(e4 z(*WNO`bJac+m}?5tE~xf1Ic_3t-2q@nok0H zffd9X3mop+QVJ01J_D6U^tI_LgZ@*kDHIvA>}>4B2_veN9_BZzWRkNb4ytbTsYykz zU>R=fs}qQ3LJz{4LU@Wl6|KCdZ$4{`opx4E3TuYP4v0k3JdIBTI$Qapy8Nedz4TML zei(l$*Y;Dnwx0@VJbq)!EqiT4tsmf3{HZvZC)ut4so*q5KNZq>(!p&#+x9a`Kb1K? zPfgDex=pOA$UW-0ml2-^1eQyx66CMo&dq!r@@Wb_2n@=q2)8}E1B!-(bR>XWHTT{E zx($M&aKg&r2q(TXqMvAIXBj6SG=eF-JbS2*e`=+4hDBUKWj{I_cxe=cR ze4LB;G~l#E0ulK%MbDiOWCDRG5oR(Urz0oEKR@U)C|FUrp7l{gosPEBA+Z~2)6|}IQi7OBnIw><}=3(5gcK@1_2Hn zWo_JZLy17LB_cUV8RF4B`q%|OAF?rYDap@2Br;K0Gce8}>eli!@p<+`;-3V|cy*u? zM_Lxw#Dyw8`6{=HF37_oyBK|alA^@|4w3wySS1e;JVZPAS2?s8c~<6EWv|LjpByO# z@dG;E9Sv1%JfP{QgS}Q0M_*q-XI^MIXB!-Duk1d7!vt1LIG}pH)xFSc`VO&Q`gW;&(OJ!p}3|Vr)n5-G+o^$ zWEr_>jlgmVsEZ>QKyf3my!7rDbDd>8iTMqKbX$q-NtW1t;_!EW<(ECcRobit zxW?gMKNf3l1~!q-R-B!IO{B|)JNAG8z>wfd6Fk#fh=hrBW{Bb3+66vkNTf5vG@lYH zB8^@)Bs~9|B;vpxMPnUXYR^yAW`LVloSUjG&o#3QZ7AcBTt&l5i^(OzPzA4aq$yW4 zte{O=A-)6FYaJ#Xj#VH=E_U?!0o?KOlD5;Bz8Zb zdL?ebPSsw0E+%(1lUDA0&OEjK3ui~*lUPu>3JPS<^i#kXFS1Oq_Ah`HR-lr2%$Fe>iQ7s z#>uPeF3}d{uD?W=&}+Ww2fpgff7G#GQx1mxDFJawa(gDjOmMLkXEV$(xs55`Y?VN| z95NV0>X0-C-DKN?D)!k)#YC({}9Ctcvt9WIzo=XE;&nbIMt9U${Q?7xu!dcmjQFQ%!%6{r^+%K?K4La?A1kW3L^|G9{xP$>w z>G$Ot@HU+*l{WJYR%BD(z`=ET!Yuee8^GQU!2YK1{I%vy4zQZ(sM{b{cZc+Q@Kya0_cboOt8iI1zgY7Z#;e@i3Zf*g6M zt%^oS?&6TTve#CN&9zbIx^(6ib*_Kn-gwlx?hKZ)*7VaIf<%BKTQE4(sWcQ&OooHbwuB*ANXqVUdbNO} zt$5r~kQ{`N!|7DAt2N`C&(Q{Qow|KeTlEzM6w``{fsPfAkUFT&Q9v;no-ocsDfOTr z)dX~*ahl;=n}}qb?IfXJiexqc`3RZu>exk7$Iziom7jY6+SfdJ2`}=Rop9Q*W}^+8 zk<%_2=WK~-*r`!upK{aw6~zt(mYsPWar9;YTP$A3MSnnGUR8bO`ByPqm7Nl^-LYT5 zzUH$}v#xw6c_n)e8h6XuwHl=!Fjbtznikb6VX5NH6fj%P+1vyBA8tZDMr0sIDWs4! zsam9vLAnY_>MiH&0rP!)ThK|mJm;M5?uB@3L;v;Aa`Wh~&(MWSw4wj{OLPhPAODR{ z``FJ}LjMlIBR~sSJ<;44(ZBCbt#(%(cS$7L@g<`FsfXgZq>|eqDciYWM1Qntk$H_) zZ*(r!SS=xOg5VCbMI<>52pqM573jY^Kx>)^-0nyHXA%8}f!=`taGx~w{2U|t11blp z4O{G7;4gE|X+KCWh#(TX`GCX)s-iY56dUs>8c1`?!7&x3bdMJC*I-o;?$KvZI|kN@ z3WA!}Y*`_vodo}v6Cs7G{^KrV3HE$Z2e+k9vk$KZN-`F;Vs`N!=W^oO}$C0nc%e+#A-XQl4D8`o_ zM;qjZF))u$Md4Gw?727p(>G-m1uY3!z@4j;8uhX@>42%1Wv!KvYldK9aSWFXBS~2{b^(3q>Hj(7g4eSV7t+ zahhQADA{WW7Nq<&U&Su!h>!K_DNLODTf)g2A9H95M+vk%zaH=0xucnXj=II2h_X3&D&SKgNb_IXW^B=9Ta(a~mCSgyO@uI+xi zw)>59JLI;S>iAD@*!_0>X!qN7zTfcbmhQKietyv3N;;Y(@`GbGqSI9kVS6-`UDObU z+zI^q+>k~--X;7u^wXE~LEs7%j^WaL5NUu5$46J#B`VF*Z|9B~R`ugUrMhsewTC_&pV$zNU(qpl94}&3ru+D|Fg?UJ(e1CpL9E*!vqj9f!!QpS zHIi#kI+!H;$PhXRw2q=Awe|9Ez5H7*|ARPYu$s;B-cR_?fBDab)RQ!{)ohGmZBrkn zY0;A)8)Gc$DUoeznCngrWyhvz8wDHV$i4#_9$M&yo@Zms_7fItjJbZo$i_&jPdN01 z`lqCxtov`S@lXp-$#S;yP*YE~W`ofXk|0Cdy?TVs8PUR1vP|k|EM{Fy8li`ie4^wL z`8bK%^%YzALh-%opplrNL8lFca~SW!kK(k^1jX6uDx(%skWiH zmpOA11vs{gtDl*a_pu3A?{#FU^cG%7foz4L^;L(PFAS}^L|b8K{Uy4jF!WFU*B}3s z0{GVa1KQAg88BF_f{RLlaczVNFhtViv|MXQxNW^EX@G==3Op zr66t?9VOcrYV%Y8?VAGCkGm1E!v3uh(F1uZ{aliW5v8Jl{o+jL===4OLekB=VSgxp zyl^&JUn3%Y1VJ(+Xihh^LkAQzUvnO z)1^McxYLr5N<)$B<4f$AfBy?zn%wstzOU&1<$YyLg-;nN=2Se!5f&f!X}|kl+qxan zQ%A#EBPVX%4qLaw+tWzpp-6Ajf0-W+xph0-g}`&l&x-6C9mTqeJ zB?XkDgbeKkv4r$cb&@5NV+NiA^xj5chczRVpM=OSP~PlRPS7kD0Xk>YSLNIlohuDK zhhs%|2-piaAN9Y`>nMK?RphB;y*UC?|4-RZ{f+yEFxxQM{@=J`Z(+w|Q9)Rk40Ai& zv2_pr4V%|L_&01m)k=QbL-NbZ}vX4H3l)WZsuyJ1HU*E@D=mQ=6Dr*_FyJH&vZrK0) zbAb+Sv*)S60JS0n(UIRK;kG@T+d*c?kdD^FQ>iQi9UQagk7VKDA|El%wYFrq?Q5Eg z()7~4UmQI+Tvw=nU@7`hhpw_*?aVwV`rPdvi2uv|fX4Q8KfI5(xNlkWN1C~+V^p1L zcR&YyLDj1}?(3d-Ot5JRE+PyHZk}vAH&1)$!vUq`Ja7wPQ0~v|uz%HJkEU|`VB-GL zbL!m0y2T!SYmrOYpvAu6Hlk;~JU+Mm`1r!Y=CjX82YzM~Vhha2+_jEPe4BX_JjSLE zuH$`tx#-V#Uy|yTIJj{Y`F_~k+T4SKC^Kwr3~cE;&am1j4nTe$_;oM5^58`doWs_u zFJHg(cA1pxe7$U$k5L#3$ByZMd#kSs{kNP_%qj)8d<+1l?D7eZGZRdBW%8hHAf-P# z#<^WnT0?i`C%ZR0A()Cy`E>AQ-@&W$QBOVf)Hl5PfBoFXImmQ}Sg4q-k;Fp9#yNNc zaSq05p^bBJ3lr-d&I@QL3E=T@ZyXy(hl1}NO+V*7?{O;uGQ*FEV-8N|vCfgMJh5qv z5NqY83rRiWSaGJ)`^wqqz5+CP-&v5kV|{V!N>3Z-V14Q763)R(f9fxO{YQLIR&G3# z8oKeG__pa)v8FxYRTbmM)X;46d`u0^HqRGzjk#9$kOXf(!V4$_VsAwhjfDJ30Lh%&4hk{}RZ9>|+S3I=WVBg>Ek zK{NzqL>|38_Pdc~EIXZ08WKVz8HeOX6p591eY(@36OJXA5oz#5IL~;2x&{>|Nx|$o zOcSowEUr+ViaezU5HHcZ9zeWCvw8p`)@R>5+a-mE3dx|ZtfZ|@6^-P7qy)9X;SsyU zlzfPy7PmpRl>d|$g5ip?l|+H$^$f8?-oI_?rQuHf|GoS5*kBM37uXJn>!VH|=@DH_ zLP(Y%G&q)7gZr9g2}ux1N`xc^42mR#YN=Id(HLqI$KRuNh5{&=a0jLFt;d4mm?n8z&6wK^AeCXr1``S?2F_R%F6T)vm#|7FB%M}@~r}gpJJ+eOntr=~%PYsOe z(2LP+LMg+b3Zm@K_5ah*#GS9Fy9Ip*e^oCUx7}*~7553f2xA25ucEXLiJc*CtinbW z3i3D)kJlp1lVnZ^Q)S!3yjn(x)W@O=;I?&yx~nL^PZ^;i%GPSRm-=PfPoLQu-;%E; zSJq`J`VEN0k38dfGE0b&x#&JBqy*|2H$nhM?@&?GMup9Ws#TX(6^-wdIw z&Wtq=P0%V2O<&XuPt6|ljU)|?%z3pUpDboLU_7$S@xd@AnYk0^`;wRkQ#o|_I6s>^>N zVJ+K1!!`miUpw^D5=rXn4>KuhxAa%_@^Ra(=3jB2FkR8onfCuS(D*I4pRG4hy@Z3~n!cJb%x(H8=H3+1)l2>4cebbWlBK0>OYd$vLgLwvsiT7md81?VZ$ zQFo>uFU_QpjkBYaeLXp9U#R%`n>^b9_9?gzI=>qs?@kc%(ha)-`rQTeb(d%Z^xFaS zPy47}{<7CR%-4%?9?+Ws+HnyHRL?J6LrBUYIwg$^e6p5$ehwKrO4$q{I=`2ZGHC8R zC_5{?RRMZ?*kd7t&`4c3s&U|k5zxCmTK>fF2-U&r&=JrPH&ZPLD;~i!tPBf4M_z z3qao;pqROI_!`0MK;aZZD7~U_B5s(0P}oDRH5;O#pU$tvC08527DVMt#p0>w(xCQ#(>Pe zxQTs{+`PQbkbWnd1F|_Fv9V))(*_-%H}W`vAO531_e*bb2Sn35=76MR&y%b(%$5&g zonf|o5bF%H{HK{4`Q7G2;0J&0w*7C*C>C_Hf~$;G#sf>%=`#FwX$mRMy@kt z58{F%gTQn48>T#g;58`5s}#fa*m01(&0CoIzgqt6X7UoOtWf{Il^M1&gST{tPWSbQ zLpt62eVbrEi zrM%H6(^4?8G-L#mc0(*65kCw&G`UoULTgKh?oW-j;Pq&StA_{BDab1#5h7Z?{SmE) z)rxcq%D=NKAnPWc{rYs zwSi@`@5=9IF)}CYfl5AwX!bWTNg_p2*AdL&pShXF zQV0b7yRIMn-e3Ii-}bEzpsn<58i4B7{^=g|U4Rqv*6j{sV~LCDEg*!{>18+8@zDb+ zhL|0r0O5v`0!)T&*XjIOj*M4cxEo5^I2&T5j6lwy+4^{BN$Z;-;%{k>9!^8AknAf; zT0a?5hZu(VFx_4f(Q!orXxa^3^APQqEcg!M{ne+Iw7wmBI3(^mcBv1oX??Toq%662f1@ z=lXvE+Z^`F)*Qd?Y^0j;{oyf{-s>aTd0w|?%IwwJv>#X9@vVtp!-TKEaX>3U-+*?2 z&jPJR@Y+0Pctw@G@FxJT7iH*izOjqoHst*S#g5+|oNgq{_vrCLHXe-~a=)%`_f9wQ znWybWyuSk!yLFdni+$I7SC>fSe9=39{r~=HZ|TyDQA0N(**%J>K)dE3in$T(3h2h1 zJH^kT1G~M%_z2xSWFJH?Wf)M>tqcq32CrO0+6h>U(2baZ&Hy4+47#rm9ie+@=>stS zMEG4FIzo4gfjBrvU}C#JvV`oPdJz)^{niDl5vCl05)jINiHzoNW~^HqoyzG<4w;t& zbXF9u4k4Qr3>y5||A4_=*={94Yec~+W~*Tnlhg|(R%rnUF`{gTpstQ_1(f3P|H0{*U-lSPb28BGgOTaCa{xg8RVK_r=4jy^gwDA(b88W_M2hir=uad0m4=*68p_CD}uHtLf3|o z_0^ts2LK@W>5a0q=xK*?2xe)`vhecLr?o3D7sa7??RO~-M$-& z-dz-3cZoI>y&V+&>fiInzIx+MXL*>2q8oSm<}_M}mjb>af;Pz4|EC+GTuHnFVD5DN z<>a#F+>eT-lAYG+aXs@UpQ>a*W;=4Ai}aqw3Nc;V_p$U0dI&AE1OfmadhQ4HI7=# zDmukmYqa$)NvnU>C;r_Z?LD;CJjW=l-b0a1vgJ$M=|0U-^^$AKoFE2|Y4ri&uNIn* zEhx`rewh!Ev>U3ydlY$@G+yFPLt#wH!pq!gjQEfh!JV%2?{o_6&=~$gG8qm%VHnfu zP(e$qvnB2{O4sZrN4gpsYvr-mhSnCsPW>bnqDr(eSsR^Q#Qdfg@3!s^=ztAFovU;pycj-cw!jFQvqrB6X|mYnmA zNuL_kc}e=TYpB1*yzjBj`#%3%OirWsNU2)waV!8D!|Gu_Q1}~)rpPGQ3G|qphR8Q^ z(votv;a|xuTow-#5K;p2XtLEbV3nz72d%ZQ1l)?+Z9I;GVwHHVmev){q@KChJZ~94 zWt0k>!2||XK?9aHnh?f~#=u8)^2-FJRFd1NlvIhEuinvdZ#sjPH+W<(I_GLaGm^Bg}b^J0+?Pyk)+9GLpEeqFl;bUKiACbguP} z269gjghuOi4e30c&Gdp;Zt+r-4KX{R@MYe<=APuyyWALKE zo?v2b-jS^flBf=vqy8F!(mToL-SnWY4uyMx8H`0;SGEHn^XD5j`6CS}R8f4|Qm8Lq zKag?J_|b2i-Itx$8sw&h$jr>;J&rwqp7aZ^Jb00`*kH3>lA3{c%TP6q|7^ z^tW&5l4zMwEx_#4jbt-L%kp}P4(#!&VDY02OU$RxhH*xdN>$ZC`>|$K3Z5<5I}V;1 zeil3+X~2o$vmlT++>ErM5Kdnbe~xOTm+B!uYQv6bV|l*dMgRDtT;r4o>OL-R{=#UK6Oe&%1@#4RSI01`d|2}kNn&Zc@l#G(=cC1G^RPi7RZ$` z@oW}6#>A(FouTZRfu3X?Jja+AJvcj=Owbsc4;OKEEGeM6&6yR9v+GW!#W-7{=QDjp^4>%?oY-9d ztK#0p;Rq6v&(x|N|Gn|nj#(Y+k_OxqZKK{`oiET`Mm*@jrv}q8+UD&T+Kfig@+NN) z)8U)E#c6lOff-X-w^TXu;9GG0()Byv_QKoW^&q=_GHddls$kgH^UBzYDTt4H>Zzwb z^NYUuQ$Nw&0-djIL@b+1-<=vv1O&ed19=i{D!rA6SY`+@WDM7#Az)8c8QqY$V}?B@ z4+w|@qcQNFiETHO9Hou9KkTs(ffV(0?T~dtx&^jkx2Lo-4UakkDXu8bVOtTJm0)bq zaF3jMd1$%?c|{#x$WIL%tqkcFm?4tykbxBHG}zXap|}NRc{<9pL2#$LgJj?1N$O-H zJInnE(whKgh+rQzp`RAPSFY`=$r1x8*p-a5Z@WXWp!_^KATC+1qUG$}kHRA<@pbXsd=ndH&q?{o#-moAUKIJr0QrkT5TwM4>;YGf2JBYDGST%n*ZO`C)Kq5X3UQQ3GER6Fntbwn!kUqJ4=J$*7YASEaOmsLBR++#*};<#@1sS+V`LdG zPx2|SW_KE&Cw+>%<}l#9f$sy~11DU4qIiM2rcq6^4()LD*D3wHwkA9{jJV>OdyI;# z*Nm44%?9-5&RE84)E(iR$;CWC5T;ra;Ow8M9Zoy(ea1KYCBBb%7H1iUugeV*7i5m# zpuS5gt;j~<+Sozue>ugKj*zZq?vJNtk|Sh^mT}^x_G{En369W+mRCC>(Dl5?5rLjc z9~~JuA_Yz2Ab~@0WJ=GY*@@(R)@Om=(!ewEvC%Ln92wbmN2U=S(%=Z4uJALC`}08? z969U|Js0bB6;GR>jd#J+rdUF{6uP-%=R@2 za9F+LmDgUmddJJJy!hISuc)4QUfA+Dggbk_EL@@u8E*#}-}Kfm|DWHxRHGR7N5pmT ziibUPIt5HTWi4GgDGT1VeJ4>HlJNiwqK+HJBsfaqC#~T(ht#p{hA{`;BUqMf`3aLN z6&c--$e6ZJj^8s3WHIA_{Cat4NoA&U>`r*0h8Rg%8A_;OALg(_ zy_kXMx-u+k6fOJ#d_OJ(6ThFLMseDq%0o*O7RGfCNiF+n>TIpW8b#{)XEkGd8D+Ai zeVHjo0ZS%^>Q5A}&j}N@wVHvsnIp@1fuLxE)C4~}+=u$!P<^oUiIP4$&vQuA!t9#g zq^F_bw3jg+-c(6LWACV9UOP2<=+LxK#Qm~=rcdZPYH3Lwa$ceUcAkqo>^F1=ytbK?JqFYa65=XD4aH2&Szb`Liwu6QvLK6v=B?9{^_&M4auavnTPGqLbu)I~oLTElDwZ;;0vSNMIo zbmRY;BYxNFoF&U1R202)dS1uMQj);Q z5=0XWZ$TO3bZEpmE7la}%#N<49o)9Z{phw;0*M{{I3%jc4xOC!(Zh{<1qj;1iA3?g zX}ZxT3U}^R+YLNVTK3g>UVi&r*SF90?Co=Hx6k#u+vj@K?So@3-ac4q>@AIMpSPVa zfxsCpg@?qE3Vx7qAEM(Vo|QWuAg{s^2|K{`DoO~l9UQ01@uI8oSRF5s#P{>3<8?_1 zN4)p&ajJ@rR%qhaAwN*B=%5V3;L;s8lyC|zjTBMB7cSd=z~;!hiSLgJ4S$^OxWb_L z{$)Gt-(B%Xl8@h@4pV&oMjrFwgt;B|my%Q1zrAV-$F-5Cf7qYfzQ0tsd=s}0uy=-Wd zM@!$Fv&#!kF>C4QikbrV)(!Y`qhp-gHBX)6t}5EVd{#bWNsz&0v-n;y%xoqPCcD|E zEvKXLM9<4a?DV5amMmN5g+iC=PRHlT2UvYo=zy-dD${oPE1LRhxl2;5;1{cxXx&wz zb<+LSU3rN#%a{-OzIRo~-~F23^z<)10U<>&IG7|NkL8f^PN{kbSC{Z^yo(NAq*7@5 z!-yHfCsa0Un84zShdo#ojEa3lK8nl`F0ra?bi4kjxiK?bs5ufFsKly*pu4#zBUHw+HViy(e{&U@bDR-3c~)u$$lZ%0C$sO(6bIZCUb@go%^I1{yud5g3PxR=6O1gBw?vIx;Bgy+F-l0?n%DD=h{8;9T& zR7D9+kuc`7p*nO-tF-9nkd8}?eiOamxMEDJw1}Y9aAL)nRvC6I5g|kvFeFZ?44%;m z$pbv5S{)6Cy3W2fjL8G!g^^E^kByF%x#R(;q%tcOEHF$Ds6V%|0yHInY8#{>3EP+- zfYzV#;>6?uzDxOv5W^gXaq1#oo)+RyNOCYGpj|}q%hXA1>FDhVSp%`m(+&JfLWGNl zxSeNr;+S0a)7U)SU|C$D{SNm8kDgkS{OF7Rg`j%f5}RF$2_}iU0p+|sf&JXBB0hoq zX*El8!i{(sNhNyF%J+~%wD_aE=+1Z@zVxF1v6Xi2MrlWX01jP~0LQ54NdO#=namN{wx)Ym*(vZJv%H{%-h-R28B4d1m$#`YTgdITC|7& zii@JVpCf$`J4eV+_mLViGk1WQ@pr}QKGg)Z)iNH_4pgMY*3^$-3Vr(LYOL>o4*zeJbh z2R@?xiqCv4P$HQ+-Nwf zM#Yr`^n=XM^@^)1JY}Fg91-e@;qg#Hjv`1L% zP&ZaYo~WHiSez}L$GSZvrJ&6WpzYhfVMk{C)J5GM%_3?Exgn&)E6YIoM`?YJ-d6$d zx@lt(lLcz0YS#r8r#$u)#a-gXoCcSzsD$9rrMv}D*Z*S=qTAR(r~Y@V`B&U`UQn?b z9!#K{2ilr-4B&kd^mPK-Q|=kqXkM!V{1?B<#;^-(S%!coNc07?54SFp$t? zJM541MVTafA|&w_B=!YCNFj9ia_Wb88eHTe12WU4bz~0jc56ECiLV^ldpr^9TYvA*{QT!Q6f2+6Qsl;& zJ{_oFpvr%#Q{On#+L>sEpmhUS$-+jxyO!RQpR-CYg3Wd8up$hhrl9)7zG5VRyYSuw zQ=Cw2hekN5!vQ-;In*oiF=~cE#*mm?GQ}lnmd>%mlS(2~(!>}=M@l&#thOSaQINF; zY@(A9W&YAopg3CwmbyT3TvEcgY`O37DP+wKy2o+NMJOh45gb8Nukla*96(sM6P7+q zx_h=ey4$7zQebzo9xd-cVL<*;JrGj5x z07a>9oV5Rl%^ij(L`122t~6F9HiN-c8AizMIA)g^1%L#amn%ld&9Q2?JEUTBx&^_- zijWHohnTahc&yxlRj6msg^X~1IYFC_@WaQ27P--~Hunv1 zH*V0{vcea|zT$_4*i-Tz9(zincLTevMavo>w-&7%Y0>(MpZc-?=es?=)N-_eol&s* z9&}z2-$OoC!^ZR$@Ifk|iDom))shNmGMt?xBo$Cw(L~r!;5jLL`k^J&n+%h#9q0ax zM-EA<*H)aHBqXU`TXAlZkRKytkg`A0O2l(c1 zIBd!<)zMt^`Y%WG^vmBu*SjmFyY3Qgq3i90uK(q?|HOkozD%ed*u%uZck|=^lDG#A ziT{ir9eYbOA2_E4h7)rEBbxWn6T+bdq(_qmBbsAAowiS%+EbblKr4QpSp(GVwA%$M^6*BzT)ddb4(4AXxIw3@%t&HbcgP!lZH?X==lDvg|SH2K9H6pBJQbPYn_PYN>Ry;ymN^f%+UTB-d22zrr$JpwtKlON{6# z&vR8KKw$b3dYIz6`WKGq{OhtWAy#8)K>2fUC$d+=_UOFPhmGsG4r*Pl$R2Q!kR-6; zlKqcPt%{3lV0{U&Q_!|3G2f+`e+#VYjYj>#^?NoN z^``T(hDUHo#{BR7;9I`*54+o;6E7@@V8dH5!41>+2*4*|J84eot&~JCLzJc=`~^ek z>8EV88`AB_hSvIo5qbbP`X_EkSTn;8!*8U~d1|ZG{5k4>6e;49MYFhlUpFtkq$F}S!|QIezCa<_v9jeI}5 zRL=G##qBUll*KtO2ksX9G9}anOC}M_5*J4WZcxt$8Lj*(#qBUlc!HdYhYz%4n|Wm! zFV6rGS#kE-{UCZh@$!hyOI{Oo3N0&OBBMHScAksQ1X1^4PE5$wh;A-_O}PnqT~W^Y zH>;-0>zJKzXTviG=1Yiik!-N^ha4uQCggP}-usHOf0l~X)hsFDR>_MnuVErRQS;U| zwOH|0Js%^Jh(kVtzvZwgfr6(eL_9VS-T3w?v}$1_fK$+e@p|4{y1lnd#@)?ith+>8 zCgXN88L$4>PkiLBdR=0=2!HcKhG%C7)1^ptaY&=g%ns(m#|-l%8PxYjlrlbs%&>1U z$cA9u0bvyc(_2*$es&sh1CqLqe;noi zyQ3h}f-bLPq}zEz|GSI+>n_oT{!8%!FYL4JE3G8d)IeJ zs+8Z6Boyou&5s!@E)cRZsp5g1>NVpPIt@pax<|DKjgRr@7bYpP-!aypJLi7L-yai` zLXMTZ5It^e6*%ka)KW4t>58gNPTSt*oQjIgfU3#k9Zs`N1$1BKF%j?BfUrr&DmwU= zKiR9Xc_Fvsg?#R>|J3Jy&teW@e_)OsjR1SNAp#4@cT8msi(LoE(hKc^^K7+R;f91c zGfW7N`UlihYr~j>fPjm#DLUv#)rK(#;oW@0F8K_8=$L~@BuaG3br=>`eHeNn`5ckl z*Zx2>_0RR*osK7J%(7Vluvx8GZmb|0d=`>hPt#eKkGFgUOO2Zv!JM_$IE5LY!M6~rI)q{8bYN2c3# z0V}q?zV%qK_4S>(ncg>B>A&Q6{@^$NvctJb|C-pFiH)Os025JATpxBeyEna+;M@#T z_JQ&@NLvFiT$Nn=`pgh5_sV+!QxOh8X-GIX!wxbz2t^UzqUF9ee67*M3BKefQQS$5F9fx83! znSGI>o8{>U&Le@Jn(-q`!ns>w>g`!e!g;ntl0?5E^#0TBh*w8S3TD}M9TP89 zmp@5^iCZoLzu@-Hse+k!Aa9(hDntzCYe(M%_VS;m2Ck3cZ84NLjeg*}=J%w(Q4UY4 zVtuT9JYA;XDprfhChY_EPcRR*g2G)WD5RVD%;S3N=`V+WuA#r(IQFl*L|Z7o-aWg7 zq4*s?`qzF-XmeC6($G0#v|GWZLLc{Bw8f>tEvMO}}nY~=cD z3A-XOZ~b=A2AWUI?;Erk0DHTxLHR$Xr%Qz%^i6gGs2x6ina6(qxkwI#s5|=hc#i#X zsOg4PVt%hBa){)Pa{aF}G4H2|CdT^n2tB|qW1i95p@pIRE*InTfcpZtIX*98c+B<_ z>*aF0IGp+vL}EVg3%da$_h zg!{TnwBh;sOLR%X{XhM+fBepGS;X`8y7fm8y%?hJ1{nb!@v7j??RQ71p`$-vYRSzM~K|HQ-5T_op@eocWsTYBpMv+;KI2KSv8^VxFkH=k>VgBHI4I`9_#&ZGX`)(&SEP z>{8<0@z^sGkA{&ZhdyE=H&$%MRK7@)>rb6>{-AR#5=L>wf+mNeyE4>4mqTu&Ja$Es z+k>A5JnoU zcbsD*e>g{eb3hie$;x_pH0`VB-+W(xrK_B+swcYJeuDP4W!3LORz2OTXCA*B?QNsI zz4Y!E(M9;eD-S4^KaI!NFP^|%0x+k3!<=g?dhMq7z3W*1=U;i}3-53&*ZHZ2<$0Rw z0nBruyrWxPAt=3-DDP$nO10L%p|qPw)ow^EH^Ved6#ztrx`3J+3ZZ7$Lp~1;`PRY4 z@vO={b3kV&xrn<2GXhtd9w#rS z$!rFN_P@Yg0$X{l_3-O6akb;*)T?|N=XmCF1im@7cl9?e7RuNYfV+)yy-YYq3NC@h zCO=Q3vE2vB4Gr{{3)iIkcLUtJ%S>B$iMF)&`uxTvY45N5Bfsq*f1LxaCYwggv_nTt z*yY)AvqR>Jv^T_KG^Gs0t+To@?L9klE>5NV%od!$TMR;nU!K- zUQEr$GByU~F}Fa&J`D-*{CJu6*8Vm&g6~Gz{*sx7(dkNyLwjFMixc6RoFMNHnl)%K zSfKnWFM(!(<;*vet!H#c6%6|(t*(axyt(t1{w~Dr z;FNTgmOgZuf;b*?Epc2sw<}N%o8lQeM*sipy$jH7TUH))O#&s|O-xD&iAX#kk;2O+ zd+qhwJ4iusn*_)WQs-VovFzjR`{+L1^uCAN*G@x1Bxrav2~=a=f~jgSA)tUjOhLeC zG(HF^grt>MfCLqzAd-|JLK6JGG3JQHd+l@n|D6Axp7+$Pz30EinsdGO`o{Ac z$R0U`v`QEga>g#S%#pvt7=&$>d&-!dem}Mn^%ESBc9Uf+5#C3G%0Jf=Ka3J{V?%YaK-I zG!By>G1>$}Aerz7LNr0tBuI=lK_~_6eFMQ3=vD|4qfM~yckEMx5-((Bmx9D-6U3>) zQ_dOTGboo9j5bNM7y^?FBw_0d!J0ptycW#r%fQkX7Hq$#(;R(EP}Z)| z2Pc_T>Vcj=)U0)(SFV%vw+Ei^P_qU?pZq?a#eKol6CCsk#DUwT3*0ZE+1y^z*FTe8QyIy?dR-WhW z{onS(t(N=EwcNvt>C7#vTEX>b1@GP6{_L8t2DW-8+vGK6HDL|79Bt>Gt{p>B;u47vgGFB24Uc|M`M6rLYyAMmTdwH zNq>Wec7TfqeurT$ijdu*ScfoI-|oXAq0=#<9Xo3fn4S)FEKRBVsG37xAe{SLn;hxG z63+-!#JHY3P9!2`XLQz=5%rvs%(ir7P%sKRrAXuu%g|4Cc%ZqSI4g8c5ZcP*HC27!Qmw!h@DxF$Uur~TWlxC~Q^-*MAodkRZHAX{I?ZhvVB?AAYQ5Uce2v!KKs zf6H;&9~UeeN)RN5HPC?vuFV?Oc=>oo+%=ke_R@=Vno1g)@K7 zX{wsw_xR@eeed7@^`H20DfK|TNu~w`-_nz>g|G%v=SjiKmboZaE20%XtT7^YP5`k- z+@cIZ_^?K-phtqKrMGP$o3U`gS>Pm0oohsE041^xGwtuoP*rSwD7YA$ z1`!YAi|ICEHw^+p5oVyLHCbyn?FW=#YErrm+cE3KCR&-p6&WX7LG!gN+>Ru+X7sqE z-PzoN>NiIVf;V+2AcHd1fU+ zFHgip40lbSlIw4QXiiboir?JU^{D{sJjyiT-}=sn-xa;O4&XXicZ;gi|9YJMf8+bV z;~(5jn5p)YVWwGA7j&6j;E3_I=^{srPuE*)_3ACth;Yc6li0aWuJeZJqEYVc(qX^H zL}Eiut>VlqZQH$M+|L@tG&Sr(A_0(v2J;4Nmacb2eO?V#?NeZPWgqxCuywKbxy`e- zsjhjhziXOKQT=31n&EHx33#h1@;4zxK0NGaqPP`v*T2wfeff!pX&Q~8o^aD^(tcw6 zl=pn$8=iOqI>yeQO6F~tF_4$Tlo{IKu1_xCJ!2+I6LW3peKTtD+5!E@ z)Syi>iZ3tBcmTr;veZ!n4;xs0)=5x|6Fcj+MO_^P_h3-dWVIxyHEBUIHEikHKt<~$ z(+!}Z)8R_v0$E-C-cG>M@4}9}Fia=J>SGJrirz^#r@uSM&)1%AdxR|15p9yI?CCrA zf<=ul({3h&N^A(I%x~&##y-JI^R-awILqh>x?i1d_my61WmpDZ*5Mpwmrbv3W`x!xd!7TigE z2HS0DyO>r-L)rN2+l+AO;JJq64jdHaoV2pTjvF*ABG)0xRu6dXl#=eb-hk;4KPE`x zIE!Au&psm*@iu2X_rn%!PvxBc9vx7*B?YN_a6;tAx$d`ewBO3{)Nkb&e=GPFek;fL zTfw)Jzm=o?R*uaDy@xY# z6vQzQC`k^U-Edjpqm|G`+8=Qh;*ATu$TO52RM^2gnA(2Bhc7yq+T8{v!hU~wUflj1 zCy!E#z!S2o)BfG2%>qvlz|-#b=Q!<;3+9LIe$$R_x(=t4`O8}Q>89zr-KGN&r}f88 zmw5yskgYG{w0_rZI`3fXnoWnFSh{`?$oJ>i?LTZf6ko^nyLQuM=ie3QFJreqj@RG+ zuHST-gRztMpF82n1#^e<#-}>5r;WK2BLJo4?cT-^S2GR~^y>~TEOr|gw}(h&bXT6)YyPf${1Z<+@!5au7ryq-rj#KC z>(T}pmP`w$4N$S52Mn*iW;@ZYoWh1yh%MB5V#18Y;B*0K*n6hA;N^+Jom>~tl%b$r zfNN4z7S0G#jzYa~+FH`t4=5GV5LlQaB>UQoY_ouh85&gmM5%PT8cKw=tmma()u6?5 zuB&A>$f8*zem`5h-?C{1L9lB>Sy4Jl4VVPG1|dRLDp9B0O)VgQcS7@P%o+GQGHWHf zJp+<;bj~64s&=bq_owX-l7_TLY%X1&^2aIJru?~RJk7t&ce>D-QDv;N zW#z`QzD}3@J?MR>)xI*!2V>w&n69PYFsTgl;eK+0JRp(1*vB?G`yDhZ-s;m4COP-G2WlU7m#l`n3#x7H-kUb)kB-7TWZ@F-%* zPRlVJ=fuM_)sdWk8LG-_-LONb#q zIke!N6s_TKu4cJGe+WSL`I=?;VS?b9n&ti=4#{&h%RT(Lnqku#y+$~E=plr|crupl z3WOD6Oy6yirce~zjw~>qPZ#35%LLI@TM0CgJ3J|F7KOzm5BqV4vmx>0AlzVnZBbZE z67^E-9R|>zt8S*bYm(vSuzO~YcGrAGhfQ>^5@Aeo1UZ;gH$xi=ow=MO6_zNeG^5Cd z#zj?knUf^SM9E!4Uo}^6h3GS_epa~<{610LoT(Pj5u$1l)C|8JDn0Ip+k_h8*QZ z??`Gv16a<|Xw^%Zya_UPI9#YDaDP5rwM5dR((yCzIrFAK&&W?W_a;d;RyrNMJm#-} zTA{uZUS*Pd6^}EIaUSq8|2$3pue(xUm@E!pr1eSUWsNInhglJ;9ynB@2!k`3) zX>+D&cDu5}CK5V`j>rxkw`iV`FHNksr7tLxel2v^T+GOpm`6PE3(BOIx^~iqU~?{} zc}osalw8d7_BRM|qtJw{0TFs}C&es`b8bORN1X(bm#HMxu_(EiJ1#D2eNMsKj#L|+ zvuqN(R?mr=#7?6s+K=Sk41ufYAlDI65fvpcXwVFj+2{$#nVux zV-5*|D;E_{mrfkXcu8IlDV2^b%`n2%jKP#*h)W9>?d4vN@RO-t564Dw!!)6Fx2S4D z*P{u2%cuSL=lx9bdg#qCuZIE7YE9_Sj4d#Xnox;1nt^UJhFHP8$|NRHK(N$F8#JpL zDhP8RRN#Sj7$oWfl?h!@N(+pgMGmwy->sB6S`=)IrPJoQzNfU36vPe)F zBwJy?*{j1P9is$O;@XQ^3o~_1a+$J)Z&H&CW$aQ(hTuPNm7tGB#zM_bB;D$xB6k1zZ~pjSs@aK}JXlm|BH~)J6JLDc&I`}I z`kWfpu|R>)D5myfnVmROm{7A5uii^vvlC+&sAeaUbKGiBYfS!nV)DP^AOFA?y!Bj6 zeti>{1ABfjmk>YXGMCV&zXfst2=2F`z%c~W0JuITzu0oc$K?C{7MW~Zdfx?VfHb3z z$uH*pc`yB9f08UFzu2CHl?WCUUu-3kF|jhKLFzRhtd)qly6sZ{IwbuY6_pWDc^WEl zdWX8f!UUF0vvmWqCD6288}XFAA7=o$60x335@ari^RhFs*bVsubxwEG9pcw?aq(Xb zjMqNs)_<%z-Bay{)RNHY{_lP7-GBQtQ$c|GIxG#&+|RCI2q}s3X5_wVy$6&kRY21O z-k>lCkd(h@n=$eR!K)i8@RA@Q*93>2#N#AE0=p%%+kEG6Laqs-1fTq>WB}Opnhlm_ zB;=alVHiTg5VQr?WUwSi$TdMwHEPya-&s?xBuL0LL7YtJ8s4EyP}0kiV8$r`Gak*{ z$+-ZMs7d`l)8K4Q6kdS)5*h?fTPP#_c}qcnN#f@0rE?kP+$y=GTixFsu<4R|o%R2R zak9FKJ*TAbNc%;c3Y4R$ejB?0A-T*ms%%E!MxQjo358rAo>kLNue&8 z$_tbFA^OFox%D%8LS+=Fi~^A>@G8Q@waTz|RC&bBSbyLvfAvo`9}?N!6%Zakso1m1 zkf3=^sX$S?3Z79aV9-KWIImRfhmlV@uNAsJ;e+!90==R%vCR zN4v6#_TiNZkPniHo%+lS0TAu@umA|yK^CoR&%nA@D*9o^)4`lzgr86a(H^ro5#$6- zww(AFh!4{FWJE>Fib|$bpslNNG=Zc*%SzpxnNk6zM|HXFRF{(&tsN&WCeU4DX$+N6>{sN~2DXflg^Dj5?={ zl6ocIsEtkAvf?@Af5I3f9noB&p128op&#{MUzvzXK+g`%(#A{pj7YQS>9CQ%e-X_h zD+@h4H#m+isw`x6pl1gM^&*On+zCrQ;|KQtx;v*hK5M!;sVRaCr zAGg8iZ@{@s+rrGmoERCsHR~@U0gHyECH^p-9tiQ=BbuAi1YN8wQKuL<2}{nFW!4I7dVr-#$}vb!|b4xHAF(9 zLe}_EItKamsZF~58KQDS>Bbs8&A3?TAar>?UwB|oyq7FLyMo+$-{h5p+`3y--N);1 z(Io`GU;m$d(I@@l)uqs{CHM`HgcM!e{*sH0AZJPEi@d!)g&w_hPG|4?6#B*NeZJ#t z>Ba1QzPzH~QucmEYqyxbpQX@yI^3b;RL%%~L)%AO%AKVhh695JlF`~NC-74Tu`{}& z1b)Kuy?ORK+4Nw!{0WN%{*maXpAXr=~m*pZbEo~Oir3&P(^_Ik~>ebvp!Nmo#SyPxqIuopZeea>xBKPh)oRK5lQ-DJZ{+pCpR850~c9P zl1;ZqcbQVq8@QoS9yqKIBNFxU8NGpv1EQ6Q{FVL?a@vEk2xRjH?yzSfn+R@^+Lq7g z4cx=nY4-WP*T%ZZZq>BAFh6qSq(vW=?$G?Mn333Tg5#k@8ar+4b|+M^en!E5lSGpt z06A^ufh@TBK??Rq$#z6no>P+E`!KY-QLhks3u`)Cn8dK(=ERb`jmTvJ-!PNZ(s8b} z*v$Y3q6>PjBe*v^Td>&|<;fp(j^l#qE@F#LYB`v|Y0uS?UXZ@&EN8jwXTsgJQ2sJq zV3E$2su47U%dtE>#udwNE|#ymMb(bK9y|W6zh(2U|G|Xiz4Y2PEY}e%1n|=z_K>2(zr8;)Q;(ar~zd_uM8b_Gq)>_;ZeA7JWU`%^Vy$2)O#{N?f zPx)<*y7O^9IxHY5($+pV!KQq(S>-s2)_L5P#C4V_$|ecQy9{l!ne7v{bFG8F%yt(K zPcr2-N|5~KV%et*Z879g&x%M^gGN1W>v{^h@cdRRzPVVu?iN)nz8)<8!tta2myb#5 zW$K5rayE0Z9(Dt(#erj6D})Z40v4NKr~sQ6%$&9gh;Rghm!|Bl;(h99{K9fJ@?#9B zaq{g=idHeOekII1z);sx@pO#drw(w#iaH~*!txn2pZb<+0tI0OmrHw}I-L31n-|sh zLpfvSQy&1uxR9K3*J}=3I>^kY9umBGC3#-?QD#1MRC2ML4K3zS*j`cr^b>Zf!3+rxCRTr*DU1)#Z|M@{*|GJoGrn=k2bXSKoEb?Y* zXiHUQFgQ_uJxFdc>}{!<_C~BX-D80>s^uHvkr(u)dor{^T%(R_GhtO-2qWknBb%tg z!wp|^Y2$m-eNUZ7%;yg7^=KX!Zk7AT3j|4j1o$LCl+KF7t!=V*`5 zaoyu{T=n>1%|tF_4_12Kug`H> zzfhdYqJJ&o_opL2&~!R2n)dqq4OaPQ4U-{|tuN!We%o#E)=k$BMg076rV>R6}m%iG_bFvn?sTrfXu&^~z|`zG8bX91#> z^6O_Cg-%FG&4-0i6drEf=Fo&OY;zs9l?!hwZN@?H!rhl%64v6_bth$qGCwrh6;aSS z_?(lA6He3MaVC;u!1u8H(}aUtlQmB58XG2X9wyY^dI!4}$0adJB!0I*%I~-36#b{W z9m{TZwmGps5A-w%l`jj8l5rx(uecR{K|O)hcZK@sn!7SD7cbG=rR6Rm^Mez z^9d+?vzXur*ODcmAi*SGn(rJFgyyu<8KK3LnJS`X3|` zI)e1sES(kVY*xwwjU?ZnIy}5|ANwnoOon9VOT5e;=sKLe5*5PSSkeT&p zNJ>nt_k3~li0VDp-6CojkD?^kDMh9dop_k0DwWfU^eeC~z9AOXQmU*`Wz00zSB`Ia z^V^fE`^sI6pL_o8N#9kiO~q`A87b>0`E#$|f8$3c{YLAfEo^5b0bo1OgqJyTNg}8T zh9KKKXCwjC1bg@g@1(&EG`RX&lMJ7ms zrwJYo0|SAe1DfHX=F86nm)RX@xJAiDc1O=b35U=EyCe0RIOig}qrW?gOpM}fvN<1w ziP2n-&<5hy+y`kcBqBCjS(r=5#Nk8|?~Wk4&)<52<}&4FO)X6Fg#^uomFd*9T*FB?Kk{K_SD*59tfnTSZTl!d zi0euPhq+D;4oEVMW(q>wH#$s$m7YLo$!~JnH?jtVuDoP6yqgk&W+!f{9D(*x+#*#D za9`B_A$KcY=IltPx9GK?C;aB``O`mkNU64ZGfF+-I$B`deDcMcB%q z$#5qO+x?QzlSC_%t*w_Q+S(YRkoIz0d)*7VV(9vWii)8p(EhcFp`ZG@yN`auIa~V? zV(6i5TFHFvyF*7H^TdkY*4`g>+PSgskQ7k}dRx0+qH6TE_99iI$I#{GP#!}M`;KWe z@I?&pF%+;Yv4rr#LY~cTr_PA&lu%OMl~Hnw+Ee<|`|Z?iA+J%BT*i9u3b}HuCteD` z&*Z);@K3WT`1g>zG0ohNjn3?r@r?lf62~j&gygU#O$Q_u-bue`XTR|&$@nz~QZC+o_3q2ZJ7UkNsaH+wna+`NQ8Y0F`3}ijT^T97quyNbum8p$e%DVY z%0XXF?95IIxzH1Yz~{s${F-ILa_aOkgR^Rp<~H1i zvpYQW73yzpf#a(3&@cmSy118r78{g#RI0OsA{P~ww^ z`f-QUc>>u1bP$?>mVhjSdaKa`)K9bw5A}hQ7&G<ce&`HW5B1xY#yo<8 zsCQJ0I-QM{F&Zonpt(}0-%ZsADY*)gfioI&w%X;vYa|*oG^Jo@*hB~^_UHs%p1GDW z#?=p?juJ&fIi%8@uQ{ba6$0dlQ43IwAPh_`;2)1nJxlt5{OL?$*@Bf{9o|=%SQZ@K zLsSi}#^D`)cvUs1szG&l&pEvR;kSR=r@QtGS^!Yi)CJ~XV9FT^=Eth0Zm|H4UVu<7 zfzPG-H^#xEpnGCjH%$0^>9e+RG(pP}Yy&*|R3y@_LHEvhuoE^F z-#E!})JcxB&T?DMe-@LR9CDu;>vrkc524ARE3TqOn8=c)j9s^~xGEd2Ev_5>`P3({9^f(f|KG~GR*b&t5|(Mxwiph8fz85f%FYH^hb4()cpj6ly27Wc=P zk<1KDM-pmEf`z9zn~Y>;fNM}BN4V@2k;8JA_A&4{$nOHfA%s1*%}SsJBn57x9V(;K z0qg=+(_+pX^(*RhnUA`dA`Q9UGBVdj23Oz6;POhW@Q_w3v|6Fn13l+~{?(uS=@0+( z6r9$g9ScsU5*`U9lgtFBo8Uy)6!OqaP^n3nN!>IdK{^TgQXiy%Y4@JK*#Z6-Ax?q> zT$?ely>jUW?813TF#*>E7YnRtg__`EffWJQ1c$z9MJa#{E+lCF7=0zy*nz`^p)uCMZ}CkypenA6{$&?*6E z)a*qu$j->$A80Kgos&8++^X!{&zkB2{TJ3}%RZsv%3JLM-ly175^jr_E7+3pb=&V| z?Um7NsU)gYyBXE&WmLPMibXo8)oUY)%^!Ly+X9iX5y>haiXUQM)^A))q!xaLRi(JO zD#f~6RBia{vEl#N|NNI<{CmlU*CY^ADJ=6V#HyLvt_^8Z`qQ(|_~hx7+77n&GNVcy z+aaMUmQuk`7IsrVqd2yM;Mg(;T=Lz}YDXX|Wr$_@ZfKedH?*X-qcdQh#+K!~jV%MV z%y-*^)ixKDS5#Q~0E--%;7Dyp@_2bNd20KXxoM2~qrG7lYR1{vs?L?pJ4jiaGt=iJ5+T(5sf0sK|FinxU?)o|ANYE>sk} z&7O?<)7^{;^uK~6cu_)_%@&t0Bif=@T%PY%XbMpCEF)_|)KUw)W}^)eb+H z3jQ}2{MX&03jWsv{`bD(XaCUo=7-IQ3NTh?H$Z>EmsIskc(JPI5Ui#kIsg@d1b-78 zkh?DCGP(fb3lS^L$QMNvMBhQ!t7yXqTrC9&{w6qXI}+4GaNlh6g6n6WA^4kMtnR{$ zMP;@oyPF_-0q@1rkN%9Kf`8Zqlb+z81Y342My>b2vcCLe1pG}Bp*bH&8 zu>ODki+5yj=Y{89eNOWUVo-q!fdBs-_$QbbaIe0CH=%dQt*6ehu%h7}ex0#_94KeN zo9bCysbKmRFC3@5K7w`DF$KwWSpz!=tTGTGHq{S%# z>0`sQUOfrN7w+T@{}y@yuF2NCq-_2d-uq?Ud4`#&V>;=P`=WnMq*wt zsT1t_Fha;?XLMi}%=sjkg%QvdMU3A=i&vr;)Jf2X5s);Y4U`W^sW08`_ZLRk?df!1 z6Gqr=Q7tdI`7^vX8AHwvjh=ad;Cq+^JF+msVI0}h7psrRcPLIgE1M5~9?rQ(;hhgS zoVEG|(r*ypN1wyhG33~rcP4?LGUQyQDo9Jhq&Z0Kn@=DRUz7!1z?V}Qa$b!6w<^?E zGQ78}?T&W|)W7lfeCy|ZyUQ4mGBC^f4+R-$V2^(8f+n3MtEVzEiAjg*G2jxT*Yg!_ zVn|q-*|4Yg9HDc*5P&+qW=^0!3hqdt4#9Dc{JmUIpxy)#3{b(D83pQtAj-;-NXcW2 zJ!sR(2-KV4xYMA)h{n3*F^U(!1h?%LIS6RP+OwftevFziUFUJrg|&he-}Gl;lJpVDz?0A zUR@&~xiVXJN&Q`Z| ziiyiOq1^*_TX|4BAICem<7W{a^k>)YMSjQW_+iLh}c8 ztx-I%JZ>Fs)q=gp$4b{%(3u!Zb>Lr*1OL1J;m3UF8&my&MpG==&qB(F9SPPL@89l2 z+FRHZ>IX~^jO{El6Tx5sCqW-j4jF3aDce!khn6RdkRw)J#?pPfAKg{i(1fA%9e^|s zx@YnJ6iEkrT_H&5wv!oAG>5asF{13fOkVKu{z3c9Bip{)3fqiNUdZD82av>z+5q(- zWF)5Rlg0Z7ye4tp=+6RVF3($_+YS>I&a7TQw!yYR7yJCg#Y#8HzUfDq6Sr}4E#=*8 zl83SDG|Qryp1QoYO>$^Su*1tfLx3;VS(I$hy+)6781m!1M8yz@kE}Relknd~D%1c3 zLHoW1+(TWzs1c=>A%8qUrYqYXcA!mMrmTGoHKj(evb?y7a+D z3vDuU>0eSPz~-kY~b(6N??EEXA;+0rp)h95 zJ$k|0zU>D|>?gaFnlEOYqtk%?al`UpX2ZbQdE z%LeipeS`q?IPBv#?|t_X0x<0$6b=Of1(2Z^t2xTGtRRe@+|ySg$Jlj}hu}}fM+o{I zdj5#(9VHS}Ad=u(aP;6)eix8B*%M9xD$kNj*d*T+!j2BqlED00bIoK{1EHC~28i}q z`@*3Z{?Ye^3r}|ix|<7h>uym6y6XYDU;KvKKl$`o1GpWTtVL2B)KkeT-|QhRo)4oZ zdlb0=mf(WkqAm`Cp2vki7X<-`01*)k$!uvx0-XsCT0e)S!4adu<0MF+Gr=A$>(s7o z!G%{WO@F!x4qel!T`TnL`7wF}c-W4p9TQ6#j_6`WZvgL6HYXU{cVkxyia*`fKA=qt zBpX~Gl=&4?=6pOj37ifx)Nsu;yq?Zm{OLC5pf`ucY9DghoFvr{lN?c8AI#b*!BB1h zqgRZi5W)oy$9jH^#GfAL+%;^+$JN0glu54D1_bdNO{AYV%4K7q>yz(=Y9K&;o`+|u z8pw_l23=ilsnXufWYm2+m5q|RA>V?*jO&B{-h^xiP4=?SS~_>NrE`^&N4ujRU*wAi z|2|NY>B9@^jOJI>itAA;zUa$7;x|@aU47*Tzq--oR$ko@-oAmnx&ftqAiMJFUKWU# z3=WqwC+@8j$d<~x%LCb!SC^$x&%OK3&9&p#-J%M(*8{lU{GP8Le%~9Oc;eO@pGw73 z7RV0eUzhZEU)l6Eq`RTa4McNlb*EZ0aaac?x&iral6Q4L5_nl_CJyT$xZk$Si-W-r zUU@Z<(~Q1)ZD{bs(Lz3eG)}GVBq$E+FeB_|7LZ{^WU-ZklG1L1OWk2Lr9DU{TK=o+ z?;h*>kii!6{L=a4)oTqng~c}zx(8fHZFlG1J&i5nOUi-AppCWbG->gN5M}1KJ9jkSbnj462y&@r(a9G^nt;g$P*VyR2-I%f8!qOO-#<*Gx>uyhj|JcSv#(pH zKbGUsek}OrcSw(S*wub4$1DD^y!o`lim8rSRfQxcy4=p4Jf*3sqHRFx;BzB~4P2mZ z2MAbAFTxBE@&t7vo&Y(_OUVro%5Z zoj(M!^Otd2zw0)gr@QEyO_$MKKp@|rW4HgX>3nW%*KWG({JY}(W$gCH@%sDU^_wos zjqT(G=uVj9v_CGG8@}ULhZ`_fCoMR9!bxmU;mb0>AsqN6SPbfe%)E9K&Zb0x3p!wc>_bLicgtZ{1B zm&_B$U0-?;39PUq-nEHK7FNaGqu+M-xX$8O;A!B2vKNM15u;aMKHhy^9F*dzJo31r zq+K@OLSu_#$2E`>SbbM$ZLGN~^K$VL&0SjV!lMpORt}JOy6UcQSDr`Qm1p*vzbhaA z#1l{a=#&4}zyF4_(L^O@#2f5vG!d07R58v+6NNwed^9oCvoK2*5x6W`r9CDsthGno zEdrSkY+0t02f+AtGQqyzkvJRiuND?gA;|ZX2_hakLJ%`TuPc}K(L`uCp=ZZjj1Uh^ zoD%937|N-vA@0hx0a7iaOk21d^JpT9l7wvoT}=kfD{_)Vk9e5-eIqeK_ST%l9ep&> z^#SRmk(iJ5X3<1s7SW``H9}+0JnFeh1q%uo)GsPM_m6=YF_nD-Yzn!y8Zfl8dQe99cf{I)R{c1ZT=$w??q7DV%nREqJ z_p==wxZa-B;XH=aS~Z(CV&Vr1wN`k&C1oHxaZ}?6%x`_?JCl^?EpysP(nU_IHc7F` zmBy(y;dBZ83Poq_Epp0)e)ao`S{BuqM@Fsn2fzGlKBJO!Bd1rPS?ZjvEMMjsqDd z!=1dC-f;un<0AeI<5+&yn}s>o&^*?n+{a2?X#Uz?y!(}jo=bH%i)EUj zy4cav+o^jHY-kBB`fHXJIvt%hw$5zES0xtavauD%df=mZkiKVo0g=!D~Pq%oqZy|w`LGsVFnTMdo{SDey-aXHAcdPo}2JH zfpsf+_cKp%ZiboBN4L2BVo$tAz38K`53>kBP3YAO`dih6Ux>P_QJXFy%J|s*?ccUd zo_$UBvVz!<`h_GQc%mgIAhI%sO@I>qY)vq9<7DM5j6XrABuGp)K`>LZ5Csh^LGG+D zmIR5(CI}Q`tN>x&p#|I%?~))fISRHTnIj<>nJ|+eF*yncS|Su?&DB?pjd3MP+TFTQm@rFiyjPLP4KGz;~;p!h70QtE`F zBv`@p#6iGCFMKwqzdQXfkdhoB-v(7zrKG<-!wzjYtj7&qsCs`Z!r9|jdCsc|;k*MbT?Q1pPpF$`_ zxbyflwp1PNv*%X$eO1+=rY8G*h3fsMCx}S_Kl1ZW{`|k1R0mDWGjl$q=^=xXttXKd zhU{P%*R+7crl2}R!4WBnDhS9c1c^;1xH>gCkZ#aa-l94;Us^JoH0kikP+|;0I3LQT zsXExwLxZ*wVx@QEq0CO?YsUn^wanB=E{)K5v9x4?g{2RVG&LFB!>t8Jk0gft3T3Iu zF~I_)=-V$^pvo5RYqPnd2Tj*p{IxhM9-u@mGhoCUPBd_+6ebx%& zYpF1f`G?5vL=+_^98!${pKi=ATWJk$7X12>#}G{h>c!a|>gBpN|UE+`^h$==BECI|{X6#-C7`IxkBnkczI9-Jq$L zRw+=KI%{B}1}0wB>gIS$B%!a_YWUEgMF4zSnZB&Qo<*}D)p z!C|@Y4hQ{=3ViW_3$0rB1iwB*oUUI)}Dlx_&` zT^QPyMUsfJY@10bwf8QNyGyGn!St=Q3E_e;1%QDGyFMr&Ww`?zXR^gge%cb4FiCU| z8;_-_>i6LZ@Gbzcy-@(bzsdK4 zW-M9q$ro^<6UUrr7Cwk;So(o3h_FC;L`CN>G5gPC|1Ed{YU|D`Y~9Jq4CEqKJO44v z{tIuPGp?9w=U)$#$zT1M@BYymE>OQe;MW6s4Hr=HsNsU7reunR=m&#^1(F^je?Jv2 znDGnLGJz{B69|N)HCzzmQD=P7N>f0q1OHyjMFdriAZK09AVGGCSm%*#y6S|*|Kb;( z{trGZ5u$0EHS-HtkH>3h6xwVxBM#lOCcQQ37qF#!R0E2+4z+MIr~Ns>gu1ucP=TrVRr?fLS5$BFvJ7v3P^>^%cCw|jx z2Tz|dp*jN_RaP;3-Bf2dUe7Jog6b@z`f4RbE*-y&Q%p+=YSR$JW=sQ5%xOYh=B^*8 z$lx4K(JA)Q2c@%Gx=gp@QF(Dd@Tf~vu-7rfuiab=2{2|pa zQLB#1coa0P?3`SjaGFJ;3cDn}@~c)Y1zxYSN`I#Io8rG*G+e35;@w-kD=ssL7q(KB zF)R6>eC_+*@_(nep_aUweP9K=*U|Zxe5F)D&UXH7T4f+R+xd5QfSSg#OZy38sc-bT+EK`o` zZr1qX^~4u{p#Q8t{<>6-q&MSX0RNWkBIki0wZZiFksh^?#2F8jGyn{%4(*QZhY9?o zmZs++Y=VD=rhy6W;Y*REojDD`1OI@u8VFKDXxp5q^Vw%I;BU8q(X&J0QnB>?0{;@V zNe28Nv!@G-nNl;{CUm64GvC5AlS|a>L&8wHL>chYFC{K4aGL}tl1bhl@QXGuEm1ZP zpKP8>GU5ifTjXPr<|KIqMK+5B+A8*`t0nhN+BbdES*Fp$;9oT0FFz~rKTVpm%t>&D zmTWPPH~_zT1$wk|3GDH~g`nj7<};{DLFP7iEk_H(+omc7uKw%cMGHUmOaJb(Z@)sN zU{CrEVto_*_XZ}MqC+(uhsE=9xEOgkFUxkoXPyQp?Gd z0$d@ot-*ng4mL`e;^y@CXPHFJ-=GH}Q4<(f4)b+n=!XCPQ*eGQ5xS@cvd4)CrX12) zLN%Fz>CQUU2?ms;ixfJ?jI%*_(378DY0q6?LgIcdFfrjZhk1>s%JHI0N_vW9Na!v( z_IC)Z_#P^xM$G~%5Xb@pGIsk*y0AN7=nR)okrMauvxtESQln->@S-O86XuUSt>5q` z?S>M@vPc4y4Bc!>2CgpHf%c^PBQpwuV!nq;)jF!yfqpI)G4iY@l^6XIQnmNKc=%^t zNHK_BesD&rrXy4$AsaxHiG911gpC8z7x>Gh&qAtWboAti(j3XxVCOg@pcj<4lLgh z>xUQQJIKy@7zfg{;g!232In)%%gdGqg`DJHjMzmZ3+eKR)?rhkya+sQJe$b;<|L_g zL`mhbgXFN2j&MMj$fcvy43JNCHX4(t80@C-uJ~Xp_!;rkR$% zabL%98o(HE$^X!6rZSw8*ZdM?Lexrv2CaNU?1 z@PS$?99}SIZc+98UytYifBeLIpZ5M_@1>Z4&Cu|CEYl5g0 z*7V4I!!~8PAb-&&h(^ZjB?b=D{kT_fOfwR0O%P#f@@^8KI!UASOA~IRV0%!zbl zB}g+8ZlmBHIm0?*6M!rU5^ha!1S6|19a;+er3tqtm^e()Ou0O3fm@S2U};^uBO6+N zeKHQy0XeA(%pLenOG(;gHs^@IgrpJRXvMMO4^!T%QF83q$d10Uy4Q>6*_LjCLOTL3L?G*aKB>@6;@;hT+vO4{OqEkC(1;%LgO36J*~@T~gHdhopRzA5W& zQPpqO-=a(03IE(DeC5Y|M$&K8RXxdUXh#x;Gra&9D!`b0+CFxUo}vitGl;PTzZe94 zdI146?1p3ku$Trxu$kt9nUh@G&|_(oS15v|rM-{*&>l!t&ddf-mlQMdRcA}@yPnyU z6tvo?G$Zw!C2yKOW13IN1)I;GUvmi#Z zMM?oB_g!#NI7z-7!w}Uba&s7(K=Dm+;&~8bZ1$ovfx87BmHeV-K@7}D3VmFo26w8I z^!Eq1Idp;nAIw!GKMFjz8Lf$TM9Ai=;8_)oVle?Csx_ofv4PO5$i7q|#0jD^*{JY~ zaKEKWD~9fCgSAVd8bYC#6a~?DQ+)%5h;g_~h%!hKw<1V^2!+eKOGpf0-g?*f5tbSYXuhQ0F-= zLZz*BK3fnK&g0p9!P2b8ZE@k7O(?XbPh}T6$fWz{!#A7IGl-H%tH~}mia654*=!Ks z(b5@sli-5#HwKboj0RUZ8T2H0gs%6ZX!^%Y^sR6(8#FdTBOYi`2gx%j=5&wl25?4V zdwB6Wr1F-lyJvTUgJ4k%{WN<#Nq)}t4^UR-EBEer>H(5kU$1~IKP+=wb%7NRP*zR6 zG}Flk=*{MUnLI&N&tf*p1L#?*D<%I9+&9bqA(jy%5}h1M^lZL;SU4le+$M==EuO@*22YV!xmeSv(KtuZk-N+UeOuW%FED(nc6xn?zGYnt*y1+ z$E_%6cz#YURu0t~-j`2kiQ7#|Wm$b!=-66wSLWpw?+SN0z9{Ve)a+{wFKc+e>KDKI zZ(Y(7fV{bU(wBvI^f1*kT@P25{fV#{)Gs7GTGw!QPe}Agu6#_u;uf zu7Z)t)Go&sDEsBVy8qpkR%Et4VWkyeLQka?dEVD3g=8920)j6BVFSad$|qK7MIH!o zYQ@oNIyeRt9z{`@i)vU*`od66>5-B-T z5WIRaM&5^Fq`W%f5nh^N(44UuAvC$$Ld~rFd7%j9P zh;}~2PFVVW9e&rfJOz9nuHa15RE;DP;JgeeL^wy{4P$NLvR+dc0<<=er@rY?DOKE5 z_cU+7*w3^dDY6YLujwI`oYZ)nZGV85@UiMbAanvNx)7i-$yQR#hnAH9{(7!|^t1G& z@}Y|l-Xt?g9>@FXKad{%)vX6~t%XM)Gp%^^Ya0TWZ&TY4bUxpyYc^e$@6<`gV9Ga= zak_r!GEmzPJokmtM1X31gpru)6unVX#n3trFiIi+GzvLQlRCAlIKFIGs+hG6K`_Kp zVi~pX3-5|k_G=0gA1kIX-Trf5^g+M!!DsU8L+2o5!#7jH9-m(y=yi~LJc3LBknQuC zU6L|VA(2>54G4w=xO8(tm!pg_T^6u25r2j>N0Kf>+B^sfQ4^0DN2E%ZOZ)u#(8Qbh z(WqT3md^6)o9(FS(FoP%6hN!=57Rb??=B@;hM{oXMC_B>g1&9qc2aSRZz8*(UF$lb z+{_lR�zAwFqi`F?||xA6IrZ<0;#2kkb~EYhk}MSL-JrY~3v)gguH|Ii{H5lc7U3EMvsDv0PIn4zugT$L4X@-ddeatkAnSy1>PcvI{RX2 zkAjN;>?*0?6l~R8AA6?mGUX^FXwcX zR8Jnz&s3Z*;HGJER6h&Wf|Tmzn$XS|VA`k17Ikof#9LRueI>m4x>AAP36&Fqv!uNE zy9^85w%@CLBJHKXVFgwXr1A`AK$s&ZkoOYg)V!JuIe~RF4WnoYpTD5xG1GxrKGdAvZTCf|Azw${5$ThulLP4`S^|tGjm5wcOG)$8cMhWC$gsyVN?S8U^i zKnlSQfwbt`L2g+Q19kdg8oLh+**IQmqonHHw6~rnmwVTxEj+*{Y#8rld=%DJHHd5CNJw?PdrZtJw;o~%%b)$(_kGE4NF^-2bdWY2)e)Uk zJ9KGdVg3$iC8!rR88f`>1||5=vhO_vyG95x_D?23F^EkN#v80S=;UoD#IjycwCx}W z!q!g6;n2wiJY)piG@}^ACO8~`+?=u7g5)(96oWVjf&-RK-C#~&LdE(tqZq^{xJC5{ z1;>%JYWa*}5C_2l{Jm1oyWgsb?T=9n;vk6zvTUJCGgnDiGf8 zaU=|>i3GaV4peQ$Vd`ttP6(9v>S7-g z#B&2%{&Xqq>x#j=mO;tms?%o1wfyOfy=`D$rlJ7+ODq>hv#w4!29dE#qtC|ek+-&09rLzd%xzE&P?mvy@2ZsqlLVTRs0Pu*28Wk;z|m7@%o$lP zgj~Tm1ql%~|GCfj{%@=l5wq2r`$`c3Vvd{J?^X1O$DAT!CdaKv8dKBU+wS7}akl%8K%8t} zlyzJdtA0@1`zAMhtrQVrA(|e0E6c~5S6Cj4;P|?@OSRmur$5X4|H3!?-S115yJjks zTUs!pwhZ%c>(ZwD= z-|ltGF1T=(kB99f70>g9)1P&k@m-zzw)z85z6);OxGcBm8G!d->Jcb>1FPBIy+@!X zxz!{$k_oYl>I!&-s`H>`t3P7r!F#^yFMZ#ba9o?-8ID^MPFRq=;`nyj{*-C0CYbuW z@6dJ}f#TGy^Vw$@oR5Nt@@vXCJC78C49=S%nCDyVKrtgo9FKx%v?ihSq-%staDx-a zO%TC*4LpxXM=yJi633%pJEChEL3c)wIBtTW)7e~)(%^wllKlY07fIyS`|ER|2j1|_ zJ_V7NE5Ql?RI~foNxa-MI48NeZ~1}=XzlNF`^^1~@~#uRnJ@NP)7x%HdRusO&jd(` zWRD_1S`icoy6zTLOZa*$;Wux-`HkO}5LDS#EWIsouYe_Z$1dmKUTJ`Ql0lVNT8N+~ zxJMlq5~eBGg;c8~NCY*(9xTaXJc6@Wimnowk9HM{g8MBK!axv%5;B&Wc|jtm34$Vp ziRVJegnaQ_kO*pmXhYrt7|~6Y+{T3<5!3`7FVbPJsqA4>=$vJO16o%}413rjJHD7v zV%Sl#1q~FV-2+g(ypUoEnF=wwF8rj;UYf8JsVo8&>0)^LS(ZAjM(n{J&&Wx|+X zZ=)Z(Xn7hSMhR4UiKMemIWB6nifvwMuD3Z@znb0tE`WPk*ej> ztiDFyV2nV#mP({hJuMu>r0Z$c(}{(jRYlmFi?Hi%QAOD6LD-M%f8=-FP1VzSGfIRF z5lD97+ksY}=32OG!AK3GCwrtMNv(6g9pOi%k2eWs2-|JJ074%xh%412n*=?=hLA7m zN(SU8*ZZ z@Q}mMmKk^3@))xcDddZTo)bQBq)|-{QrycnC%gerD5zQBnkwH~T3p=ACK*%<&{7_D z2q@<_N2;eyavb3i;0YZLDE}%Y{p~^5K}NeFx$lNjuK@|AJNI^^|AJk6$kLWyc44=R+@n%uqFjTsRdf83r(jbq2k!k@GoN`_=&Wq}S;`~Stqt0s592ud&`)u}qi z_2?Ww`1&9Fp9?6?l_sZe;Gxe@AIWQ8 z=NNZ5Wu0>f`2lvE_op8SFt^L@i1D6mFB}6q=eZZ)UQ`T3VwS7Tv;g~*Bg!OY-{^3}R6=g%1b=mFX5B-&I`MvK;N`l5=%IJAY2yeh(CKhc7 z*c8$8z~hagsn`}I2@-|E2nH`ul1jq3ANRzo6h_v(HxtCCQnKt}%d3H&X5`z&1W^FS zbT$U=l~K;m$QO$V?%N(KQn1}mmiEze&C{S#kf~yp`0kf;*?+}=CN1bzrb1NPWSmxXgF{w6&!z!V3YiL`^2m3eryzfDDS$GDlBAzL z>S8+0+-Fj!mcJExjhUhL32X&c`hG2l3Y?*2ElSbJ;hHsUkyJSEE#QwoDFR?-gC3De z;YkCRao9p2*DG>GVz;Mv!tIabx{P)n0{Q+NyY+Fr_-REBS}GS=!M!g2Z7U{FS*Z~(rQNnMkDl0cvSy^|Bsz>8`JQ{!TlYZaN{+^_)sNGtlD2U`f zi5mSHMX6Dg6KRjsEADmI97RENfTp{zw69T=tB;~wu2%&AUezmZu3oY37FE6Cdi09_ z=qKOuKYd4Pd8+jsrdNdO4ru>-7`Rtk{0IL&En-ZgHJQk#5O@RPt(f%X(i*?D8STko-v@A% z*O^N`OjGGBCmsG~2u}g*ut97lT8VJbG_V3wb1?DkI4c+4maGpb;4f5xs+4iE zD%o}v?vb<}lJhceyLevwdWE+gZAS;cKPu3&7(N*1GOJj|ZhahYMo@=vM`l%v@5Qg5 zTh+OZtQRL5#TdfJa5;E|C$@su%>}P@x2S^G^?=uZ^ZUQ%|ESzAVtNp=y?gjjxnG`t z-g}b;5)G{dIpNQ?v3(}oT>eIRS6@Cw&zib_m8tu;p)J5^eK~@z^I0-nUb$baG08*j zU$X8NRRp~r1pTQ`{hVLx~F2xI1g5(78E)ID6Ar6C|1XQXByMp&8?VQFT0XTUmMRbkZ|ST3Gx2i`c1@ z2jo_skDb!$yu`{wtT!`qVMM~P^XnI}Q|#blr(zen!;!0#%tSQczOUG+KCsnHyt!s# zcxRotMHS?(2jo8bi{5tUrxM7Wp!!abL=Yn`gzn`5|DGAE^%lN4e ztXE>z4|%kU83}SWBNC!CD|$rok7k6W8Rd-@W(+xItXkcLV(=s=4lWZ!zLR7+gUUr( zYWb5P$l20BO=cz`AqC0s3hg%IT97Nj#g7FCPkKTH2JXVV}BIa=E5` z(>I;vY_QUTmiCF7-JuWA=+4M)62H~bfx7RLwd1Ep#?yoD-yJ6FQp zGypJdhg!w-GAp@DaTiD#sYfx$c)J$)T4K39Vu+s(#pbPtw-;^ zJCJ9ZY}lxFDrU^k8!#q*-~r~P5}Vr)(nm9rp; zDNqe^p$bWVgL?EBQLSlfW#x92E;0KB(_`_FA$|ENQlSi55I!=b$mlvsp>@gN50F)+ z3@P&G@q}l9=iut04G8SBvZOqX^5?5#NdY&TgkBeah1 zAMc1_q8iI%(GxSgg{lIQv<&DR4@&{L^`)<*(qG0KvKFU|Xw zseqW^Vh2m_C?CLSrbWbvg_W-N+)+NlkuDx}V<-=c1qH;G9+o;-dPg}lnO4$^Q)oNQ zm^sRqT3t%iFSy?Oam%J#@Th|Xu5{*$0%8Z*L!E#MAd-Ou#@0Ry!o(*5IVD4tuhTZv zB@)|MpzlHyPF8yH%_g1uEJRNZxvvW}8_e2g?WJ`sy|ffxg?>Z~2ap$S-_!tZCELo- zcP-yYcy`acx~p@3z2~<&=TAIL)284ID6p%k_-^5ApaT8XYEh<;tKS*me^vjD9&v`_i-oaxSUXIOT~noehlS~k9QyVk2-lF2-im)u(-@*AI$v}MFHv{44Lo{^Dn&RA&H_m!r+ z&b3#uZT*Y$%I(8-x2R&<`df4fw!P`=zv=&dI)#5#(J*W?lPcf_?ZbU&R~R-G_F>=e zNt}R)Y14$DWfIKo!xnv-MQ{h+MM72*B(}w+L6`u~=DcZ|*@ru(F*C9X`%eSPX-01! zqVuy>_h^Hyd}(@Lf3Dl z32ihhGfZ;PE|&1GNe*4xh?;;oLzC>V2{%W=zb2^#0X(5CjO5~?XQb6gGA9u>&Irvd z@}?G;)88MIfoQ%tI-YEEuJE&(g5YzRIwpTi^wu(j1xx)vz8B^%|At=B0_gJHM|Km; zr|p*5Ey5GkL6%_HHmx_OKgiCp?S)RYPKPkv!9L+-E?Fdie2b_GD-u|k>X}e)bcQ{W z4_2xGQcr8zA8eFpLgfmIAdnSA$=L0WAgrg&AbVv-n{kGu8eEe(`z6RNFCn9xvS{FF zlO6$XNuF)cBQV0%jCw>d1i=QgK`&;G48N#qGP{W3bMJN$!{^?8Van2#s}<{RQB^Ch zN3HnA5B;eB@W+!{fi@8m<$3lQcEi&VwfkL*$SNps0z>iMHU+i91Q9QV0ZhTbHSGl@ zsH^+)D40?T3bO7uRWC6s{WA#saDw1ET@vdGnz$*)>cW7 zZyFQqx4Q!bF(dNO6f%>b1a-sGLwnHH5r-DNzlvj&pl%REziXg0RUh<-*37CjqXu zl|-6dIF$%0Nyg+QN`QI;_+hw7T9fArHBS8Xs8Fk4* zA0tu=;lp9@0kvgfDThnPFhv&n7)kgB$-`k3NuTMLg+7+U6#ni!NSMM*+I)ynN;2w9xeCR-+JZ()P7S1{q#xrM~ zGI;OJVOGj#=CH*2^BuAXMH%6Mo4)bpFdPJ#IV|IZuMZsI!0~#@Hbyuy%D6M_wglhP z;ppXYaL-?PicsL{T31e%o#jIYcZT~w|8?%~ivH`N<;u~2-7Tu{*``X*eG9{9xAm6A<)ZSLx zTWYmCB}SBjg!&KMa}zNMpXPC;wePo~fgxg{P6jE)qgJ1E61R1@wYC^<9iUa6V?Cf% zo#RCC>9tvm_g@X4{fA$lJPw+VXQl3et``o4R$FyjR)nW1r%h{u=uJiCgfVh>+kxj^ zP&|r(R2=o@i>#K{z2nj63a-0F)e>K?xLjh1|MHJK`Q`s+LdAJY90ld1fn#OCv|zw{ zdXc9`UKdHm$Cup7^?rEd>?2`a1RKC(F6b?B~-6%=ibAldY`?fnM&Y@$?q z>tW(Q_ZYi}F)Q%CKOBM?olYjhSd=Mfw-)f}NdCCLK3S|Am4m3+18yG59+yBUiz3vmw;r<6^dH?Dhx8(wRx)8%N9=zVP!Y2jxXOUNs?Sf)>LqPjX z_$S{41m_#5$fgC;T8_kO;B9*QOq0j*_hRKVqLnX+|XcfbWq~ zQIrmjtP#pXBvJjkKl9Od|MT+%a63A6$?Jx4b}?Z?em|nDw9l;KX|Zt`t!ESLcY8*H zNdPycYoqlX1@~L6Js-g9QZ`ee)@_2AhZa7Yb#$RvI&8u*(t3`9I0wnrMyC)o^`tA? z1kWNFU;Ba~8Y#WEOaLd6XDqq|DaAK|GE_k8=g~398D7nO7L%MDp2e?9|F%d}19lY; zetED~943*rNA5Ig$}d zE5P<4bZjF$x*`8(PL|0E=}w*K@gE)JJ1k>EfYavmkENi18u!1*l)pND#=N4X}*$7a2&yB z$EEj3?$$okVG~Y$T}9HA;`xn|&A=wu zILbhNlP=I%gcZzOX)B6s@Sb`w6vzy|+Ju1eSD(xze*ov2etLvWJNaqL?@4O;Tfo`{ z=d5Hex#S*k*81ciK7Kwqsmw+~s4?U8P;wZTO~i-DrT;u=HqwI@G2wX8rX^-Gk)|z6 z-;zkGJK+#nwQMNi=y9hbm&b~b*u3<i~u7T3{Ij zV{kIfNW8Tfhdr=fKyPT!Gb*2vcpC-BLFWI1X@dK4r)Daw)u0okrHQvuP}$jV zjKfZfq|(Wpg*=Zd#G*U*iW*$}Ap{rsEky`zN8Jfjq2WuQ+n7V8AQ4dS&b{M;v(%<9 zKj-G1`y2OjK2pQKn0ug#NVtNVJveK{ejmFY1={(|Q;SNUhfQdhhHDL-rM%6eh}fLt z2nIDtMr)B{SI#Lpuwl;qu0iJ&>Pv7(luxZv!o}60dT~ym*FiO_^hgL9hOLg=bf{j^ zkF3S16=&og+)ZeFjx*{|5|>F{{`4Hnr{@@-9(+6T^c?N!IU)&s?q&(2@u}>xsLFZ}c3E@*1ruD-!pPK?m9m%2Zmp25r5(T&hhL1-Qz3v?Vp2P0 zob7_iyE9KQQeqg_kTT#%OYoC)_$QjdQu^5`rI0bUeCTmPn#&p*h-{-E(AiE_=dvVDk zp^q&&)3)3femdE2!A;HseFys1yIEZqduGl7QY3vYO4?=PZm+(4y!*U#u9p*$6Igv$ zsD7@wEAw*kGR$3C?hWcRAq<7z ziCPNx22=s>v`Mhd5Jngw79dI_wTnS$Uy>%LZXgp(?5qcns%D@a2zi74IzX{eU(AdW znX9EcxM1*#L_*v|vB}g@RL7|ssOu%A&>y2$OOY~9PYhm~XpfUCD;Fykg<3(SqSOpI zrj8Jli6vDc>Jv*k1n0VUh2i>AhlsyY`snepj>v-a#3o~9QMEE518HA8l)<5rA(<+I znS2HvDF5w_OsiAd3xt3h8{|P|BeH42zBE;|W;x>O?fd z5XEV(0Grk#>PLhp`?fORT3&qiRy~Dt&BlJ7>BX95E+?pKHgA3BJCl@Pz}%ZXz1L5} zL;{KYz~&7lGh{}o25WLs^sHm|*|FgV++n0iY<1O@D+afC=6Fdu+f`n&+_hvJSEMU*Z{5u?f>~TKlA1fNnw5Iyk)3q9j3-{WO$Yh z&B(}2{78MH#D5wZb`8k0gUbPh~@ zDM5FTj8wLkxtY#rt+qtHL==_ttTU?5xNd2oLz?I?s&`F&?y_-Zak|J}O`9~Cifz=A zr+;rF`4i6@=^>|es|nS)bV76C;t5rG+3F1XLKfe>?p9}rXM1H3@nZba+yNutoyVs+ zPRbC%<)|u8UU>xMFN&|1&1~guYr54NpK7I2$Gkllf%x6r@VAR0E-il0m)9(W*G_W4 zXU=bP7c&6)D15+Nx4P-|)ph0;RX6?heB_0J@~2htazV|teclUChB-KN zwP`#eiOVX(ltv~=1aLM7U->C?W_bj;)+~rdoK_h(+_6>rzS%&(l6eBCXo zc)R`p%U^ z{`l+9b`(>uI=s+_a~;JN$XIE$T68;btO{%EVn;D7NKO}Wtg$Ck(`2k-wZM=(<;m*x-fzhI{ap<{)<1Z#S#wngj) zIe`lvV>D0s>g5An9%N6Z1ezIdp9$2LzZHN!afsu>N_dq8zi--ZpgEx`n7JG1PsheO zAb`_Nyan!Q-W*0Wxn+2y-=Z6Cd0XA%yg7gy06!2)4igqefyc1KX1`_EJb3_GA?f$O z5K$~la@_3@H_}H1X`jVI<(}vbqCu+cK_x{_Od&}{*XA6?4*XD@vm*gQE-6}xNk(oi zOg{O^OP)oPJnRvT;<@jKZTWi=&BY`U93o*P9%*R!gNCmZy;xD-fw(W)+Af`GAa*{zvWr*eq%POLHy2 z9pEbx=y1H= z5eF`V4il#1hd`!I%Qzi>x7&2y8~_7Bmze_)$oJ>i?cZ%WZw_?LrpwO1^j2V|V~7pjLieB)CcpD&Uv;O%?sHb&7L0QmxjxSBE71q~Ux(IvOs z#>MUMitMFNNuwIe&xk?z4%JH*zqE!t4*zZ&0U$7ixbY>6{qm?o>Y1U(ldPK7ys6e`#Y&HRnfP! z681UK)D$3?k|)Jr&nQn!`6lngcGgQ1Dslg89Q=nLJ$Fx22|c-n3?fX5B2`B7)PS$iQ_7Y517HID43;_{tpugOPrPdnBIa4XHqV@7w;- zUwdz=K-JuzDHoBYckaMB4IoLmh6P0?`q`ph0(J^wGIS1kLD8>6_Tnfk&m!0@auLA? zffXqjxvNv^q?l-yIoYB`1C8T7{;-tZ+3$u?1=;7XI7Wh9nBQ;~OV7|C`Si{RpJNY> z&|=0sy|ZaY!T52%lM*6-ee(3q7Vb4UogOTr<(y*b#6-{?S|ti=;~`LN+Z8&C$%2R% z*1yU=&IKT#nKv4gKb`S@nfZTh#{X&CUz1jnDXyU{XNsccH8TY#>_sS=y@b7J5(>LC z3FSdUVZzpwPMD#v%y%GeCTIH=g&E@ii?-`+y*y@JatK$-<=7ma()3EqUZ>vvc@!`H z%wVkyNudg7_7)M`9>pzEK#mL#lAsjvH9OMRCN}@Br|XT#Qc7~?wKqfq zlCmcfS|}8P-VjYmEC+y4A?OX!zG+$ifZsky9m=IMLv-IGQXm#9D=+h7%nVVhb45=i z@JZx?-Vp7olRjW8DaT zBh5S*kcn9UPKI?m=QszzUQZ;oMb=H60`EOFPB^d_%f43{qtuOoHP3 zwHaeN91<)w#+n4h_ZtL<#80H15dB%J?>9~fKeBkTk-eqXa+*?ozd`b_ZMH%SHICaU zLy-7>Vl4QQYsEC*gX#E11e1+6DWRu2hthK@E@QbSo%FR_!*4?72pj?lF@I)=!ubQ7AGAcMJU4j7zO|ajQp*lZ7}TTk@}Tvog(wJ8aXRY5g44h@rC@uax)V!s~o z7g+N2YB1IxRt4i5492T&Q3d1c0poA|q`&v-&m}O{ntJmVScN^{i^)|i`2sJ$7>{97 zD4sMygpA=vhu~ai0sfp#5cGef;3coYFliV-Fg8J~$JTM6RDgqFiBbW51<G#ZS?mAp)(DNbTR~ETN?K8X{H2%u?Zq)oNW?d-Nw$)X-4rDL`hIgi)DW}fap(j z5GP3*R+B`_;0AsL)UqI*O|#FOB)tVu(n1qxsZh`#glfPjDeTd_L?ABIJa3zGl@%@^ zuU>!onquoR3>Gw-`^q@fRrdrRvcoTCuO4uPOr2UDVS@?RvMMn89vADN1w;$~XZlNe#T&4^#FsvmeLntI9~) zkX2QkAz2WAQaT*HJPGGv#P^;gfjV^}NpM@W1S$$w&VKt>~oK zY*`KU(ckb-o_Jph5{&YyGaFn-@Vj+=pv(>2`{*kzg47S_hK_>U7O`&H;K2#*1qu5m z7(K@5DImUoFG$!o!ExJYnfD%i4VuoKmgf7y1e38IsfUvwZEzEW`y25KfFJk`1or*X zgnbhP5dzAvDLAtIH(FL{X~ zBU(z#6O@q)D#&=fP9xfj(k@$YLH^Xac2e?(pcb*=u#B%;uQ5HQ{1gv&#-ga)OiOBp z*x*htfyew3y@2j}-&ufqN=6$Afhu=j9AH}(VK}1d~n98f!K<}>{ zE^|Eyh=yS|5F8Df90;?1uf}?s(FY7r*$q@D#O=xRpGOwtjTYSdfZs-Z6s$8ZV-;Dz zK&!^_t~%GO0NEMh9zidHGtCNEx`$&t->jh7Q}t?*^SDL8#GlPsa3!FDjV8L`69?0p zf^dOD4I+SZNk)p!OSCh|`JcYYVJww|C(-3^JQ0+G8+6XN1}bwhBlJr;L*86Sc)t>a zDzS8gPz(Xk_YrenS z#%r%VZ-9A=GFhqT?EQYn^6ee4WZB*aw|^+#h83uV(aHAn<|N=Q7@o0wI|*ji;j&@6X!X`+ajj@Pjj=szB@I)6$u}e`wpSTE{(GL3;bNwD-4d z11p|KN%uq{nc4erGH9Md)NkDC+1)vS!cPEv&NJZc{Q;%z;_8_73}p6xw_{2ol!7_W zfWJWpRJfaGAW#mvE)sXYxJubQ+QESmJOe_vlWyi& zhj>PkuQBi(=ddLXXt~+C}B=m#J;Sv)c z1bhgUBo_x<4lwH72}2+|VHqcdri{eM<%#+YJS;2?x;UpW{4UObXVq`kgXfj^S6X+A zs(!Qn7F`n0_}EYT%YVA&6si@3m;%Am+!#a6DKuX~fqrQyAZZAk{w&`N(XS(?aK@of za|&xt;VX9-uVd2@ErnD*A}xMWLvV-}9>(+0A)Wf$#ZZ^|CJAWwuNaZ)uQ%hh{8T%0hAu}ivvkwTAD0c>8F;ldb*=L@Z81d} zaw6xiH#^ooL7P+k^*6y^zs^{y_U!uibG2trfc9no`Zh!VFTk<0(hz9;Bd{wBEg5Di2_tY9EIkL+QSWtKyS z&D@hpPLK+s5m9Y?$`ktgWgMXzfX(E6#q=cg9r&5KbCo*4?a!wqxkD!$IFecJ+^NzA z$oY?D?Dog;`T}s0x@H+)jkr48`W&bIsZynpUYzKS#Sr;#mwRK^@taiidW7h;?iN)8 zaQ!X1#2fq9zvmD9C*PG4w)AFLQJKB)S5ut!ZO=$9s?TPaOKAYwjFDwzjvvmW*CIb$ zhF(kja2~xD`Qi9VvSW1Z^9~?SVg72^1h*~URwlT}4<|3OD7i=w$Ml;hxkwPlP?bqO z2tk}6aWX1pI4u>6$Xox*>n1vEda6TExGj#6-wf$JMpMF_eZu^+0I3!uQL^J3@f^7!`+w z*U$-*&J(;oihMq*4Ucm}-QWA~e*FLSJ6|6g>S`d-{I?cXLX)}f~smX66{VKIO$;X5w9 z6eAT2BP|_jsb-pj5Wa23e%y^nn1WY!w+Ed%lTgyqYKMd=dt`ngdmNH2vTicT)rK#+ z*rvK{43r{`-EK8&iQiItPlHAKBs`*7t!7?JzuWMavN{{v0{rsZJOxX_Z<~JZGf6Ff zyDK36#>z_@wrR9B;hw5n0=83kn z<-?*o*|W)ZwBaw4XY&}JO?-QZ&*oA)TH)t&$z+n=?z|t;GT3WJHG9shgRP{?rR#@g zw(w25>o@6+iw>)JSR>yd+w*1xhSIOqUGThr>+M$h-MamyJ*;1_a5rHGf54M=xajDL z?d#j&;&_RF0tD@?r`ZlStFAzQTf7^RyGzDNf5rv#hl9rp-E{ee+rJ44Gp-hz<;Ed< z@4{GNw_0wU4zW`7m7y{2|Iglaz{^op{h^B>1d!gACZRmoeOn)qFhD>$lF(Zwkf5PF zLg+{t5D=tGFTx-oAVpESC_|H`^d@x_L{X3?9pyXc+;h&oGdpj0-;zM|+x&j;X3n0S znf=eb_w;j}(^MS3xA*Kp2lVYWfs-~S95mjkW1Kwbd^CB``3O;?W(K-%ft&A91O*ec z1k^HV7ug}(9uy%l0vK^ax^#9#tG9v*tQxJ#C_h2eYjj}d@#zCMhgQs2ST~jv@EkSW zW2mq4#(L(f_{$2kv7z1)&KwL|?zT5!#&|2(ZQnNm1Xp2ey1?2 zNYm!izFM&XeKe30o0E~I?QlAS8}xi==wUdat|`mujBc~PIW zg}Z~>-%c(&;pE+?K?|#aUq18O$z3O$;NHd#t}wGpWmwoUzsP+@5KIPR4HUO3SQ{po z?A+a1fBK-;2zI1FPG~uky{7w)u+2G@A;I0j*m3XP+2k6O$%W<%4a`BATtnF~%+=ZC z8f>0ic@~VhCN>MPQ@|d{RFXTlom_*1wGJj%E0ZQuUxONUO2cick16+|lSoxsEU0O% z!{ch|sI;Jhr&MCF2~rX0G8xuZC8l%d`*kz{?ETS(NVOm@lM(HFAJ3id!}GckXs{T{ zsR%Tg0FFwYF$t*0xV>D~LO`v%wT53IEnV?LlOWY|r9v(U`}}n7+_2VHaZMsne816GaeKj4s(qEz@2_M`B06`z56|nq{|cByse-HuIUJn(x8ie&0|1i!7KJRZAM2H zj&Rm%q%Z-Hb#UKgeobnlHz(boN^Wi!a&m~vHKB8_+2{un@xbSsemRsSCY)7pTElC^ zo$Kn{yO%#Ibf#KO5!DK#7NokM-QpMtxls#d%u5$AAAxU}G$TH1u~WIrmP#1ld$_G* zm(=Xok*!8kn+~_v?)8zB+UiB=ZI~G-6}Gxw|v8`yl#OA)^JxOw(k6Cb&Ab z`>GWfG-hl=7lq8-$-I&0tb+MPihB*Lg6ssO>T2PvgE3&P2}Kpz5liNcaB`I^UGhbTSA=#aU$S!d_?OG5qs8?@7sqeo{V9mXzk;mE4x zWT-gz2R$D8ygQ){3a>hPd^#2ZW(h_c)Q$no{fo6@C=}z|AMBWv9SDX=>iyGs3NU9l zj9Hh=9E_cir2%K4&B*Q)W8t(6okz$@0ZRkMBG^1OQ)w(1abTD@1T$L2_`uJ5(UwUP zQc3BrGm6&RvqfC1Vn%r@Xp4OPkC*)CPMdvfT!~_fuwxOnlfZo^P#r44Hj|`~Sf69c zpN|m=WP}(2g*@D_3@QZnigok~(*_jO64~{(qCOyu;D?4gg7AP1C@3|^Wpuoqus)P9 z+JaYvjE&4Q;%cQ=tn{N9gjWQ=XND2wpfEy)3bscA|68R(*~jjW!8J!1A*su3Q~{2y zrXQ1zwwT`E@Q}rR-T;#?f(~}a9gT&)xSs|&u4$((_ji*mB6qJ>Yo=E>C{Dw5-ilh} zB%MIYiR&nx!Z}Q>Hmb0iy{br}Afe79sV3<}6Z+(fYUj+h1PEFDhu*GU@-d{_q+H9> zAok!(vk;? z_E(a)7%Hegw6oD{Z=m1H-34Kq7s7V-ih89))2EbZ>%A_Ssn<(_mUvWQP?$^Ohh8s< zY)n+7yy^hCUI-G?_(?{{XHM}VPA`cZdE4tH(d#8aQcxvQz8L&}FG4$O_t6uf(?^6Z zcl?A^zhmuT^D?BICnHR-Q*(pOT>gk-bHdorjhRbjPHD1?Xb%e`T*w3*pza5HJ7eX7 zWkh>e7zb))+#v{V-KaOrR)>}m?O|c8L*Hq#hZ|r(o9YhBi1x5B+D^^1@pAgst_Zb7 zOk|U%8POh=D-M)l*C@1I!8C=&7imVahn2H7fcsHH%1WsQH69sGw1Yd&`@aaq!q zawKl1nT6?2;rO5_ist0vG_{`qk)3k-wrf9;-N+HzPo$DpD9GjB5C@HQ8fV)lmxjBM zBemPGPHWuAG39h`Dj-Qa-6?KsAIHF>US>E2d)a!SQ#mhb!RA_`GJvf)mof!i)}GNS zd-AD@@WmLdyvDS7MLmf-eI)Mlw=Q&Num1&B7J*+flMPdXU_ANVA$cGhHpj)2Bk}MT zBJd{51Re01d<9J1Q_f!h3oB()=`13Ijf6JI!E_Ai#PXSy{`QFUfO>PHFn*`CN!;sy zG3{N@8f2bEK4-~w$@k>;#5XvnoqWkJ#pm4FE9!~w=_9^>JLcU_i)}YcYGnsw09nku zmUggfFt@aWU4yw+sqn^xP_BcCZ?0wS8q8o=%bv$&$_{iHU4t2tVzHzUjMi$_6ixe_ zTbkgm!Q9dWPZ`XxA0r0XVP`_<>Vc7X_aRf8Beyof(ee?@2U;58?%Qc$gmY4+T(bsS zS%C`xFw%}5{1FDa-S<;1Lt{>3*uyr~sbAFAutyO~BHCoeTC1LjIolJ99C!a_BssTA zAgSKkx;KK~tu->Y1>>F$s-0W;=2Am=*;$cjVd>ZVN^aE-*x9Z7J~mm}kS>=>@1xXF z$5N+rYZ^nSIK4G6?E~bv7TUy;aVuiCObHD0;q7}wo(0F!2irWA-q&Vp8IyF&kdTIchyWw=cT>5~QfBTO0wO1)WRC3SE z8AvJEqYhH>p{lUI%t_|_5&PPOk+&)a#!89y5o|z)m&x|oavZ7-$_Txc$vq7slFI-r zBZ?+rte4Bs*BP_fz&UMl<}D+t4$3GM!BC^lg(H@cGG)z!Kn8FbQ_A3qa6yxMW*KqW zkt=fDGPwEcMNY!EjHo&YBa|mVRW)1@0uiO`6-jjvPDnX}3TQ`daq%J5K{y+Y1}Yg? z++By#3iKw}o14Z^{Chy9VliLATH_2Sst$5Zttx21?u1z^jjNTf*?`I)hza1`O-#Vv zy{$q(a@W-Pb4r^l>5Wu2K7KmROZT_lfqoL>?K9czH=6tj=8mifm|JF)0wfNirjSuX z+9dmnIVwtloP)Fi+31$Ag7j+b#RoSzxaqAh#ZHmnmbba;RB_@e1L#k3lmQUlZp|-f zAH#CHgQ1?@L=Y0wQZ>6&DDU1MC$n?^Dr!6S`B6DZ)jYS^M3MR$*vpw9hjsf?>BR8e z{ygs6NEXZ)>$&~EB)5NOuc+rYm_B}kIiG#vx4X=#ZGOzlXcGRWx(P{{RR}kd~w+z_!2X zTf4%adltd1`=L}Z!{`eCItW0cB|ufJX~$u2?F#=oq`Fg*IOrWc6 zNeO=_Ha0zA20*koaiZUVp9QocPDL1i%=7FIH(MpJNQVwE7`(@~1HDMH&zX8XLGPmX-Y7%h zCxvIHDiZ2Nq>$KJV(EX1-A|3;(yS^G9kTZ2>vrd6tG`%%h-(MZiU0OvQI|*uYVb|5 zJks1fPi->ycRp#UJDCfol&g$0Mf4{53*~27-v;?ATy==Xt1aDwT0w9=IQj?ZL#eb0 zDkgOG7aVd{;eehepkO=SAMPg1)Ktd#KJ{R69=KhtCOKd1_pw$pl{P_r5}oJI_D#ff z*!ejGkLXWh)}^kKH7UD*Gf?id)-Q7knjY9EJ!R{E(9^$Ngih;*Q&bc8*BE6>IQvWJ+`>?jQ4TC;r-Ga$B8yBT%f;nkq^Rj>p4Uo{q@!_` zaHr^0mo+QUi4lAy=C2#@usm|pyuX&K^-)GP_fi_iLSQ82%$YG#8hOUGS<8FAjn3Ed zXUa+sBeyo@GsYqlUjQx`gQW@KJU+?Hz)R6rpCF$1*7 zt&rfr21^sLt-)?YM(B!+W}(aIid$%P%2qbS46>5m6}Po|4fibp)45oN4+e$oFwvccex>Vg|#pATB8&V8?!IDm_&g@_C z;urf;K6$F1b8I@JMOY&gA}Fc7v>*c@P|Tpz=DW|w84apvhd>? zwEHgZTmpmN`Lvd9RMH}?zq19lCj&cQV0$uf2!*P-P7>udH~%+>F#cr?DYWeKKlBz0 z(>l0+$V|)6Q@19k$+Qp|C~0EqmTp@}XFQ&;MzkrU0}nHJL{Yx5Yt&7ULm4Qyu0gjH zlc5IQYa@R7BM1cG>L!fPu@ZLkA(u3^qCpvG8Bqobqb)J7LM}pv5!XA*SZbJUigN1X z+`K>#zPQaZgma6{HGH(@$t7$3We6z{XMd*9u&r1nQQ9_WPFy(+=~=Q`kxGQJk+>D} zXJ|jL{Tdx*#kPB%VIA@mzvJ}0&#@v&m^#9SWTz`!N&9kyRW_s!lkSU@j!hAI@Hm6? z>^wpb&x_h4Cd2f>MmZRvA5OX-rF=NjmEaK%?^uZRK)iqWPFo(jcH!z3#_k&R83Yqm-qr2lYCO=B)P!gRP*>e=}6aC7J3dEG50Fn2y>>bFUk zqv8ukn3KE53UdfP%dXMY0+`Ci&NpeaW8q9c#ZIlM(@qQGd0hA8zLRs^>*D5Y;g|Nh zjO#_VXQ*=o2em(9lK028Gi)A7lXCJ*mBng zl>5rqYS#x`FO;#>t`8{pg%J{-z%&6l3HwNJ9vgoI&xmqg7;&38$_)p{G$;Tl_bnsJ zePQICw?Lj!W1Tc3$$jONur9Q)=iD@VNpiCaCzKYOZSAT972Z$F;Y7JFoFK%(Q4e|y zH09@g`W#O8?SKX)oM7Xpeo&WkpQP=uOW!iqGzs>s)lS4A_SQ0Y&8BX-=DX-0l(1zk zl}gOYJb`Kxtxfr!^q=<9fB2?65AL2wpK(QcXRoMd%6A^G7*0U=z!QGB{a39>&kqQ< zOsgWF8^?LMCwhKbm79MBO=TdF3tiVGl$+`Bts4*quQ_g~i-uH*cA#}5$7CT$h`GN8 z?dgya_jb)((G3X0R<^h|3@}!KHN%J^odalK8u!C?o9MZQH12d5d^i%21dyrNaypoc z0HRMhgK0_|Q#4Ny5sie_^zK;B?&`dLZoQE3aO`wa@*F$2`;c%iLOi(d`cvI~Pit`HE0kp1yU; z&V{YkLCoS6i%okLx^^yXdIGL@v@~mFkhke8rtMrPrzT%CSlG~NF2hL~F{TF{C<{gO z>(f4wydAwoGPMn&)?n32P*b11X4=jzVI&)#6U2SfbEe!7l_IJl@Y5lAyy=?m`>Ep2 zC+3>P%yxcVXbxhZc2Wi9sufTHHKfL1KQIO_r%oG1!p);5{iJo}libs)BHAO?TyF?# z8o$@vh7R7hl;mKpm0Q2m>mKuYy2o_gErzF9&xY>V(0lDYxc7d04^G~-uh?^oL!w)$ zh&z>e$A0U?Z&`K06qJd&AXUM<$tqSF<7USwk!)yY zgjybG1O@Uaug02eXt`nu>~b`=VHesW8nW)K$%baeS_$$h%oSl*)(j)r(9Fm_e(V-g z<2{b;Gm;H0S8P>Zjp~BH0|E9H<<;2NLpTRu!)Ex|(151a&#hY?E^5B9tl^uh%O^M}z%sSy^%Jo_am~{n*|Qt_fZ2vM-!so$`y= zBLSQhsC3Idr~85$=1n4}NxQSpIUL18H42-iE<&8-z2lFdb9OCEfq*(m^r1_$=wrt{ zPv^M>TJ%YSOShoJS{@+puhmvsAK`afd*!L+j17^S{53ZwPh-+1*kKGCrmRg+g9Nu! z2{JIG(#PrC8uy>Og$xC=pGK5Yxv2anviqQH)b9QDyjY4kSvVAOS6vEh|du&?~h%%?$b}M=E2Wcd)*rKN6mhD)Flv6`p z9_d4VDf0pHI$}-?X8GHz(V7l5TEiD|JYai5ZpIaIoxP%-kefb2?vS6J`sm6w^lY*( z#cY=JW3(^9=?WWs7wc4&>*jo;*{qDF;U_V&W12r=FS{^;KN?I{w1#0PYZL#qjA%A1 zV}AqNePVJrY<)&%nPo()Oc5!lA2#slv;$L z`~{&1^B90rQwYp@sO)uZzl$gy!8_Ec^B=R%n{oj0jDR)U3k2s6ZADK$#KA85<{cgP&E{ zlM5Wa$Ou_c8Adnu3Es}3`sTKOBD{}LLArla4nGO6zqQN*iP33k)1&@6`Iy&tGQw1)Z6(SVPuloMfIxE zsrB~KDY$7>1pw(TQXT(h3{H~VWkaQ7$HSY)+EjRD?gw#TdqX~vyPC_UBE>}Nu-mwZ zdHD+xC@Z~t$92r?GQNaR*1-9(_cN}z z@9Y)z#QpRU_j51zkInyS#l6wJMBIxJ$PxvNnE~*!g6x3?O=VLUX)@7-v86GMtAjGO zGNxS{nPo225mz8`N@CC6+O?6P#0z%^2gY)pQ@1Q*%0`ChuBo&x*D6`7J7pvHm+HU> zSF9Gf&9=RwYa_R`x=Gy2&$bQIs}Ks$07>FrI2%%vJupy${Y}%5leiboIwY=Bk2Fk6 zM}*v$L!o8ig#F;K7dhJU6;?L*Bk*8Qn=IulHn`3ka^ll|gtk^ciDK=kLT9ZTZ4=AT z?NvxhyPJeqTi?+Vh$}Y^Z1o3G1@it{uGUBR-PW%0Y{!(|CL}nYod#&MDM>~Ta8Ai* zA!@-`NYU#`@bgIS3H}*Z@OSo#dV+uY2>u&xUURjcb6mBUl;H0<$3;Z87KZlIj97%_ z9@Rr|=+fU}&pEycBs%q+;}fQipENjee0N#C*im#4GGZY$*c=Wxtshez)S4S-;1@8P zmcL6KBz167@3Fy2@^Gnby=Sz(WIdsY<7e`qv-3K2{oGC7Uh1PxTE`8in0c31*V}!S zcGhyoeaSQ>847`+&nBi1^Xtt}2=Z^J=)qKurFQnX)nx#HjR@-<3 zdZy;|F*SGDA`g8Ga$L`h= zn4=`+IGFHB`Pq$r$Y-MPCV#|6vM>(RE3hphL^ov*VfTu(5RfktiY-mM6kvpqvT5XH zuZTvnT(M^MM}$pVE0DgHxiuQe!U*-zn8;m*ieXG8!w{^!B8q!uEZ1SvsUaBANESxe z{sK#{pfwnj;bwm|g_JF4wFco|;;f+)!M!(yl!bGk4*g*WAl-F~Kf>@YT1vvn8)3sW z`}^T7xz}tP{#Bc}WaN2zV@9!@jnzC+Lj%bBM;AaxeL7?wMz)o2xY2 z=hlM9YdEb$#A27f;NPa5G@M*$3In^j*qJCO_=8i;tT9`BIQvF@?wv8Rg~w28o61&i zS9nbOWZ55#&B38lfU`3P&SE$0i|3AMj_DxIDZyjIzO?mpuO{k$a64pPWO}x^6Pf?w z_NF>MSm~TIzUq0<;2vFNL$u@UuT?;%fN+M)CnJ5hUmQpZn%3MC6LOZZ)g>}EciE(D zP-bjYAhXkm`zj?Axm`AC>I-9k1viMovKS~9CedSWO&O!iSRJTVD4D4-&<|a+P)1os z${`WPGPI(mE7q`0TAC4sGk*=boKW8n)C=m=M$KNaW2rZO3Ku)Ufe~PEknf&`4>AsF zD{eYHLcukD%HWU=YV-LNY?mcc5dUT_FIPz=M_ZnZ>}&E;Z#fP%7e=wK0o!U)N4R>m zA2!fT!%dotaMrPJ2`NL>Dm9t2c!NG-M`x+80SXBQg^t z7r0xalwoU?R4HFS%~6}1U+(XNHiwS-R_}vl+)>|vA*H_2xz*Rol|C?I?Dxy1KA0iy z_cO%+@CEmQ%e6kVS+sVrT<$BUem^jz?~mus{o#4t$XL1BS5EbvDU%FvesB|D?&Uxm zFE>`Hmn-E4Ru=+VWw4{GS`aq@1vrMLK)IBCxb z2UAi4#%W}J6qHfI+U@u>dm%6NAr%5LC$L{y(>;dzs^(4LS21&<&@y2Hbs2q}Or(D3 z&0bmr@$o@1W5l_t(y7!vB_t>p7540+JvS9mWM1lHuX zboN$|n>RrI=;EGriP$KQdP1(Q|N*OEt*lrH%7W%pH(cT)D zB4r%FZhdscYSUJ7Zh}R%zs}kFP#S=Gtb1!*bL5IOC~M#=HWxUM1}2;X1GEt=bX?C# z?nsp*z(ayUgA$^K%>z-m*q@UsM}WcsQ1C$(fay=_w)>c=a)df0vyeZk3Qc#@MFyF2 z1V9M4vIF@tP$$mR-QD*Cc8k&f;1_YvWal~E7X(ju7Dq!OAVo}b*}nj%h!|_tJm)i z8seq&7CN_j3jmhVJ5tKRSlpf#)DSW}Xb(F4*tS4$KJ9$Fb{_v$jHOZ~tzz-z>||=x z5lze_fv5sE^`!YSRFxB!i2B`@I?B*`NGBPC(|ohp&R!8p{oZD?#X={qXgEoojY$hG zbz0kaRRuOOH4d!OfN??C;0fv+5<6)WX^T`0Y_*A3LEizmBDfW*{p_l+S45d6SFD*9 zx4;NJeT?k2j40BS5quuxsAwOrYGBVJ6R*k!wo>sb;}Xkf#I;FpZRBnz@hb4PfGqDM zUe#!TiiH5Ri&sHaD%O4iXSoFZ1yMT@gxbn-727O2TgR(Ny5{bpLlU)J%*u#e?nyg@ zBo|qo_t#41)<^i=UbxB?w9wZ!$~bz-;Az${*0G@Za?Zw5z~lBsQa>iS6q>l%#V6u#G+FnYK`6* zve3W3ujG2rO$LbL0VijNlK_G3^<0-XI9Na=xnIBmlm3D$!m_qDHX!;!Ge+QfLB&#+ zJsPVe_xVAlrki&fgB%vw+;<3kL+&du9;9X3w^k8ypV4o-O2CfjnHYu?tuPi!(iAf+Q~ zjHb<7u$Ya(ELkaHvTG1E89AijycfM5O$pppT`g;scfn*=+M}*ka|F8IZkb&=k)EdrYUj^W^Llk z$6s8}9&rtF_5nyEZBEduyO@S`7h^%u>92OttdA#Y8&eBC#$SdTwCAaYLdwowQO_El zKGyILChT4=&)p_@v!R=@^f%`_lFn`N+9189S~tm?6>wFPgqCczEyq#zjxZJ>B1OtU zrNXwWWkgZVXCOvv4e-N)?>lGHbuw=Fij87F)GDChZIq}_y_@<+0W@Z`S)Q3NN@!1X>#yb;CX*igIOyxUg}OS23QBdWmIp^Aq7m(5`mi{Dv}!EIw|2BD5UD zetM)wH1GIMqFnAi06Vv`r+NUo_PKX&>#0U*3Q40TWDEz!VGRdH!ooqm$qgT55>3sO zq_aMs$yK1@!iwm;Bj*1?l1^DxgJEf8wfx136=y8>H5vn)bqa$zjVo|EgBkKu%Q))c ztycQEmxlBHozdJ^AAr6bhO^w11r0Jz$5dYfb|3~77Oq*YG^H3*rXJs-54=B^$qEA> z+F)d}mMJzYoK+|}BF=$g4U|-Oq`)t7Ml?L9Y^ns?M5MD$w;X5sC79XdJSQlM*}EI- zojL0@(2eOA<#D6Q-&J6Bs><`M=|2!$O zGsv=lhV}31UIN5BaI+3$@ikkpG$fKAt<@ zhv!Y@w?g{raMDdPJtv#8PvPgZ%pR@4^MY&FK7X~Z0?%ik9~e^4Pv`deEB$>H*I5Wj zqLoy-Eik0NpLUj2Dt#3<-L_KdtE7HEFr>ea=g$2hJr)wN^!Y30zDjDVkqWJR_WjYh z{XS*m+EW-_73t1hg@o98U#0G(tyEAlgF^vEXQ?n2&XgIlXYo{+`BW~I^C>N}>Ljd? zZXl-}XK|wHu9BlE@71Qj_xSmc86sSdeVSGv?NA_S&yD*{!W35L9zg;X$il^~n0pa82ejNJgn)+iBGGMd7xL zxcDezf1?44jB6BFM%NKI0DdmAN9y20Gn%<&bRB_Mm2472c#~q+S?M|gLBTH@y&QBs zqwm-&x{g37wuTxqe8!wzBwa@!Xql|(L7{inS($bOHek09(srPKcT)v7N=E^x+5i>L zWWK^tp}Um0H#&Oi3$FA8b^G&elm)fXuJ#0q z{;(ovP>P+39D-6mtXgd{m8|qO@Q4(}(siiZ?$uiNYOUGGE>N{iUJVUp+nN%fAq#RS zV+iwj+vXRcVCM=La|jElDgM(^O_KKwDGh-cWd@5#v{v}PfCdFH>XgpzPu#}2uxx3FuD!Dvk2_zS~4F;fuI?G7z zI%b4q8EjMvSHvwtGFK$$hA^5a8H5o*LZchRy|r=IF((xMihH5V1s(Qh8+V;t6L#gI z+8iivho{I~lMFHDtk)}0nGC-N>f51yJ;O;Rt8n)BgO3O~p{P0g8qI<$&j}l$8O{o1 z{${V~z8`f!HdxQGzrC$uAi{mvdvB5i=S?)Hq~>UX8eJ$6 zJVl3C_g!PL7xU|^^kJG;r*!s;Pzrw;ugGW)(B%%DFQ$lgh{4qCC@`9XewVZxRX}DC zC1TX*Ppz9nOC3BsT?JHB-`AB!1{6d< zx6DZbC8PyuB&0(+1Sx50q&tT$Y3c6n?(Xjn{Qlos)_OYc-Fxndz4tlK*{$w~ zR@?LV_So>qPTgtYiY9aIRzV?0@==!mRdl6u^mzrF zV@>|`C9S;+eS_;HcsH-|w-s58^yw(AJ-v~0=-1w?y>PvMyo_GN#j&bfVz^ncupH{CcK^36RFvX0 zA~FZUIvg5-xGA*|-xJmTGmd_pf>EMVquc_$t6C9;WZ7-^=?gg<#1DcWvf-hO72!Fd z6B&fMSHJ2^8=i9WnOR8>YI)zv(6e?cNk!7ay`@v~=*@S9i=n}sw%mPM#n>#R^e2#J z%_`z|$|jl~w=jh?^Y{S7?%0#KW?M%+$Hm+>-L4l|p)dg1QQ~#WQm2SHLx9txQxkjr zKN|@-i)CYCl!dx}dWI6;km+5-l-F*`H1b0}y$O(DX^-qTy_^LI}mvB`~%fsX7-}b_YQw7vzUA{Dw+~k{4ngj ztGjx!7tD%gT3DOH+HLf#s#vkhLKf0^-Vp?+b1`HJs$Y6lM~n0^R`n&L(*I%p`pi~q zg)lPNKSroevwfPl^J9mOb2<;HEcxA5)WW1q-iJTSQWd;0xzkYGee-}KT=@EY?WD9x z%qA{sq#v)8i>!J($F6ntDH$~{j2q(W!%wCmGgcY;1?f|%fwlTi;T+UQ7Oypu5gJ0Z{Y{xLu9>SaXG{Bqd%iE6g45zO+4AMH}Lyjh0Al z&q5~`IWTwB?o1`O*!obJh?;;U`YPn`%^SJC=qbmu7Gv%0HjMsZpYX$9r|=^ix|ha` zBBbLB5~&He90v7zVn$u{k&59xA2RiM->GL zUgX9o8O8a-Nd4uVclk4i0@M-R{aW*g0#yCiPibp!Fb<#8^cm5uidknp{|2$0XNVJr zqm}E7!|8>a{Q0<$wnn>S&z%0N0#|4noAa-sKW2(jnQzDQ&STg!|19D681!5^+YbDl zL4Rld%(_qvLo`;nrIX9XmTCp z=b!wkyRk=>UvoYRlkY2v(a9Lr_RQ2ce@e|42{+`Jp-3P1m&y(CLkMC4^iB3Cc>{h6 zr5<1N6ZGFVU+|5^A(YG5_-!QxRDIRMWc#X(8$bJXIIjY!ELVPJWEa|P$lSbmRtY9H*gl|;ZmO@%^30?lpL!cC z&23}EEK+%NjS;;PNyNL2cdC<|lFi_n!R07&q&kb~GBsGLC*G~{>iHV0{Ut{XV>>9Y zReiiWL$T8iGo_mapTL&3@rP^Me&q4hYyPkmyv9Ve)rzs)TI+IR!i>9E%P2DPpxq~r zZ8mD`)4o>PIrX06WQRDO`P2T&5R3I2I`=JmdYNiaUsmtG>(IRs&&>HRnsB)bqg>`X z45g{z^fEu>SH%f(NKBM1rkxM2ja&%dyRTL&ud95_CUDZ`g;luDAnZ{@aKUTJx}0TH zzC2}$KU8z*>@EC`rY{7_Sjx|Sg)nOF5xv(L%(1i!Jc_ibGd#Y}i=0`uU|bT_O+v23&kR1B-* z3Bma5%sXvop(pMVN#%VWB)LW5;Ol(}R*qsdQ@Az z;)+_CiT|-qFQc90SDBR?aiH$H9s)0_zMFhbG`hJdlJW9v{*=;OVS5S;<7#3yk{aiUuK{vo%F^^KUc=~VQ#GhR77sv0QQVI0cS(0B> zs^75uRvtXl}8 z$aE@(ZzFN$ctZX-2$Ew8zF3XmM}ZW&$kW<#BQcSy!>`}MOrLFOIgFV2+c{bnuE(|n z@x1)|C*1K7-w>7j4qJwd?nE#C`9H!P<=0g&U)z|r=iekxjJSW>sR;!45gVRYlxP$8 zv9FI%mzRE8iu(4#R92pqYYG=r{k9uRYDU@ri_Hz4UX*_QZ~LF}V?2)N8LXAqHhV1C zQ+9l%5f%>D@W)NR=kaijG%XLvKWK!gESA`ezs(+I88{! zYsK>6-WcC}C!PeyZb|5h!bj zW!rf_!xUr&qY{)fDJ%5*1|veJO7v-&tgZG&gCxHpJ?~Yk`dR#yMUp&gMz30zL7n?b z$6BlTvBFM-|BNzIxC~KB<@moY+LtZr#r2=Dx(}mUG7Rk0b)=5bTwMAeU#R)kQdSww z&hg#y5x9+A9(GFMF^ACKry}5I4nKuT@UlSw*MpG=^a{o{Hzv{iqYQ||((fy}>ualonMJ;_$ zHYFzT2pv%~HkXJjQB#k^s8KfUDp`manS83McpTXJY$c+m?{|sXLVMTe=bn)g5JpQZUNwn&6hpW;RsNwFONSu*>N zJh7_wmnSgRZ%G4RrW;$MznQ(>WrP-&3oY|M5XooDb;_P$YuZiaw|4NA-`NGxBf5Kx zRTbSMc(=Eyyi9N-VUpdlxcDRjbC^L{N2Sx|J+@c@%bUQ|7ca`-{RH7dSbu8hZ!<5M zk3tzGk@Q0_1Y@oAbn;Xb-e5HPQK;afbgt+3Jgu!>Wm)$7vOIJ=Ar}`C<2${=!xi@9 zvrW%}8a{&|wlorL;>GLi-^?$neqRPFs7yzNw8i=OC9lHMN1=^k8f z;^)h?Q=>Qc3biW0dfSKz0?%xI{D|iNETvAQKa5Wsth-_mNf+@?NcRbQ6_dzobcXAK zglJYZ^;SL2RGez@=JFY%nJ9A6*s2s$=qOz}8mhQohe+_pr~wW&(^jXB@s!ACd*wYL zPn&r4p|P)AJxIt*KEFY?U^v zm*2G5t$qjpz!H9Wo1tf14Iya1{9N{@+mL>@C*7ANP4zsWf33khQOV+}X8}jtw&W&b zM@Tl##uUYb%d4*DYr=<3=$Oakvd#kCv36outLaBVrGiWv8B+d*{4VA1x0ty!zjFiz zu%RDD)1JPKWO?zgZOCLxTjAMbN<>@fUsdRVWO+f>Q>-b8WPyxbCA0;tNM-#!rt2kN zhq0G`&LfgkGZ3C2>f{Muu#WG(Cskv@>uJL|#aEEWY^xsMMJVHOZe*m~eB3#n(;s(? zxbRhVL)OXDa#TIiDK3p#+%J6e(6mlU{+DSvQ@5SA{8rP~vl}Q=w_F|Bx>9HQK)+wy zFq13G`{fTHVfA^m2s*qCGI>n5KGU>au7+leMC*pA5L@~;=<@S5Kii9cS!WU0Bs*0G6h}K$behN|T}6@~1Cm{=NWkaW98z?|VnMm! zG+~h-U1^+nGYZBjX~`2BQWiwVwY82?c1|naNn#A<2Ji+v)9odbC~HvmLZp`zWE4SR z3q!lcTJwQ?K|ud*X}HFg5`ir~?FWJ4Sh}&3iTR(olzOW7uW=)JYFQbwgQvZ3yb$P&h>R6sbVRD3ag_R(t@Vbz(^u3 zzj26yvP0lC%l9}r$p6BTp1iW7>6n?KBi{^V3B96yDgWrQY97V$&cB3Q`|IR++%U>4 zvv+W5_IJ?;J_H_PtKWJSff^q-gAw#G>u6U+7Rg_$gp~&Jc;eZxUW+~rZFsLcJ0xg; zc;nS>CV%()n-?`KK5t*U9EaGN&zc=?T03j$bXO1m3v{BCs)jq$LHq)35Y?Pa4l?liIn2;#r(;xz}04u)Xn3F^1*$@&91RlppYL3wtZDyYt|F zGb}KQC+nVY7j+D8eAM~O3k167*x8o&tJ~yg|R~x7s z2eF!8N6@wzKSB{lZt}pIvg~K5yx`qteuSGT9dIE-_wPccfI2QpPn0%+m7bTlO+Lmv ze~hY$JWTC2MQbH+xn4Z=3Bn7TcSh>*!Z=(Bf2L7lC^M=oiQD*0K4;r+2CmdsD;o>l znhx>WB3o;xDznc#*;+x{$j&z9Nv(RyWXvk8lVa(NV0-}S+dyBdr$a>1;d-0=WLPl# z`I05i627Z~;2WLf?gZCxh#t2r0uS5iJhv(JCKsw;(6rL7bu44^?@}#779J-i8e8!Q z(>HWQNQ`pJR$lS?*{0QdS!e>PAMN4mRl{p3`z9v-kw%0HzM^z{FMd1!p3=?oXW)0P zl3oUazh2p)f~d|ttlVxjyu61f=_~UNTvphwB|meW6FEZ;jq-ALS(p1sr-l)K!OO{I z8wp~_sb4%cJ7M`;5c7Kc#DZ+(B&6-*Cd52Ded6QDg=^~5K;<=GC}r-)xOZ#ix2YPt z%?sIQ%x`HL6vy6veneANCz!xHm&xSQdvvk+)QXAx}L6e;2$@xpS6Ho5IgH zc4>K27J?v1qjaH`L2Jsa;<09XcEqT1MQ7>a?DkobUoc^cQ2FwT4%4`ZlW09R3y%nh z&4Y98K(3Cg|EW%=PJ5#Cp`jt{;>HHNT{)>@H|Lf?aGokwTJL|mlnP7 zXA5s`(UN&x;fJ+-L!9T~XpTsijT=uBLyIjly8BzO2HO{xASqK}iyxM}zxoD5P~r7_gPW-BjzeLGKOd4B&QvPml1 zHC=fQi%aTB)P^U?`18LzTCW|8N?nJJIWEdJf{^xw&nBHMEi!44<98~~=9e{TKS>M9 zx;^{0>GL7*`0YB1&9!pB$h}TYD++7Q?q_q)e?67k-Ois^$u{(JtZ;zeZH#hxdkdNE z%t>4$E^2zSH>ZOg8APbc7rm|MEAa2depA*fJqdkEZK$~QtB?RgkK(VBq*V#w#;>2X zsrh&%6v>P5h_qe3l(Ou%QS;U>RyOE+A&9f?1bxEtg?KvfxwV(5-l>L~xElHL&vYI< zo)KxWa07jOsS#cA_0*ZCD&BAUi|n!KoOVOVJN^Zhu_Ee94J{I=N594q$d4kc`w69Z zKU?x`UGd!J(R9vovDvb1)XOTe5LNo8FAz|MySKk&G-sAqSPP1>&X5j=X0$rt8mSh* zd$nhg=|oE%RdD0dUMmB!ZZxkYh3vy9W7cHky7G{0>9f|KcknIMA(n-_BZ2)1KMk{S zo>tW${OP`CwR7UsB{AepXA4apAdhew{63K;a@SDBs|1Fp(>J0Iei9-5C2E@5JjUTB zaObvZnEHV9R!nFlHNtLGiuTkp<=2`EYhS4K#H+LpX>3&{d}spaSKl7^4#+3QNG^)D zu}2*NB^m{&t{mu0BHo3=f}i!O^hE=NU!Z`O;VB4~qQpO_ibt$8q1rZ+#n3+gMDWi* zB$WYAeE&=A3zf1#je79u7wT^!#Z{RC$rNAPqPWRmoq{p@iLb#y_0+$oIv?-kT1rR^ z%g}8jEeDX%RO_t7GETkA{E$JIfB7z)A=JJo$r@LdsK2V_%1Ox9ONjhM+lX#C zy7TW3Noh3`C%>O0>=10!+9o{rKg-DYB-(@KLh+8Fe-qt?D#yDj)piDTrUXo{a7L9A zohPj7(yAPu9i}(recy;^<%+=2-VB#|(;{NtbIvTWd<^vr*2eldlBoQiLB=%yGkMYB zh4yv$m~^V)VtA5uVnKyzw!^Dp&2f*WkKi_qXqz)Mo`8h1{nhYS&4oL6+-B z+{YIe=cj$E_;v2wr@a>wwW$re{dhL(*D?qGmOVZg{E3KXYThBC^;vJVyNOV?;9S00 z6V;(#OLHAl6JHXna?M_N+De45ws&~e6!1DJgTYKZq8A7uZVeJPDC`zX3QBPK`^|-l zNbD&`(SE(jj_R5Y(?-aQJZIAt;2N8es9}FoxU@8y(SrU6^~04lL%MC-#wqw%x7JC* zncifq1Yt@ZuT^4~}g$+Z+X0M87~G@(I#;-zcVCbX$pZWt3j7W3UG{_ymu zr$2md*ZRC2UX5?%aOPn_c>M;d6L|e$F*&X($v(5qT@a($W_d>DWF*2{9Y?UiT*D~5 ze$T_FB#|5VA-~rzSoulg_bbX?uqV?S4NRyrQY9m$3aUv8fo+J};NL(RIUJqDwY{7> zj$2GwjT$vAnURAMJu(f8p6?$Wry2g*aAe+RGa9JdG9ycNoLp83|(ponQc;eXhpJ0FE)n za%_Qh5NsG&!db69#zl1~v0w-jM*PX|3q8( zVaYLxG*r=OMxng?p^2RQYlJ6B9IBXzhH{69>`ymX2Y)dQSqEI%Iw8~7TNSzEQfP{U zyF&AWFC{bARw(-v`@uiJ-auC?X(Qm_Uy|iqH+Oouh8F;@wvcxwjW!uIhNqv_Dj1hD zSh`=TNfN!W!F=!(2N8BYOq6CFYvP@f(&F_sKizT3!6vH%*=t|Q%U9q31m*;uz&cpZ zdGHMm_J?1+$vDcI%Tug_VLw#v?CdFb*55|s^w$!e4sYO-U@_4rJJPt=tEvv6(Igy2 zxUZhv9I{~{`h3xH5F2H;@R@U?@JucmK`4D}G~o3SSn}Rr;%dYN9mz;@9?q|gY-Euc z`A~OTlf}B=8{Es!s^lLVE$Hr}!%tHF?Mrq{;!hc3Q?>t7Rt?Wsd)cCd1Kr!!j0nY@ zKDx|-S36XB5tL$88_!3Xe9_LAmh4C<;ik~P>L4Y2q~#_r5sMdJ6#L-Ztb_Zp=&@&* z)6zoCz(odLR7MA~F9VAtA$k0`h9@{=GipQ&Fi)j4TT3Y4K!eVwq7N05+&C*@C(_8;8_Q+$8c|}{})AkoZq8`1ERg1{f|Xq z{t1V%*P47mk09u^N_ii7QA8@!qCx@D4dz1yLPyL_)?)a^3uVlOuYfN_Ol^7eQuf@b z>@4yL3mp>)W12VoMTKL0aWaYu4g@$L5>#8Gz>{oxX^>wOvqds`!`}v(k)-C=rtyL* zO(Nz0qT8&x01e*a&}8PZ=YHgv3RZvZq9)H^7@o&{{6pM|H;o;WvG`Hg_ttTuvHDX8 z@idf$D68{~B@O#)GnwA^d*W3jK7>JaAQZzwX(Q&k$|l?Wzdhh4Rj)g-4yNQ9Y8K{( zMjcz6VZbqRo9BmuYw0iD-U`u2L=)3e=38zL&utt}n|M4`!kkvT2+uR_fXsbY$uKP0 ziXEkRVZFZy54HpnOswzN^9}#A#SN)3y?!(YW@JWH!T9DlgcjX< zfrD?{u}oi2cW;|&nZ9p=qa2LiPw1EQ(pn!3m~YwYU!8$O=~@;5?nGiNx)!=R=ipAT zed`inZ&>t0J0@p{ZMM1=#LV@PRYKxz=TQMgaw~oQfiu4GK$}GaC1ub$JSHp;vC2+m zh|OU;9oX=iQLujl|BeJiU^zl{77?DAy-y&tiFPdqf8{=C-R)c*b?}X64@w#Gl3`bP zyp$`KXiUU?YHq)SHQnzXnemei9!Ki+UcT$^|9Pj?)gLr9uFbGrp(Bg&@6Vz!-$$24zB~q16pdPA1OF?Kd?}-2WxX!=be~94~&= z#R0ecjzo1>iNx#2bvCd&utKDnv8t2lL%h%H&~x=K2H4C$&Q{GhA^#P#i>I^628!Ru zP-Yyt!;YS4i3WH@|fep<-h+|!S8jzg7kuY>=a#s@4y0%k!vxd z5AnVl_r)YOwi~WZCLVm^VKGt?r7KVh&`@#`~z#j*osNAR_ z!4a3b#Rog44HUnsIODWRH}nGunJPi1FLUou&q>^&n=&XEpPk2`A5GnBcxhvU_{rEZ zNJjS_yJYq{8Ny3!zjCB)<-DaxRMum~YUSzU!8BxMmJC55NFF~ln)8NULtd0)BRqG$}mLUFtAZa(U#!4OQf`gqZ4(!j%y-aO**dZSVL>Qb)(2NcvXZMF|%up5KLMsdscq*Na6h&_iDdvIZw zN2({~yfn!o^}lGuC3ZemPO%p|GA~t9p%Xp+7s89)v z+{KObouy!|Wh$o{aCCa6ISLgdzTtplZ2J=BYr{YZ5RO_UgT}|N9W(PH z*L=b-;n@`@5GS3>8A!2AfS5s1H_+h+@Vyu4l4grlj1Z?ut5%=EgDZ$B5Y!5{Il3fX zfm4JSbMis`WwHc`CxPrr0^vVi&d~zzp}+`bO1`;>C)TU>X^iBx;h1Tv(EiY8!akL1 z^4?kdX6+}u+WXqK7@IouNx9R-wSn>bH#iOC$vz-e``WhkaVua9=u<$O?I3TolvP<%JeF*u=u>&J&SX}k9(hkl^Teoy==cNXr4vVIzl zT->MV&<9jcKwGW5nheh{h`C1%^Ub3%H_6BmhYk5&+

ZAPg~_Qu3<0O`k%i{7s+w zNIZ#C-;-e2rhD+$L#pw78|)^c!Pzn28=L%Ww`kimMdbPElq$Aj*ba?L4kboNE5xH6 z0IW|LYpyWYoWKRHIluu{##M>ug_*RU6-)$kA7nu%l~S9iBP8;&X#i{niFK-kuN4AlE+$Ialw~;BzV zu|m&CBO6vlmyX7WEW+Cu;MJ^F+t{{5f^1Z+eFQb1p(k@NTEldGFj|&J9qG zcD4J<)+#!<0c%Ci{jwfK>iyFOB_;R==C-PNQ=5a>7%q639SCDc0A=9zLKAWj7ew!n zLCxj^1c;Kc*31p5r)D8zSJ891N@ZB+3GVN=k@9;Bp~_#}HWfI2g+Gqt%QhV*38-T> zeGWGNXruNC?#qV!D$IkLL<0$I7zvS)zh){_Sg^BX)=FKEy^jK_N3I}lcmFbbJch*% zIHm#&J;z)wDnj*~wq4EP_fthhb5C-LCDd_MeuXM?>7f=jeZA1~u?+oUlO|?((-?f8 zo7W8AMHPdqjo%AJ4#%X2b1{3-ui+;|S1sgE+8#M?gEoCJdvH zUB}aRdN_y+2;m6$#vt`M0T-F<8=F%`6t3+20J72mfj`0UtXEkiBJ`Zb+Q@tIYCUN* znZ)2yzqZpIS-r08&BsjA0ex9Ot;LPQ`S^LI8ql?!ay{>x8ZDx*T;hqtJN zf7)8>KMG?qxaNpkr{IxAFo!Mesn8qwaFD$3_f2?Sv9ZY3C3zHJx^WyBdS6Zh1a2ky z(--1sj6M+EKP3eEd9UI*w28vd1YEgKf96c0Iu{%aMwN=TXt528Y6Lfr-&FM!7~}0_ z4TMw;zm+vJOrkOV{E-;NNBH^}iYk7Y?AAIPS9S z;Y=LZxERCZ$V-x`R~%w)KFXIDxE)}a$tIdDY&VUO;WIbj$hwL1=rvWVkvy3hW*W!_n$DOJ|~( zR6oov&46oCpn}L_)Hr)?`wUkZf4f)e1%GMQsyz~YZBDXd2ttA|eIO$6=DX$TX}<3% z0b=tJ9o})Wujq`JXEUoXVR)oBnR+VTS1X#3(TD4K3nO`JhADd`$7!ZN;eyX}VRZl}D!-n5h;7o5Kgb9ckpTLn?Qj!q z#GEsq<|f)L;HWW6!KeVh;0cF=2jI8|1%bocpxasaTc}Q17vq(c`vIWh3374KofsW| zqf&i1NE-K%TWctb$`7sa1p8-nLRZ#&KnPoRfx zLgESstAvhe7Z$iU>~5PRrT_jj6yx`uOfYA_AM}u7AVBpFwbL0b%&03qFacN*|9s49bnuOkk#Z;;hDi0(W~_XJ zq3d|O+t~nnm1KbOEKS7Lsc!1O+x=>bpSwZSC@SI9e=0!tDbthc~BIm@p*?A1YGx z=Uw0OL4I|3Vk513uIVf8t*tlq@Ea7UOpibwv-r{Kx{+s05KUE0Y@B1|U>$Fu`PTXN zU6&s46F`_lPye;%SUoS87|S7&jOGBKG1mUHF~^EZg1Iyf5Jpu%#FNGBH4AQ`pBL|f2GkG^34pwz&HRe!Bb7=q5_ge=BQvwn;qhn2w z{^2Z{0k?rEi)2*R?875(+h<|%xNP9 zu0>o~r9-<@bQlLqXbA7B}G723UGy^jZU>pIH+B2?|1zZw}EedQW7LhVi_ijB>~DSD4~t; za~&8Fn3}kDG=&1+^_fmWsMi{DI_Vx@KI9aGDPueWGK_J_!XK5Z?dF+Fo;=LmEz0?IqJg1_WZj zpm$H7u(B6Ht+>pqHMKc3SM>KZEHhjGBu}QR) z3-6V$_ z8!FIphNRd~nXb~&JlEZ~pmhH+`a8Qg1I!=~)1SE@LSY1svxm%q;M{r;9WaF*J)1$< zg7;Tq5>0n=hlbRxf@k#8#O{R*%AJb2c%?K$t6SU#-2Qu^s@i>Z=e3*=eYewbWkE)! z_LWSgq2=|Z8mc_(EGbZZv#nZG>WcDYJfuNHVu@?+h4 z-c@Jdj9$&!{txBcdWPiW(tLX6`En+UsPQ(F)@Z=56bHKOZx7Ajb=3W~Ln1Wv3)_p9 z?MGY@1_%Gr+?_0v@No|fvbM3YojtsI@o1jQqlWB%eAZd{0o%{F-8p6D zzp>C5(j;QjvfHrLmkk(8w09X8^5phlO5TA3pn=7t6a@+DAf^s*1~6Jl2EWUy0otUi zx&?W%m?TUe8p1B@pbRRYy?y<_2d*X7KK7?viEID)A8}5*d>ZsyBCd8ovt@ejYu;*{ zk12C%;wOvNk6-I2M*B?7+bn0s7DS6!E3GwkqKc6~A^@;X-zg<7R(Pw$Wyi&hMwZP_ zx#82y*nd8pi9Yb@!{TpZCBNy{V(FP@r#p1~b?Ub%gF`ikWRZ!p8Xj+SAaeo={KfZs zCow)ylE}ektv(`&i}QG>gah{Y(0Re7GR#DnF?xX*Rhxk&m`ikD)sip9Za

k+8+F znr*zN(n{Lz_^j|~V zlY~e>Yg z@5EF~jMu7?$d02`E;HM0Ml|O`tqR)*Gkayf}WFYqTK5|87tbeizujjOD zvIXz?2d(n`Wl7lF8+G3LFYzERw^EN#yqZR~!39kw;rY9x6aNPN$Yxs%ps-}B|HUD? zZFyFb;bR>#H2Mj)Yv2&hfpisr1c#oAIUxh>7KSX{>VzYw)+6v-K`#e(+%WWhB7=i? zAQO%87IWXpYaZ9UK}tCFOEA;GYcKJ3bhYCQS-#*gW+QIvk`h@yxi>eZQEW6QT3IgI z8^4vFz$Ad*!bcVEriYT!uIp4J7Lc(B4l}tpNh1E;TvbsRkm!i=B8Uz=h-#P zod8F(_(y(p9@9~ggYFH&mB6pO#QoYKZA|qNmUVj6g(Q^KsL+q+9bc-&LG~l#@xmm2 zJf?@aNIkE$=+BQ@KugyWGOxvFwaD+0l&OA9Kfksi-bV(xn)%yD+1^wCq?~jnff1kI z(T^_o_Ftj#peElCv!W^nf&Uw_ccU@foH#$%`DUgSvnq?T%J(3r*D=*j4=5uJo>so3 zh#BGR84PAzfHZ_Qhg6-XVEX7@#PkI~gEy&wp#E;(uDO3dVFP!^EliG+XLUoooo{CS zccwaPi{w#XC#mslWV;Cs&;4JZ6A(j;hy$U^RJ>LhQY>S0D=&M#VFbIWOUSX1te33D z!`mQ}pLeDTVh8c_5a{~o5>ni27wgNFsZn7YxaNqmf_go|JYGUJ$c@hb+Nd|c&Vdg; znGKMTbGQ=#hRqoDvj5()9_6))`b;F#d$w!@=BNc2mnu}#KvoMe_U;<~Q z3+-6xyJMma+{AAcPUK;aAvt)S5B;uyhSb4)7P|v4(P~(^MFV)VVgYy42le#(B_6D{ zRKvguE|FPHYzWD8GCi~R+0soO$;r7O$3B)Dv~W|Q(bvKRPNnFhE4{;A_O#LpzZ$8XZ=wf}{&L!!t0v?_7ae=z~BaxHT!W+|&cE}(vd zMQm(anURG_T^TLYM+)Dtu1W3ihQ#<79bJtT_Z&cjJ+!gJRNko~%e&+Z5uDxcav%SA z&cSK^sz(9k<+{d#Pbn=^om|8wG11(|kFyJlhu_Ogr01bZA~Q}KC?hdm?q4#BVFDx% zI1jFm;7DlHwPCRJ11tr6WSf^D8h!M_#r|7IkzK}Ww&^G@Ro#-KnU=~% za2_D)K}Lnk?Tq1d%saJhOjkZB3AJ<5^_ae7q&sBLNGJ~_jFtgS3~qgnfwL%8F=5_~ z6j&4RzAGr(tJs%QG1)#B6?)%v2i-cZOD(ej%&|>1u=5ATGgw(;!**!QT zDIFEB^s)aYF3Ifw*{`cpYq)Kij)K>=fEQ>i11NmGLo)^SxDpu|x|d<#Cu`&sHru5O z^OMOnVGI^Je@>cZwMBYyyuixu|G-hA1%%Vr_PZ%ug+zJt5(_+&_b+uesi+jQG;EyE}>>t4ihzJ0b>-kTjD2b=M6VY))b(>+pAJ2 zcHKTRJh#GpF*^v0=Lg_&7%P6Z$Pol41qSL~K-ZhMhE1{D+$cHzhCBBab1ZSz-o?AW zN$d2W%=$>91MQhq3yA23m@TMENRiAcO}#|nOeoL_Sa>-DaA@91WTV8DksoMX0XQ;* zjq?RLKRm6*D07HoqjzeJd9pqPrey-iXwhzb^vmIoZM%)Wc!EWlNVJpISI={(6QPfI62zX+CyW%?F<)X1OJ^N4|=g8#b6q?WY>#vR() z33Pz-!|H#sV@-k%#;N($n#9yhnnA?bsYt8~u>}T91i=G|a6gXQnv4}k!nd-<; z*celV!E;!ELyUeT7A8{**6ISD{J=KpzgqwDR37gd%OiOZTF_49%P}|br_V!nOA71u zX>BoDRl0S|VC-)}3BKaUZ4G#L`%HaB%EAzyOP2$ zl*hKWP+`ssa#YiqQq_rDak9CC!~;;h>onPHX4ZgCvwS~4RQ`4_wZ59(`uU7$&dE3i zXm+5&4_b#eYK*=22D`#J=Q6G>AN;93F;5J7t}qPU+ow3*8~66o--o8}MjF!uOC zG-{LrL$9i-#NzcW2e6f2cC2&z;08Myl`3G5B+@_e{4ITV) zfd2y4$%?hV^6Rm2nzf!=)qL!^-o9n(WVkGtL5DIRh6%b?YC4T^WxO77upVhokAF~z zYjX}!2U3?m8Grz=c?jt?tz_=vS?BPvu>YDaY9(XjvDk$C6)U&EckU;G8si#9kL4`i z*+kE7G?c~DRUGK_nP?31euHir&pMu&6o}Qj-8@anyr9|6c2d*nD!frWhAt;8s=Bm07i@Y~(FA#TtG)502%&<0#(H9s}+k@--OWEU$e(sn?UDL#dY6J&Hp3L8- z6{JcxTAfXV+TFUbhjLYKV(nBenmxWMJdl*`Wy-bMnsJHu#O1S2*|mNif9Bz-{QDTC z#((XPTNzrns7Tw_lN z^X@LmDLpw`45YJef`7-y4|+9Zj!Pxo@VKLd227VdNN?Xw%zDc9_hL(V-PF!!y*5`~ zP2-sSscY!k+FaT19an|y{4f(|gf6^GnZ~udtg#FI`EaWv68ND&3AOLYzrS)DC@v_o zOdzteuQ;S(35Kw<*65{?gXtXp+xU^8*#!1-dST4leL2~^<2OE@sBcmi7gy=KBmEi#rysy zw?^}4cbe^g604Dfsh^;N)m~x%gg+P0^dZaD_N#NR;AnyIU5;_0+lK@dYu(ghQxmzb zT%u>a>k-#^Bo-qTI8X-<&286+``AfVka&+A1P%lIj)e#&WrEK2Wu0dXPB0tX?f&#^ zN{JI^O^kIgeyr)Lmz&L*m`wLN8~ppurm;<}MseH-0VD7EreL>O6k$@tTxE%6pYEg> zof^BUkm8g7q65Q040FEj1$%`m(@cQy5ro$imHGCHmS@ntfV}p6X$4xvbfQV*(~K5o zJsOu=!}MXm-eRP@`(QkG_%pMEx~aMNVas=YEX3x7M4!+tBZ^}CEw#-R5G&%xOqn!{ zSS1+?HmjiM@(r%X=sO8;zLSP5&EY|k=&R1H_D#{eyG9upTIAfRm%Lv(B_sa90&ltK(vC@@qkl$lhOBw<0oT&uyhHN2O9RJ@-+`v zuhs3#q!;qyj6b((n|L=OUwDXiX<$lHl@IUh~E&Q-u zQG(ziDW?w7uVINluK8xrzI+YS3cO~WAt{z}y0zOfiS;;(mad-qCZIOs8O7jI;E7w{ z^X>F0IDEhdDmPD0qzG{Pi@*0fu!#fFfVy zk2UeOI5F1d&kb`HB-a$+*r0abAbzUB`>1bFp z3PVwuQWpq2u<{8LHsj>7$#SUC0e*yu+e2(sD?&=-VUDic!Wj?wGq(I>?hQ_+u11>Le*j=x`+6k<+unPzL_4{yi4f8Y5vPQjIGRq%Z9Gn;y{BKarv(9b|#jcDcoe(nf+YrHjA^NOG8hnWl;h3v5haZkGwd z3!tFo?h-qEV*JH^J`AVpNPt?VedAG`T1Stknn_RUs#B(}f(Q6_TqFlPkOvv7vB7~4 z9VO6q=EOj#N|XmRLHZiQ$bXaDBzC``HvU)S^*YBQP}TfUrUglo)) zrY{wYX3Z5Ow?64;?b@uL6&M6|?fQ3dT=G4WbkMq+=x%qfmzt8s!Dwqj>zzBL#)xF9c`_mGN37HS9mA;2y{lg|8K;BISYCLwF8^JCOqn`ZC|Zr1vr zsgY4gaLva6ned9$A(!b8;ezUvrbQfE~{&5t@|#A5UK%57qm=|87yro{VIRLdB4M zr$VYplCtl+Ft!-GcXlb>k+GX1RCdOeErb|DL$Zx!Fl1lD*yi^feZIff%O8xHIp;jj zbKm!MU)S}V6Z^YOv^F)8@bu!ZI8?Nk=hfm(#n+GasC72LXw$(H>2OQ$#sbSq)Zd&s zOEBC~vz9R&Y~pULw5gteN|WIr0E?8` zqHMn>1AAN=+W5~88=L7oKk<;2&jNeW8s>#4-5wi8JxjCZMf5*&zI1ySvtD&`Dy3bT zy;%)Xx`4=LEpvlKqufQH_rD{{fE2agsp3JKvFlp-UmY0G74w*%!EB?{z1Iqu%x*CL zYsy~ieWky3{=z#-j0<`MG^7%}>05zog85F{|0v8zzl6M4upjBB$LCVPgG>%BfYXR* zby8v3=e5VpsQD6o z{n9Ff_}7UQY({L@P7T$1N$YD>>X)4rwNQG7Cr|Ecsy~*x7bec^6@xzOdNMmjl3CQZMVn z0o_Zu8P4}^oGQ&ryk*AAZcUr{apIV%GgU*t7Z%$nqu6s{oMl}-!Xqk|D=VDcdsZC1 zv;0zn%esT1YMK-ER5&6{#kzW~T>cq_UOi?Q)^I|0&O}aheL&mHsYMGTPTb_knk$d4 ztm9o7-5OxBzoxV5-6(RLS}TJH?Y%!l9*0}*vT)oGAUceZAr3|Z|1u#SLVVEtN*_$?TWLJx9sFQEuo(|@hO86mMzn|uXe)Z z5-xH{`tL4fIb#7;>8nPDcSM#eeNG24$FMK^U?(QZOa=FK$4 z12x7p#;eyO9Xhq7uF+|lOjp27nlqkv+^=pqG(3aaMUl=SU01zDNwJK)J+DJIThK#m zq{iVJqU*cWGJYje!CRIzVxl^Xh>`ipc-BZ;&ePl|`Jd`B;c0Q_7zyj_N#Ck!P?j%y z%uTYm(79ZDew{22uFLWYjGsUt?8kBZ*xx&TfrtJkZ_A}taI@u`E9LFF*a^vYaivgp zu1JTq!WA~>W1op!{>xXKzc{e=2<--EeBCVe*wAUl_jcOY7fmg1izm~E8Q-|Zl|^%6 z%=$*kVk4_|Gjv6KMvu$8IbgSUT$h9I+{M6~48b&+U6;#ryL4LiqX zeBPNzhr>3VAq}D8V11)ARpxVune685qK;?k;Vr#yM^;VznqP;b;SHFji3&}t?r>-RN8mte*wuzoqQhPNAc-I9x<@Zm2Y5T$iM+N)q$p>z zAo-m6zWwm`715{(XKvQQ@~`l%^NeFO9NhO#3#V#BpBPzHvN#pP?Gj?BEJYb2G*F|9|He1S*K7UdY zXW@2V8RCNe1oKp;EZVgB`GGAZtMTeY^qJzxG$p%ul{I}>fr@^Wg>_r?mdr3Yu+|g& zEt#a!$;wDLPLd&h7`iMXRMP_HMrb^_^rb($df&`{Zi`JK+rDyJ1}aR1kY|Se%G8Mw zzd`3@uAxs@y(d96on4wVp^e!N1oZt^wY{F)kvTNlu_U-ZMUdJlPF&YItiQ7&5q8(9 z?z>EDCts>Z6uOe96aT|ui9DN{1McO2Ez)6bT|#6q>I?m}-A9jdDN+gc`MOq~4wlKD zjWp<~a$V_=3n}$mGT^Psi1Ss<3y0RN{O#I?ZP|f{b!R6v-%8T89%eX4im4E(qI0)j z7z6_t)NvWhiV~Hi$?Uo}e=eLmnV)IFmBYnLD*(m zQ`&=*WRNXfsuRuc^Rmgv+rBbVF0clgH4nglHh;xkGx|xkK85L&CvwRm5ZhDE7nBW3zo{j;M7meg1Y^1bm0fYP z%L^3LbKB^O?M-?;I{(+a<5rvuYue^Pt|{8ZhiSpacmJ#0L$~`+4Tw#^{f~w@cifTh zMIBwNX(KB-cO@i;VoP-W*E)`;I`Up)b^0CyGoHGJjLCF|AFHM z0e`!l&j>qRyXTye(5Pt)0%F_AdOfPKz9^ibXL^d)2bT%T1u?>Y`P_qphX$#NQx7np zdeDr&BCpbON-OjSfEATXR99U7qU$2_imKFzW#%{2i>8PM&)*UC-1pqFX%H?tWJ{7K z_@FxfQGo~VsyNO)%mLwk9{Ed5Xjfe6k0~>Fm)STCp?Bw5 z=D$(FDP8kcC|4Hko8P8fXU{~r%uL6m(DtgPx{oLqUho_-l^4+hKVmu|4wPyq<0Ro6 z)^TfD+{A=&ncsC1vzEL%9VRfjr7vIhc@~2<8FrgC(qXK1rHmRN;YYu6<}o8eml3hy zZ_-ZU$o%6Z18VGB>S29}8y zZ^h-8mCH11Ns*ZGY_$?z2@SFvBCOz$AcMs}6t3AzXxNvKm3XTrMP`QAlWn>)EuR!) zha`a!!hhf!gZY#=YjNEavsO*C-n8>fE_NY3SCBu ztJ>3gaPE|1kuB~xxkQSbOxs(bZ!0i#cm-`E9O>}htz3%oa7Sv~b0tK(ZzT*AnN$yi zroJ1d-RQAAD0^yBu1hG9!|i9wX6h{%V%gQPS$DMJeEHHNI$1=5eL40rdw?D5i}63$ zV2-?uz=daNw39V12A40wYb-R=l>czx_yZ#xcIgROFZ9>>&#ipXRgolQZ6%cw#ffrm z717MWTgL<6sw9<(yo?AAeizQXRwkq5`fAg+OH3Lt#ho)Y>j)C0v0r?V=!+H6=$n%CEVnki&xPTuUw@`pcnsCN7x#6+{nzdf&^S&KQo?LS?&`lBYYvl-;Y#UECz zfaE{#Tb_p+>gmUO^ll3hbc7>mJMpst3AIBTFIwx=WSGHeg|&FZ2S)tRX}862TBvB& zP`F4X=}R8v_C}QCJ2J#Nq)XX|o7KxPZC^p@fSf)^{i3ID^WgL8yY4%m#kZp9u*vzHh>b^v%o) zpS2(O6$#)*n3@!|xT7lBfnpUzW_HOhny{|@p%bYY*yLZT3?iq7@^Kqw&BgXsc}I#B z&kDc-SC&SjvNoZv#k&#Ib)?FDId$CZ^i)~shI~*0weK=p!H5krMzLQ6j8v*y6zoazlcv}OLF3`NXJBBU+NV<+ zJZ!j{@*uFz^xj?ssZZ7I*3u~IYz*;jnl>oQSX+f(5tNGrmx{;RJj$_oQwN%z_InXW zgNLo2oFdB7?<%*A7{5((02fsI>BY!yQzy(hrw9zZEMlSe#oX_mQe+MV`(fFjh~eP= zK~0y=(Kv=*4xVK68q2#THqqA$P@iGi^G1fnv(V=v9XeDM33)l%N%rutBe3qf9h^YH z9;{3$-|7T7O_t!%B3bk4p`!|1B*~3`Ra*%h#wKRu(+h`=Yq4rrhd6EXGEz#Uv zlu^vYc%E;Y{66wbTnc!h6W=@53C7?6w^>QzpgUSHKfUDRn#1W(B(IN0MFhsv8`=I~ zGE`f5Dfyls(t2j~K+{R0dHW&9cdj6h{dVGe#%;&83QK;Ci&wur1xT~HkRi@}fQCO3 zv*>wl!}OCIs0kukhcMP#)#d)|g2w~dk5J&qa7osllHhTW3}K9fNmG@%XDaY?l!qhD zm5zyovLM4lCDD%uU6>ZO{_3* zC1Gg}>s6KSif%-yXn}$e0Man;KPtcO0*8kBE`M7psbb8Kr zNyhV5M#H9R_fg4YUFvGin`0$0!!a$|!lNB>c>j7$^a@m8M^+1zQ|~ob_e$%#`X*o z_G+WstgZ68Wu)v->s@FuvT7k6OG(#Onpb}sn>zcu(F#?oQ?kffiNRV6<&1jD7M6f8OVD2 zIgNXTJB54YkEUI_e}ena+SqSvjC-nzaRcHg8SU@Jt@;$By2X^nIC{&L znEWz8gNW!b3Oh}$#=yTO;Bad`#Ybpaz5Z-clyGERCrW&MPwHLV{c&S-#&M8KE`ww_ z%6?;<%pE-Av!V01{pI%Smv0g=h`l%AS{# zr~cUJm|P;NgOwhyrYW)PG1{p4w}S$cjfWA_wYClRU;b~k-lNu*tK?w1#R|1Q1uw^9 z!Cm)RucmsiE?sHoOFfnI-DsNbVI^BZgyMl1XQo%Xy?uBX0A?VDX3Ot4KQCs?cmRM5 zfHw%er4|cmQQ0dC8<(I=M<_~3G?g}38|@YSH|BIfPL2lk&a2wG29S*2EhfIIeF;B7 zoBMpS$&*THHGzQ)*Qtf=iR|m`RWOZsEJYH8a`ULS3LNf7eQS^J{TsN=r7LcRZ?BkD z{v(!4e@VsO7yTq%CC#*vG}4V6HcstR4f3$#SyBTzkYGo!Ho`<{=uB5DedOL zS+dL!Qb(d<64CTRWh?A3{^^{_4p08`-UR1dg#QiZl9-x{bX%MnedB=>Hh|;m`AzGd#POGPcV#WF{LEtEC?h7)NV8B~z;) zZxL^wHWY8rzwqp=9M5Y0M}N@@E3qUbCw#odk`|!+BVJ8Qy=Qf366phdKH@;4cBrM^FzCC~@bU$~ebJ1DnM(*lRmQNmWD65<} z>%u>ntY|EC3A|et-wpE}u||j%Rfdh8nC!7DzxBvx{k}I-)T!4qckcPA;X+U;0)<3N zPXnVv(Q*r7j=#H4<+Il4o1ncTioj9KDh#8wHi^Rq5K zowJsXV{DQ`84^lvo{po}5?+gWYA~w~M(!maDzv+3tbUxjka@T)?RyEA_-@64QvgB? z4^EB|{W(({4%Y{Kl?;!jFsq?FS$iYxK&1=R`wN+!;!1sQmIgiejS{ff}kHm@50_0e6~m zAcRdBdU);a6le07AW8>$|(2AP$GPEdUBnlM-tD-+D8vd^|YcH$V`L zD?15IA0y#q{W4#A1)X-($$_mQ{1G-$lUfEBGh$ z4l9zN5fXK6xvma7Dj+tT=x((7!kA`I;@K|WtG>yyqF@^Ef$_#~dM8Uw#$VyhA&d*J z&d|xgE5{4-b_yOpS{H~}Tm9SZ{iC&AP=yCz{ZX4c9a6S?s`TPtP+YZozdJJr6YOQdPE1ynssVwPAkTq{i{G6d_wDV z$J+uv?}i~~KclH$8NJ>$t?DiM)Pc!WdQnfJNMX)Y3D#%-5ju1xzc{g_cfD4p2^*2F zoc+@9vgY6GrINVQmFqjzd{^Qi^2yFoSJTZ}puoE?5DTa%P!O>ndRs*t)|&In0#Z*F zQoY)hMrc{#pr8R7sd~eS^K=PEKF7VCs{fr zTaKqS!IiJ#?YlA|ggT=BqtUbJPV6VZMAqDiJq%Hm^Pey8r~F0UhZ6xm+XIEvalGmJ zNOVH&{#EqsWACoM1u;w7H_MwB5?+!LW7Fb3&sRsgxh96|on^}zYOVd`mc|gS_IvvE z4aE};^Gcb=^pX6uk+i!RC0qv&0Y}-QB_Cn@B}dx6#lnQT;9PyJ-3=_@_*`Q-&b9@a zw=$fk(jt33_Mef^2`F0r-iMvW(rRuPsjHA%<}3D-HviAW)umBm}505 z6qz^hiH~~~&rJ5;D)9`(6ZmA4q^YI_VS*aN;rJTElqR!<`*eEQhOV}p0>!z+tM}{` zkXiN1a_TV+&VO>FVJ;m-jDUd?7I_R_AV!QN^pyV=z4&hN2z95z z#Sky`3wMYCZ_SG73HvZ>U*#|q{($O%g77UPp_y%nt*0qo`uQRS7vDQTtnKQB*(3?j zyoZA37wHV4}^h@&ZiU37pnF@ zx(O)&u5|yn5+zr9AbyUIje`Uj6)@+yc66&H^Ha)>j?G6v-STyxuXo4zWACVFs4bFH z-*{G$=g?|ujKMIBh|PSeGRSn1d20`Prhs7#Q(;BL2Xogmo`>&27sa)5SGMskqR+l7 zV%IsV!~Rn0c9Zc?*~i6IX&Fwi`ZL|4n1f3)0s5wHI4866DagF1-KA&QXzUCE@&>$FSqdMJqtJxpyym6ijdGE`OI9IwronIl9S{MDsyj5 z^ho^MzPPt%+gI-ambMuym;|4DSBWfozRsTX zZ!l=O9pYegd6$cP1Of}cR}7>zIEih@ce?BV0I##nvMKMr4Vz5`feye%r72Ld=7=I! z{Q=AA^4WOIA5RqtHpX&0MJ%ZE;+L1i3{%rV#7eck# zmi=0*B~vq=pXC8h!|_1SWu&x0cqiyaT>Vu*)9~Hi8R4cE49!Q| zrfJmcy+O8~@xEKF&8wVPp3In60~J(BiT5nG}Pb#~~i*m-zMxaOmGwm>zwZPdsodogQ`C2r;#Fr9-0u97#M?YlN3T%(0)D2uAf2dp{^vc+X5 zF5!XHc6qs+=HK2f+R1?9_xO0^$Yv+rBWy%-PjyR zs(^|IS=P=mSat%f_aoPv#n`BZ1gkGm9a)$wZv_v?)&yG12DScj>BJ9HzuIBI(5mak zqP__SEByp2qp=B8q#%H-j=tI0w~hd)a$5FgSc$W}3~6BYafGo+Ev)Keh@P#X5byK3 z@2s#ne6dabVVp@OhjdPAahqF=P>oVKL)EOw;gE>^^2LX1K~)*oB|AW#*Q}0ygd=0M z!cb>tzqTxl)>_C@xO_?^a4$}KmUC4HNA4-9<1pXvIw|Xa`>bj&-xOh76HaOEa1uGIP zpQlA1CCok+X)pG>qQ7?7dFb5CD?Q)#G{9p~2mBgTW8P#}GG<#9;K;u-TWfVD>c7f{ z2zi&_Bw>T)k4Ic5wnkX}2kO?zgNGaP>!Jp+D4okN=POP{R+59O71;*M$z})BNp|vU z^Px4DwCrU>T-Y!{z38U*vdvnxGOfX5F%*8+!A}nYzs01nIX4#*MP?5rlT$W>O&VH% zSrKlFEpS}@3j^LB)}cphN9%FwtPrhD^3L#2VsqYKmP7NscaxjHDJKGV>5Iq`FjYtt zG$Q&6a2{Ua?I%lIDKHOzDjL-IHoT%0t>~w?IpY>{s(;E2um%9f7+rU4(Yi0}QccEj z11z>lF*jJgu(jCZq4^i_%W!u-4PJegBGRGvh2S%DBHV8#mv%fj*b2g}@c zj-Bu;fZCYkXmBE!`Gcj*L-9X0Hcl-<~%mDxl-dK~8PHl3P zQR>449b`B`SiJ@?Yu?waV@F+o{qc**K2Cz2qm@NCnS|res}*m~!`Y5H39_y*A-Vqk z2n*v>Yoy^b0Ur6QbSj{@x&IUZO|BJ=J5(dMr1h5DFU`8kYJbVbEqo{U)X1|HS19lS zObPh0g2lem9N75(4ep6t3BXv^eT#()m2@wI?SverrPBEU5th8Y4yPG>F$ z`>>ccd1m?g*A{v%*6g)APcP0z}2LW)U7 z=Yg75eW2Fu7e+Ua9f`Ac@$qXGR|06Sxy-ow-NR)L**VjBOP_*@GpEFNNt^71y=!1xH03lPIt{Oe> zF|#Ke{ml$_{JH3};n=i$Iacf*wq)bxFWnq?yW~T<+?qBO86|d6oLgr+ZpJoI;^KzN(lf#Fve`{^~7SOJvo?S_*?n!f?Dt%X! z-dAOUFNK9~f&<*eN)XZFI00j zHb$hq2Yv?-8Y?4j0IBot&`|g~)HSGKpGS5pd1l<-9(@d3yqEC03zZ{0?CB%uukVUP z&lE-XMA*02m$w{e4K}c)6y24Ju)oAbx8>%0!cK1r-?QWhWogg@Od1o%heHmSw=@3F zDbT?dYrQnbQ2iU-gA##+B2;zXbZh@}#TL8V>gkVp7PoLi9T= zM>PkaUa@66mQ>kXMMO2!8SH57R@phS5o<4jyaFvcuH~T6M7JIkv5X6n*z6qRP&Vl7 zYNPNv2V5KyKe5%KSYC9$nba3Rf_cy@yq#sWuIZ;iqt8|iNd}MLawGN(zP|}r=iYF9 z;Rfb=Ep77b5O-OVmVaSj6GZoc;mJv|MSb^k-TzwK^_SV2^jJ!1h@~FpW98tV|9JgM zSOu6!r`GbdoPTcU%-WR#)caZ&V(&l=XK!L!4%sN8&D>0jFqy|V-CFyX8m6G%-%^Fx zD_0Jnl_jM0fdabaZIBu?2H{IO#*aNik_xNcJOUK#$0;Vm?#2$0U-iOO|EYoW-tc81 zP3$H%fcFgG;m0>c#@r(8(KGelr?t0*t4NUTBx%3f`q~(^VegLyxFkzQu(len8}pyk zUZk>VfaL&kkn1jwbMyq0vVx+DGev66@a{K1nDTE4Nm-@(HGNMGvS}5Q_O?H&-s2rZ z54Km+W5>^TKM!_NS>oRoJ&GAnQsx&d z90_;Z@p&Dt|3cU=xZhpLbE#i07s#hI?g9z_9R~8+H7)I^CWmbX`DT>cmp+wUJHxj! z5!F-BQIQAqA;3-r`|Ej4EhRi1{oBgM|1#{?@>nv_8--$?Z+NT5)^+@HwdQ|8Wv@7e zJG9t6;x5V0C?E@b#&fXPiPS1zjs%p|erT)X*hBLTiQLr%Rt{oBzOnm}$z^2q?MhhA zT&;!UZiZlL9bFa;`!kNEpffmb4EOhKB``Yusrx#6lXsLp&A zYM9kIcTP`X-*vuk>Bvh1p_ zB~r~8A=u0p0&G(?BgUJikf=|-8cDvwS)OY@cR8V)u^uww;5`_L34H1S50-k-8l+-H zo^F!fY>Zwdg|qNEF(S>);33wE*xwaL<_`dw_HuA}H##(MLx(gL==-kY?>g6)>8P-g zSedi_E3Rr`XN#v)a#iiQwGF^C1wcmvGaFQnSzRged2p}knbIU)q}g@*lJpT*Qp_7YTdgBmIDv zgj`V@!=}x&ssWzCW@q0KxoUynfE)}x-4l9D2z~=9o8YX?oo>$zt^}6Rwj))4$ZxH7 zk~uTq}*EVTN07H>*{2-imrESRhLm7nMc=ij#*xApI%N%;XPtJU3?lc zM$2X~b7QtFhuoY4DcT!jD8LW-sj#@~-{=e+;}4+JMQ@b?v>o$sJYjC(d2eIRQgVA- zHJzxq*Df6sIkv_F$hhU$mQ(KSN{wjxFl(Qgfq1iwQD03LY_KE@dlaKa#5rRlBe&^~ z{s0CXDYi{0F;6&$ncG+&5OtvR>f1y7KIEBiymduthf2@qfrgprWT?RR^Xd8qz$njV zd@ImcA8ht_=Ks!H0a$=_+f#GOas5;3ZY?_}pl<~(i2dB@vXp{YM#V)pENId};x5PD zX(^KkO;lX9GS{w#=0>1T%ue|8J0iB%NJoQgUV_HSW zPaDPr2XEi)}?^$kxNDm zV(x8JG;(&kpZVv;02FO2HdH;Y$CmV_QER=RhEXU-s0m) zUXKiDJE42;Ps4*hCu8>3zCP&vgFNi%wS>~^zXxk{NIE1Ju6b#~p8E#S?TbR>)dER#59<6MP^%3e;;76hoPOu^MWi;3!tWe^z%Z;A{B7iPz(Wml}4<~ zf|i6=wRr)S3?#tqdsEk6rf){Y!O|da0l@svhkVZ$PWxl)?Nu#3U)dbU7x2>A71?{f z!Ws(8>_^WO*q2;{yU!+-{=;OpgPq`*|6sH8T$T@&S-<4={Aitd%=6{{B8V2 z(4hQP-7WGO;bBpnrn~Y@x|=bkTGd$5SXD^+pY= ziUBL>MqlMqoL?>TYCHdVJ%cQyV~@D`J9azH3?@v$-s)XQ4>CjVy&AJDF1;^wP_DAg zaqy+w=WX%e&<*7ZXEj#%C~2tRK1A0be^I@E>0GI4#n;i;sZlv(H*QO1C|f%2`WmEq zON%2CfR6b)r1(p!!Q>~iv>fNzqt$yQ*r{dX+;+xHc34Yq03_40EAD(csdgA0OagpTQp_Q^RKk$NJ9OrL|NogR#yWU5KnTXpPokvWf4ap@7N zL3gc4?gF~#@cXX2#sV*~BO-5n<8uaRsi6qRH`;4vYTS>Dj+6ag$SYf(fWwEMH#r^^ z3m^md6S*#K4+GNy1r7DumFNH)X&8jl2n)2qWD5qVQssbI$pj0=zyzpnlqk05a{c{Lu(nsb#z zjPN*h{QhjomD!Y4HdOYzE=vDyBw3%3=ets?djWl=%hcqYX1JJWG*UHGx`@<0Q11Wl zQ8k;hy}Ihy!#;PR=3GM^C^3l5s#{n$-N2dEde%nK!jsCs zy%<5(oC_>tSakvuScc4ZQ7nXi9&+Su|Rdh>|qt{T4r!Bj;66`{OA*dK4OkoF1~i7(hlJ8FYm zF#86P@=AR14UaQ5Y5Kmprzs~X07-k)QX3gCEp(hrBN*~6zs*|Ou^o3I56Yh|KBD-u z5BKwOSL|4CK}Lf}ho=7$(`iHK1T(ByUmT4WWp>j#9sOLnY$4bjG2HmM9J|@tQ*C@+ zbNLHbnzEDEY@4P6@|qL;r76PCm8;Dt=3P)1D?gM__H*}F=?~|VS{=*Uq#%)bZdRP- zo8lMg+*ZeR-=pSGDZombPQEvP>nfa;b8)<+hC!RUUp2HMJUrlTVC456s9Plu`$HH? z6WL3olFrRiIyc`N65)`b0kB+^4WFjO;z}&wsE%|1#|4a|qvLp{5?|I)&m5$5Y2=vL zXY4h5M^Y>G#`LO!-uHFuS%tq1)@X9A%TmgC*HeI4(rRw?<>7|ZnF9%huP9LBt~tnU z&`plk(o}N8M9+ZO0mWu;gCfG}-=B0uFhQd9p^S|yt~Q6pG7dx!u8$fU7miHR<_ zdJ=QtCR}DupAF;f4DOZieA&oOK7=LBP%Gns0lMGlyrC*=Z~4QGGA@#>=IY0H&s0f@s+TF{*wqB(6mrzF(eE>S z9saRuQsXFq2Y7jdiYeHDgJi&}X`2f@6)r!ioUtlG7n%r0XGxaZkCT0|=~%`?X@b(x zSJTxpS{)ep^>$yL>k9*g51Exnl>#i-52UA9(0IE0u(jaTRe7AJEpQI0Tjw4$eimQ9Kv}8T8%OmWl%|K&lL)!J; z%CM;W6Tfx2tS+)+u?`z_UBpuZ+BrFF#_!_I{#@6N3S-DE)q-d`F#8Q!uN&xuf!PkK z@`oK2pE!PDRQ|RZIpC&z7}%XZ_l3V8j1ScjbQh(psiiwEs5@uSu(6T!?dR;qRlLFb zH>Hh+zt;kD6^I7G)O4B>+qdZ~HwcwFRV$6@`6Ilk{Y!a0wqAQA`;i)?YPIV>V060f z5KYgTzpl1mvRlHB=GaV=hVGfwWYMKH2E{cG1PL%~+w@g_Y?*r12c>MmVE|p3;YAZ; zwuZ5YAiEr^pW?M!!W=UyacsA$jX5)?8G2Bds%Q%{@1-QOlRth@1hz45Y8$<<#knl; zp;!u^Dd2ljyQgD)J@$f>z9zmBOV^IsW9!aqO=`roFb2(@s4j)VEz&`hT@yjKTMNh~ zJi*c@B9I7qAShBRR@;>06zuN@+rIQY@BUm_zC&Z(*K4G3vM>0ZHl2TuP5O-XxH<+M z!d`ACqu^;RME_`EGo1!fawx!H@c^((kVD&v zp+`T$dRPMY2I{LpyJBkG2h5%NSMhnRp(x995?{O(68wTrDDiGFaqjbRsdUd#6wVV}Ki*%=KhR!Y~OhGLPO8NNDN zdp0V!?q7!{%jFFkP?6DGu!+smX}G=i-47c%EC))(@^$BPOr z(0_$qI@gA@(+wu!GE4z~iPYX0qy_r2fw`>I-`T?(@BCxzO5;^@-NollerB%2EsAM! zjaHC1SSO0WXVuK%f4-Y|wkrBkqaiVXn<+p;I<~uV6(Xpa;l4TavN74xyxa%;fM8Ek z4o`C+>)BASswnxitTRW%ZS&Hb8ZALB3$+gpHx(m}E;ni>=>ThS!VRD$nh{Pp7O8^o z7l$22IYg8g|5}+=?@9wJtIF(!|J%r5R}9Q<~# z#|l(7&~l7S@$ge+P?bh| zZM*|)@yp#hmZA$iB5?hmd4OXOvaR51ny{{Zb!6kfKKI9toh_n7L>jc9bq${79Jx?o zOPg~D^zuXPo`>(Qwy!>6#LQqCS%r=_E=kQCWoe+TY%y*tw_F~ZR2~I^3BZO=o2JsY z`M`i4esI<^eIQV#f4ot8F=1kDk;@;W3t&wflIlO~H+0rH%DE^HfioiG0uu7R09wK4*?H~?m88eB7_I_c!D&JVYmH-{4?^*$8hT% zpOu=!qDmR1sX%1uwf5C$;J+GL2|^e658%ZLZItO{CCe|?%`D55kv0sq+H~gD{*=po z9{Lu-{lp4b26~rHq7%4=3SKc_q`oN7sT_&r@;L9w5QY6Jb8oF=w+*l}*66u2OjE;J zP<#i{v(%)-^jQ~H#&fYijQ(;DSy!jH(0=8g zB(S-Od({WQgbet$-PqIhtZeT-GT>yM3HbHV!iNz3+rp7K;WfhV>v@H4j3;LPUIQ== z0>fHVn9BV|R+akz22vQ9;VO1aqMno4Mbz)0RzSq#h>9jnWTg!k8qC@24%MfG&)smtq>X~&f&od?as4kEF+`ACqC$ud$`aj7gB!wl86sDC2 z^zc!KpOk#9ufC1-rSX%6D6S%0Isc7`Ykxf&#hx z^^T0cA8LCl#HebWr4$SU$k`ju)_8J09(XR=asx*%;CMoE4I?dA{I*5u_`;3=@-9$e zx%*lZND(@b7$<2dTOQxD+*snp!PheuQtGz3OFMSw{CJIn|LnaL%YLwP)c z74Bmxug2K;>xJR`ooalh7k8w#@Rovpv6U(Mb}NBo@(8fdU)h};U0F7~L8Rome4bE<4u|_j3L<98(Bv*5munNV}U;Llk`JCV&AV6B_ zv^k(0m?f3$DggZftg#p~1q>QMv4gAsM_&n&zp%db%ewON3n7m(&ZUU~?CoqE(BwZ1 zoH)SuR$l)CCT+ccfHW!EU})6)j(=MKg4}$msHGZuLs*`(!gvRW$phi!?8BSybdEteMk$ z(M(1O;^l#;_Dto|q|rCy?|d$3NF%6xYCIF@Sva%dk^*Y9`2)Mlozn}(_ZQBR3p1P9 zZk=mLFQk=G>R@{j+#YDc@-2Zlb38t*1BdK82JkwN#sMKPP<*5OqSu!cmy{#wzi--= z)HhkWYzk#w2t|vu!yo34r91UJs)o8WIOfV`1kYpjgA0dDHHm0;GqkB=VNZ!vVB6|X zK%Lc_@;XMr#;14hs!1)myMV3YV=UvpVpE<5-ubMF;Y(FX%Nc!Io#X{PBaU%SQ4{dG z_)k26q5}O>T5fcfBL^ItCs5dIKL64cr$?mVSF16F8FxSPwomoU(J-wVygu6b;V>HB zYWkS&7)}S;Cnh3fKvkxDSa*uSQ1Lya<*0K$r_-QAFJ-vc3?4TTIT7(r;P-mtQ{MfX zlyL%HOi~qW2)K{tttGe{JamhkvXg%*%uXbD3y^70*r`6wji1Ioep;e2&@$Q4a_p1f zLe8Z(`f_|ZA_WBYMqBYi*tLj}xxu52fQ06zA=}@}%$km|%5uvZFhcmO^JHx0z%Tcx z8Pnf@X5DKLCm!}GPhhCc68~-#6q8fJ=!3gN*s0DObMug zwd;4FM%>Uy)BsN5S$*!Ec;M_K$V!xa&}X_<&a07DE1du7APqTN_T6b;&bWPVAb?U2 zkEz>rE%OT4U$oi1y7ESQaN_P?yL8JaD%F~IW-Ofa3D7862%u0Kne+tm#47LL0>{uA z6=3_YLx&Pz@wFITDiXzKV$UB)6w|h6a%MIWdNvGR2di~iOGCBU(oyGiZk@XdFY1~Y zGs-t%rm(_V`jChF*AVa+gA5#``)Eb8&2;U1TBbM@vS0`s+fOL{fo&Ie|5}3(aTF|A zH7cvMa7D~&TS&-gf6DPy?Y=3-o=C1}%y8Eq^tl5=asP}^9Hul+s8{17YMLiXx*bja z_3w|hsL6$@1(4Y@N~FM!VWS3~2cZ4*_VhA`-InS;8=BacXB*yh3&#i;2Fzz8qg@5! znwcI?M5CBJ*|JWc`#O`X0x((5uJ|Tt>`&s*-`LE?}y^T-u!?E(98&QUPGrZUls`Jl=^(J|W-=mPCF0=d|K%S1-~EKhB=Br3^9q2eEXe%c}1cq+9` zY462)(`j=nmW=U0b>Q*9cp^mE>l0h)~Q^E6b8^Y$l+^y>ho`=!-5i89WM%7 zZPD{S$3$xPRWoL3jN7^!8RVUny>AS)wD&UU47?!c8dUIF6)}c(8E4j4$qDS z4_oI@F4M0R&|h4nAgqg&6Y9rE!;&!QCLZcI*`inGc)Ol}sm_mPGXBW^>UAN&RcIe5 z0jpjzK9i)m2R4YU>^eH$)1Z#96gn@>ElK6WHH^1Ch0|zzr6aKw(eDOH9Ae64pqMnO zG0CVu2F29pQ_BXwz5)$qCU|f4F)JL}@M!ImYmfOFK^n6?jrN|$yOv4X_pJ6B8%%xX z0;5GI|FZ53Ke9HMjTOFMr=@p6yC%||Ks0^C|QZJqoU$S z_HH=R8BrN$Cwp(Q%E-znWriXvS>566?Bvkd>uiUdEqnalpVRLjkBHBGKJWMI{d_%N z&)4|;{5;Z^3QA@6X9bNDUmfk#Rh_v<(#&@P4QGc?(>84zEHSuO3g5Q`h_X*5U0}Hio;0yKlDRI|mkp=S72_-XXP8;SQ^>Ff6{w16gL^Kmm3B zF3EQ;%CEvU3ea9?6Px-!wwOKH?>5VXWppi63Sm^WXiXeh^%ntO;RGMBGFtG>NfqiO zC5J(Xf-s6Me|c^0@CqtnJsOaE5Oj6j&>N6x)YJ7Z{dY}rH*X7&IS6k z`FK~7P|rJQG7VgqQf_6Zw5QH9ccUsNAe9nofU&=_Un2uY{MwvNbUFqPS@Y0wv4aYt z2GFY^CqSSrf1SQFmGoWgJ_))ehv?z!A)NZ@mhFaS_!|E{gKxvpBqc&sF!7%C^;+Yx zzM03Xd4suSE4#>VpGo2UUu{rVGBIFeFANk@04rQbnJG$h`-4Gh&SD_&LRr(&K|1Bv z>^zM}3%76LEiP-5wFVco@CWFDXk1AW)Sl5c%bB4p458Tt?@hTMgt(i;HTe71F(EvT z%ayK0I{fUyOLO`JLUgtXXmHLU&fE|BsC`~SybiGE!-~iab`K-v8$iFxx6_gO&{G*v z!VG#4Pe&!IdpmaJ2P;HC5|}RGMQb|t$I+BK$3xQ4hY8)8li>sd*X44aBtep~`{9`` zz+^gSn*>N}#3a7_4nOdB@#lF5;!w-q{SaNnS=pa2P>y3$nBa?5w?F(fZxWlLu6*;{ zPVpTmQ+N!~Il9GKktymHCi`Yqxj#u;L$@C$ZQVo9X@b^+xWgK~zBe9oe3_zC)C*Vn zWunB=8W``&INqR&p7K7u(v#e8Y*!ayF!_}4tRe9{dC0z%@=s5*LDm0L&jT?VGfs7# z*plyst``N}S5tw5$@D_uXN%v2^Hz+V#=Tp{!*9{G4_^bn=QAACZ|7OKXfPBY%PcRW zr^vn(t~1`fM*X&nzMzx#wZ)6v1-X#0%jIUwRLK1-#+vW09zB-pFY?+S7|y97B0BV& zUkF1SXa@|D0D_sp1L}E?XP$omb#Y$PWI~g%3z}P0O{4uSt&}rg8zpAW6wW@ds2DHmcKzwAuke5*$z>(<~CG%TqvBPKVP&$|%c9A# z^_W|sPkX303i#I@?Po0*(WlH)4QnfixR zd{YO_DXCz<2bd04Ugdi)t#7%a7rH^Fvt>QMvv~o8&J5mUonZ!0n8xEzl}0LNBXz5C zX@8k*b-95uaW#htLc_HPt22#CrffHGc|gVW#p;W02kXK70D~2aRa?4O z{7OaS_TMvughEF!TtHXt=&mho!icvdtH$d<{W0xOy7mR7mZi}dT^IZ#zvL!^HCAKE zdA%i&GhK9cGyQFBtTk&iYooXgP1gY(_6ZNk`B*iF zZ)*}Bg;|KcFCfI33FUC?FpHa9_d{3EtXZIGnJ@rAAFb~pwVCtypo`7h@t5hh_D~K? zICx_it9`Tt4KDq4=Y^^X1Q=AJXHK--GT0TAZK<57^77TWp9gliY+|$HzAF)@Ck?XI z_ekKFwAJ@OYV(uPatqi|kafS0{^1|W`>-CBCa|i|>eqV%8AGgl0X9%~C@(5GQ`D$2 z`O2E)(G$-TxJhjq(+MYMTTwy_6ch0bDXVLuMV8!8z>f!aWJ+_W-!S;FZJ{@E-e&px!*Am2<}xiyWUW8LWRMBVy%}KoPmQ+v-k6uZ z6mv~g7~eZStHrzEM+-FZdlDGi9d6=KKE;p#{2FxM{1KdnT=$~Ut3 zXiDg~qwm{tYlze6K$c)gt74%OwXDY;`kt`!rw#+MmyJ}T7 zE^zmLa?zs7+F}|%MI_&Q6F%6{9^T9S#&Y-jiz`;GfobOLile$(pg;m@KqdD~t7#r?1eCC{e?62NS&R6{_ zXgtBqw=6X>^PIG+v)}_g70wUZVMco$8ob8}|-L?D9IW zx6l;&<0JMZHa$`hc z!NZ_bqib0UQyFy49xCCa48s|TFmvb!QZzybh)%WBbLiy0okcZ>0>!)`VNOWq{zU2K`}Jff zqZ*MNId9$|%zR{Ob!}-hKg4mppU>zul{=IP5|iO%dkkV7`lyM-u@)5q+fQjlTf8J51N2!p0pKrMvPCO;=&sYa7>g#B-#)kc4H zchR0v|0YG*NvsY}4ccDCpgcrrfY<}wO}}c}*Ah;tWwQSlk{ap%uwKMW6;YAeGeP;4 zbM~M5?kIk{jH@yz?YNrJ6(L__R~wj6aySLjCepn=BLTxcf|r5)cU_@mxVznjQ!(0O zja#`DOd^y!ogHS53xfWuKBP|o-C!?nb@#7c5i~^Lj`K$QgH&kr9KRry{k79Og=VKG z_6{WJw;xM6gZ>RtVt#SRLKW?!-ULMWwyh^BdYc0{Ewa{GDo+<^%*}|x@S-M7=X5CV zayq2XfeieHHJ07Qzp;`QFlFcmS}zh`!JIx~4u`wTxqn-jW5%$(vzoVy>zG+}#KzMOuK2!p1}=oU zEQ4uXp;e2@5lmq6(Zs1o@gdp@(X@qGz-CU4 zEOfbrgZY0TClMO=L^43R>9QmmP3}2hEEmnxJT+$V6@Vg0p$gCRoe>+EWFBk|Aq`}4 z%d&X^Qe&&tD3xy@7ywrdFm<&o%gfYV@|kG7d>!p`+$7joG^ z9T8#SzGMaBe^NcLd?U+;;m;xnME5Q>+RI_NiQ^40IXTA>Fb2RW0_H!?2YR03q*oZx z9UZ2MCC%$HS;sL&nYtMzeO1K{<-g2eeXX}p(1V(NPU$J&Q9$v7YT^Jc;OTNJpHy6F zWsj+DY2}6GgkU;(zYhF0f5J^BJh^GD0Omhhc}({BNtuc&E{lfk2A*#vq|}vq@-)+5 zrirx4(Wk~IQmZBb0KEgmm41SE!G&tY&1luw?{_b3fD1X#rC=}iCeI?D@Ru3&8EBQQ z7RFX}sQB++dHKD`)eoUM;TGx1$0MUB!k0D%&F_QS1sRYX)(Ztg`KTPd;&qeuPoqA% z)?$m>0R8w}=1L}R5m-QhD{fKpeJ@H|KFdj134GYO`sqL`e=3-iAli4mg`&evOz94N zfhxyCV;oSR@vrTz`vy-y6;<36KB**1eWA7)2v$%RD%!Q%j)<95x6>Iiw@;!OcSP)J zn?%|;M@@l=rid03$qEx>qq+T)&#Y59b9)h&l%NW&VaMcIF$*n?nimP9!bJ$YYw-KT=m4cz2QV8(=^Xdv`3hxTUhzPt&TwrCky& za`ciDgIzuLgik3tOE7#!s_X#%cK8*BX5aY3o~d(GhqR;}E8W$69%h?3TD%?5#xgTO zbcfz+!`=(Zmg_JBCj*3IGwTLT`1eUJM8EhwR21KuZ5tcZLdl$-X~tn_llZiD^n9Gn zP4Mtj?5)GN)8Rawj>O}r_|g&V%6`tlt2{d~QhtoAwo64I=5P6h7LHV*aH{Dd|2~L$ ztelnxrNn=x&JqgWCsQ8a&B%B*X4G$OZ-m%A4>19ShL7wU78^%SdVP~0 zNOZj$0n9%F-5iB%WpwGAc&x_^BKaN|aJe&uJ$&No+aYxD;aXE>sfL7DQxe2+%Mf- zr>LxIH0+yDvh#8@Bo(p2WNvjRc>9vmc0d`jo@ARI2YQ6 z!ahwnU_IdLAZnZc3>ZG6w`31fKLx99=$HHMv!Q|zePB%;v+#&&3s?Uw)mrG7*aVQ?pn1j5Um!#mT%9wuBH+Th+(j0~s zO6mDN#>h`?y$fw+v*9F8<5N?r-XP|1h?eHiv=D1(8wR33)eB zM1(dC%uZ?eXzDD}M>1jFVh(=fBIqwmngw6V|9;98lW8arSSt%)m;l8PWsG(BXfx3Q z7o?d75lW?kabv7?5-=85oG4Rv6UpH-Mb`v4AvZP(5u~;Z0AS*U47>qzGuGE$vl(?f zk9a-)BlBAdWvAae7)yYC#P^{vWz<>s;D;bk^3l#I>MCHg^V}^&=UV<7dNMyvJY6tt zy9II)Q07B{O1-TlWnMVh&H~sgAcTnB(WZT!R&Bo1?f%d5c8qtoD29N{4!TK;(4V~F zqxP{51;DE=Bp6taX-RZ+cO<5t#JW<|&BsOm1@Vy*+h)RGvb`$P4#qO0Kh}4i}-v z--QgO$){=v4sU~wW-#3q&Tt#C-q}go1p9P&VrEmu$gD=@6jY?7iiTIN&KaE_MGoQQ z9b8K{RowrykXJ&mux@oquxi)`DJKu=RWfJRP4DI_vf9<99+p4PfDJ&vy-CX~tC$wo z=kHRZ3w1I8TV0K3o$IbQ7&VgxwT}R}gY!ZDy(uLggcIoodaF9Bk5=_JYiQ(bIv0ei zb`J*kq~`Gf7C)-Bd2G?Aba6vrW1!P*c>vR~X6m%8s5_jW&X7LqwX%awE}7D_lW_)s?NiJyJ%Ua6`xaoJpQUvSGT z4hkB*!kmyjUql60&qWn}uq!@8I9*t=t>Qqq+hwmcG>1QPIS8^;EfUnW|(HGcHty)?ix7V?pUVKk3z65yHdI zDjohFegu_NP$?(=oq=^sDiFg#07IHiVX!R0-_ANC0jmGNBqfDB@2Om-Z1Uxe8wwKX79J zs=Of>DgI$t;ns_hUNQPr# zA<}94e(dd;Zw|Ai)%j+*8f-IgOGa`@wHeZw*G{H04&Ra~(8QQvfZi-#6K>P_rMUyl zgQ~u*Ui6h$Um_k1I9;C#0h6lB81Eh_K9-Qld_hrXcNu6B1z%eVdxJn>!4>aypG;D} zC-TMWg#yYhDn$<<7L0(2OP5mp7KqISpLV?Bhe0|Fs$f{JxBB)+d8^_x`YVS6p-$#~ z(t8(i+Gm?8we}4R+e~Z7BbT^NUbgZFp zfSpCaQAoM?i6iUXLr?Y0Fz$3p$s4(riZ1T=-lliuxQbjhg*%zr}>+(na>pOlOEyGCf!s^W-8>0{C zlw#A4yTgeRV>=0yli&J3Hu1`Y#9aCMh??Pq3v)MdFe)OmY4%)7dR#MpRzy@mUz;qK ziQ3)HE>v#Qb5rb*fx0&lG9hSzUpHSH|_(mmUxuYicZmuMI`k$T80 zN|!Bk5toqX&9U$>wXq9($YtqxfdTv^!V-H;4Ml;Uy{2X=kS?UX#s9+ZU3tF0Ln+p- z>fq#a$*OSb@zjZh_=$JF=Wq`lJI5nO^3nTi1qL5Nnb;lezFnOzG7wO*{%LQMsKesK z-W?iYIokZ?gOE2+La2DdEwD5nzYr+VejsTr4Ba3cjp+!sm``95chJ_jPeb5laoJI= z`!l_b@>m^K?U7B)^FDtOw_l;m_-Lf2uG-oP+XO8f9Fb&Lz;{xw=FiOFCgqSy1CwEo zC(k4rgFQ?1e(g|x@QBgwfiwQKb`_RW%6pgG;IVxP`liv3t^l9lm~v{t9VW1A^pWM^ zT0_3s0t1B)Szafv<2}MHCpcZ4@QQcR`ptUWlp9t;{Q^Uo4(8_?!&6{NY%&X^p&rE6EQO8G`9=b4>UK)L_vzfIZd zb$#~WFO}UCi-~!b^8~I!{ZO+fRlj)Ju1}Jl{ZQ+E)Y_84ZSO1_$#YI%wcl7}6-*_u zq%YG$#yQdEG4-ih_dv5WaI@}f)OAErvV)>-K-pJu8b^4U+uhz6$$UNmcPC~IVv(>m zF0_p}UyVP}?iQ#N&)MTD4sBm3VT=7JIh^3%Mc!|B>mtb3#%%_9$A>a~sA9TUx!vf- zeOVyA>FzD5C1VQT$e4!YaSXJ2Q*HNqWtG|Rky0a>=?6>vIZjDdcruEbd(axu zw-39sDWE>=(e{PCELS6IgrirMW{s^T82wGDqM_A??^SAP{@4A*xcOv)4aXe~qmAGF z60aHPz-<-8#kGiaIJLIVX)`emy~+s2!bJ$yR(49J(U)bMD6Q>Jk+vZp&zw^(+8O2Z z1O~fWU6;0t)W3sUs1tS%`4v*_FDF5%7SE~^+t^KYZ_Y6KRc=HuZX0PkSH_FLV0ug!XGj80LHD{8W+^4Q2$jXvdBa-(b zeLKe#4||HNm9xgyRe|)?Su^fXgj4~F*VhmZHev@}qi)_wFnXRW+mLPFaCgsf2kuBZ z6>zl7n8w;)84-oG=nD3k3@#@CrT}l}D0Gsj&L@JC|874;R?qZD9DI;E6D5-ss!2y6Rwk8fX0k*?NHdq$eI zE2OWRZB7rDJl{mGza#hF^;1Drntr92QZ;i`0q4(4U7{e}AgO{gcJ$iPP8w^?oB?;z z*oFxIz#?YVQq_Uro5(*9kpJr~;o%d-IsG``8j|V5y#1UQ#3B|s4aQSf-L!2r5LDN%e4e)_Z5?dm zVa6j#TYb6~9|+cayLNeXX}UXnvqPEaNv_C=ss)aA4BD$Qw+|!XzH9v7xsvcfEwU_~ z_!D<@{37Odf=iIN*BtF-YWs0%YQi&`z>M+(?J~ zUWBEXQHXmaD@G)Duf<*VhMx7$g@aRCWF`Y=(-YSgxsnbD1|!G>ZJg~gZIQ8fp-&V{ zhVUn~G5V$D{;)H2L?bxusc-?f7K;n{(R^}mQ^iacymX3`3J-$FE8FEMs5ZBYha_zz z1M^+0shnkE#&^@@&!$y;OoDn}R_?`r>iM;)7oo{Vr~uH!MIny=FevQ%Y{s$OvwF~hYkDO-CEkel zBw65El;_cF-Wlbm50WT59;ipWAa>*I%~g zWe%ovre{QK4b05(wdunEhQzhFkd6MHW;<~t7S{cbD9Rs_Yo_-68&4y+a?)9rXB+`P{D# z2#2_lj*hk#28xNB-O?6cXF`wULdW}9eW?QUj?TA;rfKbJk(J%m?oU&Cd_OnB7^_q+ z7*I@Wl%U=sQfY2V1HSuHCQQq88JYq4NFz3J|k2^2! z10l&mLfjC4Dev?szd3+mMux3(V!X*s0LwxnGQD_vR7TcEYS&_BVpd;k?PfhWrNbhR z*tssB=iOx?;^Li9IZd2v7`dkHY5B}*gP;6XTxxIEoUTFy-&K!R;4$%z)>gTd(^Y%# zDksu;@=PT6Kju<*;2Ul!?sWUz*AzmQF~eUtHLPA5I54XHiDz~&S?cgy9lUs@UR+Q< z)QsMR{#AKsjJ@iz|NQ7R?k{@Xd#KnB&-uQMg0j=Qw&45Z@}>1>l85Q>xTI0^jRcsE z=)%$+KWtnLWeM|Ym)V2Ao_YScS#b<$MoY5n8Q33RTTc{Q#me=6N%v2_Tdlj@tU=dq zBXp^a`8;gm+ywSj#y$L6%z+K+CmZ=lY-}~YbPZYO%IA=STcK#V63i@lm$Cz;qqClde zXyFSuFwxg^jlErjazzzDm@7LxzGgC$7}8>k%cI}#4$OPInRsVUHmAPT$3FmGAvDjD z%HBuO1KYh*9Eu5zTbesR`VL)p1~C$eQadISA~Fgt=e;c{AC4rFeA*|Cu3IvrWz*(> zI+LiontH_(I0MylDz1P^!7QqJftK z=0?E3F%}&?WEVI?9R~1KA0E-6SoEj=SBMSn*EWU~g5z$s}Tu#hKL}$DRb}{r}V@lL~f{#tR7Bp^ABC`7?)ZF~q zMb6=qO9oQjeNOQ=^1S<8m(SBr*1IuyWX$q&DR}NRpS~H8=yZ~%_;$aLb==e+`|2g7 z1D#z3Wd(g0uUq=n?JU_i$+{riPw+tW2@#Zr8Pk@Z6N}H=eN4=wY;1BKiR{b?qaKwP zWX=eUm;nzRkWugh@3@H_LuJ~hGpc9w)l2`mjeU)U$TRZMVV`JuANU6SWbf|d(jH}N z$wSJBc74bgOFoWq1Xp?`zuOFaP2$v4g)CvEV&+G>f`2Z7P#BP=!TCxj$;zXl+`&Kl z)dlS1_Bg(lt5kpTnvI3Uw@n{U+HdtMg6SS}0d(9vfWr0jH+th8Lq(T#s685*j_Jfv zeh{5|=$@LBIO#Ld%uC`wZ7pZZI}syh(7wRY#D0q}%{dsnNTb32x9n+v3Lt)8k4t+i zts^VxQN$wiTOv0s(;|O8+rmx#1ru&xNV$mRsoU~-+&;xSW6^Ct?to6$NIrQB<_zq} zv!6ygV>MBz2Us{6fjgjfCtgYP>Vp;yY?E`B)BD<;+pc@)2;R%v&jq+|^$YzeW)97m z6i+VsE%ED$KwN`9f6LKY+|{T*xS9+kjY~0GG)AX`UNYhW3>Ny)XXD`19QTaD)RHd) zu6~ENw@>IMv0TE!ffMlGiZXS5|0izj8AOdr>pv$G%K=|)$=QqjDC3{4L*t(f5u){g z?BcidcDd0nZjqP>jDLXal(*-cap^03-ct@B+_u(W?gud6tb&(ME#y1y1jG zzWT3&*U;6h=A=-n$xy`m4JC*3QvLBYjSYycq9NMEgSz;&-RT}yD2xQ}2^cu=E2nTL z++g)rFfc;*?b(Js_$CnMANgEmpwVWS1b5!|!Yc{k;ZJX2fM{$y8 zuk-`_z(ux;z$Hi(q_@|arl^tO23zm$B|xBJ)*QJs_Xa_|&$M`RA)y)ahDeqJU{Cug zEcfWNx4wAfW=7@(g#C!}Uv*-HaTmlX>Vw&D+m;I3IMJr>@a%H%V5cP^#`f(DZ`*x*gtYBzW!=puDm4u0`2zFY_C`qPP8@*)3EuP48G z3%HcNPCdlzTWze<3Uoy4kskxu_{j9bPoI`YAB&Pgo{KpBJPQ7Z{Z(G0JV5)PINSZ| zum1w#FJ7KrNiMA;*h*}(2eILFDd?R&lbL30LmTzstP4pOtb)c0UOY{a4J5$c#RQUK zqbc);NmsvkgaI~-+cvm~%szAd)QZ-ASp9gy@!{S@tKN%BY(n9M?eq47BHyfJ?OLui z>rX-2*hDG|!c7ISEPT6@-Z{ZuaJ+)LTl~k5PJS0N6`rk8FyBsm-8PsJ zUKz`7Hz`F=*Pq${srKEd9A`~HX(tB|V|YPO>Jgr~V~Y3qqi|=86oYJod35Bom7M^r z(@130d?IKXyRBhlmY1?}F*+y{z8-Jl(%0buF+^)C+WpdpFqm(iG4L{ov748e>;lZ5 zc=mASbeRBbx`-ogQpOSTIRYDKu)VT?YY}!Y=~DR*E?`7n9eb797i9dfxfu-)1R?&C6#}rRjc;mW4nl3tH@X!8$Pk6J;c{p z&wdSBu-#-$>7h}{R-zRRDr0d5;f_A=Z6zj-;x-Re%sa23GJOBaN?1BjEPW&2vYo={ zAa6B-1>i&824%`1Mw}O((pWDP@_zlew$V-kTHw6Q%+5FdPfk*~C(8+|>GQW6meBB> ztZj*vFlr?o3gnpJqXMhfHF5d+!a28qfP(mlc=(lf+x^l=_n7d1;Apn%?-BBK=snx5 zuSpM)fEoF|f`GOERh`JH+6KNHCD;;%NPfyRJ9TEmNK6r{9_d#}P zHfj-czCbvL9BQ2YRIR;VxONk%pl<=>W#r1C;Tx+7-D>;CKoGVB>sM&D)tAJw#%a?f zrT4M)h?j^+s!cLkWCrI0RAAY3%542gU3vD*Dx?Mhv|jQOMbAQHdJxfx{HNHRZ- z{6z^PW`q9c@4|s^Fr~po-6{o{m5s7H1^|Ho9vmp&c=Fqs zXC#(HiK(I$o~mE+k8<^pFunMljf8BOgW#?0=8_4s;GIRY_jSA#VP6@455_`p;nwM~ zXS~R~Hzt=E_fLz#r4xQSlWl3;2eBO_R|!`a1ZJAmD8gix=bytJpS}+ToB*&gmaU!6 ziPVsVBhKm)a=rl1HrpqUg~2-Id2h0vz}XhZr#`~{(=lbrt~hnFuFK|uCCA5NLfsX1 zk3v+LOt^2A*)=NA`IMbx*G@O5=Ls3-$p7Kt9^7T}2hY}^*0{k#GJ%;xC zAXH9Nj>_{UI5E#G-#on~Lfd{1$L>N(T^#-v0(spb){q>)+n~*^qa8N3a_Ym6FMZlB&npD0FzOF-rVuNOof zv=OXMG8GTtzAvbs;+~Pzu=We86%Tf1Y0?TvB1vARZx5N6noy8%5ROgZ{C#P z^d@uSZE@qiJ_$nSGVeo4Mi?6b*=K{GfG&Zr-4dyjXJFCrG{A*O@_)`@rT(73krAi;Wm#wPAecp zFGYvtS>~ReDy?T!0vQ*zDw=~|kXQ#7+57X5KV!0VYUwd>?T`>cEc&3M*p#1^{27pC zArRchjq=mPm$sHJ12FABqs(!Gcu2)_k|gk;=cZ6CcSVN2GC+TvS+>G47s=zWxoC5C zL4gh=Z-BXI`LSh>PEwoMK(A97X>JC?O=G5X9$`uX3gBxrRd0IuPvBVK%RkQJ-9_&6CSN}5zayMS% z&5c9-mp-Jl=*^+Gmh*HR6-@mu15azOV9Y?KCt!-3Wvm4V>r&GaSXtFkOAo8>uKLBN zXuDciM;Zn**aHnLsJXBzQ-O2ZUPECn8so;7QcOLul!4mt($WMc<=IFgWLePBDq~w z2BFW!N3S$#7^4wG^e$Cd^zL&50oAbi*w#6%zNQ+BjlG9Ni28zqmu3Ea+3JtKTM2k% z2s4?|Vu{|(ozZ@ZFj8^?uGh=BmpWQyKe#EIq1yR5?n;%(e*o~o8F*%OsGO$}7bA!K z2_gw!^lyJx-FNDH*0D@$zIK`BC51=S5#e+!@>X zeOQ8jWf74Zb-?*kE|jar@q-ajubf&r>NeyCbc7nRKV6mEEw%Vwta)SW!~KcW{PIG! zp-l-eHmcG;z%q{X_`vWk)Kt?hl67m-ByNp>h-rBT6z&RR55!tWk~m}eLaH*r7b0d_ z@z&l>BH#v2EnPZ_gW+(;XVXVbHSW(P@uc%nW z0fTuuxq<>|Wmm_31*D8!<2wnE3LJ}?(bq8zf_l668DTsikLBdl*>Jk-{ru;n13|d& zYA>I!Dz(>B)@P={AU%o|oyORW+EUwhGu%~?X;Gka^YczST~@bzYV!{`C?KPC6PZVQ zi+&(`6q#k3#6>dVfK=)=8|}@a`MLNf$KhdnY7M6@P2myqU`K*Cp63%k*T)K43+&>% z57!YZXYJI6dZvpBeIrhEOY@?Y*i+2HH+?>I8RoZrT)@?mCq69`Yyn{A2!4a4Q8BnB zKlLog8$#zXVmCFi{n_K)&oeinL_FleB7gZTd+kqK1Cf}jCMd$ViWdY%0o=g!Cko3w zGJsvrC+VT`82@yqi#FutW*+m!iOjAz8fd)2mj9V=Nd5#E$!oa&_mh4x2 z=*#xfu1;P|P~SEq4`MUdlKoh}UMdMNavl1JdxCOtHr&PqOOjsJe$}ZBK2=my?*4PS z*TXDGmn2RGCr}3Dy_gzxIX-QERq{M1_f`?z|KCx);)!4 zG3Hq+O?HuajGRVg%gVmAyvx@D7tw16@F8RWZ9DSx)ZDY}r!C+Aq(Yd(&EoLsr^0wO z-r-Al+^7zSRlU;2f+Ig{FD*NXg_EeMG&d{Xs*N(m)Tgya#G>K&g1e5aVqYVH%+ES~ z&OR{$jMN|YTr(6Y*jTVrVbKOI6*xHDDjQ=Y6bf+8;vlMFezvl+jc8RS1qwVSL81)O>x)Iy)5z zi7^&3>kA+AD>6hldH!qg~xRiex?9 z%4&c38baTR`x`1yiN)JyJ0bNqV{Lo!hyAn9Hs35)TmWPqkOLj$BeS3B`f<%Nphi7b zQ2kFg0j3BUpD+k8{eM2uNn)1D&jpK2N*$X-JVy<5j!|!=g5s8|kWe%tER%O?iE?vH z{}oCDvLvZ2)fcYc!#C63c}{W<`D>B!MJd8U?AG)osgDaqLe16qL$Ue&UgtX$p)&@! zdM2Wn`_^n)AQlE~0&*zK+i6AKPt^YHjWbrf`eEsEh5iiF-NXh45F^*@lDWQYb%C|? zow?>FeiQReSs8#Yw2E6Qz0NBjvMLw5&l|vAAa-8?m0iI?dq#49jn`Ha&>ld;6xKC$ zX@oyJmhvn0tf;+5CMHT3Ei*6rv%!6FsJ7x|D@D1ZD09iGSoyIG5Yd8)oNH|+X*Q1x z;p-OfTRz-}p3vGVyL|b*L_3Y^^1bt2B+;^YSreC40ck|Fs!%CIlLw6g=a6To)1cH3 z #!L&DZbvSX*=rs6&MC}$}#Rrb`RWHZ|Fj}J(2*?oOObL;rpQ|`tp>z#hfciFr7 zkINhe*J%Kq<6KV8Bv}s#Wb;_Azg+E0OM>Cq-Esp!^Xjm649hccX5}RW(r5n4wHGxl zH1&326XJ0Sq-2RgM5lfr7`@cI8*;2NGUmSlI3l9ge-8=;frA+BrLN0QIdCc6MLVjB zPj}aU&gV+nT8kWrTdgVLhR=y|UHy1%=-#W_O*(<`W2`GgcMS1q8oj4DZotdRRsMffzmP zg+051u)|?O2>v>=!-!bG#i%1tWZkLR)6)6OYM&pB0YI|G^N{6Pq+5rUV|ZP>lpJxU zmbt$=hrFUmzJIE=w}clMJ_KR42!#h@$e*3MrPH>bKTv7~&ToAZ3oH-)0Yq?9zVz39 zR+RK0$x_6iO>h0J`J@bsBfZomibgk3xyHTX5l%TlvFD_j0R8?5>~JCbYLKNoDvzYV z-v^4PKDp$Pr+=6X_VtanVw;MomzU%tW>!$4&7D$;GpoDETBBBZH;=HiCg*Kkg((Yq zSe0CP%ZR=Dl8{Bg60p2m7u}lgw0G+Aw|x5;CB(b^<%TtEKjX?9Ngjb$4A<(I%J}kG zInBVU_n2L1Do^>f#_K#%vcSS=?(5@2Y98~?Iv(tFOOM~ZyXAG}OjSiIjVD@U z@C5Cc`tHtNzr&9NhIp?V!wIHY;z~>bX(h=goQl~9AZ<8RJAO}A+dH~%^ z8h20Ym0Dg7*1R5_`v3cd!mBBd)`W(!8*vRF~4Cc|@H&UTLXlC0AAOFnLVH+#L;q2I;?WU*Zudhg!r&mVSsgo0~#d4x9R zg-@G&isPI!Ki64%ATnK8r5A4eiYT7)x?T725{+#K;i*U^ih}9qswsJ_85KCd0JE{- zBT&e8<*nNr)J~KX?>^2jIaIoZ_PUl z2j71A5;A&Nf9&0J1~-RxC&DcATDaydfX@dm)zXJig>1wZexEYGi)$;k zb+M@0w?4JsG)+jN!Hv*E`4NPzF2(2-9IbO{E^zgkE^CLP{?AmXHhb=>flUMGAJLXy ze{wL-pINQ?7^88%*J2DyJ<6I0{Kt+`AAbtG5n`*Y^iGr>=N(G=kz33=dE*mb9=2Ra zdTW~)*~;!gZb19kOXsldVa1(IPI(%$|Adakj+pRDnHTXoNy_+$Mi3A{3VV|>U5n^|YqD?61wg))elP1Cu=6_P7{hU&TZw<#76`iqDuo7;`D-CQjb1)Y=* zxvz{xQ;$wmFo4YmzT~iT3z$l6&YCT?WP;5I95^!2Ngt;xvl@9d56C5;vQBH+ge)(y z44ZDs9mF=VSep^?#BrF?wqrAPApE$fMQwh%W@+(wlQj#x`)KE?u;4v()3(PMeFrf zt7TSUL2P&9Pf|+md(F;XfKJ9fU;jkTr+hReN#O=R*p_yIOhou;;j7GGhmlkNn9`N* zEW+H)2fOeVyE_Z{Ij&SafrCONzhCebD$5t{Hx1yT+BWLqm?7(lvU>mE31Y7nu^VQ& z`u)b98>k?xX0DVcF;S$HvL)}6P9|rv2o9wqe~0^BZ{nfw$xLN^3?t*g{NE}Yc$K!l zU?~m%MT6*2S?wRZxlyLNmCCG~eJy>h!kXU9X^e88~?TN!f*-Umb@> zrjD#Kf9=j^KRt6RD-qsQY+SaG_n9IiB~7~5v~ODNFInZ#)!fwKf)GealmP%E)eFJl zmyNgKip!dag^~+pbf+1lCsUng{~UC_8>JL&;=%$T$O{V4gxC)3??Jc9JF%b<2j+<%^^ z>ryAlk_~SPxt32P#bjv9K9LJJxauA#Wdd6dDD6se-B0I)iW%?!OQV6j+ zW-Zae#LAuU{7d0|h!>Qgs|?9p>{u_HZuyXu!KHbuMef}9Hia8|7>GXp7c~(n*o(L+ z|Mcjc`rM1XL<(FrfO!sK?#_2KHwM6A0w#_OHP<5C&68|(f zd#2{wFQ)k@;ioCD~vX8hAZRu>~JGpeiNd5Y}slAo&j%9rbwgj9|^RG<=6 zwbxQLvS$QUzjm8%I2OX#7ahmVb&}+9$Mua)xk7FI(>mUOd#m8D&2n14LEN*8&M0mI zMhl|6#Qe_suE~-VUJwI9Qdb<)#Xa`&9#Bi$+~*b(Vo<@-jdvQvQ3{cx=M zJ9&Xr8gGQf@D)mR_kQaLUBzX&$n&laTar57&Du2giZ=_Owc-bweLyrq=IK@9P6>~#En$@T4;+>KLP z=ay?24ZdJw1dBJPrRzke2Z%#W5tcr@K>E_&&7`~+6}K3k2OTMHQ078C7E05sp|mAH z5Azi)-_q*(CC4%;S4g!En868 zxks*t!(Fsj2YIt}v&u32XCchMr{%bO(ATW8E4wLnu|31uH9NOa`^y9e3eS|L=UswC zu8-ZOvz5GC^Y3IIr@@I?q!sa*-Q{+*Anf|1}s#;X|dS)xApIQ(P41O^vcf^ruEs8E1b4uHCXU1LOlorH$=iuG><9J`!y^ zzvz_c*BrO`wS(0=(cB)Y53@i<#J%F`^W;snM>qChjt2wCW{K6lKHMX=mi$&f+`j`N z086`(urdW`&zTSuk!pVPQH|gPtGPF^+;e+fF zoP8$$GsjAEN$}JZliHm9?Dp5c7uz=$+u<^gHZ4g9y%Q)kKh(El-&_Y>ccE?QnZ1|! zk5!~h6|WL*mS~EYNUHMQVWmBE5uz%aYXNs(kPVFhuhVx@rz( ztJ$1O#q=yo{{G2R=*d;8&GYt;p>M}p$T0VKh18*4i+xQVjj(n_xoOMR?%?6LRh?wc zWli-mFW$oGU&&j6MsAfFv>nZJ@>BbM3P(>xn3CC_@&y@NPjZC(yU!{dV$4~qp`0}P z!+lTtHe;K?dB(Q=09M+8@hbPQcf$MpcZ@V%aurWURn`k;9!+S;U=O%vxApRm)-AdJ zb&$vRl^$lhhX^l|$t>+idAakc_7fT^KHry$B6O5?E+0Bvb~k&s*yvz2UF_RYQqyux zhER(wj4Pugfjhdyi&5}Hu}~23Xo`@f{V>OwF3o%4uL%Jk($By?c<~OAML^MVr{C)` z1t@f~IA-3BSvc`F@w6w3b4^=eKSn1Fm_ox3#=_N7w3vn74u)f&#To@}F zFxoi#SOi~yqkD1S@N^h^P~6P|;DT4PA%V>}Tl1rQTjC*I^wQ>9(?C)LMgIPI?wrkT z`8_-73Bx{?ssE&P8JLmOh`cZVoN{wgiuYttO%{>GPtlBm;;Xh1`;n;yR1A?717s*- zn(ALmm_g4sLnChT%}$(Ca~7#ioY@9E{6k_Yt|2kkK~&c$=BZuH97*){9>!806`4Ls zdbwehCs1TiU&z!OenpqBv1X{9sH$|hYwhljhV6$!RQ6P!p>}TFPMqWL!>*yZvi&$U z8JEGgaZ9Xy+5G#TBgj7Gl8ZSVj)sIHM|C#n;3m(2kSI?*j=UBDDkU!4#A2q$bt(RI zOUezB#U#RpKdIf-37-cGPF#FWY->jcL!IK-i%(C$g2sNiK~hC4hP{V=gxuBs#H`Vj z3179C=Srj7?{D~CB-mwU+gxh6kP7qg_o_kZ%8_3yfb>Ns&dnlrqb`f`8?a-P9BNd{SNNlPw|%urNU7-BsF;ORqy!i)&qXDM zlVM`ih_k6|?Ra2;ZFsfeh=;h|-y8TESHwL|=+n+pz2Y&x?3O3D*-hZ{E3iRK7&v6@TGTeG!i#;WM692|%yg?t`~tW#e>68t6|hLq8C^ z?EmMRxGBr}@LoaD`(x42a?IaiY>Z(n$RMCMNjH)Wd{EXfLVk0H^%&h5%pt>6IhMNr zak$wUtRgpjwKSY**(~$}2LI!cC$_jG0^|KOY)vK`eP{V4jvr|Esy-@5FJJK9_g@dq zo4vwfTM`m+i}6WP>}!1)&448{bPuUyd)t^~u^d?g^5&PKnnmtUo!{>SQB$H9Vs;Dn zZ+lgz9~G9N_>whZN=f~-esE8zZrXVx&ypg`{vE8XzOe|ZW8Lz3T5#s>e!TKJ zRN{`yxr(;a6=FHs?TIOh7mmBw0T>ZH;qv_4Qxu)6q5cKB1JrxZmLdpY7I@X*H9A+6oDS3CWLrcO zZsMIN4}bS|j~YwoT4=ueMdk;&P9 zuF+YE+-g0wJ?w2N-`xz8%%!U+q!&$0Y`|ae>Dg3iYu7&wGFwpkXH$aGKb)vqi;-`C zdv86ATF5%6V-rY=$sNr#%)oUYOIByj`Z3t1U?Sd(dg?LY`E;}-xvmo4f zKpc--UyHtfR}$>L%RM$TqyO{*fJRtl+vE2IZ%X)FdwJEafbidfE96y;Q;6x{oLKxx z<^n)b=hIfBJ!y|YOci6h(A<@1;Ut88uaWo~--~V_O|ChkCGyE2VVSL!y{WTw>l8UUlir7>==M2_ z%xHDhY@m2^-hl~ZX>Fq?ps@HpTs?N;1rH-C$UO!>AC~_e>Wgg)xncd>77wBxws0=n z{kiAtyZD~TxqG&xoZextdpd(ynjy2!BKzr4PMWSS#=p`Q%yTUqaa`QHwKLUOY zkr6UPHLi!XqNI)c#Hu*kE~+Vh7vG>|yV|7QQ5siL(6oaWvy<-#2&ekp!~yF8!!A^+ z#Fp}9F9V)tQy(=X;^$So@G~M;W{8J7nT`lygjNQanryzV8#mhePMtmbj`F)BfluA}|(ya?c%Q9=maPu{@PXT4t zwso6|VCs8~sJ4t>n4h)+-2z3F{mq~1m-^T9K%e41OiK1+xkzj+&Lu_U0+ z@PniXQ}6$}T!GO8P8?x~W?upfYA1Q)k@}Q&_8!q(oNQ4GXj94?_X1v;muIoiOlJrk_CO+Z5-h+bp7s=p{wQjVApH&M_wyd!!|C1CX=hXUNOLKLqWnY|sZzX%=sq-8qTAF;#mdbL$pZty1 z^p{Uhd$B(YV}3Y(|ELr4%YFXZJ>}!OVX=^8DhnVQC65vrNNvHPI)34!#9jm{y1wvJzM}}9$npV2> z2XV6Wt;rutQ_$vm@7?F?27gg~a<#uWI0ZJ^j3r;*CYJ){pE=CO=)~%ZGG9w10!^qp zXeOU7pA{t?QE))mQV<%L7%v9UK9gFHD|r=Blq@Ae+YSz8|L*ZeTn*f&do6DfaVcUa zxGdM2tdz%&W?E$j^H(LG5qArMpkiHJT$&B8v%|ZkNj}p>LeU}UF&ZClb-@Rzn&91X zv66LPodQ97Jc2Z%APZ&in~A1TpNtrY$e`f0o3@nv%Zga_W@*=OVe?kv9O)BW{dSvgbK| z_{4_3i7oVhpn>*rdwXF)bF1?gNSb{Lp9iBIWCdc0n$j=-rSA&M`m_>C$1c8_-5r9u zj5O2sTV8x&{+eA3@ZX2I^fLvOExLUB-3gP`zjFA+kvBgaaZQDOwPE+z~tlD=x>oefV>=f-( z!!wWg?8b6?48~8pR~l%;s!xMk@)uj^)%F{R&8G0Tnx7Pjpi~;@p}Kw{R(a0<770Uk;La^J0j@oWw%_@>rew<;w6>K5xq#%*+=Fy~)>B?s|ReKaz zRqIoKM7FDjR6EmZ%^JJ*js*9u4}51)0x;@B`@3z~H8k^L+yWjrw~xLCn?>!`R}=Op z$$qR>;A(u|&}2p@`yW=Ocpv5;3VMn^?)xQds}6?6*R*e|4%xaa4PoB_-%H=zW&a`UCn^wB4QLtx389PM*kiavvDGicyzQ+X7;Ehcbu@?-#glS}Itl$=`~_rBZ@(3m6~Liw^V54|7J7KFX6s0I5S- z6ho7fWBkiwZ4TZuT-E-qvP%!p(_aU7(zOd3!c&b>rSM9!me#YnrL-8dC2o8q@C=9- z3zyli^;0oOi}j@|IRjRlN}CG{F!?ps2L}{S?s$Lm==%9y$K4`oBlTK_-X++k|7hgg zC0Z)X2qs<-LLg5DwO2#jDfc(x95SLnZf5uhMxVp@3AT22rcZm?c?!m3(~{Ja=t8_= z;o#%Td-^2Ny=GDR*SZXUlws(_st#Zie79*cHh7|bjE80p8hATxD0O|OC)lZ?z4!Xlao7-3 zKV1kQs`1Zn4y?X(mveh{C0Hi@%vN9;kC=~6x$9)L;Ck^A^*ijA`wq}U`3{Sc?d-*c)dw(57OvKVu;`FcB z)P{>i7g85Yo?lYQc;z93XE4lDPo#<~gwYrmx&p)P9yURk3vr_0;@y`8hMj4x1Q4A9 z@6XqOU$*ufs=RZ~j8Sx9b?YI>X5aK0z62?I;RJ)4Cfj5VI`7s}-<$~4N6?`7cN@tu z^!L$?J(CH+`DOz4Xo=c9yf3rLA(|tokiowbKjn2Rap&4IP<|p2yqGW-oNlzm552!8 zqsP>=ih@-3X*e(Y82nJ%o**J^(p=p`gH{t4i*ZKf-fN@bKgI=TKjBKUxg9x6fV9}h z_?C-1K9uc{T99wsR zM^LvB@dT${sEJ8tHP^I~`)yKH`@%Ql+ZTwFh0aK= zJKqn?ec5SUkzVv36?|}b_WS*pta_BTFPxsLdP}DsnV##EaX{|yT1+F?RxB6=!TQ@1 zdc66#gimBeF^%yG{UJLmMnLGsxZoTlfwnpIu%Dy0o? zQ`it77|536kWW)&Exuf+_cnT&0g*5sknpicviT5_aLG%pA5RSn3B{qVwT2_bp!Po& zhj3~UCknS742UtRtaJ$`)asKCav%eikxRhSjK1}|`2*V@%MSxAUOEJ5)g5zL`$-NX znIIARrvlH$ac_21&wtz8|5l?a2(00^(~VR@9$4+gGtng19BJTdhot$_m>)&W-sS}? z3jmHLEnYD+dZ>_<0Z@wJ-z)Q5f+^UV`HaUhQ&2?VCC93A!@kv!=(zt+>iRGBbz%*M z;-MREOc7mI>v{AkV#l6J;m3)h`;N<(MGBM33u%h(d&z#|*BatHEV{9#H@Ngb84s~w zHk?^ruH?4c4CFy)CIYmE=v5NcSywQBfR;d7Z}{TwM_gN8u8RJy7O+{g8Q34*P%C}Q<9^TE*iIfp z!APDtf}XcK%qmz_i@RHt8bXs#-A*^zOc*y=?R*Gmw54e4qas!i?HL<E}|+sM&G{!TYt8t z%kP(TTpl zB?p?~#WzH833mgs1^R>~iOi`6;K(>Y;h_aqz*Om5a4&1EDcMjMOD%rQ@qezwzVG zvE)%rt><+8!p_(T@1fc8$h*!|-lfKPN4pjjCg76QPR{WnqApEC%2!G2LJ19>C{0&B((zc3Y0;j}|yrq(A# zf^YL*mn1Ug=B_d2xay?KbyRCv@2GK_@Uu$JFp3LmtXh|S++`XAd__>q!n!7@Q;Ts zL%FS%vB{?N-_i>h$qWkZZQA$mxlKM5-QNFn6B6EdF7Eu?&MkhY$Tj)GhaW{xYb*Oq z^0{;M*`gC6Pc}rCW4DLq%WBGA`o%>OEuKaHh`5mE>N(tr^o=G>iGvvbVy_x7Wa4dQ zywrB@f|vs69$9`pg-noh^xI(2AEQES`bkG#O?H86-m#8iNu&%ZtJDTnGhK8j3_G-5 z8B2Lbq<`?+OPzGb$1P?x1;_dmdqW9jg#ONh+ZlNj`)VZJ8q2dd&$~ti6vNVyUfld0 zB9@R*>qmlsmUj85uJ?4=?mP_vCqx^r)@`VMam1+@#yGf3kL z+Ll)kL}s1n+(>?MnzsjwJlCe_NRfh6{Lf}2HG6Cip~I@}?!l0<6H5(*#S+r4(}1Ph zXjZ{&%$kN{s!q8m1~1V_m^V+D2$oowQvO_2?{<^-%stY)?ODU)2B9vcxwNJI z&5->b)jX@Z74wqw4z|*1cK44}CW=^kQO@@Qzu&>QAD|`itj(qPG-k~kN#H>eaRwZ3 zPiOfSs;QZ%Ui$7rTbi0sEG#m3>^MI|7)CHM*neg(5|>D3 zsv*lon!Y9j=}QV@9%qXz@x zyDOJE&gSshE0MtyTlzJ{hD)kbEBSWyNwP*fYuQ?ktUyXZin%#Tw(O$+Jcg9Ded)&W5E+4====NBYlTH7X#hD`sb&|MROqG3t0rS4pmTlfS_% zN<2sP3Qw1Rv5qc0QZzd}?nyQiY9xPKA6)zJ7QSYHg+w!327p#3gn1xFSA=iP4{i`8 zU9^Y1LZqoOF>c$ir?hbhD>hU|d0rnH`Y;~1dUIMwjC2rj0Cx_OQ9a-BND zfR;Ig9i0}-WsVH(Ejo}b0~#pkbsIDZAQ{0zEdDvN0K3{{cFrdE?q5C+xm^?aL)=+# z{}u{;J1TuL;1D-UQ{!sm!?#PK<=+U}hw%563VELFpLDenx$(*A$<^5ZG636)rS^f@ z88Ux8auXZXcQe%DG$ylJTyo>VX|oU2(>x;iZ#EnyZI!;8Vf!!!*HVpY2 zPU6kTHk=rK|!u=EN_{wUuNKOX};MJ#@}KUAkbu6)649 znF4tRhQ*fPvNK~K{H_+nnFhOs7(arsJZ=Kz9lnNB_gU<2u`m`{2;CEkoCg@=k*~Lc zPkM2oJ6iEyHDq-}2DBaubhXN|Txnw~d<9D$JVFGF-GGKEmepAW6(bX{3dR}35+P>4 z6fE)TUZ5}XorXKDRH}r|GSg6p;ah}rZ+|pKW18Kws&47X=)jb17tHrW<{*C2R1jg{z$Wx~_*Pj!q#v%`Nl8Q_?PR(Gub6bkoJ!$CtoPi&Ppg$O)^w*`x6 z!+J{F>d=hPO5rC_#;;9#^VH1K#e9A6sO?n&FY)wkyh@vNHc0T0eYPQzqG!bRi&`{I zVb#np93UDYK9Y(Oa!m&MCmH;^xjB7XSmy^h?$^{<3(oKsodqn#>@e1xyON}T!93;; z8P$ussG-x9DkGU0*d6X;Xiul>ps+ZJtcM*P?#CU@z!G3q9{uBE^wf!m2 zPz2)^8NilJW*TSPDn-2RqVD48fG9r!HkG#h#Td4hTm9J6zeCRW44z@WDAHRb7D@n` z`@XtHJsz3mZ#$B=@^&vOGJ%K+bp8=@wX=)$L-6VOQm{$dO_Vgn7I!|ojUWMC?X|HX zU$F=Kjb^B_s9jo_HT-P*O4!D5oPVo`N7vEKzrNmo1)h7fLwPxISW2JnJk4(Xs)M@)XNdhRt-quhX(ooJEzJw{ILb&4F3pycM1 zo6^e=QpHF6@S@4bV(?>r+^lOEj{~WBEhx^19qXpQ`RT*Pt-hjQR==7Jo#Qt>O4nOH zMpMtX;Fxmg;Uw*_6B)0LY(3*IR+y@hG*G)ypte;FA3^XA=|Q`7q5*x0X3Y954a2Z^ zsdJajJ~88$)ab%_K@Dc;Ur!0APqrE=dXCrKq!%q;|CmDHHfxR_z+r3_{oa4oP~*)|ywL;03!R4_l6nv7O}|*?%$^ioNOC{-?O!CM@l5h0 zQVEM6gw=*rLFdWlUA&rU?_#%C8DmlwSFx-W%i5%StU zqG-7Cg4M{KB@l^Y**~)Tuyu5zvpHL;B2;%npFYCTZeiw&tUq#Q3S_TEPZN11o;jmZ z(LVm?pUv<*jnIcZ&E=Jyze(QOA~y#+kIjm!FUC0J*1@z_#tfep&};JLRMvbS@_VrM zmBU$t`X`1@Ct79ZyWcqPT1B;|V;|rY^x#V-W>O(?sL~7HtITo9q)czu*tb`Ts5&A_ z7L1;-CZD-7d0-3o6z>A6ydE7UEaaC^pyJ(VfwKOYb9)<)JN?kneBkAIp@X6PYq~sB z=YcJb-=f#u@;=gdadxxB8*j79MpCf%OpWzbegrWG73ht-%r-uNA?Lh${Hy#ar2Rbp zr6D%Ig0_f1*IgF7SS`_6ZgJ6N=>n+>N6%l3}KWwT4NKRt7T>OOmKIMC8!)Oz9?n7OAOl{E)n3q4Bq{RMrEeHF}$Gs z4M04S6#2U+A`Cui#Vp1p7-0XeB?2LVZ3iZ@XZP_w%ib7r` zN^mir?hEk<iBoK~#`JxmdA(zO}{E*nZ%@Tk!Y{U}Owlt~YlcFwfNq;;knjj;f_K4UmLLVgzf@v1)PRI@Y z6Y^|Zv54^#`g{V&541{(iHBd`SGcUJJ~CK#;xw4Z=*v2+QS@$Z&zhN&qXGzKKe_Bn zufEi_6d=R>^cpKeq$}r-dpQ_x)6ak{BVa9`fr|UrkEN>aB%Q!YfD8wPcKOURw~|nr z5M$_HEFGdmK);}VHmo2$eS%V?1mVGggQtz1c7!KCBE?cS_MzWF!Ic`}Qm)|AyT{RM zAwnL~#`}NQ7mxiuDD+$@MO6D}mz2T(!>zT&@9z+)(fy9N!(Ee+hm1!Z`j!UdX2QfJU!GZBB{z;ggC_fqEJEim_&B7!8BX-Uk znLWhPIp~&V-Q}3omkxoYA3*{{_7A{*9%G4%?z|>t_ga7B8Ove4Dl8 zJHHA2ek>?urex~uq+?#_difhn{8jsjOB`Yhsf!qOlXTCl@goUAbUV2@WMLZ|xXl-G zygvF)?J-_2rFL=ed3+46<>tf`T~~;9lo)t*JIJ;6a|b7azpLkIm;csErqvDm zD3Tz96jT4Fulw!AncUGoaA|Y7IaKPO_uCr>5B&WNV2TEOsb(ka>-a`Tw$jDu`uJkz~AD;@EQRRbCu@ z_US*Do-=1|99v9=S+`i5LV|3{A4Z5Bd*OSs?s#-r8ONAaq~#BZ{ZqTNH9L5tk`c7c z(8sJfS-xNFI<0aOU!gW32sb48QZzYGdX;Imk<`rqREC>K9E2;|7wm-ZA3I+Ij3lOp zvAPrQ=mM6ncv*askK%bx7eK7}zL3grzqRtEG_%|lfO2u+8?zRl+U`h{Q6-PeO1_%7 z5QmQQ=|4yF^+h*B?-nZBdF6k*s5qksbEf!?{;SlGhByza;d^#11(Pb}Y0mg$%-8;o z8v3p5KtCXqfHX-0t`jwJ@PmSp7g5+Lc`6!o5&w==1sQNe5nKCy^h@O(TFGOG zLyt{!*`%-bc6mkvcm0*gttJ2Cr!V)@eNADVHQ{(_@f14}wNMTm(>olab*(Z#S(-Xo z?-HVNV^AyE7`st0CB!0zAykpB_|@D}^cnFcUT!NR+ul#Eb}Us4)~2C&ncOJ1W#vjMPU3h;Q{=>GG1~yD4aB%`@P9nj`U0 zVELNv)-W%n-I_tSoallhm^zn(Qo{0^_9kq=Q+#TjdZ{)jR}61BlC%LRjVcWhk89F{ zupJNsS)@04kMd`X`NZ0f_wTc))tBX;6XSeqxIcPL zpJTM{{B$evz5f@(r!}qFz7%cQYBDkEN6HQ7w49x&@J*HjZs-adw1<$s`IdO{=AR*P zPC?KSE|29spYM~1CHI3Hj|W1+SMCR&eE6fLe=}9reGqGqTQ~0c+CyXwG+QtqXR>zV zx$Y2SFLs}TW@Q*t4oSM3@phBv=8sfRL`nFbHbh<*^)J*s{DQsxtoC~Q=ajFKHs`J? zc;nwukxc!ns8|gLaz67n8fCh3hvVLtqdw=wgR=aiU57ZKtyT5;{BBpxmg&91_>qC( z>4oeTp*=jMy-`mw#cYOW>=45j88As5>iTH8l=&U&W~Te4Ca%c&2TwIb8}j+g6=#-8 z`|mW_ln^xu4>Gr}TL1Jy5w3OV@Q+T?vx+4m!53rz1TONU0ZO zAlH0kJBN2AM10HcG3t~BUhn?RP8SWd`o9!^W&;Gm8yYo2=lyl_Y zO>IsvIUMW`eoyh82HIV@_@y>o524Cmm?Ta?fr^p^&%tCEh-;49YO9Ioo_K8}*-7b8 zosho9O4bjEdds%>X?@C${l56|l-N71330*y3ArZwK6O*IGn_jYQR*jSeQYc5x$)vp z0(*JRYtT(2$skG9qGr<7mOV4avB6++`!e%vsT4yWf!0|R^zD61bei<%9-Et|ui(h3 z(1K1CNynE%J@OAg%?8bWjtk-Ag;mLul2!+$x38UxVLWrA?J|7HHOBRleEd{K8CS!? z)vawBP{hlMJAN%+zrAte!iezeDJqwTp{%ygcuMvRC(8ow^kS<0C`BA-Sk6DjH6&bR z+?qX3XA1-Upktv3e;#+~T}~l!%=gu(J-HEbip@jPu>{uv&gRn05I(m9Mhmafjie73zOZohRly!WbZbJwY&1 za6*1y*yC9Av2^u987kYbWNk4pdm8nHaZQR&fchOe zxtk(h_uk_xEl`W*)1w592yU#pmsp#VaisAX3&CYboN?@3V!h~60|O2uBowAmpO@Ds z)>z@6RUIH5+?5b|bL!&J+)VQPDDUt(rQJDe&q_V zYao46G{$6ZE$L4k7V-Q|k%25jg-rZV)=`lSNbbby%^Jy=k9SVD%Kgqmzve|}CF@FO zU$=zvU8HyJ802#=M}ng|ZfP*!I{9+HBjws0?au&HL@Yf=q>8Mj^)oVCj*@JgxM|w{ z&&|iXUSfl`5q`fq)qS&t-L}|yh0II^GM0>KdPeiQv^Rofv;xOrv^5tQk8`UyYm(wz zyLWc{;>lzCfbd=NTvF?7q3|wfm=P~YWqAHC!O~{|md}vEADYmVMXUus3a`oFRl^On z!TD!77lLT%-kes@l!fqvsjGEd!ZRv+ZR7;|R}+z1hK*>f$Zm(mAt9bIoXYXmNb`hoBNT{ZJ!h9&)a_XDgydgc*koNey!|EKEehk)EKM?&ybs& zKl7m*JmNQD^bpw9A85;C%Yk6vZl>3)(hoZb->c?+Kl~LDRerbro0H*=ixeEAovHbT z2b9WK|le@0MF@;9#X?o3#Sn*5I6tG@tBK}41(y6&AL z79gLUf90MbJO{AWGe^TgR!ftR9b`QA=Q_KyQ;qWtA}?iL972NbRd5NF!J7s76)xl8 zVX?54bC#;o)=NMq;n%waM;>R4u9D2VmjEn;>je&L^w^zio4j9jfNly|4d3NBH}=jS z9WtvMhuzth$qH0szhbTCFlx?{;Gu-HrU}J{kGS}iSsHF5?veuQR}(LCyV|95h35c7 zMCvf*<H8;Um#kW(QdEyu)Bc`%uF6*6dCd>sr5v{>(enzAA>; zC2HUt8Nyoy;O=$QD?o6^je@Fhsf14r)#XNc-pxvXb{G{L*8#n@Qhbt^L!o5<3%ug25&yf1H2 z5vMa10>te4Jh{{a2F{lS(Nb+ZqyUeAuK4o829+pRxv{RXP6btK)!mZabTh)ug6CI1 z=@PQ4`42>u`UzV3Hw`*EKc(p?37xPO7jVslb4(Vsww%g~NTLQD1}rVm>naS>vo4a!3l%r@g~ zTTS_mrpgosJAxs92_?PW{8($bU$Jc-L81u zWA)3wFj&62Yp6_ioYit=A;Iq6hA;HgH8vWu#?NK$7p>G-fyn}VC^pSz5uct22u=j4 zj0HZe4|a@6x`ISi34s!*@SM?lXz`FA68Iz_T1+aN%L|$li2^nW>~gf^Zf^+f3e-gh~Z~LOssG zlSQpHn>ABj{bFP|Fh=q~9Np6TMvwt2B^W}+QeWQtR&c}*Jyk|FP%LN@jP*qfd1^2c zxQ-w!WMG|AowYU1Z-6o~tSv$i{Bq`yG-PQhx;$RkL~= zI&a@wwK&Wu96wg5CXMi-RsS-Dv-Zg724%)c1wwy)g^T|eLO5(EaQDaFzx@2B8_}+X zmj*ct_V`(Tz0IB*+t+K&19tGHj1ikPIM!`PM| zQv0AjT9a?Z0z?amiDC)Mo$Tf*$T2uaN;9vUy;sA?dD4ugfP%Jb;gGUsf6}>NS76v{ zrF?Jef)sa6A4B;Ab~6aTlv+Q}554Ddkcwtq&yN!!^YQjrIA92%Ef`||8nma>yL|;t z8ma}VDP!b~^tYp~LZbp)ZyOO&x|bMCcj-|h0jUVy^|=H)r4myvVm8n6_&1~svqUpEVUZmX%@H!gry8aBDB!>_fw7SgwVszsM#2qVN+?;wnGA_RdhaggwU zynV3MRZ}!O76}9`kWaYrw;;hLkH*&anYvkhADep(+N~nFDwN}Gn&lY&j*BU0ynaiX3FT$Y=UeWz4pOgKGi6Y2ZEq&5)<)c}FCehmnuG2!0Z z><#KSxqs%?O$M$!8s}r!-}YuIVB=u5=h$Tb?;NUjR^1-`Hb2mZOY0$FUO%~l|JJmR z`v*_^u0XrtMHW(%b=$%9F~a#y4$3`gH4i^$C0p!vYp^4)jAkindCD>;kBz^<@sWj? zIX~?}BC|+N+8kYt?wC=-Rpv>a% za{CE+lbP!#1`xqFUHPd5Zhq73RBN^o`tOe>r+te{MhQRV=(93ZS5aR^VWVy3QzM5@ z8fKu}oX)!Z&Kh$6>3+v*6E)t{wA)i5<`$y zKnw{SgiDoUUX34P_R2dSgtjX3l1PehHYRE)=FC%Gmoy^I`b?}@U-~&%_TW_P`1o!q zqJ99hZ&F$oof3ixz+6oz!LRq|cZVens^4&wvIP?#3&`qw# z^P(!!+_z0r=wLM=x!SyoO`n>K;nPSA^K26^T<&Ip5b8OLCS347n5CqIbyfeqD5BS3 zKuQ4YMK#&p+Nyt5QrB}p83{XI1VzarW&6FP-ui>-2DQFW&sDMs`RQd9)}T34T$70& zS^BtYNp>XPW>!VX%k>9Y$PxFpwr|*F8$NX>N9qP5P7-jriN86MSha+N@gk3DB?;lp zVlja&g8{U^xVd;D)_t7sIR$iV*f1KEwz-nHby{qs1F;mLlY5SWSU|CBe9psLxIS<_ z?&x&HROXxy)mRixDv>>*Dam3!la5Kp5sU#wd5uicc-GULS*mJ^pI;IZ(PN`z<1C2>|xM5HFZqEH-BKF+21IJ+k;33s$wJ<1^LG+OW&&b0BqqMnx2f%ejFI zUd6Fb>pr>+D~Hsb3J{uwGoG)r1FJ_2)* z20qFS-HPbj^5l>nOpb1~p=X*a0FIwVMT+?dk01MWPw_e85fI2?b&{xq${=y+xG7O# z#NWEm&tjPhP!p~>lP}%_dxwg%S;?`|09fm97oG{Wy>H4E+ny>p;Psvmb~^W@+dS+K zZwJkY79ovmDWlWp1j|=*$}ld&Z7DD;`1}&itH9`-gd^8*v-NLkZ_wp3%7{s5A?*^X zX?~j%V(gZ@g3EA&l3LNNd$tG9sS5+ba-4tdFebd?-JTlydTTSChut~4Sc8PMzB(F} z!SgZLIKG%x1EwtD$Q}%1hHWlcbKT@qQ$-pK4$}@p7RxNrKiy!W!&Iogz$L<&&;z<$4vz(G5l%+l?6@VZ7Hqlxv z2l*(aRJ7{SVInrrO=`W%uQ8lEgO!FVbP0To-U)Qebw;d)#auV8uPFnRgy$7Fnom|BUb_G zf=L~&EdrB52L3=}5SEB+OQP`7_dn^QuO3+urKK*u5k~^f5#_oEn-HBB>3G`>Pry3D zSk2E14|pnAwuQuxc2e1rzXAIB%&+JoRbmRC_bzgARcy&?m2TzCrjY+=+ANkX)l(PZ5S`nAph(N_b zcp8kBGhgN!Am6*J1=XL3lg_^FI%92PC=nnV)a=a;S8)ycHQ?ZZhu6Dwe4qkhzhF@I zBLpCgG*O=&E!(iil1tkc?rcTgLMyo2-oQ zCxNe?NLfO(h;8hW2H~K7VDBOIFrgRC*-riY{AtN=>w28NKHbQ@z%)t!q(uZx+=Ycp zs8;vcEa|m-PPY=i9K|GfeMt1`(4h4BVv17u_wcKeM?&Uwg%Q*67Ua3UC!M155PEojGDE*A6nwa2_(Q`2tT;gS-jku7f!%Vy8K{ z(`?4lmHQn1j4EgP2Fp@?d>cEuWuCF*pJaf%TX!Q9u9u4-rXTmQ-HJ9~WpJpAJJT>W z&653vC~u56JKvNJH2BWx+;S)^oWml}Uqf+|#6F zS3yY{(8D9gWdvXCUVTW@+X0?seyXJQwl-Bu@@^K<{VFc5Yr41rYyXWTe}6p2RK3yr z7#Qo^vG62y^RnP&gREFsQC_y#_hE}phu%V3Nh#1?z3?z*qWhb78F9+9 zTFV|1MOP&+xAnbq%PyK`e#_R=ch^PWPh`>6F3Mc8sRzOf6k<0mA82*Y)CBjUv$pQ9 z2RD0MYP$p=bW#r!e*jX+rb-TepnNhJ)V{mBGtOo%E7{`Ppf%HD)r)plUt;l8je1F6@Tj2VI;9d3J)ZD0fDk1rsZ9T3v9U$f;L%Y#+mCx223^J}^lu8Qt;xq!>#~U#1`aDF)sKEO zNy<|rh_J7=$KTeJ^6P0>pvh=@{Q@SdyOyN!H`O8c1?^J^=YQ1Ll-!i~(iJW|x@E@5 zi=98Kqb}^LJa+p(zyGV~2u_7Rkla?PPq9DMpG`N-ptakQ=M&Nns6JfVka>Uh?+@Pj zhxRf4IH3r{V`_eQ`NW-S^TdC%hQN(2K77lK#x(U@-wq5BL{%gY4W4VDX1&z* zl`h;+YS8Y-sf)|2+_(KXd+0(;3~`kOUE285v=;mNMrk7`y89%;^n?4NFTDc<7U|u; zbfCV~68hh0px%`rr@4RC#v$r-9A$z>VWxKc`}j!`a5x1CU5C~@yw#v@{qgQ#=FN>&)m+mv@36xP$>G3drHq51VQf~MH82{eiNAJDy z^6Fd%x`ra>uZU@UqAA!gZkq;*+3vjI%eum0IcYfNA}cSP5n(K#n_4Yq~V2B5*$2CB4>En(#2 z(Y!6MNu7q+HK+p_mapgZ%Lh3wbi&*TjSJT1g_y7(uFwp7FR5>HBEcxslI*t(|r2{_$cm|y*&Z_k_+ z%e%L9_z8J$kk~Amj!i+qM+)q-=DU#v#0z0`Vp5(3FOZD;)oZ2 zXDiU4Q!1F`W2Tpa0g(1)H|xL{=5f)wB`1+)VLY_pDVZXhkGoLZr9u?+`fx0%4l7Gj zjH2!h@O&bYJ}&1+vd|zJ*1tXGk4=pAgx@;%KONwd!Ml8Oal7Ortq5Nt*x|%7!5DwW zs|T&L6e==1Ps zn&XXwzwV^p$(vf|B-=ycZdMu|2bp}2j^&(%M(|lPd{`!kXXq=w$r0q4G*Qe^OQj;NlT2q3dO#4_x zc~X>f9$BR;(7% zzCV5$Vl;$VYsl|uwq+Gm)@Ful=#a(c(e9-Gm`m)v&&?AXaEAN%p_3{GUAxC+B+Fc( z#Wk6@GZ9h8thA9G$zj&1w7l&d?I-e!?d&q@D@1b4K(Zg=< zvAI4Pu(`n~4r(qgbv5^U)gJsPXkciYgzO;7=O_-(NRLB z@{ART)oG=LxSWC9^3=&~S0T~6^~h#6Q@AX*PxtAF zrQ4}ux?Zv?rIvu@-MSsmGB$?E=rO5fAsr#4S0Us!1#82i#lytvp0fZ=l}Wnc3kcm0eR19@!Ht7)sfdkEcBUJw@Xf0UF6-=}ZuWZjWsXaw zXv6S*Wj$)19}+j?#U<|vBl~6DZKv)x2MJ=l!&J24)XPD^vNX%+80uy25sk7(vTtyk zKMRscL@{)LFG8RvD}JCfSjZ>JNfmQ&zTwV2Iz2^cxO=w+?3ADR^&E_UEpo`3U=SH> zu%=0I?tUPs=F$h=9G z<1v$tJoj|z4U#xiwpgSUIh0w%T6WFmd*?QA5GUCPv!fD8&-*^kd=rO z4#_5zjASLsrpVrV6S6}kdzG1yk-f9a%-(zNz1Q!4kMHk~`lxiy@xIUdJokOw*XVMJ zj9;ZA+*8B$O}JcKC3j~{o`p-`7{*$vBC^NiPc0F^ac=88JoDc;O#f$)p z)>pM)$2YUSkA*iIIX(0uf_5Dm)P0>FmlmDJvO&C+tv{yOb(*z^@tBHy&p-wfnTF*8 zB;(4=henQ5eZUkz%%E4rRfq=du9)y)X%dz^GK%}YG3(lZLKaBxU9WIO2;kC&U#KqJ2GYB@W2Gn;-a5BVO-InKp%eI zad{)j2gson&++IY7v_90gNbk^VWOakb-&Ltk?gTm0e}vw-1O$C zz&YH7H$qBQn6TZmf{RZ}euD60xqR5H?OV0r)3-9(JU=UgdZv=NqRsORLgRo9ZyztD{xt{%aiZRoTi!?1~7H7+900b^QB$ z@avl!#NA=#=M@Htth=gi2;4ZuMmJ0Y)WzB?>fPs-SC34NgAW*?O93nY@O47`FDX~q zfetlLiO)`iy3{`L=G=w?+LP^+nx%r6{Q7$L<_a<=N8q`L8s2ZZ-;4$K=wJ?oR?anc z{jT`_(_Fp4`6*lPvam!l`+*rYGANgXZ=W7yd+^9f`epU-_NAd8t;ngYth^nRjY-@Z z?T>nJW**@wGW%WIjc2)z6h^PGgnWo2JF`u1qD;OvQ2v6;QPz2qm+;+hrZBQsF_&Qc zDsnz!g1lBR>}j0$Rygr>$m8;4+=)pw6hCdm5^sBqpyK;dPdwjA;wrSPAsS$W3a+;W zaa=PEjG15+k5<8$_H67(k#!bL*`M@@^cy@sZk?qTzsbKxR?qILX-RwO_&e@{#7v4M3X!`P85HZIF^MR5E(J4Q` zym6#eC_Md5$Ug*J8nhLFXBPShqVcctA6$15c^6Dzrc!l{#1^;p6lGqx>pg_<8NuSf z&!*|d76+bd3B8JjT;*utAKQIb)5k;FV0;j7j}2mDhvw?-)cFA{xLp!qW@4*bk|j=s zf@EBhAcplT3Y5K*todfw#&uL#6?p&WT8X8kuUku&e^ykv%#>@+VYolD;o+k zD~1MQ^v+Vo)VRPE)qWNdV4{G;Qd8yWW)%LIfM(_|$z0{6aImg85!m_vo_u zBN|?p+OQGN1IEhXZ}P_>i?9I92T%vr4skkp0K6U&XW54AsQygW#b=9b#zS3I8 zT?U!US$~X-I1Klti`DvgxiG8V_mbmO)87hebFfBRFxlpO`|&b~bQSA$3Akr=N?fo1 zxeDC!8Do1yBMcm11XlA`H!rk*PN6;0rsztvyBbk6=r)zefH>;Rr0Rkcr1QwQ=&0bb(oPUY9$s30G2)=o04%MH9u@__qUh zA#eqDrqg_cTG!tc+_|-G9N2``SAg5f7fOTNZPTKZLTXXehuz`N;dm|O8npQYHKMZ_ z>iCXRndW=cIeak&*&n}&ZFnIv1G|qbR<)0O)KLGV;^TOSM7fWmd`Xt(ex*tU~jvrm;hyG zLz zEFxo4AGyR$AL0P$bqKdAJ#Ce-V?mV5$CcLd&sd4c79})>C26`M3a2x_Ag*RIxuCz}?iZz2Q z9R1Q0rj2iQ8y1g;&p3}qFZ_s)N6K^jba-2;L`BO6p(t8i0;8p}Up!n7g@7e@;c$tC zJ5=*>acAUD9j0NCNYUI6%zC!F=1dSN?kBCL`u%?BPv(?kSmd+j!`WwuIhUbeN5UaBnLH z-g|~ds01<(;QzMM?^5r5bTupOKtL}DRh5p_lHcn7_>wFKyGit0^cTeTM>t#Rux9vZ z!_idsj4{j9F!bvD3h|Efb*b$Lq)r$1&kVQ!oNQ8kP2$(^r&|Fxz2mt}CvV6P*M^mS zj4%3Ei4_`bdZ+fsfXcm;D~+bY-FRqs$9jeOXUXitIG@jU&F zS(Vh>`I}qTkbn^cRIQJpdCCcdE_u$?%ny@za#(9QoE(2X8yJN4{IKpw+3IxYKloZNlTj6}!{Fpc`_`)YwfwMM{w%$C zwF8lCk)es;MPo(x)ZmJ4x8YS?v!dIXrzAG6@u?Di|7DJ#C>AQ@L>O0L4(4fFgzy^T z5nh9}MI1=cp?wIQ(U=#=3N2^_j(|j%hEA5!GW$h+2z9%R(SnMk~!F+uFrHXcVyZAgV$Ds%@T;(R(c}yoI^&5AWo6 z>oWmAXxUS&>+?5Gv_rlO$D0d&6QQ>>mw5_q5b7@z@rBdpVQxo)4mU(!8)N_kwWo`R z4>7(CqJ?SW$DF+$fi4T}Oe83<@qdk6A}>?H;&EElw{^|?KvBh)a@(6qE%*G&e2AWV zWcQYF^?I?V<;Y#5=jA>xlkAqeG|!4vztD=!3A0`17@U`3RG8aCrClBH#XeY5*YTG> zU-?B#*;!HqHHvpF|M7G}P6DOt9$u(O)yoDB={w9Tq_qtK3D=)Epdi}^RUXRD#zniI zLzi_2QOs|`!8<}`rxStU-|QA_`z5`_ zt5$;!RUgO+Q!sU{tIsoT%B#tX9ggNV=wxy++mgOt4n1_}H0!xP_l>+_)5u~i8ab_8 zi+*tlcCm8fXr9d?2+}RYYv(-GF!pY2WqCW+4|(4T2YdWidXa(&;@LJS#ZO* zvDz~G8jLd%TU`gfR8~}z%In`3%KrA!L*yYeGO%-mn5srI8ou=fezc*7qOJeZ>Q&Qj zkn1CPPN#Cm{&VJ9hlePtbiG^XITo?)Et{fx{U@i6FK(bi9({-@Ga|6GP39_3Q#lSM zf!A5IVyUPMrUvlQ_IFzQ!3Mq!8TEH4a5_d^+*QMO~B$isgAy$Vn#AW5zCuR8#B zGtiu^yW!l34w1%2TT3kOM`QXk2f9 zt|END##~V2H0v8HBAnsNMgExwz>$X-g*gWynvul;~ z%1ih^XV18Fmcl%2>0*KBJXC6}ozD*QM5N_ou{fra&&yr5hJ3TS4n!UQQ{hTP-_LJqnO_s9YD6jyZ#F5d?g zlIpT&J3OIi2Vdioi{x}WzucbTAsbG568|9C3Tc_MQ^6SL$Wl+pFImmS)`kJLy#Zuu zy-?)$dhy93-rw;;6t2w%Cnmoa}WRvv0m- z0gHvrK>wsVa4eK);ws7?RrNM7D1Flq;p+&UBD{-agE)>!jhY5WH!E7(n4foz5scX5 zRAZPD&gvg`q4L|25&ie0^a@UI*6J55NpK(Ny4aI3Ua%bE*DE)v{29$=xri4FzmpH0 z&@41GFDi_cq0^rIMEl=>3LhLabv@A6o46p$?bDqMJHdyA>lw*^h8$Fg!HAROhquS( zI(>d_h;+YJ{lpCwCmG!#ZDjWc$EZ_$~|AGw?7*&nHx}r7Y?;M_skk*C^yTQYKTQxQ&nzz&NTJ<^{&SpH~8< z1uyn~Cp)uYD)X==|D#)V%h~D?6KZejII($53Z^K{w#bE_CL2q8(rHkw0TT%H!(CO~ z`%yV^cjTAO*}w!D86cr2kgS~q5PIIXvHhE!lB@c1|F34pwo&2&#(S+s`*~)AmuvOc z-*-b2?@w5a*T7TEh?I=^^qh#pOa`vG48fUJtiW_4cHF#Fc~1B@;(lVFkK6FOqnSKw z_O0@lmiZI@odsiFSrjniv^?Wp0Z-O%XDs|8cwPwf-WPcqxEfbK?z0xZK1f}y zCB7Q-J~p>Y=fm(W{d5dD7tIHsQf_c%i~?$$`*Vy_@NRp22aD!r zZ>$6Ncx;?%McN<&ujLp0=ZXTZ49M+`NxtK}93;bxz1te@Z8&#KSj>Wb z)h4J;)OXlo`R!S)b@G(ccJ#ivLf2?Hw1KN%r!3aiKw61VEkGQzm0)NkiQ9-60k7kx zHq}-tUxCozjbCblgwDm6$mvEpi2IJTGiSuXY0R#djfb3=wT;yg%i}QKGA5>gG-mM( zCd{^`hBMVub{M)iW}vabk#KTHM}{w^)aye&bWzBf@r3)!;07LNJP(QtW3TK&wymPG&Ox8<+anNLfpg@5{ByS=>VA62YAnSdjsq&CuIrV1mJ3mXl{!C&OJj zm4C<`rT1jjM2dRA!M4~J%z_{gPiAy8<4s9_Z^_z$zPAWh`Y+X-?4iwE9% zfs4Wqj@N^P?Mi$VR_tdfAV9%BRuQ`3}N-LGp1%z|)8A zp#o8qqNG&Tk&Hjc$;UmIKr0ZeWrQ$TlW2&&#Q4q>mM?lOmH$K z9F6nkeB9t=uY1U_iYh)Jr;emlA^(|&E{S~VRrJAFXD3b?BkxrTB8ypaH_2vaiH$Y- z3x7a%!w;Qb06;%Z(LSBqEVRW1BNm?oGo|9S1IoZs)oPO^N`Gk99?fw)j4$CIc3SBqwi zTyCGZnw)@QLmn7Eo9uvVyMi;W6?jSwS9goEcQ5|;DknMnU==g1)2d`}P?5qBWBfc7NeBE_1Q2z;JijuRsIHKv z2M#r`gUrPA;Le+@1~C*}3_}AaxhEr9{W7BYZO0Y~C+V3r1n2!~^ zm6S@2EE6J>bsDn(?`~>|o|Q#fYjjL>8m`T2A!AWHbL5ZX3!=h#MQi;yJP$QwmkYza z_%E!MRQ$0JF6E?m#Lx(Va(&eIw`(a6@ltY4gkm-uVpd+FyYR92{sPt-1*kN0*kifh zRkc=1(O)>Yh3x0b*(rQ4pPHAlZ4|M*bh&-JSbDtzvddM7HA(Bv8oCN+&0{~t9P;V> zy_9UAMFXU~5fxA94PC%yiVYHci`W;SRzBxx<1h4-D?{~(T*u8`!H+#Elh0%EjCDoI?Ik(huD-ON|gt*qD%e1?y5hfaKO7O^;iIX3r?x!x(9aFhtv|6 zauD}?F6z{toz|g{M6U{mD@k%ycZy4;MOI@YGjRU6zcV`3uuYhVs~`K9Zo3o!${A$t zOVh`HdLECb1MjO4&|#8ZK5ef}khP;|GY+#71R*_)Hp^(cAE(ypC@RDB9Ur>4eLWKQ z!LfZ7Q&})J0x*=R#Y6xSf{x^TAKkqmMewDBQlr7|eHF@t^*HS1?vUmQ^o!L zpCITKR)K_u-LBwBY({*Hph=XUj?3x!LJTbAcuUut9}LY6wH+uGqs3ioOp+bZ-))iM z%`=Mgz$`i)dZqY2YD2|#PV^G8-grgJ_n*BSDF*-E1~A$}ppi+C03yFYash9{7E>X5 zFd!{JjFLHXe>~~kkR}_nitb^J)D^eJ+&wMS-~f3|NfFU6;*&{*+lFsNdp_uykCvJ} zIMoOfhwZ*2Ok6~);h6?@+fm@di^#*cFXg2F)rVMh3CL0s8h3%P;;(QwOx&IiI5BAH zCiwjqVk8T*i3Mf)vi|G{=CDrqP<)S)pqGGVG=C~zFkyu0qYGm?uw;;7bmje`bv=yq zk;ErO%Ab)2EkAEi6w(tkqSoG@*?9mMI+=rtV!vy-@knmYC-hJ4wY@BJ1@;Q|U&Q0Z zmei5u6A#~^jG{&eP^0;Y$0-a3nl+W!(P_x7f*pBiTZE~FBF+&-8YJ_RZeI1hB*w{o zac|<4xp=LCr|?DPzjE%<8!LjDT6f!{>CnMg z?Y+0@PS*dE+V-U}b}FW3Iv6Yz!rTXd|$&@GENb^M4mSFRpF+Ig^x~Fo)&6E(n==UDDf}1Q)pGX`Kq|2xd zu?=(G8RETqeAH5)MUa9%5cN7!=o`$Exk}|WwQeDCW{~vaSvXE$SadKTo{fluq2zMD zBm}whHp|$0QdHKZq%m8~-hqa~PBDOtWsf)|r`|$~7Q};!AwnrYc2G1SPK#GG#C%JCc3jLwYhrweO)4pL!zOH5{@B>uw>!1MC>&{(BmA$B z7<#6vlGwp?e_IFJ@PSG4SE0}9M+W6u>{DobOxV9tazjftz0&-GCwfO=yT0>wXG?;s zOcYp9Xf{o`)~x`+`$0$HCGJrTHN7jf4;u}Ku7VVr%;F~WIHt2PGCz`%c3C6*la39k zHtXw&x;oAIyY19r>E-rXYTNVUUS z)AH(9>=!R5R$lcNiHqRA#IB9^_10xH zzslfpS%U5A(B-r3jR_UI^`e#W1IW)qFPqfZQVst+43{0xeetgv0g8NdO07lA$c>mI zRS(3^@LM%Ag^5^t{s)Nv9VoGK@|F(6A3p0O>pm=f1mZppln{I$oKrmwb2@KCo!o0~ zm@lqjw^(2t_MHASSMJK8wKzFqN~H$J<2knf-(5VDc|Hg-MC3WGY}^!8ZAu-zGd-Sq z^m+r}uN9IVH;DweVN@Erk&yV8CN|)!$0^pegM7!akoNyb+|wB*Z2w| z6Jhv@9A$x(b9ZhGi_kI9iP$23lIhcX)8@~aN1SB~q|~k1rj| zBw-b;(^{bCaV=?(O3!L*b>@mb9V2v2FYR};JxSdLKS-!*A{T3dRxnOdSJcRahN6b> zX5&JK@JP0;<9cyiBM!^JMn_}8q$v6Cu$lTGao_pf%=8Fj|CSNu^SEY?z0ab*;F^GC zwsH2Prha=Q7Mm5PBz8K$yd70(s0UY4rs5BIzd!awXnpy4*k9Nt3np)|3txu$Jm_HA zW_N_M7i=BycfFwc@+(q|?6u}Uc(Zp{@%QyN&3eH=%%WpW+h0s2*5oVqE)7ao|GJma zLz(+FTZ>|Xc?S3~y_kCgli-D9+x$pmlKD)6KDqjpwUK3YBuVRra|*KNA?GW!zVZpl z7>qrT7FmP;#6peOo}BC*6FJVn8;yq)!x*WzR&N0o2P5U!S>x8^E?sRx+vV{0nA~W? zxyYYUs_q1i3N+m{svt0C7-0MB>9BL`*$Y7LSwF^egR4tyc!r|`AS1hy2Z?%^BfNy}j-z+jLpG3-2=0?;cc^vqK!3+b+>sAwMhCzfBX1R`AV$3`_mUas zq?kb>y?5DJh9fPNYM~xs@vpwaM^`vrR<_86Hef(rM!o3A1Lee>V%yJN6z37(({HCS zMDcyYX!q$06za@jX;0N&E0CMtvAf4ew|QCcqS*!)leNCZM&aA{!IiBG@JHT1-2t*~ zLk&sCUxL0b=PJzl(@r7PCNj9;+Gsb*$=m7xX5%lJTo66%34ceGD`sJx5EDfa z7Fh4%HP&O&XqRgsKW0Bv`mTUTB+Cf4FoNDsS{r{n$t)5}Nre%|g5fJhVf42nhjR#R zL3oWo_OTXorKLd`XiILp8;ru@`$OW)#+lqNE4fKLI4D$BkII0|7cBL8VLkNKlP9>yasd@)bY=Nq zxx~{z`PMjCa0O0E*Y4-hv^9U;VS9vO;-SC>j6{CjJR+YR$)&dO&b_lNtnQ(MP`rFAro=qti%FEoSC;4d88rY$!q( z{HeyZ%Y69WS@oPr)g_KXMXRkeN`~q%sC!~PA6c-?q49B5|A_T`-JVNpb62Cv`2KE< zRh9IUEJd(2A7WFLy~a1Q9>y}Ed=VtSQ7a!X^lAa>Zj>7 z?C4lj6X8#FuYNX%nXGp^M%NB`lSNc2>X#x`lP;}`b?&A*Mr!e%1z|yf*+{5YuAOBa zcKeU;o0kwn9lCN2BPXw@=&%f{Fpe(lt}sgqv((Kf)yT0`b@zU@qFk#2$&8r8yAXt+ zeMj~gMcEhxu!2-;6E`#J8?`qsp*b`yaKyymcu7fGoJim|*f+mNvs_yQ+4}FT%h;v* zN@JYSEBAwzYr~1dVuH|_W^k)?0v`|Rni`!N8OIriec|3WB$lrYEav}rj^4G#z%VCh z9)zNw?u1Og$-MrAp=o@X$q$pyw&)8O9Ottyn1R7XF2zv{JQ=?r^^Se@FYQz|OMuv) zGYi-VzJ@z-Ga9eC23Nj}c3q+|l&Nfg{m#V03q}*LPv`RMl>Z0~6$QtI%x8D*se>|_p=oV+{kJi)i%OI)ZGP`Eg;A4OqkuRmHDuNIAc;G z0Vm#vg)W{Je})EP@q(L|m+L+kw-m1R@wsv_TV8EK)h5Lw>yBYyN&KGPrCX0MtA5HL zeIt!>p3#+|PHCR2@SGwqYV*t_(kDvIk2dl2KyB`ns>c>a?G8=g7NE{7{%2hyRCdN! z9qLKu@;xg&NPa`K;mv7oe;*n&We*~7EfViiO}48U^t(VQ(n^mSEk}&c57Lyxb!ctW zk_>(l*?UkJDj|gL&@)WuK-~Vw;q`9WY(#V+h4REi?7Ry8vOCW`>}g%-T$O|;L7%IZ z*Z^`=1eGdQZVlRxT88vqE4)L6L+n9sk>S9i`28-A z^hcBl7ofd_YqoT`w^E44X_XKVdM-q31=p2*m%OWktm_HY6@(iI#wwwzdmBmp1yk;} zqSPyX%3;+opn%g;Q-6GIhmm*|0DG9Wv*pMJaB}Od#;iRwfVV?F-T9EuzIem}Ws<0t zYrXxSV4_N|5=@|JXbisg@Veo!0d7w^EGRz|C>8>Y_lD?k?-%RCn!80Qqf1&Dbmf_c zW3lbW2|1x#S0w}T9@p7eme;WhimFaB!+W1Jkc{69tlXpC+SEnhR*{6tZWPafH0;|n zWT(Kb=41S(q2%<}_89{ix}IJBHY}hYde|0k4GvJ%u};@_SeJi`<4~9NH|UHu4B5P; zn`%fap|p>{a|UPxcsxn;?oPX`9tE z`M&s_U}FC(`hs-Dgvl*S#17r}b{i+hN$AKu zCA3_LHyK<9mDBjn+Y6^yW z4}AG!?!yN6*Typw3)f8?P^RC{%I^Xj3*bzdbD5ra-h1I&LM)w$0a-gWVBgD8QVc3t zxjRu>oXxs#g4DMOg+8pdJ-JlERd)9!m(99eqRE5=?^w2nLY|RD-`knyEbvE{RtaJ( zI9^zmSp0p9pErjB3(7O-LINt~be_UM8Q(RY>`EIJcXFN z*Bm#4M8!0bbJUtEvQ9qlqS|NAF&JqeoI}C8O*ESy4vl{?ZTy$!DC0{1*Oq@vvcO4! zy}+>57E!h`@(b?OPW%w??TlUH=`1Uq7_hCco&^5|Gr zRjdg;53Eb7FOOub*3FFHmc-}#5aptt0x`6I4qb(RVxosiJB<=z-+Lwo+!kTR2CoK- zCF}6#+-0JS(q+c~U~~WEAz#|>AgB96+e}}*8*A#FpQw?0D^%p|a-gDw=we&#uY02x zRd?1e=v}=zT6$CMJ9^^AZduOvi4MGHqAA9H2^L>eqEYUn=J`E6?8;Qn&UZ}wQNQX_ z*ZEAYFBetYM|G?Cm-mS_6(y2|aI!i%2%z;E6+ucgXbW^%O_u!pgSNW(C&7IM0u+0d z{h!y(eQ)?T9~ejXVN91W05WGBSGi?SEmkJ^FT+Ge@Ox)X)GHN`&&vn(d6@%mW3& zm!1`>TT$8{{Z^RWKowHN-+EbOari1RVKuo+&HB5|28VagI~&xO*gDOZ>KMz;!E?D-k7h@b;+N+_zH01HY)AO% z*c7RN^{eB=D?#{+zuW!cSz2M1lJS|6IA>mELebBabmpdTv`n1rqeV{R`9sfGHW>nAw2z6rg@w0?Bz5p_0# z%gOWDNp2O(D{9lYciUHh$tO`GyD#MC{LTDht}9FXJ+}6<84|w;9C_)KaG>x3@Fh3( z%f=6xm!nXFL0h=*sd_2c*k4X!x! zDWP}BBD{OfvV*SQl~f1*59FtyMrhwNEjqf~1<%6L}P;5?e53DqgMd;Pqt zdS{bqQJdkANSwm%QMa=?Q_JcB6Q}NR$EZ%})7l*YJZdp3X?_ZIpAtSu?6YjB<8Mji zo1GW_#C#iwB@vl5yU&*zgbBJ*Rh~_v?bY-1G)#rgix)X9zl*`Sg6xn*DdoS%Zadk{ z43M1NJnObmlgGp~Mw?FxfIN7_>fT+{shpTFNvS3+*IpLl@x{t2mdD<4m_+e~x8W=m zB*CN8XOH8Q8%CWz^{m5kuxi5Gd^~OhrkwpmiV|2YE{|R{Ac3?nkGW?_%zRgxN*5mv zktEx^n)o|83C2_gm(ZzPFpWVKKdn8~uPrywzXg>p6kCF)J}>Di=X@nVY6ciay544x z@ORTU>ADaCgP+-%jA7lbvPxq}c*B_h&p-aEsWATN*K)gjtil3Qr7m2@KViM4%LeJO*}qd3)1e966?ZR;db={~J6W)m*jkekNcZdAz+(JGwpm z^w~8Bj~VJw?Le1v;?>{wi|0Pylyaep$IPP0QCGlt5^y}Xaih`>)k^HC;p&|$a^`%| zZnPUya_)48V(ZzJ$YMB2m!x2hrOaOI35b_!zP%7T|GfH#@#3Q| z9~Lf453gmk{S&Pp<;Om*b@z1b>S9GL2~r%_9(`tZypKsg-=lbPA*{U1_jWf~Q{+xI z`)R-B3oP(5&|j3%FEZ_UFfGb?z7O5m@;5&_;Bd9r}}S>PzZO+namG z_CJqWqThY9O!{G!Zk7%Kw}E8xqp{(8YwSF0074e%>oO?KqKVwyJ{PUI8O9=RVgu2kWRN?Ywf&+wcD=DMwk8TB%T*yVnWp&OQJ5l$X#&0^<5_ffp&kMe!s8i=(StvT6`9e0%1yr zw8h^~g8s^+If}v=4hazcx9)iyG9ITA{TkNL_hK^4xb7ynS8Hjtb7jQ_f%`#e-!B#v z6Ca;+^&Q;u6YI9UNbDDUgsM508s4Foz7MhdGs@^V`*E~>4@?x|?OhXmm`~P)Z$t~D zp)X~p*RO6I99TEb%Fd;qrcL!a$2Pe0hi|I=`na$ReP1Pbw1%3REe3@v!JymelKadN zke{2UxgwN$Zx`V)NEJciBYMS67~l~OMWzI~ygCD7iI%e>`@%j-6f_sGkY<(LNa=Hr ziE00UQb$OZE)CuMmHdvFOv`aRB36a>5HBFrr-6~Bt*_eSLp<9*Am(7uPrUtJEMi4U z)I;UfaTmeFMA%DhOyAzQ?zZf(`SAaofH-mp$n@K7($P;oyzbNKUV2*Phn6D^TaGsm z(F;J=G=H5c`5*5MEN`kp;h9i0xbDwvkIy$Y9^Kdr9)swiQq>owzUF)hllt;!XT%2A zE!J@Ug#8oG2%Fz6ZGu{)L}lDWE2J!0KHBn5ZG*sV#a$7N4qef1Q59k2#^6dCm`tHX zNk*MsKt4M{fv%JTw@YROO-6{3$^)&7wg%BUE17k<84`>pIx`Za32RHg{?| zCKy+0-7@xAY`G6y1=$#zewCi^fWK9m4t%<%yS z7TQ|6W5U1o;wtxeSV+55i)0(}Tw}siKl8Hm&(_dNGnU>{iPkYK=TstOLU}lWZ!eN0 zNgTU1`;?b3aS|EE^Ga`~^ZOmJnwnTH5&nlNX$Xeb>RY#R-t0tss4=0KSZ_qAWF2!L zS;`2yxBMxCzkGn4H911C=W*V*9V+o_zZ$Oj5ce_^KZh&?XxLzX2qg|x2_i3Y_d{I< z{wNx+N|JkOu7vQ>&LA{Cj^bAj*jF}7cFz2~su@|l9KGby-lfZL*%p(N(l!x}vYyA? zv72L4%*JlGTI0??n&9fv`&Vy(IPN9O{5K(FlohYW!*#zzCI1}}aAGJHXdGE

=^&ydk7bbV``57CRb9jscQiwVp2&RDBBq_Xwvw! zmj0jgqCBL7b@xK$dLh>jN8|{HK)xn%4O~a(fb;($c*^Xrr|`T%sxeK5xz;EK>AE|G4CCz2m-hGy>9j-<`D|q+9sX<gUg&6ofA5lAflLk*mm`?!5`N)bJETwCnUt8 z-6hqFLbi$|XD9DW^p4q767#dl_@5Prv=6fEVCNZ4ty<2zpvMPMJfXw{VDKqeOpd$r zMwB%JozvIdadL8wD@j!1Ds33qzjx10k6E~EJUy9ZIA1Fl)2dn3~Cg0 z)!{GFJ#eA}(6m*}PftC#aaulaFG>?>r5lhD8`?PpL@%ol6c5#!PLq|Jqo~J)Ge1+M8L@s}lhB{{M^;$(+eegid`^hm(eYKtU>U<*o(mPx3FUx&e2FljR zO|Ds6W35L4otsxG1;!74dkN@m-)#S}wgldsJ(RMhbKrSg-- zX}R`$R}I_NCQaW!ePnknY@C!rKRhSY1F~c(jb#+_^Ip5w9fyohUpFt$5JNY;_PeMm zzuzpU%@6Q+5SUM)JuUO;4Cg^_N@fL%*|L%{TJsDKpRegms|t0 zWl9vg{@EY>S|i;K@)Colq4}t`022eVuFEkv9psFNpAJe2X}mWP>a60GL>-bR<1UBj!{ zSGs!vEha_%toyZGva`i!W2qEadU6z_!!w~9^@ipF(G0P7QhRRCerK*S8GpK-3YBnZ z&0wE(sTai)H-3NG_=xMrm2!k-!Bn>mtJ;dY{yDS+7NK}dNgAKjlm$Z^s)O+p9=!sN zypiK9Nn1C>AYH7%vIo5ro{TyaY;+V`YsNITa5=HJs> zZ&Mw=tc<{+BJ3>IEMIIV#;}M`&MaTT`fPoJc&F79EtgEMw|DH)U~2TW`3F5ei1BZ% z&z{{FVj!R7^v*uVm2U7|$C zx?O>Q;iNa4R5`#tK{tw0SmRDfr``|c&WNyt%XzA0*GTw2w0jKmW-BW_`d|k(vvUURW$?ZjNY46Qr`<;*EaT}Q}K$fuJlajvp z9mN13jrf(|q$G(vwUc36BDVL&di%uKL4}zHqf#+bz~IE*lPm{l7+%eH&YUy7=-cI6 zvU;v_wX&g6i}7K)7gi)!C^}@l$t|~KVfKFHeW(?ob+8itttOyYbO|cUN();fC*eht zMq`bR^o~)HrI4nCgUI58dlLpcuCAAH4VbTfYBms3Md{8M&Gt2r#LvE+`+53=E#0dK zp9{XHiMS>w3Ib;sl#%KHYV$NB?wuchM#2zbFaq}GvdJT}^sYHFhfMGbaZ9SxP#}ws$2_amhioq~q53Rw6d2FSf_7gg z#mLdLXC|RKndMhr@sCF-XmTP7C!m$yVf=^5uO?Xk2to|^S>#_g+$wjx6X}M~lK=+! z`iOquQdThVIuXsme&s1~d_v1HnbQ|Sl)T+->HF3iV|yJqNGt^ClW3m>dVJaC6h+P8^fWXMPEY4HxQ?1flu z(eZ(2U=(|Ki5CGOf*L3*Gf+|%R7M==ikJISw_JfCB@+VsvgUoAp z=kk?Hua7lD7lqBLE%12Ub3`Ze-fb9dEr=t#A;;~CF;gj4!Y$@uWfT&CBT@_c9qDyQ zoJFQONZs8xtws@s??q&ZU4h;|0UE$IZ!me_l3c3A6@@C8iWr{jz82=?|KaiFP^**UqZH5NN-l|`XhzmBEHxM zga6(-2eo10->`*zbC1H=+oXwfEser)58gLH?T<$f+5GmX=X$%tZd+g}5CHbawcRQF zYU4_ju_=4(6QoYcUGtc#B;*vCGBuO2YpP+h+^enfR)jZL>xO zKLPq$ilQ70F)Sc7Fn9#aEMzeXU5*P)z`|y64Z4cDMPmtjm^N2+vz?(%M8+zN7==}Xb(Xj$ zQspi zeT`AGdH@!N-a&#s(Wi4YdOlP6qb@H!R9r&kuL`E?ZIKc!PtaBV&|6;~G+%$tUcFO9 z+}`{v7TdZg;&u9ip}l=B)0`yX2kBwo#y-S}V7R=d5{Z)~v{4VwVf;$U%BH38Wy*akiLf z>^#J@B@bA`#)G8~==e`OHzd$Gpu#W~aAQ2Dy@$~|Xsb^V^8A1moOX7tHaAU)pQ!7J zd4%OT6GgNoN@G$uvQjSfg!7J^-(jP1PvyWk^qOycJi}0($d@xok?;G0=2l^G+Am&p z#C2z1>L`ZB{l4KS9SxNKx0wY#6mzSe;Qcasi+t&$!xxv}ch@BMb^}A?{q1(&RznVg zQXbe6P!;u13I4J0lhNtZ5$iy8R+@65G3DlMDvN*IlIw4ioQo*yky;YA-w1!!x&|$S za<_gzB$NwgGCDl(h}=h~XG354FvvHU;kJ#eE-b*241`(7x9{rR2|#X(D(~@6mZ`_L z?wjRP$Pz*Bdg#vFJ)fCga_`39Xk%F6&4VlMdVetsGr(SA(Fj^Q<4(85yyy;@*4E|s zAzY4+R<;BE@f&muALyYtRa&aC`ixp^{DO3^KF-f2A>Y!5u`PT+JBBt*zg?C7PmjG) z3V-l0C&GaRf9*cI`__9va%uE_im@|W3A2}O**jcj(~Z?aRc|EmFE;5@u#DK4m)%_n z$74GV%2?UbiXwYzL`MPbuj zChnBSZzw!n=1yj%{$CBVn^jRgzf%97EnGG3%N;_zbGk)L8H?^7l^?D|8p^Y;E|Ni< z!L`N0P&uzG>#8Sqm94g!1NNQ#nONJKL2CJyq4@+ZG5CFd)_U^lQqT4Qy>oT}h>$d0=Ixj1?3#*G9VT?$1s8+&Cfx+A3c|yRf6bN>Dx-<*_-Tf}ru*^ksy5dfQz$4~qW|q-K(?*$A zgKUD7R{G@J_Q?pYx{nGXx+{ZxW0ynu)%^-`?k9%$yjv)sI22X%McKTrU$&AKY|08V z^?&RsZ>RN!P8+U73@mgr`ATg|0<#d1-PoFJOLD~qKLuC&pS6`QYh}NldI#%s0+;|J zD=ulW!xL+Sf4w$rDMD^w-B3;X4exX~?eC7aE)!M1*2xKjrcZiceK18(w3*^ zLEDl`e~tR9zV9Ahatews_@Vk_-@izmEg?#7!6Io$U#)Rf^@hf`#)63MJ03P?Ii${d z27Cy|u*b@v>@W9?YQNzE-KqJtU(P$PXDBo8%jY&Lt7F+@eu8ucIFZw_4wEGAMSzza zU3mrSHTgd?s}Mb8@8F%K(h@k;xp#MD1CxXLbp?@c6$ntj|`GWo#MzLJRz0A zVjsOib$Loe+q`|ZRE3+^m#s4St^mrE7>WERV=1qL{^HGd!;y+TK~@?Z`xGbDPyakS zeCNKQCoWg4;Fm_a;~F_SP&1gw2^jLDU|_-Jp-;g-hLwNo*^2_r*+G!NE`6K4;qxY< zrUy(sr(Y=jd=#OB^*SIi1C$G*tl$5*8q9B84eUK&JtDo$2HT#c?Edb}AbhIT@JZQm zL<5nYb$R7|%4}-3-il9M*B+ILR+~%0-vcz*rd~;zear;#WA}sP zg~@e>$5YK4iHYP+AlvZ%mNc5`B_8GM+*md-MgkYH@?#adNqG@)M-87@l>BLB7HHNr zamnI4#P@=kB4}m}jv}%i;iDcaK+WLo>M);6Y}V%sYH$9(I>CBG{_-VSFE>Vy7%zU(Y#&sP7`oV$~I!K-QaqRxy#tW!( z7O)^{GhWv>Mz4;C_L!Mler{1sE{F$sAdF|e)0Dea}!K&M=;3DpP)(8=Ile$juJDC54kTwLCgg5ApR$IWcAd)xPV_h`$cKnDE z8xDxftvve7ulK}bOIAE3<5cs~;uXKow*9M=Rvme4;fuxfNP^(o5|<}_hjCZN{m0F& zJR0N@a6tk#!G!B@jX)5Yik)``?@2dSwxtD3WyQ(9E&{@*KyEN6p>e2B;j72VyWe5j z|IF{Ozyo9g;GHaCOZRynLZfCeULE0H^tLLv(0UX`Hfigu_t$QXJZZXVj8FNDa zhz3nJT*|?@bSeVsFKzKy(r;?CBa`;0qWT3QYXaM8#-2kdw`TAy?skp4i~tvwTL}99 zi$hmARKL^3HuuApy>}V569M@SkcIY?I=1AzE1y+99aaFphl=LW{;Y~45uhxxUsp&R zd+9UtQlM=nly7#)9HKMZ+`L&^Lw z=N?1re7VBYztjdSpjs>(x%y|q^Dj#Ut9dt94(>i)h9dmMh=o9JZp>yZFo&?A-z$m@ z-`!>>`o;?FbE(QN(w9^^S)k8DT?}ZUeLGqp;C~gt8b!~d5Ji3A50>hzz$B6V#B*|* zZB|FKmG5_jlv8v=G_(5S4?N43R-5IKi_H>}nhg?EDlEL=DA3HvzrU`sU)}jqKP*bI z;7##(tX}k$#%r6I^PrWl(segAn)ms`&<HR zh1}^tqPH$av6S`)vJQHW5&z1?EnVL?Cp)`F_f2NrCO4coN0{RcWAe$Gjsxj7x)fCX zU_w?!wFrtKh>T^c`Le;}I0NxClX1gY$WaLdV9rMx#_^Tg)$eCWE?FW*G(ZMn2c8nu z)&0bU|ExgeJ%B}Tyk|ve-SuD+PinyI1?h}y1-D)LfJ*G-6#d1+UJNq*hzZ~z^pSd# z2lf+0+;2{l`GZA*yOlzk%)?fhN9bfakkG_hUzL?{I?7@MAR{<5RzDeuJAdM1f%O4Y zKSq?1JiELrJ_je9wKZ~66X$7Gir~uKbZtPS^ZxmwIG&0ENx31iCabsdy}6@NBkPtC z#9RSBu+wYBX9h~J!B@fr;LrzmJ9Vhw)X_sV(IJM5yk))&Qfxaz0g2FQ%B%hj8+wy# zY*E;&gfnl;H_EB^@wIc}(~Gx;r>(ibazk95*a=GsUy`qn9*E2IXQ#=-$MTa&txY7L z$N*Y{XjeX}!a!U?^D}cxgOY{`2t(ml`BkhVkV;jcJ0w|GYnx&3$)Q)%G4QDZ`n0Kn z>^Z^65&5*euoY<7B6w~_ThW&3^7#{opwcxj;Df1EjH+yZhWe&@XT|ulV3GIx$1)=%NA(GM-Cd<4wR13}(-)0Fy1X zWDlw)5)V z*McsI?-4F7+buIVm7WgD;a(FPkFkVWC!nT8ssKKq9w-&(lBlqpz!(OLYqW;fsz7WD z3D2X$B1wr^<;BmJ_rdL0gTpbhCSC$#3+4(!OLY6u0i77{-VRs9F%HgzhP8Pm2GD$y z04N!7iUx-Bcr3SAl!ypD7s-6kP`xD!QZ(UeCjWlhbzxu&K|g7-GTB@KW>uwK1^`ln z49>W$NO}B&tLf$ovi!}Rds!l88*YZ&d5jDAhzCJKUoPCy&XMitCpXJ>lF4Tt$giph zW{je(ZAJI5t}36hPt1uw8gw+`yox9n)IB}pN36E}20CsltVdF7CxzOdqyogr&iO0B zFu7USo7OW!8awW4k;ww;2LPL-9??YB0kF?O=%(>5B?Sm5QY%C=7+p<`9a3thW-}x-ebn5xxw5Yde0$N z7KEJ|hH&H^Uv1uI!(9zoF2+6oE6Ko)_mmhtu10%%PR1VJ%S=_c8QN~{zRjji@9ij)1ZSsVu zhJpX=OK13+DP9B*CNlvKAdR6R4WJrUe|2?S3xXyw%*69+$}L)cFNKQUgIEjZm?V!Y zY@Tqos9#B5(-&@m%upkf3*Fh}eekYTeiM?Q@43C;S$eQn9Xp5ehJp~T+T3wEFcy_M z9LCwx1MnAgCdcPfYV?=)h_IEM7mNB$Ay@8s;kuJF-Ia&CCO3r-95}GI=X=mT5qFc} z3U@du#%zv>+lZ0RvdWDZ7HM-aJE4;?*52{^S%#k5(}|07_O{;6qcjc1#^sm%J7 z#l_{qz}hS>T@(4)pXWUh&s5Wvov$)@gvytZDSp$Kd?-I!@K-7GRM(OV_O5Kjx^#MK zO)cxKKFj+ia;&I&?q5vW%Fj``KGM43v6_v15e$mD*azJLl9Wc;l}25Rwj4(lycr4lN?B_@t&b9P!${-|C0s^+8h` XZSvRr4>wj`=1DLIl&h~Ef%f2kQSG4& diff --git a/CH6/CH6-1/db/BCD_to_decimal_decoder.eda.qmsg b/CH6/CH6-1/db/BCD_to_decimal_decoder.eda.qmsg deleted file mode 100644 index 6338b006..00000000 --- a/CH6/CH6-1/db/BCD_to_decimal_decoder.eda.qmsg +++ /dev/null @@ -1,5 +0,0 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1571325908268 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "EDA Netlist Writer Quartus II 32-bit " "Running Quartus II 32-bit EDA Netlist Writer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition " "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1571325908270 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Oct 17 23:25:07 2019 " "Processing started: Thu Oct 17 23:25:07 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1571325908270 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1571325908270 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_eda --read_settings_files=off --write_settings_files=off BCD_to_decimal_decoder -c BCD_to_decimal_decoder " "Command: quartus_eda --read_settings_files=off --write_settings_files=off BCD_to_decimal_decoder -c BCD_to_decimal_decoder" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1571325908271 ""} -{ "Info" "IWSC_DONE_HDL_GENERATION" "BCD_to_decimal_decoder.vo /home/timmy/Git/Learn-VHDL/CH6/CH6-1/simulation/qsim// simulation " "Generated file BCD_to_decimal_decoder.vo in folder \"/home/timmy/Git/Learn-VHDL/CH6/CH6-1/simulation/qsim//\" for EDA simulation tool" { } { } 0 204019 "Generated file %1!s! in folder \"%2!s!\" for EDA %3!s! tool" 0 0 "Quartus II" 0 -1 1571325908860 ""} -{ "Info" "IQEXE_ERROR_COUNT" "EDA Netlist Writer 0 s 0 s Quartus II 32-bit " "Quartus II 32-bit EDA Netlist Writer was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "348 " "Peak virtual memory: 348 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1571325908927 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Oct 17 23:25:08 2019 " "Processing ended: Thu Oct 17 23:25:08 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1571325908927 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1571325908927 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1571325908927 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1571325908927 ""} diff --git a/CH6/CH6-1/db/BCD_to_decimal_decoder.fit.qmsg b/CH6/CH6-1/db/BCD_to_decimal_decoder.fit.qmsg deleted file mode 100644 index d9d29642..00000000 --- a/CH6/CH6-1/db/BCD_to_decimal_decoder.fit.qmsg +++ /dev/null @@ -1,48 +0,0 @@ -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Fitter" 0 -1 1571325880315 ""} -{ "Info" "IMPP_MPP_USER_DEVICE" "BCD_to_decimal_decoder EP3C16F484C6 " "Selected device EP3C16F484C6 for design \"BCD_to_decimal_decoder\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1571325880323 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Core supply voltage 1.2V " "Core supply voltage is 1.2V" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1571325880444 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1571325880446 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1571325880446 ""} -{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1571325880608 ""} -{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1571325880630 ""} -{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP3C40F484C6 " "Device EP3C40F484C6 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1571325881054 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP3C55F484C6 " "Device EP3C55F484C6 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1571325881054 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP3C80F484C6 " "Device EP3C80F484C6 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1571325881054 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1571325881054 ""} -{ "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION" "5 " "Fitter converted 5 user pins into dedicated programming pins" { { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_ASDO_DATA1~ D1 " "Pin ~ALTERA_ASDO_DATA1~ is reserved at location D1" { } { { "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" "" { PinPlanner "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" { ~ALTERA_ASDO_DATA1~ } } } { "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" "" { ~ALTERA_ASDO_DATA1~ } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/" { { 0 { 0 ""} 0 45 9662 10382 0} } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Quartus II" 0 -1 1571325881066 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_FLASH_nCE_nCSO~ E2 " "Pin ~ALTERA_FLASH_nCE_nCSO~ is reserved at location E2" { } { { "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" "" { PinPlanner "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" { ~ALTERA_FLASH_nCE_nCSO~ } } } { "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" "" { ~ALTERA_FLASH_nCE_nCSO~ } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/" { { 0 { 0 ""} 0 47 9662 10382 0} } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Quartus II" 0 -1 1571325881066 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_DCLK~ K2 " "Pin ~ALTERA_DCLK~ is reserved at location K2" { } { { "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" "" { PinPlanner "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" { ~ALTERA_DCLK~ } } } { "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" "" { ~ALTERA_DCLK~ } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/" { { 0 { 0 ""} 0 49 9662 10382 0} } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Quartus II" 0 -1 1571325881066 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_DATA0~ K1 " "Pin ~ALTERA_DATA0~ is reserved at location K1" { } { { "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" "" { PinPlanner "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" { ~ALTERA_DATA0~ } } } { "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" "" { ~ALTERA_DATA0~ } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/" { { 0 { 0 ""} 0 51 9662 10382 0} } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Quartus II" 0 -1 1571325881066 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_nCEO~ K22 " "Pin ~ALTERA_nCEO~ is reserved at location K22" { } { { "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" "" { PinPlanner "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" { ~ALTERA_nCEO~ } } } { "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" "" { ~ALTERA_nCEO~ } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/" { { 0 { 0 ""} 0 53 9662 10382 0} } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Quartus II" 0 -1 1571325881066 ""} } { } 0 169124 "Fitter converted %1!d! user pins into dedicated programming pins" 0 0 "Fitter" 0 -1 1571325881066 ""} -{ "Warning" "WCUT_CUT_ATOM_PINS_WITH_INCOMPLETE_IO_ASSIGNMENTS" "" "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" { } { } 0 15714 "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" 0 0 "Fitter" 0 -1 1571325881072 ""} -{ "Critical Warning" "WFIOMGR_PINS_MISSING_LOCATION_INFO" "14 14 " "No exact pin location assignment(s) for 14 pins of 14 total pins" { { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y0 " "Pin Y0 not assigned to an exact location on the device" { } { { "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" "" { PinPlanner "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" { Y0 } } } { "BCD_to_decimal_decoder.bdf" "" { Schematic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/BCD_to_decimal_decoder.bdf" { { 296 704 880 312 "Y0" "" } } } } { "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" "" { Y0 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/" { { 0 { 0 ""} 0 3 9662 10382 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1571325883042 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y1 " "Pin Y1 not assigned to an exact location on the device" { } { { "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" "" { PinPlanner "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" { Y1 } } } { "BCD_to_decimal_decoder.bdf" "" { Schematic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/BCD_to_decimal_decoder.bdf" { { 384 704 880 400 "Y1" "" } } } } { "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" "" { Y1 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/" { { 0 { 0 ""} 0 8 9662 10382 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1571325883042 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y2 " "Pin Y2 not assigned to an exact location on the device" { } { { "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" "" { PinPlanner "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" { Y2 } } } { "BCD_to_decimal_decoder.bdf" "" { Schematic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/BCD_to_decimal_decoder.bdf" { { 472 704 880 488 "Y2" "" } } } } { "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" "" { Y2 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/" { { 0 { 0 ""} 0 9 9662 10382 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1571325883042 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y3 " "Pin Y3 not assigned to an exact location on the device" { } { { "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" "" { PinPlanner "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" { Y3 } } } { "BCD_to_decimal_decoder.bdf" "" { Schematic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/BCD_to_decimal_decoder.bdf" { { 560 704 880 576 "Y3" "" } } } } { "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" "" { Y3 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/" { { 0 { 0 ""} 0 10 9662 10382 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1571325883042 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y4 " "Pin Y4 not assigned to an exact location on the device" { } { { "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" "" { PinPlanner "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" { Y4 } } } { "BCD_to_decimal_decoder.bdf" "" { Schematic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/BCD_to_decimal_decoder.bdf" { { 648 704 880 664 "Y4" "" } } } } { "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" "" { Y4 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/" { { 0 { 0 ""} 0 11 9662 10382 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1571325883042 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y5 " "Pin Y5 not assigned to an exact location on the device" { } { { "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" "" { PinPlanner "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" { Y5 } } } { "BCD_to_decimal_decoder.bdf" "" { Schematic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/BCD_to_decimal_decoder.bdf" { { 736 704 880 752 "Y5" "" } } } } { "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" "" { Y5 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/" { { 0 { 0 ""} 0 12 9662 10382 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1571325883042 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y6 " "Pin Y6 not assigned to an exact location on the device" { } { { "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" "" { PinPlanner "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" { Y6 } } } { "BCD_to_decimal_decoder.bdf" "" { Schematic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/BCD_to_decimal_decoder.bdf" { { 824 704 880 840 "Y6" "" } } } } { "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" "" { Y6 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/" { { 0 { 0 ""} 0 13 9662 10382 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1571325883042 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y7 " "Pin Y7 not assigned to an exact location on the device" { } { { "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" "" { PinPlanner "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" { Y7 } } } { "BCD_to_decimal_decoder.bdf" "" { Schematic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/BCD_to_decimal_decoder.bdf" { { 912 704 880 928 "Y7" "" } } } } { "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" "" { Y7 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/" { { 0 { 0 ""} 0 14 9662 10382 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1571325883042 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y8 " "Pin Y8 not assigned to an exact location on the device" { } { { "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" "" { PinPlanner "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" { Y8 } } } { "BCD_to_decimal_decoder.bdf" "" { Schematic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/BCD_to_decimal_decoder.bdf" { { 1000 704 880 1016 "Y8" "" } } } } { "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" "" { Y8 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/" { { 0 { 0 ""} 0 15 9662 10382 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1571325883042 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y9 " "Pin Y9 not assigned to an exact location on the device" { } { { "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" "" { PinPlanner "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" { Y9 } } } { "BCD_to_decimal_decoder.bdf" "" { Schematic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/BCD_to_decimal_decoder.bdf" { { 1088 704 880 1104 "Y9" "" } } } } { "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" "" { Y9 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/" { { 0 { 0 ""} 0 16 9662 10382 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1571325883042 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "A " "Pin A not assigned to an exact location on the device" { } { { "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" "" { PinPlanner "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" { A } } } { "BCD_to_decimal_decoder.bdf" "" { Schematic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/BCD_to_decimal_decoder.bdf" { { 56 120 288 72 "A" "" } } } } { "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" "" { A } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/" { { 0 { 0 ""} 0 4 9662 10382 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1571325883042 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "D " "Pin D not assigned to an exact location on the device" { } { { "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" "" { PinPlanner "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" { D } } } { "BCD_to_decimal_decoder.bdf" "" { Schematic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/BCD_to_decimal_decoder.bdf" { { 248 120 288 264 "D" "" } } } } { "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" "" { D } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/" { { 0 { 0 ""} 0 7 9662 10382 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1571325883042 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "B " "Pin B not assigned to an exact location on the device" { } { { "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" "" { PinPlanner "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" { B } } } { "BCD_to_decimal_decoder.bdf" "" { Schematic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/BCD_to_decimal_decoder.bdf" { { 120 120 288 136 "B" "" } } } } { "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" "" { B } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/" { { 0 { 0 ""} 0 5 9662 10382 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1571325883042 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "C " "Pin C not assigned to an exact location on the device" { } { { "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" "" { PinPlanner "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" { C } } } { "BCD_to_decimal_decoder.bdf" "" { Schematic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/BCD_to_decimal_decoder.bdf" { { 184 120 288 200 "C" "" } } } } { "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" "" { C } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/" { { 0 { 0 ""} 0 6 9662 10382 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1571325883042 ""} } { } 1 169085 "No exact pin location assignment(s) for %1!d! pins of %2!d! total pins" 0 0 "Fitter" 0 -1 1571325883042 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "BCD_to_decimal_decoder.sdc " "Synopsys Design Constraints File file not found: 'BCD_to_decimal_decoder.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1571325883317 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1571325883319 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Fitter" 0 -1 1571325883320 ""} -{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Fitter" 0 -1 1571325883322 ""} -{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Fitter" 0 -1 1571325883324 ""} -{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Fitter" 0 -1 1571325883324 ""} -{ "Info" "ISTA_TDC_NO_DEFAULT_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." { } { } 0 332130 "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." 0 0 "Fitter" 0 -1 1571325883325 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176233 "Starting register packing" 0 0 "Fitter" 0 -1 1571325883333 ""} -{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1571325883334 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1571325883335 ""} -{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_BEGIN_FAST_REGISTER_INFO" "" "Started Fast Input/Output/OE register processing" { } { } 1 176236 "Started Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1571325883337 ""} -{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_FAST_REGISTER_INFO" "" "Finished Fast Input/Output/OE register processing" { } { } 1 176237 "Finished Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1571325883338 ""} -{ "Extra Info" "IFSAC_FSAC_START_MAC_SCAN_CHAIN_INFERENCING" "" "Start inferring scan chains for DSP blocks" { } { } 1 176238 "Start inferring scan chains for DSP blocks" 1 0 "Fitter" 0 -1 1571325883339 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_MAC_SCAN_CHAIN_INFERENCING" "" "Inferring scan chains for DSP blocks is complete" { } { } 1 176239 "Inferring scan chains for DSP blocks is complete" 1 0 "Fitter" 0 -1 1571325883339 ""} -{ "Extra Info" "IFSAC_FSAC_START_IO_MULT_RAM_PACKING" "" "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" { } { } 1 176248 "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" 1 0 "Fitter" 0 -1 1571325883339 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_IO_MULT_RAM_PACKING" "" "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" { } { } 1 176249 "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" 1 0 "Fitter" 0 -1 1571325883340 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { { "Extra Info" "IFSAC_NO_REGISTERS_WERE_PACKED" "" "No registers were packed into other blocks" { } { } 1 176219 "No registers were packed into other blocks" 0 0 "Quartus II" 0 -1 1571325883340 ""} } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1571325883340 ""} -{ "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement " "Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement" { { "Info" "IFSAC_FSAC_SINGLE_IOC_GROUP_STATISTICS" "14 unused 2.5V 4 10 0 " "Number of I/O pins in group: 14 (unused VREF, 2.5V VCCIO, 4 input, 10 output, 0 bidirectional)" { { "Info" "IFSAC_FSAC_IO_STDS_IN_IOC_GROUP" "2.5 V. " "I/O standards used: 2.5 V." { } { } 0 176212 "I/O standards used: %1!s!" 0 0 "Quartus II" 0 -1 1571325883346 ""} } { } 0 176211 "Number of I/O pins in group: %1!d! (%2!s! VREF, %3!s! VCCIO, %4!d! input, %5!d! output, %6!d! bidirectional)" 0 0 "Quartus II" 0 -1 1571325883346 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Fitter" 0 -1 1571325883346 ""} -{ "Info" "IFSAC_FSAC_IO_STATS_BEFORE_AFTER_PLACEMENT" "before " "I/O bank details before I/O pin placement" { { "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O banks " "Statistics of I/O banks" { { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "1 does not use undetermined 4 29 " "I/O bank number 1 does not use VREF pins and has undetermined VCCIO pins. 4 total pin(s) used -- 29 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1571325883351 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "2 does not use undetermined 0 48 " "I/O bank number 2 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 48 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1571325883351 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "3 does not use undetermined 0 46 " "I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 46 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1571325883351 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "4 does not use undetermined 0 41 " "I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 41 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1571325883351 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "5 does not use undetermined 0 46 " "I/O bank number 5 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 46 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1571325883351 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "6 does not use undetermined 1 42 " "I/O bank number 6 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used -- 42 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1571325883351 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "7 does not use undetermined 0 47 " "I/O bank number 7 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 47 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1571325883351 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "8 does not use undetermined 0 43 " "I/O bank number 8 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 43 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1571325883351 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Quartus II" 0 -1 1571325883351 ""} } { } 0 176215 "I/O bank details %1!s! I/O pin placement" 0 0 "Fitter" 0 -1 1571325883351 ""} -{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:02 " "Fitter preparation operations ending: elapsed time is 00:00:02" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1571325883398 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1571325885062 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1571325885162 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1571325885176 ""} -{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1571325885533 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:00 " "Fitter placement operations ending: elapsed time is 00:00:00" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1571325885533 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1571325885814 ""} -{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "0 " "Router estimated average interconnect usage is 0% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "0 X0_Y0 X9_Y9 " "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X0_Y0 to location X9_Y9" { } { { "loc" "" { Generic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/" { { 1 { 0 "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X0_Y0 to location X9_Y9"} { { 11 { 0 "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X0_Y0 to location X9_Y9"} 0 0 10 10 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Quartus II" 0 -1 1571325886777 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1571325886777 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1571325886865 ""} -{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" { } { } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Quartus II" 0 -1 1571325886866 ""} { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_TIMING" "" "Optimizations that may affect the design's timing were skipped" { } { } 0 170200 "Optimizations that may affect the design's timing were skipped" 0 0 "Quartus II" 0 -1 1571325886866 ""} } { } 0 170199 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1571325886866 ""} -{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.18 " "Total time spent on timing analysis during the Fitter is 0.18 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1571325886879 ""} -{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1571325886949 ""} -{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1571325887510 ""} -{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1571325887579 ""} -{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1571325888220 ""} -{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:02 " "Fitter post-fit operations ending: elapsed time is 00:00:02" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1571325888869 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/output_files/BCD_to_decimal_decoder.fit.smsg " "Generated suppressed messages file /home/timmy/Git/Learn-VHDL/CH6/CH6-1/output_files/BCD_to_decimal_decoder.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1571325890619 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 6 s Quartus II 32-bit " "Quartus II 32-bit Fitter was successful. 0 errors, 6 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "533 " "Peak virtual memory: 533 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1571325890899 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Oct 17 23:24:50 2019 " "Processing ended: Thu Oct 17 23:24:50 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1571325890899 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:12 " "Elapsed time: 00:00:12" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1571325890899 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:12 " "Total CPU time (on all processors): 00:00:12" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1571325890899 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1571325890899 ""} diff --git a/CH6/CH6-1/db/BCD_to_decimal_decoder.hier_info b/CH6/CH6-1/db/BCD_to_decimal_decoder.hier_info deleted file mode 100644 index 44d1d8c5..00000000 --- a/CH6/CH6-1/db/BCD_to_decimal_decoder.hier_info +++ /dev/null @@ -1,32 +0,0 @@ -|BCD_to_decimal_decoder -Y0 <= inst.DB_MAX_OUTPUT_PORT_TYPE -A => inst10.IN0 -A => inst1.IN0 -A => inst3.IN0 -A => inst5.IN0 -A => inst7.IN0 -A => inst9.IN0 -B => inst11.IN0 -B => inst2.IN1 -B => inst3.IN1 -B => inst6.IN1 -B => inst7.IN1 -C => inst12.IN0 -C => inst4.IN2 -C => inst5.IN2 -C => inst6.IN2 -C => inst7.IN2 -D => inst13.IN0 -D => inst8.IN3 -D => inst9.IN3 -Y1 <= inst1.DB_MAX_OUTPUT_PORT_TYPE -Y2 <= inst2.DB_MAX_OUTPUT_PORT_TYPE -Y3 <= inst3.DB_MAX_OUTPUT_PORT_TYPE -Y4 <= inst4.DB_MAX_OUTPUT_PORT_TYPE -Y5 <= inst5.DB_MAX_OUTPUT_PORT_TYPE -Y6 <= inst6.DB_MAX_OUTPUT_PORT_TYPE -Y7 <= inst7.DB_MAX_OUTPUT_PORT_TYPE -Y8 <= inst8.DB_MAX_OUTPUT_PORT_TYPE -Y9 <= inst9.DB_MAX_OUTPUT_PORT_TYPE - - diff --git a/CH6/CH6-1/db/BCD_to_decimal_decoder.hif b/CH6/CH6-1/db/BCD_to_decimal_decoder.hif deleted file mode 100644 index c9cca5161da9e415d91477e92f7410b627ef2c44..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 345 zcmV-f0jB<}4*>uG0001ZoQ+XkYlAQpeGlwE1p2Z)Xf>kP$9~t%+HG#`F+_7+12%Rs z7D~JSzStHvSO+5sm)vvC&Ee#!WlFaVjw0+4D;FANpc+w2?0U9qJ9yNJieon**me5E z>l4TIaC(p5AjeZKw4ox`M}&9-w3^MnPjcC_jCS`Jc2E)ITvqm+E~!DY#ko4jvT&-6 z861mTF}25!Os^YkSsgN!{A&NK$QG0M0t@&l`3>|iNGH?; diff --git a/CH6/CH6-1/db/BCD_to_decimal_decoder.ipinfo b/CH6/CH6-1/db/BCD_to_decimal_decoder.ipinfo deleted file mode 100644 index b19e3be175f30fec0abce2c24772f0962e31a465..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 162 zcmWe(U|?9w%?KnJ7_xxS7)Xbu78Pga=P4K(>lx}9C^(g7=Al+yu z8Y={QDTJpcDY&L&mH?G - -Hierarchy -Input -Constant Input -Unused Input -Floating Input -Output -Constant Output -Unused Output -Floating Output -Bidir -Constant Bidir -Unused Bidir -Input only Bidir -Output only Bidir - - diff --git a/CH6/CH6-1/db/BCD_to_decimal_decoder.lpc.rdb b/CH6/CH6-1/db/BCD_to_decimal_decoder.lpc.rdb deleted file mode 100644 index 45b47e5f2e16cf9ff5fed3788e2a3eca5ffb8b5c..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 399 zcmWe(U|?9w%?KnJ7_xxS7)Xbu78Pga=P4K(>lx}9C^(g7=Al+yu z8Y={QDTJpcDY&L&mH?G*ff&Fb1EuGJ&4UpAP_YWeIsLv|hYSQ-XZLnJcz-GMT#};* z%iW5GgDqA8YN|8!s;bqOO?i3E;nSq|zHw@+SMemTn*8S7zQ${Iibh6kn@lG-v%k3< zVJ@t!zIkfu|L0R)s}|@MxymcvJN>cW622)C9cv#+Kh%FUxqQ~MSKiVM z6Q#~hKO}GKzjEhC%~0u|;mK=`?W{X>)$QH49=^pZdLp-XtrGZ elj^7Ndo=S*b_o=d5qk3Sxg38eFEF(J{|5lavY6ul diff --git a/CH6/CH6-1/db/BCD_to_decimal_decoder.lpc.txt b/CH6/CH6-1/db/BCD_to_decimal_decoder.lpc.txt deleted file mode 100644 index a4638048..00000000 --- a/CH6/CH6-1/db/BCD_to_decimal_decoder.lpc.txt +++ /dev/null @@ -1,5 +0,0 @@ -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Legal Partition Candidates ; -+-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ -; Hierarchy ; Input ; Constant Input ; Unused Input ; Floating Input ; Output ; Constant Output ; Unused Output ; Floating Output ; Bidir ; Constant Bidir ; Unused Bidir ; Input only Bidir ; Output only Bidir ; -+-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ diff --git a/CH6/CH6-1/db/BCD_to_decimal_decoder.map.ammdb b/CH6/CH6-1/db/BCD_to_decimal_decoder.map.ammdb deleted file mode 100644 index e93ac1af1fe66612e97c409c5531b892acdf5bb1..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 128 zcmWe(U|?9w%?KnJ7_xxS7)Xbu78Pga=P4K(>lx}9C^(g7=Al+yu w8Y={QDTJpcDY&L&mH?Hqfb}ylltF1GC=H?-pzI39q=bY75a<7Y5F5e*0MIuV4R5F*Y(F zF)%MOGcPhQF*6`jN+4HdVjx9iX>@6CZn_5m000000QUg^0000001*KI0000000000 z0K)F)*m3B#45B_6+ zfESI5^OkN(@r#3;WRhXqw{PAIZ@1Y@CbLbsGUc2n{q;C^Y2Bnm%ng^>`il=)+FzwC z75LRj_56b8H)5n%vdG(DFaW1mwg_A|$dN^eVmNEG<2XPsuNyqSMX!L0M&3Z^2K;k@P-^l660&WWiyR;V-`CPq*Q_^O_NjX@76e2LJ#7|NnRaVr5`tNMT@L zV6j^f&j_TMfLIxbodSI0o%}=nTpWWU;{*JILgIZL{T$t0gW_ErLmZtPgI$>zbb#vi zJ=M7)0i-3N7^Kg`)5XQr4^=xe!vvs;^c~q7KsGP~F&_|vR0lW)g?NT|`unjkumFW% z0OS}DKQpg{l|cq33Zy|25kMU8l9`uXnj2qSl450*lvtdZ3}hB%=B3*tXCxNsph$y6 p;!BDWGfRrWvUWPYuD2Q zW)PuJQp{j1MNF2`l(EKq=lS+ee1CY(J?GxfIrqNq`*ZHOKU|Q2fI#gbLB1Cd2;dux zAFuj_grc#*U@e%smbxa`1dk5%1#6wt25V_*Xu~wLHML-1Cu^{apAY!5FFK50+Ee_e zgNyM08VRuX|B3&2z@b0yJAx~umx;1SP<+I!nd!(8%~){ptj`hnDeFg2@6&UTLRd_g zF+3Y_WUBcnlNf(*^Ppk;<4^mVQ{gY;4&hEgd;*6&M_;fR2FR%wHxPFr_A3yH!n zP4n`2)k_8AvdQK$HAXk0bXo&<6S)|MC*|&&?SYRRFpIKGqIDjJx1>N>6R@*Yk$GF z-QLzFyz|76{{vT3Kv*Ggb{pJ~B-`^=;&5Ei=EogL0ukYLpyr+wCrYqwmMxsw<>7N z)LYFQS}sXo;W0Vh$c~u0l1HQE z;3jC?Gwi;M9F%1S#OBZ2QZiq9;HfwEm)MSnWQhXSr=mJ{$S? zs?9>zohymeD6l(?s5q>zgF~1iB$-j>wNp>-!Z;;n`qpodpbkwj5<)RdN ze^4jxOwAB|>oV5NO;zAQVe}8%b72AG$lyf}o1AO|zvbmLZpng{aJBdmY&Ljt-2He& z|MtuE?|b5!Z;z-Wzd#N5d8flTSqUPA8;{@T7jFQ#V_xKM!YC%XImU6*<53pEq1WU z0}bjM$SWTS+NgGIz$HV6cFL%t!G|-R<4()p=Z3&&LUJ@{OL7sl^br+;OOB0x4C=B@QkXStN9PTak}gpyUCgS}K@uURQ~*G84}Ifw7AXm(u|(7On=4IQtE3 znY4U$3L(m^VO;{yKrXkipK+<5RKtW@uh;stgnu2lOb5EXSolFQqcqWgRRM3ktHm^v z?CZOg^nWE32y^xPfz?|k%hKK_{R~PjrduQDU>l{*B<|hRT!Y(gR)-;sOFZ-W|cnbcDYo9Mq>AL|%MR*@VEnv>X*J`&0_JaeZvX zHf?x3uvNc71lc=gEjdzQb@l;7D*OnD^U3N5#Ih%*0=$Wh4VL<9vxPaKqSCHv8&RK(92#L$mu)$mX14s4&6ZUS>0RauNeAtM5N1 zlIG~ugtShk@2;V|W00zF3R&MA;(mkmUZO&GZ)v@cH?C)8TLa=2pL?HUC=Y}ZYOWD& z(+=xf<^RkMmLSaoL<-!LLmQ!XSqa_;*Rp`99(J44!^ z(G2p>60+HNXI4m=%(ESaUuP4-jLx|N^Z=`58TnwD1F>6|WeK*ontGmOJIp?_1qrMw z{KA<#1SHATWUGVr-yCiW5 zP?~VSc=tNWo+gzI&J;r4$t!LYUAjcu3R%)TY$@AylF~5{lzT^^73?Fvo+ovxNQ2Q3 ze+=!!ezl-7H5prA?7OyAA8C;x8vw4nuQDGWba`hOV#b=$pgXS_?-Oe!=*XQgmJ&u8 zt`XgytGW3n9yJDF*cgD9nB>tNnN)cyufI{;*piAn))PB>;SE_4m}NFGt5wyfKt-F2 zgq9lVXcdVSfqlPB=Wm|MJQ-7|l$DU)nQ%*tod1cpPF-`;b?h5^Fs_O%a`wUt+K)eM i&M-reZf1TzdF(%pL>x54O3>p@c32|=%fC)}y#E44LRXOh diff --git a/CH6/CH6-1/db/BCD_to_decimal_decoder.map.hdb b/CH6/CH6-1/db/BCD_to_decimal_decoder.map.hdb deleted file mode 100644 index b82e6047070575f094fb33e8061e3ed17a0fd6f2..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 10082 zcmb7qWlSAF(=OKH?(R?=4h{th9HcnK<>2lP#a)UPcXvIw6+O5+2PrPap%k}|_j_~i z&zszAc4lWY`)nqenapGt4+aLN1{L<5!ob+P5AJuaY3|}`?dU+o#m&ye&PgTVZf$2q z#l;7p;^O20aB~1Sxwxs+Itli#KAqM|N@TB?=4h&2I)_?hbs0rhL*Iuxv zImp@MQaQt$p@BhEmVsEch%R(r5(NQ*D%2c~28oESf-+R)F-a42#Obuc89{+qDtJ6w zVL#6IJN!NFc58oh9uLD~WAAq>gO))ckScp0D!!~mK+kb4KW|-U)$2v3LSpUoKewJr z$CtOa$K~0@n~tYRSvs7?dM>gkd#R2BTeI@2GEcwFFP>s|k|JFYN1$9oB)SfcjA>QB z2Sdvll)!k6V`JDqa!q_4bBq&%H4M$+$;ci=`&w)fY-=XJ#1PD<}3w$rr+f3=G*o+%w` z62GYf_2{}In?|99_AzSzZ+JN$BPA0h8m<>~l@R>kzVo0B=HU=(-|C%)f^GTxa#%v_ zLP~0`uP;Ut5qa{5Lo)cEz7Ag*C(Niw9rM{eQ3eOte4tI1EMD@YE)RetQ8LJMR^r@M zzU^M=(`DSNjCrlLeI6_MyH&(k{16$%sB>az*A$^0N{3DRCwrlP@|6gU-YV<_IO>(5E~j(!d)HyFR| zCc1Z<%xk;mY9#N4eKYs|HfC!{Z{{$#`#G0m{BT+hDwqNA%*|;#Ao^sMbw@!7F*-o} zEz#8aYpF^s_RflY_w1&ui{k~el0znK^V-o(Xt?{id#Z9q@RwhT|CCZJ8zT41emx;B zvish0_Tkg?F7630;ZeZSjm;2?vDj#cNUv~?b$UkH6Q%#z1#ucl>wGkCbNUtvQ+jGJ zI!?lrp&lE9?SNTLdNpp6S0qTwyNO#P>UeO^gsUB>u%oJ8kTXt4hnU{o$3}~j%4pG0 z_+t)SUdzK;$&MavqW~$Cn+KO~yo*BbqB3L&ZFR6Rk&1`ML7o#WJiLVm5B#3_s&?T;LE;M*!zX_doygP5Oo)FekPCY;kanxLmhI9 z-{GYr^+EKB=#=sH>G)R;NlMM#kTG5yVX&3NR*K$oo zc6aOMX@5jYbap{;L`nh#?l^K@7`=e;)(=eA7U@^?{#_?#u*ocAVQ6fT62x7`>o95= zt?BvVq`5A~(bT^&4!@n=k^OWRpg`Omx3mn)E%=4~h$ed)n`TmN|_RQ}VNp0~yGZum=&!Q7WaUXjZ*)NmiwgV#J9YhF$}?K1LkxN9)xq z??7zC*u(tJqXPGzw<7kT-18{@2eN~hquUqJROqa}Cr>Vf5L? zG`lU3^10Vk%BW;r-01uJR9qJa7rL0EH^WzLVn`@nT66p1GQ*#&V-U;dAZRw>B7^Gg zcB1cvRi^p7VfRi?aVH#Ona=Zgu85RwKV9Z5|w+mT}%be*mm z>U}2zv(TP3x4w>C^jmelsCn=eL%16F>L4o5SQvR&jQ5st>-zeB;$U|*_X9uadNg#J z8IsEf_|x_M^hZ&VmnqgY=Vd9*NA5gA+&9c?n3sXagV%2{t7cQ9%`hS&i$HveQxpV) zvS$LtAY=sR@>D`EjI9#x@Q*jT`cq!;I6hC`cNg+u*JQu9zx^50+nl41eMFH=s$-jpafb5K8Se}lr#M=@vT>X0}H(K)NM zdimLVzBH_^c08KP``Dzi8R&xa)Ox&*<{r`A7f(_>Y+JscJmg7=YaBNI>G%iuV}fas zc4&e6uRjXv6aor8I$5>{f!*^dY210&_NWzGQ&y651bD7c6qa-tN;MS!1a#`H)>rzv z&%5Co6Emi6ZSTWo_)?im} zc(wCb9W$`YR5WZZ*VNRwN5 z%BAAI)$!6}ZgH@GZOU=AvmRVE8MmD0PnokbF9)uwGe6}T$r94`Oi%+>H5Y;~oZjT_!P@d`J1qZ1!gYN?E_SOg*ofJ-dYk z?q;ahk{sk)TnqTm$tj8MprZD>C2Tb~qS23211J2AtS={nU-Gg~8@}^Vkk}L*4wqkD zgJ(YH1{k8SX(i_k4QF+=Up$*yy_Exf*fdL*wUp7D=a=H`|JO#X(>uBr-xi)VFH`x( zls@@RNs*rYo7pR_`5ca4lp2L^D`o^)2Puv^R>F-B^R||Ts5cok=;x!>pQq<6b2mxg zM9qa>$QMg@{*66FoN-j5&%KqDHd0F8NR4su`Z+p^l{`+TZ0>Jfy^@Qb`}jQ#%{b4J z682xO%oPry@-&ashg$PxsQUFXB%P%JMA}27VjXuMLj2QFcJr$I+U7uKWefi*&U?O% zYaKoNbiV2D$Is*b+&vh$;_!W5{r#e4^X3{G@8jcL@%sDsb=s`!<9-T}qZ{5pNSOV-lH#Zs0GE1yC3J@Nq+M#6>GMsbtL+HEhI;@GH=tsQ#u)agaDcBZ-Sr?iOaF!M*n$GQPW= zKQNzOi%tT6il$IRR_EX@7s*(p>k^tk~2*5k>9!dbk=9hlCXriJKz< zuLFl&*>I!msj{JG2w6;AoC}PB5SvSF(Vm7%k)3kS@1f~Db1!oZROwk>vq zePqB5`pHg3o4-VkouC?rbk|fyrkjk`c%^lPKh4^3j^5sya1z;2!P`6_!FYQ*dL<+E zc(#{L@h2szs0E2Fh`NlXR4gsPUyw_ThSQj>kTr-khyX9$&*NYXI{{lJx#vQwIQHx- zKE74kd4C0N{`WZ-;fLK$$6c*3TkfUhfT4!bAtVRJkpNq3O!P$Iy>7Zq_V%0_~}njY0u*`r`uaCN~o3y7ILC ziygf-P65tDPA*2HbYBpjvD^NABgm>r5W5ES{WJU)snaG{5WD&A(7Oq~1(ozVjcqRl zV>p8EI ziRgH)=j6<-Bp3(~8uy4}wiuXwzz$lh>m`Zz()tVCd` zkJPCStz1hVd1xYgby}7eY#IPC+vFm=cq8hFneLKk|&H*Ne1lbKoB6ea$! z^Q)o^!*MQF8O&@tAeoduYR%_HDFqgBnuTPd?&B((G1_M;%b}Y6HG_(6FWW5(HRdj> z>6t6au<`9L+YIXzu6af4y6epsw-uc^9piJ_;Vd<|fDtFX4N-75F+?H)5+^LjddwX@tk$45^Z|P+ z2Y6@F$h9wSq+4b`UROwO4VZS{{!fnxX7t{IpMNZ+FLmW@vi#kD&PIw@g>zhsr=i)b zUlwQM;Zl~0UGF%6oInas==K6xze0{&TM${FZ>|lndZD*;kB>uhw?8F6B|oP)Iy*Wh zT?h1&CG!Em4^K8uh3Eq>y<_*n^s~Its>jtgP!BG~aX|N{!=L{2)%nhD$NbK`r-Iy#ZD;51;Qd{$ zaaNRbA}yzy52S>tUU_IfVw|mqJvK!VHVUPBnYxX!6>-%sp_jsYI589f$;JV&2eolp;;b1XRZ7#{z#S5m#7w{V=@K=2 z;+!-epfb{hRHzGTsi@)~QEP5q%5DN|JA}AZKT5FGh4qIEL=7**?kWC0)wN|p`e%5+ zHf6H$TF|J_ax5Sy4`xVjN!)jo70U zEsFy}Faiz(RO^N>j(~NEH5nlUqhRlM57WPC9SvYd1n2uE5ns%%YlY) zL(6V1+hr_k*$(48=9$9ZVnHqP5Bt09?NSz#X>95BO4sEdxRXUcvKAVX_EW@{qUk6D z)xxVOb|8|4;rO?ST1)kK|>Z%->D z17U(|XBjQkek!^;PH`F|eI28Go@T3=i#vMPW2coHe$o+W(CnCJrNV% zVggQG0jC7SEtvWiSDEbnZk$+u+Ke`|80^H1vSCjiGBo=|RwqI)KZ5mjD=L)uYPx3> z#uzOF&9ZN7CthIN$DLt5J-62$SpEnlM?zVajY>h{d)h>bGoT(eGR0&L6lsIG#R9uc z<5b;Bq9%9|X+O8?e{ZXUc2jZ7Gn^s`m&ydw2GyJB8{&}B?^&R>3rd_5QF~S=2rG?{ zbL=U>FxrDh;h?yvM#{{Aez@UIT!X^smeOx zDt~9dO5(Yd&QELkC00Rh9X(LvL?C>ae*6H^T`ke{a)=}zms<=Efu9c>v<(cTjMG8w z(&NWOk9WJ#2Km%093*hK&Og=`%>JA#`X$Ln_}BLKTk++&NBrsP%wL_!#E8_i;FA3NdSN#YHT1`L<)ILI4I#2Sbn zJ-CT#i}}p!|4uxibn0fFwXXTX@?2QiDDzgNoR>~Xud{>s?B8roqDQc2oe~NmX--?E z4%n)O1gdWsa$BVrQK7$?a6MYlp6X=}14ct%^uu2y!bRW}(D_hpmbW+w)zx@EmKf58 zIWGwgG{R^f$=7Tmh+e4iitEU+Bw`!%O6ySLCB0nI+*bcvJ@{%i9xbc$W9AoRU71E%?PvwA+KlGPd5F5DLa$iW;1N7M)j;+uHsGn@vPL6w8P3iZD|W}o2f97>!Mc7Hcs z1nZ}$z4#Dl19^#1e@;L2lMy~nKee>iq8cVf`RIH)Z{4C9<20BVxDX%BrSOs}zf;!i z>JaQAP$a%l32XcYB#!Y;$5te!7lBDO!qcaPOr;rbrW&`7g4cqa{WIEoJ6jh%fwrFg z7@O01T&f2vYu0)LzxA*+1?*bEiR4g_mC7dxs zT$fj9X^!8^D56i|WnTK>BGi!FWMkz~aGCjgDroof0budF|A-XQ0D{ovRNyJGk)O&H zpp52FOLJ(NIn*Tio61axvk8__8O-k7Ajx|FCbXdv)%I`SgMrKV^d2%i*v;{g zne2tP_^MA)<@}N5#56Vm*zSRmnaFZ8%oupcK1Gp2vOscH?o+IvUqmhX3Q5U#&@G&L^Egz;1rwqwj9kz zAzTC_W|NS0orhnBG=G0-ex3Tw)iNm!mfy4iOFwno(62O?U zh<(__C3qRyyJ9jzl;{!LRF*K#&qS|K9xr~K2`1hNK!`bEpJXEkwF%et$)d!dh_MB} zh-Ew)!a)q7$upn+*0J`Z$%xwa#kh!I#@r0?_nWiJTIvel6ZGM)Sh%-RIw%fEY4PPu z@Kh4w-YdI)yintz>!wnBE<}5ZMf3R@-h~#U6`>~`F0&jTgKD$ntf+ zm=atdg9%@KMuvPGg!M=-ztr(8=gcw?(?4`ac8s@v>2WNq;$2+&>E0Fjk75r;eYmSv zJ8DVud!EA5OE1l8lx(>F-rzaktCM1KXGfD6`q3tG`yOxGrjJa-b<&kzQ5RuUHYE@`5^Y_|A^FKJ} z84|_mwRL37zsA)wle)tmhxpl~WF zZjJdwY}7l(s!qkam1T)bQBKNOY`^B%DZ1+LF@+pPR6pl-uDp0|daJN?1C1!+gDWr2kHitlqO`Z zC}a0py(*OP0THGf@V&h!B)L&mh`ZCh{=$5#-=<>C3%l066jm&qa96_j zn|^V&i)jJ-PrUH>erk;k!R}}gpS}In)Cc!3@8qxx|9j@kZk_O7TlSvyhdjXzarG&& z6Ec_4)CTh8Lqs-~P4<_E`_E6c+^3z3Y3}Sh=XdebfVpP7Bp+VoH$HvU35y5khWsfx z`_E<71MMM)IclECrMCVb&Z^ZPnC^c3UO1O~G*eEQd?0-?Y#$%~p3dYdxnY?z^pG#g zZZ_?%itMu+nX0`wU(_No(5hw zTl4;lzZfACN{JO}^A{am3rk1OvePM6?#3^)SGqrv*;ESHsLElBqB42Ji--cYFlUfH z5%Ey<-OETpI07E-jblipctmBZfn2bk4=1u$emlrt){pMBxOP9~42#4I+e-cXge^hl zXD>rUF#B;D!E0wWvf*2;amE-Y$eQ1#gO#8T57~JWdh7@86{RkHkQK@JmZ|h78I_bw zi`!jLRvp&Lb$Zbz5Zga7o?{?2(w#~V>T>ibODViQER-1OhJi6!Id zTYEbITw|}>c^EB%`Q{hV)K(z_FPEa2u0C*oL&M=!uWiciM=aEe1lESJe391_bXE&uKS8=6~%$_BWBeq9ft$pN6lN#7M zSvC*fIOqx{-~d1 zA&pF0FgmQ%0-EXiOMkdv)zy8z9#q%H9_t!tIyIYdK@wG*fPd(G@)_4=WI)N(fO=X% z+*ZNO#Rqy0qPQ>UO5C?%bvf8>;Q|Q7jF=l#qzP{f>(YRf^sleZK2mROD+!iyP0K_b z*-{_sZMU0?>;PqHsWkAv1n&P}Im6+BlG~e5G0jM^(^HJ5TH?ldFYwA<+92>j}O{ zr4{L+np0(%j{PUbSUWOA)FBuiJS^F(Q6HGx>hHIUCynp;VI2uhfnG46V|NUZik^hb z)eFXeUkjPm#MYxx&N{qHrT9y_z~xdI+ClKZQ9&VqUh&V54(goFhJ%M+`i8G&{p9$b z(UVj;?#3(gzR!|d0SmRmvFiBFdrDW#hM8i6SDEl#y^6vwF&D>hi779MDq;UJh~YPo z2~;UwA66V2zh}BoH8kpc=g%9YL3m{5NOcSggCkp?VpVG`VQI9EF8f9cW`+E0^veGJ zm2?54|D3wj<8I@VIT8QHXCjcR%?I^@w#%7ZI+IzG+Dy78t}3q0Kzcat{FldZpL&*^ zb|;oI%o;aSrpZ9ZT<8t5ku|(`;1!y})v+`23U-GVe$?nk1csdS!iu;wT}*8mE^UK3 zK6piOTqh|b1?i{YwCEeE=Sq*M&r{Uh@j&|9ODA!-1vrYy@o5_0-7}&~s1}ySY}`4{ zKN$F^h)@snVehWq^Q(!(Wn~BskapTbz}adFJ(=j}dKlD_rOfHr&z!Bv!US_D_KJNH zFZ{5W0>zIG>OP)BNY&@@Kw4)G?w$cFH! zI?!z=xCYquJo6C>FI$T8RQCO$KAqs$M7DY$nV0GLQO3ic%U+%(#98*%F^Yqx&1Vb5 zw|m>a-f;Gc8Wy(PAY}FpEW48aQ_ZH*S!~#xxl!(wRCoc)8ueVa)ARl*?(OY=06E{f AQvd(} diff --git a/CH6/CH6-1/db/BCD_to_decimal_decoder.map.kpt b/CH6/CH6-1/db/BCD_to_decimal_decoder.map.kpt deleted file mode 100644 index d6f3f41530cebcced7b1c75eeb4c93da2a77b7f2..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 222 zcmV<403rXZ4*>uG0001ZoSl))YQr!PgztWeLHF904L!v^r0pTOl|p+Ias=>g zDFx1xjy$Cg^*#&OY|u7WgJnGC6=Yk=vc`I{Cj)uiK-N{$R!uEiJZ$kq1MZCF*(&-1 zSRLu2g13&7O2ptxn6Hbpr$}x`0tZWR-h@t6IZ%B~{0`x$UCK?LC@=q^?0p=`{1Nk> Y&`Wn_eGmTN>Wi88_N2ae1sdU!rWjakiU0rr diff --git a/CH6/CH6-1/db/BCD_to_decimal_decoder.map.logdb b/CH6/CH6-1/db/BCD_to_decimal_decoder.map.logdb deleted file mode 100644 index 626799f0..00000000 --- a/CH6/CH6-1/db/BCD_to_decimal_decoder.map.logdb +++ /dev/null @@ -1 +0,0 @@ -v1 diff --git a/CH6/CH6-1/db/BCD_to_decimal_decoder.map.qmsg b/CH6/CH6-1/db/BCD_to_decimal_decoder.map.qmsg deleted file mode 100644 index 95db19ca..00000000 --- a/CH6/CH6-1/db/BCD_to_decimal_decoder.map.qmsg +++ /dev/null @@ -1,10 +0,0 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1571325873980 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 32-bit " "Running Quartus II 32-bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition " "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1571325873982 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Oct 17 23:24:33 2019 " "Processing started: Thu Oct 17 23:24:33 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1571325873982 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1571325873982 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off BCD_to_decimal_decoder -c BCD_to_decimal_decoder " "Command: quartus_map --read_settings_files=on --write_settings_files=off BCD_to_decimal_decoder -c BCD_to_decimal_decoder" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1571325873983 ""} -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1571325874354 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "BCD_to_decimal_decoder.bdf 1 1 " "Found 1 design units, including 1 entities, in source file BCD_to_decimal_decoder.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 BCD_to_decimal_decoder " "Found entity 1: BCD_to_decimal_decoder" { } { { "BCD_to_decimal_decoder.bdf" "" { Schematic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/BCD_to_decimal_decoder.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1571325874508 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1571325874508 ""} -{ "Info" "ISGN_START_ELABORATION_TOP" "BCD_to_decimal_decoder " "Elaborating entity \"BCD_to_decimal_decoder\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1571325874606 ""} -{ "Info" "ISUTIL_TIMING_DRIVEN_SYNTHESIS_RUNNING" "" "Timing-Driven Synthesis is running" { } { } 0 286030 "Timing-Driven Synthesis is running" 0 0 "Quartus II" 0 -1 1571325875755 ""} -{ "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "0 0 0 0 0 " "Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL" { } { } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Quartus II" 0 -1 1571325876206 ""} } { } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Quartus II" 0 -1 1571325876206 ""} -{ "Info" "ICUT_CUT_TM_SUMMARY" "24 " "Implemented 24 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "4 " "Implemented 4 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1571325876303 ""} { "Info" "ICUT_CUT_TM_OPINS" "10 " "Implemented 10 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1571325876303 ""} { "Info" "ICUT_CUT_TM_LCELLS" "10 " "Implemented 10 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Quartus II" 0 -1 1571325876303 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1571325876303 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 1 Quartus II 32-bit " "Quartus II 32-bit Analysis & Synthesis was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "383 " "Peak virtual memory: 383 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1571325876321 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Oct 17 23:24:36 2019 " "Processing ended: Thu Oct 17 23:24:36 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1571325876321 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1571325876321 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:03 " "Total CPU time (on all processors): 00:00:03" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1571325876321 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1571325876321 ""} diff --git a/CH6/CH6-1/db/BCD_to_decimal_decoder.map.rdb b/CH6/CH6-1/db/BCD_to_decimal_decoder.map.rdb deleted file mode 100644 index 0a2a3cdbd9f1514f8284539b0ac7628a3ad7ae17..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1309 zcmV+&1>*V?000233jqKC0001L0BQg;00011WpZ4R5F*Y(F zF)%MOGcPhQF*6`jN+4HdVjx9iX>@6CZUqVe0000000;yC000000I>l80000000000 z038JY000000C)lHR$FfyMHIFHfmjgYRw^NcjzB7@aMIYWTBUEPo1&nk7#vaY6peRh zovG%snoHX46Ti8CAbc~kzT^UeM-VHGXLip0JD2r4y)|6v4T-=J4w!yWMjll07ZQSzH2?!N)^ z#5AoHi!)DKle;wB+Z%3=c86oym!4Zj6Jyb$%nPH7-%PL+94AxXK>p5Ag>GDE^2SIv zAZZq&q&ny_N;@i;uE?NeEjd&Bm&|gCR~>si3h!wDcsiwqS+BvEbrdTlgCxOpOD6ax zc&dfuj%sTZD7dW&2BOfC**{N6_sCODEw7pxpT557v?W`>8bE0c>^GoOOBUDS0ba)dD_7| z%|6i)9MnL#n1#73t!N@M?Jq3n(fDAA`!Q0B)Np#*!Mm2+V1}0&Z`L$Ylx!|JVNVv= zTIUL(0TnNWKLfO2QzFMYKn%=NyG%ajEdo!0IRk}FK!xO@C^n(ZsmquBDSal7T}2$uOs%tc`N3@8|!w6rjj!lw7f=zbQl*KA+AD8j@H4Z znya{HLb{!z-}?mJaAG`~LvdwnOLG{!+#&G`m0230*R(i@d!Mdo=fe5`HJ5naTwGlA zz5&mHn)9lH|HnakfegUiy=~YcE>k+!dZXI(Rm?W0M@Ls^iQzB4fXG$oMDBoh7RnOE zSO-blbaEExC)DHcA&th5M)x0$9}s$cFYEjg+s^_0791TO(s-0S{FPgW(#tI0V;hcl zhC93TI0$5G_+UiC-Fu_)y)-LkKhkqPr-NMEStcU&3mPtJp=V5W6X-UsA5Wf~dUIOw zQYa?lZYplG*caGNOtUTdIhS;xz3}Zn5PuKiPni<3`!f*7;G`|3(Hy}YW*fJXjhOyi zqnESsHmJkh%o(#tcyd-sqvk@Vj)&}OCS}%5v9CL^C%i(}#p#g^VJM-Jfov9uqq;K` z|LJ5Sf6nv4if3JJ$?E;A?z0vBdRcCmT37G2;@{x<7Rvr^{ceb@eO#AtBL4vZ0RR7Z z0pez0WZ+<6U}*Yiqss`SnShuZh=W|5;)7fR{DVT67`6cU2YIXvfD{7@5X0pCoxEI~ zLzo$k0L8u(nLGgL2Vo%~2I+V6_i=Fziiap;VK@U6KCAJu2Bu6Bsw_Cv*Vi#9GCsu7 z$;TC{nw4P>P?pazaWYJ`AXK%FtGlBQR23V;383hLP3w;WDX`TrRmf(sGw=Xq)AxV7 z3Q{Et#4ra!RJr=N`nvjsa4-k}rDQ_#kIDdPkhL%eLX-uE1bO&R65AK z7E3dyLQ>IjR}{k(SJ5of5D&cbL_tLcNLY9K6ZY5U&3xaRH*dcA=FOY;i~s;Yjoz*u z1OP7X1agP{35mp*_&5jx>40#6L%fn=E=NERE{+fc9Oj6GIl>W02=-@4009s2j)=Ls z(;AlgS>Y?-e?kD1)@S|~BeXx~sk>GN{Vts+SO6zW&o%yH@5NqdzlDDI)ER@b`rz$3 z0KwKmX2TV+pF-o7RH8^pq%ZoWp8F*6HA&Ogi%HBN(%Jm_G4o}9UE$nvon^i(l@ZTN zgMVlebgl6c+V=0Si3(YH`EX7gshVFUr)&-Ng*a;@sCkWyBYvN-F~L-{92J1lP18%#T1et6>_4B2cA9uf&p}edKcHoVpcqM`joJv)2=uTa?r#?r!)nj;+ zaIzJNw>cq0s=ArIzZ)|`y~(iQA=IJ5dRmnbn@q4`gaV;T5|^nqt)o@h_0j90$S@!@ z@ZE%7vaCP5%8n4TMZ*>Efd+DO)fZ}CkWbnXY|*Uhl^&l!uCD*4JQKH##M`2SN<0Vw zk?p$vwH0k9D@)~IbWqR0iY?pG(7!g*c)K+;K$92PbG9(jPxzpCr@~lR1G1G-9cK&c zok%@jtbLi<|J}94-dR>kNPZHEw9fyieB*{PL-9xJVppllT{DTC7Bb=Z$3#_OpJF^g zJ<4rHG0AOnX7Rz6dCugnPh-c_NfML%-~(eHqd-lHyitZiXv!Ck{n0<}N0j5$Yw?^A zdDpVKyP4d)N)D7;FRO*kD4e`suuYw*bj6noh-}vn6xO)#g@FCz#^$GsWjKxqhvO)d zQW{A_#fJ6FmJhL9>4vL@Q%A)!ekE)4ptvT5V1HZ_X<*74^l~2SVH+jW@E13vn4$^k zLle-vP%*@(m`_NqrLbCIkEkB_4e7NJX?EMr(b8yAcU{L~q76Nb=neTib;-q818%mx zu@4vR!B}or`?yrH*o&(T7z5LAsJMbz@&uw&F^K_#=vp2+-cpE7>RXnmgQDXFM*43&Ty=8YB8$ zt*q84v+ylHJy~+Bwji`rRzay1krds3MnmkN>u~q`KubBI z@-RN#M*Cg$8&o{34?To8i+F+lsWfwzfGB*-CPe6MLR@~be}s!&+1Prvs>Cg zH>N$dw?Eht^s=+>cCQ%_L^_72mD0X;S#kPg*l&Jfy+s}C0-(%20mH1S_pL+7&_qam zeVUs6{T}xvyE1(7>yuG7d}cUU4$94XxA%I>_VraaH}?Wh37LBIio|6T=mnMY^q;>! z-W^dja7t29Oi7Oen_QUB>!J)^l@)4H zYJcMCVX-`YT2}6e3W>UL;-O?7ow*UZ<`GfjkmfKY>t_>UtX*!>$k|Kw*&S2tTHM`|t}b}n{K>Q5fF zAPZ_Per{?mP7ZD!4sK2^9%?N`YF*2()G`*f?*CL%(~EpCZCGw-HSCd7mlPvX2y_Us$bR$ZmkkJCp3ah&Tkb8<@>@M>{pydQttk@e z&h%jj%abP!%YNB@VILq$H*_DisXs_y+)jQOjrj296U>5UmGt!0WR9=K-SL!qGL%<^wmh461)UVbOLVo6vR(S4AE25Ih{Ka9SCEM5#(fa zT6}5BDA70G)E5%l!T+}f(=8uU>-BM#{^K^` zpx`t=!!>)tJU~qSpxB}`a@Q9s~ALqmzZi|f#oH@V=3lA(FT4rD%i5d<6>YB`OEuk6UW$q8)9ZeXZaWKz|3cx25PuqVNhB zjsG#4D7u|!ffDS3hi|r^oZ(tMd<}Eo#FoWgZ>PPBRl-ruhm>;f3X_*xRbau6F-Rl4s`6viqXBM zpSlpqFn;lV%`%epm4AfE(i*J|_8DjX-SF-=XzShvGSyk;ZS%N`{8me^go$ymgWIi) zcDM7E&vx^Oli4nE+r|@yIrjJ~fNYNvyS}EMcsSDXiviMO-?L-veJ^%wy>$UNU{`(+ zKem1!LP}6-_G=K&wBGWqjkF(N;M&wY=UeLtw?fVc{ufgt0zD&_H+tItNZI9$yHPHjj~EJvvN=EyNzJbeOJ#x z_whUWsB#1Lo#MPDsl)obXrPX>O4#H%!%a?7XE2a)u}wkH3`Ut}GMJkZ)@?c+y}$~a zBTfI^#Mm^8TSqpu!Nio`SCg@R=OUXqtrR+|3G-hu$0ZeMVHs436r^QyV9iE1AmI~e z{>g422>V&?U}o;7We@KQuPJNdt<@9EU!G_q?853_uuPO$(zli(S-cj2h`2SP`rJu? z?$~SN_E~kSv)dirQD{9bm$zvW*^6Ln_Z&^J-=SPX>N!jKVta0d9SV61iyV#GBB|-E zdGs6`0Z`WArm_iF*Q!bU!*084#j#;Casf)fwufmB8NA#`L}45Lba0_0)NZshwmj}? zb~$#9JNENh$M6gAKzC}&4z8%VzMu=buWhQouQ$q8d~o99Pn$cejIA+hy{VG5Y7Jnp z)ml0?tTnDoGj{NP7~dU-csDhPWGX7f6*VGEEF_|@D-V#vJ4l+x zPMW<*^pvZm!*I}KuAN6Z*<(2mXx;swYG=q~aXQ_)DzpaIVP|Zob!8@vs_AsTD&FC_ zGzZ^i9P|>KCN^b%d}-%rRV4-M@G~KI*z`%o19`649+owAK$>8F6#Uax;~MGU=CsD0 zg?UH`C2z7b zoHuFR1g?|&0tD5M#$71hl4P44{5r`Fofxq$dDMh8LGS0lQ}sLH(814BrB1>-%LnR- z9sAn1lfCIaKQ(|BD}D|lr4HWL5T0-P@bi^jHADFB62caJ-7kk7kT<_!-)^{ZcKx#L zIJUjDUY6M*$>Nks)v9>Kqti9*th*z2^sDxQN1}=g@xR1c-njE%i{s`CwXxM$-x;gF0nm^F-#M?U$nsF5BXcAaeEdC#- zO*f4@%N8pubveojhN)Q@*_d|`_aE(9sdko@#6e504&yf!{CVa+tu8+Ga*#VX>W-DOTZh z`7+8w`K+uT#wUr6iSlt_s5p zwAsT9>dXsq=;MThiFCvzGVRici5p3>-uLl-%st=kr&6eHShFJ$ zm`wMKi3p{6n<~zvSH(7>4z)_iDIR>?v8Ct(shNHR!`IS2%_ zy)}z$yw1ef61D@eZ;?{g z?VkrEU&4Y`)_$M_R+Y#*NJMZ3U(a}W_m~J3;LK5FdnEV#rm3#k-_CfVbNtQM)&>as zw88UbBkWltBV};@jt*p!_uvQ+oL(5b62FsMMSjK%W)5I`&oUE=M0h9GO#xLW8+Fe4 zayUE8&sc{pT-)w?fMSzC<;WQ5GI#t`=}Pj470ZA9H7{O^q&a1cjf5KM6EbEnEg5sc z)$-Q+pW%)fp@)m?^Z8KGMBQ_A>nnzHzN<89$zeMHBFV{-z?%QN;}=&2W1H_0#((l= z(T*@av_Mf}P|_Wdr1P1PGX};qN{7McB7Z%JzJ5L-yAukdtqTm_Q2`)|lydg|ydr)Q zmP|H7pR;6eNyx+CMLyK|5V|xjgX#N7h(vN49HH6WHkgO0bs~4)zP#jgjiQx{^~A-g z!bIZuHtaxB$DmTwDy`r%N_80A{J^GMGeWq^(6XG;f_^o9@l%9h>_N+1k7l#ln#XcZ zRGF8c9^4X7O|UswlY(B{XW;8ousATF9EX)FZ?HP-!d9Bd&j35H1ztjIKnoa@3x!i@ zv}+%5HLKBm^?A_#;Z(Eb@@&;^rYKK=h{9!4(e{1mrk zJz(X^=k7e%5zJRs;}})ZzM{Q0@A@N#uXFszO9xIYT3nS3iqkaxQ1maJbMal7c%ssW zeo7{l56K!;Y{G_zGkLeEX1=2kI_g}-_Kc6euv%_ejYCmN`Ihs2C7gxYMa+v-(P(G9 z#5ilw=^tFz_+3aw2K*68U&xz`=?E7w9#M5{zL`yOSIb09qVLxJ1vsPje4BLq`5c8R zm5x^wm6}otIMN-5U;>(mGusRIM#7A#--7FvNl%MC48h^bFdx9su+EzB{TF&kDGJQE z;q`A_s@G|Fg)F2%DSt<#;nR01d)4FsLm-h_*@9GqH;hc$3mHOU@NotA7k1D&RQ7l@ z;U&8MrMDohzX+LbH4zst-rj7LEL{77HWsO9b&&h$E#L-V>Np)J$8Zz?xPi#;(a|1g z5L^o~wY4vwnfJa5S#XO6KRd##+Zv6dr@R{4s~Fd^MoNwu!!k4!XP8aW%$$(i1cnXs z^(YSSLD+AQ`ti;nQzwLfQ7D+!aa=ygtJ5bOdtGUH$Igfzo}}&w_jbfOF;d7-i9usgL1x(2^+cYWm#XJbVQ4T#x!K}Gr5E7sUWpNq4+OcVEpQQaUiCz?I z9c@_ayVW9qbp0=e5uL34c*CQ?0uKCq(+$a_&?hWP%%n-vZc-5}A`|_L-+*c! z%Q;bWCYG%W*D86ZY-Jb{;6~E4TIQrU*PYwUxc@F`q{AFo*}AZsj2~M*n2!=*Nc;9? zn%m6e5+eJ^x7i$g&X#3#x36aXZCP8FB}K{o2AgBYDP7+!7ROgQ0*E!SR!>E!kr-QU zZ4ohi{Kl^?(=qkjPtRd!8 zq_PDTsgu&N!qrV_M%kav3ebue6I(^gfM%*GyqKp|o4<&7gIj4<>9JvIr`eLJqSiD; zD9dF(CSIgg^W7a*?WaVK&qjq+nnm32s{YotNUIIfi)p$kP={$z0=s@P9x+7)yVJZ(;k4b#yn`vST~=f zlx|<{F!xs*?l3C`&d({8`}VG`GmAbJlZ408jLb?uC?$6z?>e4#lW4q}>Q+p)OGZ2w z;XGF2wChJWAZF-ylvZ&P;-Cn#XEvu|!m&uLdwc>))0|J87HWE3ZA&V+)}cohWUaXJ z=}iIgt!&huz$WBy@uh{Q8(K4wpgulL5N62a%WZIx?g$qQM!{<8SsAnj__)^CQ|X_9 z_2C4IIFMcUWS6;#W8{dPuql#aj;=Si2gX^;fqC=RwJ~h1L70TkKry5@Rkq?4Q;2-o z!}6PUG|ADomOj3kqgUVDE9us=zr?UQB!zEMjqZ)b>;>?`%R9R zzE(n6L4PkLgm!VO2iLstZoHgDyLq7#xKj#ohKSztsXIw!$lZD?B!+HtziQaznwti< z)<%syeObty44kz)TI+3-8Cq|3UFm1XTAKYER;dfizm9TUOpUgaM1F)7LtvbLK;Z=* z)(P=ySNI0TzHQ38nT&1=V=whkLhITciiYsbx-0#W-xP=54X^XRlM6N}&}6l8@Y6H? z7%dpDEUzU%``5MEBNvi4kM$yItJK5TV7Y%)%yco(3TKC!S>R~l-1VeRhaZ>F(7-^_ z+G21?{l&y1)?+)*4cws%^SdYSHACCHqNlD2qa%qRv+b+hp&^q-=@zwIq!ZnrQb%Hq z=BV;OM0)2rHR-;SZrM)y-yn?7{Oao8T4{$b|z|qmB)UhCE>pki+kH`eX@6~Le`PD!FtECD+UN9;xkpfH5Eq5QU%~G-WemKGHy@A% zQyYOG0-8QW)SwI{zn|NcX4t>GsYC*oBi+nn!RA;@b#;31LI;(_BNhnS303PP{sK%F zB%>bv94IWLN8+(sd3sy%4_pYKLq)q)Ca-hQv%FXa(aMtTOP#iP0|Jk9`K@J2Xpc{V z5o6&L;^2Gzc=08|h56E|{>}}8J@3L@c>X0&EgOjVKwAsBJw4Ah6516d91G=K~ z%*PBf#u12!1q|N;mKfxckS)v==u`nFyd7b|@}%iKZp#}ZdR2~CW9vUb9jX&mmG|6E zzcwrbif1Tv;AdJ0-iIf(n~VUdc}^t@S|WQ|;YVf!*HKYEM5&wkUdj|1LrXYr(GrjT96(Ra}q`vitw-C$zV_4 zB7T`VDS6gQ!4H_W#fgdx-fIpX&+u@+5nwZz|GJGjG!>Y(e1;9U`M{a`RYYrC>&GH% zV<0-F_0aBAqyBA<@^M%0iaECz-9$Zqu&2bH7(&~bK}PcW?_WaE$qewn(^Dyp1KdS00TS`xLQDDA*j*hHWKk10Qvp1;XQ>HLbG47rUi zr;wRfHCYj-nL*y)AMchYRrcYg_>y`Dt3cLyXi;DIp z424Cx>fCHh{jSNUD);C!pf1^SyiSv6e^-)&qkq>gT)tqPZ-N#x#l;+dIgu-v5+T0^8Shp*S6SJQGsZgZP>*kONs55!g19S=#M(6M^2Upf#^7aC8c4qX?e{U zJmmKws5}SPw46<38yFeFTv-RB=WHRz`*Ce>{APwfg{(i~%7hnUF+0kHLT{PhzkOxW zdisX*Xacxl1l(Agb_g5saCL!q23F2#`cEXVyV+9i6L1VU`qxpLfYk@1rDu2;Vj7M- zE}_ay=q9~)@J+Kz-|7t5#^9(kqaL3aKmV`5-JZJvPC10{(Q^@4m1OUYZ@{UWS%uB* z9NF4?>QA{XK1vn8%ydRigI5XbbEl*=uUi5-y7Q@~aV~H4WV~LVP`eXDoMkJ_hb2xC z=$!}t0S-UdrNze1aE8CT-b1oStRGdJc-fPYY5jeU3Nv~+t1kli~WPX0ou?mA=2HMXhcL$t>J zqs%-q?SUl0byAd%o-~lAFc*p5x$AdYgNDZ?fhDHYuSvxaZUQIIS@&yvM%SULN`dG^ z(MVu;;~XW7r;C-J{X6$m1#+zfwo5LmhcHP6z*>xT?9MQ$U!e1^;B>HXS0lk5Z1O6j zSBq-Pnr4b)nzWk|p8T($C3_ED(WC0L&3bUF=(J*4Zr}dvm!X_8=hDfcyO?HDYt-sK z#&c~cNWxult)RkRs-7F` zRL3^ZKbhQ!oyN3i534XWB0<*SWBzM1WkO%{IRj8$_J8^uC0@F_9SQ7EZTo+5_#21? zA`_bvFFEqT*dP9OyRtW)*)$oFW4+`AQ=c|Z-z%f5obPqB0fI!ERb3Cw>_0RAwzD`xdufbltxG z>o&f=dLdTp8iI&)NX6KotEab*v@&M;KT$yiAK_C|xobbbdwmliDY|pLn4S=A8*B`_ z9-Bf2l^LcXbU%dnpb$yqxAP=)?{ob${WxV+q+^2IpCMm zr`vHMhw2a=uAzjkRyr&)Lo|EtYIj<8dbDJy$1r_lQxw}OwCvO;HKiOi}+t+;M7D9L9VXQ-;u0R z8Qn-^T-lq*etgD*sxHmn<3p$ASelQ9{6(wl2O<2mc=VqQb)VW0Ei1Xj@=H%RtJUcm|zpuADlVo8&43q`6w(kyy;;JrxwvBH zt5jf&b``nX7PCZ>U5crk492eW;ODu*wB|%B8fxu;jXPad&VG91Qo)3DZg&HXngFjRW4irctrJpzX@w4W+{m2dR!s3gX$RpyF zlk!pIl42KfL!s^_K)i@4j|3p zibHdY{6JaCnv$AFS17^+d)B1t%1>i6CgDCS$b0IV*qnQ;wGj1XC7ij%&~&M@ED+6% z`09qZ_Sk@Q!34*?hPx@T2+Bwby3<>8QTJHn?~ayMDYFgMg|iKzNo{z|aNe}(f{z=} z?FWsD$O*t8Z9H(x@5<;8X7%4lMot1O5$PzI-%69efpl*|F!cGp7nQcwnl4Y9vj$0E zP+9bJp}DTaHA}F*t3=Y{ka-eg>zE^m6*k2>G;SfE&^DqROibMsrT-JtZ(acVL8NuW za3=*cFipnL5d=V<#Ow3&JO?-vJCFEWA$M4j_9Khy>4fm&Q0pMta)&Yg#@s}gH}`j_ zACb1lRmSng$iJQUoTN?DOjCF!H}m9yu?3|+j|~))j`RC^Mt9F4a2%d)t~&Vom_?Tn ze)BFUdllM}@urfBl|3DGBs{~4RPfqs7Eq(X)l&KF8Kkc~ly}nhz@;9IJH?I@v{Wb~ z8w-zFWB(}ANH>A>b+@P8ME;D3DvnO_L(3qpjYs9s4|?xwgph;Lhl^p{T#Su=eq_s& z@U-dCGyGdXrR`)}h8I!7u0fuG==bvzq}z7_hpAbkPsffsXRZ0b4PB|)AiOz*0CrA`SfVTGPiDeGos=8rt0Y&{jK?=VS|c> zc|A6Luz=G(e2MGyBZBQrHu>a@i_H`lF_Og1lZ);F8=W36KdNdKgKN+IbU@z&U8&v# zs!mL2b=FTQgqNfW<*{Ia4#=mOgM-c`dToAuw!b(oeSQ~qR|*rP7L3{G??e=}F*Wt_ zs}Me~Ls2co%L56CZmkoK9x)caqqtf!b&?=43h?TPYIqV{Nv0K({VB!VO8z1;ig1O9 zfF^B;sxJWc_^NRL?9n8~6QCib;bxu3zpYYn znj4m)0>{@U7=McY+2;F-Fbt8o9Sm@=B%%@r=*>DIC)w*^k>#G)QxlQ@4Q+8?&E4xTkesc3fer$d)xV>2kclL1WAQ zSQWn2?YAsW;I!+t_t6L3S0x-P@Tsgu8YHD(AM>5)A}L=-u;vC#lhQXzSlcsSJC=*agd7eV7;(wTA^$|D#rsMfFGU$4jvqk#=Kl@o z=9e<^vlh)l+Th0WwRw+atMBgjs&Wdr#*FroFe=hGU}0Rw8|BwvQ%(54Zh%hn-RxjU z!KTCA zdVe09L9xH;3h!$fDPU_}quy?8x=OcXdG>YlR=_1U&wY?V-mzpi$~h??oVnSB+bjQ@ zC$wSzQJ8SL^*IUv6ZiHuemfs|K?`*We+^L6J{AJXiAA&zLM)S=Tb^)$P2MdRTz+Xy z4hQneT>6b9hT;({rgHj=v+NFGA_o|U?a(}D=HZ&S{@EVl6dQo6@^;>1pGE}6GMPHg zgS{v7wTS~Exeej#^n`k>>DbZb0SzBTrBi`F8O%35G?eZ93i3`EYYo2skbb|@$P*Rh zl|PjE1>1IkpV_#jml8-bt!R@P37h+BSqh>;j9QzMw=5l#@6KBie5=%;gs;-@ixJ@n zy_BfWS{iZETDtl|ix8ng3z?oIp6io@X$Z>b(j>if}Z~T@(@N`_MYMR zS@CR5^W=wID_m%j2Z+nl_iG+W8MwPgu&t^1WyuoLUtm+1!bhX!L!|P(eLYC$oIgCG zrWJcsF-}^o>tOeq)?RLwU%%5!Ae-x#f~jw)T+Bdyky#>J=>)0))H zSYy%e`9Dyli4UyuI}J7BzZ@2uQ)`Fnq%lJNx?}TqyLGRD5cfcj52Nh{FQK`^y}Hi= z_RpC7b&AZgB=j9~_mc6t=aEH;`B^(Nc1qd0aqN#ZsrD~6{;DA+kH3!ltRir1sx$WG z95ip%w@2Uo#37RXQM0|$e@%R(VR(C~1EJ$$C}nkiK0bFBEk1103AEeCAYOh3etH;b z@gik^-#0;<3d;bQ7kS)6qP|&~9VAzl9ak6{0D;DYo?&L0$+uOH@)GZvc{@LkD6P$sDQn>+1~cSF*{P`OL&9zM?& z;(%KPZgTAz;TD-AqvlG7wMZJz2j+wGrvVk`VD04VCsl z*> zs_(7vaH``IS$9|(r8GV_Ve8ab+w4bgr9OWbW0O*IKvC9i2Z%0!62m_e$wKoRUpOdy zVRIJrc~Ih@i0YKRav#x8-k)$zfgscAxd{cZaR;5DJJ#{ch@H&n(nCJqEi2}J!C15B zlqPTEOS8pqcKb|c>YdG9!?_+U^E!*DA|@mI&*&G^*R%rLQXv&YrN zd{aGkgWCGuC)pJ(PmnQqwf%-(_NPw-I?X1hIxEl#GPGgydN;Oe1I5zE_jPv1C<{dQ zV#a`x%BnT`w_mCN?dyOsktwjbe&CHQ*nAmvrsoRGdI;^ zGfc1aEoM@aq;Gp@*%TVjo4*xZbbg-TQr4hQ26kl~aRJ+wcX6Ataij;JiDeNPz*WI~ z(`T9Fx`u34Hr|#9#&mQ;TfxNIk--tE`bWjd{p*6Agv(Uzu1o-UYM!moK;PCcw5kX) zj`XjC3cto0t7Z7Yi0#dPhe($P&(2lpwUlVwrfw)S9CIu>HR>!6)K}Qn9&R)?MS zd@7xn)7J!7?H=2`+Jzq4t*v3Jhx3nGKC3XB<)VQGzY}j>$RM=cfWkJ+dbIr)If<@& z72v_+56g^0#YH=l*t{tJ#Y**(qsFnTae9XKjmx(1-V!ls~7IMyOwRxIcvh0f;0OMd4{;6c+lH>^j`xPspH@2wSjDuS*?8X*h`qD}s!SR>IX$h?sgjM~z-{6HN2;fu=@f^e}mg zG-JfNOrn_2sX~o0ctsFZIV!I|TC12`U3H$cMQiv9NvuOPf+>4a8cGPuJ`=`G z8Yp&Tj9p1KU^I+CL<=2{Tk&}yp-(DR4ZIOcIoQ`+&WS1wJbYwCgsNTMrubBKnzrF5 zMN6OOnM0sXEPTX?a=|x+k)I=&g*B)6T-&1>$FQghrXY2ZWIGxkYw?$I(tbLpoNzFV zWxq;aZ~goXQuJYHr59}|KGYXFUzta9s3yR0@VjPpGdW3Pux}u^G*B?(t$gzCvB48J=d}F=@=S z*2y`kjP*QG-8cVeDQ+t8D`OF84c;M1gQ-vI2ySDzToD`u-CWNmhe5tgkoee1a4|04 z(%;~3JbSLX!Z%pWI2Y!i{>yKrr?c|9IQm6AQcgOWWCxd9F}L_Irc}fKmp*wZn$?Wv zLoT)XY%W`v?pyahnB|9j?1tOw14dpQ&U{DDHbUvB)DhR_tz5y%|K{?uKucDu=|SbF zB6ZeeZ*KYZB7G}}_N{IOb2Y^xc~In-DinDcTV=tD7!7PIqyK>pS<#3l~ z7iUC};cc5T3L?go7Q~_=C{p6qafmn0=dvaEFP*^DrvLkhIh9LP^6=(JFtxUVD|&s} zZF&1}Ve4ZlUg(nx7e{Qz?n(0I7#VR%mSg%WfI@U$7nX24<3uuWYBDHLq-pHifcZ$t z;V$0Es_s^W>CLfbZd!th)2~j)c>P@%T;H9av%q|SMWm;Pv*AX_B@%l5qVs-9P>-8+ z-xg@&-AOkCX_}bv4@k-pFi0}4LU86AEX&#JaOLAB4eqWH;Ii$EYpBoc*V&h1hb9f6 z4xj8XeiybqGqJNqw-)|Liag6dFlbH8)@UW96-thV>CaSFN#FyDH4Rh;F93hE0AD_* z);7J_0vfjpIqxf4w9+)yw$aTbj017-zJ=kg-|S*ExYt)44b?a9VzA5{dmh$|EK?58 zqr13)-zlZW(wjxSl?Ip8yx~J;lYGWufZ%3daDo#0I9>yc@fRtdfr}niJ99Q)GhbC zEh}Ip68-c`|Dr0L&0`{kjVJl(3Za1GTrZ8zGPKpw3T~S#KAx1+>^3vKHt@nCmu(ED z5ZZ?>r4Y}uing+jHkMUbg5j91C0G{d-Xqx3E@{4**}dX?+>*nbCAF>@qoT|saat); z-1ITG0e3So(lWs(0Bd?S!SF{*CyRw$bmu|eLz$zaFOLLV6TWdjyYTUcimTB4t@!k+ zE;|C`YpUh1$aNu!+EB{iOF4RqT)q4qXl^EQZ^m399{ai015BfCgyo+6zu zwqvShK_MF&on?7fqOrD)owafmGMxaIf7b+_hv=1Z@1TcD}?Zu$!bldJZm52r!YPXZZTYEm7BQXrHO zuI+2iIk6>9P?10W;*$m5H9J@0{Ajg5#F%fWx@x%EVWiq&wE7ic;90_^l4%{}bCZ>e zbMj?3N18RJ6llZ1F}c5ICp4OL{3hC4!k6e9>0iOU^-3e%#I*kXIZgP&PzxoKT?cO$ zDW$u}ZonVI?N!;{kX7lC8-#vePwt;oWTpFA8y|?A6nWjM-Ys;n7~LX{o&oCg)|=kM zJO=bF+ z5)NTCIAh0VF$<(QluI3dO)yqQ+ENDEk{uli_|NF2|F!txgfrP@<+9LkpQtN_=e-Te zsV#Ifes<(tW{~SiL~LE&Ayg@M4VX9AbK)Jv_>sp|`TVtG=tS+m=8jmqb)qVHj_=ET z1^vo~I%a%J8`p>vnj(s2R05lMqLO@73y%~;8y8+&M)-c5^9A3Dlbmdt3prJ^NN5)p z4Bi0LOqpoclRTaCQMf76yoLfvWImx1&(vUnQigSgmiXkHBh0>ruJs|<}m&{-vXZ$j87UCLw!K668P)o>u=jA z#YJVag$ZfiSB1hOZLXtvt|NiZjrJm8YnO^%ITl}smz@GWMUHc!J93}9#g z2WpgUJl*ql{~X~9B+w8=0u*ZVB(Lb;DMNBJ=1iv1ak~8bKEk%}R3ruKi-ztGtdAL% z#?Cu=l3`WRMVRwi|D;i~M3_;IAcJXojyg~8%iFX%O0_w9^?_WL>U%emIjUYku+Do9 zIZ~IPsQq=BsLEs#5nyNq-lDLqREMNghx+b9i|E|6o$t{GsGHya@7t@w695Gt)V2O& z&pRv_Me36KXte=JqgH4I(tr&CfAkn(Z7do+i_s&C(Y$tdmNufdAIcGej)6)~fu1++ zntH%AMtA9#a9MyYxtYok*4q}0o))z0@bINQbp;qs*}fj~ZEL=$rgque${-j$o@>I; zX1{0yv^8!8kjI87ZCEjGcu-cVYhAYa=%cA%I|=S&e(Zl7m4>ZH6thUdAk+u>)CZ>2 z2V2w!1Ua-qb;|Erl>32pfQDrY@&4nr7}LCo0Tz~hdEfCoXtyYPZzo&rJyvS1f0L06 ze5UiS+=p%)hpT8~Q?`uSkx0P?cjAC)Pn*|5!U)dD36i zuP*b|+&GR*9gT+Cd5bB(@KU^AX$Q4YFEo>`*FM=;EZ(>YVJpDv#P(DuHFP<5tDM!y zRKgj8y`^oQGq2YlMo>_fn)7D-Ts?zi}SC(V?_I;Ky@q4{v>wl!GEluXf{@ zT01dR%|2933SPkZp<9VXntv5$azV`LS@x@4N%7^YqCH-s7;&P)lnpXDyw@ma=A8dR zRthF74YT-iPVZ_%acaI%Cg-*E?xxBKbb8nzCU{Pia9tHy4D=oGb3f+It`*C6V_;Nd zyJ10ljaemdUkxE!b4;l7mweK!KB4zklImc~YYdcN)t}h6O*CGX=JhNY1C8oZTQ`QM z(d4<|s|rOGgQQ@U)Yg^ZOG;8>1gdR8_*ao4QX@Bns@@UB9pKnw8nwpk@Fhbja>_hx zWV-Of@UM%h9~wV6l%%zW{3R`Z81zydZzBjZE~yY`=sbZv6zsbI8_VpZD``M=M@cTn zn`t|Y0QzwY^UbZ18ZFE->TOS8pZ9d3#dEij{~a(jhAv>8S^@Ys5n=1;L>D20>0`O_ zoCuagOLMgwPi;S8`N)`xE2BK{906to@16{VZdks4b$AG#eWpPQ>V;?OBuUXTKJf?N zwXE;V&Sj}5a^ht>E{kgQ zG8xKb?5(`6km*btS8*rjr{Hg0@4YtWyvK{TBppu zj~AknzskhznS$8{3|(dEJxMgi8L194Tj=FFQYEBm4&4L(DAZ{J?HN|X?kMAzE-BJG`x(^gw8mx==$YIDhon`kvqv)n+uZU7URugBS zD;6_)_C+6Pw$I@U!Vf+~<2nlK<_Ez5phlPB%?EI(kU@WR&MNc8DX)r;NefNUo16zZ z1qz3~I_(!(+^xi+)ng?ONV0~tLc4;Dk{=-NjPZeV zYRUaHdA}7+M^TKE*|#dZRat74J&yuc6(_QIPzpwngWS{g#&{8DbTfqKder*B`j9l4 z^$Xim_m(=agKBIZiDyR(Ue}Vft7y{+9-~&o5dPTY>;0y`9p3kCWo`}PFqVz9-xy1A z9gjm){Y;|_U@WY+5EPudGSdcMpj>3w>v4yi?44q&hWnXwXD%ud$VBU77I}LJ?WZM^ zRZs?(>?&Gpb6d8Gwn&&uV<^^VEC#tFz~AW-;VNu1F)EF4xxQ6TEqaq0+=OP;I#2|4 z@ZVUn)*DzB@3Jku47j({^V$A;?9KPr!)9h6Ab`_XaM7jk z(u}78pGnpZCh29B1Wu89CVac>^6`V`w^J{nTPA21vhJF>*0N)FpQPFDJxXL^O=t4` zTOA$44$J2UXP6#0q#Wes(xOl_yx(pxINUn2wY}M>o~^t4U|ak1$>ZKz_~{Abf$;r} zMP=N;gFWD|rU>Rn?>+V+y2x7;*`dxk)W8n>KJfc;tKnrXitgD$e4qIB^4)d;FIxzd z0r9Xw>4dsBYu96L8Tt<8(f<>j54W?SI9wdr8sUHKgP=5}`nS29*1AMo*Bz7DA|6S zXnqy@_uc>c>Va6UZDUKQN!ZB>xqW;#PsmdtF8INkQ~-9nIXOwnOa+@0ThSUQ;OWFi z+97_y`k(~+{q|%39TLG8n&KQw@xX_{4_IQ)xp%!Ec~4E3 z`pYO2cjnD+dvi!3f#6}!oB0_42pcyFp}yeJnzb8UjHx@tq^wUcp4GO)!_MpnIfW5O zuCEFG>AUCTbczvmZ4DwN$IMJd(iLjt)Y<*low-$0BblLMlLfsJ9O_cLQ8qhdJXI=U zcd|Vvh`j|W!AxOxtb4BXP$0BJ1^uJMxc6CBllzb8;sYcVc|CbO0d+cVJ?1$ZT}dQA zDqR-~Zwo)?$7fQS`@Er(LIC4p@~)ynko@QbS^t#s3m%jeu;pWUPUr1jTAC6MC|j^J^~50Tk3AB%m`P( zP7Glff;u1gL>?CACGb^W2)>73&Ry4tGNhVE`WwSfxX}&)`av&u*~e^pXlTlW37r>f z-RlGW(wiWBe9h7fu!pH-w#9mQw74&SXiqoRkB_}JBrJu!uTPQ!q4DzD%T32Cm4a=& zyq|3?kD*OH+2r>(V>OMe735RYoPMex@{0S)Z$Eiul&qNNM;TcYYh1_b$X~sGJCfP$ z^uuG1!KQ^jxCG}!vAe53uxkZemF&(qFM_xoerP!gO>qSfKCQUy0 z_7w^7?#9JFZ{vNC1};sdo#t4wlryhgzQTWg-J+HMEeoF~7f+w0P$Y7R#6AJWWc^Z% zcU`SIwdis*@MhI5!9QoJKka8&UXw;>jmlqCT8=FOj7cqMsAA<^Pt6$SGvJLh^wpAc z0|bz>>30L3@}EV5bQn58o-C*#&j2Hfzy&#}N`P?^Hfj{Y&#CLtw-*{Shqjhm1ve50IGUxZZ& zYsRlp2lb5vG9|_?5AKXxJBfVBG*9;f6+X;rrz+OGQgTH7{}L;$`#C`C$XssCVrD@<%xz+QU#;$N)6$Gn^8&%*iI_dK{aGV zfq&N5^)D2)FVByC$kdH_PFQyqTJZ1ewvV9}|G5-@cP_X>fpn@;LmuSV^g|ZH8c-q zb~^1Z{?c8DwLgoQDGo{{G@CJmztxvb&XS_crCJ=W3!_SheS(r~GO0mU9vvN-m1bU~Dy?^y-+-_*M_S$Eg>`;-~YG(uE=hAwF-5hU?#A$8pcclx3~Kh6&_Zf&r{ zI-HK0!=BBD66iNGK(KJ@cVB8jhDs#L7MTS(vmXB&Gcg7!u3#@CK15_^h6QaF9j*U= H^7elK=-0_b diff --git a/CH6/CH6-1/db/BCD_to_decimal_decoder.pti_db_list.ddb b/CH6/CH6-1/db/BCD_to_decimal_decoder.pti_db_list.ddb deleted file mode 100644 index 6c4406c81781bfb2812cb76d737e43684133c612..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 245 zcmWe(U|?9w%?KnJ7_xxS7)Xbu78Pga=P4K(>lx}9C^(g7=Al+yu z8Y={QDTJpcDY&L&mH?GC=H^%LfI9JNr??$_W%Dtc2YvhgT#b{3xa=w z4|6P;*$^Su!R%!7ICjpXTG_uccVn9$F~>AF*)}`J{B?R{`}fYDnGzNaSqThF&P#PX zjy3wc$-DS*w|CH*HC#f*-8n%*-jBSEij6;CdR+WDIHlx}9C^(g7=Al+yu z8Y={QDTJpcDY&L&mH?H8f%P*m%z)AnP#Q$NfU+wXlM)>m%vgk*RoO%ei^@9nPxkwJ z`kp)Edxr7iPtBFCC%mj%Bqbaqfa?GM2dYj=NbyKaNGOw2H}@Oz%;zJJf}zMuDc z6+}ctN;ZiK&mtm0!b4x!I{8MR14BcAy87C>+B!fJOdtvg)P?E+b#)+m`Vc)GU47s& zIMCVmB+wKY7%2?(7L`~V;lS3lMnvxC0oQKke|dIVbaJphRO#6^39mwp*onKronKy3 zvqw*=0>Q3PZL|4@V{}iBpf-ePKF+*+JTY-~erk`YBnW_ffn@%a%oOl^Q@9O*wiMeMmL*_29E3MPZ zOx#*WzIB6sJ<*mNa9C_7BSC!r5N~9U|JN<9ZEb8+zxm3EG8^K_6rW?51gJjT;lZB|f(&nuAOb-RTsWHBu@HDl7nq~{gB zL=6VDA^Au;UbQ0M8t5~iUHM3GNQLYfsyy!ul{YcikrL&-$ZZ6(h@BycmrV`0;dEo? z27D%p@bdX#rGTUz&Ii_rAJr+zEensN3&^;_PTd@~%)As4f6@B1iDHRLc+_1=2UFb6vi(^a4iZF|2tN$bzHj`C1S) z>*=)-@;0(nb2Agy+TtmxrFDjyw_uPghec zxq;eOh{{HmUo>Tdm8>=0)mf;M9WgYsjOJcT=zkBbtWo6^41@6n=FC$3%JP#Qf=XT8 zqpE;V^=j?@rFX8>(L|cK@}HUELojhQB~@{+ny&WK$&%GE-g!n%0q4=(ALvTyyJ!G zC~!s77c?`6qHYT^-VJ#fxofv$5Xjwfubvqx{hH=}d`X_-4s?ygnQ}>hYl;uXE{srYXHv&|AmJHYC$g4f1*(bus!R@C(tAB?tF z3J?os$T7r)7Uj_{mhzeOdsA+W_SD(f=-L(}))o=v>F<6)T1Bbu3vA!nNL>!fHs<^L z?jq}+_M-@y*fba+tr7B68LEm%HJa%S9qH(p|936_u~qt&L|S9E&n+EkjY$AtGO9p{dB`qyJdf9*l-{nT?ftPJ*8Rd=>o*h#aKd3xv8Mvk{**{}&m+iuA zJb-|`%PPiDJk1}(*4E^Oz=p5=81q7T3a3xat(J1vAJ}&UhKw9+j?qFfw>dG#DXRq) z?b#u&-qg|uWVj3S1?5#X7i^}oG*)2CEF;!oHYr7h5mYKeuzIUsT^dTfXR~!T+#a$k zYrz+kmbe)S6x;C$1U|~}uLxMZm)!DNOg^r}KgH{=V_cb|+6upp(w4^#y+Z85P}<;? zS2yt^W>NdAjYh-2V$K|NVrE6|O;pD*6%YmN={sy2+n{@ z5N{|w_t}8uBO8JmW?Y4dn{HQAB%i||1Vlobb##8>{xEhTZlEuIvORuS99C7II@%S1A2FsV|bCD9!(Wu1lVAshy(_PV#BOZ4kK5`vu9|+Zy0V|!6wLRe0Lf9fK|{1 zFy_K3A>K#?TU0&5P;c@DJ^S^8WvHq9NZMMpoY$7#@Xoywgtd>Fa*d5A>xd8UB z{l}2~(-1#0Ms_>B`ylBX|IVz)5{XGd{(xPpbV!&O;nOG&d&1x7NmVU8vljZ945AV} zn7rnY7Y96ZnzAWUSO$IJ0Rn>W#9Z%Q&9GsP3z5WlLE4d%m7#6ZLc3{TZ8qPjpMRI_ zC*|}^iYzEh`NeGH{r-g6aN#C1=#y|xE?&F27XzS#RJ%Nhoi|ev+Tl2*S1jk^Bb}y_v%?O2!>CcEyDsi-!Grq}NN|T>0hYyKad)>x0>Ryb+s%7U z)%X3oRkx<9d#0zS|Mc^W6CfZURAC|hlL!d*{|fg%tz+fxVdvsZ!^I8Y0&vnudD%Hy z(s1zsX}CB!fZQBFPA+a5O+^}AD{~rIOFPeht}NRB8$prgzc>g8Y<6pi=GdC}P&}3dIMBY}bfK?BUa7$G7OT+q(ldL8e}d%AcvVU*qL!En&F^#+uj9 z>#vKZ^18ZaRipR}ABv*1<5h(iEK&t>rmB_Rvr)4$ZmHbaWN%|@?5c^MWrDCzj*;3bYk$E&N2HFdW&5%B%7_@h|AE*>WzI~zj ze>0SYpzRj#no%R%@?cl$3)GvP_=eFx*UeFpG)RL~Sgx(Mof3;sBb1o_ukjHJ(7=k6 zDT?D8J`_+XXh*Q6Yw8v&g&wzpF^`b9Sf@ScwKghd&Qy8-qPU(%?3V;X&Or57nMi$^ zK(r`>HnrD6SHtIOXU;@Z&1o2Ks`t3!v)(gDP@c36%U`NH8D^^a05`DvT&46AvAJG! zedLDYvKVp3obxLk7HOG_3&n4u{1?p5<~qG6+N&80|C zW-Ijz^RVk0LjdAOK%$_VuWmaO=V6F=w{5ORFlQS+usH&{8l6wAWptl*GKbwoc!3Mh zoSH;_7}PArfmtVY@|XrYtQ&o=lVaq{^bV+nue%14lHx==G$IH81imEXjd3(pnGkFz zNS$JDPd(sly<_7b>05|NFK5@pcgjKjyi9}vwRLL$SE)qS`|0#8|I-Q!;xDrIf?shY z=R?zVwh=zFzF~EceLGc82QBO;UL2p$TwC=*TKuo+R2i;=tW&SVQa~6&crv4CD+`ic z(ZZ-gue43mmNG;>V*d0bgTZc$Lbvq&i)(CzLA8CX4r|N$G!gb%cICLF2+97B+-a=z zCm@4Q>D@g~o@{vv=}KJG&L7<}FBEqoA%Us&CNo>hE!Wq(seQi>W%^Z_&9o{p_X3S+ z{nfnf0e7R|!rt8IZ6WenFQrrtXM^m10WHkk-)L6WMe22kN6YgHjoZ%|XVhpLBAQPy z@sYk-l+nMsKVo)3pcX(km*&V1cuOG1o0wOd$k(MaT)9`=n`iODGwQD*s5gNs%&b+j zz2*Zc>tT!@-bx+DW+Q|BV~1kMDvGsJY+xcP zKhCRP!q|=i0HGA^MCsU!3kZFF7Q2hRqCtH)G@uZMaU@@A^FtVel%90P105vEEJxAV zCyC=H+8C}#-+(bh?smScIE?+a_y_fjz-ixfOc)=59rQ^Lj5$+}jF`luCs{vh8TM6y ztdeBe4hk`NW0Y{G*xc|QZEK|03I)?ouR0(tgio@bbTC06)0HW+_D%ovj}SV$5ljMk zP1M+*X5_33RurE}nUYbJvYj)5Y9A5|6!X*IwVRd9NU}2^j9I7uI!iuD{pa2Nu6TtE zMTnwnpPvAr#|;GsZFo?qSdcCb#_eZ5su*%kq#8cUH_Oe~t5;LITa*8%Vp*OkV`Z;0r z@Q9o&jTdK*-EgM9tOMfqNmFwR7AfnH`M}!$H#^XT2 znXvzKOU8epB-!g0imhP8=p3(ZtmWpIfFx%(?TyuE_`2Pwe3;Y;Hp#+zOnh+kJ-w9m z@Y^vY`&FH6+T;GdiZ!OKq6&U#>}7FfVHBved?w=7;P%qfqrr~Y8wJ8I9UmND>WBMx zQWnj;oLUUB7>dA7*=}N>z@PWkJx55gj@gZE_JP)0l?;j3!4#N1NO1&p&C2CSS0h)w zCv76H5krqn?_R|Uf;0fHK2cAnw7!`q*Ts`g8!CL1Lxv-9R9PY|`y!KR)4nF;aT9gJ zOP9YI`UmVrua-?cNgaLpRP1tF2quI!XKS?%;Y)tyKfFqhCXX3~jFb14HWO?lTkNmK zYKq@Ylmo&(=T#lgr%nzCzQ2N#k$MVWJ?xJ6Q)+IsoqN^s+{o-@1gVc;2R4e-IfuE= z?=JoI4XkUlI)BnPR52ti(ahbOw2@jAY$TqX2xDJtE@gL*k{ekXF>&&*8TNg+X@Fl6 zE0Gqigd3VV?Kp~M9X1*|Ejd}adITAYLf(Qo_$EGZz;GCX^xIOdged>=&R>lhjS8r@ zh&!G5{kn3zb|#lz^%lcB;8ukI+#S6<P6J18FJEf2 z^5=|n(8D#HM3oz|#}^yLoJO+_fz8(^=A@&n)JLli)_kR{&UxB~Ek$P)CGtz{-tDIS zMO#TM+jLx2=T~;!8E{c7)T!StLX`R5J zpC?BBf$rJo554$FR<5@xrZVn+VxxM?dz3^it#nQo0!1q`%EMR7eX$CiUphJjXE<_K z4dRSESG*S24~POc0-3X={j-JTN0tt|S*7m``a5J)5^tXifWW-k+<@kn?@zS}(k_iJr|+w;Z@AT8Tegi~*ssrm6CK5ipXw?O zUq9ZTH}g;qprySU-2+}#csjQ`f4)N7_q&>ZKDRAA@390YSMTvpKRd|ZPRa~2F5cZ4 zOy-pZ+rXY=9w(JKI#*xL0}Hnw81@pW){UMuCprU^Y2d=Bz#Uu2%Qk~x<40uybx zO@`fjh|ZdLB7M0><9@8MMK?4mzW-5{66T`MI{QvBznQXNP2GMTNVNTD#d1VJIzsqE zGi-6~ zGvSvE2-#xd)Ap`nG;sc|>W>9k>p!Zw6xYUvv;4X{WtNqbio}aj(Q0{+)(p zu7Zb87J6^!ywQ_UQgPOhi9wqv%V=w!tBvl)nG(~FC#98|->jU(VlNJ3V>tLLHrQJZ$5SG^l;3A5F`2MlE?6fdcCm~8i%(|e&g4H9{w>-sq9LXX z*S(B5Eel=SfO}&^;7Qp{$gr@cb`d9onC%<;rZR@9|-oEI(t)dNk%;mBBu0 zO&NG}34m?i-wUd_{AXB)HZamFLQbNoI_GWgr^;)UU5vrcT#xIzs>a16O zW%UJu!NE9fVtMw;y}xpH(kYztA~A{IVf@w)$J74MJP-RM%{{1g5+xvI{~LoAZ1ACZ zueo8WP+$xT>{refSLrW3UVlvUvtXCT6Yse^@WIhF-0oNRK25y^T6tuK=JF>}iqew1 z`Nr#V7mEV7Kn>;BKxh^fr84?&xrM?)DRw5$?{M<^A|m_u;L9bQA?oil15yUou2N+i zu+c(5((c`c_a&o^^OkM|w+-sxjI{N|Z>sln%IvBepN#b4V(#cpHG9!3%$~Rkf%vz- zV;&_lGP|-OjR~`bTH?+Y-fg0@OGn@pV5#iugz2Q+TXceYTt1l-owD97?EsS|XSZ~! zqp-8ukY{JeO>p%5D2%P?0B`A}=DXZe2v0LXJx8G!1zCk^vgPX~oF~l*PR3Vd(JvAN zVV5NIBQ$a(QvV>%q8prU@OD15{*dKFjjGPQY=@Jz=Y(sXoMET{LZt&Xk07Kc15~FK z+Hz?KMy*i)+OYoz%lwh@hPe|>AARo+eHJj`AM;{IGK-@DCaxqSc-G^D+_CsLixhE$ zAqf`pmj|BAN;N{UNuYLRVkJ{UZ-R;XDZbh{idPjQVVS~~lF@Wj~Tf&Xmlq^!R^y_FF5ywNyU zRSd8HFMhM&D!TFDg_xH|Grn_Ti{NT>VNq;S{^05&QxlPo{;HT))2uoEKTrMT^e((O z*EFjxi*!7B^+2w|gqa{$|1l>!c05_6xTO5zhN)y%uvC_(3=+t&ri|FKB4n+)ZGT*oQke@gooM`Nj zK9R%KSH9-P*8~VDe}D)kd;I^W$Fe@8BO9M_mGKSx{jSW2XYvVSan@q*24?U~Y`59d zgn?U59Vs!#?tufzq>(uVb<3Yc0Tk(&fl)#9FFo85n?hLJ=-dTpgT3gB8+fG0mTH=I zgO@u7$u^p_;w}W(u?|CiP3 z;aPw09x4{)MUWmt*hMScZNQEtyE?gB3?Y4mc0D=iH+$G`>gu`{tx&X0^^aqXKP0hfNnrOdo;Ai7@wM2dJj<%>CfCoh%#vzbJy#~x&mzQf64#r=4}|alheV3@}%N58HZ>(ohnKou3LGEMiBr>QBD6Wr5n(6IG1XI_`}lr zMT|Oa+;gX%ZBhY%btWI`jzyHa%;i#J;sQZVNAVt;sD3l{drlldYvR?!GjzM-{QeIspcmN|lZ)wLS@gX@pElAOznC&vYWv+TH}Gb?1KX z&Q0q!`POanquV688xH?{5{N5-?7X6hDonz;R^iqy&@QrWp(9)gz=}c`<+4!sdq(A^ zj}|5n&<_jDncO#kh$%-4QwW5(fWLKve{}B-gR0Tu=`D2uv#Dp^@!uFn1XPo|RZ_cE zlDbt=x_3uGSeDQTAe@f0^8X_rP>&vT=ON;8keuW-3UWXYMiini`Fp^9CCWN$vkSEO zDO>{;?QEJ|xL!x1dlHQAlnVFDL-+cqmI|oe(|fgyIsiV`DeQGdtG%*l`sQHYxB%h* zc&lp#br}M!CwITR=QJT+_NcmF+g$U9iG4v?!r-+p*D^Nr_=;Fs)NsN^zkrCZ3gPH|F@b^T4Td;IdVX?g!eNJ*IcgMva934{m zmm-d^9Hy`wWDCFe^d9{-OGX6HuM#a!0Emdg*0{z;iifcwa?Oma3(i`h9OqV$5l zl3nIxjgmKNA|rqN1gNZBMV+}Sx8t=ay+2)Ke7V_d=q)-zo4pTM8@i6H=1*BJ)#bZm3!68hPpG$45Bk=xYh;<H#Z!N732AQWWRkBvX^2uKeEu+0kaw<3rIL5pb%ew1O0jal$w}-PTFN|pzSdn zpZY}$Ar!t?=+DjC%p5K=k@WDyoQE^te{OJAY-6j6ZQg9`p>t1As+rdDar@>K)8LbD%Q@!|Ood4_9WN=z8Yu5KGg@bv=vD*) zpHiy#1$=j9{fANWp3I@Tzul47khN&bdubLL-DC zY1-;B zBI#8sVHXG2PLUeCIu>!yy`VIw14+s{5kIcH{B2V^!_sMo5q7>y1R4RcsyIuqBjvs0 z$YId|y{gKS1X{KKI2v~FkA`1jYV`fENwoI2^N%JHs&<_WyVB;|`lE9i

9FbGg@%+|zTpV;CglZv>!|xC%AW2kZS$%1HC?zQIHj zeYnr(KLk>uJnFVUu6$1n89Uf!UI_6IiKwlDVcr#qwP&iWNKDv(o0PEE?wy#lQ>nLS z%|lC_v@byDDT}{sxSw|T@*4uhjpfohZPXQpnc-qSA7+~vwSS1tktq|To137U1JKPu z=;oa1=1%G6jPUJo^O38}Rq>Zh=;qew=EQ)#H-t$i98#1tQj|Ch$4yv2_7+Cb$B`D1tfE`ukl_0FfO@HS+p9^7DLu{yE8|y#b zJ9_M>=Td$5dVMQ)vrW#nUpSwt#&(mt&hUyM=byj21>f=@P%vj!3LoVnOJy|Y2N4$b z6QQX7_D@GPSS zqq_nKIYeAMEnPS6riO<4v2?K$I2_53sSU;RCToeUbpab(oz5GP1xX3L&s)+(N5mcE z7w7iz1qbM>Vs#i*(ap&ueAEZMI#zX&u4P*Ch;oaBLYRW&fRkC-s$3Fx#$nLnodLb+ zXPYTwEDA>O>8)#^Li`iww=(j<%6X~Bp^KOf8g{VkgTACh?=vV;9N0BGRFq(V-A+C7rBxbX3}Fj(qqyt z0ig>g`j)!dmI6axao4clxBqi9mRsB~%<~ zAV;2jV2}?&xh}wGThXd(nf-6(HnfCReLX>}5NdUYM~pYsFDb7w(C@f1kW5?OL;G_! zmZHr1T?z<-)wim+dyln&#Ah^+N$DOy_xgF-px_FzEB}sDD&s@BYt#U zytRT-BMfX5IuFl$j3ed+@Qz(zS__;IB?UIU>8Bhu7TiIYS6RONBAwTME*?(eLwD=l zxT$TRQ9gdbK>G1M3EeBpB_znj!qldug7M66>wxmxJFUT-uQl(goNfW6i>e55c8qcb zXG8_3_ywng1*h)|PKgVs@C&Gr6#qPpZlpfDu^Ff>HrGQ6I%@0=%=>!Csq)~PUUnT+ zWxP!+dE?jYll6NmIvjn_uBGOamG?ut+>Csi;ECJ-{})FEAJ6OZ&te}y&+=<}YRWY> zFqqD*m9F(A%BJ9Iy$xpj^g3xF-pQCXtS&?6FG&lD^X<9!%)FDJeF&E3DEIFq|0z#j z_XuSra;^HD=_ntBJxu)_ytqAPCLBfXmhgfxjS%I~CSkjhhte_U&aGudgUBYt=e7ed zZoEAACVgqs*;@4d!T^r6^!t}C$z6=Gp0_<2ZtvW?Wd{W(4g_rcg(ke{2QpNfxL$x? z1hNkW&`c@U7o$DCEFQF#>N1n03o$ zD^DdW{>rSw8QjDmz9JxQ8Wk+`tkebRMf-Q92|4=KL3J;FE9mRtNgx0j{OWu|3z<`T zaBWB@>P);eP-l-y&B6tH@&1_((Dd5%`U3Pc27YS$ZsyP)5}-0utG6u%QZvG_~UBs#I!6M0g=KP|}p{POzmf&caO3u2|NgQJ!# zL2fF`+3jPyf;v;2M%NoFyNq@p@7vUN+|%|ro_B*9$V5M-3?Q3rG9tIc0hGgefpCmPnpq zCP^338(XExdB3mtLFUmMg9}hE8pA2?gXL&EVYWWM&}bL4yN%1Pj~v}HmKIVHWhIlp z>(5=RivCvAl_IhP zNYUDIlAaa#udg8j3k}FZXL^!*MlygYI|nk;wF7cbQnhywFyv_$jK()`N%{M;v~3tG z`=qLdk%ctiw3r<}e%WG%;ltEQ-O)L9werbRk{8_V)G3$jY5MP zXAvFp6|zr5yb-%WR2>QWuTGbzSrj0}h~?^4e7zOsD0TN+q!P2s?38Be(~8i(Iu8hg zgz#()y9C%$lqTo3_reJYG%yuAMnt12rxS0kBmuR9FVJ&N;}a+lHy4lTM~Y`o_?{-f zT~~1j)kwY|zz5C^2cdT7oeu>R(h7(7V5io`QOIdRLIU|R?DFK?#-_uXs-^(-QGPGdJS2NO;5lRxEEB-SGS#CJVyM9NQc^R`p zaq{Uk4EUDDlSgPjK5CgfR)N3yxdU_jr^|1A z@V-tL7cPy$Asp<^bdH!6DANyj8P!wC=-Lf^wZ_~mg2;IzyP;3LNbN}Txg<;k_g1{I zM9LOXh`yIoUUUPp{Cc2t6>lNtDtiW&Di-weBMhIaf*4YQomS!}FXe**^GM;JZm!LE zqTdBVVuPB^1>!d`@hvd!H2f{k@WN@NtP(ctNX^`pAN3tc(b4fk+0qv!lvjp{n2I&F zzT!xIsGJ4rQTmjYTGm0-=7WroHL5OWKA3of>lJSK^9EYwz%3( zbP$-(a@+IDQRx(n+pO#u%z1TiUMdU;z}6`2UW;JBQLG;$`;^vNHM0>(Zu1ts4sHEe zDugi{|0=y?b>wd@JXL8#`M^EN5iBR@>8em>g}n#ZWO5$I zlD4yR7-+>>)qTp=Enwtequ19?3J3mFrQdIwUetuYxNR)z>j^?Xvq%$=L9ij*aeCN& z7jX@^Ju;Ee5TnN8mLB2=Ci!Z%l8GdRTv?xYCMZrngwYM92A603pLI|)=j)N>e9~G; zEop^c&rROflvODL-;bo{uxRIpm?1m1-yDjGkUz+#{QH_wPO4N64ZhtzokUc^f9s@u8q4|h0Ze4gBWYtKW|fre=f7y;d>#D+EwsM+dw?K8sP+-LBw~$tb!Z{%JS%d^ zLmRtXDG~sUtY*UcirS?)&D8n_h4sP9KeX(ZxA)>7oiCW4?+&&1=UGX05^d5tyvRz1 z$Ueft1`7oEYjhF5b;8dx=rm<7spu;lR_BX{*>j1Uxt-!X@1%JiG98#(Qd?0~r4H3u z2SyKBdV((9H{Jenn`@6~#+r|BS)uA9LPt=U6&Hf4l0UeJ2712AOY~ZIcZ?u*x0}$P z@#>1|1S9^HOwpQETIS>7^()%PFC#@j*DyP~hnN*hr$>XR!#Vq9`LDmS5{5um=+amc z&hao~X7EvW`W5d{jdb4>Dw8TO7q1BN_#<$mr!GMc2-f1iDJ`vT0Jd2~It=I-#&pBW zC?uQIc;7bbr12I7Wd*C3OE#@}DvhKz{EQucU7yK{u*D(&9(yDf??v?c(l22LBcS#R z=MVJ<8Yd#8xShkxI1t?t;Rw43Z&{Lbx`KFy*S>4#S@RPW8L6h$e1Fd@W`WS{Jz3Ah z-NSRVjxpoIF$eeCTW)9lHJ&$tJ8jH(f3x_gdYx~s(%LP!%Fc(?F7?8jT;HC#<(f90 X%*3vki>k$8Ug!S}dEoz_)$IQOk*1hF diff --git a/CH6/CH6-1/db/BCD_to_decimal_decoder.rtlv_sg.cdb b/CH6/CH6-1/db/BCD_to_decimal_decoder.rtlv_sg.cdb deleted file mode 100644 index 934a224a856ccaa1a70c7852f87ac3c9730a6ae6..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1302 zcmV+x1?l<}000233jqKC0001L0BQg;00011WpZ4R5F*Y(F zF)%MOGcPhQF*6`jN+4HdVjx9iX>@6CZjKcI000000E7bo000000F?p&0000000000 z02T!R000000C)lHmpf}!K@i6`*Z9CnEF`4_6tR%p8=oM8QLI9WfQ4-e!Nx)*wfF%n zQuqNZ{0J#5EG;ZjSojgt+9G0MbbdQK_wG4&_nbZFC(eraJsJL2Alvs^R%8{k3DFksH_Z)$#WTW} z1Ah~P%+XaJPbT*2XG+$h#>cTBXEW)(yHn(M$>($-lblV?5$^*ojbjInbjOr~a?V4?)6-r2_0UOw=xTo` z#iG>N;VXvhR1ZGZtNo$Z`a>yErOvV5Fr@q9KL^fz4OPWA1;sH_Ce}gB!5o$G(c`Dj zWY7}ylkg{1SM0&GAHea7FR>EWfpKmM9ruS$`a@SelnalkBw=9q{Q0;&xE^+i7BHqo zyKATxZM30UwC9Fu(e@jvMLTh*7H!I*TC_ihYMHevg+mL_v3tTBGT&iSh`M-rfu`KJ zzrM~+mCOsyZ435E5TnbvGTf^Or|@!|`FYS{&mK4YjOk|!&R(`UPIZ*|8QVL=X$JoF zeDW0GDSlp(xz#yOMpIp@TyYoWq}3PH@D zuM~c6MtS)m^V0ahTD)ESJj8`ditl`_@ALt%`wp z{rpIr(Y(CsVwIUs`_l6FnWv^;{mGlC$?V*iY$4t~mX%pQ*J#J48=UgVn@IW~41@W?-9S z*7HmJ=6_DkKK^e3ul2v--(>u=_}lF7Huy(=kmv3P|Axd5zR5qkpJl>N#NTFrUwm)V zPkiV}=_iM|_(mdYvtN4uSkKwV2c>HNnf;vH?w=gw@OGvte(Gz>E10*>{cH>GB;=-> z{O9arclL(N>qiHyG%v@#$$tX?0RR7Z0TN(fWDsCrV6a!H$z=r6Oh7CH#Lg~G@xktn zA+GU3uFn2`!68AR&LQ!xej!1TOkfRdu{!cF4bnI@c>1~dGc&LN<(IE2v;k6J3%Eh* z-5ulooxEI~Ls%FLfc&a|dWj(OKv)DM4|jlvr)!X7kh4c5D}w@1;X&0qMXHpLnNG zKW7hDmw1pW8-zpr{9RnNbPNp)>^Kk@{tXet2%7Hq-0OUYk zATG@-&P>lsO;O0qE8zl%Zz;1WC?3G>k_XZVXE^)&1~`UzI{AR&#vSNH*Pvi-1|OiB z-;N0@L3(6?m>-A{dO*(MVF&?=bvkbH;seq!n-OX}{dpMzfHGxv2DLC1sHOmIcH(1D z0jgQ!v%AI$NLvFjDET0n0(6cqKiD~YC;WH<@&m{@sEYhSiUhzRadfUtBTydf9#$Y7 M7#!&f00030|4kNeV*mgE diff --git a/CH6/CH6-1/db/BCD_to_decimal_decoder.rtlv_sg_swap.cdb b/CH6/CH6-1/db/BCD_to_decimal_decoder.rtlv_sg_swap.cdb deleted file mode 100644 index c08142bece023cffa02eb6c75d0492a6b93d2693..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 203 zcmWe(U|?9w%?KnJ7_xxS7)Xbu78Pga=P4K(>lx}9C^(g7=Al+yu z8Y={QDTJpcDY&L&mH?HCfb}ylR6*$oC=H@cL)jIKNr?>%Y8t$YKmz~&1Nlh_DH9SC z5>BjN%bCJ*$;Uy5|HwH#zmEss^(n6V=(z6Ozq*5pjtkEzeq{XTsQ7VTAEOVCj)SQI Y!=>ZgN0wF|o%ynIr6$+RN>zw~09C{~_5c6? diff --git a/CH6/CH6-1/db/BCD_to_decimal_decoder.sgdiff.cdb b/CH6/CH6-1/db/BCD_to_decimal_decoder.sgdiff.cdb deleted file mode 100644 index ee6d3bc14c21c997de696fb40e908b650c4151f7..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2409 zcmeHF|2q?iAD^Qm>gl6aLTnWx%$HR{IQrD*?p7U}QNw(YYM7lcZI+$Gp=kA~PcADy z)KQq(NxqHgy0&Pn_OR_3!(zwG&dtm=+sI#szy&*tvH74qwIyke2MOSI~LN{%)h!(L$7bI$lyT+qnB-};^3D@u=t z+j^qlf2_!>=Y8g+DCMYzzGk_~C#gnmstL08iB3(h9o6XYMrC>Q1tq<4U%+xwrT(Iy z?1)pqIgKukR$4b9?RnY5o2w5T=|%4tCdN}!^u)J4UUW`ZOyMdKkIk)36a@429_5tl ziAP>gsHIL?G_(~7tL-aj`XV{Oxi7>4Y`!Cpj+17Eyz1J(a%8ax75RAlfDEK8lqzs> zuor2MoeN?oaJ4I);mXG&TBZOr5?G)NEW+*@u)l z-{OVMGq2nN$=AmSLXjlYSXC)C=t9Z8tWY$9LJsEWC#qQ#jZ~`At7WAG9jSoC?5{B` z2l7nQA7mi9sf{ghnAhl@-Y+#)X!3L_iqxRx$mLpkO^!kPK|5IxpHdsZ3L`tRCM7Gz zugJ!%f=LNR>qsZl&&JlKgzEHkhvx|P=2Z@9$#jiOWG#>M>ed-yK}Y&QSQ4wh2Df^d zY0@iJbxW#FCxdZZJT9bAgu*PXDhjKvRfB{&3{7V`nYh&1alr?-4?jBH_%=z&(kO;k z;5{q<(t&b$sOTbAPg*Dv36qEG`_<3tMT|+NC{yuHtBYlKw9AE4`I;rRy1ihxA$UoT zQpa6Z8#0=~9g&uc1Npb9MVWRXLkipPlHIkdq~t20g=gC*p1)@oCTK%`f_uG&^E~i` zvzt=efiOGKeR|bhFt)F$#l((gn(Vy6$QMG9Y^UqL3+kJ ztJmM2F1Oj8yzhH1(*-eqcjOGVXgWRP2X^v1x7-;^pxEj_Lf6hot69sesIT|)A1#Y} z79;K~i_2`9%VxXj4+Nv{_E$l>HDc9BezpYMoK#uCsFvhArhn5>hA(b7WKkeqODM|k zXxY-8ub~8+HMVo#^G~~hFP(&^eRw6SHKTQ&fjNqi3Eqz;rv;3l(V7!1pyiuXYB?2N za(C}sbt12Rojk{@+lPtDK{(Yg?=5CC>O=!Sxs&XTQ-Iq)L%_BH?g#3KB+Ix{#*J}d z_dtAGX>K@G-!P-CglGO+JF)OtCJ4a!_}i{Y5v) zjz3m0ZlfCRcs!6|byZKXUOMl@ANhTjG8PIPJm)&NpARAIR64V9^$^3TIfvOYHrU|% z$Wjuf`y7IaELv#V8K9e?jK zlTvbrg$yH^g9Aeb829XNx;j;*F#W!^E#~{+>UI(dhaw#TcSro7GuD!U=LE54d$8-a z;Dv3zfsdZtC`Gy1M)2(B_dv>$F>ZT;?|RNRt_0QkaM_K#x%q>;Q-{j64(4i)F2@_%*vfoBIbP C7qr&^ diff --git a/CH6/CH6-1/db/BCD_to_decimal_decoder.sgdiff.hdb b/CH6/CH6-1/db/BCD_to_decimal_decoder.sgdiff.hdb deleted file mode 100644 index 0916297fafbd453e2a6141af20753255072ca692..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 10158 zcmb7qWl$WzvMvxbfndSi39!iGL4yXjAYqZk9fBcQL0@L_MML=%IOoV*~P!f1^oGB`olBtP4SB`3%?>( z;{nX%`JDQb@Doc%eG5>ylV^j*PSR!AFY)p|k!jq7Hn_-O=K?%QZK9V{svCEmnCrNWCORHrl$1z53;o!$j-_~SQ+@-U zr$TGguBa=TTq{o;Qq}S!7MtlTW4V+1AMrDh*wo4InA5TcHbub^;DG1hE!?3gk$UT- z_Rk(j@u&ITr!aitilEHtT@^b!>Pk6Q2Co~uP+Uj);L z*7@62O^q<|*ab987J0&aQUTSmjVTcQw_;hl`z$M2!`~lE1C2JGZx_4S#p>j!%rk@W z`##!R)eX@RSL-RJUij8TE0DbUzCrmx92H9_AVALj5ppU60uh*)taw{2U9J4A^k+ojXfJA&&de5ipClzLDUTkj+tdPi% z&|pSLPlgkX)9^1?3|>=|ZHo0CU9U+kZ91km#?zIhiLt)OSR1DXyV^E~vydc&iz15e(sIOV2>?u3f!r#`9(=LOdHSr3*#WmK&+?<||m?ZFZ3du82}EW?pU!ux|h z4Rr@=GfoX$tEs~~t_`yKl)t{&8v6EDgV#HSbbtH!0?c;&ojw=zxb#mC4{kr57tUO9 z?funI%SkHx>F>BYwj-QvBFQRfbKBP=y%^Z@;@m8x!U5(fjW~H5y6=thdgd1TmTqOl_p*>nAel3tH$17}R^rp-U$V7!>@MJC2!e?c}};X0)qEL6qYB zkXBO|47GORfGAgq zMU=d&TQXe7D~cr(R;5^fYUL@NL7u>t>0LXB{feo31gvc0a+fm@w=Cyz#iTW9r@lY( zVVqxL=LGFJ$BDfB!)r7`aIVPd=Vx|qah?YsBwBPP@yBSB+j!GeHZr=bw3Za2p`doB z?u+fZyaC{jw+hYUm1+VSpn{^&rnFUVM6FB!7$3VNbSVsQvbA+IToKpo@o;rFmJOKO z+21dP#6uji9|U3bh|A;YtA&V#qT|q4g`8LS`!&>Qt;<9fZp=cRDMS|cD}p(sj+7M6 z=cKbvMuAZ01c_lhHyRFFjALunLD$tI)=GWGAYR<9!S`|A(9DBDp%@1?oE|`} z(B>yH8+|2b>tXA~fn~Aecc2HK0B&ljqsE1{$JqVLzaS!nUoMo`i8H#hst$f+>|_yZ zVdSTBeuw-KndE^~j=aTkAE)$O(mAEXcX+LtE>4#=Rp%C;%qZG%~P&vC2y=tS=EzvB(M% zTRGbCF9a-%mb^9Ez6xO!0y#n!2FC|KsnM+7kc<4li+#i2`m3DyIP_{ekhVJL?VqQb zpFLiO;y9zv6AV3|4|&#p2eV{C7kIqTVNhi(ZH{=`;X9u68Hfp8Y;EJn@=;~;z@S}K zWBd*59aUM=q|u@^)%jZ;4#=fy7w#x*?!+dKt=&lbV0kCrX3uS5G0Q@QC3kfND@QWt zY~kK!H#Y%-j8|>X_c_>)9}tfJ0ogvbwnIkO^6wU_Ft9< zgKX9;dgb6dPo7mMPVMc+#`9Oio`xwIkveU6sCUF`sefa)JAXB=2S&Y;vhU zogP`*<6#=(j-FqZ=9F(x(;mQL!cI%npmociS=p5mLTR@vQxrKHWxD zo#-r;fY@jal=qp zi?f@!hG&3BGzf0$VQw-Tv~hUuewROge5ZDK*IYbgQ?#4n;O}3&q#@8fXB=2`Fy(P$ z>Kt?{spS@sa-o>$QhT8@*%N@|Stbjt{dwDVpFj2-y_kWl;+EuoQ29G^BDVa8c+YFO z=O4n=Z5uM@^eSb&$LKuE@sW6MbK|<>Qllxb=-hQA19=fRbV23+bx*{fEbiue|KCKi zw~r0HYj(7_P_cL5yd^_Sv&-4tJV=a-sGp{`17UnXnQE;vMI#MWSKuJ~L6opN(&s`pY za0ck1bG~?#4M-d1AhKt_I(a+M-=)m(I-LVz5}@f68~>+7n65|ugCO!#LF;%ZhoRK3Cjrj-!Ao9aD)Oa?C>qm*Ek*c8?LI+#b5R+6 zzAINDo!BQmBaE77dfgoAQQAiOdCjA_Db}LGT-ku%t8(lv6QN9F^7E#ie1r_mx^Lue zbZWBw(q!yEjs7qP5*Aif$Ye)xaOuW7yLINYPlOWKHM#30GeN&G01D9-QmH#Uq$JLx zn7Y3`ea6!zBkrN9CCoR0yQkBfUkZPzYh)r_`+WpLMj7i%`KQqE9bEAkQ!9wS%xNy^ z3VK?xxGs;}`A(-YUVcFFqLE%8@=jHBr~FT7Qu-q_$^jZhVCT{2sxW4(b!yZUg>V88 z{CXAsS^EQMr z49U|_d2B8D5Kv8yfPg!0b6^zHw?$)uopSjQzXZ@LKumw<(p_=YuH}|V)ZV~eSdt*R$tDsj5;J)HOb9K@KE#)e>c#$Gzm- zT3gt|_-i4GDtB1Q{?GnXxY?d-qusSW9~I~b2EH*4#sQ-!N;T&pg_R3ftLd^=^fm3u zVjq82Q`?J<$9z^)h2jza($vT2RQ%o-LxGpkqN3H5S&`dMgTLS^$alk`wFhgSr_pKQ z5hL0$3wVcanD11yY9+}dqKX>6>^2Z%uFx+y#3&Emg(sW8)AfHVq{yeU!L2heE1XU< zu+MJ&)l=(*91%Xr7aD})XH%Ehc$0Bvn|`VT$LXLDi9k=jfXOfBSlrey4UO7?$Jq9+ zrTwbYa{S9&bq(qkuQ5aq37v}~;B;WEYnleXrNkL9P^&wh+7g@7A3yCxO{XIqNwuAj z`ESOKS(*7ZG4p|PZ*k{(fJVnl3ec`Op87C5h*oM4zM6rWXeL7~e>D&%q&(C|!`D*M zEd2(L%X$=06OkTnVPDI>SY*87@VUG7#NcGUh*tV=vTDYje)=F66#LMAW-_9~b7**S zTMy&@Jo)yRmrLNm+IdO>!UiG=`-aaPK#zE#MEBuddKSxbUb2AA;!>k5`j?<$gU0_$ zAk*Z)kK?sj6`zdoXbc+bgZf2}ZNANeoMMWb%z0g2y}ERL#ceWv>_C2FWKK;d`b=Q_ zMHY(PWkd%KLg5X!c{uM5HgWeWv2bqWu{tg?vS0 ze3Aw8fE9P~Z>A$g6yxRqKm8Cs9|i;?&H7%EW|sbVBI#Y5IOou8lJw8Ad+R!ZE(3MBfpKs8e+sP@yvn7F%A^ob(@&%`d|flKT=caG$mH zYIg&;hjO>xP#^)pZJ?(bU_;M~IMWEk$z%!tI-+(hW&@_G|IP)<*oi0ecO{2setF@0 z5$D`S9#8cLul*YLv=-T~`Gkk3BX11(jcbNUi7U}6O3)lj(U3s!ZhMEB^kP}{MT!>5 zk#7xfbT9b)y`fEMhgc6s#_1$vkAM4BXrUbjGdaS)kZnm<(?ZS6Cs5u+|I*gtLv1*- z>CaJ1Kg-HLBvfAZB^>Hi2J)Z*qLVaA-EsqY#Q`EHiAra&InJ7?QaeN#>{m{kc7~8` zIXaxA>|&j7^s}t)0>UYPaC-`*YVWNBM;wTzO9I*@fmp2l%aI_%+5XP=)neZs6i9N0 zHZHN4hw*VB?69MX@Tf}R5NRKNUqxv6f}y)2igbhwPA_46cSnBg=e9UVUasy~Pf5I_ z%jBUB!OR22*D_%1dbtMRGp|Fh#0$_fddvuyqp$^8bwvL;(Hlgkba=aFsar}EGC=zL zrY{Qy^ROwkDY=i!pf%De``R7Z`ct_=D+7(iw)$oaobd@_ufl_+4CH?fP8_ptcQZ`) zdxJHLrB(1FG@{IN98|rO!--PbpRjzF7Tnfx9~n%vQAR=f+~pE#0ejl69IpGC{2Yes zDF|-JDNY>5mU@wyEqDZzF?|ilqx`NM0MibcdXMtVc=`cvr3a1S@H!}^&m^hOB(=}v zYoAGapGk5be0lvbz)Kq4Y*iLhjDUBe%C%3VOMKI!N#l@O3fmxo0)n`ra%>F?NrAwH z@8W9rj(lX+7I;!m%3{ zCXESV4_g=kUjYrma2MIb0AKsEQTnBZ_%paEKDFS&Fza^<_|28`B_%c+fdRhU?uPBe zuU0So#GJ~W9;CyAUhndd6-v^}7^9}{r7pQw2Q~FKX*@H}-c2#i_Y8kD;S77M!u5pW zUPCC5ARye!s{R=zZYkp0$f3LC_EbVP@z{d?B%HKapmJ~$4Kp`k1J)SlCbWnG@dw23VQy=bPm}u|FUE!t*p20MwSd}kO zQ`RzRn19l6{hT1)c}=+Unq=qoKY?r~0Y!bqY>ot+SdHTM5d&TvG9aa||4YAeP4?$^ zV8gCuz3>=#XbjATGMGGlPjDg^d{`Qg&PT`ogb4qg{kb&h6yV{t-*C5gXXMZ>)`K>s z6U5$%6`$x^80rT3PZoyXGm`NtU#2S7Agwp`wP$1kG z2ww-nC4$?g+Wo97Z$`lX920*SjE}Z|y~RMWT!*p?aCGhK@it`83AC1A-2F>OfpqP? zEdvPQ;$K2LT;tWwiG%;VobGY;pO08)oC`#&9d3O8X|uinVUTl5T+*9@;?XiTB0Y1A zwq(8HCNyz@8o^(C{2}GTnK{KW(SNR@nb^Dq=v{Sy$dg#IkIRJ?o*FEw(>UB7Rhgh= zkFkMgWVjpIe}r@?^C#wg!ei*x{8HAsTx;Z4JDp;8OxzuN3CI#$0)YoPRc;KyfD+GE z{QArUr=)edL|`jzlp=*uq5&@Fa$4mONZX$^ugmu2_u+k?hh)C6eb@G}Q^{BALH<4m z>Xy`vV-OmKe`yQX>Plp?&HrE3Ww8z_cYK>xEic4s&7x_Ka@U$?A8s*?#t;9JbYLLa ziugP8{k$9XIO(32;-GxW=X9leCVuz8<(F8+z{kJ6c>i`<@SgF^>Zeikm$>e;O-)-+8E={+UAa4b05W6S61?4}3v% ziuq8AeFY#q@+CZ4mBul@Cnj75kT#Ic-ysg*LkNNPJr@-BNMsL}Ww+#MAY0g@38o(< zZ?>KbloaS<{BaZ#hzwR;rsZtcx|Qi#zOGnD{h(=S*-%%U93>Q_~gt!rmkM zE#vA4TUE=DCn;Ebf{99+3g*sL&XP7;uzYS^XyY*NEbUqz?H`391ogz?<|ZACZfL=< zu0L<#GYa*0KqcefZQsLp#oYcbeTwsoqcaM=_`L|n^VDKJ6bH791dD`;bkPezSH6Q(s=(Wx{L>N zf^e6njG@&afoqQe#wWD zW)@!1kY;Y%WZ*6W!mdzAS24dVnrxXDITYxPq~EE+-Q^kbWcm4#Mi`=oPkN2@vLSM&IHv*a3BS1-M>E~V<_{M+!~2zZ66*0EHROuom&n!1Zid5D2jG>WLWVJz?ne=3Gn% zr8&I9w^t~BTG8(S7n(H)J5%{58qQO?^Ny;M&N%-UgRI?s4TJ4WOI3W;zkRlP0{jsU{(fbc}idMeaiISH>XH#w^P z$Z7FoQm}=LP1NJZ(pwuKyaot&3O=7%Mcggsr3Eaut@2pLwY(tpi=jAu(vIqojq1>` z-Y}MF?+9^kS(ad&?_!(}kj7Dud9bR-vaH9ls*kj+kF=^cMcq$0;R|ln8j8^ziq_0P zC0RlPl+-?)djl;5p2H)mf2Q46<6g^CAbly2iou^2zu;X2aw%-ED{L?;Y;Y+&$;EZb z$NjA!ZzJzwt=%Q>`-{!H<hr-1F{S zH~G3QT2uIr{b8;-Cm{9lv1v8<$CkON=&g%Uo;QN~*YEh)+()vVI<#Zwh9$Z3CHe9R z>AqJ>e(yk*^)-x8BYSs0QQ7e9w=yTpG806Fo}`7=v6>xGnjJBk9nqTZa^>qGM3*rV za+ZEEntsumeq*>foQ$jb;VT;9E2iP^P}tua4ar%SZ8r_vrB}Kb5d;QTJ{#~H-FfT~ zIO1KE2?*xRHiRO!AFa6YWDGnylQm1`&>YX&r7a%J&OlG(6IHUik6j zQ@Dxv30Gx_rh+0u0^+`tIPyxCqY-qWyqimZ=s;!X0xOt3bf39Nc*MOtEI)7GP7e>u zztYEz=W(P$(t)J%ry9tt^||+jdIGn<6eh>_KkmvFACq;H-Tk(YD@16nNjAQ!iE8~y zE=0HAuV>Zx<@0b=KdQyyOPYvWyo_r^k)8%_8?j}5RX|O0ekuQ9EouU>;*D3UB%?y$ zn-5L#7J8Sm(v~`l`KWJ2N?b6;YpxF@kIIYV*uyDLgtA6WhrumlV6S1Y*C_aA7<}`O zj{M&sJO=Lh+DD73s`mWO_x|@RxT?l12!wi#54_SC25XFhHC_zPM4M8LK$9mR*S}@M z$Npdlt`2o1c^9(fs~xP&SM@!SeXZtEcv338rJz85qCido;qJlb6UBJD7F0_KF4R;_ z&Fc=p$tA1$2_T%b*E3QVYAo6rF;UmFth&a*wD*~ne8&2=ULLx=9BDRSp&1jTwOhld zct^`E)9Fr4MMHBY))VCDAEhrl3vShmUV^2Q@=QJV;Nv7ruhVoHe}bI+I7nN#Nn6mJ z&XoI$GW;S0={RPo+k@=)LV5Vz%-!9r!U0V!knDYmB#7f%58gmYr8koL+82mR9vOQ- zphC)1>0oG{xoeCSSFq09aAsX_JLsmbjv;-O0u%3^w()vmBiPLu?t<3brlx;9O7~8| z)d=K}Sw8|5^sK^{vJgijih<|zTitltq5HQOU`IKJ%`W4?E0tdJ`)D&b4dXnNao(75 zew}e%f^j~KalT&~Cp3uSM*|P69pg$(<%o(ZJ3E6*hWqc2-Qt`so$P!p`-z%S8~1=Q z{*yb{!Ye+m&qB@*SLl^@%v2oQy11J9- z+BvoJ3V+1L;U=zDl&3ZS`m!qLVusN7bp z27eP}lB9uyK7Ck6GdtDV4M(R}J47|K1Oc>+sxI8) z#Us6pCmmuYFZlQ`9zr8^Ecf#VJc(dc-?j8>gzu|_Cu$OluPJp#HU^51jdNMm53VHD z7j@~_$n@1E8z&)-<}7!H%YM{If7-_=st5iXMYA9=7^GF(USidE>Z!X1qD~S}*4YZF zg6)y6@ge+ct~Y%Oe+F@Ua5+!RVBlGB<+GH;sNY!q#m#$ZM}20PB}M#wZ4AQ?(^EU#Ki5je(b0H{Nhf% zk7I><`(YNwc-{h=u$7B<;uP)NdCVIl^@7{ExstuDe=rS)GGg`KxvaX^+EgW0?cNgW z>zg>Q4ETV30`6Q_h7S3h{g8Dbc&oB5Mee?XkI(;F5}u#EQLFVG9N*}^=?3U-oZa{( z7ip4~1Y?ZOx_RxNo~HWn@y7}f)ssEi^^F&TSaSSqXro6p@ zzS{4c!6GmLq_p?j+GzW0LlTRd_=-*ZS4ay-`|x=#eYf~&XB4Wx!K>Niy`*TiDpL!- z!GwY4;XFf&^$>c{v?pRE3R{fp$9-s&i`nhYpI#Q8J{e03Mrljy-mWHWXRG3^tC^!3 zKZX97q~wzNW*MpvA6L^K8wlm(A`HY`zl~*NTfAm0Rg=m)RESN&$coj(rc|BuJKSjT z3XUsJS14$zFR5=#SIrWKvac+{qg+0aZZ6EEt*)K-4jR-Jci3USZJqt|mw7-?XlEyI z1=Gp17Z2nuyZre3DI#xE-5k4eTPu$~*Q|`%uB6M%((o$EK?5aJl?scKQij*`v&Pu) zLJ>qHHl*PGVNgnfzF)B|?x8yw1~9{7f}8aUsOB{iT@{+7F?Xk237{^1s-iu$!aE`NUoww{J8~ZR(@2AGNdm&q3VLf@*kGhAWyY#+_^IKl7>Vb(zW; zLk8i97md{ngXEQlzG6zj1?zxKVhXQm(YT)EXak z-oO0T0>@j&&+?2QoL)vZe%pb<%$4imlOqK-HeraP!IHGP*a)d@iS}+Vo(}WT9B_@s ztKvoJ_YEuhtxRzO9DgM{?pfeSz|0$m_IUG{w=q&p#Tc~Og-V;@DbH;8%NUBdFA=(R zT45}}*4p%e_va=11CbxK*|99dIGE+VyG4Ixou(77>WY;1I*Wr=+#9TZua2zlmqCd% zJ(SZj-&ibIpRr$fVQ!H;LN~qU^726YcE-tMe)svrQ=GTth64ApkQ{M+W16=v&)4^F zFS+%?D^JC(C25{KhSCz>D+`EpOqZC&rk5sPwk~lPJA1qChfa&%o|3&3XF%Ki@ULYh z0^Oe;Mtu4_8e+D8NNY5>q@`2^naa}zx{rI zpGD1;!(6r&>Xq}sm|rOBuU4uaemjzrEhuvqmRNI@3_h)=bVy-wIrR>PvW@2urJn&C zm^{xpi1@jT(G3F)XUhk3?6Hm1LrDa4%8CnZ(XzvPo2DN^sg`{S0_S-BYDXC$Bhwhy zze%icrct~F>I7nctF;zy*0V)b+S_>HRsPTcCr#J>s5Tj?_Y?29teLC-)um-FCP$s^ zPV9$)(iV%C{}#Bwe8#70yqm`jaK32zovj3z-Nv6Gcc?A-Wq3*L)aK z7;8mgh6gpd{bhX7!TSjm=_F-8so%I_G<|Zk*YDAFj68Jk+q8WM)IqmCz0$n{G)16hpi z?N-B0rSSOrxkxX}tP7EBnI8WwrvG_!78KzakC`%hEExw8TL0r4zlZbJ@_buM9TDbX zfGeI}GX@Ba=+w7u`CaiojCxiMQ)#ZG|FL`bkKdssm(~K=t9(7|1)ts}0?)yvvje*Y zNKs;7ma9+F`RGw8;UVXeT1dfW!#Ra|V}1{kCRQmTAD4wL_BSARz%TdThm5hI7U23t RbHy~ivXtgC=6|Bu{{g0~^F;sv diff --git a/CH6/CH6-1/db/BCD_to_decimal_decoder.sld_design_entry.sci b/CH6/CH6-1/db/BCD_to_decimal_decoder.sld_design_entry.sci deleted file mode 100644 index 7ef0f30be882876688803abb40a778e34dcc858e..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 276 zcmWe(U|?9w%?KnJ7_xxS7)Xbu78Pga=P4K(>lx}9C^(g7=Al+yu z8Y={QDTJpcDY&L&mH?I7f%P*m)IsU}P#Q#uKn!Q7U`$F>VEe!z`q!OVp%x_b|36SH zEg{7tAtB+1@%Agz1U-xy4b27Et*eji-oaMA>$jod35K5r3_-$-M?UJ!th~8&=f|U} znqgs{okwS$bVv(Ki`(T}XUgnYT`xlQNzkeg~ zNS;M7d_yu@blSiB8lul6G8d#O9RI<-_k~@2X_lTKVfY$f^JT0|3wA BTbKX< diff --git a/CH6/CH6-1/db/BCD_to_decimal_decoder.sld_design_entry_dsc.sci b/CH6/CH6-1/db/BCD_to_decimal_decoder.sld_design_entry_dsc.sci deleted file mode 100644 index 7ef0f30be882876688803abb40a778e34dcc858e..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 276 zcmWe(U|?9w%?KnJ7_xxS7)Xbu78Pga=P4K(>lx}9C^(g7=Al+yu z8Y={QDTJpcDY&L&mH?I7f%P*m)IsU}P#Q#uKn!Q7U`$F>VEe!z`q!OVp%x_b|36SH zEg{7tAtB+1@%Agz1U-xy4b27Et*eji-oaMA>$jod35K5r3_-$-M?UJ!th~8&=f|U} znqgs{okwS$bVv(Ki`(T}XUgnYT`xlQNzkeg~ zNS;M7d_yu@blSiB8lul6G8d#O9RI<-_k~@2X_lTKVfY$f^JT0|3wA BTbKX< diff --git a/CH6/CH6-1/db/BCD_to_decimal_decoder.smart_action.txt b/CH6/CH6-1/db/BCD_to_decimal_decoder.smart_action.txt deleted file mode 100644 index c8e8a135..00000000 --- a/CH6/CH6-1/db/BCD_to_decimal_decoder.smart_action.txt +++ /dev/null @@ -1 +0,0 @@ -DONE diff --git a/CH6/CH6-1/db/BCD_to_decimal_decoder.sta.qmsg b/CH6/CH6-1/db/BCD_to_decimal_decoder.sta.qmsg deleted file mode 100644 index b8f5a7ff..00000000 --- a/CH6/CH6-1/db/BCD_to_decimal_decoder.sta.qmsg +++ /dev/null @@ -1,49 +0,0 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1571325901221 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 32-bit " "Running Quartus II 32-bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition " "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1571325901223 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Oct 17 23:25:00 2019 " "Processing started: Thu Oct 17 23:25:00 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1571325901223 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1571325901223 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta BCD_to_decimal_decoder -c BCD_to_decimal_decoder " "Command: quartus_sta BCD_to_decimal_decoder -c BCD_to_decimal_decoder" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1571325901224 ""} -{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1571325901288 ""} -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1571325901499 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Core supply voltage 1.2V " "Core supply voltage is 1.2V" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1571325901503 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1571325901616 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1571325901616 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "BCD_to_decimal_decoder.sdc " "Synopsys Design Constraints File file not found: 'BCD_to_decimal_decoder.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1571325901919 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1571325901920 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Quartus II" 0 -1 1571325901922 ""} -{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Quartus II" 0 -1 1571325901923 ""} -{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Quartus II" 0 -1 1571325901924 ""} -{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Quartus II" 0 -1 1571325901924 ""} -{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1571325901926 ""} -{ "Info" "ISTA_NO_CLOCKS_TO_REPORT" "" "No clocks to report" { } { } 0 332159 "No clocks to report" 0 0 "Quartus II" 0 -1 1571325901937 ""} -{ "Info" "0" "" "Analyzing Slow 1200mV 85C Model" { } { } 0 0 "Analyzing Slow 1200mV 85C Model" 0 0 "Quartus II" 0 0 1571325901938 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "fmax " "No fmax paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571325901940 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571325901945 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571325901946 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571325901947 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571325901948 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571325901949 ""} -{ "Info" "0" "" "Analyzing Slow 1200mV 0C Model" { } { } 0 0 "Analyzing Slow 1200mV 0C Model" 0 0 "Quartus II" 0 0 1571325901960 ""} -{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1571325902011 ""} -{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1571325902911 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1571325902943 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Quartus II" 0 -1 1571325902943 ""} -{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Quartus II" 0 -1 1571325902944 ""} -{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Quartus II" 0 -1 1571325902944 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "fmax " "No fmax paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571325902944 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571325902947 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571325902948 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571325902950 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571325902951 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571325902952 ""} -{ "Info" "0" "" "Analyzing Fast 1200mV 0C Model" { } { } 0 0 "Analyzing Fast 1200mV 0C Model" 0 0 "Quartus II" 0 0 1571325902959 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1571325903104 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Quartus II" 0 -1 1571325903105 ""} -{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Quartus II" 0 -1 1571325903105 ""} -{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Quartus II" 0 -1 1571325903105 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571325903107 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571325903109 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571325903110 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571325903112 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571325903113 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1571325903314 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1571325903314 ""} -{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 5 s Quartus II 32-bit " "Quartus II 32-bit TimeQuest Timing Analyzer was successful. 0 errors, 5 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "373 " "Peak virtual memory: 373 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1571325903359 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Oct 17 23:25:03 2019 " "Processing ended: Thu Oct 17 23:25:03 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1571325903359 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1571325903359 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:03 " "Total CPU time (on all processors): 00:00:03" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1571325903359 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1571325903359 ""} diff --git a/CH6/CH6-1/db/BCD_to_decimal_decoder.sta.rdb b/CH6/CH6-1/db/BCD_to_decimal_decoder.sta.rdb deleted file mode 100644 index 8101d1a3dfe453239b5bd7ecd36f4dd5b21f7286..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 10221 zcmX9^1yEc)7X`}V&f*jncb8J6#ogU?af+29g;Lzz-QB%7rRbu?SzMMDFYbkZ`~CA~ z@{;?K%uVjexhFFZh=71li-`y?5fB{U49PHt8Cof7|F8_RGAKKr2*L}mWe|B%F{NE4%DZj(7c$g9Uq&htxzy0*(=^44N z^-~^9!<`%#n#@meMbLRfb@UQD=z9RO6c!R2Uk>+uqu;t(>%3Itkb8pD3?^^x@eo!h zcN3H!p~x@2WXYZ;NXtW}a+%bBpNXwH!mc#8eauKvRvt_xk{R!t;yWz3w zzM>b2FGnvn;(eQo(?quV<>`tgdvMMvCIrcf4z&aFac~ziZK@d}YQ+?6!E41d=Mlox z?)yU>K}4Y(Vz1b}ap%f?iv9bG@E<0w@92V=W#x0;gW0qIj^G);Q8wo9&ilNAJ5cr$ z>>c)lX8)D!_#`(*P1;TK7){xoq^cx8flS6`=vmO@uaSJFo|q3{mU5IB%1NQ^9*;F@ zG-r85hU|QdtYtbpA(ZepIKM}lH$1ot2c2#`vgoz%REBOx>@qrt_26-O%bG*io=4o`^7*yfg(?0_vW4m!SM zqeYW0f9}?OOzh726aA_6C5yL@U*VxdEDq4$QR*Vd8}n_%?*g#!o!GfQ_jp=Nh&Oykw|&v!h4o%n3_ApMS${p6ot@X%6=u7GgbSy2;`CVM- z4LudpK(U*sVuos;s?+Br-pmLs#SYe^sQ9nJ$l(K5IXaFS{0}LgvV4!-R@B1U|GYk0 za#bQ*`)S5I;gKJa9t#eVOSgErXIMsD3ns;pA^5_=iM~hBVk*}(LXX_zp_sOGrNg)H zC;mDin7Z4dvM^=2>+6!9Kbks3>EZ+9mq$0^>8T`otLb)-u`DQESkv@Dq1(%?bM-5J zye~I5uGhOnqT%(Y_EqccCetCs!NOlInEpNb;z;+Fxi#B9Fs>$Qx!dMx4X)l`B zpI~sY{@qHeH=Z|jjB_y%Pv#jfbNgyKVl-gz1wf^gCbqdMGN|KEI%Lzc5%XcX>D}Al z6RzK{xL2wg5ow~nV3AfBzCRe4OBfOLJ9B#JFRTFNpm&3gu*-VxLD{C?C+PWv_`Nmu z(kyQ9jX)>`B0xEqu!H*3@tK~ssy``E&DJa?tuOZMy zc`McZ>J?xl;;feX@+U5Ox7FXiXNM0bQwD-hFiQik>X%=`u1? z_5D_se90BRb#Bk-4PU3Ct-rY&I-BYCqPDq1va^}B@Z5cO zkFY0A8q)U#?jwd*yT3KM<=k*BE48_u(7(1_WjeRx?rtBtI~RSYB*#OFQQs)0R2EE_ z=@2GwP-aoX2T||ZZND8l;LnYJTlaePf$iT6PsuIC&9Qh) zcbnz<$sj%yOxJzG?R>oL`u2XsLWG(pF{$t)Z=iXBSIWe&5t)cud4l0auIPKEVXUMS z9GbE6RK>mG+*rrtb~O{8;*b>>o8|bh0a262ZtKlKuW5ao=WW)vegXr+e(dMI3Lq6x zFx%A~v0imL66^s2fqVeQmZMOgQ!i{?~zRriOgR_Po>fy{{Eo1QxOzzRxidvH4`7J z=o5^@FCAfmMX{vFz41n3lg(||4SAT57nWMWZs5mZij(~W;N%bQA))XE@?CDW z@K^~V?=i%++_tZK=}+8&fU)@#4|=sIB(i#%iyihC*KyZOnmdP$hKZ{ne(uV+B|X@X zLtJbtT#0K*NWm3@M!SN-7^^PXMU;Rb@ftEALOD5$Zfh7iPF>aPcH;MQddj)c0a!@n zR*RK5bKyogm)yOcm^5Q`Pcq%Rkr0u(>pf;s%GS&SSHtcQx>3pe;7w?vI^>>}-fxHb@G!@Wn$`$Hd7FaLzx|l~q1|_^ENG33*BDE;;^hU}1bIf< z@#yL5@sbk!*w{3F)8Ta?5`|r?ZGbO-Ev&PyC0#g2ttgGaUw{YC0NYmc$ci>EQskpMuO1}rJu@EHs?vHRZy(`HONf(Wjf6~Ah19s;I{;tXTZ!|R#;0uW(t?26dirshJh z_0a=yDz4GrpaulOE2K@0NUC1;>(s7^Zt^T^V&w| zt;i8Egc4u3%M10>jgnk=XhdIE>53o1oL!GkCh`>)d%kf^U4W5L5bda zy#dzhQFI17_0ExkHiagM;C}FSjcxV@cdTw4iVC#1@`n%#9rJs((jg%cP z+N0*=b^(98(Gs8jL@R^^6GGELs~iq*XWzGnTxmQYHK!ulysYx3M6W5~I}^1~t}jS- zQsWM1yr*m|zoc;*bzzCYUfA|_do7!MX${*;LQ1a~e|Ru#yla;_EO`5#YD+n;#DY{Q zuA8ghnMCX79h11#h1_p$3hM2b2HE#vO#OCSJj&jlG;)tD@xpfE`bmOKNt_aV>VZxnV4K!Ji*S#94|71LI6HOARs?+gjF&r|-MH`Cl85C|0D z1X3=!X2JgKTKZV!5FSZlV7zL(LpckU#OFGm^u9l8thC6l3beT*G|a9qz!ROR9JSmakjUU z*h;d&8DFxqpTRvtjYi?QA7)C0zLRaPZFPt;t%4mMxs2s7JPslyYZ53b+{rQ^9y3s2 zuig+oLBx#hcXI%yZ1tt=@YQa)Xa_4H8qu43s~%!f-10qjtI7LOfwQ`ZIxjd4Q+qZe%li zOR0mjR_yECP144&!B-}8uKFz%brz_UOBUsiU~}5*Z6PJqa(=6@nV5ru+cGk6kJg-I zhh0f%Fad6Y(|w?0Pw#u760JC=fNa>0@%C!4Bnx`S?{@b?@!3e~*k8%H!$Y%ll%@Ub zIC*u(BI(G9uIam441}h9?AwBxq!TI@+$6GT(Z4Z*G0DfiH)$_vnwV*sC?&t7J>^+2 znF%zopIC30xytn`x%n&Y81wdmpWX<^Su889lv!n3Ye%1eT{HE_^wQj{{}rWC9K9xW zlMTu4mi7mT4y`1GewI7j2y%_1C)qI>Cd|b^e!p#=U!1E<@ykr>QsB zUOntE9etywqg47PupXq&Wh$&+bgUw+hW}4*y6)#sp6O$TtVf5l&7;w2v)6L0Cft+5 zy_+rT#3o}y#Vyromse&dvM|~B^Bcm)J;^#0;#sVN&3vD&xvyHW5oPM-wmOnoH39++ttRs zzd)&8WLr=iJe_XrVXtm;SPuGBuDiLNk0AWS@c6-ff+|97F`|39jM5C(n+L>ygPg4% zwfg=?{+aBl@M`bf(-h+7l;PSl)$_5*))D0{vi7_AH`azG2-i;3qP2f?j8J1$t>U?a z%#7$9U^Yij0M?GtT$BPF6n%gT(rnt+aJpFCHq=yDxGeTwhS$*Q%86Ua6Xz_J@LaNI zus9uYkVGA<*@WQzXzI@_11>+g%b$=NIqXvXnr}8Y6@EvB=jj5NmgQ-?c&*f?L8+L} z{as?y?=$7sp*Mt5_Xi!c(X-ktq269GUoRxgE=*Jg_q@)-1+nqoYH=7)FZM(a}vkgG;_%$(p7Dyu5)|OL}m9f79#f5y`sP2afg-U zWq?nk)Lswyc#Hjw6i9;^hW{mWm!`KsDj%}rmwKYYo~}(=epN~OXoMAg`O`HaSFXF~ z0%+7o9;!gbJs8*rfrI5y6U|Qkf_$faE*M^Ki>!B)9FSmL8f>TSVGQ5t7 z(>0-rg8t0+DOr4apN~=~BFh%_DJ7qp^cENP#WDdXs`#8qpQo!A9h}vrW8VsU)#;>H z)HY9@I|bkc*619xmHNyaTxSM2X?GQglT^LLZC zGkBx`+r>58)~;g1bR^5W5@;Xv(QzP_LkO|e)AXxH1hb{1yLAD_E48{V3P=KVnBcP( zol3bT1&_~P7!~d0n(RnMtGnbkE5?2S({t0nfy18y>CsbqO%6OnjdPQeJXm8pQ?!vf zAPkc83Zsi!AL$qbceI29LEvtF@P#rpXs6S@{gI8;sTmd%n z2i+fkkbLMPsuotlZoaXed{&QBrt(n+ipORR9jlHL8mH4{iZ?C45%FADNv(1Kkc`^p z=$0B9F+)|fnkK7!2$+4ezbV8L3--w&AxaFV&J~Q~$X{cWRGn;x@A5*Nb*)t;%)gxy z?f>(~-IKO>0ThO^_B-YU!xb>h-Kmwmj zBRK28zVL7F7q`G}8wQZP=YXBRyyv)*ocLprbu>fv58Q#BRt=hXA5L}krq$F=Ugn_h zo=b$rB%?|o_eGr=P~f3NAb+(k2>QV~3!q+msBx+ZW1-t~(h+6_X(Dr$j9L|qlCi`y zb5cx(0)1pnlGOR=TTRe~M87#uL6DJeOW8BO*Rqxu0oDOcSQc_JXu>`32oqY%oNP%( z3AVB@>ODNa$cpDGG9`(-ya}k+b3an^5MYgsV;a?7FnMxyUFUQ1FV?)?`&s8uJgYmo zh2+D4PEb`Q#E+NkeP9fdIyg#gyKv6Jfn%dWuT;KETp|^*1fw5(Gs+jinJ`#>b*)%_ zy70ZUqL9{=gt>y(ylbbjpkgnagEOJf@>;R_V7n8z5XF3P$jJ(ICa?Wj0qd36?F5ps zzM|3a)GS}J)s`eIVu@rdc)Z(Y_Czu7nk@dj>IjcKpLtMMVpPkZbu*cV8ly}TeSfC& zIG>*90(olLAxamJOte-n%YS4BP?g2GR#G9}WTY~HRJ1_Lm8+!jlPn>Mv_$jL7wH!g z1UX5r_tLd`QQOHQkR9U8x!76-H4yaC20=Oa0>Td~U6ly;HDQo&yd2LZ?pzfF9{|Bm zDw1PB(uesDjmq#{2Eq#UrdnM`L1InVL4s3XoW(h4I&rYo2doKWz!T@#BLR$8u^zIZ zBoVMRKc1&fv49)}2JW(fWC9?r9sGTyy)Pkcd&4mf(rK1>mf(bMn(|#8K34D=9iV%Ra zt(zK%8QK6I+gB_olj~4QWD-gt3`~Ox$P^Ii8Yau-ZOIqJ(Omp&b^SJujInYo%g_{P z=>L1EHp_M%pmpfEAj}b;&Y8e8R^;${4;A2S&b|mpO5%9iY*zwY({$fPGMJJ7_G>{q znm2W!rX^*_7d2fC)x9_V*VI1d?{Ko-t4I!1x5(Y_JF$Mf>*bFRDa5`hF>1|x&mmtM z*8B#!3*8NZ#JCVuCfq`#{CaVyVV@ZTHnqOpqB7n6dVBMh()>{7T?v#l4|Q{v%z0PD zU@m{)MM#1O@ zR(DcdxyhK^h)rsZcWBL3kSb+L9PIHFZ%0HL3p($Dnw3{>lB~y@YZyt5nw)&n6uz4L zdyyf^+mEL;Tg(0_0z=)|lX>(jGsfA0bKIo4@mQ}>M~UgZ=Wc%{ z&T@9T{mdly*fs4{Z6R^Rdc1zu80dLscYC%=7a`yu4k$W7^nPQj1=c$M=XabU5L z7*S(GB}I`!@!e2FsKcJ6=2QthT^K7njB2~!Fh1a+HmHJYtWK3=sVWzVa#l1}402^IZJ>;7oY^QWt1i_2KV8P3uA08LeGkjUJ_iD1#DS)kgaNh#4z7wuY5Kz()Vb`tH& zUmOzVeJs1!P8#zDU+e>YfpIvg22{TM+CI!F`b&E)7>Wr7#=OZTJ|OSRs+!wDB9iyi z>47qO&udqg2?TPg|0DyA37BaVFu$p2xsBE3D~tM0XC3O%|Db#!Upw}Zh4)7eqbb96 zku3#Uy`-S^Yk0DQ#LYL+K#I>EWSbqR(2G!N%Oo7S2=u|qz!Nf2)+8ru4rG~=vkP6q z(PZ&NzOO#&c%-3AM%m&3K@sZW1aU>Unq9B*xu9W=Ql{z`2_y#CFbGeNFtG}BkwjZ8oM4-$ZZ zVmrKJt=Gg}P&EGd-@Z5-0c14R`5>GL=>hIVne%srHI>vR?f?A#3XwtBV>FIV#e^-G zBo$=Pa%PXA6TR}GN^vJYRWkPctA}MARZON-zCwHb(2NO|ro%J8RtSds(rJz)5Z0-0H3890 z1Qu#-s5BerqmO1C61KxrBh9Kk+3jZ$yZnhNgM;em4G+m&q7o$yb?bx;zd3Yv93$mq z+Ho=u?PABNeZKzUs?Yf&rK8m%rKs`Re38c;Tr=(Pw8`u3ruUX7*EAoW9)&wq7ysv% zCs#kz_pMWls<=@TsyI7>d+ncu|G^3`ht&;V$FWr`c>f=8Ke#DpqH4nB7BpApPcUd$ zFK_Z8^uz^M7kUGGh5_F=`52@M29EPPYj$%L#+JFD69=o zf$OjmWDKt~m8kB{=wbWnTW(}^o%>bGs1k7Wao`7O4^>11t=%}zc&u#f-ECAFPIZ$W z&toKL(n;St7}8$Q7Q9+JsBXJtTXbWx7h?j4@o5Ew9zsSGZf{IdA>X7kk{M!Cy|XU zR|ZpUF*NF7Fv0@1KpQBqrC|L{L*jrLd81FV(wBN9UM$@Ss`I5)4@Wyq2jT9V&86Vz zt7{nvE=iV!f?iDR0$zbVGhlqs4Fs0Bhehn17eHpT$7LLakt1Q0DG#CBV~q9zS1POA zQ~e(!2dPG&sMFo>V9XLdFGXC52Gmf;D(Xr%6Ofvtj7gOPucWb{PK*W?7;vWZ!r+su zB`&DFe4q(d@EoVMZwEy82Tv6k*n7qPqIcOlhu;@4K34f3 zo9khp%0dxIMw5>Ha+Kh4(pDG^b;sm{YnE@vy$Z18LnNY*xA(-#(x?wL7Vm9kJKz#3 zRx1d4*y?1WRWyoxVJOw&rGsq{IqtVuOIq>WMkMcBV>=Tb*2lB77BcUCjLOo`p?^&C zVfAK!HdjlVSJS3{FVUizhTg%8{2#mgVxjnlm|Dsn_<==Mm%8>h1{pOioOS+VniCO+ zgbe_k-Y!pkvbHZ_lmF`0Mv*vJTGhR6j0ph~u243Gh9c)vjNnL7okB}E!kk$+h=4K< z4|Sw)R=RBTl`!`@=fskLgfXvb&0~Kp3>Ysin3UzK^x>7zFb`S+V5~TiXEgoTY@;rN z)6R^%)>}q+JTRj&Ut?|APpAl%BRGVJ)w0OxVpomH><3+EhVXk`^9N$x0uXOFs<1;O zJ`12cc)s#>8nftRS|JF^7!|4J?x1yLP^6!rj|2dX+s*xyW}alpn?hq;H3~%LiwK+2Mx7E)SR;yl2(X&Z6#R}pmWU4YKxw(pr7>RysaMu9{wy6u+bXp1|D3DkT3y=7 z#hIYN?KyMlbBMGM1r>;M7KLt3e{v3A!Wa=yh#&}W+LhC)KX1y8(;{AI(S!R&;}E6 zJ2}|=+nb=a&Z_2dx^bJ|Mk+^ex?Q?4b|r=F zY(BueBEf94MRC4F_a6-`6kKq_h(I8_BYtHEI{fC23|5NlHWFZ=PQlnIlGKHthDiGR zwd+;vt$seD@kop`3f5x4$#BuSB{o+ew(?(9%*X*avb>o_JW+aWmKhRo(;WW%x3m{rdpc^7FXjc-sEt(JJ^iu>6CLvXZ z`LnV{H0>G{$>4@#nf<+@_7BLJM%kUS@;lHvk*Q&A^mFLtNS1q43p_dg4U^KOwRK^7 zkN;))!cADU*+XS4T2yuJKv}wOT{sQYt*&L^z zVzXr|dkAkoDMRO3RhBa2!anO1Qb-`*(BVb#k6pjtkU+%G-u_Ct2>2juQa$hJ&}?%k zzAJNN)AYJ^K`fJTv+eUM`?mAH`hL%H422&mX_bYSPAD|Qrs)G#YeQ~;aH%6U(JL_F zE4^+TDdFIM&Ow{{CX^D3F5N(IXl2DHiF^Y%3{-~#UB0m#s-sfYmlEA2eMUv8DvgP4 z!MblH78s$@aqK80Bxaj^!%X-Yw~T}KF=U4RXrmfhUai2ggidE@V!%{qb1fOSdig-kBf%#aCEv$ z0W&s5)yF;+nNI!r0n=N@Kx zeX5OCH#sP_RQlBU$t>4$zhXx)>ZG^vdBA;hzFYq(M0RHVOwTceO`!rxJ47*L6l-o0 zVptOG;%K@^+flaV`-jz%mNF*TW4`s+TD$8I#X3lTN#%L2hm%o%(zkw*Q7=WiO8Q+5 z`LnR8d3PJ-#Oq)ep|O5rp3ly4!M(V>DZw4-4@4(rkcN5I4;O45G6ZRLxwm54UL_l& zA5yptTWwC)ONku!SkT%70hVP$MZQyK(Sc}u%#bSVZ+s%YGsi^+>(vVPDGP*}Fotd)}QaEu#_${DMy z?_oEtAk?kn>CFMc$p3?eWhW{Peh&4Tzs0!T|XCpSli1emFcVnQu&)ib7f z+<4{D(J&=b@7p^?9>jGTd2@)qv&UuiL*>kv^M9vzVa$*6bsVY?VQlf$k^%w6Vl z55*uZP)Ou!meR$3d zAHk9r@l(jGh?(e@ywV1)@(JbnzM|R!xKfDfp^agm$S4v}I*6Q^*K((NIsg5F_ww>T DlCpz*000233jqKC0001L0BQg;00011WpZ4R5F*Y(F zF)%MOGcPhQF*6`jN+4HdVjx9iX>@6CZUa96000000P_t1000000Cold0000000000 z03;Cr000000C)lHn+KRx#TkY#9TX9XAc?4pf&~Rdk*2aBi=hN#!xj)!NHmm1)I5ku zSo6dZ4M>eG1=QFzf;EZ)7K#Lo8jJ`kiI@QPLJ^Rg_dDnR@19-ea__QYh}n6bdA^x% zX1@9VnK^T3&e^S*N~M|*kMCYI@RH(zgNK}d;qY^Z35y308C9Q>9w!~uy>0sw+I4K# zy?q1n3jK+DMyXV)sbMHTZTGl*(8uZYjw+X~^xckZSib+Ndhx_nbX-(FG_T(@{wMg^ zf|yRH$EH#xg-XYvDqS@WRcoMX4OFdxuWJqHyy2k+iOp=v%AZZFrUaoDag*_PgU;86 z-)Q{Fq@Axr`U~S{Sp8~UArnD$71OiR>AK(stFQiDryl%z<4?8vKx!A_I^!R*`rFdq zmHKO~zWQ-}oxwggz8`7lcO(6o@sC*jZRzXm_NmpEp6hT#OMPPe843M{q&Zea+0mByb$+Wp#x^gG5s z2|B+o{67Oa`@#Psz!$);2=F=&EH}OnY1eN_dYSQaK<5vHenf2c2&N|4KmTaQK%4{1Nbf4e;&Y|6+VM(yo6r=|#rB06O0uUU@)g zs0`nM{K5d=5q?2{KNkKuj zeh<=GPe3#e&TB572I0ea(KGU3fIkiXKI65PTwi<6y~e+l&^aCco`8<_5beJ}nSReCe^-Fl9)5@M+GEvk z^{%PZS>T<<3&n4lolgG%{G;*7{eJ-bZN^t8?K=AHxZQZ6>zo6BtMO_pUSSY%T0mzY z{B+}mu5&K@ABn~u(cA-^;k?&}^T+}|Xh z^f4Q+bCK$)pARf8-I_%4HIyN^ent8E*7j4hlR8OzzMard^7+`W^O)*2CcbNF_2YKB z-HsLIeQf=_<~m6`o6}#27nh6wm)Wc{-kUqX{w8L_3baWE?Kvb1pO5`IXR2OH;*uR; z|K4VspC3cx*|rk)FE^WYPFTDH>@P6e{2Usxzf&db|GU|IG#bgXaz)p9w)&b^+3e@p zkp0~%VgD;;zs|riXT4_ zLVPxz7ZDHDKdOQ{dTv8H+H*pDHhZ+rL-jSkAwHXq?#rS2+P^}4Hl5e9=p0%>9qr4Z ze)XFY;ANa&cZ$W7!O-Uiq-I zak2-~qvh~*r8V9<`W#QxmRmaq&cr^|Q`C`C+e$v|^jbyrlGi!1nb4k;e3m`CN30$S ztpdFijvpyKD)IVInN~z;nO;xES4fo=lqp+5S$&vTrA4MpR}QVMxIgu-5clA@SkrT* zDq^bI{cnzo=3SQ8BgPj`t(pH$?!d@~c-6%gbb-;j){B!D#rMLm3ysi_`RR;r@1(jH3NsfW^bvcIek zrM9StQd`u6%1V7uS)5bZ`^0#Z)J|9NkPn(o^%s|^okpT`bW2C6Y|XNEYRq&QFKwsS z2ciDvQS0BCnzgL=xZU>V2B9*Ts8@{&!-yk^8jI1yY@vh##cc)caPr)r1I?ReOZ&5P zB%_cocLcT7R=TGUA3#re=SW6jXXj+3#z%f@JqSH2?_q)xUO`LN7muSjg)$X=HFzbV zIEAW;(NH9ZCOAAIbxPT)&>vu zJN%Ly`q$>r*Zd!e@AL7yGq@OR0WJX#1K$SQSb33Q0jP3alk_E5^Smc%+>PcGGo!iw z64Vz`g>3VG1AeTdL1V`2Jp?9@ABkTR@wXU$Gx?h2eg3W@KZd-|UvJtyO1ldg{~H+p zd9>?HyQT04!8fG-$J9Rt{(1P@7{39G-(2`Yc=@k2tHYeGo}z4AeaC-M7& z@?#58<=SfW9H>nGBOCes4yZ31s;e*73huwwymmYt=5%G7gdOtV*T4He0eeTnZ=$>w zcJ6{d>+t7F@|TmphVs#r_kw>6{sNr1G2#E~@CU>1LH&=Y-nPs^JEI?Y>Xnc$A^&sy`33oo__+W-&%pn?@&6I{KJW|R zJ0|?^0KX94{eK#rgg*lR`H6^p&>GNu%YVJr)PARYD{v9m4%AxF-u61Ex70Ji$~^z>e^cyTg}oE8 zs~EecVDB*O-AKMRdEfti|MUI7C+!}mov;7rX?G0meEm0~{fD&o^?wJ>oz41x2EGft z_W#rHL%(B#Kt10*z=y$;L7i3f*KXP$t`JbLx|1ZI}h4=aQ^?x`1pMn2=evtp} zug-UW!k-SH{sONzNEhkXh>b|oEc%_(`A++|_WIeREA#yO{^!rXuYW)PeTly{@Ym=6 zYVwzn_xbnV|DpIl75~ehzkL5Ie}0;UP7yk|u+1=wA3=?QDlw!{Nak;1lf0J=WGIJ* zso-(ov*4-V{h&3;3iER4Kg|OCDG%s0273@V2%H994qgdn6}E!Aa_Fx{zZji)=ye8% zgOfq;FPDCO^cUOs9A%Z0`TfyfiiJZ6DS!Bt>u@YOuVryz%ZOZ1;ar$2hPgKfZM zf4S^GAAPNF)oW#ylX>ZnM)y8+PXkAQlfa2!F_=q#W{&ZH8U3Fn#(N;+Kb?HO^E*6; z{Y%-vpWwjOm*@N;uoE~REClC*x$JL}WBm8Q{>6+#FUI3Buo<`#Yzw}W$M~Gbb34S0 z@V|yk<{R^j{tBI|&>I7u1KtV_1E=JnpUc0haz@}m7M)^rGf9j{_1e`~CJI|aI+cz| zt718;xqW1{RI)$Trg|U>GW}T(NhsUS%(dpUjO|P|uqtKSWg1w`?Cr29VVQ|#y3My; z{{98~%a~ii{xarfZ)f9D*1$acE8ET*8SA`X&@7HJl9b(Ly*vG2OYnYoUY=!&L_Dh zWOPhRa{BV*|ES}nNnZjVOMGap?cU=wLxBT}ec0<;YklimYqpmZkZ>U(+Ye`~0zwFUk_s@_ z80I#0Ei>*Gl0LW?Sn)oB1K@=8gCRfcHZz##zj?xl9>k z`!l#xIXLq#T*+RVqedvFxl&PPp?7fMwk)HX%fprl9j3RIhY)%PtQ-uRGpMDFmgf;^ zm+pV495_Il0P*hgu?;0`nT$XcDukBDn_qs1OCeN)^aeE>loI?x4ok!;^N^|sK(d6J z1+Cf(Nvse!C`c|Z_T5tgDU#@}7NwCOe&9550g|b74cUaRmig%~YAJEd8L@bbF^fk- zPA&Na_m3;rrxdPB;v5=+-K7qXn!3YwG|q(85!|qnrR%3r!e+1<876n0>(sL9ciDj# z3sizlr6!ZtQdh=2K(j&2bj?373l4#3gA-|*VI8VDE;kLXxh#rjgpM|feL3kFDp3JS zYaN0t(~fYLaT9n)4+-dp)rqzLK^a1uNHt)#e$G5qDzr)(G0Wn*#qGXWPkz5p zQL0gg@-T~WC??sok$Qm6&%OVqpo$IT9-*M2rMY^T#<|5p?V{C-cVGQd;10>gGKT>> znoUR+I4laBYkggyVp?PjiY}%T*5YBsr$Y=C5aNd+s3td(sT)%_`DA*`=NrG2yyb`a z9|a3|$T;}B%U09)cou=FU6rj$8l8FTq#oKGTz{A7t^4cxJme7Dgk#MaOSj0P-bh?s z^+w{#iW&5X@oskYY@m?XK&{M!?_>scgnWeS6OlnL90G=O$oY@qOnXJi?aNDI0P*8Di-+}*0RR8D6Y>85 diff --git a/CH6/CH6-1/db/BCD_to_decimal_decoder.tis_db_list.ddb b/CH6/CH6-1/db/BCD_to_decimal_decoder.tis_db_list.ddb deleted file mode 100644 index 33ec2f67ab22afad475c82dddaa37f73e977fea7..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 301 zcmWe(U|?9w%?KnJ7_xxS7)Xbu78Pga=P4K(>lx}9C^(g7=Al+yu z8Y={QDTJpcDY&L&mH?G%g7q^nv_t8uP#Q$(LJVi9U`$F(NJwBy5_rIpuB^%&}xjN?_Ixt^s4&3tNK^2^MPFn0I3OP(*OVf diff --git a/CH6/CH6-1/db/BCD_to_decimal_decoder.tiscmp.fast_1200mv_0c.ddb b/CH6/CH6-1/db/BCD_to_decimal_decoder.tiscmp.fast_1200mv_0c.ddb deleted file mode 100644 index 66d5c06850ebab35080dd166a15ac6ec7e343b0f..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 106380 zcmagFbx>SS&^8JLg1b8e2oPMdS=`;--QC@SL-62E(BSUDW%0$`-Ccs;&F}r*y5C>- z)>fU}nSRdGGCkdMs?Op-K|xg_Lqo1mP}YzS2jpAb%-O}t!JdMRgPDz)l|tCf%GQ*E z4Zu#p#>&FZ!NShU#zCPXO`&0COd)1!aLpj}5u-|5xKs|m#pf^jg6yG`%}e?zj&p| z{Mq!cTraRiDVSxo>Z#d&RodY!OmQF zDS5i`Ys`|P^MC!~Q&hkwP0^hq4YwLt%kAS156%JNXUP@M6>1BPb5~;$(xmS#XE0f9 zPjPKFxg{v*rK1fc%(G>)CE>0hu17HsZif~-j|Somf_$?d^#B#pa+a0{6?g`*MohgX zQoJVeq})fb?AbV56P1x6dlkK|JhVAP53bh)@KR-f|8XW-WnT)JyBm~$?E0v$a~NPb zK`6`6*BQ}_*P(|u#?K^<(vI}N-}mcFIOA2ULyU#FJkT}pEa%fizq?o5y-wdU_r!aP z3()Cv+XCORUrv)%>b2!JV*8oSv#HLvB)QSOVeX5=N&n3$vi-@riB`|ADGqg>VG=7+ zgxLy@O~yZC>H*`TF+w*lS&^o>(q57U*4nOmijc{fdBG?XWn|trM=+wrX?u9 z#bkN74@uJrRC?iI6SG0Sl>L?Y1Ry~yEt24w!ehU3&giAltc|)zuk_AP_j7~o;7(z( zZTx0N_`ItLGbBY_Ltt)B?veTN`Mjcb-k^=4;Bn$m{=B*Ae1hn~pN$&-CH|lt?ROFW;fsG#1)rHPZUroJWtl&KoxR=m2CBZRvmgaxzdBf;5-8%n3ju< zqcOyiv1S#cVOhHrpOw^Q?#}mNrcfHKvuO9{6ANYfQ{BmVn!p_CP#EmAb;=KB@105G zalmB3+{JUB+?g3CgF)?AOO=(M7e1AT39~b}&M)n#0pDDD;ci?Ana*ewJa(PmN1f;J zMV!+IK0d5u;Tt)UZR|MilTc!=zWde9J9sd~?uy^%qGs;g{qoZ%v4(x zD4%z7Osu8$VwB)mO7$ImY$J#3IN6P`3uP26$Im87aCbBB7*8nGIOR%O^3Ft__+0b# zu+%?F^*D=3#=l~&{q&3E*R1sKzy%u~&Pt|&zVH~L}PE~Q~<{FQmbi~OgSdNCqzYn|K=ekuD%%Hj!OO+*WC^YH>pyJM8 zS#&9l04D{o>w4ZP+PVe^q$_0~Jgd9|<n~DOyGmBO z^1n^6I=%b53$O-vWvsDetp%j5Ri~|WrCdp|=ghsIM3*rY9FLqIjGkMVKipV6~=5J$$HpMz4Pkt$#+ZdlsvEeyI0Je0Vo|h%&o;sCyo$fA+Xr zPO{;Nt|RyM+K|=nP%XmZIvI7M$zKYAWfA|X%bxg7_|)}Pk4l4OyGxeGN7R2!@+wB! zE!r>R4{_iQ?q~k>9D{F4zSxfQL%=TsP6**gTxeUqwxU+CB0$FA9dS2vLXvP(r|16_ zvGRc^v-X^cok>RUXIw~njrf3QTmMa}x%feTI%@rsq+)|8Ns14EA@y)a^=NvRh81?a zkJNF}N59Cp2lEYtH(PR4yN(E+d5a1Fj(;Zm!>xHp{%W6D2&Cy}dJenHBDzMywGn!x zdoF62&!7AIUM2L&rz@>o#)Mi@PHfhoVg|gt$TT+Jr)#Y^RMXmMZ15FKg!d~MSc%V2 z4R)%wZ!zS~=WuOBF8e=pC2moW?ufwlV>|f$b_aP^FNCdgb^xs24^Dh-{fbxF>H)@E zG~&$Hx6#WiAJGtwZx)tE8~p;l*57Z2J-S7OBS>RQD9*NU0Cw-|uYQzt~Zvy8oJQo5sSn!A+`x6`%ntVKa+W4C$4itQA zn-)8AXUTHkJkJVdcS*e$fiilp%4Zon=JUnqUUf}xD&pjaQCGMy+b3N&if6eFf7E?W zYK|IL;KR;tCOUHGKgw(S?&f){m)ZsRDd{&=RO>$*pKYZIl=|I|gKP{o=qteAXggHf zkK@xDyIAf_?UsX${O+IoL>kW=0xFyCJ7#QFy0DtSN9_U1Iu=jHRL#8aXUn+njHf2g zU>|{i()-Pj^v1q<&$^~#WTW@T%QAc$Y=hUrd(Z-T^{dB1&HIbtMYweU>5cYTS;r9n z2I9$0_hEYDH~;3pLu>b$N-IybHGcYfTSd$4xxUIeUSL}5E?zp@T&lNg<+ICni}~W% zfV!q(iX9VVrS#r7F8}iq^tpASYwt4`mEr~FMM_F39n2bl>Wov5{dSp0~L0vzS+ZJlLlCCSWISmyF)~ z#LK#0w0s2iRc_y3q_u{(BW0cutM)5xI@RK^`Brsrde#vTe)l+56K)O8xzj!)?kic4 z@8GEbZ}bt03yToJ|%cY-wvdw zf$VThuJ|1cw8LNMpz@c~VS!W;%`btBvENqq(2v|S_)}xoPnwTD%#e+{?z3+K z`@=`S?_iU4vH9hnb-IF6tK}UYUm8YyfnXAY=T@a7;tTuC^_#B7nRg$<`Y^keg`N-A z52tm?XYdz|a{!{-rLi#Gk0jyO1MXXYK_FQfS~uR5^*3{ir}S^+W4Bvus33ui_hr`^ zi{c^~+lLv~W>R~wUX7%_8Bj2s!~> zqqAFtbl~qFfjMvE>1U}g8()q%bpEXHyB6Wr{o#9lPRDNYleUSasgA8R@KbYh#UhWj zL3hZi>R4JnDn2uilsMzz-KoFu3H!NYLbk!`chZqPZD7}-&;9hXl0A#mGStiG=fD+B zyKN$x@9r+d+RS2PumEKrH2xwY^i|;d8WU;FiNbVqSEF9roIn~K{YtCOO3a!2d1VAmu# znC(pRjn8YIL-a?FUF)2$w{1`id!A!UV>(l9fV+EzSdvx#!-evuE)GLO zp5^}f_3$Qb7vA%C>!aQPl8l_o#>VNdO$14MCtBNq-B%0pg!BFzmukxnUOs#GYvfw| zJN55lRfsE!UQV`jr|+aD8x%ZL1|$N_XY)r2Ik{>24OcCnzi|{dxxCzec8L4CnVBfD>bA!~<*v6Q zeEgYvFxG2eH`|0~VZYPlv|ix^aS?j^Z{C`Ek8Z$Op!XxDMx`qe(=jAt-np|Mc|n<^ zto(l0E!Z;~B#lnq_fi|9w}kcbkX&j8+P{wpp9ORt++*IX#W%d4{>^0fD;5B{^rETa zku(cD7u|x|6{I9CTKu$Sm?!?CjGVxMD6`+w7m#D$Th?1{!`LiJc*wehp33P5L4p=5 zkNK3uCGac(=H7Fq1v4FB4tKJ@HYOIa}W-%B4b`&hsv&Nu3_t<(|%bkmX@VUjoYjv^5|~V z?kDr#C6kkcR9{dXz;iD@Xyry&)Cdi z4KDtj_TcFg|9Z)`8Sl}e57Th$T3scttEB%#d+5Z4Ga7t+dUn-r(-sb#kG;Gf8{>CK z18U@+{#wx%&!8`I@_GI!#rJOwa2#s6?X?KVviBSPcr?xdo-lPH?`E@HrFafHGFSoX zUAJ(|pq`UwS6yz@IQ(f_^gbFl;AP6bTEIN&B1=yjzV_RBi?y4H3eQgJtOJ`Ly`htk zH{4*EZuAZ6CQUS7w%nFt9?Ut}ZUAp;W2Pgkvvc(8t`2Wk`{c`Z1j(+0Jdf78;^jXP zyO(Kuo7neNeNda9cOtP4z-yUp5FmMffnO<3t?*WU+Fs8r3V71fJXnZbDPTK|V+Kxg@PppKa)uk;MguoFor44ja&)U}8Nw+~)4<&bF=F20jW;}G6~vD4-{^lh!T&%{r_0g@+>)dUP3BFvjmiq(B0WlYO zY_q;q%>7pl(&^4?%HWmemZ@kEo>u?mmZyKCx%Wj*u9U-?v*c4Q6+ZZ{t~Z@^>pY2o z-^$`GnBhE&pWX2F_NSHk%gcHB$MBtCeZ9`tTw3Otx8sde`}g6wD6>u{FsYuFmLo|6 z_^g=mEfKU*-Ky>lqGBi(K_ke>dA8{k2}XN`gP06+Eyy?`3$=M@l&wZNq{2VO^}`~Za`ui0(O?GL_rc@2J} z;M-xUFbi&nvKVsb|Eb#s@0lYn@jDXW7vJZn0K)aoDY?GoxR=$kbkx+Y-bu%oBlEMf zZ?79Q_mL}AQ~a-5D)0utnP_ybrlPdx*jfP!{Jwt=7>$RfRQu|X{JGELNVg1tJXcgm zGdEGwIFj^wMc(!h!9y@oshRH4JKz`p%G;PZ_+k%VAm{5~$>6v3{`?Pcv0%@D@mq2` zhGL&MqKxCz)Zvls*8>zZkV18f8MkW;OOZ?!0KjKlR(g?oVSY>j`t{_0cwKz%=sS01 z)70fPHF^JfK{ox?;~ZRfz5Mzw1=9r+ew}{req(6?-6!IZ#PaG&m`KRC z+X9BD@Nf=~MD5Vd>wV*^GjV*H{N6aTkV=}i_nL<+y9Noo#a4`L$EIhum(+7+SG!Yx z`hy>EyR1t;dk)zo+jzsQl!iZb{c+u5W(A?G-R`HNQcS z#$PurbTq^^T1?y7rZ9M*s|_z`(Crif-XhNE{Jul=#%JKYouE^=)0DO3zr;ms<~#A6 zX@v_!OFpW1$b5_1iDx{PsrMd%+%fc?#=b`YY)p0^-#3sjXqE%Kw{4?l&yhmZISOu% zers@BmAku~_+_Uhz;BYQV*}6V5pc$%V_-nM&c&qTLS(Wk>*Tw6*7duCW9x0XWv;q< zt?gQmaN?;IDptgIp5598vhT{V$Rk!_m@9bBw4A5tSsE44S%fcUzW+&rJLT)FfBIk( zkhmR(x9Ci_O^VmyBGa@&J?NF&VTV!F$`uHcGMnJQ585sq>;s!7l7? zddGzpj*~&i6Ev{#TO@~slcGFfmNt!>W-%OqlV?y_$bIt*9tPWCMZvnn8x*IDnX6Vh zu}67<^6LoPI2JBanj)gxILd;USjyOBOBGa0C(h)Zeo%UWmf%1mtR8|)I^F0ObtCxc zJ1$|UlI-gS@7pN2q$hekTc%h!I4GUIKV?uVbZ+-+Yb|gpYTs+cJbX{A`0&3^<849J z-8R=_T_zG?*HnOuUs?}f6oC0OB5Z)%6lC?mPES4fvRq0D@pM zBpJmgUo8jK!q*vUv*$dic@bPIVsjEJrtgmY(}#^e8hoxfNz}6iPKJMQ>hJnS9r8~d zuKj2zy6(w~oZP{+dUVvS7Usnt@$UH~S8WY@eFQEzywYrIm0=aVPt zUbbzw`}R8hw`Zq$#k&L(a&;={St0lD4h&Zm=Ig$aFOFIgnVY#ec%=)I08(PbbM1>W zFv)ql(5DUz;ZIMXZT8A+5?cC(Xo&6~s%e|2q_D@q9nT`)-&o${X=xubP3`+R+@#=H z#&cyz-E!_snV!qP1D>b%cRo6FzW(h2k$Cnez?1tgruFo>b6utdkDpmn!J`xZ*$af# z?dkw{zPbjxW-kvV1beJ`Kc9K5`bM1%qP#uzz?1*EfZXU!HwZWbkvZ>fCY(`8RCA;e z@Icq+c_Z@;OD}iJw*VEoEzzXqC#t#4a&kHhhF_+2oDA2SeV>u7_vn+0d26D za^MqtXwj6A)H7K2L5m7JDv@5*w#$@G3PEv&yz=0xn8RZ{qdp9no>@&EBP{gNm* zBg_RX*@#2=qjDeV8i$B(_0aL_gR%&Mi3iC8cwyL0C>x6UAmV*X+cK?z55g#E&q%*S z6&H1l0!v+c*a*-*3Nt}{|07C=`39PeNJXJ!gRe+Ox(7xWx)}NuWBIb{h0oALAQS^? zv4zhE&HkjuNIqzNAFW?JIns})v00rZA#4j&q`;P~yr7l+rQ9@-G|xD1B9i-I@e^6_%)1WLU5M}WJV?@?>n=!;pP=Bp#zDEKr z5SMNqyE%4~em+rC;Yp0IHk@0Ngl7_r%V!ZxeQqIgW!hW2b(q?I7Ux$Q9NBH(_( z`_U!TTBMAE6hj!s#<4p|Hlj8h>L3Io?I}<3Q_t!klnG!ryOeC~g5J3g|8Pp_BM}Ug zjs5}cbUo`3HYGI=PfXl+zagU74~ju^D1E6^KEO@XH|}JWNmjyk3AYk$$G4!eHWedT zJ`nfZaSd$fq3R%-81#dh%pJja;395;%D_3;lfuUYuii)1q15<610{SrtxU?KOk)Ur z3D-mhSEsDFL3QTH)5-PAjP^iEnG};63FM~g8bQ}GUrzcIob6LEp~cJx4>7;5&rv32 z`8k8<)&GISO1>~oQkVQNDeEn)E&3sk3sN2MUHpezRK~@#RF;8EhDw<{Ec}N% zR1Jm8R~cZN%i=En!K9|7VDf>Vs&k8L zWH3-i7nJLNwq-TNH#fgYHD3__!nBqrc4TRi&DWCWDpP-COMUn2C$gt>zHG63iRIok zLPj<)+<;%S_p@tckg)Kjn;5mNaB5z+(H8dMaUO$a1why;;a=99yhVjPRrP%olLYRL z^$RzFmz$aF}O=xf=!lAu}v`Tzfog zY*kxtBGj-*(F9)7q=`)1v99w+La0ccP^>^4+l4fe6~UNm7CitzRt-q7CI&I9xow(0HSoX&?%SpcB@-57}7c- ze>tUsOTJGaIuNz~t>hYcN@b+S0}X|CG9{NC6c{0qN&~z>G1M2TEYkz_FfJkpiF{Ao zNrk#SoPi(bFuMU#V%HZb?k6?RWLk{uJN*b1#)}xoU;QpzL9d0czDx(&kIJHUzpX8< zLGRB9`ixEGkCN|@hfJPht^csfD_^Ki;x`aWW-mwy!4y>U1a0^(-OLQq*7U?JdeCmO4i#H!nbpx??#qMMW)Qe z3=)wg{?!n9obmx>TNQPMqJXYeU)5bWaUM;_bOmH719s3tYp4&BrTQxwjHnJ~!NBk> zq=e0+nTDpMR=hJq=HbutGia(2k>VG&!p+WRVr-Ju*$o(NO!+GNh3K2};~2x1ftn>I*{kXlEBQne&wj8dyAf~9WZZUQFVnKhN zoL$Hu9=Fj;t1CZQD!r0ttrn*`>$?~amPAyO*P*^9T`b=7f+ZUuYH$anf+G<%h?hSN zGM^$SelyUd14O-+Yem~c4KA3}YgXSlGvZ_p^a7XkZ1ILs?iqQ2sNtpi(^9UwI%6-o z1LjkU(C=bJapT5DS*P;eWDyDW)<~t7YmCS1|DO zI7I$aE-O*mmTJnWf66$r=zmC~$3JPf$3hqj!~k++$jAfcwEYaD(h?(Uui}ZQ)2xstf zgeH;BEK&Cez|hx5aCA<(Qf-r#v!J>gbEPBAY;(f&Q=RBwnQ%(ldnycn%{Dw|g@;0$ zz%R2yI#@V64Vek z9mf?&Ey4{NAoyi5vX~T8dmn?jyG?Z`D>b6mXz&x(_-lCcT5}}YM!f-jcpG0y1ha7? zz@1Cop0T_YK{{LnTaOyg;GKcLMXE-3iXoo|5%42x@n(>f&L&nd|KU~23g8W1z6cE} zf^hzEHTU6x#db!B9e?3%>c&8hhY7w${%ZI|k04)H2A{+rWLmtnj_bKhz1utM>**Ak zB>0m|l$K<)El9JYIX8UTWfBm}DNH1r8gEWz)MzS+TR5#34HxPCfB~;6r2dqJ0fW=m zdo5%ZY4~dB5xEeG^?KTPNF3fokYyMLClhKU{7$dwYsaHmgRBI@<3X2Wb_RRU#q?SXrYvnbgGW5?ZH1 zPdj8?S{IGcz*skm5aVK)nCfg8l806(+`<^ISh^Uvh=0~Jb0%__G;q0L(+sT%`%Z^& zCnDg9F4~j91yn;y%bJYSDuC}+Jf~}u_FIuadP<4Ixm6^mABt2hJv8aw-LxfU$8d7A zLPG4t%*j{7qaKTj#o$EH_`Xiq&vHA+SKyTuzh-?#z{({`P9-x760Je<89KH9lzBin zz61L#N`4}+=6J&kf#t{bz)XQw)d>NS{Nmy*a4C5dVcx3_clM?n9(DFP7MyX|jD*i4 zi?IXlC|`e(yIBs&MQLyBH}a3~gq#k#Gd7vjl8VShoDnQ_Yq22CMgNba*T#+KW8Ws7 zq$6KEb}&PK)}o8_?x@$51LQ=XQBS;A^HFD)r7n!-8Y-9qmT6#*I}5|{0#?4_t>{w< z?rM#lQ>md!k*f$?9UJlEX4W zjMMkMClmP59E=7eT0kqgS(6_<_8#pMFe^j?1JRxI$c9M_6e14u>naw)&&tDFD2BQS zY5-1tnrAxa)BgQ+wRJ@rpXYO0M9`WGT&5AlKrEvACMyq7+@P7TjS{XgNL0O)^Wb== zs`AR&K1=NXmL9l8|!=+=SOnA z*YKKUOqx#;J8>%V}LUciCE#G9G>RzE?fRqBLxalR_-(!Pv-X44&dK6P>S>kt_E4 zD+D~BcN~@i#)^EJmk|N4PEyY9u2}UVpGOp;r~Y{zNs8@TV8&6r$Tkp5?-Nb|6ptgt zp8Jz$W-dX?#$%d)1OX624|)inrbTmUH(=sCr19oPaeOX+8i1(6TMXgEQDG7vM{4{L{0Wv5Pb0_BcY?6T2*yj{CT0`&>Oe0GSGe$V~eGi^=D5ZPVsj6LvhS|JTOy zkN@KJ&k2Zo71jxBgR#S!5Jyrh@*XS`gp5I^OI+@+qfE z{|^fwP%rT;Hm$+#N>8p_CRcWviF5Ms=v?YL8Du$Le(3d7gFiO9pE>a-8hP??M1x-W zhC9c|lQ0eN4=DF}Z?V;S(e5R-8CHx?YD>{YZP8*Q&qBPC-7edoUuG69-Q)5ZG%TB6~IKB z^T3(kTy6nZQU*b?m9<9^2!W41(aOIQp;Yl1X&le$)P!I5J`P znsV8TS6**~^acw*-$_%)$sF3-&X*_n@Vq@%&CT_~tMlT}itHQ|wC-QpJOhgnK7i?d zYR4~nH4{p%aFsh$$?ii!$d9uzQBbsWTy$O1TuYLCDk;G7^|;xr?JU?FuixrffKZhz zcj3u-3~X6J)WdmONWn5?<#*}UzYe@*)aD^Zj4}u=r$8+Yauq~Cysq7B_Mw}anBt;@ zRorPdMnj@`;_~_wVj6|OT@ZuOP!_}b;QXCN>0_UW__pwq5nb)WBfL+G_M~?fK?5J{ zeOBFr_R4u^bAXAR^&oWrdg^FaH?%*Y4K`t5upV7c28yT!Z3K^WdxjCnCS?vqGWbZC z5ZH6T8KP_vsk&?>B)gN1_d7T{#qPIZxjEJC*iXRTY9Empfh!6Q>6qrS2_@U22`2AY z-AV`(UE?|PX?7mMXQ&&xwxF>6e#6J50aqrc-=l@kjI3_Rnk#j|r*jXTWsP-c0P>dI8xdkR%w zhcYVq_|>fGQ8XYY16(#uG2cSNq7y320!jI`A?wY_E~xNH1FCI`AjtWMDrw$9 z+%oz@q`lW`74wHQlB_Ad514Vq$Q`W+bIbIZw(H4TWAuhUj~0LY+H_TdVhjqv5pB$u zqw}Moft>af3`(>{g~F6x-Z}6c6nQ$BTV7Q-f5MpwR0p;Hh)x!84*0d_D(m zPda>_dN`P4rg`$eeLZ~M{gB8g;5hHd*wUV8MmsiND;!YTXY5G~dR|9DLLc%rFnNvw z-=_MCZj|gd#Hp7&{hv`>=Y^XqZ`1L^PT4=gf{TMWzSsvo4a;@U4X!m*mmNq8PQu(}zAKLj9Tqjt!uKZn#>y{Gm4(sukXu_ms z`nUBTV$xsu0K%uxCskP+t~P|5{bZAx(yF_QACM9`=bL&u`7CgKXm?WQ->270S!`*f zYla!Vtt#I6HcbJGF2|%=8;LEJfM%*;j2NfgGE6Fb&}n=wi(J#V@u*Wq;AG^U4zO4` zG4*1b!H6LkkC0Ig${cL1K!XH=x7{j;AVIalI}K?`8dEO?@dZe^H)ZI}{+_!D!b6Nf z1rYyp_z{PgauMBS+mSgG+aeN9!< zc_C^}^}b*-Os!LinZ|61>>Y0Q>TYKTT1^ocy$$7BNDOJ!O(L({io=#l7EKcX{+j(2wWu zrVWc9equrl5bx$~CrcT<*e|Q+%nx&67KLe^V*91P&BQ8onteRKm-#;n^@p!2NV&D2 zEIt~;M-b9ep@$Ke4&g=HIlx1QQR;jn6xD7bRxAv>Z1>+yTmP3j0%DL+&QZ3g&0c6smRca`kv&a$6+)$_-8zR)Gh|Fa1aGpc_^P6qi4Ht^g;NB`&dwf489`?_9i z6LiUxsDDBFU#0u$>rxG2OhaC$*+T`ZipxBAp_QWPAhLLA+W&?83z4Oe0L5~)m$O>a>GNosC z=*NzvsR@gB1JoulB>T(kCZQk?2qOSvNaGHg1qdrP{nuOJKr>&nm{)lM$Dc)le)O*& zkTa$hFj(@*qb%oO>j*Bv7z8&N>Xg|fEioW(nV|bwt*ra_zi&cy#oECWLI*N;ahF+OS9b_WMPCNoYYOpAV0g zY$IM%37e`sucK(J$E9PAjD8|&HWIx~J<2Hf;??T>Wlf>@#I!AlPm<8NW<7=SA%~;% zpeehF_NHI*s~C%^a)!&iU!%is6*{l)76$gq_qHjxY9!L^xG(k!R+A`Steuqk49AFVKIS`cZolpao?Q zT@n}l_Yhd*ER}?kbEXKyU@SU@Q7eJBe}*G^IaZd;*741&_Z^$m6NGV8%VlANz)U%j ziA-P7W~hL@&=H6(=~7SmITD1wiR9@>kB}c)gY^~QN+l!ID!bCC=g~{-H-9zw--cF_ zbY++x&6mMOL?n`f+Un=1`B-l7N{MMdvsSnyRk9F$U$S;DA-Y|~rh?-wRuhN40A4y* ztdK+MZH#OZG}uY_=(hrJRme$QG^M;cs`tlhrPhzTzEWaOx=QkYl^cF%B!pF}BA9Q( z_Xv68jDS6QxLjf~r3r6BS`nxJ_<6pEzcTo>a_ZvYYuN$eDT_(V+fQ_* zASZr0+3AgpqPOk#R~qu(t!+(Jh}S(pytA2F%ZlJyv}?{9G7vYxiD4HHGeGCWD}b=? zF4Y&oBH}fVRf;5P(7z`6)iA(H=E;oz*hHw!X+m7CPC4tzh*p&++}7y_yRAvuv$elj zW=HCtQN6WV`U5_pyHn$cy3$8RjFq2b=%+tsM!oqho{}ro%13(C^Cs7nxDAzaQ}TnJJ5az92L@Q&b@$XYw~~ z{GRrGI%0ceUwEMOD^6sQon9gJ$(Rz}CqM0Tj+>_FX*N}C>@V*xN*5qI7sl}QcYyW< zSNDv>RH#!nLPyrG#TR3*c7b5B)0oq3lzT~lunCFc=h{ER2;U~%kSm0Ki7|;@$do^j z#CLSkIYT4c59?X5BFIuQhVh&k5%5n;5U`H%RT7 z^mplRKmG#ZPW7OpmM`i-{^*`9#9!5KBlGW;*-iHYK06w`7 z2~CbA{)=#PW!wZ1Qa}CurX?MiFR;y zDdW$OG7BcPxg@KsFFsB*55k0BHR$hQSK3MD-;dOc3n*H5?EQp8!1yK_VHd}4bNbNq zDCDw9M3RM*m1OXp+8XW)2XX#vRc>3+Oi}ej08Bi>_0Ko8i4RF(;K~q_o2j;$VCrM* zIO$TE!qZnm2uaiGX)AawCnx__Nl1g=ROA!wQTZ+gB{eH+bP(RI z%dhuD6t8Gl25_sTtKK3@=IZ*0R6L?N#%{n^@~9fM-`0{9qi)+5m$GT`LCN};hpr9X z-3O)fl3md;E~ThlcX43|e^+ab&=*H_uZ_xN?JjD^q;91s0{*|~6VjFRoU7WFo zNn-hEtZ+#^F=6<-S;(lgFW?2o{O(q%&C^egc$lr82D`WNJr)c-2bO^@Dcywc3Dq~% zSMJti(E}4+3j<2WLjwfCm2LIity-J5G>+#uKm$CW24Yqs?8JjhRz4#$u9pBEn1}P* zBe&vZtL$ZZ?g)%-dcAVM(_;9`s10Q)=?#V6(>GY7Od;=l{|pzi32l{~gCT1bRUn#Z zX*g_uYSCQ*nfy=Efo7Mfeg|U zdRo$F!r~21SZ)!Mk3RZG1m*3j?VhcZPoIryzr3b8#3(Yy>W+g4D885n5Bt+SjxArS znOdEV{@hb5N)o9noTv;Y>B_35q;{Pyx~r>h%bCQxoGaklnDoXT={!T+JP_$T-=aQF zMFt-zx*hqL`yM}1#y+-Zk>B!4mpUZR!&n+!-%(YcQ!hnv0uDqwYQD4B1RdN+Gf4qS zP!4!xrz`{oWox(?+|a*%V}{kN5AvmL=5Xw3y|fRbwsu+FhXzW^-JB+xMlm;4F#GAt zL(~0Uo@Mf4CiqFEs46)0^^Y#&saH@hYfsM*Bu)7X91D4}~e`qX{Qz!hmaPzXYrr}|(2|t(aRHTb&dgrImmODd{SCZ%9 zVa3B7ID@bCo*Wf&MPGSK48K%*+}=vfI>1e&z#QPiSl9%*goh{oE=Pd>snw?JPdrx? zj`$_Oj6$i|3#ff@=9FRsr|rPvNVHWjtH8%E{W>1(YSoqV*_x@Bvax9(L5c!2kibBTRf4n|z2d77uj=DvCzGFp`?{dN4iq{m zn7?#O$toAzMT#!$rGrz1Rl#d3)D$V9P#p^ci{XVTm-AHR%b{+Ei92=44S^XS-JtDKMZC{m5 zL%qd;^sl+1bI$xjau1su7gv@uGgXq%3e@I0`KvR$@&aVk~g)DQa!db~HOM@?g z9OQ$)=G@~Hg!gPs*<$A}lCJ~hhpayRO{*@YAcY2M&ls1^oX{dYQQ-JilnTiY5W#>i z)0KpBF}XDMZ9Bh*(Zco}C=~e;NR$>G;i-<%DlL+^J@TrIl;k~CfF#UTkeJDn@PC>8 zN_?Z`{P6YHW+KbZf>T4vUL#D=a#nJFjF`n+{O@nP2!*Yxob}_Bp{`@Am#TuFqxi}0 zdIx{SHBZ@&gz5^661LA9Jp83Trv7y!h%Qk&=M4XalXrYZ@kHY{Jf$!L{s*`TCzykF zm;=ASzIH{%>?cx$d_}>rdemP7ND}0OHBOs1F;}%r)pvyY%vg5Y6KKE1!mat zUFO2>?d#_tCl7)GCu|(qP_n#QYlk8+; z;qxvxe?)@i+kV>iTaHe98w9!pgCuOCFMB@pTs{)6wff%U05yHIi%6MsdkgX!Osuf_1Iw4?XP0RIwqihE182VNh;+uYKK5C5@tK2b)Z)154he~LC zUMBo~K}sWkz3>P%hd}xA2$5Qe+=mvb?Y4yTx`C^?^s&^Tj^N9qt#ozx|te|KQHZFgg}#wb{-A=>_fO(1;YbTD_$xhxVDZDQ4t6@$06wRxG1*WiP^wvQ(^}b{5=Dbc1dTQ|FygE+KS#m&0jVN{!Mf z^8)wILN=DY#<|{PbGjt%&+=_k+7mzH&zIprpP_|VRrl9l;0s3{$%6S9_zM{4H!G4> z_uyRBeF5;wWGJ~-`Rbp%)P2R-uz#SE8{|&57L{^YIKr!CE`t;8CjgWk-CVkxvg0$z zSzmmMy?rAhK_xs0(|Inb>+?6VMH5sLk}S6gb)5?gM`P*xau}k4l)p$}moEPLFiWr5 zESkP2uoQLvJb-!lc&+g3=7Z!|a8Hl!U??xc?+kk6$3e5goyxEHFnZodQnUGFxE(4< zBHie9bSnCt%dZr@$ep>vk-*HNHaT5X1Ba(KR-=QnRj&JE zs(KkjCMBs-byH~5LWmO$@s3E)7iIJ8&)jD#5vcX$sp2%FPkl7nWY&0P|SM+*~v;@y%OcKr5#Bq`sp+`{pN{UKjYiTZ4 z6{h*gnG#v4ryXN`!{~_fGp2enFw>J~(|m7ZZ}qc!Q}x~8vGxYdJq`dH=z~P^PF*yQ zj+EsF>4C#DDRl4}7KmQrntcXw%0E7;*Y8qsJ(m#gb*c^J`Y9YvOL*>(7XdJyUW9gG zfC#$hcGhsFeL-oo_{wHQ9a=u$z)@KJ-o-ll*Q=TROTj5SdSAN4l&$Hv$~j84msPdN zGvdaUj3c=XHpgfkUlOae$*CMCZvTeJgPgf)TvfQ6!lYkClCI}^jj31bKc+7YTSd6k z`_2V`1WWHiZv~K01B1K098@IoKGaj}4~MEQg+{ikhN_7GqT5vmzhrAsdyH>0s}1q% zDr7dxxYakKO&&7Ok%cB6?94U(nGYM(hOzJuylLvzlHQ~ySloktOQe&FVX^JIS2_mI zUM$92TcjTNewp}Yyt@X=Q-Z?bWaIQBovu(Qg`P+!x3k;mXrK&A1cO*X@bv%T=qlsd zXud8*io3hJm9{{z;O$cY?b+4cW%&tk=q?mV8!S zW3TB-wF1U#%S{*s@6Hcs)@gY|PeQ7ur1@+|_kj2miKgs1+{wd=D z1^!56IP$zWb?UtkH@->RAj)E2`zUmm@_TBWNdWW$kzah^7BSp+dcCT>i;|Zq&IhMF z#osp8E!*(vVp}ZbJKr{Kb|H4%0hE;dx+slu6~ag3k+<%+twTpw2nzKK=Ex>pa5?app^($$x2RE)QkH&S@y>@_TOb8@I zyNIG3-&|5C;==HAJ}y`$6a{GVvY5Na?s z#R@A-+j4F8QW30pyaklhC=?Eb;`F)hdT3%Wif#E$Pm5XOt6(OF5qj=^vXc4=l-Xfa>X zxXerG9tC7gBUoI7&AAz_Wia-OulX53m%DBJ=9Q#a(~;|*U3iC3~w88h%U?E|v-l$tD7n|Uhg{C+v`>R!*GNK{(qun~f@5}ICN zWQ10R9b%X0BCwc31V!_R2!oH2-~WJc`aV^q8e$;JF!3!)BAOmNZ)X)p9>E`8Izjej z>){d~!-qyZ+Z*eyI_vJnFpj26jm|6V>KT4td;+*|j(L)mE;n{G3MMTW#LB^6V~wlL zOy_gjNl9{YyH=>=y`A;H=GgdDlB_f0Z!psTAb^EIFjV{6_P9p)wi+X8O-r=O!|*}o zt@^x4P_%QZWLIzM+E+w*MK~cY)}8DA@2}egCz(Zgw?O`h6hLF^s0SkRHY4^owzsdRS z0-)LYIZ%?_IVu3=4fZLn};g($YtHQ4~V?OC}W6_f=X2SV3SYXmh;ZCtNlXg{RhN;8T3q_;3`LS4(-* zoaMNOiH;P9`@i;17arHL6yfv1lrX|=uTAI@`-96fbxcnfZ_lUt8Dfjo#PP+(j;pNA_^?y8 z_4sjn6X(@E&quwGtP#ptLBjI?!cpMJ3366({1jBeN<{}v){{gIfg_;N&RlZq(-G^Q zro0CvjBxIS%C6)x@O!VH?D{$KQt1FyRS3gvwA(#8LADevR=b%9p3dee(6cW^Xm-ve zuM)B3IU8RQ)U`pi%PG4)u;|YF8C-dMdyjCxcS_$2@sK@xm@4auzx+0_ch>yL5y>Vb zbMoqf0w6Z@YHN z1qQP($|sxqXt7Q2p_CgJbkZ2MlWo3~S$eH4WgNQ%6=%rx7`YI|$%EcrO(M=12%hH9 zWC6Xft6GB3_lrW7QCbtxONNci`9uj(TrwZg`qt0?gEIe;%x2!DH(Q!mXwY~YsB(^7 z@AOWTH7`q4692)3QM5e#B9PKA+a@&KMu1$&O~Bf2HI1n)&(j{9+ndU%@c5xfU3yQq z#ilEtzgqp_r=jzr=v#A$@ukpDG!b%7LcjhR*GEClNE0Wh2a-MebzsS9UP$bvJtx{b zex7NbctLeH^9kxA74<^xyq^(&3T4&T)GD z8nFd{+GzXtLBIbV7fbuo^8^ujfCgm0Gcj#+cMQ|Tss^3uz{J<2-$sD53F^Xh0TasW zi?3r+@*{3?j+BNr?ScIWgT96n4NFtvp#3wP$^A{gHNxCjtD~ChPYxqaShYJMql7!1 zw2qW@HJP=C32#fg_(KOCFut?8+Pzi)v>LW_Pdd()a+38}8J_o5f^xO9PcMcJ)ueW0 zNxX0}3p5qiNY3xLc7n^L3D!&O(|2&B{6nb)aPi9(JUSkrv zSRZLw)j=pPik$>y-u5QI zS|Wyv#;<9kg9tUxL!F%l7{+$Qknf_thkQ?p*qS%`GNH8)kS0}v6?fRW#xbNK0Y<*!0kKje_H5dLi zP_{*^sdnp8Z(Rkyl#d2yCOkFMh1OaJ&F?!iEG^k=x^~vaLyNJ3>Buj%DRNz2J;K6o z%%2$vhN4{bSIx1cnMDANyK0a( zjLTJOdF*3XBrXXhGjW4UWok2;{WJp#eyWyFt=|`D7(lf?j#JMA*$UkgRX^K%m$^j- zKmLuHz*{ITauG%1Xfi)(W#7EVohs2t;qCjrmdJWF9_}N|u)|<->wimVJDj&dHC!J1 z+qwQuOztH{$_2FHtUgX`uttgsjX5MK)(?b7L^VljjvmC|YmtM$*6L_iFDU1)WFRz} zWo&Nzvizx)cnAKi1$+)9rLA%??tKgVXtSZSuE9bifaa&}oA&}MobweODtpU$D4~#*jjrAJSkh+lwW%3(MkN>_H<2h=k zUhb|l*(v@WXJ;ULoOUB6;Mpjb6a$Jmj~b1V1SV;rV($P15q=3WopJq)AAIspmnU#; zwiFRlVHR_Y`}o!dIk1P320P0mwD^rMpveP>dMTr4YrYhE%QwdnY-gk zk?W{vlfmeh0Vae2t>1MWT|Z&C3P=dzfr&!&n?3LfIr8M*x%J{UW-LiRxcorVgSgq! zeGAarLVX|go|4mu3I0%EMp2+7uLUJXUuuZjl_)Iu6eA{xq`tdIx+W%#0Hy&w9EKU( zjw)wspTuPgLz?|+h5p!d8VAR~ugUM6Ohe($$ct_$ygn>Y!(wr7NBjl%J*e3&gC^m# zK7)7@l|+ufHmw-Ja!R+!{-RD0c4QFw!hq_`&CJ&j%u8JyD5@)SGA<9J@=+)QW9`GR z*@ASW!3wCJ<4)GLqg0PdoG-wF^PWrlEodn5#7blDqtK#`J< z%3mM?U4dGCDQpi(v6`hbN@0W%%K#e}tVO^TEN4A@%z5jo6lgMjmO-~~|8eJU@#=bS z5>}q)q-g&LU8}I2#lb8fFVjdVO`kh5olqomZh^gxIk8KBHaBo_YUe+GT_bmj3p2Z? zCNmIH!&B@@)O;}qs-U4G@q`0&K?vEqY}7p|I1Mwz9f+})CkgyTYnw1#_Vp__!9~O; z_pzfQzPuYE)sDVT4}qzS9z3N%Cma7|>M5atO7q9vaA3ZK14D(=UywBevUH4)$4HV4 z_@3I^zMNh(dJQS>#{pMAV~59WuxWX08gu;@hjHPSt0B&WFsv=vO7 z#3N`Jy(5D_DIxP?syGm3^rYt3_yI?KBOO!4MVAR)cyoSDbb2Ef>dKCO{@co7P0fK; zd+c+hG#8XRDW5J{puK*^`|lLi%>-P#bGFF*ZnYWh6QwK(ln}XLaJ2-PhQ5tPF2KXw z#5Z^^8Q@u!TpUdRFrLoSI5etf>%wh)-)&MW@FKIXU&`M1AfsV-Jb*xPV5%6OND?mb z0dZH0MC|@u#rkl>y{S+g7);iqJ%07!z}=cT4cX<5Y~1>RoSO(2{1b>y{@H4FO>@t)LhwI*T1Kto#mIlu$x|ShD(=_ zYep9w-6qcXB{NbB0j+SZ%y&l_#D6U}E^VRy#fqAafta}z6v#o0At2A*Gdv-S+byD1 zR7cd(8$7_OJB$Mvy1R3+qTIroGfrx#&U;C9@p>`X=hMEBlmXBs{A#U}VYe9-9oe~O zlf3JYr0LmCJlC@Z>j3WL|URdpdf zCin@8&Icwv`>OSG&nmzNzrf!QSFJS)c}%X4ZdLsM_vDEBI929(Us! zQx4A#0K_h-uCG5Ri9k!9DT4a;EJB+~*9SnW|HTDeE}Q;RGiX}l1{oK+oGBWACkT+c z>k16-13V5I+`LOpb^2KTl=AnM0##Z81^Q)Wm?%L()A@@^wcFd!tNONk_xp7pdEyjP z*D_1g5h&GEsr0`?f?Akgih}ueqD)57z=4({Yj6+S)y<`L>^ou;1mEVrgQ?2^ei`1i zp?sFB`-F-A#hBV7jZRSz%Q36ZNdxS4b<>XgP2U*vK@q@S32=6YH89$4o@|mOv`>l|UGv*X~ z&#W^|2#4p*+9b;L@r)n6wtw0aLaU7INxnP7Kjihhy1bqG#e3duwTSw?JKV}QTG_-pvk=Z9@ZG(UH*pxYoc{86G5(_u0M}yN*ome@jeCX@hJ=lhhZU$ z2My4t&Tn##mSU#a+62EZ4Hf5tT_YPBazbNr zmCyh`BZnTmug}zU?H3}NI=Yuz_AyWN1X1v044A#ek#c~H#erQSF%WY=dH2X!?mr}K zQ_Yaxou?_+F$4auU>dlW>hQRi6uk0NKc^PF>*T(Zro`~lI&<$D@ZvtPia7l%k#p^tLDs9F-KycA5jw;=AbO= zm8x8N?IJaD-0-fmFJs**pHoM8lsP8U3WoQ?CiJ}w5gtJ|=38F6dl+!vnMd5EsQ?!n zT)ycl#3{a(tLV@bi6n4-R`i2DyI}HMX(pWfictv?wPFmtEc>rPfXS5&Du^RdZ0_aE zH4MMSrGrp3Rg!o?ds71g=@8w;9|>>QhJ<^7dt-8EpXB!+vh%MLI%iE2;nWP!!-p9p zNOuVAS>EyBuQgvFx_{Ox+V-L1h?Cj}OG!H=B%AT$fkmw-H=-|=Y1YU9Q${_==P@3@ zMdL^^g1BzY=58gcbc2D7%)vwVLTwp4{&JcA%=dKsHUFfvKdhZXlZ5FNvX-@`*mMm> zv>v8QDKDAS_)lg1FN5f?|DcvQf+Z5Y&#{hZ!4F~{Af=dT_jJ&T)iGHdfGa(iH@ zgV;kNzw9&ne16w%mb-JavXq^Ajj{ZZ$5Ty9Q?v{5LR*US2o$WRD9$HLbV{ZxirSF} zRn)z=q2W0{#`nBKo05C`V*PW~Dh;LR{Ew|-Qb#BxmQ6Xi1Jq~!{CdI8TQm)s&xt+P zu8&+5k5pLGPA-59U6F-?)L7Uh8-Zy zcGt;&r{iNn7zN+G2DL6gu{RGd!`8!n5CAG5?L@Jr;#q*d#r$6h$$o1q zS*6#6NYY{!Kq$Ai{W%TNG}jHh$R4W@rZC9fg`}e3|KWwzMIC!#B%S4W%E_EB#!r|7 z18;t=Bl)IPWnR}5{cJe5+*~{Ao>?gCb*l3Wa!9dZ@Occ_4(i?ms`5=H5}pSgrI1`S z_WGQ!bXsNO9AM=wzHDW8*^g89_*^U;7ZM0-*Zb)l-$kC|{XREM=Sk&HUk$u4K`q@a z-e^md=VXeB#JAVS^>1uu$bkYv-m@OzzOQ}LNZsiDjAhZQO2+?U1Hen|X^taK7*}>w zHxR(BX2n7}4_@%ymBhE~p6L7gNdM>VlGc84hD@xUZOFjUDl$3-9X9dUv|%iisHY)R zB$7f3NAY$=sj1rlHU9o&uaWO`{RY1%FQ|&HaV37V7YJs!oXPhNW#v~mx_Fg3cJ&LI zsR)QCi#PocN=7trc4_kStL32N3p?H0OwE%$*NhULK&DKYbBV#P@ z{RAm&CVLm#`y-)-wyga_W>3yU>2hc1_rFm zZg6?&5EOFly_xZ;|oi@RHUJyXKuX!#dFlD3yOF`Ks>_ z>j$gnx0q*n0#qhNae0zomYg48(`pij>b@u+h(UX4wM3ix1nsGV<^ zNdvvL{zo{Bbh{eTEY!|g&a)`-_#?o zrEQ%7()?G(5F(BpmEOXfdeFUy@G5T~-k*OOwZnUXy|{rSiisW3@8$*yT`>G02VhG$ zY4gws&i^ct>R3P@Y+yjLw^XPS!Id~0vF$4wo@-Ya^=$}QkK&)krI}!)Q8fIr;``y7 zadLc+Ly@GhZ~VxvenJh3umR*$t^ikHeS-2@8 zrPpQooXwRxk@ejtqk0Y{%B3^a{e(if-#rSisq;NclZXQEd^mC9rFL}fyHY2Hr7^9Q z##=p0#d+>F-Xy<^rAWDyl73$s!+RtnRKBV;Q--V?O^O%`~UYlsoSV?%+1^#Pfjljq)2 zKH`g%sgXewY*iYj2rQxqgW3jn&A_!N$e%X1yrYe=tqG@z^6%G7^js8&{V>Mf@Rzc=DRKJxFl@5I!shw3@CySNbm3{IFtUz-cQ+ISl z!wB2xtQpP;QvYu%Bpes8`?Guz|LGkOgl*>&6WXORau7=4zF%VQ$tAMmzTNx337i{) z=I}HJ$R$WQUbO&g-h0j=J_*5hJO?=}Ya@G2oPpm3U6*XT_I)=vLj%-Bxg+dsJgnJnxHj6N01xKorqj2LR{ zX-x_gp@;ncfAJtWC#LoAH?aaT+c6#LJ*nlCfW+Ts`)w^q1IsUJ<2Nu3XZ+^jyIO-m*Tt^R~I;RFY^co$i8wO`bRffenpkhjZCn%9r z`nSEQU>R4WCgi4|C~AzNP&wW7vI`A;R9u%#E;Yr$fYQ1jgoN=lJ3I-d`dp2#_XXM! znygD&e4h0;thWiL_^QPo;f-c5)z`ZSoZ*)s(h?TBu=!9cSVol{ic&RGp?MB1jO|zt z*|LDVx%B44wtn@)lft%1`S~W3wOd5f-PbqAe7KL`2VPw;PRHaW-Qzfi4jSYj@5gb^ zJ%~wpTMTq?H9nbWlr+lFYq8pejE81+919>xEbJr}HJ$$eH464<>nH$d# zH=b!*izT`0SLL z#&LDuJ^}ix3!H7-u31k&>IWdWb<7+b%h5m#ZP*g)%q1{?tj7A8I^KLeGy5?qbT0Vv z=JnEyv=mmJ{y!7l+ThcB?V`Z?VwV^H(wdoMjM+_8kdTXQ>9v0b`*Lwyo{CKBv;r8J zSS{nvy>ly0u^_q(5gGrOOf1h%xPG7J)oMok5;;FKDr&uCY9>99?YR18p{4sXP~st_ z=;ZUbBe+m`wyc}~z1bA6&yw`HD~VTPdEuP8FF+0d>W)kJ<8igreqwlKJz1bFcdU+m+uD0d%T}1b+2A5W@3@ED8p9h>7K{(WcD= z|4q1Ob0E`YexqP>=J_+_6?If%lb%+efr$t2s~N2hyC7MMXijvQeWSR2X|Dg&Y|69# zMWE36gTg&w3?5idQ#gOy4a#?k)~R`3YCfvW5r>UQ>(ZpPD1IG~`(Oq75q+x!DSkn9z99&-OduJ1W&r+>dBMChE~2YfY*nqb8U{}o8Fqa4TFHH)xj z*=Mw#q_(M(6f1Gjj$R`x1^xOw*446iu@R1hok-Van~C9?!1wzIB?1p#XbqG5!-N>a zA;c+0&t3U3^9kA1P*n~)Fi1SvrMTaQY%U+uB`B*tK#(BjjiJ?<$Q8RG`NMiGHUY<( z;L9&TA1!4kSH!om+VY8sD1+emaE~yv2??kB5y++D*+z^TS$C!b(yN+4wKLW(e@CCj z_4iKOYkZla*pSbKAeRf`+oY~sX(XwV9W)o-T=@t4i^rUQz$7XYJ%l)+?QRXHNm;Fs${B;}GM^7YHeKOX4o^XYVc?1_J8H>XNKN#u!B2VtG`XlZq)5Ei~Xq?4O zZflWmRyKtB_pNhaY5zGW*Q_L<8ZJ3KW=B?*lQd2cw_HYFrJ4K8pa*}tbckgguY#U2bP!7)VeJ-3Gqai$yfsZPpQXp=J5wO`d1HL5u}O2 zNySNlFf)ikX?V#`c6p6 ztEO}0;`dH7v#Z!JS4yEaze-c)T%kOG4@;y+PIe``(bSsL?0Li4LJA zBGrModwA=N;{rg#r1UIfx>}41L2{PoUEO%u&!K9L{@9bD$Q(?OUcOEPmJhP8=R@E^ z^ST15UBd8**R4F40JlOiuk7_%_oefZ)!ln|z`?Rcel#b~BgU&-ZI^C^H=xTtNrF&* z+wMK5PiEP}dq`@?Jwe6|Swfy2$i_{{qPk+KH|JQAb%8R^xD$vBj$3(h_a=eP*nag!AXGY;k|Ms?RT zR0Bl!gN@~n3_a?8iw%P2EcYk;qvn+&?~ug4wyz7$@6$^!eaVN3dDBjj&Lv6>;MLIM z)lq2}JogKDOT)!ByCM$*N+_jWQ3l@%gTwF-nsUBtTUpxr&E|%`M?_}Z{%cp?XI=X# zF^ZIEaQdTIM?(KngqT{@wHtN=if4Kv@|5GqW-o3f4y**wJasJXv^!Bh3{uwg!aGl zYsulKK(N3)Uf`=fy~}!J2lHk|=IHNuc*a<{sOBQ5C|{Ar8S9b}V}JP+TUR7dhh;k- z;*Q~=M1=N(A+w}FfQ2UvTQ{uWN0hla>#pewqO9Z8b6%7Z_JUwI>yvnT_>=QaIJ2Xp zth`*_Yt!i=nglvq2@eBCIgAXX!&ofU#H+t%J8^;8UY5R$$G^80dYiT*VcdmS;hep+ z0z#o-8v&ew19Nn`-C3f9H_@@l4pADEu?HV!lsf!)Y*L|<4_Bqz{0|%td`65xJ!>Fi zL;+#z&A^V*f1C85?w^D;OR6$|?P(sw>Vt(B_fjHQ)0`JZulK?0BAR#RJj%L=?6AQLr3J6M2!V_HRqTw z&1Ztv)G{Q`ZmHZ|`C>Jj17?-_>kjNM^3OOA!MAV2U2b)Gu3Zj!HVUig4!c_j&vo(* zEILGRwbkZbnq4*c-JP)l{k+-_)zhxDd>Nic2ltw=zeuS^Cg+V&KH=kG8mW*toF zDclGHE+WzC;{B8j1VsU^t|-cEH%tS;<(tO0e2zW?uL;@8J9aAghOvyZC;7@S*{T|H zpq5x1idmMeQ@j}#(1wpH_3=ryiokaVUqv(4tC~C2|5;|YNRBX02jtu)QJOe+6!<~h zwCZv@VK+<6NWr%@c_$Ssm{d_q(sKHDJ#F%_{w1~PK!8w-sfZwroVrR+$KV?W4}ZBa zBhEEVqSY-I+2GIYF@egfd+0azVm*#=ZxxMa0*A)Pt^@ywJwH%qQRb9stmRzTJZQ6F z!LvW=_!`u1EUc@4ih}^{oeT6cdm=nVkcLyQ#W80@7Ne2(t^ll-$+_sQr1 zgODyngOQq)Or=2Y?_|)czE>lL^~u;Lq$TlA+G0;KhouMG?C<+8KKti}8|4X_wGkzk z8*lOL<6`gm4c^OECR$?|$_W@iU&_tO$kkjx$>Y>I@Snt`dO^}VPbr33xBIu@iUrBF zh{8_kPjQ_+pV@c7A5Kr{Ehy$^+=HDD`%E*1q;KDZ_x~IiP+ZkIX^<>iZxVN`(gH#p zoJ^}f8lrLuT9WGOn}aWBcQ+qKzf@(Hn~W><#)DY1#(0;2h#Q`7FUb3bJl zcpogUfZrsfE6>@|uYV(TBAOWX5DRz49_}F2_bY_cagTwWY%l|Bel1q$pl2QyhkoK_ z%Igfd>N5kEyaIK(lM{WFkJF$HCYKC@FC0Hr^D=(Kv!8nT5+Rxx&P6-(!NAd+$CW#6ZUM!$@fFbAf^9Xo+&hB@J|l(f!wAqw`g8ZU>dxMG z<~=c3tZMXv1+AVBIITzp^N8)5s(_-VQl<-gtA8*wPjwx-Gs_wSBlRT1NL^e#Ta|uC~6{TFrIMs)nDDfbt0sB!DyBeZ>F$48pKWZ0b~j>lvh%w)G(ddj34{%`c1v$y|Pw#wJ8 zWtb~ANb6Ky?5{)Iy>7KgnOW|1vaXg#^|BRk27VF7BA|eulm4KqHQ)ReOu6H^m4TZY zPhu_Glg;GOh`V$3te;_j>UiN_BM^V!%@yIo)Q9lpX$P7ayP+C=_^D|9$3fX^CW*vY z>rsCB53}El`~9}}3>B2uMS0O;hF1})WqVSwald)9P(yDx|7dmnpD;P14egM7M%T)X zO`FO-VK`Pv_r#AZ&SMFV3QH4Sa>e>-r?AA;~ZxjVRpcC)ya09>=#gZ z+&}si6a`_)&)qWOi)5ne?p160*w2#2E$R5-gD|Z~O{!jUY@*q7beUHNO16d@5*W8= z!`(e&vncJ98{2|*2R_)HyXQirWVEN*HIt*$e`tOrzds0OoP9wg%lqcfK70Rc)#fB* z1x6D?eOKMkAcl;NJ^awwLc;eUKo-L9#dFHSLvwEPZZKXk{3@|_3EihfR}E=MQ~1^5)|}cq zZqt=o;f*hgG3?FX99+xyTN?!(^Um`E`ymm1e~G3bd_SfNF|czK0ZWRM#lR&~Y#TbU<&mlsx*-X&C6QWBeIc;@8z z$04RGo1c<@yA9o#7|Z)LBk|186XSy$mI)I-I@q6o`<*xTi7Q}M>VK-Lq$%5=>BPh3M;%*4@n?G)%+KAk$M8+K;zwg;&Uw_xzq? zWg$~ONnB`)&Y?eq4&vP=JRLDGGCaT&-j)^@r%3%#Xrlp{b%dg*~g{q`K z!$aNdLD7sW} z7?-ny{oN8_Rw*m1M6yrE{}o;u)fz93`BQInmK<_iK!87GWUy7{Z~MYLWE8$a0M*a^ zN(BxOEuf6{DgP8#rbE)&(Z5+{P|9_x&Eg(V!RCU6bdBt#M*iT+OFQYwEyYk z5jyfFgekO%XLn~VrD5)u6!Pc_#UTj-v((BnYpeUpWMjs;|y zJY-;+EFy?Gp)le?ef!tcSKWb}sZQ7zopE-j1t*CaEK)EvT1)RjJ~QP^=(!b6hFB1l z`q%E*_+PfhIHxaOU=lO%9=wEm%1&sovee%25BoGdf7|p*VEx<45!;iPQh<$kG(;8} zA452fKpqkDBdR>xh4&my;o7Vk&`Un*mY=uk)~YW*maUkfcBU2|<$SdsK5+k-$u!Rl zl>S~fmE~aNkwnoC2yUEs!w+j1-1|H#s*v;+%+el3YcyLSzX^n4$OT5!AiSHDbi`*F z+}cM#VE=*LCw;ySqspgU%1Vl3#=6%d*ZS?t^9ub3)?xsbbyDp1^XCB?l9wkuI^yaD zz163>7jc65%Y@5{eol82VO_k%>js>k+&-or*0(am1}r~0>Gq~Kx6MnO6CF0ERg)G0 ze``ADIe;v)xFxp5mZ8`|{n4$!(EfV9k=le@}~Q?XL8Ip6ug5&omp|n(1jaB&BfQ zWj(t`I-8j0X~}DTw4$27Q;HK^<)#oM5Akr~O7+q8`zIl)B&8w4y_22w7XRV*s3@*= zZ#(WmIJrY@lqAD#&QV)CRsNFfoIq^TVHiGDGi1Icd4s||_?-@}*g*adii?peGtY}! z%N9V@5ywHEq@b*UrHojMlkk)T4?WDDQ}j)h3h9H@zwXT`SV;3IvuIt2`UZ+kH(ioP zxE--=V_KgZ#_O(tU;psdQe%bo#{jhDyfnFJ`ib-XPsEbLRdAKX3 zm3|wJ6>sOizjUiC1=XHLylWL*+0vJq8!aXsL~K@M`4Y}LEtduI@5dT6fhBfk4rep; zX>nCjw33)IW1+#fixHJA|utNSj z#Xmz^ZqXTUF>Yh&r)Qm9iXcVo`i@*_jv_wc6d;hJdUJ|d@*)8T+mTtCO-PhBCu6zD zOXysm?zoA?A6p@>^qO{?IVZu$DR#|G_~s%rt)PXV`NLDl@xU5}AKcAxl#S;MTy?@4 z*0RiRtv&@B9xRvp-jg2>qSorA4->V*ZMQHJ(EFRlB-ENj3maxw86387!$yUOQ&ve( zgYk2UXN7PbG8MwDBuq%4>ZIt-mst)}(UFq-lAN<38$#W#@IKa8%G*_R2R}VxS}(7r zihD2y3-l5{*vJg|qqY@I$u4tDT}{0h9D;N@u7;O-?)yjXJ3D2N?TG0T=~rDi7+PAw zi94c#I}8R@xeJyfvhF}WFet6Np47l#g*RTryFd)|u%MRG_Bt$&9@Dv1h2XP8|6r?hfc3&L z418EFbKR`nx?|(`M*nEWe?ad+$NFU^$}`wyoZj7JWMjJ;lZZf{KF3vD7OpyepLtU- zM>HFs#y7iS+cc#L0OCwvw7(xctT}Zl^$9zp-%otsAb;J6PVD#EqJjO@@DiK6}5KMDp{MV9QTa=!~&+ivG zj9{Za?WMRpw^HZyl^2kc)Vj{W0sxbZdgo#dpu3n2BPCjfM$ihpz*3u^{i5On+oHzoHcmW%77Wh{}TJ7xkD3*pI6_-w;|`iG8(+eei?irc+Nbj(O2b+_&clq|1i1+Kh1_oXqkhG0Iv zeb}I_i2mF&^P0#n&z@pbxKnG^%v)t;c#+wM3AiTA(|q9ufMu!72R*dD{utqX@f(=u zLsOvAYbbSG5H0=S?q*WHS_1`@(j6d~qVbm=$i%{^I#A9*x0;w} z6%u=#a+h#Y-KyFD??2Jk$I~S*Pg-ffrq3tXRuW1y+GXrGDQ9eC(dX-UvM{8+>oe^WX#GjgAV`Jther*me0kdZOCQbp&dg^2jzs;Wj0<%@a|kxiG7+Vy=Ga)UvvxT03KLp2 zfnrDrW*Tu)eiL?}qh6E<1BoB!BB%`D8Z=AYgQ{60act_>nWI3f)YY%4?w=og*^p4? zX}(=&#~AOUuEAE!wl4ShPcM!(09E!^=2XF-x}9GKHm!Pd7lb%tQW76jLK6OX`J)|N zq6|3Mm^<;zr4$CCl0o?MHH$iI;huK24g6A?a|`xr-_xbj=WA6cNZUpJg(fS8ny_`=B;oUtKM>+`SeH58D-f zpEqbSB|9K_`1W@oA*7*&%rrnrqiD|{VozDJa2+quTdM_nIQc=I1w)IIHdFAe45v5O ziOpxB^r>`Sk|U8YHEWwX@GB#>`MtFh#w+|h(2afVKOz6K}YU;dWsv>W_^^2 z{Lp9EipX55nBW6DZPlr~_G!I-_in{(?UT>mNDqzz)DV9&3A>d8Pk#wEb*tB$=?{3HF9i! zaj!b!vxxo`q%mbg1arm)eIp;ZeOGngk2_`PV%;Nb?UcU`WQ*q)Nxbq>MTqFDtbHqy zZrDcQY}LL~CS(Ae6mal)+)It=5BftqkV0!?Mqi;;K zAowXv)mn4Rt@%u8j#NefOJeKQ(=As>aIxR50 zbU6qsC>&aJDdID>McMmq88}vc?TWIGIa`_gKbF2boXY?IKOrQ$D0?gOEg8o+vO>zp zu|-)Yj=c}(*fX+6IGmC_LuN+E=A0s1=HX;T=U9jEyZijU|J*L;x;fW9pO5GBFDlA1koR-(4wlf9u&|)|8G{$jf$ zJK=Jo-&@I7QQ3fgDws?rakoUvQbi%FO^Lt}PU+*feLR)tTL% zy+psrT2+2KmTx*Eq{m{uOyF^aTqKeNgDH>->`sT3h10!(V=duZ8!5 zd?YVK8iib&O~#=zUt~PyX=a!wQSmX@qNZv7c2l z<3_v72a3k=S-V;AMrBrZZbiCOmg`l;&CB@#+jOZ(snovT+N|XIg8M6XuQoBi+OSV3 z01@lv7_ztRr%A{VwstAhH_UHr9wW{$0urX~cYP9@*t=E(%Q_eYAUP+?V0E2Xf;8xoW0 z%xHamJ%tJ-LC#d{9sSCcP0d5S!k$1i38d@pm0I^1@ShxTp-trqT=#S|#?K;g>_=aF z0=qV@v0U6V0HVGl_1z`TZ!1;!99?<;@#+)w=~LgmyVOb_#34MHyhp_^z}I*mGbwN< z^DqjQ_Po*(e5lFI30%m*a$M!B%h7oxXHsN9fM9IZTwG@3fh=Fd#uM~@oil$T_%v-5iQyW|Ut}l*YvIP8rc{ zI&f^;RrB$qKW&0#9n_}Z|G61;T<+zH-~DQVOWFjj-{s;5jq8eRr-PRSktJ`&En#;* z$&ZYJtC8Hf8~@!nl-~!6-J9l?-eyFYxkk-Bm`al_sXp@@;!!nsx66p)F6|__E?Pbf ztSs+`f2RFD>dU~9z+=V)6I6kF0@)t9G_qB35)|s(b#rG^7&+yC_N8CpMV+jaD3Mg& zZW@sBOZF*GRcVdhH&cVsT0f?!i83$ObGsnCKY>0-D)es0cg@b+#Z3NfA&Vvr>W*l% z0Ytj?emjQ0N`$V|%F2aYVC?L@D(VZo|B1eUGb2KWMT=E^2Qduug;YcdL@5qIdUZT#3L3&s#;kF zkAJ0~q?+}3&dR?hVlb28sV}56`s<36C-;=|YS&1RS1PxHPs9b!g0?qGX-;*4fs-j| zIB~XJLf^(rslfoolNivJz)G+_5{_%lm?F?71?n6Qmj?%tp%2|#Jx)Cc-($^wMF zerJYwZJSYquQyDzTY{NB6kW4repY?F0ScEn`*hTrtgk1Os#0`IwDdfk`j=t8A#P%p`84f%e+pe zBl4?H9UxDppDvZEmh4T)WFI2i{Aa0H=_+gB!7x|jPqcIr*L*5SwR0WdsRu{`TTZnY z-`QWE`jwgEdwYa~l>^K!&Lm!Dy0D^4SEc!) zG^s|RhBgtLrmFm5Wzn*vexelMgnpl4B8_cmnn5Wiy2f$caN(@MYf0WmHTkxPGaA%x ztYwAQGJW&@*!L~tM=-*lQoC>^bDQbgCiT)iR2x8`rL0Ha3)lf>ANk~Ek%=^Q78qwczwfLXjr4aP-ga#CFvE~`pT<|CQa|-wgCDELi0DIAkAg1?xzm#PwF!YBn0lZ$sHK@_-fMN z=Lxf-!QP2iNsVtdVFz}@Kz8tC>s$BiTVFrAmA^Bloy{RcD_#%ODM)bqU9V1BEe#G% z|Gq^`$T+S`{owH=wRWJydS+*v!s7RD>Dm&+A9iR#^7-@OX|89BZUOoC2#vWwUAf1Z^4G2^4f!ylJmU z^-K1}*AEci*h~1dL4ktKff_-bPUn9w1OwfaxA8nxE5Y>BjZu9k`G>^gL*e#fmV(Ml ziUbRYmFwYexqhO&)9H_5`?|Xm9R6wyx+zJ8j+j$t7{|X2Z1mq|CResn#EKd#kb5{m zzqe4?$@AIWFM-q5R#yUt`|W~Z0x|o)gx}7I}DbK z!2&ezU_Jeg>~`K1;wHwA$4M--C0Vj`qG>u==l-H%((o1Z7X& zjY*2kVMyilHYij-c(0Qb2})1ptOprYD_U%y+q-Xa>Hmo<&{2RlXJmdB*ly1ukF{Ep zC}%K@g0_ZM8B&-`H@|o}85W0_sd`-*Ru+;=Xzb;&MCpgIINOD~>g#VMH2mSJpE2o( zb<3AxYBFz19;^dO{;-`zhHDH`6RgD=%we%(;UK-N>7C%_WMC~Z5;QnuC&S~D*V^X< zmQya}pE#LG%El`yBN(CPCO9Ib_Gp=~rVw|^Y#93$XvBeTa+FO+_N^6f1)3^ISMN`E zVzgLq&Lf^5uFF1Jrw9d#S@=EVl+#&z!Vb$H$!nD%qAP))&{x__0uq2{0;eFlZp-Yz zCED%7g06e+xQF)C1Z$#p9WxQGDrtwAUk6OfgyIG#s0rZJcF@Ycd#i{b=Oh=4<|#;> z%$K9UzJ7x)s+Uh*aL_i=feAYKJIiYO!|PcASxtu$tf37s#pqF4R;@VeU%wTo7yYdL z_o<1=UPxTymsC!I*lB0nnSv6%_P2GUi@QFSjc8H!5R=noT{7Ev$EXwkhK(LCGe(rv zX0I82o(Dsg5YahcKN|0IpUGKm+%;$YY2VM59;s7GGp@Y{ht2KJtF@Y*95!sp4C0UP z89Y>`l*7OA4ADFN`(Sh7yJdyGdxpY1eO?jPT@ZE*v`%w&Xv#5I<!vqAH{=c zY)pAdP4!6v)2ew(Y~x1bpyDSTDj|i@AyN^R^_mCBpuu~KM@Nxg{}aLv6ZZ4&X_d;+ zkW%5C&Z~NJ5qMI^Rx!775}8D`;DCo5RS8-P2&I2&#SvWezE4)Fvtx{LW1FYQL1Dy|mHtmQwXOCXEl$i?YG^$?_Cp_FGPHneL z&6TDfmx}1i)()8Und`#p64Ltm{eO12_0;VNM<`G6_O~0boN-y*>1cl*pqlQ)Q*IaY zFh8O0uF6bac|TKYjIrva+hJYu zU`fBtw_qV1dFDJv6C~QdUOTNbX!nES8JMf!_X-E4?ENiIlaSIU#13WPqbfzY&W0mI z=vb!#%;3v$u%L&)dEcCb1TNB4qbWsXpg2GOI@U}uwmqS&fScOipVPeonN`*#QbEOp zBWWH=MG={MNH^5l#`VbUruSd;<}{0O(u3|D;(Q6@E;RN>mU?}})hQ7r?16NHg|||z z^ARY2c-sf3Dn+~jXfZZ(kN2xubrdoO$zM*i*ot*$_@87>&s2Eq)#LJoJ zPL(@ys%tGdoVx)uas{+j{>Wl%pTrKD_jK2Vb<`{ldGw7XAXdT;`pZ1q(A^fd;pyRnc8{NQv$| z){#uuE)$`Q$PX9jaSJ;PZn;#zH0n+<(F|IK(qa>8ohi36C412i>%{8oxRbU|v?$B3P!8mV(BZpj_^>2Sk4u-$3J-n8 zROK#uQ&&*(EY;}`l$)7&P%Z}51MU*G$TM>5Ju2rOPBZ?+GDLKDt@#1olT8CS3Xi9Z zsngFSc}q)}>tf4ODHB--3dhos3T#Tb9$_-f-tTjlDDypG`;)?4H{Vg1h>-Lqj_y*Z z>wfujc#qQ1HPD0@-ua$56O=RZxbt1{_TPAQX~Un`h0gWJpSRV*agdpjnOgD)wX-bI z#mw$jmeF@tOde_$Qnzm4k>9ymQ&-qIx-!XaB#_gX3eFCpLW0#Q~T1| z#Y6OpeN+mAm@@kkXb7*wxXe|=r3gGjF%^OOFjQ-*rAjT-8dxJ?dU@f^C!xTL+xIO- z*bDdR5aJ)+fGZYGhZO*EB!pGBmp3K$!JqW=rg66J1cftG-qN|Upywb585a%jD5?w5 zm*CRz2BNZy0FuNMsV<2$aG3~!3K@Cnoe-dnj@_{5S|^{KeTJv^fJwwufN_Qh=3}zx z-o@Xo%pYlR-bRNIJyfeudyrKSk91ztn+0&K0b?c0T{cteF`1Tyti>Qx0X4-my-<6X zV&4dlElZ8m`M0Xmj3!lbT32r(5RZ@vKGpnUo|+erNQ&?GZ+jLzOi^NSP#xbdiHW?4 zP=veo)LDvcP7KY{;B%t2_cwFo*4I-`@()6}pL)c$BsZ*iVsRZ}f&=AOqFo%-B*`ugFBYO;Tc$X4-cfN(n-(E+ z6#aj9=v(jt^9J|k0u{ga07Sr|{i^6OadW6~SV2W8K%AA9?sMPC6*4Ax(2&|gh^;&& zo|XoB%uidYdx<{dEW89c_z}?Y$pcH&rWm{x4Ya&$P2$!DOih2VUf#ZyotLxW6hXj$ zC^I2BxtQ2IVYgu(o|*OWVq9rQKln?$$!_zI9g`R1r|%Z}36kI+QRXO{G{~hhB}Gkm zNa+2bi~q30HSG=l@acNu^K!Ba8L!{Cv#(SiZb_iIj3qS}oe$05Obqp9)S3xl{B>DB z-%@#+tudP>d=8fn3fMD1aJwJH;~)$IgN_GD^YhUVRd`?*JmoQ$n zDfOi(7cV*8Bc@g+ug=czQxJZr2rwCFcJ?A%Y*bhYiKe~*q`Yu50?#B~7!#AeV=vY^ zNWeZtRbSIVDUtO4up0jh+2-FXDANS1ABFGB?cs$s^Y^o_2H{;qO>9*1ySmy!Y^SrX zyH&G~fB)6Al{dw(a3;*sZi|*_P+5frlG%ms+6S)TE$mEC1k;`e9@>~!6H6~ zSQYnnS`{bUG%LT%pQ){0mI0GdIEdGuN77#XM3FRKdL=k+tL@e|(q9R9$yUyhK3Q@& zHu;%k|Kf?N#7#gC@((9Uv%ojID_6g}jD)y+? zX5izOO9jJ)>h}~r-$4UB*}Z>IgHwGkANlJ|mr7=z0FAfl_^dzUJ9#jc@dVWINA;1% zUw~Q7m7A1c{UDIZrakoEUZe7Ku>heLXOxfQ4mSDvp{881HEtNzEBO5IxIClUP2&g- zvfy4bZ4^%(9a!wqg5DH-?5XyB-q_f$UO-n>$oUu6`e|SAS1SgS@qwu_VT-^0%_?ln znS=eBnO1E4^LO+Gae6q}k)XA`SL0S-rG5Z+5!0y&kis^Ux0hvi_~e&?0_(FrlZPe2 zYdr8`4+L?N(9eMJiMZnkeCOY4`J)nyhffGTH+HFLePL)wZhv;za(eW;`u)s7_bwN& zc8QlRQgc+7Ru=rQQx03%u0Im}T%0% zxg2*kN0!)VUz^a#n#kX;7+j0|)>e{sVbvd&Fs|g1AS%pS45j+nFM*b!23Y+B8WQ2h zGs>IL0r*QwZ)&Wkm4(cD$YT|C84LH2W@T)t<5@d!qMzouwO{~Mj^e~e$#rKZ*BX-C z-&%YiS2U_S*yOmmZJM~5qVN7Ho4D@Du=L>oesv47&6XB6s#_Cma;b@^(QD*!Dvi|M zulxCeCL-j56>7U0*YFLvjx`DO4c9>Ho}vnV6^0RT? z@>EOCL3T-Hd3$`ixPIB5ffc-LjkLJM%2mbQkWW>y-xe0O@(4IO0G1EdEzaPq!_!}U5 zDwOTF!prfdINPVaa|~Mh5)0~#cS@q$Gk9M?A9*WbjXV7x1{mlj5pPHAsHgb3F{$e{ zDucDh6U)ua@+oT!3I<;7O8frI!YOP6pRL6k7%uNXk{d9!VTumy6;c-=3C|Z#F0$R{ zpDmu?FMWT_Cj~7{NgRZDTx{_%DD93h zZs=55^`9I<(XzAG84i@i-yX7CaIo%I`-6u+LF_y47|8w$i}y8)w|tQ|Q}p?-sXsg! zYZRTX?Q4rk`R&8xb&GNG+npeTeHmux1$qdamxjd1j~wHU))1}_Ye_+k`C-@9b$IeB za|Z51Y;{qs$>&#lX2mirehlP-bkXx^-~l02-JMpM%N$a2@JY*M)Eb%gAvrGRGl0|c zvC}koktm=4R@#+FTQfVhNs@jgjm43zdaC)I)Hd3-6w;S;8Ty9}FY2jZ(k(q^m%M!h zbQBD*Kvw4y(8R}*XDY%-ro^#}pJ@)rSYEL*r?cyu?VraM%8C=JG^!^)u;BGWbP$0} zI9i}adAl;s@AkROnVxd6Kut7X!@THa^97!sss;;+rjl2k+Zy|MpJ&uk_C<8D;u#$1 z+G$-lN1qL)$i!i7hX~DjQ-*zKh3kmyudV6i%si9Y!gOx218GwG0QYw)S&yA(hNk|j zHaixcJCCr?aHlW+0-t~WY4^2H7e6|uxHHejd)6S@Pj7jKlmF2562>{H{78nie3^S) zNyYm$G37+EV+CAbtD8(VyqG@5uZ{ckRU3Ea0Iedcax7m|F`B)2EC{U5-L|zJpFAn2n^i6T zA2@XZJdlp07uqzCPXajt!O*yp$C))d-vCl7?i19#u!Lc&E| zZo^gpHI&1lOt86sW5lKMlJD)zEJ- zHQK_Rh`Ci_oiNjK)*Z0*sVJ|@Id=X4eS-jz&n0bIhZ+#=I#qk$#tm0#K(xlBsa)n& zl{^R_+@pah%83czsBSCK;Ds|0dPBpUccLJki{XB=hhz8(*UHZ^)xKqjKE@J)>l9>S z`Nkp3FA>bw5Ez&qfFRpN-p$|?2aU}EcbAH~K;zp-9$xc(*7srOQ60m8LQe;9xfyCtlvEjab`*ZGy}_jn%n~QnTO2Pk zhKuvJm|Z07k(wj;1OQ@`wy`u$X0v7f-Vo&4mgR4)TKZa?&sD!g2%%p;7fkECPXv1` zc=6ii?*P(fO^0IuNkDqLk%EThcAOL`?^acYwYXC}A#UoAjbWtey7z}PC^54-v4_f& z_5HlIyc}1zUzaO(qqe^ISV#qIoJ;XGji(bZ*fV%Wt>2rbteQ5Ph&TjzbKy_|YxC*6 z1>90WYKgX_R#keE$(PS-OXg=miZ@mXCls9Fl~#_n^mU<=*i@u`VY^1Qj^6suxU@@z zz#lLAYUGtlzQ}xFcdTd61skJDUQ{N6du>C4=j(nsAGoO&iAP+>0`=wHV^(; zAhJPNrLKwVo13!a`S|`Dd*SQFTY?W)I(*X1et6#;t}A=191^Zrk>zOXPcfUkW%J3K z&dms2r*ltCM%1bDWztbAp)khMND!u+z&kNBFq*zYOmxtYtnCtU`IR{f6}XutwET4E zZMt491TB3DdjC%*tZWJppYAS*ln87^QpI%;Ujnf>Xv8Lu45}YeFMfuo8ocAc2Yw`m zWIx0CCFCowJU%1^pHm6Hop~R`wCUQD$F4gVsu|*>u*?KUvuxX0Kf&C|Zj^n(>b0n_ z^2N$ZH1&z2OvY4YkGpdq`XcwG2*v` z$vh2H8DE+oyEK;qvYi)}{-m&1$dr|BnNZf(S|LDezG!gFAtx_8>!;P|&>F0vQ1h+N z!m`j1?ico$2|gR#atNk6=t`*x$~EIkU`*|herTQsJ3&Nn8i*m_BGJn-I#>i`$>TY9 zb(O4_2PKZz`{j41G72=0#m5G##5H+u8gMy}P?*oaz z@}vI$KS+MZj8ciTdG(v70s67&(fabbZjOH_%gKwAm7O~`lzIjrdr!PLzBRK9oqw~5 zEH80H{Yob*_Nl3e;;xt5Ws3Z+8^}36$}R)DQLr5RzGkd5ynXG94_-ZIAv*;V`JJ@KM;0#OJ0ot9-+`O^ZBCP+%JBnxTs$>=DbEBDO+pf-=!pMXi& z&8r>UJMoP$5p200H;JXB62bc*T6q-sXNYAb%&qdXR1L`ynZ!DVcaO4mjZ7FLd_>f4 zF)|@+f7F|zFe6{&{4(cq^zVSm%Z1RMmtj7BGkH6Aw?w)}D;3!) zgO5Mu#PqV@8@;R)Cw3kN$cwJ4M8xO+L`#);cSU*9^P`SS6({;H5?wkuF*yNa982kv zUqO?(EwIHWz57|~+1|Sx71<>wg89}?)qrs0lxxg#FdAncP-uGHG`NZ~~$$3$ncV2&~(C)n2Z99K+M0f*3h%Kp_+&y{XKa=jbyYJFTRg zvg6~MCh2`RlT+7JF%~L(_U|yT=kN{HMp*(o6!yMYWSW~LDA!blg1NoDzACdA`vB4Y za~f>hpm3w{a+#K0C@P9j&$avW<9TRol|hO`Rnlvm!pQucBe{)A3G{S2IGZXW|M?VF z=als;G<2KYBIeKUshC(J4ri@9-4xP0h_Rmyf$V2hW5J4e6IXtNMtsL18csluJPQs9w-fO7 zPS6zc)*w6~7qo!p$xn?dwd%USy+6_I*;bcpx}y~WyyGTwWRtc4B)YAuFK|{7mv9U4 zs=y6a+ZR-7bf2KG{M_Wqwbo%bD2{|@XD ztBT$aCNP_be7?!~E!@R5G@X11TU0RdXIlZfpSee~XnFB`&`#GKjTZ(3 zo|nq)g3ZgzqoEI={J&x(Y>?Ahu8)YB+1Zc9!k{~ccl(j3pC4}boQ1tOG7>CR{O*#+ zO4tUb+-q<5A*us9Jg#)8q{6y#0{9iD?v7!a30cdW zTK|;ZYpk#3uY+)%KGPH;e9|mFP5Lh>|0j6f;3ZGhT$${rU(ycfoXeIsFH92b4UR1G z&hg-4JOvnc6wWcl9lf1NCawJx5o4;M1En@TbY-V2?Y=X&`A%D3<++zW6gD;kehF$` zAzwIA`NoI;Cp;&3R-W?%$!F~GGX*D}2g*s>V@qD8QM^i@tNf~$q|!73IUPLGI;GT_ zn%FkJ;PhbKOKRzO`JtEqy-Ilx!dRzazOD)D}=UwakQ5 zRW0#K(S9q^h@Ez0&eWO}!agt>3ux|((?@7tB+Oysj4em%%MRl!(pk!d+TGG>f>e4) zx-Jq4z(^*8X7le^k%35;q+*`sI%(LaO>y?FkwvZLIOCyd6ZV+Ku8^I>KTQBpv549s z#%nstsInx9x?Id*rsmT<5hAwaM>MkWgS2s5nb)dGzV-IqWZ*K^2gyHt>)B@UH|vw% zKR{{y_qioYR<1BS^Qvr;3pdp!?#5~Z;7`|6uoB@+W#bS8j!=n-agy*CI^Z}P>*sVi z`{R@AuFo39Choy-Uq6kuY%*uOYqn?q-`HK^9&jA^o16ajL-_427aknVP{(?N-W7Zdp|9f5{cN`i}G5IdP6Jo~4bv7DHu6yj!GJ9+;Ju zWGfG(RD5(qK2|O%bs^KGXE#9=D#nT3r}2X2EYBSu11^Fl)Th z1+)pgwkC44nzx9O{P0C$0d14L(fBt>=z8agwYBj`NBXaG`b%dO>cv9#^H2MHu?zhd z;rwNaB#;Vat7CE7(?aHu z^o3)K#qCxc*kOq(N~MO2Vk>%C@0es!=i9<}RoGh83p^7w6zh@?H;^m4o`(G4E+^`o zyyl;u`}~fYC#pULV%QpV4Jd1LQW~w%m##xv``xXRo?**q{5E-c0gq>j{Bq(hlm7@e z*xXOw-HcZO1jUgnM%%z(r#;Pv&yds+1?f8aezg%S?EVC* z$mQ!a3bdz<_jc0tX8FsHIAhwehcbe+p1Nl*u=F|%NKJy-MoC-;VU*QfAmk$u}t-lRY;%h zyFRJmn*}F}Bp}=Id#aLR{M@kz0Mre>eugq&wZCkIrh$K$^F7bpwpm2Vs%G-%xa^ST za;xfC)WE0*H)&AczHN*bp(^YGH~_`olEL+=tM}#A4@TxR=co*dy-f6(50*nXKY&w9- zMIJ3h7>EQLFV;Rjdl$fV?;t0g$EF-?e-y4dZF&nXu2xcK5Mt(k?qlS$L9oocXdp|p zcm8M)68RC>2kx=Lgg{K${-4JQmKH}w=eFz|`D62U5cYC=m4}Og z?mhVG%(TV52DoiwpVNi075U;sr!fRd?2MUn8U=3`b*|4Ymh9gxis!13YThv0whz$p zFqrSEKTu_WOiMMIKKx5tw=pp^3BNGF!A_bUF20aX%|Qmq+`NpxkDjG6CHdqmMO^N) zPX6VRm$T)!Oe8lM9c73+gnc&#jeS~{;*_J?4;xJT!d+bhhF*EAQmdgwJCH)ETt z8;my1At{ZamZ)Bu9u!&=bq6ZkaYV{=gfHf8MnZlE8*ly%xLKF~lci-LxyT!ek=gXi zsYjaTucOr+gKlJ=kwy6o-CPf$$W-zv(5)TG-)-0uzx<%OeoC60X1_j(UY8&Ly!`&{ zg?ZXq6)YWXIr!(R2=I~thI)IyfuSDCWiiE50fSkGA;UOt0v(P&A9d~bz=)MkD1e|# zmP$#PJcOxSr8_MPVUOGvBD4GKH~;IIVC>H0l%-jUa#nnK6bs_oW=`@}=(!}3#A|V( z3p1wy=RDrG?Y-&mpRlXLDA~<*gQ|(t?93Q zIYlIvS7rFYNmK${g%0z; z7tmzY+>t|YW!|@oXzcvc02EGq{`r12E|xZij_2zNWG5Dy$`g|G*Ry0rb|R+Hqm0|W zz)++AXl>BT-R=1m(#-YBZ5J?qT*;!kzkv^fYc%)`19!ecz=L^1!CLwzn{&X_L|$EO zqsQ}Tmn?sVkI)a8h9!1PAjUaJz#GxVx1ytJ)>T5_DzO|ibwD$SY&Q8T4Kb4%C5o@` z{JU=lWm`EMV$o7*i12fjp7tHZWQ4c%ffX=$3se%WfTLd{RMq;AxCR&RSCvFb1xZ$&wXV1l z%UGhOLuaiGKTstv%dq~;FymB~GV-g3raH>MMtbLsc@Bbs#8N#TtB$wRSFLtFWJslk zK0Y< z7wk1Ih3a47_l{9{O6EIgu;$G)pvaeY`C?4`+Xk(^NVBLJnNeREW6jmorJ!rS0JGP+ z0%YAkx;N$dYu^Pg@ON#MhumhVAk1&gKGNxfb+Kfxvc-7?0Rsh;%vKg7o^hvtMt$`< zbl~M+Rr`XlJKDs`hA4bJc0_UD@yD3aRqXUsOG!T(y{lxHO_*y~iWKre{IiKmihqpzrdb^1aC-pbj@B01v_B$g3~!ExZh50g25xjmJgHI&A20oC z=QG=XBes$ib5DVV;X_X33Gh)N_d{tT8gI*0d)v4J6j)rvwxX5iU3x&;!GO?aMYagI zJyK9xkmcps4mxO2u~iM;_R`ecPp!86XysybT39IorE@_PAfU<LZj50O=H|Iw&8h ztijFraGwk%-j%sjDzCbIW zGg9Q6Z%v0~*Iy}CwUuuSC9Yp7O3!3gf z7OdoKO+$q(f2N`s3Kn$eyC;6A2NrN2pS^#g7F|z;b}w2e-Nb4hH0abASg*9-q&R%E z45B}_@n#Tt6lhg3G8Al3f>8OPvoS(uQE~hGPdVbV1$PJep0Pd!=XLq7KBGRt!}(gHCEA4*NrO|Fy+`P@@;9Y+$U z@>o9c34oyXs71B~(X<9v+ut~_@mlp}dPHl@!8$_t)*s<$2baZs4)e6jdOGfQ)wndYUr5B;a1 zc2NQEUNcRG&Cp*pot{J}wkjr-+08h)B!~6L@he7$0ZNs08ZuW{+M=K~@J+aO%3W z;+=NoQe`C=`-q1_!#WK7X9-&)gHMo1CVf)dK1E0X>OI7fz@WqR5i?D_({_`YHwU@G zMbrOH$MS`~Nt~4!XeHjv3eED11Y|=B14vYMPpe+4>~ec9!S9+{lKcV5TLC}7fo3K6 zd;}=tPCJ=y%R!}h;%`8eSQ^Bz;;OC?ATYQWzttZ_Wa@#-uAp=kZm6kRF}7hs{|Uz( zsOpKOLk!JAs4iv1*{~>aqLROS)%KfWd5)8v=w8L73~*HNPOTH+-LA{e;}YuR7z5HTI5oZuQG;>%j^$$FqH? zZX0%ry0TSd=gvQEWogOBc4_^^XLa`wrdjK3gTZ?`Sy9d}GSLko2+ zKPbsN2(0#Y%bpUtPX{}dJxtzG&5dYDrl2$7aq9}Z0ZFmZ&@9OC4BUtu+mPif3TLon zljSiP&3@&TBDKu>bjSP~Oh^fP*dQJh74hNpQ;T?tG)IhV*mcZ#T3kl$N6`mY^ry2a zYp&k!z{r}2SP64fY>=?5doUFi2y;gv zt+u=~@Ozkf;CjwFT}K(0mXXBdw0L4Xtqwk}`h7XHMx^uZH3S113{?BYPyVKGHQgj1 zGNctULxDI~!$M6}d0zm8zx7XlgA1~85a@ZiDJ6&^>YSQUd-JJD@`0(CFsT$PDw*7{ z+QJv>Bs=iglH`BrnMFV-mlc__$``jb4opY;HUn-z`f6?9dsJGnYkwCr*0}_e=NqGhI79ACe zhYb~GuI#ePDYI}5l_vz|-xfYA1HU(yEmNEw_71NMi&Mt99I_V%Z3}j!M`r{~;xiQa zPJFL&G$AnJ-q%pbabwVt4Os5a9n%jt5Z+>1htbj3OHhEl))8(6#7P9R)7PYg2}H=d z0vc+NQM__Gu>9{JB?al~EXj~yQa~F-Vf1rwClMh4@3gVMywVL=%b$^mtylZHco#O;{fa#ZDRtUZd6?_Q!<5cB17B8F1zFCpS z#;NrDJ*FiYSI@1zTh{TW!JdNt*mEP-l)~sKKL~%!!ejHDC`?;ygoo`bJnSCel%1?( z{oV|$Ds|`+w5Y*`;u7KQZnb;n2{9lBz2Y_gSGY2Q(F)7L7{ ztDovos7)19|gwOZNH}8D}4PC1(SA;+9rm3K8jL}G+&@V_U z)BNUMPKtXgAQ&fc>Eht!@Pg@J2uN|9n$01~p_BrVh4(YA#h#r*x(BF(AI}Wfd5M4{ z&)=o5c|MH@Nwb7{x*Xma$v%tV1D2;ZF~4CJS=DlV@Ga&`JPzT`nC*Yn4-<}Ov<@Hmg5NcTX?dfjQ^5G~<36a~8@k7aZ;-YNX-y_#;-1AaoT5@rJShU_M}$}67UCSvOB7Uae5I_nN(ZkGzE}(M zy{Yl+mc&b73Y2^h9q3JE$&xDm=bQ8 zDQIMA?d*k~5Ag9kuGi#-oZP5C(t}i(J13m!EZZ|3rPU@OpL&M&tN?8QC?mmu38x<6 z`JkzH5T7imsNJbqpkot24gdKvO9}8=Y1^~pyFMw{k3B$DxT|9^zx!`FFaMPY9-6Gz z1cQcK`&uczF7#LJ8`~1LLPgG{I*a&06#nj@*nBd|qyhcfazw`U%cPt@pae_kFZ#Ss&!=TjIs|ZJn&$fU z6b#(Nqus*<_!28fupf3r_FK3pOunmC%~k%0`Z7llp4FRvWkKeT(fRZLb)4K!ZCMQX zP`hC^0QF};l>MW&+K}_TNs*^+r#3z}xsh|2NWcD$uenU?H%tQ~JBdO$bLq-D+@*Th z9ot2#NeBqv^6sRC{CRGucdT6>^JHS9Ie)o|?8h^%N47#Fv9MixlQ+d#1{bT0-2rL< zo1JV-7C{kr=uxznFOA~v_!~BC2d^F+$6gr0c-B17+bZ?v3X|nHB5ZFus=4z8nxu91 zgeV)XNOn`L&#StY-j*encP)#b?Z~?56xwRbB0V6WkO1t#r0~r-f(H(}+*YNB+t(wa zUxac&9g$B_CMq!)(qeh$>NtKkid=zn#8+*}_%~oCj|)vji7o=m2j4#u!h9Gi(O-+@ zSG~*Vd4*?kVAVrcG6C8EdAh@&ul;VPINODJBl71rz@|Y+=O?W7Ko=RGqm`E)-8!ey zAy1P17PLwB=j;zHxMC7&J*360=84!h&o$UTkWonZi2VC6(n^EA>-QBO6oaL_<`>Q$ ziApvAUvc6697rFp8FIyz+oXz5(fr7fqAG*z8P@FlAbwAMewDAIgh1UUft!kI5Hq*D z$_+@5D}eY+o?G`{MBC^4bcjDxYkU{lW2>hoE0VJQ@f#f7@=2ylGpp&^Z$V0Ng=V}R=`(tfI0=A^&M1G;EUPKD^zehnL}@+fVJ0k+Wn ztVU>2pxgHRpJuI3LXt^*i_i3)$S(y_9=dvPxw4TK05QerLnrXUd@q7qIl9WNM< zsQfCOpHp5*?EWa$%vjHZD&ohuQ+-JtAJFEyxYyb5cz6Ckw!ShdjxN|XSa2rzXpjVlpo0g3!vuG?*ZJPM_t*Wynnm~NK4+%8YS*r+eaNtu zM#N|hh7*92r1*<*Xk9!hmKUSbYHr18=?qDQTLDAv-|7Xs?Z2EjlGfSz1H!mV#Q z)etFcUTmg@N-T}-`txQd?cUe{CqFeL4yBjSlqI$WxiDj08IaP#5=?OHmceORgrQjO zn%e8PvKZLynl=Lpeq8Z5&hNbbECYGeL<*BWgpF$>p)r_8&TBc5w+SWFKTP-bR!2%E zNvW}5ohfc_=Mz;aOdZrD5(AqGTEDFkGS(5`w12&tm;*s4XArRIP8+U}wB8m;zY|(o zYov^TC?}EwB0HAl(3mh%)i8bA)vu1AZ3t8uAGi+EWpW@-OY&yUj=y@84CU3UpNSlR zMjLzd1j07=O#NWP-M9JqD(c)7p^Zqp4A62-W@?@44?$@Eb47RIH+Ly-M&tM3s&Zlr z)+fvn0kAOy6eB`?hU=v{YRwoC#_jIzcg+HB+rX)5jYd>pyy5hHg(PDp`|l;a^DM&i zLi~`ciZix~4b;;6nZdAA>L@J17jof!l}0k)NuVxzp9fu5-7Q#`kS|~LnJcaGl9q%o z{%AQZPckV+bIRQrpb;Wk#G(A)*WqY71T zYN;QH$X|4539x|NJEZ;tIv|3@$##iEs-WCkPr zeD|-_gCq2-F;TwqorT-D$hH2{eI;X(CbNz~>xW==0dzS{3h#Q!!S`!N0hQV0jUml& zKM*3MSyJJbg7x3VnvSQl?u2}70IIy?p;p4jt@kU;3Wp+Ai<@RFZxPAp)Kvs#@D|62 z)#*;HXGSApm5~V=Sr7<^PxH(mfo&6Q9o#7IG6sn|xgB2%BZr!^%kE_6)s;HKef{ah zEXF<|{-GLAOnYn5KDKi1&&aP|ny+#h+}YG^ff7sghr2eYHa4bg+s&TjCp|ona?jV2 zSJCpHyS>r%#DUNetea0dLj5p}->N8?*~;VCk>qtU-S=+2U_6`vCuwDZEGE}Yjj^g? zLg~I@*F%#rC#O$hg6iOVr7QSn``}p`NY*f)6Q~{C>!dJ?1miafjku6&VTVW4tK46F zWq#X&$C&2BsHVDRh3?H-{Kc*NOHt!M8+c}w=|hyE1kSNbo2b`GlVbi2qgbwC>bbcw z8frujv26F}#>C!mK!PxzQbfRUP}`bZZl_xoDlfN*@YtXb@tTQzP9V$G5VP^THP+_% z<5_12+Q{9ZJ@iQ^udWS^EXH3uNW%QY5PEmoYdHlok5YQm=twzID&Af-2uqA6V)aq|phTAQTQhd^9SK-kt{q9lCr5QiXfl z?tpZyx*lS?R2V5%&;SkGrk^mM%r*lM<*Y08Fv`9R`I7~|iDwU8FndaNG@C;qjjZbf?5@{D1Mo0HgA*7eK?YT|GbA2cjB@ zD&A!qZpGF@f9HC$V9{5fGf^Z+t}U9$W?u%VQj6X2de$Zk%V+%2{BV&qzWpzsG@@x> z%AZo+GN@h-FVxWIv1IR5qnELoR#9vN;p5!x<1eFzCUP27Ep|#CLN#*Gzxo7eUNlU% z=AHal8uUO(exL{Q^lOK`Wq~j}y_9P_09X|C==T#e@2GHR0x71gcCS`w<=0pjMAWJE zJ0?SMH$r37Y^OSvgr8fR(VX5#h=1ux+}Yz%m!xpsh$=tTWU!Zbc5(fVZ7Ag^riTRflI5<@*^8 z5^bdz!!;40$)Q@8C{jL0s|(6kyZ?k_Ot)~yy%{U?2?)3Z^BC0O0Wy+`JTCnnKjJ}w zgLUvbvcktT5Z0vWA#p0@kRAn{T&Z-2tZ@xdkPBnJDvpn)+UGvwM9fwJF~F2ge0Y&~ zMPPJTOPvMwWy-g~6k&Ezx3~I|_%()_EF9mu`_sTu1s{~6T zjR0NXM4QX6(1vx#E(*}d<`7O>>D1$v-qi$sk2g(0)a>r9xj;E1eZ+moyOz zQqYK!4rrLH#5+=$f)s*gP#<1b=#zC$O9b&Yn2reqvpAN;L{QG7uuvWa*GxIGu~6l; zkyvUC_63N6SpgoM;#U1XQ^ksbeRD!Az?3y9jk2bY%qAg&gW znA?0TIfWy*j|Hxe6u&QaYk*f#=F{EC=X=Cnft>qU3y5{KOH?aeRQOsF!c{&wjLync zD$xp?xe??H$b~6Te#_R^yNhW)*s@yyRjphEC~nd=x&Xx;(hTI*Q&V+M9wv-A10N-H zLJ?B+DHDz~QDP!;P6x%D7A6zv&+YdyWA2KmKboD`3M2XF+USh#+1dFq%``jNiFOWn zQzy$;n$VHoB&~}R7N^}0nTScOXJU@{TnN+*1J-ssPmt7r^3jpMW%XX}n3QZ;bR%Qi zt*PPaXR%#bbQZxRH&#IU-GeQEi_tQ&n67~xAzX9IARD}mEhcZXK8m-sK1!=9CnxB% zIf@+pNeqQ=?)+NwRr&Qp?}GI_B!ZuzAkkli*nslnSM7y;Rx?=o5djg0PS=zl4MX_{ zP5t1f{6LK7H#qC9+UAiLPHip}7ky)v%n&EBKq*VLDf=l$el$Y^1Yyc%p#OY7&d@e4 z4ar-?Moee&!>3F3?~|b~BD@?&B6}}uaa2U|G25X0Sb+Nsy*rJS*}Wj#f~ofJPR7-FN3rdL|g;Di-W&A;u&8ojQZ1&ic@ow9!Qiq=iYW zo&iM1!e-e|x*mm=5qAJtm}BmjVi#Es;AA7hnM3ObO;Qw81K~NJsEZkRK&IpZuD6|i zV7f;e@xQRjbo(*incfuF66|Cf1k91f`+nU>-}@&5j~FqD+X2!<~aShvu~$NZ14-hO+&vyhL95EA`h#i_<{ZOG>)GD| zp7aBtky#t-5;vo0384kT-u+d66ik%US9^#jW!XwsW6l9Ty!=@>wGO@B0{5q!>mQkt(q~41SmF@-hKl$fdoSaES#Ke)=oi!wF_M# zyXWM}wJSrZ+UB>P8GT;k3#%Qf`+Y9##f-4i6Rir2J<@%$`UiP%5AVrGs3%MKkC+iR zR?|33_)CThXDJ?>r@dAYJJ=3?$5zAPeUK@@cLW=29wVy?;H|yx8fVY{mEvj;l-5no z#{Vn%>XE8TS!gnfFD}Qu>Xg{dDk%d z*S3()P=jF=wzqu!G?MgWbGds*j)Yz0pD<1(|0x=(Zt@V$Je&sesK*_|0>qQu0f_A+ ziHuvZvBX#&o9r(lM3uky-=jys1h;YkR%5zNHUSs(ni*$!#O+-79h+NgMx?5rWbGTF-KLFU` zU?$cDlQ`zMTbgQ-7z~x4K6zOLHY*^!afrI`4;MAYD!<1beVZXHea$3t2tIdH+?+ub zX-XeBTb1Q!^9@Ay1NUTBg!@5{+(($7gWyLh>xVd~K(Dfz@&dIx_P>n!3BUROEU~YQ z(bT_v5az!LZP5L)X4)g6ky1dv!<58Ha_c5jZB}c{9DczG75$to6hxc;L&sq65Y?Cl zB9gu3g-A)aE%nCbwatW!AHe}hQHR&h2F=1v@7OP(i_ncb5>i?N9}&1M?^AL*&)ix< zUgM*GYk22RGD3|V4VY0x9tB!Ky5CuWLfg+l^(d8Ph=h>0Ntc}olZzC@BH9mbn@y;S zu=b3F?aoPqXD3g+&Ob&h?-V5Lc;D&S=@OHJOg|tMAtFN#f@(|Q@Gex5hlS#yFRF(g zY{x56sPfwhrB`C7mFh~}ov+CyOb-TLn zOAj#A!ZRj*ULG30zd%!ExuBOouRRyIcGkzQhq&?JS956_S_@{9{CDJ5S24RS6hN_eN zH7;~k+0X`aL@AV1&rTN@;Nh8%Ds#&#aiBSoVwfwG4A=a;7hij-fz>oGc;vfWdrkUG zcuk(vJl!37oZNiRzY>}Bo^RT1z7~tKI@dBfr@)57$fjB1tjq894avP|ij(RJlWOFL z1^Ce#$Hb=h!+HI-0{u)mMn*N;w{{a94jG}cNBsil9%()=1~|jGS0k{N?OauwkCkls z`Y7l-E90}POgnPlY?uZ1pEXD0W(6!<+RyF-Vn4QQm&OtDG5I*U?m&k1X-}heh(Pgg z_f+zUY}oe7G~Wu$CD{-iS^8$W)F8)(-@PmHCD0LW%?MLxu;mpiIM^%~!s(R~)VT(g z&;LNt`XiMf}Mo@AFVyX-Ps{2G+6co6CNJiB{8E=C?9_*S^XdF!dW&>RVz#E0*J z1pO8tc{All4a_ia)@F0=ycHMI9Ztd+lDPWqYmSeE!=#kk3S(v31M^0j^Dbwu+sZj! zOsbs4ed7mOFJZFgIgi@QSRs#rmym#e0MLg*#@-s_^ca*@P;`r zu}tJv}g=yTuV|Ke?iBpv-=0PL1J>Yes^=m}D; z>H7vL(ie=qwwMa{MOKjn-rHU&(bSu=Gj(gqfhF@Fgt_Da2J8BHW$i!t&8V zFzUh&EX*e7{#+T_SZwg8fbgqJH+z5Y zZNv_A3mUS0?MaSX#~9@LH%9ZWGklMLFEcFt#7@^aW}QFuijCU)rr!hTn?b_O*{z<97sx0OeV%l z=MaiG22S!k8x@U+R&53Dx?!i^dVC0w<1sX-K?LXspaJ_k+ivS%-hUd(zWcRAM%Ma+%Fh}7cbwZH>!8meIa zEjy~CPWA2yhBXZJf{BnR|3C=F8e>+h<+0t(vAY-pW7z zA+evdeDI0%vu__x?ev&T!JZrWbWu>0Q+%~0ZSO&(Ht)1^m@7=R(*H_6X~4>!mm0P3 zzB&FcY_`b%BR@?^BW^hn=QkE7BB+xWJDWYTyl84vbfHAb`QBA^fi3v=U$xeb&ZtCT zh5XQdXt1}zd)nL_Hlz15%Q}({Xt70pQ}^7*kveB{vm_I5jN`nf*ot+=k)AHKw(1~i zsXeEfWcyjm2l<~%w)}x6SeI%uUKNMoq2KL7FcFwL*{Y;|dD4DYx@LB_%!nT=DRH2F zr0kP=|Eh9`CGB*{#_t*3?+YNG`~{@@ah0vFfZ&Y_w-z4nH>beiyRV<-sDpl#^E@`s zD1-5*p2?(>7RjM24;c61t+ltb zStfhTUIe}zNlYh$^S8I0K+AAdVVv?hG0sWATQf|gk}duW;m5v0UhlddiCe#uGhy`t z__*Wb3j1w&+=qy2nhe!!Of@uBaRRfwhf@^<1&4d?Srs$PTWU`kvAb-=r%|)frFL{4 zv3flbsz2>J2snbs1s#EdKCJoj#c-A)0wgfc)fuxOKF|WTtb2+3u4byxLbz3Cjk|X9 z$?2dvca70Z`&M2W^=c2t2MxY{EcMfOVk+7Lo&;FMsA#OZ1AiXq`+BCWe(TPe1$`7a zjeRRSPhag^>tU{@J@7z=6GDW3t!0%0^_*nC;MZ>c7TIVb!gsvdLnTo>{jH&D1pd1{ zOIe4GnMxze!~RQ4J&UfC@yybLagx@OPN}eNQ|FBchm)k-%yu;^m@66@(hDd1zRS2B z2~z>83qszb{#0K$0*^LvC5_7gx2SWWUFvc-T;eajaLa0$^MFu=vfm~&9(3)IxjPeN z!9=A}im0R@G6XlV>cHM3VZx-Kh6}p}-d2NXt9pB6`R$9W(@C5Y+$zE8#C@clME?g!5o7>>tG`4-mT z7f{DsbDV=O*H*D{dF{n#Ti+12{K8&S}JHv$_;X59vJMB;-Je^eD>_uhKVf2cNeaQpq9qa8t!5-SAm^D z${-5mJ&1)Rh5G?O07`~QXHLiNQi}rhrbvxN;a*S2qH@V+WXQaB zz%r5J0JC&|;Vct!M@~jqH6nmhrFv2R1DWaHm+;>TgZ`~B#UYdL6m0EmD^o3(yCm@5 zyTp2)c#;5^XUkdqJT?JdvbEKO?`6Or`>075ufOko#!(*on1A>K%8`lD#FZN=38XYcN4lElHL0vve5@UlZzzUSLR+aR@>P` zofhMnka-Hj;pFCp%{xTF=z+D`Y>V%?86BC?l?0dx;g*2{*O0WOT zgbz5`Th%M`e8O(^4RGQB1URIKq)D#-Yi!b|f1fM&wsB$^X`c_V*wPap5Ks)L9+N&JEUGr_@( z9E=k`-%k@t6Fl|WPw1c{;-1>1kyt{lRZD$m z)A*luK7NPK`B^_L6=-5KXZ+UeApPxIh?O&jlEr@m9=}6>*sov221GrU6$;r;+qn+3 zTjIiC!IB_wzHG_}ttWFH0d&qzldM)g*INOSq& zdZEv21tVjfI$^D$HcR9BN>;{ys#7Ol8hRepl0K3=lgi4nVM^U*Up5Est(O>Q}*yK{$U zo82dxadEoVUTLZ5I*?CkDfzzerqnKqUScS%k-qu$X!9GCq|_NTlvB|f`R=em=Bx8Vgjf<$kACCSQDS{!=P(=Lzy&dnQ9G z0h^Z#+%(}Cj{vz^&Yg>UWYQ3!-X(`wBruL~2@qW)`g{BpmZBg-<0Wr(Asl^>9>&fX zbM_ee^5&MR-aGe5FJ|~zj|tZ1;7@$k9+%j~HLnp2BOuRvy**M>HACz+hSUFsnji&I5s&xmpmsBPB>|^$EM$EvWdgvPY z=aW=YJgF&Hveh9gWSkQRdhy3j++i(g%n#WNBNUH`I(SAhg`PUM#*w={vMm3h!6RJW z*kSxo5sBerJUnX0z=WHg5v$vyHsFz@O{tSt)IH_eTV2aMbGkfUDm$@X_IKH8lDH~s z7P35CLJlrwg((Q>AO;P#V=u0K-e!ZI5=u%~Ky&0mYf6xEl>#X3```e$Lw5TL5qkOO zNI<*onF$j_T7hzCC{ICe#z{?tRvv!eIW>#B%!duOUc`6D64}Pvyo!iboAGE}!awaU z19#l$So%T?Fr|76@7J-VHePK$#q0>|%p8AT9v2E>#Y$_gepXDBya=^rO5?J1u(4%( zRvPw~O4J`~ryV)(bm$UL3%mqtf9Ku^X9Lf)hMYa2I}QeA4&GqALTU_534gEBaq|n+ z2zwy!2O;SrccEu6T@6{v5$cfAj3&Jv^I86;sT*BDOt;HLti;!;srCi!p8H~yo`8_G z${6x9cdH7uWCR1+4#bw=#!a_;D}xbgGmUl z-(c~C>{2X#6*e8;K(0!9?@AiJnB>pn?!xcHcpjOKi80w1?|XzHJ` zz(4EH&}_TGn^~V#SiL$b3Ky}5)b%GiC?<-PTlxx3$i>Ar#g>}!POvNAuSj*D1rc^P z_zo`lT*qlC5I($~FI^0pP4d&&ChZx%^_+CWe|X*fH`RImp<^cif{`WJ;R5(a(WX?= zeZGBC;G>_Zdm8CJvbK+r3GiKapQYEtIv=AKK1xO*WXJazCX-8id+v21i$2UuQy{-# zVHw)hzPt}npZJ&^W|Mu^4!M0y#u+n~poEOx@qmkS=?nLHl`RT|jy=EzM}%!8u=u{5 z7frEqG2JNH=)c~tP<6>a-d#Pnyia!#4ud6KROvnKtdx@qE|`B*gXCwNC3(cA_7^u=7O$1qh_mxa$tuu0{@8_!y{DT_P+B zAzM!TMzz_k#Sr1x^MN}sgX4)s2pf1P_q{p%>N^Z&8QVvZCf%W~MCW68z}CY~I(|=l zAHFkg%;QhnS!o3(VLjziNjqug+bQp}8gK9B^0BPYQ@xz(y5rbD{ZL*7f8~qeM?j|2V0lc#U!v}|gUkjX$Yv<~onVeC>Hm_cZq`1}8 z125;fbrZ#p?wpWBl)1{)qmTelpvaaN1$+L*m+XfeO{Xc!(=!S$9EcNq5U(f@Onbr4 zS|69FyF`WyI;2kX`iW=gm_Vc(6GwY(bYbxZr~!RJy8WJTU_^FS;Xqf&5#anv_8!_h zDFT(*^N=johL_zsu-p~QHo<$R`9jH)=pJPIoKx!(6_z4Czf6hq-Hlbo<*~E7^S^&p zsIkp_D2#>RU|M|NFRUMWC!4*BomkiGZa*aww9w?#;ga>~L|XdsyEDI^BC)T=!(Wdu zjS|gfSkOOYsWyCfT1D;GBtJlR8s&w&^0U42{SSL{fCo54eVoAIz&~8p8Y~B<7Glgm zpq5FZ_QOczmKN)3ESJ9gZ-BJ+KTL6fviC*w$7Vn5UGl^gQXR6V*qJ1%b-^I3@xfoR zI^@j!t@!gFqlhqnsw2zAp~Um2m5$cb6Ihif?+HYzi@k~Ps}gPQVNJMs_W6@xbCiCK z?}4Cx@m`FxgA$nnySqF(WsZ$8AH7x|vb3dx!Y8V8Z3$Wwg_#?gfQ*6aEwd4cTE0c= zMV>~(!KL;!OJ6g-HY&L?5`Uh@IFjEkhLT%PhAX37B$zbOFgeUH_6Gc%dS^>wm%Rt{6azJ(@3w?X zU_zN`q=I*_@0M5ZO|{rzAP~GH(GFL27Cm3))r=Z%7%C71c9*#fNoq%XCj9<+3C3K~ zS(D`zN0Fql+zMj7^-K`Mji0tU1Z<86IYq0~C#lruli^1&jAyv2 z{RMQB-8f;hXfP^N-La77rp?8f;im1j#&l4l0sP_7v`uxUZ60jY&|J7s)w6taG~*O7 ztk1k^s`Z8~yqLB8rl%}zmGxJRpDd2mb~Vi`jZiO2xb-fc#=KssH5d6oO(Ilb$33r} zvi6`Q4W^)f%AEHqCR@w0H(J=h(p=~l777c#oi@o{4EXw4iUofacz?Bxs1dP3qt_^U zyJ*aFwf5OV8YWo0rE-3MKK&KiIKgt&z+dh7OQ?F*q|@0#hq)(F*|C6(^`Pccl&*bE zXwOEV-=;opV03|M1A>B{Wtx89L*s*m**uTfr8?f)*4-?+(f73W{u28`68 zT+X@|zBnLhQfZ@JpV)*$Y%iVri!x17fjK8bBF8^8*M zIQ+XK#j-X2jD=r#D68tum@r&}c8hA?{JQS8!)tdehm9s_b8-v76AU|4t}N1xtSY$_ zEtY?s6b>E;3@+Oe%XCU_xWPfgme_iFoki)sk{y%)Sf-!X=YT)6)vSm?*%0}gf}}Vl z?_LZBJo~Vv)ym17%mu!bC;f#<(M96a26&=o()*`a@w8nMn~J7b&agA4rUbxZ*phQi zkheM#dYmLMUSROlffnD4wR**cyu^9m^Nv=B`V zpI);M;*`X47#0M8my{_Muq|n5tyU)>Y>vsB#n!uDgU14qUpWYgf|1>Z=`l~Gf z*;=27^oV_Mrj7){4HF4RILec8e46nl_&A_09{waB5A#d}DxxIR!wneA09q3Jha<9* zEr7rckSbgRc8e_iF;wDFCGZY`m##&GHu%ZgUBbKWT5u?qN#qA31p_Oy?{?v6H24V> z$=_`c*XynIEx96i=2MCKwaEDrd+N7v&leS5$zC`y@*8+!R|VXqT=^#kA2B)sl2H&T zO>JE2@-F<5O^DX|GkK!sCUDQ}wkIMLcE;4dym`EGpWJnT;v*%`%>puDiV}VXtnNZto!07`JOd`! z@d)qbvzN(S$0aE@$=&M;Dz|LXD2u%su`7RbO|`F6^;^54y6bR>_gr`;S6CHkyB%Zr zWN|-7NtYG+*ikpQ)V`V5uOl-`$7mZqBMNCtFge6@D$uyA8?`TkR1D<(?qFxlarZSID8;I(+gO=HUcL zjlREV04v$UkUhr?)9=EN{hroxW7cK5WGvxyqH^!OEe;_HsXprVuF^DBl06}Xf% z@JCUfuIe{MdZqMxKp-qJ7 z3bK`v--o+t3iH6Wo|b0cYIieRN;@8n61#CB>WCJJg|7=~GZjz212_bN2IJGHi0RN^ zdXZOgH{wMiZDFa4D7eykYiMwMv+ZE}%Tg0@X+~Qm`+igURhc)%AkKypzE3(1TLLTf!-=$&%akhv40!P;P1jrux-E%{vN~yCcgi`+oiX}Xld?e2 zeF&*Xjbze|u}+zUiN3v~c^zgl5$nqu#7mgZAa-q!naF}U*(@p$l?gqR^-ZUt%NKWx z7lerldz}cJDP|{1O6Nqx2~jF@{RvIvhg?8)yOZDhipi5u$PDWd*w{*WFIXygZp?lQ z6mv7HJr#l0^MME{F)Hl}w^b&xCRPo4P_e<@Qg}F78p9%Fyz9|GC^Bh_xHYoK9M0o27jiVtB|L_$2BV&w6MmNB$YLC4t&}7WeumoP)LgI5&?rCbcNL z%Ev3L5f-8I;bZ0>#CR_q&`Bf+mWeXDZtxD?s~zqSHIQe7U!x%7SkBm6|Bdtea+zG&Y-<&$5jCpaWqfGaicFM9H38;C{JuAX@pjokd=7 z_B{MAX}73jf}9w?{B9dmAYnG3d{r$TeV7~O96yu<^yi7dKN{`Riz+rwqz17g$U|W; zIVzpf_OKFhn#u6qO5Rrd@s401@}bGzv7R_iN&fjBZpZG$v6glnt7MB}!tU?WbMU`d zd+0!*g~88mJP;A1@hb)|5N79%9UgZ1IW1a2z9V6511RCt0(&5}>Bcw(5)6|wRlE9K z%RxD^NpIVM$6eaauywd{5M`13-V(-9qTX2)tAlqe=c|e%cBKm;@EzNvUrYFPdG;J5 z>0RO_G)07WgS9RRu(J)S*psy5lgxy=Fbe@!Up2UN~`h{m8bpi5@lB4)tX0+!} z8z*LiGmtKGseZXf@Y}QkjF^+Dw5J8#S0c(Rx$Yp2M*u9GuJo%A+}u<-&pEW-@4WHQ zr8P5C>T?lLMuJaSb<&^%7h*U~l*pS&o3iRS9?yxPXX7H|56_#?V~Mk|YGkz%K@FHf z<=FNkXv~r0^kr*<-jLUGsmh+F;ue5tFrW1*&Pw-gZ&S&6x0)}cT`maNgss+HcDouu ztgNzL&*T&zCy})AW%DN&Aiz0kNfAdY26Zj1>WQ(bKc~}p%Ic{N<*qtHEDl27m3IQ$k>FUfWH57&)mg?#>qX#>vL9o=*w zCKSG09{~kR8jm437?58SjF0>qAPY(ronw`nOUMePItIzlv}4BUec}}x=Viz}BGVkQ ziVZO;S4vkr(ds*)htqVb1vr^pVwIt%`11k9 zH(k$EsV|0M5>3sJac|na^_8A2TJjtf@^I|jc$;kFe51n>KH|trpEXM6sO5! zLbNm)lUc)8xu*Me<{uKP%aG)#Pgkt6=YyrBg>kB^+4Bef07P!sjQVO{m7Cr#q+lYJ z)~cJo*z?lFI)>lp^3|qA_Grr0uKqS1$ zleCka=_L-dD1i6Rz#pG6!{nHQeL#CIR)gl#Z&kZtdf@r}!_2qvNcL=ms^vSrHbhSBPSQ#YEiE#HO&yp(}X<@ZE_QKmFx^ z9MhkP{`xFy5WzyokvqdzJi46Ava7{Ap~tehJytNlv&22j5O`d7v_K0#&c0)Q49=}h zeMeGz2K;E8B)qY_Q&Y3YqjA2n#w0tA9N?@dy!0?@?=3h{4?kqlQ2H3CZa9x-0U@(j_!MH zlztj6D&z7GC=_qIAo^*fnic$8MHxCme-rj+EDSHhN_b8jANib~TWbbAj-84G)4iL4 zHslR3X3+XOx({;dQ~lR$e`$gN>d3KTfBF>LYJaGJO1g+}q5_+?%&P>>q!4u6z&^+u zPNdcq?DFkmm{%zd_QgtqT+nv$j z6^RgcGl}Yl3{-wh_J0l>HXi-;Jy?XSAnA_0Xal!O92c{0ei5?SjFX1fxgR1Q=r!=G zyJ$xpqy^hj%%8)3BPfBK9dAGy&p`ZOq1HVK zYKg)oTMz>=9u~?kq1ap91isOZOsiY+Ku+3hUr343Ma6`Cik7&tuP5Y)2CbPb%sbI4 zS>@YL5|P!I29PkgPx&7J-yjLFE=me29Wy{2#<5?vllJAO*(TfUONS*HF!OWob&6f+ z7Bx&$Fcmt%G^)olXw#`CKpyR`!`61>%_?oC~Z;6ZUn5bbX zmtRo#KIT(zglW#8-_Itw^#X}mAf_f$AaP!mthA3%g7JRYVrNYnzOdiEkj$}K` z$2RB_*Y0dFY4-4KV{AvncDS;Wa&KnPzmQ8?$`~>8n?j53esPX+`jV zuJ$%~B733FIK~ZH|1Puh!qq!ONuGc#836mup1tIl{WrGVe1OD1qNFD!k$)BXUCeC_ zz(Ct!CU-);!0`GsYm}w5roHiZcKLKk(nc1D>~f9+Km-l5{%yTD8Hoq|?-w*wOINE* z4Yx$lQHYe=Y?6UiXk|C!L{uPbU*idvM|Ert_UsD|P=38ZYr2FN{$ zC?6B#OW-VcRT@XD68?dp*`nJB1a0$;G%fYPI!<_=5d$?+GpRMy$xd467=cTjX%4&i!{u8`uA{aEsOPN#w^*aWJD`LN zj$mIt^pqtxVfyb1eE;2ceFmD6)q7)=dqde_6}l&5DTL)rKTnS|7hO?}@;lM9rOZ{# zD>-YsEcmLzr@rR+Ojl<7#x|dT(EcutT$j<^66~I&!*hUm*-#F4m!LdpIH>11n2^Ac z{*y8S6uXQtU#Sni(Q$M?u3|G%5vKoQAwD{~a6Oz+CM%S;^~&-@VA!`dDcH&Ca-XK*|DxC$}qr{cisRA%RFqjP>KMkX6dXULDR~ zn>&WgI&;aFw~A0;F&baxV$z)F$mF_8Q>&*1#<&~687IZ&JClzZUpZ9SZTcxn z`BbkksX!QtEFO}Q_(K8`Z*d>{;6kOf62b!{G{r6Oxaq34$W&nbycR*zx4bi%t}QO_ z$&0zLymMLf09c3l%O@0isn4${qasOx-z{KkD|i!0rGTs)le7xeEZ=BGURhH_8~)$| zH_N|A-l|22egaFlLGg>zPVHihsdVWKc114oGB=sGze@EvSh?8g9!u(nxt@n2{Qby; zYlr-o}g<@w^1Zdp2WzYO=PdH+UsV-n{46>l_-{Gqrsm;Lf(E# z+4Qourc0P+r`?my>{5yRwy_>(qt3%)$aV1>2jl?~uN+aGTv zy=C0TN^WfO`xK=qPysbPk;d_d7UxOV%UoBO=Oo+0td%bHD%Y_~H9?d@L^tbEmtw2B zQ`sbrKWD?uioSm~^dJp*I*Si82y9LYcsTy-=jo{MP^R1aSg;eStdYOlliM;}6+4_0 zo0p4jSZ@t@jN6=`7&sB%ZsVbR*p*71pj~Fd!#N@HKsQ$ys(tl(AL9DSQLbCH(Ivra!m>N|Fz@eNNvyoC$NEK|*FH^f*7eHSx~|{{sl{d}CJYNv z6L2K1R{MEkzVVTAFh}=M&J&vG0s(!!&v`Ke%0m^voo;rdn52KFvF}d)BN~y9o-|GF zI%?#ZRhA4uZ*{!~bPvf~<54o$?I_c`@dmo$TDMP#tym0xFEZ{)Am?+gCVMlKFW6nD z$CTXiw4P`S7(j>ldzejBYzCfaY~=-8V;j<{omVpxSy48;Pvwf*GZNdu@QXPXl2uT( zvg^mH!at%EnFC3&hHZWHYRd8J5QS4A9O}1a%QnuN~ghOG}@TLRako z`YQWKCZGB)LKAnJA(0sBMA^IM7&!PKEyWZVy?&7B{%WsHi@EGkwBOjQ5MmG__1T?y z=a8&NYu#&>Wifw$WhM$6Hu^#!87ZLJFSTka=LG|8UXYSJj9P|u_R##Jx;z2~#M9i= z2A3XSA6DC`i<7i0gJ<2Ik*3*{y@tHe)ZqcU{Wc{538^|pKLmF|xRb$ggD&%YqqB*A zZ|n={wPj6L%@8@ejWBo~U=1mF;oDg-Z+P_xdx~pjg>4j?KUaDHPLzYtHx?LNx*D|u z{9~Wqpwa{SIN)XJU+aODA!)-Z{HK^oF7cPL)3f z8aJKIM(q7NlWchsD#GY3ile^&6D%fa{I4^M6qp#9FFz!myVMb0Q~P33@Z}yxKtdl5#ply! zQ4Pu2tM)+G)%S@)m~|0`xpFLK1vJ%bC#Vg9%!P%%L8+UoIhR)zJ!971JWKD^T6dmI z)3h5fPNp(rea})h2O1agqhDmI&uy4s(JvJT*4<3cDf-2!;?pFnYqGRII-}2RSc+G1 zl=uy2hg!<+V?5v-t;c9bM9?qHll=wLx-8A_JttiZ3>5x^nkuNy2^xRid};v)oIQvv z1#nPf8cSX2bgK@w(_8mn-GKwH7@pn$2df@{YUd`op`ER7joqb7zr=-yqtCpx7`m+K3&)-HnPBxA6Yw;I zP!qa6HK4knmL=6KS1{m@QlV$u(#894hSVRgDqW60dgo%-ZG0m^bWL2n{9?FmPnHzU z&Y&eZ9zNl;)O-DzB(0=pD#F9Z$=?IZALZ!1H0<|ng5IiBGi!jI|CH3#{TFkNfJLz{ zj}aHlJ`OqO<$B(Kst(03`u9O&B;-Ro2|FtaDHqetZ`-rYQituQc5WfEyaTsE$&{VxE0#s-WG=<#R*#6-Cc@%@Zj$53GNU)B-rNL z-|n;fPo8^oXXZv`?#z4MbI#<;Tyjf=+5c0R&Hq(rFB*Km_hFk&gZ>AiTX&ZF8+oOC zu3fRZ!gt(UaV1_k0zhS}P_`rKU)@FRlAxhy?lC};DGC$G?b2Lr^HcOiDz2CF>NZ>i zi3gYNQZ5V!`vau^GMY1olJ=-DqIIj@((=D`wnK_fRmry;_?I2h(WeGg^E7RuKxI(l z2#QvY6Ye9JFd>U%lw7y;@S=ROg6g;}!WUO-r-c$;9E_qr@ zkQ2Hpl5MUE|3~7STX@aXy`^h|W9{xA5M*l2nJq+}jOxRIhfQsfClA?r+5wl$9$*xd znbAJsIjI7zT+Yc`00dgq_T$L|K(FX*aCUq0d2Mh`i~oU;Wy0b9sT29{g_8K1W_1}& zDl&+u3ob(G!?(s+V;WUSn9XY)F|NRcm{F#Mn?z_dZd>kPf;#5wv0E5xuto*;~dyS~-=;Ic2)t`0&)T%NBjx7gZ`G~qb=nsU4K|J|BF zA-O?sNi^HsIcm=eKBiH8EX^D64`)PNrXg52{}?0GcCZ%kLtY=P7%97i7q^?RR0jx@ zBT;OR_mEl&PSKTy$;k=A5I)&v*HLrL8R@8V?+{DK5L*%5{23F4#ZWJojdYyf z>Zbt4^T`{vdkM!wWj+ zUU)^bo%Vl1n|`4sB&y-GM=NtfiC;WzTx^`G{yqdkS+bGewTgOpe*#Q7<7jx!pqo#K_ijo|2@H$x*RxwR;(4$q@@o-J|1zib!3#0xZ02RZ z!_S8cYu(Tk&9A!%R%PfYzbFtlx}lk`=&}xVe@{5EWyJX5Q>NOqAkK-hp(N6nxb#>$ zP@pHuKuDw#btG1vt%0fxj)gJXEe}{7{Ts_47MF30*U3j+$gZxG_V-}X zjbGEhi6nyp->XK7T^=_4vxH^&d%uuR-?z9`py-BBj7IdC_G?Y@2yo?D+B98@bGWMe^7C-!+JfmhZJRc)mvmSwd7Zo)j$4&GKsaJ1iGTABPKQ##66C zDB=`gbK>E#;(dByjsGT|_%Cr_3nTb@F0~&yGqA=#q@9HP!cD|XR{tk~U(0-spgu={ z1EttQg?8Su=v}~v+@98`M^J(+G|42I`+07dk@Qb2xRuriZ=m$~a@cSZ+`M_Ext)2o zj_LHHH*;9>SaYu%>bB(x|J}9&$iP9Pv=ry@t0a^+{?E?lL)P}h{jbkiLz@I7hGzZt zH!-v{WvwS;@sqESf&+BF+7y2;g>e^IwsHil_}8Xv{V>icQk%JB+4*C^htg>j!D#ZD zL-B!1i)x{}_JP&T!0-@fBrA?to3e)tKPGVxx{DpzfSp-3hJ4RGCByi+o`P-Ac!9_O zY|NOPJ3HA|QV<_&&+dcw{3ZSAa3doenfXs0~>QO>;KK$wGkWC+S79r|sfO^m# z~2E%2Vz^^d1hQX^+{W&5^tcdl}P3 zHbYumd^<(_H_Q-1&1yF+8!kuHbD%>>+niw%*0Ae#{*z#^`$UWPV-Jc`t%RACzTOf# zGO859(@}gSp65=kzyXcbinq{RRk}%4;@3~^Z%{1)LrP_w-kqn8foq!hoPwRYN=yL> zyn=jeAY5Xef~}ijje)xWl+f)H6D)c7Bh=xZfy-{;B>AtSosl93)$?7h;OH+Br{Wip zmmxfRKiiJ5&p}Nh0$PF#u~h`w3lEw~F~Q6*3Q6~1tu?1g8D#?djF4m})-Q)%sRftG)}X5HL%drz z`=3n?r%fWL0XF`?we|5RkQw%SwJ}Z|#e!Ky5tUC#hecRl9WF6CQioD$*HMb); z7f0@tjEngdKsks$$NYq>I6(RSQ=P$@qkpxVp}wdssX1)#OZfhBM1QmG%jeO9dyaYT zY2{s86PN#X&Z5N?e3C}>7x=cXpOw8jAP$cjSs{n)p%k|PeOYo29FOrx+4F3RNQS4S zl>6Y0wV^FS`|*obCypWtINGKcDUD~KmrZkL^8$#;hpO;mj}Ap^fD!*7-HY=4wjW8h zsSm+A1A4MN2g(RLAuh@D+;J-mVsWj%{gRrpD?Hi!`nc{bGs$kXnC|R_0~!yWxib3E z0}BbVWJ27?6`Ic$&luNqXsETJ0uj^k#0y5<{E~Zji9~Wy?#?BHMj>l=6!J`Ge20$;kz#mnhwCPpJ${z47Gt4W`+YQt3rSSmN5>V z?j%An-`k_K+SXaWN^i`n|HC?bt@pSjiNB9ux(3&_tzVKlf8)pSwBf?hKY2*zsO+Oc zy!FjI17oA?&v?61)#Zl1u^4roY!&pfJ=j#3u>cnZ(Eu+{zir4=(S41*WnWVV_e`^8 zBnE`kzeno)blvcwxbeaq{aZ2en+SYYVr-gVghZ3wr##V=SLHFjitDIp6|bG+F@GrJ z?Z?M>4}*Iu(<`o5w`Zet zRq+U-znfJAi7-U>=`Z&c49Zx(!Jr+ziNr2{^Q>~8Qlo1~&yXnsLa}$Oms(>p)N#4* zEe=k59jBL=Xc}gm$%tBrZ?>`<{Rqwp9c-xbyDlOG? z`-B!9{Y%F(GR0ZzgHwum99(pbotb`tB&8JAy_c_RAESS8;Z#j;@Y7#zWSE|q%TH?m z_6aVm<5L%zt%!~0L@Bq9Mr$iAO>hk7Ix|uyl!lQl!>fnE$uXHD!Wh^6u@}sDEbtB# z?z{*`A<*hln_r_@jwt>E3yRCD!XX;-e-dSuK$$Cohw7{Yrwc=-n?16Y<)h{jt%AZq4O5H z&bKi>@&dHc?Kf!d5l4VD^SrRTJAT_Buw%y5;53iWO7oPrvQk$B>3uI5IlHE!~G$ zd&_S2byvcGtY(mS!w}2S(JWp$L+#w_De<4)zebtQPgbYIwI{MY3|g=ML`x*Xq4te| zG1iSO(1hhhErKycnK}SXcAk2h#*S3phW(EWg$%7iojU=->`Ug^c^N0=xG#LCH}mgf zSh%BaSLFv+Fq?w}ok$x{Ie_dBN^oU`L_2G(JaMg<@@RFYEduG2tdFf))q2`QlbbYo$Pp&~CiVYe6Im#zz_A~9i!xIpZc%y;8s0@aVr$QfmZn<`MsUSgkGi@fJAthHZ1;Q8PaYXV1-gHaYXuY0M2lLY@F>-UcUWR1D z{{{!Lwo1Jm=^yOpjd3K=D^Zap`!v(kQ&HUmPuf+7gPqx&(!T-~A(Tu&){x@QPesP^C?KvG>XrNv^o`b#Z~I&sB6&}|e|&!nHSJYrapKp3>gFTKeb7X9p;^ zgGixJ@U4v;@BMi28uRS-xlXvE8P(bYai6nwSfBN%pd%&oX}E?*&Bv80442{HI~C9{ z?=<}>g_J@~?9Pr?`#&e;c-VNHtiQg(-(2>634b^*9P$J%--o&!U zFP~0Ufdj}VRWZ-+`tuP-Zfi!rgWPq;#x`#1hmNNiFWDBE<+A*qdc-yx{vPhwGJX6K zOH7dYZt9C4ua87;<)RvPIgeTrqw4YKL;T9$l;#Hrl{{^K7q-Cc}B zq(1mbm0iHGJ}aMGDv+rOGQ5IgSB0XKscLG2Ks> zoKf6=jR{N7$0$!OaeWQ~F0~{z%Enbjb6p?-F>)oM^^HA#U!^HO^NK3Au{eN}r9RO6 z@gyIPrpiqjWpZlZqy`m^%+8pwIg)1(RDXQMH_UHFa=7ukE1 zu?;;^AZ{!tmS^FdLzu>av1ms3h^^!vBBjnrmgx#M@c$wSXpU3O)mH_I-7*!tK-6h4 zBAfy5i(9u^nu*){SW~1+Sl^o;-{#%_#3jCbLp3h+0rRbe8^4gl-lxm=wJdu-+4bB$ z{=B&T-QkP!H0u47rs?*|>PwzUrr6kr4}SiAB+O>aRzwY6q)G}EsEn&TsS;^b-(paF zaKg=Ducmn_y?cFvU|@{iKZZ@vzSY}9<2QY*zuoxH+IxcwdE&m}=CZE~mGJ5Q-fa=V z8*?mfi}-?k*9iXq7#!rcm(($y~yxDDj~|_Mo_6e)4WA zc3O>eP2AQ-(4Dt1oBMp0`5|F8sQp?K9EZ(qm431oI>Xv+)@)CgmS6HP+Mez{o44D5 zfm(@9gz~_YR}*x1v1V9aQ2l93_(3U?8yBKm6?x_UO{##{3}=BpO*0e^{&&fA6p{Hh zTykZ^UA(XUHl-!NLur$&Z-5Le4*k_}w#nwmzT(bL=M+C!2Dq@Hp7=1f>wb6=ANj+o zW~q)b6AnslvVNtZCYa!;nV$I=@Wk%lI91x$IN64H&vfca;K<*g;ga|LIoR0xx%4A# zAVT}KKHuz~!X7jp^_cadYhc-9=>9r?5%^mqV3Vcxg~LM^adH6v9`itxv|E?JZ(IZm?{w*UdZMhoMqXjIc> z7GD;hHIeIl>J~&+dY8v@6Np(c0Qo!p@bO}vGk2lvW*+o)bG{z zYPDfS>kahbt{VDsyrO1L24bls8J^L$eW#k0nX%L^Kg5 zd&`ZufB(;>*%zPPR$P*8qEVyl!g;gD6F(&gp3SY&ar;qjK1Nn@o~0?o6!|>tAWT8B z>d*6|(JeNGoJq*~RDev^Cms&WqT0C1(GYJZh(ebcH!G3(X*xU{4<6T)ID-5rMFv_@ za9}NDPPS3_Kw&eOb@nQ5T=*&R$N6a;Mo5rcAVaiTdgH(Is6?T+@V}TQnf65~H@^@U zkHUPQUAQR%#S4fV1upjQE%gY!udlhU${x3lxnq7mTG-aJV@0lmXzb2x&HT~+r8Xl} z^C`8>HQb>BE>6Uzl{h|caYohVJoTR$ z-y;jezxP|BPzwi?hWsa(x}kr4;yvnwxBUv(CQn+d!q)E{!~dOatG)!M*m1Npf|z*3 zy7ly>6&&Oax9I>=b0&%#p&H2MK$YP8J})s>wHwZ@n!a2dF;g^e!4vfrbunCJ$HJuM z-dX4IwQevdzf|MFs(F~sZ-r>gXUb+BXI61z&N<`MzEC~j#&9#7sp$SYg`v)vlimQz z27jiHc&nimMic%JBX}uF*D+=HA=Rbf$DH%tcVsSQrBGvo zB_S9icKnGxbH$>G|2$RfVEp>MPokThsfUWILS=cBXAHg}L9exO6Ku$faD!&;Df)+P z3Vy>v479$3;yhIe#nO=k7}8KDy8i+g7WPz7?#92yt8GHB{UTN1V4qKOX-Nd9XT|Uq zY!_T}=j*-#lIAu#XG?4X-(PFqJ`ma>nMbBt{Lu#YmIT~X`k*Df;EelJ&rkP{XMnh? zwbvUDMgk1slzg!IrN-+IwKN@bfp_n#irh|=`R{2DMqxD2_}f)k{(@x zGaDL*vvRzGWLm1e&1KL%?pp8>}tf zK+~9Xcl|C11LdFKDxrMPAX0dsRRVFBo|d2HJt53SA!g|^my%Kp(G!F}a15s$DEpkH z!}@f80Ok=r^kujAv$vvfr}*tR-lVGV0!t!`rs5%9+g&vw*ACGy@|WY==Nk@xAfDaG zE09spUdQ6N?afHJ(v3n8i}c~y_;(0LPdnjhs2Wu^RAZ?B?gepi$~a9RG_X_H2_Rv@ zgPUz6fmxJ=vbKGZ%@0O0^<}#1DN|+hi+70jC1{%eH!Hb|vtxe!2y3c8NZ!e2C56~j zfQN;mE=8i-*esQ|OTFwEVFsZVm5gMDY(~gtEB*#6QhU@4(XXdreWtBR3RgPeAsToX zc2i38*F>nqb3?cdk~p^5N_Ixvl&m5z3Kvl0F-Zee{I34MGwD2O)^zo5i^?0-2wQ&{ zp9gs(Gpg{ny@lAy3Ja?3!lCt?n{&jGZtLJxobG_E)-j-xEUd$n-`xw$SVh2v^A$N7 z@_C@^{!X!B$pPyt+z|akBObCn3wx;;2A9ZE8<>|2A zs?ZIG5`yv2J;fOVgI2$l?hPx=D7Zh+Om7H5Pfi^Od!f<5uiD00H z`yCiV!ipt5y?Scc`gY%P^QgM`;~@z<5pi4_C(!+{jxPB+?Q!3Mx{OA_LF=ao0*}&p zR{;^i-Q%uFHI&-~!65(oZ&&FOy@FL^`3hWu`=uR7-Imj%7GPLC=HVzMk(oPFJxT7| zVy$wN{lH>yr};!}+hC}0tqS`V?cq&$@qH4W_G-mW-(+}Chme^SP9H*Xax1}*P(3ig z&?}M17wg#|-46UJ(xw&hTFg~pv&}tGmTXrgS5?F)OB!v_>v?VHJURzvz3zLa6KTKq z-QGID7_FP+Vf<^l?Z@a0w<6ZYS*>XN;1{6qZGk$t;tSqFw0(TEv(g0^nZ%cp3(1pA zmRH#?+vkRw1cX=9M}3B}8B&iJL8XHM23LkZMfFIeaOY7O-}Si*!2KUU*kJ@`jtAD$a#(d55>!8_?9bz-9QapPVK3rfu7y2s6);v2raK8-nr z5u_PgK)poPpA=al-fbkCzV>urc<*JG$m_qOcHBCgn{bGXd;=EhbHykDyXPhD@tnx zaFNCtFXn^VIZiRj4F-Zg%bm&U%^sQ|^a=|>pTFJghz;3IdpdhR5?>*fLXZ}>Q!D+~ z_5W<%PwNkuf2|sk%J5B%9O#!_czVL*7+fiwHnMC`Nk2@0l(AofDwE1M-W>SWu$STe zwe16(2+fcJ!)s+0##to19B@4T!OBG2$mu8W{-r13f+RC>0M9@&g+BHt{=j*k$tT$% zWy`x)=TfAB=E4*C4j`_fDbDQ?&7Ht@$j9QP>%MMmFTD49DF){%f|HbZ-0op}o)~vp zF&57#qv8Rq10F}_76{Ma*Uvgw%fP|IBGd&q{W#}uC#qtJE^?w98mArl9!0fujwFp^ z!bR#9BtFY6zVaq#T{!~?>yVSf^MId&J-2u?pu44kMJ+h|Pz4gH$k+ktIpSR+I=CiM z4Z~+yU$xf&K4Aqpspu%=_tB?K-_sn_VH|A!SkI=+Z*zw$G$!YO;q5F?)4zmlnIDkS zzN*2$u@I8Oy1PCsLH<$<=H&veqX9e1CthLKJ+&K8;%yK_Gxi0a;iVuJZT4Y0UIgYN znlquPHVz#dR~Fqlu$+BSgBI*s1NDx*t=FBzCBqkx!+Vg~^Ric@^8>-FD$i!+~l??K7qA&r=_B^=B&J;J%fxF z+qc=40OxniHeVqA!Pk^UG(WDnO37b*{v@JUbxE~vdK3J#$`jfGvFn5 z+F2w-Q$)7x;z?w%>acg6sA)h`KRRZnpB5=nRQgagQz(r`9&P_<&;vH?9xJFm<0#(= zHW(0KFZVw6QYL+T_?NLBem=iyZ`=0HSxps<@~Q>(Ke%pgji>FJRee@(DVFl$Iu%JX zKq?53o>x>^PBwY7_Sz=n3l!LsV?Gs4WQ0%y9hv`j`Q;>b(|_v*!sP}gsj1*n(7v&#uYKy(rF;j;p0?;cG8 z)D^Acyh32Q8YKR!DDZEGsoit1e>2{Q#6#+xdN!rI-RFlpNhTV|8_FwnJ)q(}>A`aJ zwbo!q*`VcpdE2oqQ1Y7m2QX3L1GxI&>-g3uyA?z}Q2_8@Rc?k1#7{EqN=FcN)z=nP z$g!J{Gvl`R2frwCl|gua`X~dx`;=%n+i=%9i*3UsAeE5#rmh8KbZJmcV?*6HPz_l3 z=~`ZTW-GV&#_U5<^k~t~aVwh+t6FHzEns;{#pydjlwcnjnoC8t?atT>Kgy-#ohO47 z{b|?qYp2~$uA~Hxy{yKOT6D%eAJed)t>_QW^|GkzCvkotUzu~!CI`wx!==4uz&7n_ zB@Zh=)9Rf#RM!rxBOS3wV^Bp!-@r-Uwg znuiKkBikBl8&nv})%@d_EhX6^v&cvP927-GBp?$nfyk0G#K~Z?D%(jRz6<6(ee3_w zFJk}F0Q|w6%7t<0-5}Qfp3alDQ`j*jHc5BR7)DDhoamp#Ryt$dU%;_H8YmUn%wv00 zLAbj+iC67S_F!|Fud>!_kEvkZ>-h*XI@A^iG8&#$c&i~^IpF<7NK z*pMEn?JgGUt;j0hyIbY{bu5`E8!&&RC%))eH0npIru+lqLWGI;lzj{S&;X^LVD0|y zy`XkMbSwaI7ul~J!p34f#O>fHG`h?pO0~YX_(vB_+^)_qB|#w{?F`ieyW)VdqpFJl zrD?z=yc51&xI)d}IlNmuofI_wRto&#hI%~FnO!}Ch2%lZyQQq0H}Or^VGV0A-gi#) zD%`J7_QfFkx?l`v74I+xo0}`1dWi*?d%10QOa62R;K}XPeZA$FMSM1>?^nD;zM%pC z>`?x-o@8(X$-n%fINS@Hc3kAacL7xwiT2(`2*1(_^}|)bkUUhg&wMhAWecZ0GWgz* z>{m6^W*-GG=X?19#uLObowMYPETS!$28zo>GYuU7=-Y~g@>*G4RYBM+BUvGAR(Aqf zZ=a%*U211_(Aw@xFzu7y2{Xy*@27}+?c1;7{nuv{qGOPv;^C>Y{X0FkaH7h+ zZwCc;{mSAtm|2VD5h9BeM*MPat7;$H69ztalS9o&WPl-eCXUN+S`E(!&PD5<;k2|M ztv77lZCs03a7xVCA23J{hJ^f&e};4$r7NE$p#tAhT{7 z!O~0YpkEP|FaG3xwk5&nIBAEm24xXSdjhkY#27)cQGDUPFMg3%WB%yU6jTasW*m5K zDO&5&mL|Rt2waNv`Mys9B1iv2J7**&Zh#DHtzoS5r$fpR0bcn zr(%!l&!-{{k`INe2YSzAk`@NhseM3x@*n~Jnxw&;nBOZM7S z&b&(z)|s3xD^e$CbQ>=ps!DHJgW$~Di%5S-_F*elqO(&wW4%z=IxgJx4IuErVI9N9 zOo&dx)>WT|{mp1$%3WBpvYyk%W2{+6g#pVQ5;K@+c!0C?2hJXirap0N1WtshUJ##ZKD|!VcI{y56jjZ%w{<*Y^ z)#ZndH;ZL2>(1nc3|i~wo5T8E;LX;pkNg2IU--#XseQ_rlsfKr%!^u9xTw1}7iYu7 zJ*LxG*P$BlV%vwSUfedm04a5z-8(y|>kHtwEB7lr_q6}^>!0{Rqsa2@)tn!|V!)2W z8X3}5s;{_b(m}WJg}t41fAo)U&}UL~o%yJ~_H${Hy720fAJpSXK~)5%NZnjuf-LfvX(XA1|p%p#=6^WuodBR zxRs3szNLw^6bELAqObYTdwB5~=hRiJIBN&{R)=Iy@m))oQ}ppn(s)n$_)}7kaJFr| z07(mz1>L{+c(Oq4rHbM6?AN0)kY~yHvJ6PfQ2;}8q!shErM5)Fw!ZM&pgIcpO=<6g zeqa3_%>R6w|bEJm6*H+Os<-MSOPHM;psc-=XUrLw-pAnmZeO z=!6kwz<`QmgtgI47?V~1c!OTo-hL|Tdk(7i>}!a0NfP~Z&xz}ILwnqjn-L`GYWzSR ztgbgolvO7>Xhh2sGfswW>o3`uEGp7YT~no-FFM z0SE)AAtc3|PpQieVfYeLXh80ZjOgjyHFM`A4lb8x%6mabm4$SJvFxt`J6&63bck*F zrheOF2mEWOeTd2zTx~?Knw9S2f zf`bXteQnL%IiT9d3*1x8YhXK?47v|yiguPICj`GKFUf?00Mkk1%avx=T1EM6*sbi0 zpkRaJOvlc{%kwngA~}RZxFCOyhiPlfew@f)9q#~KM=nizS-ej7+B1adg%}s)c}32` z{Ds*gXZx1*@@}pD_t53U4r#glsH1nA;Yk?BBHu}@xymh15sVkJn~lGvTI0?z)F{iQ z>eEO!_|^>3cBIK@RZggO!q!+Tcc!6zE@uQ0%(|JEln6W<$Nsl-MW6xG%`Nv~`x2I- z2ND2<7x2vUiZn*{fMjFQtmKeREhIVxhJ__G`(9nmzxW35w$5RTgV8xV_UtSdt?WYT z1ievu5ijsQbdSGZ9Oaz6`9e3NBx2?-UNz-TujcC3{^wWSAW~0s%0sT+omhG*1c`0O zfpI`+1cFxdn081jG2j;J;?>A(qgP%L0dlt8;h(zJlhp%06j_Jq5%C*1GUh31E;5uu z9jr&H6p|Ur**f^0ne1a+zw8!l)sV{@zWR0fDyIkRV9euv{De+Jl^=OP2d;_@v!HK{ z;M+64;SoO5kyOKkIk4svA|>(4EZ%_Cw=W$l`|c$6d`l*2Nq^pds1m-*4{#XFWv5%h6wj zdl=GjUA*Llr6z6b5xkRPOv0#sebdoMkXub`^HR*1e4k5iSB*;|vtR5QP$zdN!Tjqn z-gMWTd7hQhErY>tV?eVpOM9efA#{{B*>)0!&^Qb5QCah<-G%XAE*|#i{xW11-o#ye zHD|CJpL?ICD4d`vXxxGG8JuV^`F!zeT%fF?-w;;eT=m|YAUk&9FFf0hVR53Lwu*Qq z<;jGdq$T>j(iRrgF2_2WPils{>6rcgopispVpae2Fo{?(c^SU!?O#G`T9t;D{*G;vQ3Pm@K;f#l12+ z`5>ep5+-i7Qq;~LU|q^HTagh;>b7q262UL+Ui8RjgZAv+lmd=Fx*o3B*=c zV>xr_`7OxYHbm-m?A)Xqt+*-wt%wm9oVh4v2HXCzQ(B-mW7%A>Z+_Tam9wE^TIzQelGu~2pT2;Kx8%s>m)?9dh;A_Ld=)xAFG5456rGnnMWf0(7l7apl(>jUary8N8k!$#dELy^d}|3nJ1MruyO3qS>x`@pcMr3lGH)S$lO9%qs<* z>p%DKlqe}eI9D)=${c>bT~1UG<^N~;H5wK)BO^e01de%H9_DM+&s?lpxYmlXhHlAb z@pMQD`98VXrMxBo)}pfGK{@zjIa#DFolQxeQL^SKOf)NI)HCk^qN9v$i>~ z0c0P@@lHixWz+9A58%(=c?;1h_(MHUM2#a0teUz#=&uT|WNepxFbUEUIa>`YN zCW;Fl3GhX}k^@|SY1?}a)|&Sj&puMMwG%c#UAEd*RV`wAG2% z_6tj5@!yzd{U-r~BLIzv+6d_AdHTI^OwV}W)u&c8kD{HCobno(r{CF=KJs_X-2tQMa3JEanLFnUlR$P&#fyf+i zj$iDT|C6`cap=v+Xy)D||3c*^QQc1p+(&cUabTp`czq4WqA{&7-F;3Hx$Q`@RIxjB zKFV~Iv?NUUMQHd@dN)A-n0)Ov3p=aZ$3@0mWmnE2+I5?x62@m#-K`eWXW{l(dRXF> zE?XIcZKH%4c~r5n^l$-ar!pon?|!%WJBy=OOi%Un%w|rpr41@~sscC1;&NVUm{!>` zgMRT+=FI0g4t=Tjbu+S>d2RE#CvR>YJfh;SGQN#V#(rGz$+uUF;IFE^p7pLOzNbol zxVAF;FOCC!PxMTL1&|4xb>{B2Pq!HOc@*ogTDZU{wlacg zO|6u3Jd@HU$o%M4O9i2r50hXwn6GObmjtOr3^+b{w#M?V=rIVkg&hSeQH8#LI1^L3 z-M!iimYh|1aAK<=ns!2K&ND}QYEo1+CdV{Ac--=}O*fW!P0~$fuu{z=O>Ndrf2@WE z0{TDD8|!JM>=H$|N?QloBgbcHzmY_S0|QD_;q_-y+SgcxJ+1G2O+I#Snp#&-$zMr6 z;X5;xMdDi9_&)G;CA}uu4fzzj2TLI0R#UFl>|O6v2a>OWG-zJ5%8-2yvZboNL<@5E z$jVs1Ym}+mvD@I9QV=uVo9{WGa1=k#MyUetoMd~%Nio4>e8Q6RZ$aIU)`TXb}! z1^c`{M+X^pa=Ku&K3m~6PJV@La*DgCfca|&=65kVz0c&1i;QMiG)KD$^_A@B$`u93 zJmfreId(ryw=^ywiSE-5FC;e`3-@1(HQ?mlrGlvu1VD~t7L{`<_`Ub#ejWAd)NL$? z@M5wn4)i)0-v3OT#XZOawado3S*f`$e`oaQE>rNiMU2ht1h34}TnB$5DDz8E01RtK z1}LMObBqz>xHO3x?0KZ0)X@p!U6NN_eAiSMdNQ!A%bjlW{tdxC2s@?dZ%}IvGT*ioMjN2GoamA@ z@1Ww%m0~Tt>@E)r{_uzTNl_>^uL&cnZC+^w#?k$ZqRSjZ!ShV0^}^Q|9g?EP?QV~^ zNQwzE>jaZ?&W_B3(%st2fA)AQH0M25-d@m-45vJld5*zZN5l?&8^4}w9NUC4abD#T z0D9rZT-4Rkj3+($Xg4(l-c4nbzL3q=>6GNv(exnB;~vakM-a$+&NCqulJyqw+pMDS zb|sfRnGSml;XZ%1!05yp3s2qU#WpvI<>Rxm`0c=o8VNjZ-wm178P{f-cE3VfgT=Qb zhlE(+AfD=avB;;iEJr$GKNUlcoIcpgXU{Pz)_ihm)2HFG`QqT_0xp>xBmeVfgPc&x zK;Y0qDTzpJG*yW9wK35tkGn{dqd>{qFv%9iqnnTG;L79d~w`- z1K=C=dbfcyvNwt^%Mj0!o%MvUeA=e&)iI4|DzlaCShzXb=lx}-&w+ijsyIE0B6tGYs6^YD#Ej9;-DfMK)pGJTN36jKD@)Nt1D z7`ai>kpZ|jZtukdl2u<59Wy>(5$-AyFHf?J=R19UH=aoJCvHxuvph@B==4k0^VaXj zzK$mizAs$7JLgraKbIAci)Ah1nCY(jIQh5hKBBd9bG~*Sn-|65mkzA<)cWL+;bs)bNR_(6lT+OX(jRan0%IkScY?uJU9E5M7 z)ECkaiEpaCcif~3)8843%=|8zP{g7StJDv1xFX^rq?a63pfbrV1fZHZ}2rm}L zo}7r5Ic_sau+Q6dm$|A*PX(|S-(m7|7;AkHJ?Gezt-?6O(+!#N<3(F`niXS(B+2Z=L1$cx3{D*-Lbv~|L zxXxI|FhoJl(~S%6+OrL6^2qM*mQVQ$&0o)sm5ypWa< zfsbX{(MclUzA4)Yv0iMO`Z!vMD=e`MeR^YiTQ8a-|I`>E*DHSKZE7^zP|eeSw~lAI zgaUF0G`~i8QNE~^8shw*M2z`F|F@40=KycXTyoY)?ZCyhW0y_||L@l5B- zKAkj1Rbq-#X`9AC$s9){1z_j?YL4+Iaz+G3OlMG?D8hWPf@e3HR z0d$p16rn~ggzuU<*!9kuZ8C2FwG@6QL^-`dqF_;<%k_lf7H}a@aJ$9RE3*(D=8w*O z&(l?Y-18XJ39Za)P*5BTJXPUMw#`jk;}6;&1ztFMz!NMUy;HZn6V^VzNATN!6U0t3 z$eCt|lVz#`5q3x-u#bZsUwB%hcA~Ftq$iob@mGacTQ4rv17Ct#1Q(41dfBzmZSr5< zIduNINW)3sK#;vMCRY?S31{7@7Y5@5aSEb+lTpum1i9N@0=eZPLI|_oR!qD5R8^Z{V!8}LJi^bq)$n+nj$^>rhgXK zz(%3YUApg$|3Pg|Zff2YmU}Bqk5=O`k__Voj=|rVo$`2NjJtluuf_}c=!Vu!!Gwu1 zdLxK-XVWRp67X9V?u{94-hQkJ{QI)rqovmoT|+Fl?9+!=+=L+xf&R6uvstz%#8LwpYr|WKH=+!wSKfKJQ`L_VT(1 zZSLEa(L9Cl!VM)bpsffG;W>Fte&rhJC213KKNZavAs-FAkQQ62XCOTB81yL4_-?K? zkTwP!aGgX%CQ!9kenIaLlhVDpup=v%{yX+dQF}iO4N*~^ThUQx1!_kl20xRKAL#Zl zPz$Z823Agdym$7hS-AStl5}0sUIh(!!a=q+6IUXM0`5+$^Rk_Y+>`Y>;DC=r_d9my zw)Hw2Th{2Ue#4cpId2Xo_ z)=Q6f<5Woi)Squv^SPt;7TBQ8ZA%+`Z0NQ(J;_b|(eXK;V>Aib20e+K7LT!S4&)zm zgMJQosB57AxQoZt@$=5*3{Wgux~zCMRtuGb@CCdP7(G$#0|E;0Zp=&~Fz%dwV~oyU zj@jQi;Wx(b1i})D9NbTJ)qZPxR@ZxLC2#mI%M< zYqSl+2}60119Gst8ee`nNq!(`+5O1?L+iSolbW9V>|qMVK1!M9sIR2wC3zlJpAm9GKzu^JKU>vKy)s4yY%xFlXsMq6 zEz;$B+%fNG0do=CQEnxKBw2x%Xz?hhi9{? z?WdWawDtBR$Zxys1l0k!n-G%yg^70lhg}RG%jH%x@vC?4xG;(##)+6(OyQ-wQMro_ zM558Tgsl%Hhq$lk;>g_<7sfM@@q`D-vvWf@uL*Vo6c&#2z3l1&{KN#MIB(hHDS zIo7(NxDtOAc~Mo)eC(}4^WS-|H(~oIGamA87x|?lQD~scV9RiqQ|ZCa(MwN9FI0TS zeP3N3K)#6kT!g0!*<6fk(?~%2^TDL1%f`qjq>XdM)|a~&3LVX|wZ2jGbiCifDD+(Avl2r?QSZtKe6eXYU$cd0h=QvbN~z*DXwNOY8%S64Zdw(8RBZ%4yybVh}(FTwT`#W#ZyKK0)z`r0zX zn;y>xP2M@!Wc)V5kU z;T#!!q0cVb1IHjZB@y}(y#DxG=v*vi;5?34C-kKaSBZtM7(>dGEzQ&UF~e6W8dORH zrmfv`QBCa=n(_M1SN<7g^*I2c<)7r7$NqK#E;{6V}Z+e^;(vLAx1#Gs7F~y@7X`4Yj&g#fOe;nY)|(+8aTL0n_jr@r}G?9q}*l zcei5D(qRp4+LHU{6g!dLbiEL@5b=hc=z$lMUOk*%bQmV*k9Iv&cqzl*NxAv7r`Xaa zmpnetHWL$n{%~6eweCWy7?S{=Q=Yme5FvqdU8;%QXp5@3AD^#TK3`$$dSo<)DOHcj zUW<^@Lnze*lFYS0`wPqG?wHh8MU&_$Dbc| zo?LQeAAhGq>>?M7(E?>OF=BY~TT3#wf4w+|2~y}}N45LHMWOS5arT};O|DVfs0~p- z5s@ZB1QY}*0$Y$46cnT@ih%SWqSB-#1V|zvy>CiDx>8hnml`5fN}?hVN{G}@0s#UE zAtZd+?|WvxIseX_tjQ!#W}cbMdhW8;wXSR3UBs$)MPyen-Lwm+Xx*KZNi4Le{d9ur zbQYg_T zy%SOfqjf^aA>+<@XXJ+Nj&DL42{Cnls-M!ZQ(L|+!X2ZoND&8>ACQEnQWfI z;jh+fE{@c?qpxyW)`R9Rve$1_5~1_$q;}H|)DFzuI2(l>ilYf=a=UgzSHPAK%H`m) zD{7$Hs}x2fS*UQ?YG+S0b2aNeqFCEEMZyD7bUaj8XTuzoqX|C}U+XOWrdR zp9mOJvnANv+~dt5*`zr%|G4E-6#c6oUPi5mQM&n%H^bv`W=G~^e#z{mG@0D43$Bf%Mdl;1hAgsRwx;F+6#Qz@28xDn^1nbGa=otdyJ~f?z>j>X4@=S6bt2^DI8y;YN24C6}5E|sP*5R@K zuX+D)RL`5er8B?BE(7?DtVuU7x$bTBG{9Q6?R@NJe1LXAw<5`pSYmgF`{nkdH8^*8 zLeNW-Zjf5%Eo6i_T_wgQ$vgHBJ~IGyY1l24Pue)?(}vf4ua@8$>OGLIfTs@#!nN!U z_+yc&#kiFnSdDB=a*)9_72k9PgcGhX3aMMqOj4i#nQkxxq?%MdM zX45RSvX9#MF=>pxqn@i|71P!>blZ~gY3bwjemjeH{G<*Rs<)YR{$0^D>c z`WwBRiPa(zUXn!6f4*bg&Lief9^|u^n$GWssYzf?1#VmD_(~Ttw3a%p*1`>ex|vF9 zrNXFY8&UN1E2mVG?}51b#Ub5f1v%ZLTZ+?UGXdb-J?Sjr$Q20gAJzz4;@s7ImHJVo z^yiDUyy%;%g-fbJq{pCX?&W|_r|eRSB7B6&x2&FIW4C4-Nt~XM&g&OLGK2?Re7c&t z7isa69N+kH0}J}>S3!-^J%2FokiKm|G9{FMrxdOi`unX%%mB?SDtHe(7`JHl-nzR( z0T_X<3xH$8^b?+9pi#Mi-WhmjU@?|bcn|y0$F;hn2LGXX!m+~jrDz(Oz!v{%!CdWmNot_rVYT-L_an(0>$lMlMxvJhJ=_qwQ z(TZIV39sEa03ZNwbhp+o?p(6To?rhoA{$84IGrGppqe1kL*BomH^Wn7-(L0GL0@y= z--$e9&p2o64{zt7(bM+tQCrQaR?FA=!i8lIwL^)SO43Q5VnoT}b9)0)) z9QLuXSRiB}+Q3P=B%z^xeG$ztKVGP2j*TJo+Fz{3NT@|jQ4oGb2XZWQs>`#jSTYAY zNjQ?pc6q0qxHjl)Ws+Mg<}XZ39Ddd$X?Ods-dC z{^$Q@&kBZ4*!c*MW65=Qq~{oCt(bvL>3No8PGv?SI}gIivrf;+ zf3J8iqR!EpQJz5H$i%-UL?ZaG=diM@%{K|^iw6%x?YGn=)o{$Odp!vsHy^8AeEvmo zDmboDM4R3GOiCz%PkD-tOMkY^y|@^}m?J!k^)j$)yPTY;+|hLIVnUjvyK#|;dmvx8 zfBsTX{GH`aq5cGxLP+ibeB2-*)>b+?#j~O13e+Xe21&4uxE24=Av!CJ_W~ErR*_j=%v8FMV1}_VdXAj$8V_A7*LB)- zV*;%QD3Y0-EdROkRD|zlE35)x&ayXG;fp2pHbs%&ByrW zjO5ocuHiR*yWO6&!#ywNp1Ns6DWHd7CBPt#n?ZDq5W}syM8mO`%Mr-P_DFldgJl&_ zyOgsu(RuPt()M>FwD(B9#Fi~RKjYRPWQ z9{c27W|ILuS!_E+Tno1A>y)5&%rOZ&Xc)C3SC=YA7_?rhidT&tt_ zJZWknL3KXDWbwy1Pfqy2g)BR0QW<%O#&Kev4pPUiudrVieBFGa->0FvgEiF4rgVaP zKrOjcYZhKXJEr}Z7qQ*qno|uJ81Ik$5uSICbP3%W?5#ac?9C5!T5|F^-~|`uV#;zq zDlEh_{$>Vl5;k5R-P@|J-Ggo^?Q`Zmq541aK8idl>|kDDMWhGs!2i}&BR;|MXg*zH zDm#e-+#EnpmnNBc(8j>y>*7t-%WZP5tZQ2+rRB))ki1u6hcTRb;xdOE71hhW->3}L5)qL(5SwB;(`>F`(PP&x z$oN=6`^yMt9%`Z0u0~N*I~DDdZ6HfPea5pTE%uXG!o0m@_~smUDkBL$!0Kxhj~iqdv27*o!j<5Y8~IomTMc()@k#%$%nV0pm5OiHsN#h*2@3EMla9B4oG-gMleIgg# zl$vl05#NopM`le7523f{@)5wB@pvNDU??s#IP{-9>f z-o9{1M`<>PXktxIVAXAYCXvINSER`LD|t#>%Noa%`AFKIj^mBO^B(XpiP(rl?$*xC zdhT{PfAiY9W?pt1mloDllPVMYO)F%;GoOYX3g}SqKyKH>4l|zr2OFcYrTwQ7^Ud%) zD&3C=4#lePPuL`(^MMhUH9lU}(ib`8X1TC#gd|ngH7ohOD`_aJyj|P*=Za2C3-!!z z2bTsL{kyEeD^GrNZA_1AnPG`k}9|F%i{}PhHK@M)Mo7Q zk%8^;(e>mQS?^)^c^1b}A@9u5#f9ctRariNz&bCk*23la($t#}OymC(?cT0~$L|>$ zbNZh9h4eqn^o6}=4lxsHxajHB9UghVfZfC^=U0Y8Or0Ja32F<=s<3X*e-CPCIv+Xo z(r+AcZfqb2e&y-LPkA1puMQHpk`xAB&%ulkS{`%JegyT^&t zb6M6>oOcOB$KSCw$~Cxdu|Vx54TAkj^UFo4KZ&s0N_QuhT1Xq+S*vf%Q-pnbadF$v z7RgZ~7c>$q*KQbI=o?<5MmWAo%;kynTBl35{$2+Bde%A6Iq9`@r)+Y@|3gYSCf{R} zwdL;@Z45ATLz(eah!Ee}(X}0{_wC6vYV@Al%_*K=%b@e0H=1oUB!rHIO77FvUtZ{v zwgCmdcn39n=io_$y_&2Wyj*f)dV*yz*+kXX$rX#X=Ey?oyheN?X?$r0tV1V#ih0~) z9ubBdQ&f7=U)j!)Z_GA_SlPNvTykr(%3wO?ue!aGg2Sz)W>u5+Qih0?&2jEJo`_GL zqBl=6E2;jD=c?q|@T_Tit&x?jZ&gvyvVoNwt?)8^UokV0$iP&l3xG-VarIXx$4}x$ zA`|OMneUh*7o1G;&E%1;oBnzx3GL~!=2Sr&NJu8U9`U)E4uxg*P>vC{ao;p zhG=IK#z9R!+rncbZnd%NvlEocPo1 zL4K{@YUxVzcAf(GJA zI6r8DF$EEft3Xsxz;PN=PzWaZ4X4F2mZ)WrW6>!&kO3$ce z;G#L&;&5fBriT(h?XFR<6qKuWtsRG`K1mVXzG;u9uKb0nvq{Xq@e(*-&+>(c&=&kW z>xOgw6UNI=hB;x0^_qV-OwoP(ITE;2piY^nR&oPiO%5+noFf;=dmWtU9L?WmS(AK$ z5$oK4LCc~>iC@e5)?(CsJIp203y}L6ssPAIh;#{5#^3FK+RkZ#U~<0-({OYe{4cW| zi>>o>b`l^3fMmFq17x_tr&rB?IQO%U9#JZExD3m-yh*#>v;K75WJQ0)1n1CIXc(3~ zVD)0vL;8`;$s!WUi0tOBhqD12TTRj}!B>V%8;-V3tBGD)DzIhi@-^6#e5j>Wb|*O~ zlOF2(;Sb}v)uf0e)yc~3pfjy}P%$N#1uP(UsU86l1;{)FHx|qhoRm&yv{&qRg7Sg* zsT03#La&*2zcI%7kDSz=5h^Zt#u{h#fRHN`3Xe5$&+&|>` zM%_6h+P^=&%ss!b$&msq}vn%psKSg{Cjcxc#{$&u+_%ljiQg-}}lHKu|J)V=OyO2*~oZyrnA6?Zi3{|52 z)|;h@Hw7xn*HxTGVFoKn&0+6o;_j!DQ4{`y1y^yC#iQC38;e54@pFzsYB$(txobt^ zw!>i&xY%&$Y;c9ymNw)4t}-J`*E;2>E9vU;`g3Q%^=Brf7BYCDMJPeyf77IAw^lAT zEK$N84CIMqV5^?>K#!{d5l-0(#5oZAM7J*1&BGiv)^G6{>3&WZY%#R&1G)*XMIK3& zU=DO|JyWa!1RdF?x{~xy4sHBe>@!vr@|Pg@e(Q+AG9b|Y<`^-VtbXz3sgI1i?seWt zRS8~wS5|=CFPEZ(2b3vwI-;(}$u`M2=@lCgN|~9|8G80Ytwgb1E;^sj)8w}TpQk8D zSIF~HEr?M2YUl+B7f+#qjs(22W!SSOv+&)<^j8(#(#7oyH@>$5jvPU|h1~>2PMr<+ z?+4;!#0Y$Lxp7|%anUmngc2HNtgulDY;7`2KA^nT+oeoU8y|x!*K1a*ow2>1al34^ z$rIfgzXYA;8)e}maS?jWoV6jU!c>zyCH{xR^-}|@S}`0)mTO!`&m@ipj~dVnmr)c(bFhi@2=#L=O-1ZkW^aoe++?pOy|oJnF~tP0@_{GnYWYSH?}rimlxn)418F!|DmlTgd-+0b>e<-5wMf^q`-Hs5ivTQexglzB9(<9dNp%B;(~1d7MyK5S<4Z=ai0W4 zQ>DRW&Wwv~4z~!=gB#YX`J-VKT4rnJlHgCyX|WDBv&hyCN;tJ*?iQ74(T`|lhh+PE z;P0hIU-)wR0)4FmFlUlhBY*p}@jvO%`e1`8ecZ85{eXGw)_iuT2i2U0bs5D0V+R(s zeju$+QQ&rpHr`2)*6Vu6daGcNIXK5)BE}mvBe@|uM9x!SPgzAid0L0dXz^3*OvG&) z+&{8b)BoJ^GRQKEfYJlgGzc=u-y$TdMAb}s#EOeM9}>7>m6A%4D1WmB{-8)3!p>9MMjHhSMEsqNkBgrQHR+MOv`~^=dnRj0y#^3KK2Pm->JnFJIo_mt z6~z1ER@wC9l`EnWIH?MDF2~Vhz+Pgf&o;5!KDHaio)gmS-xZ7L=!W3N@3~$1J6*%e zHC%{rF6U#UIj>)^Q^eit@xFFibUT1CTk;^CNj@%s)0{sXbA zpw8vT1(gi34~-U!9(`M1ig&+m%`$EfMJGDt`H#Mr{rfM?ZvUwzSAntdTEEvaknd?T zBb}RY&t>d_3tatupw!}H;H!P0_GSR{G<+~BAGw+3NoOV>ma1G&+P*y=|nAj$c zYicYe15D!Wsd?$kL)DnIBU8rHTel30HV?u(OnHDe5uCdhSDpz}v|%g5m8!uz)8W>8 zL-)4if+Cd@>BIfdo+o90@WdZziv9*?=TX;yAqdGuH3GrCj|VWB+VHLF6d`Q# z&&eRxUnkeY2;MQ~S3)z&0rJ7tx;Ayqp&Aypa0QHkuo2>4dmqR|F@*r3RK@1;c-4!t zU$Fk?sD>D!=I{G4@Q4xZlmI2}Ch^)%u)zt!y0VxC!avvK6`7HJS0hWdZu>k4jk<$8 zmM2EMI8`uq8M|GyT`S(LZqb+OI?4tWT9Id$8Mdv6i^`#V-O()-3B-$oFAP_L$|3d# zbP*k)1$TuIkUf=?kfVwTG3n_ z)pP3A#vR_tsjCVZyd*QPe7~|`QvTyQp!A*>-U4mln z_%4LH2qj$)QXsS`EWvA?r}-Ey&K)q!k>%^5R8N4PF&(m7toxCj3R68QLgdLROJ5T_ z&h0+d7}t4#S?2e|N7QF_qQG%pSx_L6+cfL&iu6>Xif;0%o1%}&;~snLKv{IKBUn?e ze%Sv;$fSDlu>a+dneU-RIF~s zw!xAU3JYC2C_CCg#U$$V3nzQOABF;-_SBq+(7xAKNX1H1MbPu40An}Zo3qb}e%!Y4 zYW>+>E4eco6hw*m2gTr5Cu#@I75x5ZZs^+1k^$O)^GJ>s=mO7?2=x`=Voky>en)Pn zBfo^3NeCXAtZL2;z1S&f+vl{?-I2XS;|0NELb)1UPqht^3#QBdbolGC#T%C_e+#$c zh-MjwdRd6uQN9hUkDY_nW)>nc5VcrQMtd#RF1K>)@JRFJhf1>g+_$IrS%+=3iRU2$ z=uC1iZ5Yl?{~6mt`DY3s9z8m-&nb!}YliXSV5zCtPdGxjXL^`Yr$M{k!O9sA(J7+4 z$1fy%kM~f3YOZ!(#Zpv5`_F*ISCa%t_MieGk_t$Ju=lH2-%hF7V18!nnkXdYwlkb} zMk3t7q_z80+XtIX@o&jz@yQQqMrg(B;9_UptC?-0RrM|Rw#4A-S2jOUeY*4h?9XCm zZ@Zf@`ty8#5$SEypRiBjeDf_6C{+0P&y7sv$DUR(U|#`_SCe%Mlh{?YDH%n?H9V_z zt@ZX7#kd}qlzC!YJtn?D$_RKI^}=5j9X)yA|<8cx3`p;pgGq z@zbfg1DI!TJKjcDNKhrz3~L5Z>6VMU#;9eP0RKK$uK(GTNv|Ch5;Qq-oc%l!VdRDsRn-R}L7A63g6-nT{iTMyi- zL<5~Zi!cJ*28BM-1l1_sAzRgLRE-Eks`>>@86>%%_$dV?nUD6*98rb4z|MCDq$_EVRKp{O$%0-#-|Dh?>#YL=2DJYAd%*WQN)tTd(_ z&g3ySTD=tpU)xfyLrtq^7aBOG+ig0fkPwj2Z=D+f;wZ4s>Cr5;iEZIHmB z$?L$ulqnvx?vR;&XZzKiI@wyc@c)TgJ2%nvS4b4?-%SL^^aos8c;Yo`jdL4co8c{| z`2QQMj17)LP`=dZNme@UJT}o&eW-`yldZ+bU^*>1K&lQX!HbOyt5{^LYt|ZP*@t&b`v+d@* zyerFV7{2NQT?OeXmEfw)zEqQ(T2ZppN)Um|;}F+eH{)IhQO^#6pplrJl5fOSW*^GR zv}(3E`P$~>8wBU$nR=@MqZ$A4y^!Vk{4$U!)VuPVdwECFWBQiX50(LJ21d&jfHXL~ ziUZ7j*TV54T;9M2X3u%LGhBR*<4%eUuYxaW_8Xj`o>@=2=y|+0HCzJ^4p)%O1Ck$u zx+5{lFwVtugfJb|qw|XvCtm*4y|oy8a(psGvAkkLABcY!I2JH??H0;kc5@>xr0uFGKG5gl3$F&I9*awScpw4&I^0aM zI+S&WB|w)dOHs;O0#LJ9o`;c#Of0O|;_A~2w4at1<5(8>P1lxZJtGDl9q{KDn zp+)4|$DNV ztrb^&mW>15QhJ4{i>dY=&gWrYIji6Px4JZS&w0S9Wl?EfRmzd?ps>2?>}&F1}X+|)IUFuS^q}4?Dw{qJ)gpvQc0Eb_q${A7rq5y_FF6B#Eq^n- zsFIyll#-Z|`0U?v`x#DZ%ow$GN4V;|)&i@G3b71O>s0)2On>`xr@c}*n%E@LS4~93 zZ0G#eUWo3O31%%nKNP*sM8;R&^k4y#_eR@{=7C?nS#TX(-J}@3R!CYEM$z|svOmfY z_zskMVM(&RhkO)6M6T{Uus)_bV#YAkVaENm1}=;~FX+6`4c2@2{|?q`wtKtwb$w`=kiOZ9}( zeO3&0+uH4e?))Kizh4;{iO@b=NQu}=F8e1APA`a6Qem$V=zU@H$sn5-pQ;DBfxfy7 z$6QJ*&_4Evc?r&`Wf{QLV%8b<}CP)fWu(6P}|{;Haa{)F6NL?Zwyrq-Vl?Qb2Ka$2dPaI>d9278KKrpB3gy+Ay28^BiF zhp!D-oNIZ0!`~P}@7HJT5Oqtr$xf(YK5nDf65I6h)hMTSxL;p-XU)(oawd+g!Yl4ojhj*kPLoxM#O^EdH zj*r$YN{bpv?*bK$76&uzGo6}=5@kyhUKd=i=vq&rZVXagC!3-^k#eXeO|BdVzpUJd z#@Q1gzi<7q^K5YR;bCKRma@~Rub$cI8+KMv?VhY*2OFv+A-Y3VsT-a^Ts5>iOfqnG zEg`X?Ir4Ylg~6s;m7h{V1IOT$l&^JmBotG}4(If|8pkh}-vYIo^r8|ReMZ05X%%}u zvYK?TZ1T05#9LA^U+bV5bs2IS)9>80rfGC_rK@WB1pCF~3R5g4_MfuKw@P<-L2ud` zk<(&1D4vwi;;7aGTXIdx_BpTQflS}e8p$qK=n1wWFmjujntjXi!|UZ!ypPpw7LFn* z;(j?&(wsP_STtk-M~HF3l=nRoA|)5wA%$nvf53xSz0aaryOJcS`e>jTi3^={6y9%C-lWOB@#~5Nz4jwh$8hh{e$oJm*zem!E zV&91Z;5XanasENGje6>l0Va#k2qSf?6`*OW`+M&*t5p|UyNzfKKfR1vZ$@sK6o=eh z0ZZcPdJvw~O`+C2BS`+wyoaqVdd*s^n2W7x4vH*&M_HdjqD9iIOkGbDKI94YO*GZmxuB zMfnDQVDgT??XqZ$el@vz)p-(Om60dm=2R)CWjAPE^*?+g=Sh#>U<4u3vkm6B)b`U{ zNh@)$-E^8xuyUKi9976^ndC#+m>fGoN1+Ljr1U%+E3&q%R_9mJ30bWqH=qwN8Y@*D zjZ^;wXeW7CVo~@ZOuEVuP-zstMW(1Qqtd=k`N5a6BYB_z7Ysz?dwUqQMeOa@Uu`_F zJnW821%laT-`Q?TCwtLUmQc<3q4a(XLdjpe`@BZ~)J2cCIKN0S5teA$*)(pNEi;~Z zj{cTlUV(N!*QvU9W$`1$W9zuIovfJa5k-2!A{wWCnj{^fxa^jbKYE{WJjW%gI-`%j z&8&tQlXyyb9SG7qcckI4BAw!$>HH}ce;w!8V=zDw-&mwXnc(|vXfL7tHk}J6^_o)x z)VajQL$7Ab;_iU-OEx9PI~-TeOFL|KOdH|*wLa%8Fg>CDtWd*E=)_t4i{C|vj^uVk zb83_Jk0w)rR@sVj*lJ)kU#q@Rvp_*K0_de%p-Xs;?F|X*cyV;nQy-nlZ|77aYI^3L z`i~c*>$49@&gd$c(<0zjk61A|-0dE}^^N2+c#DU~Hr4F~J&49?KqXvO*&*U61^3vJ z1_Bjae|eM*_h>(b_&c!$Yi=VRPKoWB;#++G@q(FKD`s?y#8WJI|Wy|at1z4QNwI? zpfXxTQ@vZ9MK6wO$9Kmn@VAb{R7#Ndr3kf8S5BvGLeWjvsOiqr7udK@QI9x38~2$E zr$Za*;TvYHE&q#E!le} zY{QcJp`#b~_~c&-=*v1zHN3@MflY*}u&b`<2ov%hcE7Hxgn_@KQi{^oGKzQ~MaAtWfH0KXdpvWimwuZG zkZysjxv6|0T|Ux6kD?G8s8ZAMf<~`v?nm1D?9Y6=Uz1xEqFbPTcdGf~UJ9mh`rl3DPJ3nS7jyf> zK(e6bM4(M{2m|bf58;?jw5g;|xLNM4!Ye}JwSDB38#&Hj1IPZXv@j(qAj?%IgGVxv zFR_W-k!9ZeZvZWc0p&kiyr_lFZS}g#Tum~{uzVU_yND9X@wNLxfAs67%#LMj`E~uY z{dV$T$WbD$nZv(r6NyVN8u{C@Sa8A9ZaU>ILtMyH3lx7!)Y!Ag8KaTC15)xL*szR#t)~@b+J(Z5ec^aE50AqvUC|7g|mCW&pf@z!X|NP19&C zE7?>Foh`AXJ%so3o{DdLJ}t6v{kssdJeZlKVLRGrRWU{iy)<#5_L<3-knuMU+UFUG z*zzp-9Inrwdt+eo`!1eewxmD+ZjJ4IG>ea6({mNpw>%JY@B4l09{UG8mc}Cq_rgUt z5T@JTnYvt_YQU3>>{W4Rz^VJBdmz9?9(M(n2wqW-b~kEM0tC3wTEH(Vi|fvA)xF-- z@l)YyQ-m{1OrOK* z`!nM?I@kdwwOsf-ZhW+HK?Y=7aGzYzd=Q+%7#{_4SLRr_4wlktZL?_}m3Q zWt#_%bf8DQTXO}lbn8h}!9_}He^+4dgcy7<{n;nQUpi6*(et`)#{Na1FRtAdll74C zD2EB)ONegL7*sONEmjt->2jR`$fd`yi7fhN>XX~%1oplU*GhX zV{UY;P>iVEHs5gj8-8yu)dIMvuR*CkJ)p6XFQoRb81)nR<`UK6W#I8AL1SZA6w#x4 zy6UP*=35PP?cPJ*FlN^@_6g*Ke!|TfB`!ht9d=c;N*B^6M^t}OFnxDHX+Kv>Cc{p> zFT0((JtOXJd9yDBv15xh@6B#cKRk5L{SmJU{i(mkO*R6A=B|4p9KY%ATlg~!eWkG^ zMPcXNc=nxs%T8yGUa#+4)FPJ{ioViHC3n)0mYeoqpGrdJUHlV*&q&~)3R+ES+)l&F z&%Kq%#-wPy_UKSuB?#Df;?0N+s&PJhGo>;8A674g_P(MK71I7mIDB?c{TJ!Z=a{*2 z-6$m?_1_QAr{{{t33sNi=~$F#%4051!%j6==|w$viaLnkmBU;v`^SPrz2lKhjiZLUZS%GwlPv^}*UE2q zI2s{_WOq(u4?=8xk&m~B7i_VVWAcr}14hb%2$@ocnGM^`9owVQ>sfR{w!^rugg zU`9Vn>44vlpE(K7ofB6?x}#)<`^#qemtA{?I&HsL52O<~#wWMG&<5yjFdlGv^nG%K zKmM<4&8O`zUSL?nHWj;hWBpa=S4XY9h2j3HCj4F!QXshO?=-U2CDZ^xHAjH*+p!1y z-See!roA0zHR4@Dxp~K(US4*(5+9N?wYl4Buo+qs1%EbYgHEXxzFZm6#yUoHo6!30{mLzCW=+PiEBwsgzGf9#6%*({zP z>VIO85a7}GaJ{Abp7cUiz9huPxP`H@+x};HaSXH|w5v$HAjSSoQJ=3(Q00`vNBW`; zq&-d1+_K`VZd0s5YMgAFI_mH66{aU2<4Nl>Jn){-sDS9{vFYh)Ltdiialk z3j{UUJK3P@@;h!)G+EIVZqh)mc;)nZCsD0U*EwFk%*hF|cCwm%hR$J$ z?1)h3E|`@=HnMx(J0Vn;iAAW|9sH&V>5W_d&N_u%dPdG3OleHHjYA|Z2A{EAe-7lw zt_uWL(xDx}Ce;e58ge@VrT;{MYxC{MHwdANH;1vrmbP9ww$FFscTNbSaf|5eZ!>4F z*p0fin_VmQT~Ek8R!On#i6$gapq)pBw$ zuGU7Mx)~x)P6>&3d5P-Pfu}-FyCM{$fJ~Q=-|%0J20p|`M^z1E0n(y+c5>(9U`mW{OfNG*P4U}VbS+nw!J2NSN= zfJ>f`L9{(ucLH?Jg2{HT8G`XH>3yX}AA$8#LW6HT^ z7v%p?6BOcuzNhD>d>iokf$Zm)PQpo|qb}Orz?}glpZTA<>z>T)LOsDp+oqS*xS*Vo zyV{k4;1l-y!f;sW3hTfyTg}c1r#x#qvRD-Wb`S3x^F+>az`yDU@3mKaBe#7fLXtuz zHEyv;8$qQ6*V##}KNijIL2ZXsz8;Px4hP<-|FWj)_O@3O+n)8rO2@dD+jh+j?sFmS z6`!pe=%>aR<-Y=!&hPm=6m5qE3I3%N4?`E+gq{w@sa+XS!|75H9D>ZrPWJMP$d{`N zxV+Tc|2NxmS!KnIa7jZec283aSR@)6dca;q^CieE3m{<#lc9n{03yWp%9p*027BX)I4o&e8$1Kl)wLQ@mO0(#{L9cn6f=*PFXm)1j9RzOtaV zm(l6LA>uv3yg(V#c;E9awZeoMjqE#0m;EAZ8++heNayxIHL&)g+-6`jkIqTHk38t= z%YIf{e+B1;Yx**N^U7#aPI^_AQ>T({ujS4riC{(|C@)OO zz3?`ZTUhm}IQkv<4TA3_lo?i{+CrX0U7ogP+E$Gh*)MGlL6cV^$`l?RS9{o@q3Os6 zr9#>za9=}xa?LiU-P81=25(mbrCr|&_x}|Y#^ur`J|aHPY6K){D+y6_Kag!C$->_u zGxCAfTsrB8o_8nxw<38;F8SNKf^BXNKAHgt`-_y;8Z6hP6#Zu)g-MbYa^BOAQU611 z^3Q)WbL>;}d}s*k3dFloi;c#K)KzU*KxlXKH=B>pEqy0PoX!-SsCm6?cnX~2tbQR! z9vAq(^e00OhH|acskU(3f2tog5vuJy&YeSR_Wb>iFqvtW8$OiV#qs2avIkNnF9$MW zpB{8FZ%G4vT2IuS<_Q_=>b!xA{9o;m`6ZG(XuJI{;KgSt=soSj?Zm)shRpJi)}do>OE{g$ui?a$FQO1-muZf#hI?3^@dsoXen!5w&xP8Q z+oF)~NOq9O-ma$JElBM?H!hm^fKWFdE|P6LxU$w1H>Cuuj^b4!cZV1)KTi&E$VGn; z<1Y8TM1GObowwuIJTgDKo319rm?WPbh5L&d!4B46l78HdUGiiJy{F|RR{m^y&ogNp z9eQ3T-Lhj_Lqfk6z=68EBC&8>_#WbiGbT~>fv!a%JX;ZWAGGFvM&}Qmjob1@igW2a z-G8Oe+lWe*_FPK`Ib{C6oa^E0@KU3;_q2#{XL_I)U|WN)AOeO@eqyd9G|9YHYr^5L zJD`+$Dwn#iCP+KMxax;43qWqU`Rpv2qopslJ+3k8@xDm93mQEY&ybmColO{H1#s$J z=RDu9$DY>9F+f~==&E^M{cXZys&GOu>}xBaMuXVgt5zDS=`hDKpm;4bT-XlA0P-3t~uXWZiDod9}ZEBwVfL&B}T&kPUi?ST- z%`gzJ?#%1Ix%+5Eg2Z=!GcEmtn8%b|XLQyONU=QO^)@s>%?UQ}=s&APbe8O&Ehs72 z5)iQyTSA}9Y4u^Z*4fXE!N%_A&MW5TeG_87BZZOgk-X7Vw$3SJiLZeFKR-T67>hY; z`lW5bzi@}D>cCm=duijovu=F{zcb(xJ4f_I!HlKeD>-y{Tnz!58A0%r?p( zw!qLns3%e%IV=D@D`NbmnPL-dhMz4>3L1{-?*V#lgucF6)+~ZIQRAH_2yJjOqi`|D z)eKMQ%b#L7c;ncTl3lxLr=>!rO~>|8KZOPF?x9MDtm*A@fM!4q;4E7i$cADpx%E!q zi<+7Y9eReZD!vwAOu8QNo}PN~w6L2upjuRH78+-DrK^sc1iev@kaIKH@TsPGcQ=rp zK7H9SN-B8{&P<1ud?krmf-S8kH7vn;R+DTrd)_YDebQrG`u>Z#obd+0l(UN+mD$@o zj}p@lY?wst1ppU(43brt&t^EIf*nf<&mG9S#%*!5yyttS%xA<1U5fn|V(9+O>7CpT zHUoWn-mT0?$0r{7+OFNAoy0TVE&Q&-Mn~}Ky{^lmTD={y6QY2v)=8?kwoqLQiurDY zkFB3m=TeKsnT4BOQ`7&i4kO^nQ|pr9qcF?xZ(2HCq!kk|dP*w=rx4E8Yo13_Uw_x~ z&Lk!-QM`pboj`yTvJDOm8BT9quz`I31IrDp7HHLf2-m7b2hkv>Hcvv?`8qU=48EC7hMxPwv+mU1rpl)4pz1)7;ytVOG zS8RuQ>x@pq$;i!-VahGTg$`8T!oIdH?c2j!SSG^+-CnhP(lNs?Fy|+|H7L`WbE~6u zLLdZ*lEYdENu$^)+Ulc40uHHGR^zLYl;n!Y+FR>IOkPAx|-+3Z%dPW zO%RHK8P=G^bjae2d;XL$c^DgkMRpMZ`o#zxfb}N34GIZgun0X#Z_gzg_Lq=lY2#u^ znu7MM%c%}{2tHdoODS|R5*N;snf4>MVrgah2SpoMlq3QGXuj;LQE*5#LYAl8Zr0+4 zX=Z7&1N@UzKoo4?xv@iPqxu(%F~U48WI14zYp!FxDA)U|HQ4{)Yv!iCu~_+rKVnSf zHK8<}EfIM+BU(fbbiDnselK72C@I%#J0Ub@{Yj&O<9b)q&sO~bWEJkJUG3(e3i4bb z4_;}*pP5^4vdQ1dyA4GkTNqxU`D-D~C7Y?ORq;eNVppJUSObe)wV#8yuXLF4KPJYkkeU^SBaU3CJ-3$|Mw@55>=dPa)q<-@VO5YzEgiQI?q%qi z`kyLQ?*E3%^cFgUgQB|AGPA8gI{&3jiBQBw(N*7L=odBR3l_>In+C7eaya^FWYk{P zl)qk3HuSYy8{0W`eWdALeyL}>Y~7p(UWNY1j~e8Zx{Zo8+XrJ3-+Ur>wX|jCj;~Kp za5>Q5MDHi-S3acaHpjagInc@jmgJ*`o)0*Y=~f5zhmCR7OXoy<%3qFO*yP62U$1pU zKc3L>poq0Fj0rEUE-e~ zIvBTcsTJ5HnNx&kkzYb|Yaj2lbM!P}oez>Yjnqw7#HE@(IrH-A%YQ{g6ZwaKofB#` zv{jz~B9uTARce|8PWwN*qt9zR0=O7%M>dYFpJ-yk>+OTk_IOwXq6OwJ|lh+aYAmGOV>5~9kyVYxu?3UsFXB|zI2L^pR z&=%+;Vo=%8(t~pAO-8*%u+&8A@pC1gu{SZl`ad*%$ z{5|=NjIBS4KYESY0N$B6eb+nH;Oi6m<@@7=6tY7Gp$fy?JUdFDU6)kQKut^v?6gj&T|9{#z^KdA;|Btslsq|Q~ zXG@YaqOl7@Bu^w;rEH_ICELT;#xRN)`%?Bb*^*@p8T&}t)lkHUFQIrLUqZ|bXwonw0NLarbimT z98^v5F0o=SPT~j?q2a!Z#5)QhM8`#<=e+~TvT6=M@HflpQYKkROk z=-ZM@HiJ_udD*o|d-unQ+IgVV#}oatB*~>GzX5yqm0r>IkHOX@yLBtwI0L!ZbRdF7 zPbwleuI=47q+VNY>njRcVQGNPR#+Ji2Rg2&L5(Aa#YLD{KQilJt$F1iA}Kt=t(itj z!2Yg5y+N(8%wo9GmA^r*s-R&qj9^?L9Tu4mCw7I~^qGjcqy`cwUK=goi+<6I0Z?4} z!FJQCZg&6-JwsD9ThZluh#Rp3AS;I-4A{|nAA>GOw5kRX!n=cI9(q|2+^)FR?$5$K zpjLM&j9Sxxm{OBIW1J^L3b%63#PB;I??9A+i<+xx)Dv8z`b zrA3ONBaBwX56br`(A?tAhtvL|tFzCY190}4Xnb-{-o&N=b2b=^BZ@CHFmSIIAw^j? zdlVM(t*B5&grZQ}pXFWMF=;rXjqLkeG#jV{B&f3rY`9E1-lR0!qtgrwC0+tEhyu*XXV7C{CCuQ}{#fO(&-+0XF0z#ATr-UeZl{HNe_ zx1`|#*<<#`6nv!^_rsl>sW6(sGh=DfNZj93SAo+qk=ySKeM4f|EhE@p!_|w4`!;iCz-(bRqqE0HIGDmp+Wm~eXG!A?(6Y6j_YK@-YfrO0 z^>frNsj_0kdLDzYZ-r~fuIGBr;ar&NeLkJD-XP$p_Hv-~ zxi#!v0xLfGT-*P%?Ec~PB}X}HZWz_%0rn}YfUCPY<3nnJGN%a$knS+8YINbdF-Uqd zrGY6yKBxHU-@OJsF`bW3=3sTfubl<{sJ;JlczHi^;{k9g|FOc|5%HIGr8nu&zYvrC77q|ixXB6>|AkEwuWi;0RoX})Ua-z z%M(`_uOQ-mq!-S$RZYR}vI1(px%4TI$Y1~B?YpkL`E-#MlmzWo=)AnyaCu2i zM4A~jy1f%I2n1J@wQla;g@4Hgg8RZBe}N_50vpdNw3nulJEmVMv#f~vyd_U~-cpDB z=m{N~IC4KMVpl2;s64R18l=A#)WfHFxh|o2c6lq4BKZw#^Rw3 zE@81x+;D_2zctmGYLAu)S;t+=-y^jX#S6V$mQIET^Bu&kNg}?x6EEr76tPH6#S%m*TuV)IzF7+}w$o?L|KTpxRlU0dUhM zwzk03a$?z6@P!@8ZjFn=90_zF!c>N#P@A?f=Osg+!Ln}LbpcGwX@wd6t}|6^<|>05 zd!_k8zr7yWkZj$ape;#~8+>i&Pa6@NDbvUqF%hu}2*zC=f8CZ%1En(J zX1`LF+7ZMi+i0dk*I6D8Su?De-FCxO;5cO#%tT3g`)D|g zqil7K*WqWCY-PMUo3l|Qe~y%OrZek%mIUcWzvgEKUqhDlml9#}Rk{i1mkNli5Ztjd z#m1h3Un?)*$jWauXNVco@YJT8`yuKtYhr4;i|J-!_P*qpPT`&NuCi-Q8F@?e^?mw) znG|dgh&exE=F~Iq?G|so(UDf2R!vObz5{^z><0ZxRV;M zYw;%=_O);_dw3q*ZOY|&z(#NMB`K;L!URzFV_w%|CX&0byGyQB39eIqRR< zX#0>~uR@pKNt$@aI`c0Gb$oI_Yr4roxNO?Mef>p}>*Zn{pQ>+9>-^dw4kARWKT2H( zE7Ut4<%5RlTBzDLm%)g0`?&F_B;wDrePuHcP&I85+AT!Eiss8-*X zepb?gIO#`%+o@cz_nYjQ*lx*hvJVWO|3M6#^vYVJ|=Io|6VV4xL8r&oWC)04+9 z_hh+WPtGT=6jk+FPtrbw+SU8)rpT+{Rk}MOQn@jX6%o;#I^)I&D{FXaJ#CaS(8f7$ z$ri%FR~fB1p)V2OF#Qc|O?Aj|>Md`~c%i{vTG7wfIloJiwNonY5bl(5#MtO~$Kvil z=}*ufjHkcH={<-mc&i>IVejLRuX%u?O3*vGN;19kz2?Ek zw&WR$8AU>FzDKwpx;b?F{nqqPITCSN%UvxyfgYIqMKwSDUOz35jR|vmm$^Hyk^Ebv ze;l{*C|e>cf5dAeFmh8(vpA&JcN3=1yi(qnq_bVRNQ8`tB&rbZYtWIz^^ZrX*E zgKBtL9nGcMe1U090dx%?T&{v&AWkdV4^6)si<}&U6R_6#!%N!BP`brHL4kcE$+dJR z+-cX5PhhM#LSFU5G`RHcF*-C;M<5!!bTuV4ZIJqE@DrDihP%CP&|^w=J#d(4 z^2DVg!#)w2oNJBG@nH<~h0k`<_pX{_@*yL`)Vxa;KTODB=93i&uKQwa44ULdKFj8|#2NYbZhg6~XgnZ2wN2pHrqBXsM862oOEM>EE^C3|mHTf{5b1Gv< zuv!@2sPIFa#A$pyO4`PJ{aitQ7-CK1M&y34?-@|@UU{4R#2YQM4J&3A#$ES#)^OJw z{hD^1!!JpfHKc7HJW&EwpV^)5UgQ(6m9XRCm$$_5bUldEO4y`*Gm$XfV_lhu<~Mn< ztFNyrgl^*;ZB#dv(Lh{awGrI(jSe7%-}lKGzo1Y0F>iGl$WDx%$DC&TqiVGj-GRc& zG3c-DWsy@w6~C&!cC}m9J)`(7LDY3UjBIhx*n*02N8RL5zG2o?(r}(kWmD*HU@U+8 zPmppvD=JF4TjcDZ-HsW@8e-HESr+WLF1H&M)G^`)OtDU2KcP?xZg0NdLl_i@d(}c+ z_zz!MD^=R;W`0H`?KPl!x{cH!}!c>rgcW>I@N2x4{(=rIoAeAh?g5 zq8d&*h`1-a-l~a%J(2R>DFn?`^60BCzE2OonOq>wedxJsArpy~c7|cKLdg5vCB;Vi zj0!}`{__CToeSb<-y~3!#7mTR_}8@K`W7M}6?#_xifF)Q*(bCUVB}&NFLxjVMkOU35gt`t$2bWnX=<#OZtlAo0>o_3?jPNP4wLc<| zqw(()j48!5Sa5h&V3rK6>UBaCRC>+AZZI-q5vTXhG3B<*lqSszCX>UrA4TpKiVyc9 zoQiim0ef~ALGp{*i>>(M38ArgK>wq-&P_Z3DbeEuGp&6(#%b0vUD(S3U)12KBN4a# zXF<5>&mMvyjunDH`3U9ZvNaM%A4R=Al-ry$2I;QMH~lO68b00GRKa~{w>$YJ{}Z^t zdUx_uS1fwezDwqxjBqO@fi#N4gbq)hrY9*!<=Z|k34$h$dSXt(4&Ew zL_fg?g8hC%X<3_%?5Er@OD6nTLVwFVedZIO0~NWwEYjF0czxM@>>(ac#SpIX=J7ct zVbcxf6F02xcmp@OdwN8Rtz7PoM;_rYWWTk)^Edsd?8q;IMG!=v9u)?d_WB%He$L2S-)Rya5sl@8I? zRi5<6U%GcBl!asKi3a1dwHBkhE_i<_Y|}VgjP{jLlJOFK(qFWL-f~BacikHbk^1;= zS#AA?50qO&3DNKJLkt_YN*14bY~OB|Z!|s^%zd)`{cuV-rhYWCP7NU^e_rPmtooVR z)7zG)mMCN~o5d6mjm5}Wn%G#x+CNU7n=qBW*1_*$5#?~7Pw$^`u2%D&95K;WEO%^l zuBz$4^&HFeV0OUs&%Div)A{LJiv#s{))=MKyVN(fBmj6#aIYqpEsT5TFR$#^QX9v#dW)tEO=CgVIEt>hf=yx$xmKZ_a z{rdK|(RAX9QXTT-NeaoDE0fBwXBSV=lI_T`MUDlYlOA5s(kkb)YS&;Z#U;JV`Hj$~ znAIAilli&6O)6uSUXnmn5VVPD*yo|n6)MtqGGH=ZY364cS1%y+J3<#)!5A zptpR)rV1v2*rNybhqq42P|Z)@yp{m*|194W+uGjs(}B4!T%nLNSimp)+n;(~KcZ#c zW=t;0`+SwGXfGUSPK6w^23+7P5uANofRE2$YLz6tSyDMJZ}ah;^MtIB<=OFxfA%0e zWsLZA?q8wm0ryQ(Y_zjxy<^P{1X?np8KgP&Zt%QR3w!sVwVm(P2i&Q_%y=h69=aO| Z7HLDQobuc%Y4{$?=h$lZ&16BL^3yx;&+}r5UA+g{?bOHHjVfUkkn2|56oVwG6oK{)o7|qVA6MyF7YE-l172GR; z&kqBJm0Z(EAYs?!$d!9Q);17(0;lV=79chhazkLTL)!m+|n z+lx*Q67O!o}ax+*)J$?x;<9Y%Yel1eryx(>BhcwDdub=TFZX^{T5 zTs8H4l6=g_o&EeW#LHWp+^*>H5-g}ezqWfLCu-gL`dCiE--M~(zO(}SAOI1*9{JMz z8;Je~VJGRDVaL1iuk8uxD(m{3&spnYZ{RTQ+jaHj4Au_j2_FRUkD>$NtoF)syZ@0kM(ox` zh@p^+aZ^jN!E<4+F5AD>m(D&n9=QONDcur$0gTU|^yh^Nk6 zxy#LpbaWro{&pz;NKo+uGPl`mbOJJDs?vLiom$e!j3NqdN zG7!gU=q^*;C~;}Paxo7%e-*R6!HgwvAvv7-lEv#UouTek4O?@jV~n)F>WF;65GaDI z(j#fV6u<3lT?boF&+uB(ns8U>9NtjZJPh2yRG6WBEPob2>R}oC6iASW7BGBm=;jU- za^0IS%2T&<8?Q~=y!oP34fYFE*f2^6&q#Er^jec8U}1DQ8XWVq9C;0JbBerv!`XKS zcI0i+wP~@03%r@0mMpyVbg(W)gIz%Fwf#}-{I~VnhPJm4>+(^n$zOrp?;!ZJK~KQr z=Z|Ma87*Zxoa$M-8|_N!$F7@7H9Cp&lQw)^XUI%ptjtZ8pYux9@_P|VyoSY>H+h#| za7u9xgjW42+E$+(<-_( zyScj|OKisd8DnYuX<$Y5O1}iwLahBX_rF%X7J^UK*<%OpaM_1+^P}hYE7d*W(Y8^I zn+%qKgQ-x5i_P3gdQz$K4~Kw78LT?S=v9335)HZE9M)RjQ&&>er0FZe8T z<%9qv6nZtDcyy&1>YW$8$cY4kaHs*1kE6Tr<=) zVW#4kuQp`B0Mze0U)3IqJRT~OfxOu}F%hhK?MK^G#VsX|3ifY~lu8ZFn8@wKUKtO| zaoX%6Eu%xltSyFZH&=}`WBd+M15&v}2JN<6OvNubL@JrbMJc2Fv_ObFSEkW!y+kqI zJ-Hr4ja}2{JQkk|P-N~G8T9S;iGY>tej$Fwkbc70=Q_4dw9>E9$2Qm4$za89F-4&# z!#2BtML$<5D)<2WquTU&P7t3&LzUs29iIhVA_P4b7jl5IiFk32? zWodBeaosUQ!M=yP$$cspDcMtJ@c_NU#4jx9;rUKRp~uMPaVEWMpM64bb4Ef}$-2g9 zC~|k5Wh(ASBht}x&8-tf zd$#^P6S8MY)qsgxPu+S#hk+UoW9fH zY}j=3tRN*HMs);KB*35Tc?m~U5@!C1VC}WLe6>}(5^k^^W|i^;Y~y+L8u8+jx+)X2kd?n zwy?d49rxv6>)XtBOw;%sI80wu%%VFr#0kW=BNeN%0CYX!$RAtSexoG+S^Sqjv{4Nc zx`p>S=)dej8(+VAEpThCa+$7TRUqTFFTpyZpu^$Ey!_r{ZjA_jnrQMG3(0bl^4<3Pt>KUgEf)_j_7VFDSHS3HWZ?Yy_ZgXIOtFNbKuU4kL^_(`%TT)5EQ>oj% zi}`3^s_X=c2P!ZX;NpS%9%FzCP_oY-DmCj@@rYhbQ23K#a2uLR5A#`i^^;)N>#!bq zF`cJr_r?3b3@86PQC;uO=U%KGUT+C|;D_3U?T*fBJ)uY}uswyREM)!X%dBc`PBMA@ zG&ymup%`B>z}RG$HhTW8Ks-rp0n@YtupXW?K6V-JD|p%d))?ZX6sNOO zep86ESSqy%(bpxYXN;q%%|4C3`P(8la~$C1+P?m+5Nk%203}i%Sy_21;6CAIER3#r z^O>vaTDH(hxkuopx~cK8-F+~(%OKSENN-7gAmQvz#U~q}-j#eUW_cO(kWg?yD&|p4vwVd#d%tO z(pz=^CcO}iF(5ARGVX9^QxvpuwXS&>lDnoqnPym08Neryy;d7UW;of(-885Fce#5_3=DEjC&Z8&&ml5ET z1gD=HwufZMC-JYB`FLES{YIS4**jUQ+Rg#bvqg`)xixFT1-GO}bb1lBm#HH{V9%Nf z@8gR!aCq)w$)p3eP=|hB=D8`BmygYGw1B*TA}UnR?O_n zew$cQ^8neFXIaswj?%qvPCp*&D9Ok8$DI>)_KzIo+SjWT;GMas9(3b#%3cH{b<)8i#AJN z^nZv=HAmKSfjd|~igs;fkScFj9c18K6>~wq)qkI9w z_0KdCtZ@0Cx1=iOBHM^~xm{@jTS;egoa%4P4AG*eb6?t|#ACDXm$rtdD=$DJ=c{Snblg$13{SCv8wNCJ|1{wjvqc42G5;!}{&kX31u)qkE1^@?nPnk}+Qs zX0EriI`78Tw;mwPY)cILC+DB%dF~_nQ==!1EBxxXfQYL}-@p8r+XkK4BluwEHE(Ii z%Lri+VV9qHyKYAU4@EU%A;sUNc|s`NZ5FJH9-#3DIP{`Ud{O3ad#u<8+HE+-?lkf~ zTUAx7Z!H-tLrX(z&%})qpy%!8VUNQ(d&O@@aVPVO(AL0@sSnHtu0rvmfK|Xa^f`?p z^8pM6KoNAG(pBL5LAk08=o#}J3rqz%HF~6)jexeL(clln6hN<6h}WT=b!#!Jb50sv zT26M#Ax1<-ryF3#d$3J|d8iT)Vd4L?=8^)aA15m4@4xlo@El8wc6~RbMR7qCFO~&J zD0ZH#!P;pJ+1yqBEBDw$V1f&Cge$z?CgPlpUJgUDrpP=j_DJtv%ek1*fFXBn6Ul=S z-p`FK3pb4kVqdhs-A3NzA@hw`V5}`&Z1WF*ozU`iQLHCDey~9EdKqWwd-Eg6%nLPb`*0G?4E!T4L+r_oa17<9dTJWF_#6^7*>M zz2d${)1?#;BkW~U@Oiydb1wog^)~!@qEXaM?;01mm3Omo`9U#P=FP;0Kj(RpapFEF z!0y^hE@#?rQc^Z|Q5o8Y038|kIz1kohX-9IT~VwNSC%7vnW1Zr)!#XaO=8g11X6s= zgaRt`z=+=eBN)2qC_Vi;3v~5c7zdXMZ9HG!9E!aNuCtP5FF(R73aV^<%gxrGmFr$% z@;|( z*FSdlfM)!RpXw*PoWDwH8Lgg~x$u*By^-4Zm~OfSt6I6n$t1PcIdqcO7G@bThl-F&o37OL_Calrxgr9;e-VS_!%V66X`|O99S3 zOWw9U*>t7*&VI7(4^~N>qWd$#Fc3JPlpm(i>W=uz#6fzWsg6UE9gOdZR)VMbM{UXQ}}0#Iv zOQ*H!AM&@{gxoS33?`CPbGuvyg!sCgQacTBTM!@d#V1s&7AshMu&_JjxOD+bWqO!l zFpvTdj^8n%G^Ox!Bclf+nFA|O2@yuWzq1TRox$?XF#9K>x<*jFjJDsH?K)x541p=S zu1|Fp!gJ9I0?XgV@_==JSO$yB_%Tm}HCBdVUf6>8MfyG81=S#vTkVFoVhZGOE=(^&=WV3-K3e0mZh0d`CzQCJMIYMPI8PR%ysg=T-}op(eL}5Lmh# z1NF)o7ICXJd6|#LC%LMRAM?#5%p|lht-PonxFjs-ws5U6lOF$7(${#JRC6ZtsxKpI zT1s<9aDQT8_iEZ+a|X!_M%k2HGK2AWgvr)9lXe9$%0u+?Qv1cafax2w@|5TG0WiH90kFYD^ewK7~qr z>waCgaTQ~vksUW@&AA{XL695dsDx9YwZ@6A*&W6=&N>x9&dvbtxbG__~DsOALy z1|);xDJ(~YMSQ#CpHwNgL93Y9XR>ls)b16OHl^lXf(STgBs#d*y13*mc*8`wkHhiP z%E&o0gb2e2_3lkG^#o*w)K$cil<6c+^i8mmrdEPFgajN3CX&+H^2W1@KxW(-#PtMGE-M#%wZh}YGWsmM+lopS;qkOxqQU7gN6C)Eg5wo zRw66xj1ncVWh7q*mPN>dKb}>-Ky?QwMo?0xlbF(nuEmahLncj_Y=lX(u3!A!hHbj| z^A14V{#}IK#A*NifQ74YaAJZgei(4P2R}=f3o4mi_}L5rUO>T zrtl4|Luk*er7jVE)o&l9b)3Zcm%~T(+PWPG`tBmRh1n?(N|4}5!r8m@vSwhLW5G_o zqxyKekuM$G77IJ%Ff61ll8~$ZH+=qJMiq$!4#r;j3hz*l=m$&Z<+71lp0! zw0|h$cZltLKZ(^HKU3{2j$Svm_K9pElq=<|)^sR8 zno}F(&^&o%B8XH@iK81#mh60T8(MbnGgNh6e}RgMbB4}pm02|b>N2GjI(f><_+SnE zq@h$MLFiNh;n!Hpo;*dbrCS360-(n>{%cI1aQo5l=K_Mz$k&3n$2+j*in7FK35)BY$bG@x_%XhCRY zb*(*76RXke9zsxD9cy{F6+Ba&sbo!6PMC7C<sNdoKU`*DemXkSnH`Ut}nbdt|Vr z<7l3%BV>A)U0uxfDKjVb47|3r=uK^0Y*bwC@8ci)LqQEKbEB(H|Pto1Y(f z-y!6-vi~Bwt~cbs?9MQ4fg@?f2G&t~Sr8SwX;ENN1>>SbnZ)Q>?o{aYDXP)C_Cqs-W`jv^%dTcni-rRV`00#;l{{KrIK!!O66zxgWGXz~a3 zuw<5O0wL%@0~k_K;_q7Q$2kx3Kt%4G$_6d^SI>XmtRq)y68l=_WOcX(zMBlnj(8f! z6gZZ`8)-G$$f;2`x);t@?guo#*kE(a%QURv@_9z6B(GUQN zk1AJVm>r!xyydcd;UAQwLL1maa}uXoNUlPwTwwt3#eir%Z|Y0xum&=N>F{U*&Um=9NTyHZ?;5$tB-P>KlLAx>@su>V2mNJ;Df7;4qIWXTg7fEpBW`v{! z4I=!sNGXZ!G#+Igfm_)iEjj-OjfqNU8Ij!mH&Pm0XWfxIl?9iiOQbE0hGRkdUziYn z&3@it6?qoIlx&sKwtl4LI|03!YyH%&lPbzt6ww*+;FN1L1Oy6O+h8S}e*kH8E&l?( zv)RmIJ>jIK$hX7K&SYgtl_&ih7{i^)qsT^@68ZT?YcCBtVu7KX2F)3yDzjo6Ip`)?n`g@?NHLmds6h zy)L)Ds-Mt}RbylTv1ulN_19+-?grbB_URf;rtu?QG#(_Z!5{wh680T^U-#Ji9R)>V zo}cf1cm=Db9vW)bd{x=h&cCZ*j*2fxlaCl6-Tz^Y5gHFpewe zKPUHb+iF8k&QtODi(AS#VQ1-r3YUtfgl{E|BMoPTax8rDW5R6Hj$nt|Qp>}v(PljU zCB~B$NWgl$rJ=*X8&j`4u9bzH=*91)=Rz3R+3b!}#6d-SHsNc(ssdmwcvi$t5#^0> z$h0Gfo-oVf392udozLQLwGkHKjq#2xg<7ycr~-qOA`T#^bgb{Q2ANW!F&N};Md6Ec ziy3;iCkEAsSYk=U@o5>xaQ;sg`736kpg#C9Zi0H53Mvo%M-JKFz~(y`z!045G6(4w zWtt-Yb{)t%==Q)P+b4+a0JjawHxvE?27kxG`j=$A?uq_{8A$)fM1l7HZm^^Sfe7B9 zRRX%17=^kApDjqAR$&lB@T|~Cvun^>=bZ`w!zvgCq5HUGGQ;8|F;%9c&I8XED z3|^KD6BNOaRsEAAVK~{^5!B2NRm>L4_C<;%skREjN%U16L@(dgZMo{W?-Tk{Nn88^#bHwj1 z=VL7pn*8c@LxofhUKi^?Y^4(}Bbn6X7;ztTrn_xw9f~S$oBOEqzzBj{D4N-Qaz{B0 z18orB2(&I2SU^R0L;mk|icoDySLd2zE@JAKN>OQXfkB17 zqqq|F=lihrcCNspfh#N88nrx^QDPX(Nzw|(ccr4MsFk?3x=4)@fVp>tP4(`1?MM?= zG#Wg*Av%Qy`2a#)6$y%RUwPd7quW-ymaP_Oy}}P3B+B<4T}p1Qzl+8B`-jHk%jZ$U z%S@H=ZO8lS!hWxF=G|fKd&Ytoo$6RaVAxZ)8C&qowu&5K)ZpTMG?o)Ci}p?QfpTyx zHN9sK2l{9xUGjl2#{OwsB!=@Bo zDmlu%_fn>8g@KUQ+Ijw+PF!O+_|IK|eL`^?3$1L+j;axa)19m-mL@hHX@;t3`BQqN zZad0MIySD3d4boe3Uvjg^`9zixUza%k8`^ylNV|2s3gg#fIE^Kpp-tkXB{}W_}9n$ z^Y<({i)aKVRmr`RWYMKMLVezpWOXTc4n1%)GwzBw<#wkC5i9oeWt9ucv`F^!ed{u$ zP^X2*!69F>@BS^MBwk+IC0e!SpKxGp88X})i-||dldGHFR##`$~^~B zc`d$1n)+k-3hF=1_PezY8;_-1kj~{SWOpd4@2cV0xh}kqXRH6zT``Y_;g{UI=&J;~ zeQ7)HD&b`whkgW}W}M*1u+%UBX(Y`d!xQUqP-sQ0&b?oBHf1`#a#dz*9?L3>L<_H^ z=0X??Hy3e1U_c!gQWrh}TIS4xWxGmC_SfJlEzMM%;8Yn;;(Sj`hpP$z5mgy{IW`*_ z?RzFq$~)PPQ}-uqpJjuG`xQr+y zMS0GTpCqtu6M{jXQi4U16fq|osNivsOH1M@0o#a~mJ+{y9H2;qxF8;6NIXl{u5%rM zQI}a4fUrqYHyjPp-iip7brFiEbPWMHs$$A@UvBEVXE7G1plXZ|A{K1_amN(Xqyuw{ z(2+U>M2@+gfJ$qctivRa7vaJHkjcoB_K+t_i+LHUf0>k&6UiK8q4u_%g5H9!#k`&@ z=9I`z(g8e-H0k%b%xdmm$$O*1RSqH~eTm@f?;s)&)!x9FI<@hnd7opDO4*cMazBYf zLeDTs>M%TdFm}`Xv|~!i-Znh`ONZcSX%i$}YO0?mt6OS)1@iNV_`_DAc_FJP67;P& z!GL&Y>$O23FqF8$s&NzHbT~?a^sCuDqp1a$Y#GwrSe4yS!8wQ*v}`%SIvLFh8*MUJ zB~sX|?pfZg9vc)@5kpBJ0@E3d=@9xe2Us!tWtJ>rMM+=CGDPp--pW}g?T5%E#!P!( z)o0h%J~)_;gSLmGxew-x0U@jdWcGFQIc7b!G;>3+smC#7EWVP{Ody^8faK-762;`) zSugmY>f(d+=}(k%8yrerfRgYC?lRb?R61mmf%d*GIr!#qAuruSGcW?4 zYMpP52^V_N5=Y-VK#A;*YKNi?YlY-V`Y==2S({IrePtKOzVto$FTPa_yS$x~5xsDeYOuvDn3Zs*5&&bw0oV&gETTSo;>zDS513fAx-B^Uw$$i{lHAMl8O;&I2eO0 zke{Z~7CM@ir(~U(vK!sk3Y;sh^$8`(!<=0+yZ7=??^Op{C8~CmXoV-<6;wwyW^H_n zjGga7wl0zN0I6CONQZ6?WqoRp?~LMZZkFf*$8HWTD{*t~_Fm%@@UAGl^Jv%pL8g9^ zJ_=0Bb_pZ|G42hN`>3Pxn1iKdBgxeF>SNohqsE+!R*8h@cAS^RWTiy1P7$)Y`9BEo z2u77t;qB57+0>g;R}KJCyMNa`wk&ZB0vUb7DfwmN$nfSn^DIkb={a~T3J#;l@Q7iD zyr41qAwa^NLb#YNoEzh%sC63y70`GpS|F4f0=*P15|%hJ!V4tG)D6t9m<*xXJ(h3K z3vdkpqkdou(N*eMl-8Sb64$`eATkcOZ#93eVRsPQVhgzO>Uhz_l%VyboC zy-mD?8Q5Z30BZlo5=R0Kbf6h?!tJRzM2%q=u|txi4ZWWh^Sw|RhuObACuy=a%r0>d z$8eXKN82kJ6~~YzX~IL}F%$^}O694j)jX_h4z^&K$pbRhaCoP%L8mZ&{9h+RmpF9i zsfA+6lIlO5q_a$kpZ}%|832wnxIuG+2xAp%+x(^t%{(@cy}meOB*K$_ zVpoP31I@M#@s{uXRk~QuOQ2hb1)c);N9?!%Ov=s4k&sDhQ^VW}=g+2aJOk+bvQEnFjXo~-0*n#2_ocMBG$V5+C4(&Fq=dTko)j^J184+fKw(9(Y zw)6;&7#w{Fb3ts-&H_ORX@?8B>chFrVnK6b!pBFRI+U3infRg5cuESqfYor^Q$RMp zBn(eCexnz|@2QaYtKD7FRskgY@i5HT;tbh3s~04I{D3l@}u%o1y=EA!~gM(R~o;v}Dt zM|)94ON$vjqCaU0u|}46&BA%q%{E}*JIp(Tp6Sk%fC6+1Q9^*<3qpu1?{q$)-{~M= zHJ)&q`pdI+p!w1>1f%u>6y&C-K}0NK9qDwq5e*14% zkuTRF&L_dkh~NbG^zgm+{-9^6xFWnKU4KM@_$mipI zCo|@lAC{T!C{*6-pPEJ+-S9IIc4A0N)(uKX*5y#<9~C?uCF|7a62kIeY3kxvtedE5 zz6@tp^^0oGs}a>e`vZIq9T~qOlj7qK&wPB}Tbbs0rCaw|Jh_mB^IH9tfl$-=87 zX>*w`(}S;AI2q2A56gaMG-Zx-qtrKZl@MIKM>9VeHD~kf4W4-2&HYBlq1%PDlY8||8@YX z)iyZt#xkgQhg+-KlK0pF)UWl6&qPi*k#UP@O;#s@MlK>#1mv+NRXt|))0kek=y7SJr%`Ay+Sluam z2jk0(?!>r*r#aBw%!$VTM!)a7fIzU8e}Dl#)C|;QXFK?^d;i@n>A6rxhpCgpnrxXm z9Dz?C=g;=bSxb{dVFcEYCNtFDlbn9#qy;%%>4-Ry zO%$^P#9qncyV(!OrCSN}XDR1D6#8;7(D-GmGN&o^1#%qFDCFUO$6NI&m+f@gy=SXh zCAIPbV3L47`j$i-N5O1+yCF#Ywv;@5aW0}YZ^Stgo;GXoAS&qlAiEtWIn862rYZCx z*_Gry3_%}7*vixHVPXiw`^m6R2MyHyUlZW8h9ys1j$*Y$Mz_8)fi>yL!_=peoaNu6 zHm7)>R_hcM&6v{+kk_4zK7LM1g;hR*jV+dz0gB{({OssRda~-TRqw?aVEdwdn(foC zRe*3T?ADK=d4JApSNc9@IaR;IGqqV=)^ZrG53{qvGMXNy(HB!lsU5C?O7P_HEA`L) zHXHX41pWKZ#3#$tA)3$zrE5h!^4BG-{(}m&oKz;F5S8+WM$J*zdy2&D3M)b$I~SvA z>im{ItA8ENHKRdzGF1juNOAMOmaRR7h;lVIxmV!7|4TZ|pW+bBtfTiS8g)qg##p~_ zu^7j`O-3q$C*$_iqKt_{y~cmO=KV2a!dG_Q&~(-2@gEM|f0r92LaF=VF6bgw zcz|3*1DE%+bJLM3l8~7|&B|DGaI^>|u_NRlwnTOlH4ax4&sV%b{seZL)O%~s=%>75 zWEY;$XQ-yoZFqHP%#epTQ~DBoB2Msi>4n}lS3NRqx+^lIeS=rT^(}4icr3Cz zpCfI--5|G?C^s;}g05eoxTv5mha%m;7p!NPTHMH1l3T_vw&&ZiGYfM-C2juz`Jbw3 z_+hrR{ZbGJyb&clilOOSx>1+HaQzeWQ!&(~{~$oo(s1WdV4qH+(-iXQ-7^gAgNmuu z(Z>RbtdzH3UrcKyq<1}6_po)7EFD7lZ^t;BzlwUBtMgL?)Z;}zS|-DyX*6q28T!%I zV?hEelv3--TAr#0nm%vOnF@u(R`jdN`X9MWRUUCq^j#|G{E#YqPJE;Rayr;s?1u>j z*{)Rjj_rn?^Ic^BfUJS;5M>HlmQzDkUDLP7$9Q0hhy`lpBWLGq)j1 z=WZ&FvN;wz*^x%E2Vl~t>W*Fjzprd^ouj0Zuyp+#vP%tRC2*9)s+$=+1L>CiG=W2< z9jWEru;jO8Dd&}z{hUoc{@z;iRS8+~EqSBnu4q;mUE56i!%5oWiWag@ReCwis&}1x zNy=w@=--I&b^E#BFH!P)dBV8B9Wh1M9_1lN^+{m$byzKDbq_2b9CKEZbd7~>#o|Eu zwQg5Ouwn%_s;?zz%QRIJ&n_*D3Aj4sDHxmyZ3!G5|KSrGo@C6wlA+`qIGjBYZcC9Y z=EJsPpGnxe$QC-9rIH^3N=P`(>^HEv3A|>chAs>ST)~bXeri~DiGD~;X|&{ZX;juI z$^3n6kr6PETgtq8=m$r(bBJO? z=aYr8LsEwj8GRZ3lozU&50>f|pQ|Y91M1i{0^zuT3ZuM#l9p2IK8(6LC~&F|xT20@ zAIr5F{iBrefkZho4IVOu_a z#2Fv4>NhK)j&a}qlR?1b0+U)~uwC+J%7c_PF4uU=J$%>g&z)qozmMt6G+IO%~b3UIsnJncIBHBvdL zv!aQPHM71mH;nrHi89YYH@)n;Z;FLKP&Qn*c6D0WGmH30G8o` z31U4yF7#a;qS<`U z)5w_m$?0%^XzFX(NFqW#>6G z$nf3;zLxFpwAiLfP4&bsxM5!0~&`V;St2hMIcdk$6A^XyF@P~*b{_`*-e`ay! z{B`TD7|z4MT^4rWZF>uXZ&)PVJsq|QnG?RL!>HGlA+TKFLh`YsvaMqMt!=*9UJ*7#tYGP@*?cRTX1}JkR7$^ z$GPm6l#Fw0LxvrY$)Z*qt%}(SxBx*69#3lYT|K*}6d1^uJGSeu*$ce1s`OS%dsxYO z>;le+2$7`J11)kq_9u5yk9h(v7?_^#T6U2sqx61^j)ybML%Q?@vmt+<@q(n|({3nN zN-Wer9I5=Or_y_y452-`G2pxq>{WC;zx)jWXR}ch=UlrCSITzGr0AVkrHy_|uAFj} z%%%AZPq?q~-L0;-?aM*q24z~DnpgMMQK1G7Kxbe9c~SIlHWgCv`z4j*zNxkb+*@wk zYZySWr(~ZxjF&j>Z9f)Uikh=;>iL{=P$--_PkBaXr@eoOT=Cq703rjGg8f%1Fdy!1 z0Wxe2Dhso!7!^znc5kWrl+;_0hD-E?E=y@1!~QkmXD~h-Km8F*-6TAv`~*Zy+qyVF zinpnlxw)?H2$gGBkpYgPdUM?P6<%H(m*;_0yM2Kj1+Rf!JKa<0&%z>UMMK zjdx+jn0Z$B*??-sQzJwU^I)-S*YL^R9d{JaZ@7Jd@7LzvXBk~Gzk;G$*VwMQO>?|# zEU#`ex42X;mg7g^3^E$kTK#sVatNCf1??g#)Qy>sCb0yx_o{9S$u-sUx_8>lv?+{J z7ruPsk7{2^nlC53mKRWsi6JPLnL{(%nX~L4SdcqLM97WnT);9g5TzQHA${cU5dOO- zq8j1tU!&G@W7m2quiq~{U-`zA7z4C?F}Nueo&1~jqH>kduq#DyZE1TtmbhE2;n+|# z85{EKi)t?Q=^#()xYpQ9B%d)pM$9{)>^2fE;Ad$>QkmG4XwuGgHe};VkJph&fhT>n z1BLn#Ni8N*v*i4W#>k@j#sRe|7n(mXeLbx?(Iz1^9Ya{@BZ2SlW78B!9KAw0Vvl>Z z-NCva?4Bg%$mx&wG-%cUn9p-LexErgn{Yrg!_(1qD0qU~>6KZ+N zR51BIcCH76*!zL+QC_;XRR^d(vUv9g^T2R>+c^5}G1b|hVl@+DuqY}w+q)Rf;Grec zXVAaSNW%+Ua8vV!jpcDrYxOB4D=u4h;kWOKBciBbESjRZ3P78u({EQ>e22n=3uLjw z>+JM8Vh{Lx^?44h_ECc`y7TEYeZeiV6RO(nG#PPPIJXCPr5zWimW1%vYD&N96>FWS zE|F|`Bt!t84gD_}tZw+{QU0-g?1hi2Uh#3RFcj5krQ4X4_#ppJ6b2kc>R5$$*!(DY z@^b~h^f!t!v#63?16W*)-t!ajEH^X-NNus4Y}4AI@2;1qMTf&+7OB61Zt7fdJvyPc z3{3=39f!^TYVdvG2@Rz8z;Npvhc!X}Enay&_i8dfL>bqk%9`RDRFkc4r=1aMro!lv z2F|b&=KT4{#gB)mtSVaLF7O48kqrnENZ^;DB;7bl6|(WubyM4PRQNK7Sx_n(bmrs$ zs0L%YmP>+)Sx^(WKH?%5zVFW+Q}}a}BdYfVA);?ORqs({o1*#di%i6byaqQpup$ZiZuG`zsPPXhG4-jk9HBjed2g*gYK4cumySahg6 z#&>#2vVYu_f1Bfagbkne<#;jOqHeoY8Re=PbM z#PU&_8rEER0d*!KW-x_-I76vqxyS{C$2>k#`qJG8a6p zReN>FQyVY+MI7VLn=AdBwG#|ptowzWOlDHzC(7N={Pj{)B4|uQDMOT3nlUqz0oi3C zGZVrDf%(1V(w**B;KB!F4rh>=2nQpRpZ)om`)+?wL^LY1KZN1dLQ@p~@Taz`WxhTv zLVgHlbsw^};(YQ*0~L3F@R%?Wldh3iiF+E?nAv2MkLCf(<9OP&DO2&r)!7o>Z8Wl~ zos=Dyglayd&}*?`PTeT3ra2H?bBmdCBc1)hy~MUlQCVg|wFnDN3b#49G=HIoD($Ol zosY$F)oss!;h)6dsl(tYoB{A&)f_dF$^v~)Q6!JXJD$a2v#NKKa)>U!{MP)){=|;p z-u@s`sAiPE(5gicx;1?GYiNK$;V$#T>$AOBrDN zW$oX$FK?xv+U*7l7~lJ7%99;);3gmU(6lS4ZM8u3t9^Ob!ANiK?$O? zWuX_LnuzhZfGRxN1J=}Uu9Spe=5Y6;sX;vyRumr*5*||WP&v$T=-;5PI;CWh$v&H4 zffqN{vM{1{s=iq+a#=;)Dx4O5g-E1BTRO|S%>y| z>u(J=o1PRlxiKxKH*ZWex)lT*tJ=m+Q7#UFC(9SaZXXzUf^itad6L_;w;YoCCUW~8 z3ww#Fppic@m@fMouqi6DP=Oq?{M|P8Xj^H`k9g;&H?o6#yeU~g2Tlp+a~lF_#Pwbt z<1rxBX}@VW+V{ie)}lm2xf2^jk!u-Q#F?9KGP@1TA)WCwHY_$?v}6!6Q)ZlA1G#63 zP#WKwXQBG}&O{bpc}!<_o-2Qp&w7?rK>pO% z;3KrDiYOm_6-T!z=f71} z$lPh8nTq+${N!#@N_}|JHiFnGX}TaabH>7PYD}G6Lu@hFnuQj&T{USj(uRM%;Z8qY z2v>CTGaw@*BTm%%6eoQUAg>wavchKyv3ym^IJ5l@lknt=4#yH_Qk3O(?ffvT^+#4U zD@g2vXjkbMo6VW)w(x1(M>{pCPJ{F=rBAh6&pKOkL;KQkSg0erbyYQ#pF$?HeXMF* z)$F+b{BYl!eV?vPu|#t?zFg(F+<+?sAMrIPTyK`!LI9>g1In5`WuL#?~sK*BdbfZ016ShFlB_0X< z(o6~oLm4dvYJ!kZ?ZvZhxgnx8MX7o&?Tsy=MhEI-FBFa70m|vCv>@Eh&Dn~Q*m;do zd5D`K69hzm^}$sX=Sh8+X?)?A_6u1hHy5LygVPkaX+v@A2OfXOH3#i}@Iqp!b@!I4 z<={sd<1R%V^PmfiK=KA6>p=P%wNuFjrvi6Bkg|XK^uC%zbLkd30HKAbx@ezo9-ZTm z7pNwqW%`FnbFhMnbV!rQJO#+o-e6WxHKDPbV~#`uVtz<8gI`X0&iFp>@`owqJmUWV zBSGB0ZDcYWuvn*l-5NC@->PLrDCjf6Y(SkK3r)Zt>x{|`}9cA;^LZH-RNPpMU8jS+nO{mn{Y z9PaWtQRp+}ebP!b3qDr}K6@6>=hxmUL#J-?W{J zkfrs)^l$S?l)tiXNNk*9kk<`b_HSe@;gwftCI{%qnXo&$2B)VCkI?FK!mCHRD&4VV(2{RAJ(hPhO%4{QW|616D}FKml->SgmgJ5U;& zus$}G)<5~k<&&tRymm~fKX@e=TK{^5IxL%W-{6y|M?L?cdwgLZJh@=!-RzihAy)~i zzZRfsl&s2=NbD^Yk7EeE#R{i+=*VLKe%T}R3TF zKv3&Ma)C1V>M6_3>7inLDDPomd6&w^p*M4R_XQ@8E)~{}T?j@^E<`@vFhEfKM^HhU%V!pv z!*?N93o3vAyiz_+r@oSUbC*xMkhPoO?BgWr8}Eq>rS=zmE);xxoJ9T7yH6n|g3qo3 z;?LnLC7(eUDyZc8sgyOZu=7yA9VvX? z-@&ESFFZTb^Z$a+F$MT+EBN^D0W7kkjLJ*!*;(jwk16<&_e zK?m)tq<Qr;`*)Q+^^A1j z0jEjrpO+w@fOP6@#TdJVaFAZ1I!;inBB-!p=u+(^s745?Ed|w~ITcGc`)O^?RO50g zK#>cxs|plMr4+`QNM}f*{C#>_zvRhM>c4^tJ5@MTlf|uHMHY4_aWGWAtV99g;w(>> z;8Ed&Cx~5~mpz>m^}fIvF8IvLOei+`{7vw|`x-bHoJ9rBzZijHgCpm@-WE8^3qJ1) zoLK}8p6lmeWIZ5o#xMfK2IpykL(|Wm4WAV_hYLRUdQ?iCZ1%{1~Qy?@OoOMe(h-}WmR&?(uv6KV=_WnX^*c2_O5(0H$I8 z!8?~2R*lbX`FrY^bE2NW&@m67(mKq?#!+f*QS+cP2O~X<1Ia91sio;|0D&R&Y~MgX z@29=u`j~vvOva-8XQUsWb7js--RF5-lC5_lopI>RV;&VfQ(orF<<%+p`0v%})CYM~ ziSqj&lA8MuP>s(1ycE4%Q{X%zAozd;2g8T=_yB4koL+(KV1Wz{`Y{F?2;LpyLS!za zK1_kw@Hvpq+VaCd_7@&5_n*o)EDg$QL51`01jHX5$hS2rHGw*DS0A{c4bl3~qUPcq z5*$n}-arGL2LoA%FDW*Vbp=GuO#fBb8Dn-PG<^K`B`S5^w?59fMADWYhU(F;{G4*X z13ZHxdl~X+59V6%5%Z`Bi8(8^ zFO6+*#Sro+YK?82M0}aZe7(rUxlH7g`2ep2f9m1v-~1T}iSj(^EH9@@t>!_D*=?OW zHAdz(BvDTaSs4E?&`9U|N}mlPYw%K}H{tEhdT9cxJMbfw!H8v}odLi27wiw7!S7QrHk$i6RYc zh}O@3;PRoj1d~;5oJ5`Jah7#Z>;EI5O{MjFM8%FA2+kKrN(;GmBTsiTc9hLu(5KEPo75JG-2(@GMVXA)$`P#gUf3 zfox>s$ahIv}kq?+YLSR7%zRei=N$nVw@N562aP(GUgL zmR&Ornc2gE`?xsdEalLBKHvo^rLdZZs|tiB`JB>Gfmvd{H)krXv1`@lL*Hrga-r02 zgu|gDJHhieHkDHQ5!{6^1!6-*CUoqhls~SkHvi1L3?FjUaxjqI*2m51;-rlWc~RKs zH35QM*kEc&8Oes^U1HM1T!LBkJzVZ&djBB}jXC^LDj@!w}>R((N{a^}!bzK*2bn zd~HO%gNuW;08lWM*8e0&Y#dG|p8k-F(0T#p9Bdpqw=xSV3IYfBL?+2_Hs^M}==(S{ z{z2fJn)M4Chu-0r-+ML&$H?z_-k}{r2OYFC+RM8s_Y5J&G2fnWA<~9L>|8sJZD*z4 z5Ps;d-O#%mU-kX4o;bEOv_9qE!heL170J6_79qB?)d zr6*|pSUzg1@WBL(&8JiE?r~OXNe_~!b-eX%!twZ+F96t_6J;$J=H7c1jeG5~P-=CN z_rF;g>Bx|O;^{+oZQgwWJ=G+}*jsuUCTiLrEzA29+8E@O`vG3Qj6H43he`)nE)I1d z4rjxM|BdB8!Jsw|1|q-hf-kzdoHz71(;Hdla=&Rv&mVu~&yOwT-Hnn*3C`=0Z`iUD z@#QA^E(uA8%D{upW`4}x=V4Y5lv;6w4Q*0~%=x2t2mI!#wQLDm&+EwqZ&wQ%?RxLp zNYn$K4d_1AyXTvJ`54W)NBUf>F3ut9^p~PeHwm0Mb6=~J+Z>+dwf?zSX*nz}ZRmv3 z*81#RR)x>(g3tAyuN7b6w|#@Y3gdaG5pCmi>QzZA8)9|}*bwuMFdNeQ`oMk&9oheH zJ*(1+Uj{dS24^RMbE|;(cfd;h)0-WkH(q%*)LPD}ZbX7@`_Sw@$+96z9pFI{b+A|N zi8{y2h1T17R9X-BAd+*F_+pUR$6@Q!r2M^9^~XVGOj%&n%a)#3fjqYc=U&QJ4#=r6 zL*PQtw{S32CkY(f*>kAI8T$fUoE7+zVnfv;AeRWL-2~310!Pl67LhLVE_bY;hhzne z&i4pNy`;;%Id=$OK^x1SSU!U667B=>D)_RC1OR2HcrMdbLien9}Pxc^*LRr{Up?4j& zixGN%Z>5Fa5-3(JP$K{qLsI*V=@y9wvPCa*wmtv(D$r(z>?Q80;Rhb=DfK zpADh!W_iA9T2L3~B#%n#V>}35dfrPrnuV_(>{)|$NeKT5@20f%2~kN|YYJIIL`x!N z;XRlfIAy&6gX(Y!5N{04z2Bb4nVrc z2fRR~)B<@Mg>%Ch<0zyvj`_MLt`H24zlS$b7{$3bzy<^dsObAi`4vSu9}->;24_O2 zUoToceUu9C{AEVqqZD-@Uj4%T4s^j`aBy$sLI_X}1_wHGFp%EX$JS8mqr6-+DctMX zIGq}8f(@a!lL(yq1WtGOz#_0lr@q?bQ~%w;6GpRvp21l+tMp#${H$FqI-N>AKG)|wZ>Y%DDHQd zqQYmH0(@R6z-K@KKKB>kgEz*qmL1s{@?~J`^Iy{-$%ZI3OpF{JwgyRt57u>Es@L*6 z4z>opY0y~;-0Z@+zVq~)V5Eh zCb4}IRsB8}W!8Z|CCA|hdYtLIP=sg8$_O(E&cH|OcKWw*lI#s!HbiA#2XP@pm6LeGkQ>sm~Hqcl<26JcveUkN2z8}O{MjK0{R>*^qJA^Lx#-) zN=NH|;1)3tfGBl`*G4HdzknP>{*x1BrL0uz?B*IJ1U~fERN-Tz#XWV0$4BcmMSsdq zlYqa`ncqv^m8_mUxzasZ(?`BwEw-4}gS@gpj@|l2Z&vUiiJFyqMwbtE2-uK}je|42 z@G+XRkKxU0HiX`K$(Iy&Wao!?-mBC$0!OY_9wVr*pNNCuOan$w`egzlSIu^0CKMY! z+Y^;rI{sVmLVFnGEX|X|(dF}f@GISm*BIB=Wc;hWEaZ%LLbaXGjr%{zFuiVXg`~WWA4#hoSg;EwlJsf( zYgp@kk|Iw4%bg+L<#Sfhq62?opF?ODij*}pzo%x))0Zj5egG-!wtS|dLe=8+o{5sr zD4#Fp{c6McrQowT-Lbl5F_-5p@_A@_+E~nH%qgtVM2mm;8!r-)PR4FsQhZ9<~1Ccm+LjCR2ZJ3lONitu^dlSMmTJU)q<-;-rV zDYiaI;LGJj`H;9Qpk5^E2CB;(@|wbz6dMTNHzA=KPTXT=%vQ}leRYr7+M>SyQF!lG zWSX}8fPE6>*Cws8=YL8#qXiD`VQng{ucB!XKoB5H>)kw@MDhOT3eN2UX9Oj>d@d6> zJVRCC!=n_2DD}O!$F=@X;j^sJ+Y0&le#W7KC&z-GY239Ref=Cbu!L;ZsML;1K&eMKyHoB3+7i02|X{q zu-ALix7ob=+|U!re-<@YJ}9WpPfAE^cHsyQorZdR}uOwCG0cCldjY^qP5w( zfPF9<2CK8>L(kJMDD+uY=yROVXK4@86Z_a2()($|n3hYAbD`8D-g+**L(9`g>pctb z`D+0_dl%qydjUS16yWo00Y3is8vDw9+&kXAXXsrE@qWjy%eCF7_#?E?d`pr09se00 z)Ka5erJgn(0tp>-Xf0RY@SaG!Z87uwE~N1qN^4YfUY|~FeG;{v(D`5w2h=WAZ$KcU zgN~4$cO{*T4hBc5e%@GAj+SXJmdNP?#Kg9SQvO>&lsZe`fR8JEf;ZEpRFU_KES%3& zfERK~yOhNux|H4MEw zK5Yf`87B0Z(d~nhL4dbty%zaA0{jDzMAh@%BjAi+WL=c|d{?r@i4o&(yqco*_U4Ad z(i!hL;ZR&w?eA3SzN~uX>m0I=Ej_e{YOH55rSLQy2iS++KE;<58_2PO3iA>i49@=q z&IAD=fT!UO%!FdYXD5Mkl-K&v+c(A7*jk#%`TRm~_P_L;DF40YTHA9BMxTuYAOG9W ziFn_n)_)hd7%TYrUxQB6d?H`G4hv$V5B3bSWs_g`o+&ec`Q2AfrQ{|^1ArG7rb-$q6-IG=1 z9XOR7FlYImoSx!9QRuAH2w`VHAsGQUTCYO?fZzbcG={iB0EFI$=jAknQ=JcU*7|U| z*TWSM47qAAaSjysx$}BC)%szv-X!_# z;^j0^{}gLUONkMY{~ix|t9o5zDeZAY|bEH@?^q=ub6!!DmRJ0pPl+I*>eSL=d9&juFo=kL89Hc^)gUzN{FOMfoHNABM!y6$OvRQ=XZ zpEC`+)jrdJ(dmUd_2Hg<=-c5Qq$khNk*TxLLH~5$E#_&-5j=f%^rS0wt_Pv-#nRx< zwitaEk@&li@H2fz8}0|?Jhs#)^`zJL8ADf}{=z=8yml4(T;oCLTjs((1BHEV@+@E5 zGmo)7w7rf-m9ag{TpIPgQ-3Ay-;d3`4oJY!`uFtj+Hh}yGhX1FC~!^}I2(8#u9Uxy zPb3~yZ$Kc!O^8DbJba4>vU5q$O%eB?|QcGqw)eB{0Pih|E5CZX6+ZAoWs`C%abEJUI(hU5U8%5z(w zG*o}^N|2uNqkM5NR6Bb(O35+63rs?>q58F;I?{vC$U(^ZWsU=OhR+v*3Qu>oTV zt_ZM(*0<6>%L9bIJtc6C7C3DJCu|=g%mO$ob<9FHZt$O@#}Cgg=+8jS)jJ+^OOg=6 zGcZH>rZ>k!vk_kXp!|4yZ|NIm#G)-fz^6&wPE>S~1wgdMDia4IYfl=+yAb*G&s(|9 z;BKfs6_B}@Nsd$DiUdO?pW}H?@cD!wki)X*siqvle6)T$PiLG&z2s3TwH(m%V5IZv z2Y?2`=@L@mj5J&^gx*F&BQ-z~44;L}g#}q7hcH#5@TPNIF+}T^Hgh>Ewf&|(1Z-@o zL`}TKm9F(-H@lErbRKnNacv%TizmHF{h#nCTbhw2AyWF;!k_07{`{DbF8!H|>Xn6* z?te9zo-q~c)$0rCe-YB35qaNl?us;%_er8QUAVoEv+WJO&O10)_+7<{6#<($h8AU zXs9*aemgohsh7M_27Nuw^A@dP9#@}hJXu;}1OXfbBa5G21r(4bwZD}SgX4cSN50uN zQTq}tcrYJRGB$*EE_gPici4zroGWtrBF$>Jd5kJPexz8c!mL&`ZC(le$)pJO}- zeW_RI^Ssb!LDGjqM|N@o&2+ku?(`s_1Rq)@@JdjrpXF>}5#W#wa~y`qcVue)y5O_A z;PYlxJ{8XX%su7OvyaxV5Fb!5mHFn2qzsVOg#1%udeqM|><_A(9Kvj8~pQ#e{ zkY{K5GA=ES+nkj;lD0Rv5b&}gi8_^Td;mr0phN5TsTs{KbBI#&P%Gg=UZpn5g?vM0 z$^m>#fGh;V*_@kyKOmO~I)RZfbu{;=A^9Y}pd%GqVuvp`$<`vSP5)iyU16D;q zzUUiqo_(6s*Io(IyT(1w3_Ydiuj|s28N?s5a6pz)8+n`)b+_Ow=fI99N|(>Zg3rx@ z&v=n{3_QUH0s3e?Pj+6>uu1JqoH-br)jZ!&O1@F`&q9_z-l&X-z#W|3P=T~j0uDg5 zzJOY68`7lqozsOVb&A)vX#KG_>Q2;=qPN=Gv!POBM6G!@h>chN@u!sC;u zSp?1op4XYOuyd-^#~x0is0uoL0e$y|?w4Jv`vlG(bBnUhrig7St+%G>CmWKezla|H zdJ=ErX#It^Q;F`a-gR;0n{*Q@RTrmIV;aEbOy807Ahg;*5Dp!DlEt6|B$TgbMJZ46 zYD%JB^&m?5`gH0~gePq7<$|7;@GM5}xAy$7NzLz-7rnbtXt=NEKT7>eNJs6qEk@6? z3Lo1}@L9*hA!!ee2ahCM*rOIEu0A2?jT2I*z6Sa-!hoKS3ULs$k zy?kl?1Wkk58cIKeMlOyH_+hKC#?l1gfP5+SD)B+gISu(C-g0W|qtsKL*U=oT2QhwV zbEc6wE-A1qx(D&3D@6@t7AiPD&LN08=m@=0Oy1+aBRKP{b%oEPISxZA`@30sqQY|p zNM{_aPs^_=5X@BAIEnJ_d_(WTIWwnXeTvU@+p;QevYh_;AO{E?+5dB*yh<6oQWlAl zYYMX5|0wv>Hsi5OUN2Zf+M_|WAXh1G)jy(3w{+h2aA?MZNhmfs9g;&p2}q(I^gK#_ zKSwFFejE%Ro@=V`kt-3Tj-zw$>tpMz^>Wl6axl5zE5yA4fwkCTO5ILN;Vz`;N{vlb zX=N*%r&m5)9vyU|^}p`){pUx*!xy9;)}}JEG%f^JunmIYY|hO;Ac^94S^x$JeM!5f zRhav!3CPIl&+sX-cGH_wwXG9P`&6~fEMaYngDI~C#5x+MBQ`j_P1Qz{aX>ol(5H>H zHiY)xdiQ#XLXT$S(A$x79?YjH&kKPm7|zRjdnaj4foh!1N2x)CZ9_W6YDH5$!@!LDV9h~LJvs3%;qNwcqW2z!|E{UQW zXwN?Jj>GoVdfjpCO67=>sg%yrbj1OsY-R=!Gmb2Gt?v@$^=eMRRJ7Ag;9w+!^a>8< z^jyf>g3n9nP-bmDi8@{Mm6MG@K$KC@^MAxA zyDUS-=Jk|wT5Q((zn*lZ&{Ny`&`vk+Zd9qC6qvRCq^4QxN5ri4fg-1zZ^Rwh1+soo zei%pwRzpW1Mhc*bx^T=<{~7ngZEFFF0I1g2ulvl?J0H5oosz>-3v4~Ijc{-nTz}_V zt$QOzh*fj!FW~@L=AI2POE+trxME1BUe)VAqCV4_eNci39du|t-kZ0jHcQO@_%rWH zyc04}6TDiX^?$`ZQa|FHiO!`f^(T+Y+}FWk2u4GHR-#GGE$aWCUK>$t{|!xHdHVcC zKx*3$&6y}v!jVOiDolYs&ORF$_9;k+;L*&r`3&X-acSK$i59e-b=dXg#ly{wK{cF#LiNZ+T&O425#0ugSG`_cSn$({?Dy^>*_4GJl=lMLG z%F}J&-a&`fzK`LlN>@64{Y^j)_3T6YeT66Zt2<;s4~O=Bdi7NLDt*06#0X;Mdc`J!i9Jcv@82|EuE z^|ak9_u{*sZGTSGA)=n9HRdcdi3id8pQ605 zP0p2dv`0nni|`;?zv@xZeliatpV3Ryb%KvS+Y-vx1s)acUL^>L%k)MoL9>Urq=1|N zVtRbU6^LAcULj9s9BL2q(g7v-Xni2nFI*u2Lhlx!e^4+L&8_5D6y;R@P9yr_MvhaV zx>`_SM;CY?RFlQ67UNJ)B&ejUJM&heLiGln+PRP`*`Yn$OXg+K*Z8cFdlcs@v{s6l zcLXlM&~9vUpK?G6hSqN7a}>0o;Pj_jQ*k+-(EIvuHjoRgCt6F{CSSd|JN(utJu8mh zuzVXy!eL}RyouXN6o0zF=2K~{E1Ziyr7*4W$qFL34?U}MhhI;N?A?Fls%f37w$)s= z4PxUzZ5S5h!9a>UrBK_m4ZTTK#6Eaxf`iHXnY7u*h42+(gVWnoZ6p~7q-zZgZ3umT zR`gBF=M+q3p5h>277U-w^4T7M3c2$m;>ejdyBVM%&KKV>CZ@?S4j8wPb8{^uwBl0zk>^TR^aekt$=4_(MdTN2=BuH z7!X>o^x78M4f@jR zLPZ~Q%@8YFISx(#WHw|ftv9fcz$a02db6@hwG-UV1*oS43FTcrBjL~7($i=K^1gPR z@*d0Glw@Bkclas-@}>1fd20ix$?5&x=z{ADX!sm8#auIF7TTo)9?XZHa`9FkRkjnu z#gWgWF79!ryFafEDs@LLry{Fy&%R1o(`&wL%WFMOl+LrFZ$b&%RI;U2>M;x00RnYJ z_HX_S>@sP(Q?UN(g4yH|5VJEr_H4Lxx^ z*7FUmcOXIVor?cpjl-5sZ~E{c=DB(sM=7~us-MTXNj*ZoYRjUPS)tEP9u<8n*^{od ze52EhZXesK^?O481g|V=ebRnfzh41CQHCnUT*F#OAVNufON%~P#>!W6C z?FZ-x4{a!My7Jp`Qktt-dGXsyclywBqm(|`z9(M{z1Au1PJHeRsjRIp{c0;^HS+Ob zpOl_Ud?wk4<-bXvxYxJNGMzx5U8!9+yZu~#7QS5cj5g}Cnq*&?x#F`g>BFn_b__Uu z`_z0eKI!p3pl>SJi#2SIB zk#hrQo;97)ev~U0ram(%o}Sal)*)UZpQ2g8dr--CH2K(U_9x;N{=(Mhh)`ej`MgFw zvx+qQ2J`$T;kdA(e)s~5A}po((sl5=_?Zsq`03E zASvmDyDIK|eC`*h7Pda`AbsNAl)94z;c$J59{Vx{b!XK;rMsesyetw|H>C8o;NiSi z=7Q8`Cao9Z)#sT#&z7bc)=;%qHYUE1+qC5K#9}Hxbmha>9HR5wlpz4d) z=L1zEp9~N^AtT#I+2t?#y)>2agfP;pkz4h*)KKK$4AEPr`T$Y=o~S-eR39QLmbDX6 z9Y$1;0N|Wpd#C^gogZyKh`}YHKJd^e6 z(5lp3zbU3zHvtWCfoi55St}t^vLVHE;qQE;sD_55r4re3&wVn2Y$ z&=1hH6Z*bNhJJv~J|c6~FR?AOa^A!K@m`fOKz4eOKc=HA4UeRU_XH zEm%8!TVJ&SI5Iv#1pfg^=}i3v4_tsuzMm#25J08t8TA(sT!1WieN<>0AYI0%Dd0aK zDJ>hm+Vsmed^c(NteA)aF5{zr?gpYGkAcrjIzCV}@?h#IT|cyuG~*P(bbi+yt>d^; zWqAPSMxGjU7CA)Wh&L|aSh#CQU)+yT!jh_QUcn|4#C`iYiu;i6mXb)*dvCfwF7)8d z&z(Y#3?8m~5>k8Yc&@Di#MZ-F+ISgtjg}G~EDy4*`L_cq%bLr0m1TmCjfO9J-7zWK z2l2PK|3V>n0wo|*?e0S*A3aSxSXD>BGlkwVpIeE~?}=bFD(awToBmah&PtiW zsdRrWr7Ag#OuB1pO|@I#e9z^Rjh4%VC9?kjI%;3x%dW zI$jUbj8j7T_-NECD&9|6WN!FOwlDFogU*)y%UI}~ilDQ|SpkyLiznc-RG`xNet}B& zx(|@~sZZQ_a+vX3ru$4fj=p?(HwYnUXkbaZ%Wx&En}XeOl-N)>F4B zrhg3ann}M55G}Els^L;MMM@X-?i+h5}a z2=mb$&MBtxR6G&P#VYlgN!JC)lwfb?EH1}l;qSRu>$4KY^lOx+SSMb`^!oua)$XEJ zbH+*~4`z8hfe!*8DXpx(C1*|x-N9RBDOwvkJ~gC2O-s&mwY%V4wObaoc2swo9js<{ zo=y8lB(|ewxBH?n_93dBiRwC~0%u&%N8}Zh?!QrJjl*LK+5ud(B}DXC@Bq1| z@@dVYKV~0~1)zYWguT2<`!w==yv)I4bAn=7adbK6+A~7HzPWTda{u_r?ST zg7JxaNH%h?<~YOfv^b)uXh3CW+SYtNqEJ9^0cYXp{H9jRXB7(x@o(Xd z&v~Rz?6FVjzksg6`82X(rUG=NS4!`|Ukx436Z4ZpQhIBPQR2kW$&}ESi{Mm!Ygk@w zCpnt+(-5CS2?y!rVWfX_XAh+UR|JE+B|}`6uC1`wV;qt7 z^?yyZ8Bx8PsNAY}VX`nr4?dl&=iy}zz|ob_df`0+AeyE`b!7Y2evInh^`WNPiKv#N z{C;T00Vk6cPkFObtxb~Q$atM^M|A+ny3xDINTIC^$N;3GZzC!9f? z&!U#qZGlfCGY?R*9H381m;SxZmEGCFKm(4g$8Z1Wk3m-P$1%j`KH-JoT&mI?%^+71 zWLHI)&X?h^x7?9q0bYjlqCnLMT03};U^p1W(5~BO+lRmKx(6>+5$)wMf zfwPEaok~_CpQZfuCMwy$*~6&)vr_g|=naUKy=5#!ZvSiT8+sn1a;|ZH5;RQdX#uB^ z+2DwPj|XU|oJrQ(f-KcJ;PARF>wB+b5nFnV93AXzt(2PTtJ#Lo5*mtJs?ys(`eTr9 z1PvS6H9%5|C-N9=E$j54k4dR<0IMONCn`X0cE< z0pjm*Hykar0#0m`XbJ|)Psv3}HLW~wfzM1@DnB`-k!u4^NQT2 zVHpdNXO5zDoCWE@Wc}^*h_jxG2M%}vNB3(ARO&xx*0S`(8Erb0(o|>qIXcd&!5cwjNKmQh}bli$;sKNsuhNz2G zmgn8HKoj}k3%b;>kxwZf#~a~~yjpcGaqOGAeWgE!b3RFrvjh#lW(tIpk8^A!?0K$2D?fh?mHTYQ$Q@Eka2v@;-tzVHTXo@>=-TeEz6S z&lPYv@poNui74hUYVQYSAH#z|^6U0ykj0449U2P{hJ(CQLyq)E zy&KNmpSofrsvw*mQ|(7KJTT;@l-?O|8kr4_uF_II9-yjs^^tz39>NWqkL4YEWYNqkl!4_}$6 zE+!oRhE;YsmEVKE8eGGvwlCLUjuXGTMb;$FkIy`ukA5j=gSED^%W<43c|)K|X-1p) zC6&k$`Y~KXp1o|uIa?dqHO|H%?=*7JEj3OzzT^5dvJ>g^eu^o7H^p9~u6@*T#u^q6 zBmI-o_Wr1MKcS@i=dv5c3<H*pCz)-R^ z@=nqau`D^K#3RM1%}X2+F4-1$a#{Ju_|*_CXGdW5EE zo;jzE_9&``9$@E`_Vh=+15yWrtWp5yg>x<0=j*}e`%1R2hPDJpsJt75CFf`b%B(n2JY%&m}7DPz=8DPvWx-LB36p zll9Zrfu^%I7{|dNSfS=1gJ^?s91Oxamvg1E34G>K*0gGb%k+u;hkd=f3vR?YC5&a) z8gg&*pih~C3)(*J(D0v>jytJNuSV9%PT%?14OHu`721&I?{&IEP7UFaqbCs#Q^ix~ zbVjS@ubT6g;mc0HCIXJ`JrZPb9jBv{0Vkzrgt%)XA>cHEQHB<*KO?92_9F#5H!^&cl(~EODk-h8sC|{Z(KDdl zEmszR3|XZB84l)sIdEQ7Xq|d6oKF+gt&X5~!`V6HrtTE`>5QYhtWcS7t-iy1$I-Pp zR!k|(Ilmy3Q3@x0&S~-OXL5ahPx|~uS=^mI|3&)nSpTWB(q~FJm(o+ZU;=%Pp!VUz z*@mRgjm6{xvlx#m{v-EPfY@+eOQVWk3-y=C(ZQ-BFIRoacvd>3U9}gCHf5P1$k0U;pY5&+k`W~0zJQZQFL!PIA zF;r(-wBgdz0Yw0=p5MOni?==VF&q03Oy+?1KYY{b-^U%H)8@iuw_0ynA-Di-&Qk1~fq2ibA<`7Vyz}i^PS~?U{HeqFUn<2J2lFf( zYMlM4<8x@n>2g8DNeQzi@!oOtq%|sm7Va$0<4D6e8X$5@s2}=9#ZL`WF6Pn`2I*s!$fWHyZRi?!!3w(8(CjH(*rEuH@3EyBk0{yXCF%U zPXuofxt^%-4dg)M^O@ZI>-0g1jVFibUaFbIA&p#@`8Y&mWoN7RkzOfn65=KDs2q2o z0;diJIY+6$6_-Kwr+8syqzA)!IK_)+XY4@n;x$-TP`n=Ng7gkqDV;~L!2D|urcXS_ zOk^%`rd1d&$kMY+X?*e%)V6wluxcX@3!_^i-wO7bNheTk{&H#$uAFsoee_J`kY2iq zB|!KTP?4XLh9}T?;7LKlzPUVWg*IABClY5~V;x7ud5J$Br`q|>26LQM@_Wazk>Of8=W}11 z=}=ls=fVg75e%SKWmF@(1P@OMt(20F$l8=EF)zl$sQt59;A3w~K8-vxaOU*tqXMkt z!#FeJb~^B&k49WWNyQMIe;si8&QiWG=-iA?(r{TKaWC*0n!m57N(Na?yH*KWB0%Sq z4$$9H=f1Tj7g$6<)KXdbgD2NV&u7ax4$;$JsciWQ&5zhmb2s=Pm_C?wQtN~Dlr2KW zQ5(|N=UlFRDP^VfL(=D2q|bfJK6UCmJk)wAeJ14GMm`eyzxA}tP&cU`25*^?oz$aB zSt-SNXN|l$lmIj7*pOb0_})3-HEI+09RWvYj0Ra+3sZf1bkJE>qy?S1Y)J{Dnq@5d z_R7M{uM$VUWvB#LmFm+^g!;6Ry#f{MBf|5c9z)Wv%6#-i&Xu>|uLif_)VNIxD6Go+ zv59OE^bvV@=HYxq-Vo|jD@JN-+_&b}lyOh#TfsAV6?h{@gj|r)7ufP4SBm^Qk}e0N zpzf{__}I%*pGoRdPC@oVhFFna%3>VmtmjLpk~I|;gp`m*whJ~)>0KeF-M$^59O*UH z!&wLRs#Z!PKUIh#w*geze%9Yoy2!c#N8}`n$e2n`e#;P--pCCBqAemSZO*0obgx;} zrwekeP$jHY`sBM9TVU75+{l|uR3fJc#gL3EF+6%e5Di*bUhhqz^v-fqGXjN?Hu_EeR z*@V@GGAYY%_FHh;7p;lX!!$izxckBTI~pI`2Do%{#ZaAb%{ zMF@hoWu__m3|>-B)Z=tILdg33WVP1mLMOFJre84^9a|ej(XtSP*tYP?T^SJ{tL@~O zlAc*1xDxN`SR5L*Qx`;eHn)>Ji91s#H)5_yp=-;$g)V2RZ8}WnI!Pz8xN67~VKqri zXcM9Zqg(4+azK85$+fNDo8p+f*Sr|?GQkcWKjhkQ>GAsA*@!rzqij$EuGb}bN-4CV zZ)&Am8y{yB*rF{kgjIk#=r^w1f<-0#v>lyiG;~ZBIoe5B(UX)McCdw^XYEahjJng4 zBap9qL{91fc0JKtGO0ew?mPXo>#I@MvTvb+96e$e9=3T1ZWY@0EilK?{;1reIP@;J(@vWmZ ziPTAL>ZI|7u*%Tir=it5lwI{})Ka&YQ6x*?@JgBH zBwM^56?t#*nsb9RaZ6W}bpOw7)MDA(d?T>ZQ%7swjw`O}H0L+3{v~jg{%;}}*X4Hx zE3Ep9LW~;hZjaJni}%R7=Ffu2BT?kBLn0+mg7o&F62MOx@n=7pJp= z+8Q39V$c*G`RJ$DZ)AcV!uMXC$#3~RIs)OF4i)#>Bc^u}#RknC;Mc`)F$WBt*pWs> z#be_QX{T?EKMxQxiH=oJ{&Ur~S6gpHld(ybb-vTDT8)2g=WhHZ$pE1u z7Iw@^Kg`fT*jdLCA?T;yR5y!F=}PZH_}rAlNGf7f8Xg%$Q@z_l{>Sv2>$5PyY4d3$dXBM7UUj@DTihkf})6FsA_&9V;Pb3B{8)blq zeC0-Z*|3gc+8vSX3P|i=WGQ{P*&vu$p1Sb8CK9=cW)&vTbkEiU{7UyGy+vHvlhX0`7oTyu1;V?u(%J58Ld$O*SzSWekj-A7s zpTNnE<|zaS?S2=bx8!N|Q>V`1rDG?hM)F8F5UbaOIx=euIK|;!4-3FOy`RC6khFY@ zjEY==qw}ftT<_02*Pe%O40l~vO|HpdN&92?ilQWYW%@^4sn&v18Et!_`Dl7!GEClz zP`L1)qFRrIJ4aiyl%`i2AuklR+Ie6TGO6YHql{eAmnRVW`+dRA^G!ts;Fis^7vW{? z8jPAU&j-K^qjAT}ODZ`B-XKxIdZw~}T%q2aF|R3Izaysfe^KL4stUS%wD4wA#e*0{ zrEZEQf17U&*BtzUo$qpj9C}_yl|vM|Ni_x+g3p(o)I(fI$P;T7Td&UIz%eJfa)$kz zO(R&NHpr2%6VOMR6`@?0{U`@DW;Grw?ocmrj-C_UIHk()g2!9rvlR_m#UEDn_~Ghqa(N{Li4FR5OG4cRH}Ar)U&|Ms%J46W z)ZoJFvr0!b5Gl*P0B|7y!x!eN9u-Ii#AM4AgG*KD0ot>p@Ov=BNEQQ7=nTeYM)?%U z4B4e3y<_%f=sdMS>sb*-!ykKQ%(gkBU!DxBIOqAkRy@p{1Mx8D_~t$>8QW&J&!ZHY z-h9rZ*ZO8V6A%ipqC@J`)M>X3$P=e~w;x1LWk0SGDC;pr>OYK&yTeDMWCQs8y1U z)u3y)qZlLSsva-7ksm!^9@mjNvGO_1$v!I=f4+UM$=SD?s3bRc-@f>;Ri^n_5n}E=^Pyg z|Js|c?~wY>+90Z%z1sucTnGwzR7UF5$XkajB zZFU_TzzjA{y1C$hncl>v80ETc0b;T*S2eC(wa1{P?ezpr%yk5C8i&bFa;5;ZG=-lv zMkbpVkAdu6wbr0zSiFh<4@g-2$cK2iybqB;8|r?R(L2qXFI6UBa2+UMs7nJ{K~(M& zeE%?R8^k99HE29BfStWMK+DDxj?>MC!ZB-H!`KAjR4 zR;X}lh;UZPmG-ySQyDOZ#Cz6(mZ;;*@b02De?Dvj+s~s#AGa8BE(?E#wpZ4on0_0N zCv1PTR~~ic*BRm~DC(gjz4F|wfA*(;&MF^x&>^b=GK@kPB7l|wTFcDhG@f6?ksVh= zDZ@{So(2eV4}U0TZPCd=v-V(=QA+!Leo6XYs{6a@^cID<&1qdJ+=9TH!!61qcjN1N z$Q13DCLBs=1l`G#qN%U%m=n*pi5rF5^fFfswz=@Q6BWCO5z|@rd^K;21@t68uRrbJ zUJX^bD|N{EX&i-6zcTx;FOJ@XXL!)`;y56dk4Q$CPCR(f-Z^Vdc>HC+g3*hLi zfu>)%5wC+=0CNQU(fAvZ#05&2&)4P@S2M+du=oO;cm-FJpm*DMjj6ahSL(7f%UMGdwsC+ z3o@RjsWw&_{M$pkioyZ5v{kDW6~J!6dEF|$xM3DVtZVPv+n^s5Ch5zkvMJ^}ks2Uo4#%(pT3#asrR>lT{1OjTR|8FR zWvSbD#=E-_l}p!UC&TU3CEe!%FCj4qdg;KW?8yo%@0ib!KN-0v#Tj+b5Rsb2b{+br z=P6=D;%$0#?K&-gVI2OOd?1pAA|Gqy(skVlO(O91m?rUV|NO6#eaBUOZ2sC?l4+wM z3|$RUb_+g!7yMM-T-5-d5=9*@tVRCmG(gbgq8VUHxyY%{RuA8R9jHjpHcQ={0TfO@ zQ5h{PlLdk8x}w@UhOgP1ZPtZA0z$NnfycejFzb+y_XNKYd5#Wh_3*?!;k&)PYI46f~$C*Ppg_I9Y5?wT-Rxrz+apJC50iMAd1?W z!0{u~tXBatIk_M}{8=Fb!@UWXLCR6^5rDW^cyi1ZtR`>1^o?XVJ1!a~y z$#KWL@hSp{>y>za@d1;RpREesGGCX40`CTw>woMINp^=(#HctE(iPU}heR>RC7^Zh zy2_P5(^)_jKr;4C@ni1m;T zGm*!PqXM6?o_XmjYJWBUMk1>QS(kPdk70alX>au_&IVkS5rS^~h(1^Ap3W-1e*E%X z`7sgKFO2c1jm0Q_-YDv?Wpli2oVD8{XLWJ|rQ=2Sss3=0En~B9{=YyVP}_KqxzN5#*;LyheilYf<0)+|lp5 z1hXM4T;dMzZqWkaLh&=c(myz&RuHxu_{?r{XZD zf?g#WQI}2$f`SOpTTC=1o4b%7(Xz=(Pql2V(#NrZ(N#W|spg!X%~~|$YUClp^2@n3 z<=l}ZpEHBXO7LAjOY&+V5~N%jjD7zlJRUQ~J9vg%o)2sfI073CU9W;rnH9Py4 z4TjhocX<(7nwT!B5Ru;_N-$+4Fm4>;Du4K%A$_8ebYrWW%u}KDy%SZjjhOp#kXT=I6Tn+-~`R`jqTZZ!ET>xgV-H9^60h-;Uos)H|XuN!?+vwyT2Z zj*xPp1{1UAY$;+)yVL74XXAS!=NUOeh9I8bj2n@U)#&B78za1e}= zPuvP8Z)z44>2AwPOTcQzO(OInb#lIQ6_V~}e#a?5MK{NFhnd_-)*O$f(2#KsphdgW z(`fx(0WwAq4U4{v)4(=1N>icAYS84G-cLmSLZ;}|>2OxI1rx7wsW99d|NF@4p#zTh+Vk=s_%A|aL1U7zr`NvFqnl7y(Q z0`$IZpz$OKdM1DW)(Pn_2-#|7?Y~WB`B}I;HZ?k{E5lGj&g*6L$V;D{XS>A|8DIR3 zGASwe6#EfG-~HV$E{+rCG=paTFM2kNT}@99DEuC7hTWxQ9^*xaEnbPZYbW$xiG+H@ zK_Q^rdVK}cLK*U~0K#vCsmFIr=RomPO{bt_`x%l(nM~g<4zjY%CPelN*e0$BDXX4pg(6fElBt)0o9oBd3xDZC zxf#%XDJ@URF~Bn}CyJRq^rJcSdoU=O0o_rpSg(1F5v`263QXUsit8&8`&H*!+zY2H zwNe1(NXJ%nDR^RIMH2)QtQ?>oK2;C@Z zE{fu={5G#;Q;Gk)rLfSyX`P5mgnRFmQ8&H`J&f|;p&>gLu2f8*-ckXtOsc?2$RF6@ z6!Xm2e;$wP8AYt7)Du6=zW35EPT|5|4bI;nxaPcC3%fEtCalybRSxJduBzMHka5QN z%q%>w<2d0-GEJRXds6OJT07C=HlN|D%|-Hj26uN4s>l$rIvjg^R{B=Gz~dC#X3mKn z^E2)^?I}+sDb2LTc2!dCFVH9(*@RGOpWj<&>VL#y^V!o2kA){PLkSSsDS?vA<4pOq zY+bd}-g?$TkWAbW>J(=>NgAs@6~9LTrpnD4tYjejbfDyM%#>aUlTQ_;pH49ky@_%` zHyyz*8_qVPV2x`Lcf&u1axkhIwBp0mfhv%fcA@TnpFk-!dNWZM20~keKJxN(t zXPEMGAnRI+VwkLhi_XEY3To)NVSNqUz~CEMBb68n>QS+!y)ezs@8U;+HOJ2aJBq>& z3zMKYr*8=DR#6Ys32zBSQD}u&Bb+M|4iGbf9(fbTUj!07+o_J`))jd|5E)LPR2ZkuEL@1y( zbGANDQ3EmNJQ|l==`M%}l*|ucpT$Rw*1qbxq7f~gC=7u9q5TRUCZ~Wb1Xg@{K%(ML zttq6WN<1=Rs=2rk_4eSo3@*41i%voiFfxolP5MtXYx<}_h3OGj8ryqvsYyl`ov-2w zGOyk-c9j?0%M94B+U0uxN<5J(DDx+x$`FM>pF72-1QTOM4Bok0>}?nK1(OvlnmoOg z4rO@ETZj?Xi|ydwY?Vl*bXYY|Q6i6Nr@I%(Z7270J}^&QOZAqtco$oy@F=?H^&Fj_ zidt5RIR(H3-7k8v#XoY>`6zm$TYP9J^>bepFMhNsP9CWegs>{Lx4!P7RQC}jl#G!^ zf_Yec*OOpK*~k-nC3aKY=}X&!EH72JBTU`^Wc$UVJJ9$Aolk)RF9k2|ZpST(=&R$y ziF6{NyqP3dLGKmYh;Gq4=O;F%6z|6d=C5J^oi9!f*6qV%_%ZMHfzPXz?d-IsJ|C36 zOj$-d1NeMmyjrZ$IPEI`WXF2jSmbE##a$F{Vu!OCbD|o@5htT1NaEEig%RNfvb(7o zC7M$@3mZw?Ec)MUrO}{9xpH6Lir*?SzC;)Q{gnw!q6>E7raB|N6e;jYWVYOcR-ixT z4m-l~eweHhM}zj4fX>RC@lNoLEe*g{!46YEDNO?o^9AlqyHu5js9XF8C(Nu7Vx3`%(;5dJJD> zqOl@R?N)`lJ|3$ZsHLqSqzLX}0jo-e0dkq~nIw0)Wf?2aL-nu~&A|vf+hEnJFM8!4 z9noJi(cBr4$D7;KO`K{jt7VVe+!8pBMivR#rpR%Gvj8E0`bmF*_)!^)1819bpgPII z{x_P3j-M$_l|sIgD5nvLIj;KFduY{L6}m%arn1}+I_x)a$v}A@Z>IK~B`JcuGoOpl zy})iLAeo`Hx@kR~NDOdAVN1dCjn3t=d1vVE8#m%Z;qikm*M^*`FRwmEt2Q>B!BG2L zDCV&D0JX);j__cR-;d}X?NIj;_n1!v2Pft0P@$f(Ujv7wuHX0*>#V=2{@!uMizQe= z%M#nlc0zbQ^4VWwqlH|8^Vk)_rb@924wYjA{t3gaMFkSzO}sL{^1s1Gg-`S&bFA3#V_IaFoD(Wa8f_>`Yn?4tNRU^{*=UcT zzHQcy;AM0C;JbnQ(p>b_uwOvy#>uu$RW46t(Ejxd9b$&AV_;WK?(I+~-Pp8Tm?++Y z;LC49>qHVoKV*rIZgxQ5pM+!H-G6Rxot!-CCyd=9E~OPb!;$z-Y;&u*oS>qOHXt>5 zJXs}AJ5{uQvyeJKmt4NT^5oOi6S|$1Ayu>HY;yTCw_OIV0xeQZ<jkZ9@v|I;juJL7IKcdA1ZWv$KvOFZYS4?j=tqr9~D<=tv#z4jldHjdQ2ggm;*gtg*urL7e3 zj47!BXp?gH5f?|fR#}M{>8|3O3-^Ft11pWBhS-aOaHm`TE#Q(JjT0K4FhdO4n{I^f-P=5Vrpi1`g&NxZ7$Y6+t-N=Y zf&HPrLGFOTkDlc!m63OHpulI=i1{6=`>V5|jGNS-1e?ILO0&ZOYxhD_IG+PZ;P4^y)lGi0C^ zua;!yciN~S5zYPv=-8aTKv2Vqs@VC z5?&EKDq5cIU~{v!hRSluemX9RjUlh384muo3HXjTE5?l4Q(yA`@g+O{5j#ZCSm@o ziv>LvY~7lfO%3$J;2E8yOV1iovgdqC|Nl{28a~;{eFhYkHq26w5B2Wtf_L!~Qd%)M zf?nCV(S5z#t<=Qjy19Wp{UmS}X<}1g``*MYGE}p3#w*&Iji@JSDLy~?@#{`2tZN)ZK!~g(E}k17otPN?|HSp*gZDWw<$-% zonB6~93u?}Aya>y{7)~*X1wZo>u&7_p57gg=jgD%OhWf(q}|)!g$@ATtJ?_6+}A9u zG=Dm({9i4}hqTq)vtIzO4WbuH%S+X@ce-zH((g@0=6Tzz<;o6#?* zbHOk9$>Cpc?u^bTG`*AiHF(kIyevZAgEb%$ZLgiMi zQ7Uwv1V3+(R3r)=iapLU?8mj-DyzN_>x%M{w?gq|z3dmnoRed8l0D6}qz9z~_e?BN zIyt(Zg*}}k@N55!5!%S_56f0OS`va!7F`~5wRBk_&MCreczZI0*@`G8B87SY;1m~< z$KEPpe`cbYJ=EzrAH(AEdp#hwmU#(`)b?M^vv?q`3W-^seiZe-UttwDCG@lGekX53 zp6u2f%d721qU?doN`qrCA5V+?szj_71A8fT(Fpc z&-amcE>haqG|zP&A;2lKkdKm5WZEgu9OafA$E1l?9cSywk$j~-om;+$s+|Hpmm>*8mXz#IVQY3!mYAZI;593>%Z2b3yz2gO3_yXafGSL^K`>01XORCLtu9LC9af09)D>Z)v<92)WgFb9feom1sBQ;3qsZ(eGL5w~w12Nj#Ne-gC ziu5Id>xD8<>4$H#o-@{_eGuLRVC+|4`}v$)%=vVY`zAe{i;Rgz<^AcZfM|evfpAK( z){)AtL#f-v(-EqAvW7m1ovKNwd~+OtpKssSqIy`!ETT`E^se0?mZ z_6&*n0Pz?Br+itL#i8VF`&!;KYC{Pz;+i^pa`yJwZGVTcOqXELGfqy1ek zTsG;>G6|CICUE>=z?IV_UEm6}x|Zq_`0j8)v<+G&=I+XmTrxc9Zl@v!%m6exmdNX) z4Ak{++OPac#aqs=hsbAcMlN`VP{D%Qudn~8H&51wZ}6|>8vbgeX1O$ zuW|@gTgaG7$3=l;#9b%hmr=*_&^3SYKr9 zpu}O5i2uSwd@y5??)MLy4-Yn_r~*MXXn@{izJ2L!f>9iV5RC@!iSu0Z0_zzg>w|~z z%gQh>Oy3hB>Rx?g_w!6bWg*=lwp`~f&qQMJuM{dJn6w^m&h-12-v7F`1xR@kK5bze z9CdtgZO~V<^P@l}5N)S0j5y4lbl?NyQz@ z{r2?JiXAghM2a^7AAVx*Kl_MwuG82+zhaqPgU#5!Tn(6v`zM&i+`p0;9@|&DsT@Rq zWXIk?R8g4X`p(uawjD0-lK*`{!N8%^NE8{{NB7F~WS8j5c)8Z7(0zzUfr58W02C5( z(4NDFA3pwMv`sTBz@fS51jKmHZB=?Ha?v^cj)_NtXm zks!DJg{>piRnnu0`tJ0Y0iTD4(7%eO6w#m~l_z5<%g|jF6kDklcIw^=on@(15^k1q zyTaLJ2f${KQhlg7H?BdCuokiflhx4q(M@B<j*-uLX{pu5S*Jc{=4b?uSV2w>YUe<2Y+EF^Iy!znb zh}bkQCgQJ1?v*zQsl~hJOmrU?4^xh#&;qt=kG*d^-U3|QpMZyw`tAeZr?<>cw@E`0 z$`+V*8Hl7YFB15^~=DHc*|iGLz!&u-9NP zr2M2l9xi)A8Pm!iC~yuOfGT}a8dR-!?KcEqQZ(15EYYqFAQM7BGFhfWk>PIS+btnZ z!f=I@)vy{xLh7mI*}hRhYw*Z!(o`C6_L|hzSX12`_8O= z<|kFKh*Xg67zm)~Wf+E75R58Y#-G4eSQ4Qy?B!4P-|9*S6bFKxNl$xW*KM-NJ06dx zF^4eZ>>#A&yW5HLnM9IhHOsAydJP&m2uZ#`Q*nJmOTv)qH<7y@j%sTv(nUYMf7|-I zR`tP!xreZ`bqzF5SJ!yv04Bav#1cX%64cPA-fY|h*|*v470-6!BezrY#Rren*o|u~ zmN(+2SFQF@2GN--)IPs@zwm!9-KyKBwzDENUbfU5 zCVcW~1IN1W*FwG*c@o2g_wo-+C!bp{e7}7^&LD~>q>I)RA_dfHLx_jG>N~|P;!X3y%{I|F`b%?fi5?nruFwe@ zreU(`Yo2-b|5gvL$bi84$!}c9(kbwAYUjMc z61M!rS1Iv|TF5`6V_70Kz_CkQ1?eyXPay8H50n8sHH+q8S&f%88SxMICIjBGs(Ej+ zAwP#a5|VrWAWL5ooN~-&N`hB*H2vJ6jzMx$KUC!O4I(cBl%2#zkgNCP5D45d5`>D1s?s z*L5VMCJ9wqW{z6-V4h9qtCOlz>gcNi-Haxd}mqP*&JeffqLTebP#G16mzj>)GO2Y!VL zIWQw63F)o~O-Y~ugt=atos^zhuM{Wt0vC&`2;Q=3NBBv3X+K$qdr9;%)Hk37_qQu|g)h*r zXKg;8djW6)CAM2j2L1XkKyf7(uwUPlo%7g!NhJ<_YNuLnRoTMGcC`HhBj&D?eZO)D z-3;iY3xh9$!r%!$f4YNHFpZvPoj1suKH8W<5$0DH$FH_VBQWuA1B?13j7W49A}(dupIBF!l3a%UpF+>Pe*am0 zn6CQJ9n|aU9+VjT9;N6(9hTvzUS>=FWBS)%@q-140K+!p?Ny`n`D}2 zrQMkF(>GCP8Nq4yL?d|+J)3U<-$dqAxZ0-@NQ{ncbk0+~BtyGDZINf%Z2~D$M1`G^ zjqJofb5E(yuTLW`Vb-J(-Mbj46ZnXYcqn4$AC^5ECV%np>+wrr9C10lE))~fCwqL; ztn+uF2rZ0LTb3(AhfC(@_v@jJ)(UzMu1leT`FGHTHnh{~Z-~D*eS0K}iy;B;(j@tG z7>nC__CTaCbCEr)jJT7e##7u8+UYBlRhj&CyeUf0Rk+Iyl65k`Re%k`jg+=k4YNt;{&JK|g9v#^B(3F2r8c+on?4J*t#&~reu?di*xvVNTwLd7B#u#3?NLqy0 z%BXGLc^@GoakvwaiT6DQlcI|$Kk3Plv5LHOelAhUP$b9wbQ+jh`a0w-VUgnQvdf>c<;dP471c0#)VXQ(=6zTk0DhhglmS|j&tQjlrQmJ*pAUZ5 znkw)r1r@c`6hJ_|y%d2&EI3dZMa~cl0=2g2lxj1{zLB7a06I4hMerA35 zJl=AE1b4s{qLTn`TIE7C^5kouuS^8I$9o1AKRUWuO-T7hYpwO+wbtVblAPs5raKmID>Qt}pZsB(f&=y^xV^5g&FlVh>F;}?)O{(8mThohT+z6jtkQNT zCEKoM7@fPwgzeA=u`dHjx$(L- zGg9~3Ce!kfE9mu;XI3-%5|fYw}kU}&0OM>1{G zMy{X86IQPBE2m1-jPpf79pN-aE*dn;RoUeXJ7BIA4Rky}&TN0Zw{63ILHh0UzwwhX zuE+cV) z!229{*@Fr$_UgU2OKg}(PZ!wQzxD^diLcvR& zyHQHH*af`qPHj)GOnz!$y3#f%*v{QBJEtrgTM5PvKvtG*wy&nbizmCxOsazPmlBtK zeyg%*R)isT+<R?|HstE=rjF&t`D+b|D;PM z&H9=5+NI+-ltIPdFY?l;Yw51KsO-OuZAk}-v=WBV0h@=~P$Us~;%oKEFhXGE30C=% zY+7>)T@NpuC_D<_jtPX0m4y>utEEqVZ`@;-*bYF;zIxP+}=Mzp^R z{S6;(AgDs$#M>T(O#HBcPIg<7_B|o<-3NmX+j{^up;*=d*g0@E8+b~-)??Ku1!DAW z#0j+DcT$DQ|Drs5lh6S}Y4!jy*@rN=%RHc7Ik=K*dW|G`x1Y*H;U0l2<+fL+0 z8#9EB0sW3caJQW}C|HMdmFP7`gR2-^PZC+CaJjQe=wX|VhBfG<@NSzHsW^u}7+Fjb z+_?L@Rl}L3^(M*>`b*(ha8wq4Cc)lg@O1BdzLDAMuhWEHQwm3@OCQ~l9MBd50bJW+ zb~;v?tb5kcfg( z1=#h0R~#Z7W8X>_)b$D88LoeyjtzDynk#vyk9NbikB`J33KJMZRnfV(0Cb(JFKpL% z>-}5Q{!`Q^VKs59FIkwMM^cGv=S80XNX0CcIn|WCVZQ|PLeQ+uoyna{N+Gh)6Ei1- zMzYNLr8E5lGh`}u zoZPgcS#ETfxka_%3t~Y9LwPL^38}9&7g4^(yf0MDxQJla-)+kM~J#DQ>?q+}4q3 zs}TwX+OZBCxSl_KuO;PBmDKySJYI_1H%^L0=#Tm6umKDjW$-;?jTtIQ`|ODgWfaXH zpD&Wh2bX!MPp5C_EYG>a`3rxO={K9zBHsG70`rVkgb7#aVb!#Ht#RxFP$=%MnPTEA z!Xwk=z^KZHu34Jh=TsQ@Kc%>qehJ9c>9DHtB9)Ce5b@-geG1aXw9DZ`Bsp^XBU%L( zOEpM_R0ZFwg7>))Rph95;k7t+0}CLcbo3qUc>~$(fo1e{>0_UUrCS0FBMdo3zA7~8 zs@S!ZC6Pha=Pdm>5~)z_%er)fKiE8sdztfkyM29NqxIz~O&VdC9$Bh_S|DmE;l zCZu(JH#&c*?lNs@N@2*M$8HvUtKtTQu%%Nfaczblxnh9m6Oo!x;(!S=qL^rxJf~Le z@GzaVg9Xt+iPKW=D+r0Ev~;s9RQMZWwQUAC^jqz>T)?YR$=~3HR*#GEuzf-EN1rrgApT$=NzrH$S{46|3hJEM|C} zfwE+HCiBdv&Iv1^J?K{ebc(ckgx(bw=@MRY+I;m{!5#__99Rbi zUxdBE=OV+K9{zWIQ3by7 zmYQYh52rJV+ttY-`kU6{n8Cm}P6)njwP*$1qEMC(3*5X!R4w+H)0E;~O-o>rB?PT` zM8lpFBI5oi_IHQz7cHPjC>+l#dn;Ip;2%(2;`E00-$61#uEM3((WNsi)lVt>QjFYl zT;X&dC0@Q9vDsuKJu3i}C{^k+wE8e47KqY_!m7;#e84f0b!wY|6)VtE6(&mFD!#1; z>YJ>rZFEhdJu(Hg?!hq{Qzm}$z%UHbOM|J3$Rwq6SDwsod6SO-@W81cBYy z#d2n2zOx`t|Xf z6lcXmR}D^|$&mwLoA97~O4VcK#XNJ>d3Wyt5J={ewstV~ghhg>M#obi*nHxZ4h;N} zJ7a#akct9U3d3A&RJs4##q!!vVbWD#Q0AIkX4v475mgADUmH6t%4GQck7_RlQJ5DX z%`YKz=-BoED{!V6*3n6VIn9_IN4K>>*;*0l(f+EeaHb^i7lF)+p-BkXkbUE}k~J8; z&!~zc#g+yJ$t1S!eNNC(W=g!=;M1@G0T*K^=&GVYRs&r4hz5p+btUSCYhn&LWX*W+ zLv^*{pV9?W4gC&l6=WEe_7MqVD2xBmZJqFv|CQpWuRXtqQ^$a6YRZ}-)=J+oRNpe_ z4!G1l!{B<75-Dgl`t|0TJpWe%sUSFO%7a)zRAjvYJ{g9+hJDbKEnSuo)z{;fKT45I z+K+T)-V_xM+6Vts!p>%3J94bK*_{y)aMSbWviomE^a)1XF0W$M@ysntXsKE$!18R^jC%w zfG4g_dWQn#DMSIdLrBw|?9ssR(tU62$z!HZWZtcZ528K*vkgArJ_g4YUw;Za?6lHa zBM6~04EL-s>!&!JUni{zO}2)B+PLLTs-L;CXq=ml<6y_f=@6l0BaYudiTVzc?kg`G z3;m|U-0q?|bx1m?d_4or_5S-^oUI|*A)P`QTV8_b#KtlZ-fX)f0vNWd^#`(&DP@!Q zW_ll`^|Hz%0)b95=|%Sz|Hh2x1L}uBU{*$i4+fFALY~A=#&3?yBQ_ok zs8e>^2fHA526Ouj@V=bW$Nlg??uV!X&%`N88(Hf?i6T$9T9$LKxJXC@yoLXwIS4a$ zE{es_s`>z#Vo7GsK7b31d7uD2YT$*FsQ$O2M3#Q0@$^1>77lCw>BPCsV zS=&Uifx4aZ-RRnTorNi!l=q^p84>41zyP1&MX$*(?~TE!4IFj4ddE$y9X<>dBVmvk z$WzqR3#prz^2~``FS3q;xYaEQbNJpqLxlCF1T>(ZLT*G4?d``x?Vk9Im^~&6)Jg%5 zTsR86P-J%2jVaHI4N0ZTM@|6g$_9@~pK~jSgVI&Z^r3=EN^ABeHu+}yKT-9a+j5*>C^>6iaw>Z`+|`oeBWDd~`g0jZ&+WT=t) z(UJp5DaGj(&eB?2+|?a-F1)OcklDufA%mlXZG3WaLzvOTJPHH zP0U=ELSW5YF6ZLO(*KZWn;hl-t%mmB)IG|$%heg?#$3ehd#T;_pm?lp1D=FKE1m^m#T&T^7Nf8h#cr?#U}Hqg|cv23EBB zYGWdwXa*;v#6|zK5qu+)HJ-edZhLKAzj|v+p3^o8v-1z8ZVA;|5@=)ibK&O**FB!L zSH|7)ar=PpHBt_G(1hgTs1s{W4ORTJ2*lElbJzca{TDb~f9@xOnqnN98xS6U>Ogml zw9lBwl+KUfjvi#^8qMXS4#rR)x1n`OAmJu0{)-Fc=ss_jt7HHK8TFNcz{+eTzq36R zFXLq=8Tlp;50}(LfPZBM6q#$mS__&&j3rotvR{%}7%^1ssc)xT4I!rWdkmHNCARcg z=TDG3uM;2I8+dshud<5?m|5@TyVxRWK0zIXeib*20|c;hEDnkiAWaP$*Qm!TSnuNoL5?|`(3aBE7ka;qU{ z!-k3woV{0_8fvzK64VrZ5UFVLCNT?^_!#lGt_EbmF%$qCc;TGUAh2B1S}S_yhomVc z<6mNAr^LhGmsN0*Z=TV5vk?3oNqkOWKmg|cLO0S~I4-D5qr@Nsc0HwpaDJSa%3OtX zEU(#^HTwR(CD8x?9#4_#%NcBzxeJWv}Onv zM7J)LU+latmzl8kL3;XCV;3jW^x^Bo-wJ`*=n@X7E%|!GdWE=7*WY`iO?zs)dUSp( zn+vgfuC5=J(OFn`Nz!11=v1o==%wEE#4kXCpf?`PD?yTNreUX5JF{AJ z7~Al?Vc?@ln0WY3f|-~)O@b(jqxsuqB6Ua4$Cky40 zQm#*%Lq9wbND0U%sm>t#i3+p(vz>jjz8X7zpb&r3>?O_^87#&6Us4*VSB>!3z@A8D z5qA}+V9H)!FD5TZcg^lCA>`8nFY*n?fA)2)CaNor(F^bQthvPk7?iFZ>(#avK6S>c z5hZ_?_W1CjCiySxV&t^k>I__s!FsqQZtek(!n#ho>j}P9Im0Q-x<|bl-`XyJWLu9! z;+!#1cMv^#xlAA}39SG`H=E(usmUWF`m$uQVzV0RuQL#b^SFm)z4Hp!C~QI_|Fdw% zJ_w1>W9bDZoR231TkR8YNhzr?n{P2A&-l%=pFmjtNDD&qT)}1>YS7O@Q=_DY$mH^* z4tIedP4A>Qjl3p6Jb+M~b_v`YWSz%5jzlg6+dxJsr?~Lz znbJ-w-XgcgO2`{mrGwnn?5waSR&JG*Tt5t*^+|lb`Y%Tbw)qJBJCe{eyCI;&u0EYC zX;gl@2nWrf`7;MMv%l$F)qah&Bk6K4Q5Ts%+1Nm=j^?=&Mr=m!l39X|3-jFBxssb- z&*!ht4|$ix-LZk~Ge}^PHvumB;d3-&oX*03M+GT>`~BY}iCuL0tWYeaCu>g#7EiYZH3e zRH2$3J^mP>yQ**8%&lp_`upm5x#Nq#F!?|KhZ>DUfBe-FinVYZe~pJJNej`Poap57 zXDKBB$@BjjrHmO&rY+C$>|wnDb#I2BEvz0#6U6f6$uC*NCSm46Ua)SSD-YgUMrA{# z=445u&(rMKCKbhv`TvvT8tlYleti7O0d@I)o_N#m4sW>SaY5j~SJqOz0}@+t2genn zA9S8b$N%qka3aLVD!oqwM&dqNn=D3P?RD(|`;Jjp+kK4zbwQ#B;(L)&3GPVWVK9n; zrB{@gD?@)9VTcG4cO(CLe883vU0BWLs5|NqGvB+6w4E3|bz!Q}(vI;H8{uhA8n2CXOFI+YylazFnT*DbYC^3}L6npD z8tc>i*saX4|b`d=1(GNG7Qz> zi*>k)$Rg7Wh|Lk#h;81yLkaGH2Hc`rSSyk$2sWp?GcR7%G65ca#LHGoivOGrKm3!} z_hJEj0$lA^`{lFv1d&zZQh*;|tH82sqXYa_;SuA%8x#l&VoyZsNx1>FHF9QH%LiL! za0=9}TR(2el?45-F6k^Y@OhtuY2T>lv|!%tIvJA$A=#b$)9j;ffO+;`KdPgp-QEBn zOjW$kc-m)I`4_fWS zW$d;l6!XvcNQIUsu=T;GL^9pgpH(*z!FPoYjDc=O8x19z1S06izJ{2>jDmy3XK`{q z7st6N&_GUnJ+pK;Qe^X|Xiny%Ce{v@ad#B}*A;HK2W+z@urcONEkpd@=Y*;m#Yu=$ zjDG2q8P6t61*{LREpESICIW94=zSa^b1USl8wPtG5&B0!;>7fe!XK17@H{38;oEx{ zhI{*aGz;B+do#IMLjdzXS~YLF?D(ndsh7UkzY16ytBo5n0p`)yr&mf&Q0g;n(ONu3Jo+U1*=B71vgBWo(94R`Gf% zFcTwfWYYakB@mVRmTiz_yHtMk*=98ipHx+CI~)WQW(qqMMY^vwncANtBmev{9Bk$I z_M-cT(frj`wQKhy0R4LlTN`DPIxm~zg_;J~j^W<#eTPG(Vi!rQc4}V&)U;DaL&W}P zNxk=}IjxCRxDTN-sK(eoKPMu-^ip4elzz(vV}KVdD*m~9NvE^+{_@MD0h%p-pxI;q z8l(GPzJwgsv?hX8La|jD)3gKA>W&^wqJZ#!pWkX{ekN?LC{`-R@q^ewa43f35p5H+e*o>(7!g+k0Rn^Rsv>c}m)kYdyqCMSHOebQ=mcAJ^DFx8^M+rhvsVjq9P7hxkaq+Z!=M!8;5F@tR489V z(5x>7Lyp{Y7n5)7n>zssE#zPeSj~>?z~3wjJFyOV&6$85HxB+swIPtMnok*X zypLWM^xWcbiJ~ny@zFUs22V`^H##}WJA-t%U1};A1C{j=?d{4-!IyF z&zoB~YzdM39cmPC&IK2AKoskPOlbry`bqhTkFl7tO5vYNGQS{%FO~i$Y!Gk!V@J%? zRVpD!S|<@ZccXst_bD)!8>um&aZPNPnWn^Pc4Pe53{fY9Z+4a5LymvFRBl3E(*n%MgqBN%*rd?(Yu{`xMpj8pstNV?={>Kk)n0s$ z^81i7>aZ>a5N%NU?R_QZiToX71?1^AaH0AtFBi7GC(j*U_rSvhGJ$xf&FhudiOub) z?cughwA|uvnae>>**~JWekovPt}|W*{^jCT$rHa6U6W7`!b+a-Zm1;Le80{=lhn^C zp?rVvsd#xC>FLkmJKFO?xpUgJ#?taDzEX0&ll`~Ejt!6F^n9nSU3TGA{%o;`dX#`k zj5|EvT0->HC8r!3c;9M@sW=LViq?D#B3h_ zFJ^Q2Z*XgOmn>aO5ZrruJ(-Inbi{C`yv_fKn~b3tb8tLOm9|4Y>$20I$fy z@oK(MKB8_p48@CQ1c>4}y)1Po4^v`S^8XF+t@-5)7TEjLtq;S{mZ4nTG>1T%9;nw4 zW1LybpGLqNd5@EnuOVCRm+4wCH<-gzPoGARJHDZ`Nq{G%`X^;VDU(oenV%_oJe5%A}+0MJB=l$iTE6#D)cRv<9 zFgrxK&#Dy!JCxPl;UB8$j zx9&1L?{WX-65NuGq-5(2P9Tn4YueOCENaPQxO11t`sq&x7bm*sFn3BFhWW^g(a=P# zPFvif70-CqSQjGKelB?96@TPPHAu^E3C18cvG8=a^K0sq^xzTl>-2-Ie)>eSqkBIG z+6ndOVW_fJ0Eaj@%o_yU>|zyBzAbpZEI3W8eD*0eiWwA^is&(aY6YI|9loXeu z5qD#akOZ-4{S7nx2~mAY?9z1h;yb|+;fiR=Mq>SW?2@Q$3X1_>lZyBZA;_zf-E8wzD$||Df}uBo^(;@2)H7F{ohKuJ!fY0hm$bj&euLa-go^kzCD7 z7Qm2(twD8b6BDtHuqu#D7^%ypv|g-4lCC(yq60=fA{N1p4YB2v)dh2Jc*~hIM=w2k z=3r838KL@Z<}<0$HAi_cTOyRs3l>5&&i z{>cm%&aMznyu$W0`4v=gIan)JYUKwOYqo3C5hht;!JhW~QDLGu$*s2vl;%k^_2>9v z7{!n1Yy}_vbaRlj{85O|^{y_I_bo?Mtj604<>G<&B2dqr56rCSQCs=}h}IuZ(3pKv z2vFZ>AnfHA1^JfG=^~365F(0ABN6DAef1B~7)GE&zQdPB8atXy<6X6^OR1vZL!8Yo zo{E7(l{(GwcFxag^XQ>UFxt(U)kDdGZ$p%t&xzGzj$&6#hA8jTs<^Z0573vBRqWe| zr$)lr8#g?u=A^WM_JW|nhKIJtiUJPg_KZj~wsGS;d;X(0~)!DG@7gH>09j<8K<* zZIpEIXxKF6MB_*4oZ95E*FB`<%aNd6b^b;{D%U$5K>O{+C+EZTgD4D;&RV3LQbvI# z630BwYq~M?#SoNNx6^%*Gvwcf;0Gy}Yq~dM@4UP|AmpSPw2YtO%!U4>8^n?5!71#z`sG5l8y2{1RN;N>kQM zk8tJ9sD+OKy4gmeni9%&a2>=d2fr79Tw8#c<_3)~I7UoFz?*sAQ=6xcAUxT+V!^L( zOXy=}+dojb9d1#{JlRAcm-YjR@m|?zGcLWugs^(HMS4;D8K&jLZAM3CUlUfwl=r`ad{;shnH-@X`WmHJS_ z=tV5mZx{veZiD##hkzHn=_e{SM=BAdrch7jYF|tEvd>>Z=J?@CV?)l@0d!wcCDZWH znnG@c)%8036}$TKQ7oyc@3c?E!*`K!{E>%LM=J;KIKJthq?_h`xr5uCurJ!oZw|B8 zU7CC4=iHV?D2vr@x5X8$?@GJk<-T3|EuTrij8?uNBFe*GrVJVFX^=l$mjgK_>F2Um zEWX8U9NotG3~pKNSnK;BG)`3@C0tHcyei}WvT}Rvxj+ZnFeBm_fx?Pt9WaoZfLmCI zyz6yAD0NTg^<$$c3|vsD<=9zNU2f$_=e1n~2+*5x;#s?d)SH` zYkGq|e`~ECL!bE0pTJkpD9!(@HcaE{R;(c+mV%OG?ga^~{0Tu1j20JHKi4#`{Dyw* z&$+oXu4XLM9j5EMGYUHXW>5$Q@oMu)7svnPc`JH24idgmqF=N}nC6y|izwr3is`w6 zWp6g3kEo=LF^bR;&cyBdjC4IUsTiOZeKUhAGy6!<89FM>DS{o_dh7l1)%|Oa9<4*W z{H_-9qqrMkDCjnvb(dlJF*z#YO8lMG{P#s{((B2qM@6BLCj^*nu@#A8_=DrhZsn3o z7-_Zi%ku<_(^!ob)sp{Uf7B5zuv`6Xmlm-@1Gt-GssX`~uXXVo|zWaQ^BUI?MX0QDf~$_fJsyEK~M0 zM_Zt9imK-^sJ|o2DVd)^gF?#D;>Z!WrSy{o8kl58firO)kEU}R*?c()y2~KY(N{J@ zcc9K#t;dY!nZJ6cHQ~#@>MoysPe-f~8 zA{)x6n*$;!drs&yBj4s(-hR*qrPe!-m$H!{OaIOjkTT$;e)um|(3Y&7Cv;#E=&fF0 z#2~f*k{Z^B$d~71*Y_Cw3rb3@NmU2JbEg%R);ZJer>rFR&Q;r6R3z_o^K( z2J;{HqM+0J3F_|iH>X-h73W9#si=O0S+#;+v+Z*WN0|3{fVYi&+Y5m+-$kBTV8gwJ z%F7q+zh$JuhTHY(7g$QdEd$|MNf(3m(j9O6=&JOG25)QTr|5rEuCL)-@ygLg&eRB# z97Nn`*B{ECT?O;uy2A;tN*~hNd z&0hD_d>zN@+UOg-1Zqd;3W##o$iw?DE}Xw_8K2Kyn#!JU?TkQw;^jhB-8Y*-&UR zoY{VESG{t<5mkC-Dz{o~ebj?ed_E>gUiXhF%OF+^iZx=+ioUJUX@*h5-6;1VrSwx# zCs$8seW7xC$V#q)rRf8zJffcT!=-eloOSbm>E_voR(!$@-vzy|42m`CFqZ2tv$BNt z)!EmHOu$lUyM3yXBFl_DwmkdZl({E-3&Esdi4N0%uhdUivk!@n)3p+t52b(L4V!d2 zZgFT3p+Sf=)__inDEBA6qtB^oNK=|G!8V(eYg3#Rv4#N#t^2>#)|h)nGyCOVjVTFc zCseX>xcCCw#$>vL9eO;9g3ngBZ+se~7YY#iY2@XW)ZDH-F^R2fgytJ50s?4$djY#q znzJWo>7Si=s(z&NI}p`mSSXAAP&1;V?oox&2hL;;F+pk8+TsWWsoYvqotQDU!X#oz z^R_d2Aw3v4XZ3jfzQZG~vea&%qt+zWL0y?k#;H){EVMs1GLYx&$$eVl!aI&~^#nKv zxUTM48nqopU4P9Mmf1idHE^Kz8-hx9xgSZ#9+LYj7@(sE{M!;~Pbi@~ot1uV!(ae} z4!p-n6MHgSdlojl1>A>P5WbT`vd_;LeYkFw$ESnDL8UbTW2I_Cm@rO0)%sfWNT}Z* z9T=z6fB#&#vT=;EM#84*P3d~5<-;K>x?3~anYEBK0u$ZLAR4EU63XZK!k7)~Jn@L8 z$dRzMQjMT@vf&uSRtU~D5k2?-EbXKW#yPoTS}TSI%|C_d55?+m-lj{htd2$aNy<6& zl5x84Ju7MZ8ssvc?dyXN)xxRxDk24IJg_j2z1^&OoY92VYtLJULZxym&n=xQn1_0!TCs6{s1i~6wvxjj;bj&?QnZydeRIFJwTVT`tvmedz zSy;6${ekY=O_6u6Hrid4I5txfx!UD(Se@!c`^~pf-50!@@A_V7vzrS|19UV#y|+23 zt2?KjZNAQmC>Ifo@4IQd3_dr4f<&Zg>UQ_gvX zoI_S$ETtkKH%Px-?JJ9P-yx_a#@f}(8H=(FZ_L3kX?YOkpoa|h0C zBBlPoONGoNy@-y~*Q)Tg)yrQQ?_tp^kN`h()uxQoHAcOwWfq@Q1h84jw5#JvHI1Xc z{=0%{S_=#R-@7)~rO@B1szeq8s6_qxbX%_Wm}zP!n}3l&SK;&Za?wZi+DaVmr<3Z} z6dN1;4de~z?&jKXv;33E%eq)CF4vt^)MGB3`^BRfr})J+>Yf+$NTxasni|@f5hrf; z$JU#U4IjOF-Zjd<*5Ua~ran_b`Aj@GkRlUS>C>gd$@@ePr=V()+|-1`Bd~4vQIHU4DoyI1dC{J$mlIqMN(r$H zwuNPSYl}rE{B*gRJ_5ZzTJOsvHj`YWf2FxpzU&O1*3_T&ELwTF&Y+&?vd+#Wl=G`w zT)=*rL6DZENcTm6yoqxvv%r!v`;y&g+$8i}Ym%{*l7M)0k6b__ARl@lku?}o`|QR6 zQj^tI^-t32Z)wt5!F64CI;>Pou7TmlQPFQqn~a+ zi+W}Xc7!?%k8#;R7TGau9Zbb5q9j+wViR_gN(#^Zp|YTdC51O zmG^{iNXxUjTSqo$`mXi>d|Ws8Jyb1F=HGOoW~@Q4b@|8_YdXZh--*8$lj{B_0bZ*V z-P3^!25NH*u7N_~nw>BS)oJBmXG|FAHfSWQDQep~r zrPqzpBQ!#9Z2-o3NDl%IA}Cd5IXLg!C}bKNSyh9l7Nyyu$0?R?R<`0@s(}FjcIiIf z=U~cXm$?X6bpwa+XI7~M7b#pwc^XGYJWm;)xA-wTxvPdC--nJ{fzbDF7yN=s_yU8; zFZ(WYLQ3v2CJ6qTNRW|rkK~atUp?^as0L>rt(;*zP!ITf@z{=m3_ywI;TqrEOu5>* zvT$?g55@t2iq{eY>Eie)F>o_v=>P7KM+iE*HbT`~1wUiPt$JXUe6{`UfU*`Xjlq8a zQ1N-bnE_y8^r?AE-W1DW)Dd|hmIkPu8DobsRSEvd|HTEoz*1}Z48!rQjSqf_PP=P} z_f$l~+vmGs;z?ZdDMw`{q=#Co(k?VHw6xz9=3K`iil^@yA0dJw}m?e~c8wBmlL52~v=$F!O%KsWQjr&sxBJj$idu@8! z+K$88qTEx2<;pMEQoY`4{ngK0-sxl|vj=kpQFmPzCQegMzIYv{`41YiSK(bgY687b zSnS!syjAqeD_8bUxs;Ye+!7ISOm!%x9oXOp&yf4aK~DUFz7a&uV2}MTCZ3q|O_`kq z?TM={$V@_kmnmk4IFhLnXa0F=JbqChN`dg@Y`pNsrE&fDrD8c5FKTH7!vC7w=?^jN z-DyLrYKmzX94*d7f1dg+4s=R)Y+=(pvsCm*D=}Vqs zV?sQamK9uhr?hukzm*d2O1ZpP2xX?5CsBMl6?zNNKl z$rthl-`r71&Lk=hwW;b1?hcfh;EFk_^G9`qnt!30Eo$*cI>X-en5aeLj)>)mjhaTHs!hI zHEpmA%!9Fj*?`gFb~`~Hs+Q=Lt-tkRtpVsQjI*h?PmprX(*UI${@t3|xeX0&qOB>j zn=wM2({)UVA@)b+VHO_EUy99a(~4jJ`&tPYMx#>fo@B)Yeem07HVZOm@xMI{?1{R7 zO^mGuURj+}Z=bQKo*lu2wn`gnX>pEsj?qAbcDrDw0z%9H8 z#efRkSNjO42W3VZy~d_T8>;jYGs*3jljfd>W?CxiA+3eKmml|oXDGvL zQ)*bDq~z-feYV~`zPxe*?BGA`%qz7KT9mfwo}@=BO=K@27K-(+dT~{!6=rFZc8AsG z|NFU0usYuX^)J8_*l5qY;|2YCl@Q1gR)q;Bz3cDwR^1d~l-rMX=a8!|a9i6XbCE0S zWnr(_-KOc1tMECNVpQ}Xc zpd>F?Bv>}1+g2es^O#A33%1W=;Xf-;Pp}MHBv8k|s@Ge3 zFfqYjzm!bfG}=A)>Z5}7B6y9GUDF@4w(n?RgM{t?EHkny*`kO`CJ^ROSqXAQ=O7;2rU5{3j$02+xdwDZP@+nS+xjcHT$lEb@O~tk{=H$DD z^kIO~z{(t0)k}S2BfDxo?Sw^D((8+_He+hQ2XTS$`IFpvOCpJ=mA*^wwOv^Dq5LBP zkjrr2rAx{hK*eXkNmhKpg}mskUYHpiN3-39j6kW3V7hE<*`WKE!ZiKheqp; zr&CD}yKUztsDxpXIb;#54e_4Hh#udq*?F{-3OKZ<%<>jFwU9WIko&B}dX%c9azDFV zmf;dppJxz0(juiu0OXojX?UIvn4wxQi`P;S7<(DKN<}31#NnYA%(5IC*J9$&Dduw+)_F^p_RAYmsN|4J!+a=hGs>YKW~XRw)$_{{6Gov^xYiw--)E%4(! z;g+}xV`bq~*^dN`eNr?))yOAyrJQ=}wmUZ;m&DH8_iYaJ4a250hDZ&cW#5F61F!&J z?Bx-WN^Bb)wJhP44yXFP?z}iRKuna%AANgycVK`0wEq;g8rtzpzx==C9!-fQ#c9Zg z((mqN$F17;=d&n8Cp)qtQ9o+?El*K5o=@KP_r>!sf+Kgo`2N5^(y8!xI9zq3{M1nd zN%s6nj6VDqG{%USh(A9c@j#V81K`NLBGN4RTcd;SvPa>Eh@bYl-tV=MeOrWEAmq!s z?ip|ejOZFj!sYTtIef0%U|mA8m7j5&8`^Zz_fQpBN}as=3RU!!v~M9=LGTJi4(#kG z_Oo}}66QkNQn#NTkA3?v4qeZ@x_lMuNDoM?8<0wtHZck~Iq>)+isLbMU|7>!lXRTY7s-5lP3{VklW@R|X=>9kReJoubb{JVAkDb3b%YRL?UuZv7rI|t<& zpQVsCL?2&_pKc;^@8mi7YDuXMBC;bGd03#zTz2ZoO$wFgfuxA?<|hM`>MyRi_pwe2 zpMMdl4*bGU`dd77&=wJJh404lK=D{y&eb_O=; zv1C#+5)w-#JlAmsGcXduoFmnb4hqB%(qKB|98^8&`ptFFOS6vsV&n>u+2WQc|Cy}< z`Yz^+sX-ZtTaJ1yKbikus>s(b4K_9iQak7x8GMi+Inj9xL>RPLeGBhT^CBx9v{jg6 zB#{-R&$a4OwSEU0W|fK^Clm9>JH)5x0nY(XLI@8Fk0PEM7VE~ZvbDay{=cAw;6ZUl z;ki5SA-SLk6qIB|aC!M9ovc1F;fi^YXj6UrE*$UfHNq#gn1C8`J}X9RyX>Ql+_$bm zQaO)ohED4JTErbTgP(H~5r!giOIse$cg1Ey<-$#AvvmA)q+tIyA!Ew03f=acV&)xz zzD>KYTOw}~sn-h6NwsC)5?&Do(=ItcS}?i7Jfkh{bcU8x6j*d{re8x!8Lv}c22-wy z_27}2=B)yZ#EiJgv?`8jf%C*m4LG)KA5<%*=vug(h#>N3$3JF16BPNBwZAn3(g1bp zmHIHw6*W#3Vk!q4%lw%tmRfB0r{g{OdO-3S)r#oK?mOdJy_mWPZ}QCzf_jft!jVnY zo-OlS=ns+%w+N{Ikkqb!RPXfiD<|vL-(`|-d{@>m>n+=ZEmscUcqA8DBh*zs6^LVV z6E0V}PKodQ+?z$0LPn8^(AX4FuULl{w!GdOV~A?4A*xMv>rHA6zuY5xWu`X-%}V%t zrG=88uE*EuJlo^v_-XxhO?={i4?c{T?e(q!o|&HJ}2sndHN6QT@^$}tT`g`|DCLCe7vVb4fd>R5==Dqq5|=2 z-KDni)ocA3C*mc0p!kP05~_ulQ!L>cEEN%nIXT7k;E!|0Slq@I@jv4w{p20N?n^&` za1gQ(hZDkV;61t)NSEq<6=+>gqD3!0a-~e*7(OqX_|w|%cX0H{c+s|wN=ml#AVsd> zhw;np2L0qQdcjkzo2SPuRBi$rsfbF}0hw4r1MD=Uc^Z&8zC`}63U-#W@eiGFR8Juh z?Ux8;ZTEgXTl7m8jF1`+l|OGd!w3RfYbnzPiO$OPS_r)Lf1bW*k?Y!zs`rVzd%?s1 zyg&vT6*4dgLbp-|q|ZJZm{Fatn5c{H=F!h3)q9|76PDqQPf*FIcOLUd_upv@{R24( zOWsb>@QYQq5$P8&JOJMCe4<^MB@O ze=E-dzbH3Kck>15^4OUwV3?qmQ;Ophr!>Rn^P>gH56J^DT4F2u-0fYSHt)!1<@h*)oYeU zULef44L?TzDHbv3MfWO(*U3rJ6(%+-rtL+6aTz1pyVLd;(edOCe0i7wageRmCSzE8ri!4)~Gz|9=y3<+Kq|A z$GS}Y0z4cwo2BgbwO*B>T}slx^8q<&bNn^hHD1izj&c$;tN&;yJ$y@($3!iwNKMF# zr`cNh0VB9!?&STBfD;{6PXYm6&&{r`zbTU}rYa7d;!h%gN&m@*OXP8bsV}CXMb^hO zqb(`^6;AZHzl3=ZMVclVzs7h9HK;fBYo^c1B`Zg}BM`lnkP7t)}TlEw{50mhM;2yNc>z_?@p!P?Eo$@VQ;L z(d$>WI>9`ymeQXPXG`l9CRhttrSg|=lBEfaeip4&$!oIV*RU=KZPkOR6FxGjBI3D* z%GjF51vVO__he=xO4I9f$bo7ll;>#{q(OZ?;W}xMt64FGn`W$D-@nBHcgLP15@F*X zvMV50J}~?zYG1JN@-3=qPo~LcwydYq2v zH^Vnf*{EsuIU`nC;?~hUlL%h*g#W1k@hQRDon3V%T=fc8Y33e?;2&S^5OglgUH3y)Ed} z-w>QzOocEoEvLWOFB%t|s6hBA!l5GzOBt_qPIBwkeOroA9nzc}0m&E$b-U+SS@zF0i(aDwjDznU(0&B z$@@Uo7};Y92}{a%?yA%wor_B5AC*D-%RmHfn3=&eQD&qZ=gs^t?l9uP1h0SPqsf1p z!F@2Q#3#+oGO&{LZuyjeP5=dv7`$N@MO-b6f-VobW6^CD@Mq64t4bgE9V;z~7VstbO3jqUH#iuLnG&_06?4l7;|E zvsJx*Lix0RHi^|=vHu2(nUyhTuq)T~UY5*RSw;~O_>|b23&}wcqC-zgulHx{$dcFw zqgXhdqGuDohZ%jdl;!#-NqjnSa5IC={}V!@;JyEKUuDZ=`S%jS*&aap;zS%DK7*?w zvN7f;=$n)DvoWfo?P29?PJS(oKYX$WE$@QL~KSXT(pjLW54d_H`iZV}&Iz*xj9M%zT z1utv*Pi|Jwkc*GMiy0&8$e=!o|TwGQng@o~o5ooZa0y(ngUyP(S=7+FFRD zS#!of|IsNy@Rg~82zsxaR4l9bxa=6uK3W~LSDr8ly++D&j+ZB7mr1YRHrZRUp0O|| zb%q?jZrf%*EA^UEb|5wA0HgP;PfQSw0SCvww`UoE ze>j?yow5KkqWcNiz59Tb>zU1lVT&fy8Y z{?BUGGI!`avwH)ESFxKOU9{C`XcR-lhx%HvsgGajYVfR^D$~}AO;m9PE8&^B{XYG954s3#* z-T%_Wc#|yG5nuRy{cED@u){~Ih<^1}E<}0vBnxW9ioD13X-rqFFA-p2(&!aH)Al`L)LQ@0hH8sRVbCSGHcwoAS9)4|GKSo_=9quEEDeuSvk zCe_8Aev0X9b8ghrJpN7mhI%gcqf?Xg*QR(pceYCWhT=suV!J^;@8_okNcJ)!i%OFM zgHqQRx_LpDk=k0QgWD&Nsb?~BfZEzrVQU^|kpCG~t{-Ct6t)eCcmIC#YS?XS!Qod9 zdup-a`*>}KxIn#_Im6{t^NWnfTmwI(lcL)S9ssmgDE}u>*Hl0V&Bm^r*8ojin`OJ4rbei}NDg0W? zI>#$h_>lPHWiZ zn3CAqANg?MCO*U5!cTv%Vzpv#KJk@ohrQ5wnVP^b=tDK7cvp1dAZqT-yt1n#_9IUC zd_%2V-;k|*CW$yu5uzUBamcf_QF0}rc&?UpN>Q)J**S8bCoVhTMp?C5kBBpnm68)PplWCDR&Z>l?|yYsRZjhn**$ zPylYC0F9xpyW>bscM=B0zw1A^%RD7x1}|S1LZXCX9iWA7TiL-(bRkF9NzuVHD%k0?jJ*E*baUDV7oyBq0 zpAxPFGyBF+F=RHkoC?#tY)3`;9}Bmi(fn#@bl>6MK0Cakb$OcG6|eN!cl<}Qy#d^% zVD%@%mmA6M9SBkHiwcCfh!cQ6$@7H zE~S_5-Xsg?%^EQ*yFTIfPD3xLeN>xh1`)&MlNxlPL}Vlhsh>OZpX09oU$tmIoTTei=Ysv%jj`WY#Dn&>uZ z4NLBChJgzmGRrKj2ECv_y9*NrUjP$$|7G?6X!pXh8=#&ctO@^!s&)2fAf#H82G%pE zbk8C4G0pwz7&TSREGl%4%V9;=|G8=^Z0HeH4TFdU@f&!-mvrm82i6i8D)$YnT8nF) zBXD8HFfetCJy7*}N2S-0>+RG>sN8euxJy&jM4K{=mZ*X6By8ZZk`^`ao&1$a(||Yk zL8TguONEjJp%%lm?6g6G7BY8+aiFz$4%Vd9In*DIWDl~)yGg3In-G1{c zJQeF8YZ;?$y|R(;P4->YqMSZ4C2ok%8vQ%}Isd{>_YRvSWm9qKzGD3M39N(UQ(0+S zvV@;Et+M3Ca#J=a9j!!Wf z9J>0kiebpJzKHMDleJP?7A5z`xMY@cHhV9hOB-7zsU;kd8l1I20ZNcxPUhO*G;vr~Abpktr_%Nrno`M63*zeN3Yw zm1?8vvC2evMU9)q4gnqW2MWbs>Et<$EvXt|_m$EUJNY65?1IGN8i)~*zKO{xFu{n$ z%Qo*|&=)9M`_xY~Edu>?Y%^_80o!y;Is4KKDY#YJYm5uSB!Vt57kQ4|_FccO3dj1+ zmw@&a!Hs^lB-x>srT-#a7Z3ijk>ED7LXH4nFh0Z>ZM4*iIUNooxAB)`E4WS{fjFaY zMe;6sZ&qHPQDYh~nHh9xC%y$c2o4tYSxTOt-u;NZ`F8rTP}j4%L;XeI(l&lidb{4m z=Po##?9P&;L1rqVTt?ll2EEs$hE4X#;CsOtf_tqRcb1_v{0EEUTDVg&S&hJ=>lqO_t3Px7&g=c$Awk-T__?LEeKd5D zYz+GQLAic&d|_`0ZyXE_+3kX97JSz_T4Nb-oWB zy^DaU6&Mpi^$e^3mxWCT$v9RbRJ=i4%Gx3=AyP3-H+HzbSu92XpF8lPH5<21bg z@h5YC9ujxS`Y9d_>1s(H(?BB3c+XN8vN&j(wt>1xRRrn4rFCFNaT?qnltGCUWN z$v#JEn)2($o%O)dOf*Ot{$O>%+O2L|a49*%OZ2m@ulwBuv)~?-9wZyEHNd|i(Br*N zl_e+q536{spkvO_TNY-YO}vjz1s+g2SA}iIc>wZs6xsSVF4CkAYUP|cB{f6q?g-Q< zDhmRC8rIJRPXXkg|1STu{uP}l5U{S9Xk{f|0~pP(iu3;`a&Qq^6G@eyVL`S*WwdBU zhR-7n*D}ig$4b%o_DtXe`IF2L^O0E32g9B@gpy74zjk?M97$%S4uL>wF$;PMdiOTg zeza+8;e-o!Dei**j}qi8^rM9Lzru?j zQN38OJU^t}NahCj#~pPGATKr5&k+0Mm!#8$sq#2K=7i|^WOe7>1+gh#Cy8s}F1wL? zzL0F=kZ=_rrSt-%K-?e962cZS1pCmd+q-r`!!CCO7qvRs#HTa;hWY*cuj~_DoCxvx z6<}hgif~fwS0`_pm{z!9Z!_rjKDck4FQF*7tRU+FaQyW}6WRn8aM+G?UgC5r( zX}(C5Pn4);%aK!OaiW4qfwt;izv503s-PfiEzh4i_g1kVhYpMgj<;Tc4xBnsA4UlB}^rt_VB9okbZ6$o|BHdVPRO*?ANUmMk z9x^cOZR_-pXx|su2DeO4nS&j8#qh8?3sd7jGHr(p`>Ej$N8BX!L`ZBST6;x&_2H`K zCcg1-OOeTQHN=Tw>w7kK(<2iU^S7N~{O@D>0n7*`U@}`c_Sb)RqD`Ul_xu70Z&tXp z73u5IlG&8Z5IwifEV==pwGd9^j*CBqK|?7=wTo=#ubp!;?Y#Kc?ll{BY5$mmt0jS< z1G6*=EgK=6uK)0jp2Gx<(qtZ+?oi!}Uu?RoWcw6b=^=P~*9UDtCZwy_CmZCc;_c~-i0~>a#aM>znXx%-;rtxIU@846xI#;{=2VlX`0;n~VQm0u*nK!CRC+ zH532zn!YrtSE>T{DiOLy?rC?%ZN#0iC^V9<6-SDw$?|)%s z^2-zYf7j{FN@C?WXcUH0NCpqixwB2|+6rYxx-?Dg+UQ3y4%w<`K#uKi3=m2${80Bj z5G}XUF8L2Jel|6ZYPu$l&cgf|Iyl9r-pVpn(CRHB?b!MjigWcDr9Xnqdr}Eu#RO1< zI*Gi+idTpY46Rqp-^5hUW~b4t{wpJ8*r)1eNNKR6`ZJczT|4w9qDKYh0oVL+6`u;J zy(|Ckr&!jys$j3>tl4{jQkGKkZ3kf!K@~wt>WuZ9Ke#IJfe1DCE@5n)2NVDt5r(AR z8ON<)xJW2d{KLO=LTT9P<89Pj^G{d6S{9pYd56Sq>ifR?#cjnVI*K6XILv^5)aRh| z?~ik!FA3;I8e6aSL8 zuU0w%3+U4zmdk+cUOp?wU zf4ai`o(oc~@4I6@DOXT`%8OSu=ygN73^YU&&lLl5B%{DZ-zVJ|hlHapq=$sz-iFyu zd~j0LSv1vV^4DFAN&$j{EI$+JV!Us?%_O11eo%_yyZR(gK7}Opy(~}Yu-{jou}{5x zoA&{^J$Xt@&L!pl16cMo_9-GF(;Mrq6!+Qe+Y)v1D?G!X!imMp>AL%#9Kv;UYeJQS zElCfFHd=5>iF!_ppt3*Egm$FJa$2}Vst!v<^fO!D{pJLzCf9#fxmJ%(Fp?k1iE@qd zRdxKXi=q3owx) zoQbXnYwxM=5Qu%;!k_7VV>1xDf8om#__|WdE5=zeAJtP_$XJ3Uko_N8Kurd&3^{%D zB1s0e(`50h!fEx>gL3ED#kXLnCX&c(+Tml_m-Qw0g1+Jn3#W>I*0=~G)qSG)Rp8yk zzG*(|_76zunkVnTW=Z>bdcMPKW0nl{qs8}IFelO-(&Oj3e?j9!ofJ`Cey=^T-5>(; z_y|!fI`%DxQ~EDa^33R~U#)4+@>{^`j(szf)T~D~!d)kEmNP1Gf+avy8ON)|F(ck6M5C7}|P@JGLO<>BuH&`INSF1*` zDly^2_J`(9$)Tp@1P)zOiEG_o%zny}@XJU7o_3FzYyxG~x_JE9db1xG4adi^9(i2{ zCe!{r5?!CH1!{*5wYYl56zm7 z7nI3)NBp{K!b;nY4d;~F2NV^KR`3gN-X3weDxws=7Y;gMcQJzDbT7h)zT;S!RWyZ{ z2Y8l}7B;JNmfU&lP-D4HbE0TbeB04VEYa!K=Als&J0J*w+nEUXyI_7@N|gsdP2#J0 zS*Coa)55+vDh9nr73gQ`=1b@GvfIJ^=VUBBmMap$92JcLhZ1)^AF8|k3LWWhe~r@? zq_#tWZ&o8SNB-tUNwPzc{T~ppfYg`;RRMFqe>PWs5u3<~*BByNw8}>cl2lL!txfq~ z+_XHOSNwQYcQ%0>o**pF8f{8Sb~hhboT09dh?-pRl*s0kPUu) zUsMakp>Zbgr`czg#)AVy&&#GMa)lX7G4aQZVwTSGRoc6ae^QSZRZXo4Lm&@-eH$+7 z;B2m$SvI-H$r(d)YMeFl($R&hHFHSZ8woQcS{pa>S8s(>*4ex`LbM=Hc3-S-n5t9$ zugH&hsyjGPjjTr&wsnul(PZ%DAQC!C524uGP@UM@C&(AtIv&v5m~zMn%o0IWr~V+K zu@hR6^KL}=E4&$X0p*hA%|OiCkyKV%Wl96b3$*nMmG$nGKWoYOnX2+|+qXLeP<{*c z(2}tBxBeQ%TC7SXwI2uj?7Uu`dC$)P(Y~6~e|p}`Cpe_+9Pnv{teKfcE$cn=k`lun zBg3#;(op}hoPP@A9Vh5h(;nF2kDh^Gpw3Uee%s2m5+03HOda!#6Rr439i}3aYI(%) zf1#GJi~eU`lE(U!714CIe&+H?ive^|rr)4?}NSPAFeY}%$OdwPO8uG)%0 zcSh=f#8AT+e$tqBz)$>*@+@c?n`6bAdJcv9TCo&+EE7gyfO*)xa*Erm06iuS1d!q%#2&L!-i!Ozs67F)TOs- z9lCUAz$V;=zUgrT`KpE0HQ^&5oVJq7Hp0-?U4<*hA+1wg@xKCjm#9B6ubm1$%@W{2P0n-wcvad<{LA!U_i4iN9Tf6}+VjO7;|%_O+F6%q z$MnaAYzQ)G`JrSXV)qRGA$bj}V;%Co@Vw}CWW}IZ`2{9(dzHL8c;cMvk5a+>mzNP= z$ljpN1}i0$&k~`BMxya9Nyow#N{9n8BU4(xJkj(f?K~!gMXA(4k-DJLEFzW9y>a*i z(|<2}$%;%~?0*+Slk|xy9x*gQnZQySNYM{32@5=LchP*&_>!^^=9JLY|JMDgL~RZE zWW+P3tQ2kqpvpqRc*ot=0`#8UHnOUFbU`r!o%$u)sl!r}Bz)@iBYch1g}OvWnYFE;bmW%P(i*w$u%j%gHJCKKa5z_|4{7`&M8uCk z3WRjYp@|5}-vrcu#aIM!6rH!(k5`+l}- z+ft=aV+69yRw`(~1#zd#N8{;ORLZjDwZ~;QS6t;v8+Z677PSoebd#QYr<8XxVnU_3 zk|Q!$*#w9wZ;o|>kFYSSGZ*r}gd5ND)}85cC?1^9_R5te(5t~C^&`3zPTLv|A9<{pJCCBni9XaDdzM8$ ziSzk!NCeE%YQtVxA{Wfst;h(-(22UWsSS^xi&J6-|Coo(sxHD8yzPNfYLb(AN5us* zRue1Mcg!Wd^8sIw<2@)rC;wmV*v>B+d!V`19Z${L?pobLQhc%Ef|9KLoIcQ8gWP^u z*xAQ^Hd~%;Z3%0KcJN!a>RIy)g_>{9EOnN+0GK;|2UR1FEF{_L2<1kJBiHL8_%lP| z4;{l3TAnW>?*jx4Z0Vz>#QOh5>-&3gFm7bcc9?+i%vf(+AgQb~h_seqpO5S06|oow zucpk}Ps4CEaBH#|xy?%G&iBKQMCvols`cQZ@GptX(9OSzP~U}xrs8E6wLNl;Fc_)j ztHlhQ{4$u~rK*^wFJtcmF}Z?h$~@mnz6MH_*Wa*tz7fV(4k?B)8d%gH%g8gVZUGs? z+peUy6iN!$(dU9V6Q?5<9VGNWNX5F>nl1!!U}FI=26Ctr+kQz;I4n0V|HTJf@0lD; z)^gA%N&iE^FP__p1lldN(5he8enhx`!?KQ%tJG=t?Dg(J>kGogd#{Yxk|Q>xKSjq#6ji0)ZajX4W?k#6WmUJX5R&8 zmtBd`$;t2EX_H;cJM)RZ6pS=MIQ+z@sx!-WEIcD#4y2j%(Z)?+vML0`s*5P8FY*T_ zsB-Qxky>Vr9$48#k8jk{MR%|s=WSI^c6m#h+sKq*VLF)Z% zrjeV7t<-$gb(ngB6Fkujbyz``-jslPX-l;XWackJ%r5MC_50#K{tBQ%^-17{0NREQ zCy-EN5L+5tS9z$AnYrNe_1wywN&X#UmKcx3U__c=_(*nGI=O)=F#)@2w}Y^mAuoK64x zOG{W088uH;tWeQuTKw+-(7)Qx|5tnF^PqM=uSHhR-CRdD%mFSJH}wHAWW%Fc^|362 z&E9=79l9I8)iYV%^5om&9*5E|vydgsq@6>6F(k2dfj?RMR-6{&%R2>9iSTrzG)4 zLBXn9H=lZUsKN4LFh4>*V$T_G?w`Iyxas))*^}9x>(x-oo6tn7-?*uEM%^J+V@;kn z<{^WWH&yYo{_I?JMR=)gt%Oi$oue)L7qx3*G2p#6OAUUW(3ZveJ)jFty+*0X)t2J~ z5%-NT^On>2d(FMqDp`OBr1#R1k&y1mdFlg<5|U0W9_{@{H-2@ zQ~(o^9c(IOT4WAkjQn^nx}{6#{>HhW>~z{P<2S6J%1lPi<^iNN`~g=2I>W_$o3B<4 z{6p7x{@iCJ34vYL2+!d+%5AmeS64BN(EI6X(HA?MrL9P-C9~uvRo!al9H};LA?$U- z{)2yTPm(Z=xSzWf3G@!ptRC*LpShMx7#0cgmA#*)KJGpjq?WBW&C+DpLv}y1eF@K- zt@whsHCols**I2%sz-|j~s5UTo=w#rl3bnhBnbPlsC5hx~jvmTl@<1XDMfY z{DhYibRbkn^+6}ESZvbueq@cQ_I%w2n>9=01{>0nK^{tu)BydrJ@S&XiH_h~b}{=9 zS7FK=Ir*D5P~TRQjK{NGljR?rxqWYeY_*>tPA_j-j>$L{=hM@mo;u@*x=0nn6N+$q zljqN^J(2-CohWW(GMypru-p?a5x@G^Nx6bD%L>s5)Yf^!$wt+i~ypN7;Yn`Q#&t4_t>#*T-OvDLQ4 zE_#e70?y+76T??@#UNcL@%+?@;n&~L3)|(9s+a~&pMsT1jE6Dnmtg&^3?cb>{@!3@ zI?CG;10Z)BA9venz&71-aQm#l%a)K!ZMcE8AHU))G~v{L`A{%cMe_J(ukTjjUICbz zSMRpD_)HibWzXHYX6K{zw$d%kmTW;A6qvS;dM!f<@5OS%tR!5^0|ioglTRarDz zaSSvIn)Ygk%8(9M5{*mo@Jt1cISc#dTu^0@iq0;sNVe=GU$5m$eP6Yw+^8`h`e|W* z4bjr8h%L5BP0#JG_N^+fIJPcWBBqoZq_PffpSo`kG+(xEf%?qpU@YxAz)~tP*3X|P zJrvf#+VP*i)D})#rw;mBJbJS9J*88raYybSa;WfO>=%LO#fsZ4 z7*(AUl3P$3oV7d87nO||)h(~?pNv|5vyRGHHF}H0h2Lj)qpQ!MAHC`zIO$xV4L*1d zS^49JXxe*Ds>p_})S<^P1n5wXeHP}2r`IEwVvOH$y5cQxM6xNms-wuvHWT%1+XEAL z56Ur;q40}+>EZo_y@v$W7>TmF;21w3c*uK>dh*;6(;6ZuYli{0+(czFW7R{`?KEot za;m|dc7Qt1Pkwlf?x-CsYFsUpEzt_r^YUaSly>Vpsvu-6h;LYW?eYCo&N#R2Ln5}= zO;n;j->6$qK-o;k=LPm^Lx-~XE=8V&eEl8kDO;^>{GIYpZFw}!%2R^<$+QXS0ZunP*#CAQG*rw zAW!fOlhhi-_1+ zJph@&9lo<41AC|W>`T=U<$R?L?)5p{)askeQSK7J+oWo?+kDX-AzHkSyKJy4DYQ3? z+AG{}ks}o(0?c*rso27t`g@AfLCkb{QmH>SjKKFZL=QX& z{}yH2pRdD-M~XztU5 zH`pzGz(e98CzkfvwT-Oi=JYrvwpZfdshHTNgUOb!P)v2&njntPC?m;P_(hlj+%kmoX=(9lXfa~pVppI1x&ybwG*+wIQbGuR9wWUb32Q0=_H=yV=sT0@Vh5{eDG}U zAlayBUO{-hKYirI^BOzQX(m{Sj}^Afz;7p(I5TLtHCgmzYP}?M&4w$K!5%~5Jx@9_qpOxlRu>``m8(SrPK41)K77#)+cOzDIYt0!ADEJTfCm{G(X8H zQ?OZWz3gl87g14eB|VrqJ7|6RVD7MJmixp_?4J$BM{E9?HounAwT;mGZPl=CSr03k zF#HZ=rPCBs+PHeR%%KeC=CxniHb8S(eh zp2=*+X9Hq_bXA@Lh`;=3{D_HbGc4H)imzjI`#KJm<|-SyGfpKD2G(Z^cYmhE3a`C=v4Yu1lCf_h1Xkt|ZAI{?{hN*C z6$`rNwwwhUc(mPet*keY?Q!E8bnvAm+xt2-<=(9E*M|Ed1dX_889j2h_0`g_`cY`ee>le#^nV#uv}2; z8jl=@$La#b@$>E4J0ea_-=2U=Irm^V853-C?wbzP9}-)%tD3TguM$4%5q z`&7;S9bL^UWJpW55ll#F^^U0eq-SS4<4`I{Y0FDW@SWeOgU-&u{w;5)f?M<0iAb|D z`;`p@=OClwgiY$BZF)o4efVgRJs@u4I%CCD17bjf@bVT-@c%L_(_>NYtv;Gc3j)ks z>dqgEwv7b6Q;ITM_Avbw{uJxCk}&W14)(rFLv&W@oam6h;=*Ihd}A+4W2#Wp>|6A# z-UdlUjL1hf@xL$hk^Ny0(&%?WZU>~Us|1v07>rWnw}st4%Gs@5p*{MxH0eW(wsht( zbC$PtU(NPzet+;&QbtK^a>ur9S+Qo_mf1Ix-}EM$gA7truks${%jX1yT`&2F#@0ty z2;1D9=I8!R75t!Z(1IynRG~ZHh1`mvW zNGH12+xwN-*Vf@q$N@>CFGb}hNRLW9z`t70;-m|VpWi8jJ-#{@93r`0yPRMQg3|6` z9|_`Z-FhV#C(2dElvS|Be^!WooQBT8y8h-t0yhki6MjQitjMpWX6%>logEia&xRFM zMSySJnpS8@#z0Jd(klw_PhLAa==btD@rmDV;`HWdUF+X#%aHX0N;kO&h)6)<5$zf) zXblUdw$;C<1}B~E_C0m;ZOvhk-W$L1^ob=pP9@$exa-psv%|`nqljXh^D|A1-H9(u zJ#YQ!wc(3H6c1Pr_@VdM_G8K*HM4DoqrAl0D|q$$HkAd3h-b)e=B5_$6QKx5JEuRttXJWku?DNtLl(nUzN^Okwv^qg{^Ur3{X6NA*r$X6iB>AF!1tS0 zkHWH6afV_UoAskTDkv0(nHb!CTLanYHOo7qZ=MpZS4) zR?ZS4&Jl37r_!u;nR{?nIIhu~xn~~dJHOU443=g$Sd{*(d=uH-{XU;fk>+Wp z)e&yN360Cw1a(Rk1{D@(T-p4Z;+LKv{{=5`7@E<|FQ)mKlF zH_s+<8p=9PAEnoGo2nRnnxiIhuh;TMl>H}8Ym#>_qnvtG=SMf7b2e-EkUKFWjVL8;EgVt%O>~F|hSPgLm!- z%MKFeg-yDQhE)Ob4)}`NEr7kL$o#>yz8oUd>07Z@$mf;X$*ypkg$zv*p?2f~w-V0X zKX0vIDo{U;QH>E#y}%7yV9{v6nQjbzfUJlEPYu-B7mJH|bSfnljnI@XBGNv~44>_< z2JP19r zyW)jcoJz3Qd@WuXDQWmOZqxU9PP=HMzcP1ddq(f*DM-}!C8wSJL-lE!axtjOQRl~d z)%14=B&Y!cmjWFrkL6DG`T9&81i&cC+_o2YRUE0^xxz+m6yeIcc1$A1pev%gMI%nC z6HSrwHh`{3JuQrz$&XXmWRbvQTHyC+>Bb9kfCSmbEl<6v=UIRO&UY`42VagW!))5ASbbtwrm))r?wF}Rc0p*t3t)A3QT*m^OZaTTp>U~3D*>Di=<`qoPw3E$$yrRy>*JSRsbqxTdQ!_NTiuZzxF zwFoP$wZr)~-{*-;klD-JvKYA^-4{K?6?rXtP*t{nptd)jyK*=2o$H19qLmA2R_=xS zFSK<@3i*fze^`FlRvpK9j623^?H)=4X2yQI7FR*{F#)6LNK{p9^*_-EcZ+7|X>;T1G z=iNSI8&by#h8MZSLlm|vzRlJFmR>u85eu|ucP-8@Vx@H)HoZ=l9CjXb+GiiTK0&1w zE_5ULiLFaq<5m(8qj%&3l7^`OP_PJ+;r9yXW%0UUyC3+CKY_U+BADHtN!(IJFM>Qn9pr$3;Z#j<0KLy*Wbxf>Gq}7Ju$kkM{*rfl?Kz-6(91UwOfP zLQNx!P2Vj1P}aic`%Ybrdzr@SI+`}Dw2^~|U7)=Jz21II>{^7WYBhSTI>!)n$M!oM z)u$*plB8GYHAqzLq;%px@_M+QWVC0Fz{~J$)4hXte=v5;ywCXnsynx3JyTYs=?FN8 z^y6sFMKjtE=C5iX53mQXS1=>qi3L~vIuTvIGy(z|A42E)9`G-=$NY7Sk@jZy1BTx! zM?QCPB^=j3aDY_tm^dul{i)J$spZLkt8I2+H&y7kwXh9kV(l7NUc|>fctpD(Qq0z8 zk9%KPi@;f}X*+yY>)}VszjSiwQrUa%oX5GCOLL=l2U!oH??{C3r#W)s``%uhy^qvV{1y3GwC9-e%J~Qxu z96c1b9V&UIjNb_;wvQgT9e>yMDsK6xCD&Rs@zcQ~AnhSc#}!RD-yT&smY#ZuV<1H7 z%U8pxt8b$$ByL}3pDtaZ$G!SU{#-QiYA>L-b|~g5TyV_1^YJ?+_7Vv8EaikZT6svcZ)y2 zC*RsH-lA#ZLpk)h0-U$EEO8tmcTpdqaI|O(Zs94F8#WlONK`47tJ>PW*TbJe*%O%b zQGJe)a_+9RUEFG2DLyYuHtI|&-~ zEoUCGftM9VsYP40cNbczwh$#Zfo6L+znJ-sSMuKqJt|#t2qf!l*13zMdinK+t`=hi zV}}z;N(=>j@kFbtSVZlzBkfZwUX@L-J6rS^9Zu;YQ7ORx;R)NdH@;{^BE%mwR<8Q9mwbUUL z4!oH%V2pnrl<|y~Xb{?oj#N3jZ0oA7G9L|in!$Q+l+R$9h~fyIk^ShAlcF$-I|J|h zRFq9Ufp&zqo1Vf!WX{Hb2nRJhO3uUdVMav8{t8tvQ=7Xg8atak-{b-ym?&UuIAE{t zG;`o0|A+JvT#LwBzt`+y$DrTyUF=E66NAg6_?@G!wTur1fjO4Zkzbe}Q0wrU58%3k zDCfb2^q9ySVw86fen0L8XxX`_%jPED@~Rpx8|;Hk+P{lwrwx>kZ+LxvrM$7w(H*c+ z(-#azE3e=eh2hs-Pa-zZWtA=&fi%_`GxerNnhKMqKBw&$y*XCx^6eLt^V{8}dz( zl$FDq=blxGj9_0i8ht2Ag5|6~ozfv9zx#!xCxWyM!9x(1oni#Pl^VDi_xc7M z2BXz|lip!>O*Kwme5CPwj-8!kU34n_b%*fG>DcEG_HX_xMo*d@ZVs2`#@nucL+87w z?%~?@9=Qb+%P>};)ZM9M0;vd zVejB0_)&a!^?ZRnNZ*8WOvpe@Cy6Gm#^a-JZRd=F5kHyT0csH(ePd~i{L+2p*vDNW z-+BB*=j+)c8(6$7;1_aGLg9>(^3wCGnC6ZU+XbNFo7Zm`N8=g=Di~pt;<$e5=)Sud z#7Hk@sOIin{r2nL1AJ8Q5&e+G`n8}Pcd)(%XThYOOVG&*Ve?})t>62(t8UMLpm5%N zyx^s0M9L_VV5;pcN~0=nurv|49yg4O;}mU~;d$%4(dt7}r=pUPfx{8wiHJk^ul|r#EhvO7%XABMcs1k(>1@b z{^>e#aj`f)gSqtTHl8o6$wNSVg4j(U6=StK(ewAyDfD7jrB`@V?Uc4raEJq(A;Y(C zPW}#dQ^}TRub33N+8U=f5G#Y7l>Tk4TrhkM$S(VG@IvQWjJEHyP3N}P&S_^(d_ebF zLEY#X?-HYfumji~#!j;yLL2LJBKRn?uFQjoa@Cj8 zxYm*E@FIeZ^12y)`=D3Mg!5ETnsgOX`8uaE5;WZ+m$yO|R?Jx&dV2PO_=sDH0;F^- zrArdv-hxc&d|fZ`+^C7*=CYipfZs@m?>)8MOVWM9VyR4`>N={QPZ>GqZ;68xW?tv^ zpWHnorEEg3CT`5%dx9tJ@5e1``a|58`oU_zX(ju`5&Yy07oIUkE$yW+)W}fYNSf?0 z5o=`UwOf|NhKGDCoF?12-QbdcRhQ%EVEj?=$g@S z`wwY^eaGwzU`I{@wo6ds&(32-qXqIq)!?9Q@8GLaP;Kn3@a-dGyl~^77kdy~Te}2$ zKw;3rVCNBh^>c-?@8s+gNfjfP*yi=Zz-gs|kucfeB6>qXr-7o;1u1Lxj^U`0C!LqK z&)cQ_?U_`*`6-Rx+{Qao8YkRov7JQ$r^TNt5AL;4ebu4^9J#diC3C1fJJv>L`D*^` zWuP}?BVnGAFzI1G7LFx=hPnjkNnv;@(c%9Ne(@$$BZI@xo{uU5SbV?D6A;Vz!RH93 zCg11uc%#^TpyZZ5Y}6*R5^)SWZG~k3i%k)YB=*7p+p%jswK>n8fGR==k)z({ezCDI z$u@cw*7Gx~nDktT=;A&}-E5mubzFz>U7Z6UKumIh?1Gf-_LoR-6j?IBF62{2+GD|y z^QE~c?rBJAUL`IDrQSN8R11y66Oyt|v+dGd#7o8Yy#3w1s3~z$?N^D#^8rik_A&~l zbjNr{3net|(YNQmtZgt1?{lWuc;v3#(MYgZ4&QS)R=n6#3T1S|09xUYakBt7gCn?} z7kdQMPFo+)H?)sWm)}cQ_CU=j!=Vh(EuJ?$nSoawT?@^kS}| z=&S2^szkpgMj{_7EW5A44}3ut4%LQ?oaJpn?3LU(Do^V%*zHZe4_vRQ=g&!AusQio z^J{h8UX?mdUr&GBZQxiwfRNwY{46|?ncoM!qCPGrEe?KC_!Ka3XE_+^1vD2zYiQ~W zNa#S&6L#E{ntc{QIWY>h*Y2nj>CUQeJmGO<@w4s>r{8o>JKJHXGjwVv#W{Njtur;^ z7O8TqJ|LV6d)~?wB)E+GJopIcXs>%2-igndw6ls#{hllT9LJxtUs9NybCXOdTz9L4 zy<);mZg|!_s()ZdeF|R(K6(^;ALc{27bM7Zi6kE|fTY=iOjk9%Awa(!viO5Xp7`xh zV(TkxT5U%D_@kXiT)>SWW(VgD&fMMRcO}$ocW2d(Yo-0)+_={g!N@$Y_uf@Kpjhs? zIwn~yd*;R`WsnfOuw05MIET@Qhg`8Qx!(6X?CD>$I zfuVEh<~N?$Xfk*^*iw+*5Gyj;U2we>1Z|YK^nz5H(pNiRYtteex~tkYxvZ zDt7gM6aIB2dRTp1$7I{e7vc1o*bWWN4BQ#HLznzcJ{hA~3OgTz+MsiK!|rEu2tuW~ z_29Eue zCF0k#c|BbhlP-dk0lRK3CuJmee~~K!)-Dj=6qYMWw;j8>Eaw<%8s$7WCh`QgH`>~j zntnJTYV9ZzYYeW*^pLkTiMEE{j>+_~DkZx~8HgjUU2tA{6PPXz=*kUj!H=|q+0r^z zEjto5(ac#4(8LlROR8>y*u^xb_6fhwOC3aMC-~Tqkm{G?wcdFG;@PzhP)Yas4O<^7 zP}OE2bk^I|FnZ1;KX9SLk!c@35DjWjxTWJ-ZNvenT1s97AujHuT@t zdCiWBZM_K@JJ{oUH2G9RXZN=VX!py0f^71sJ~l9N^XukWd=Xi5Ou`U9qc@ zdjdL*wp^W6skXwp0YZF#?S0i_|~Zdy8fD=WOi+7MrdMMQQCK z>|((a05M2uXSGI;F$LM-Ji3M_-r^_ZqNlz2n!Yp|DK(y(je}iCz%tc2>%--k3LRE_ z9#G8SU7dQ7bh_bDaev#0Unw(jGp%6|T_ENNk1iMG5&i3^c#%4&3c6`&8*jkh6y}^M zN}qAH;|lvzI%Wb!cQu9VU?TfgSzZPDirvl2K|$W%PKBemt``Y%j`%sx zF6zH=&o5K=9EH1d-poJX5V-=;Cam5a3Br?Js}2D82d?vgWtX- z4tYXAA5(5xcW`fVd|}Nu$F5MH6VH*YOkQ7@L9NAT2CwbR?OczTqhpPduKsM`qX@w9 zh`=}UvA0d2Gk8^^br{9%#qmjZ9l-MaPp@?>2-_UJYbDs7%9`0^#ibbF|dX0 zPTy5@6Z)nu_^EXKu?2D-{)z=f3PWCAn^`)hYf(%0NPBf|It(tE!f@N6W# zP1G}}CE#-|RSqU(?THhRa;AqnKRe(m6dgdlN$+{wZ@%mIKHLqGDsN!lf@OcMw|hb# zSb+e?wRb%tehohBGjen}GsS=AXO~hd6?e9r6aF2)ejL8Fa3n8F>zCAWLcsN~^>dHI zH;`2puz$gq!o_bQ-*`?I^n>}(ALp%E{O8KAxBU^%vEz#dX*NmupN_cm7B9sf^J7zlbwX|dAr7N;GBcBT*52~# zOR{!p7aDns14~o%B(+>%J{FhXNa!=-jHC{zkH?ylcZvdbGoqBgg*A5VknAaDlScG_ zC4=L7pFh_WVpAJRJ`big-M+6E=M^CzZBHa%WuM0^Ov*?J4*EBg!C?c;(S~zbKb|!)v#uV&3}-T~HinH2ws5Od!^g zXIbwU_o&K|9IG$)uj&K;e(2aLikgLU#xwf?s0)R4&xGp0=0>znlpq$yWT#{6EW0oS z@?#8lnLW)4LUkCle`6K>&et;X!s;*hY%RbgT(i4Yg&X=0at$4Jnpi7PG9(_A>OnOa z{i24`L65V)tSr7OJ83)@|Cg?DWwM$HRN((!qBIn0qFZi7w?|~RgmQxF<5&_uM=cdRh z7n+b<<4W!#ds1RG2}QNvBjaPjyi2w}OE6;tR3?Rkgk^f^4O5t7Gou&2Wqgo%hD8VS z7kmF2GbcWvzFY$Hxna(<$BSy&;)wxBeNT`BQf>A ze%VYnE=mz?!6vof-lKit#YXZM-OZsm1#fBl?alX>JR9m=!mdO=z(4+iaC|OYO#CO; zz2(Q1fbyhY{m}~4uc;cU^)rG=ciVceRN>=sKjShK6R+`Ck&SHaIeXf|i~<_Kiz)6Z zxLNd3=TntE@%8TtFT;b!j)mI7Q*PcH5DvIR%(mR(hbMFXt@t6;Q z>GC54hi`Q8=m7m9axfyzu7r)v_< zty@pAGCYrX*UIxtpJ?$g^67*c+6{gj@F)7fX(9#Xc)!MEJagbzdhr&Ey#++)0QIXLW(@03-H8yVdIW`O3u}x*f^gH7CUIiKFtkJ4i^$J$%vA zXe||%0I5M`6*g! zF7bn!r$Ven-zZ;Q^mA5R%s12iDFcpOF3RVjKT_<$?3(Hvvw?evZ#j>jNH=sO49x|j z9&|1oniFnKJPN;~(6&XiNouQYzqyXwwC~&D@7ypdRP*y!k>iK$3$8oQux1N)N7<7= zXK+VLWLek(=+@g4<1OPKQfpzeOiH8!SjWeukyi0&wA2)EpiGxIaEfiq>SqAIIev-? ze>7oMb;32Jur55dE#O>>hkcAWK`QPq6cv!zd;s`YU5=i@@l!IrxC_tfm}B~(OGT~J zkK9(aC7JDXd;WD3Ee+>MOM3_n3;qPhC7@XPqZ~|hP6N7NHx-$XE*zWIr_>1DL^}xW9 z=eq(AZ0E*cgmG9U$zcIH5;|hgwtvIFtkPthXLAZTYz&_gW@r}$b6r9691BJrbB)Cb ziX$OxKbzqw0Xp)|`&SEM!UN}@f%nlI*BZYY}QfSxH25wImU-Nhdr`oXdj|l_6w&9nELm3_;*=xQuoS8yT6__=e8DYZ_PCzp zg65Im<9r%7DM$dDC>!lwPfnJ*fSW{Tiq_<4-JItqPL^&j_dE7O=c5AU^B9r8fUC~i zsOrM=BjUlqtkH76?TUA>8Jb>{$-Rl~p+E77>AQVP>3xO0pd!y3zfc^iF<$BKQz_!8tQVM+7c~Lw@%e7QY)Y9SnkE~mz!9K; zp0%JxDtv(`>^4QNa}jsInqsp#SW-u0?)j(7#`~t zjtgG^p@VyZQ@bK%76sd)e{f44Wh4uRbv;+tdjBypIpfun&uPu_-hSNJ^^~E3UhvKI zFrZ#V5$%M5!D#eBiwmUq*Z6For>B)>Ks@K-hk+uy)zN32=U2^$;#wV+I!uTjTfhy>ZAu|9kYf~n#0hzSc@3~{+S2LlodVj z_}E-q1;a?A-*ZW+07ZsEO~|wlJA*JlbgefMEM~y-tS0n}H{;FP|t8AMnS&)<1sa{ z^p1;L;SFBvVnXLV?89+S@g3r=QmyNeqKl{J#;q8RI2#`4s&c|ecucuw$R3MTNfbxAYB|o8dwDSuZ7w`QDKm=U#RheGFP|g89=l*hV+M7}MRA zchy7XP`{#;qrax)byn65Uy#dUp^=cv)Pw=%lDC?|0II{vcfEleVBOqCgc|<~Q~eWc zVmC>fm^tjIA~aMDN$nl<&!X234>BmB<1ua5>`f*zoi9^$zN)ddPRZZ&c$pbIYmuwz z3@GbsBRF^4D2BZScN*)?Bttg{DBbB9I; zl1K3vRl32&7S{Kek;!Nw){VOisL4N*6J5VhrF4Cf0Ij3V0e&uEjc2pUU&!XbeP#J( z2eNyqJP0!VB5Ls}T|ONI&H+>{TAe%iHP{xs4g2}pgqQiU_vf)! zb24;?YyF(QF1hYt{!Id18&OtZM|29EcJiPwM#FHnJx*SsSvbw7KN)e_B%bbZ1q_X<>_Y0Ma?xp7abHXY2;Ncd zt)~#EL0BS1y*vCS-EOc%{EyM2Ih8sgIPj7VYu?1?&vck#dje^IJefe$v&H<#p2>Ef zYmd!LGy<3X(R#bUqvui|h@O_X-YK3ulU?qUi8Kd(t{{3MsuKb-jY`8}@i6+C&YhK3XIlky;xn8|SX~7=P7}yz~+sH~*t;I$QF}Yap zgr`}j7F$p{s-nRP8^Sn*t>?q#spmSFrbaG&CeAqSPh)i2zQx_hKtZ;#6+P4n{LWnV z?~5e%_}zhWOBfhFR7&DF-1Q%G`qjlHoS2qwau;?$$_K#jAj0DrUaaFJ@pwK!n?X1|NK{DWSd)oSFP*)42oc(lM>JMjpbw4SHb~!<&)nF z+kQun@c+!oEwA@8p1T<^b!0y^NWkm2e~~3zm5H^G$lZR2mU_WlmBB_&Sx zudKgLS=EMMnGa(&%o(Kj{-=na#>&erhD6$hr4ui0Ia#H?+{b<6pp_QfMd6${uCTeJ z0`-qI_fs3(Hv{tgo|^pbnGB3@cnO`fr|1us!e6jc(TAcny{?(PI`p9Yo2OWLbBHg- zVh1Jnd62KY@**DfnP|IfrD-O!LvBB_Q>s;yMz$HQEPbP%%K( z?e3cZytM>AjxnoJias8m1dhIexdcXKogk`1Mi8}dmX!cBN6z&kHn^sm@JJ)ra5yl6 zG#IBip?zgFw5q3rM*tYyKkvlVoDL2UG0S{X74Lq`a48^Y*z~xXl<%>ArsKZR@9sDJ zS4|MwBgX-SxZXIqn?&z8cZk)^84h2i!3_@^B7dCwP2YdPxydlQdbMPjM}5ig#XdkK zj)w;5JJoK^w*O{S3nxlcahdK$qzmOT)<`@TJ^?2H$<}OfomSu)4t_rOc<-rBy_O?S zN696eGsK=##$uR?zDMPtn7LQr;UT#nwxZFsr-;WOaDIv^#6*&K1>((5v4(i_CQfHR z9r_d}XGuKWjWQ=b?#@&SJEdjhoxHEh?7Y3S{(v3;?#E&2M_1K&D1O~G=fb{%ZNh87 zRoPpu0%4q5+cdYSieGS5-C&SuM`jD^74Q}6)eM)wi_?WEZsr9xS7X1QIq5a0*aaqjtHG;iv;`)HlyBx%Ooi+Kj5aL&8 zN2Z%VG7z0>cW|9jiwC+%bDy0(-+FDr`oD@zF?i?;{M+HZZx^n~*+z2Holul-r4p-& z3o*B;7x$+#ZV?LSObp!X@7F}egCkG1o7KA~&z!@_qxa-IsAtOYNg*wK%`yntnFr-E zmWITIgK)5QtZm7;Lh|)4&l794DssM8YI^TU`e+yl7vjgB!@t}`!V~=cAY?8X)0*wB z(^wg{4PWjG$LYlRnG=t9H(vyT>~frri6g<35=JxM{yXTWb} zB7_Amw^-!LsI|EbCv-?IQlfw)lO?%1rLb3E`N%?_v@MR3L8JjvyIDH;9bG^sUk0L@ zS>!~Vl;V%*zl$Ef-hYbTxfZ^+JoGYIPtFRoPWGrVI$aOja9HWjGm<}H?o3^rOG}pzIlwQ!>ta|r&n+_Q@K}6@Y}cY@ zoVqp9HV&!)yM4F{rThXV_}>{?OMvRdUP#W`dXp-R)eMgDlOZeJALqsEhdi*AvKEf-$eCzbtN3 zyW+ms5>>ks#Uh>SH&bTR3$w_sT%^<;C!Ayoteb(#Re~mtF%-XtZTdwxKt_94jMU`F-RcWZ*4G@PM%BhLi zmoy3>-fDcx*X+t~ZtWNrzgz`+yA@cDkMnDDx>BZwl+p2Yb|U1JFOjWxJ38<1(9vFk z6u}U{`0-4^3l#Lfa=2ShzQ%3c>DE)G)U$6eoxM`ONU=K35xphm>kSD%*{p`ZbCO{n zr=#5GcqH8666(COhD|CkmzUJd=rnSSA#HasQO`LPeE~$TJ_qo3^(0y8_ zL?_+zMdlt}2>pPRd9I-G*#i=?voiR>q~CY#9TIFQ7eAuVUgl@DI{|ZAQG8Gs{ax{cc6O?RP_dLN@-3v;=^Ot4i}CbevT`fwVUpZ7ZpKL9)T(Vwa+iHiST8B01A z{M29F+2?35<{z6r0IHwxY&fHTducrBmjtLzvY8UyceABkU&jODZ_fIL6{f2~bnKsF zal@uUl|_nz&{nK~4?M%q`pL_g;%@n~i3q3^weV3_xyBU}B@VzeqUEWRlH(M@M6Bmc zuNICF5%2};>d1!A2}m`53ZK?N&5_)QWYp6 zLDaUy4pgSXgQYeq95BVk0_KhD<5S!(<8GjjrcbO+F^r#`-WStDZ+%>NY9c;P>c z&nC@X?%{7-oDezB-Rofai?U%DVPaDBul+Y9g50GLLas*3c$&^B)lb-9X^I6#l3K7M z%HS@Bs*ZM^!`($c+)U?0O?VmxF;}j^M<%H{nL$?^9xpP`aWR1!#|;4(pbM(T%vHWi!@d_??>jyj^jq_9$DvwMj!SerN7me zhxussx)nFGbATDD@a+?2?%N@`=F%*c_SACsD9}R6q{C$JyX30j(wHfz*9doTt+=5! za`3duU#*)zziz3DvCd&G{Plg;ZxLLZajh7GD!A1*`LRq5y8^2gck)l^fhrGew5uPJ?Bln z-@MiBVmSu_VDyHK%E)aOtfGa)Hg6S`_3vrJTWA*{wcbl(X2zNT-h7u8gS<|nfGYWI zpS*qr36SN7cwe3$`Y?wFdChhgbi|FmzW@~Y=!teJ7nFB(m}ZO`*fznR**t?uycu_p zCmaUpO8U`)5?L5Eg4SP)c$S3N9S^7xsBs%ahB*;#PXruDbk#}Fl8ihtuWINz?XOvj z8MFPmyYU;i5lYEDhj9l?pwXDu{?cdEFD(*wFM4bzF~a(5FB57jUFXEyr@wWojhTY8 z%zcSPeGwr_k1^!(bBW?oOT60LO)-WDO+E?lD*H=xmz@w-X%D=n(uCE?}sWiu*@QPP&sqVu?$5H={N6-5!v zPZR6|MA*eLdaysO-r6sWy&m&ghzxJ&bzrAl|CsLD^9AiZTRNsx z`%$^`xL&l71W^SY6yC%3Rh~rkkP>@fznOlmxo76^W9=(7&sa#Z`k_%wc;p`Rt`^2V zHE?zkdj>hPYnSKT($jwsOux}j!nTRx^4VBVws`zf*yV9Q{~qY&>r0RC@=|KZ%T4nR z*5Ns(wQ){OGx#$dm;Uk@g^B3ZWworowW`lYbaA~Y8<;w~%QHF2S1aic*F|ffpPZDS z!R=CyZm4eBOt#uTR@#jTUAkU;j-AflW?mmVudu?0^^$2TunS^|90Z{lS4_V8L|@#V zf3W+`{F>OgULS~Ge0jZIy-fJ4}HJIuL~)wwW68s&3?Z%>nF_I z{{!^i*4*VbB`obS4x&!)I-zwUFbyt!nl;#NcE#B6{i}xW%HP133Z00m$f%`xlgED7^wT8&S$OtiDc(~ z783@;mv(J@Es;D4n8k^$AK)`%D}nEFRP-w5L4@{6Gp6C+*x3)PTH8)+8@=9bn(^2#i*)VOWPGI9(cDs8-PoidyJoN zzFyyy@>6nn!uXoP<}Ouqlu-xX5R1H9zkrsP@Qs9o^RM{q{6B4FYxirN4f4PvZ9|f2 z&I+qpEWT4Z1Cpf)0|+K=bCf#7xFCuXbe6}-lcPXy6qP6V6E3~`+AdR*QDpH|pE}$w zw{r~%XS8z>4kEdNS+HcH-0znoN_J!IKVizb?U9&Nz!XNOH|w7Tbz)N2l_ub05_fYG zqrT-BF;-wD#FekavxhVCRj9tCuO4C{&S2(NoUx4|Z1z2xT_3l9PcB#-Vk)QCHWl#$u9xEnZzWK&mO=>O&7=>;zC6w=bX3esMN$)N8-p&EsGPO9ODkT zs=42eFcqyWYFnFezI%Th+_F+bL@>qd~G`TG8a46nk@9l*t|_l`df_N3VUnv7uc9w z7e8$g@l5KDT*?#4)ByjtwcI$cs+W(ZV075lx^A3@_`+`VX zR9J!cxr5iH9z=o912GN7$ZzqFYN|yQ!Nmgm^&UESY^Nw~Mh0S3w)B(f zif4HOyKdbLG`VxP$4a6e6Q3D@9*6#E&??4l3%ECK3m-Bc);^Mvi>bp_dmFFPlH^s& z)bwQWQFUN>&6q5(yrhA3y;cqWBO?K2*d=mQO**prPpWkOenH`JNIV+8yHu5V^gFxS zK)Y%$KgMWDGluEeunpXetorS=>oiWb*vIAOD73oKV%yJjDaP!tbYet^P!o*$ zMeApm%iO-c9@>2zDIfH()Hj|@ENFvF^ZR6=#FM3Pw?F=_n5BfK;C@*~2M>M?Rs|UC88vd}~ zUpELp$p85{Pu=d>+@;I-DHOH~s)s-vt(+5n`il}%eF@OMTk|h5YN#b4z;SYi6eN9e zQZ+)nA=hreMvL2wblUUWp3Pk?Z}El^YOrHq%kM{e-j6&$-=mX}-Dg+iaMSuKNa zOdA1|Oh5C6K(L2y^H*@dYkzYHyW|CZfhOrJy?z4l3YQQEi^X}x$=xOfb!#--`U@?~ zb0a#5j2SWDB6!g1QFIoTcO?WQ;`>S(9?}0G?a`iImSR{VI8vnD{Xc^9UtrIz?!MeD zz3wn&cK-)B84EKS(iz|Si@ykLa_CL1AEPTlxdilt>)rfcv4M@lsC7PJbBG`w_IyVO z7aTGB$5cNjguDbbyRCm`6?g~fo#20QtyTodahsYx^2HK4l&~f9A@cfa^SK!V({QP^ zElK2;JK@bEa@NQq(-w|38!@Ek?QrOLcM zutO112k>%Urjz~$o8cY2sw^iF4qo1OChuR4bf4P#Pw#HQR!2!rt$C@`m%^U`y6hb) zH;?K*H;gS6^|O1Hq8C$;iPEVcu6};uZ8@34=5%-2oQ@17L!;!O#=lY0jCn7WyT+_A zO#nMoiQZvF@9x45?a5?*dOl>3?=7Ld1bbyL=XH|IJw*unwsVW59im;f?B&cl3~!5q z7VXaAx4Z^p0@~rKStTrw6{AGCpvorY@%j2?K7jU-{splMl3R_3`gEDy1yqT1eE*p! zpLK%)bL_-?yj8QE;V_dRS6fDDf(qa=C~m_;dd5{d6b`-KDpt)&>w_ zD9AE!S?$a5e*=D>Jfn)UK_~to%Wp1__41Kfh%M{g%wJLc{R#d;YqgSwHMHy64)t1S zy#i!b<5rVti~d>=Y3BAi@R#|FEU9V;5Vi;i!nWU9r6|fBPS6MF;T+F~?0tvMmlqEp zr{EscOXc{ikc}Pa0fC>=b4cc(xOGV4hA1ZA9L0SV5&2y0m{%epVpe*cTl;8=1o0sx z`%zW<8(egpyZPmoS!Uh{<84SAK+Hrf1peA)VqH>fO!puGEtG?l6rrEeqGR`X7L^Y; zx)Q1P4|MIG>veG-jxO?{&Mht`CBBd8(kPtUrwDdq6lZ?1-99y<*m(Djk{nMp5v3)@XqPuE2@QRdH;kBKb>7=6p*hcu`=E&hx4L%$fvW^ChjH zjjgH(F4GnXgx8W>z^)uQ!T;o%hlsB`#FoGLI6{RBUx@RJ^Rw|qf}=e)J=n9zj8*q7 zkz&QdvXr5c7<++8)cl;Eon&O^wO>}3)G}AB!IVsibsAQBMgkWm366y z!sp3BySs@a>SoBMWx43Gfgw{u(7T|na~tc0E(Oju;~sg0FE&UgPIBPa#IMWLBM(3R z@yU6z+oug&V_citPch>A8rSNY&J4-GVKAmI=DCduyc+(>KXmkyFSt(Oye8j_$mk=J z**UGukQW}FMq2sR=E(^Hld!PwUD@S%&-2T(>=RQD(jgeKF1yV9+RahxQ@$|}C%*rU z#~aZa;>5EMN&c0?>-%r80WlJWWw{%_QVgjz-Oaz>i-B?}>R7@TWkcWNq0V1|J{yMl z0Rw6~dP3!nOl$R{{NJiL+f2aHu`=g3U0=PpO_&nALzRKf9-<$pX#7Bi^OkHF*7S73 z@Q5wrI6vE(cG`rO-TvC`@lKag{WpbEC)m{a_NwEBN^-%FLy>Rits%?zgg%4s_AR_k zS<|Qs2r!`Ksu3u5{R(>L(VI<-2bmD6VkUObp)eTPb&E)k zYjdZ{_zsRd*>>Bt|SXXz>>v#oaX&4(o;v?EuoscR~lw~X_OeZ23?lzi+-)j8FB zXw=d?RS=?6;cvT1kOV(yfNjC;I-7;?M{h@Ha!U5go&Ix#)zTcK%r;cgaweW8XCiue z{JETWI)NADR{MP4bLFx1gd@VSk$m6H4E<2O0_9RIzLTn;1Jr!d;Ct!azY z;(Jyy?D7(Nkq6J-k$mIu-=8_6@vl;9liO0IKIEN|j_1^$Vio>PpvMH)PaX&m;gyju zVJAcoJSl!kQkaJwk~7Vw)a!{`iVy`!BuG?71J_f8>^j?AH1hL8a{`ztC&RCo6jFSW zB88Za493b_2vzv;l?$;w&rdljiJ?DWt|v?0oD{#sqjL~SkFBiVYF(3vEj$GyP07N8yD#kyQe9-!+r9R+63VoX z@(QzzxNG%MTif=PU(bi8Y)R8*|2a^#f5R(oDJK&>$n0#?BO)cO2)E_zzvR^qUcZY)YM@=eZ@!qB8U-_5dXyP;Fsq` zAd-9(E=W!Q8uI7oCt}BEjQ)vIoAY&XRbk`y5r6Qq8r@^2Ci>c%Hrro!jCzj(YZ>40 zb@#$gn5C=L*YQhu@7k?jsx=AkyF}2pFT>mQfwm38Z7#L=r|UpV7Tb(5AKIlzGXvhn z0CD!IyX3OK3!0dv8^WJ7J4|5Pfwhff=t9_b*$O4=uT|-!`;~92k{LSC<>4+7=Ge+k z8ANl4>i*Zt<1YKMis?3D?8B~~@yIF^sB9yTEAETA@4KMSOPG*3wN3K0qz)IE(J?)# z>Rzo2VT`AHBhAL`Mh_&hb7BqSw5^?5$Vu)?b2r~FzB`v#N0CCgP2V;)xEJ)|BILmC z^S)93di^yYU#jScBd_%HXzAxX3J>1u+B_@3Z3d2CtGMPP>z%Qnvs?JB*ehx&D9zmC zW8OK<2NOH9UpgGCLzy3S=Q3B6wzWQzR7s8z0H)~q1OK4&k`Tu z9|U!)O>|y{JJIh3I2pDNfWG92jBjYGJRS|lR!j6JYxBcv(Nb%2R?+Y*_?kO#t|7Sl zVO^`w$EWdg6Y;^d*Va<0nnQZHjmv#suQg^J9vqi>%ubb4377~!h|(Mjr@O>xs%>ds zDutfkfXct5QQTq_f{Yen9^+$xY<8u?Szyfg+$80YeM&^gMZ7-?$1;yG_5ardkfk%- zv%E{BzM>ucj{F{#9x)lNw$E3z+y3o^A#h*bAf_d&yLLBw74}c9Oq4*FF0komZNQb; z*^SJG+PcUSpzl*}rDs!8D$8<9Jl} zr1ZAe=bc_eYs;rao4V>(n)Do}=m4<;0yX?b?c12eJNo8qv*$0cKJUMmY3*f{Ltp>X z%&b;#%&y>QbbR!)$C*_lPC0;K!pB>K;^Y48t-o-qvPbFWTlG;!Ug+EU-sxXU0kXc@ znQN*+NfmkRSvFY9FV-GEb)#>PAi`SgeM{P>5k87$Bg$`VXM_7U+NP5M>n}77^fS*G zPXL9)Z^=o7%NEL4nFM~`?!{^t_3yiy&*f@tU0aPRXf+}9C99BRZMVn)OK1F#N}e{} zRQPJ2ej>yjjk-y+4ETG#J@wP~2ZlXN9xaW?DQ9YKz8_$Myfvw`d+=tcFn0dU9e*f zs!BsI7)#V{lOx@DLQeIajaWupO!Ka<;EK#e6^nDpBuABwgyzg?R}9GDy5m1-SEVl) z1^<6Kw)6JY2tGy-*XHZ^$2Jt&)BgaWJ4XI6ZlujE|GteD&s!gpsBmdAJB+N#oPIYq z;Oj5Oao5L+erXMKP*B@3k0wgHLIhW|#geA=n#aP>bwU>{=WB&a6im^FcBDU6p$Uso ze5|gVacA4jzp3<-fD6A%Jj2PhHYvMlc3Eeq!=rrSOxc9byS*u+qW9-OkM6dQX^aPN zxGxuHMJ3Q^M&T_t1|AaVLhCuYpsr8q%Nq%9R`-|kEme0%!!ec$;XRZie_VEXwqlKm z$LaQGp;H1)eSV1o3G62BecyvqNvhcBycisi>FLvu-m-(fiZNPgC>*Lc5z~IKRQ$bu z)cCWP!IPlyv0gU`YQhbVy(8CY$%5A;F4Xzp-|KJR|2Fxm*6%p%rD!IS4ak3t4e0L)niY!Kd6sScE9ZWRk=bI6eTDV{(PTR z@=&3v)n+wg9=0tXbOrwx24mvhHtbk-H=n1x1DBnpWP`o1i*i8$TEoPa)_%=h448;T zk5rlXa&Ahx`v`;x?JL}+qxvW?(3QFP2Xj3^AI6-=*xKToU}XqH6mMT$=Yf|b%-Wyn z1-`ieE5-MR8TkE%$tXIpp`6Qp8g*HHv`fDI@EsU%Pv{As#Nnk1!scbcy#r8!W+2Ja z!p&j8;jWhOxO~i;A(pmfpX1WnZB=4*9&nKMal9R9R%?g6-gh4@Mu}oYy&LBawDr5& z7C~#RYNkMSA76ZYaw89_&9fIGBq+_tZkNXCfvA6ji54-3cg=(ocd2ei5WZIqEB z?iN~J($^Cb%qeq=DEhx0uVH_UfppKl_+BCiAaDPpNJxMt8LJ3K&Ls%R;$)`lT*qGC zcy^!8%JW#(8BVW5-&=K`@5jgA#}1{^QE4xt39axF-bL(eruU)oigBkI<9uXd%Mj^I z%ZkHP1&Kn(cM{(Ywx@;3{m_e?U8g;j=n8d@ltA?lrM@sP&VqDy$yf($-7_(pn&0ec zJXWUeg^|$&wAeX*UAWiW%|x)&>Q}KC*!UI$+A%Q7n_Vp%LkqJTSoi6J2U! z_B=`IXLyv`>Pq(3i}K>@$WQD%muAFo*xS%Lab)KmcqHkT9W_N`eI>%geHI}zmlHXw zYnlY*t{dJbagP>M;R^29g`eAa4nq36DTmN={EeZdLpQcM+XDF8?y4?bs>oKulU^gl z4?lYX`Ctz8jx%KNT@GVmNGDI3SY_|EBsWlcz}8!R!e<>y?34qK?V!fC*W zzPQui_}_2dFFNFS$Moal)VryDlS|{kVp;76wa|Sn>G;*jpdKs}=@JDB=y*2p%&(M6G zfeKc|TnGmiPv=|9y|}n{PyNM3ObY=UNEkjn| zw7+lKA&II;QG(8bA&NeFTdy<~eN+=_D!%gdTdX$anbzqt{ofXv**~Wk{Pq)X0!4WU zDtOE$Q5%Mvuon_ovsoCzEDf;}fKWHS>+3{-!L!0N?lqi{oS80tMyORDMcL8uAX4-*jVXGL>cK^@*A zZ7%KJe`=N9|6}@`-;Pw$L+t1}*+}!pW$0|?(JY>eOm3R#B_5E^Vedp^ulzR#tMfc^ z5lu$@_=gQnZ7&hg<#oHzJX27`hsY1|U7|txc50aT*{&&qXj$&)-QOi%gQ}GX@Xy!u z^N@}~uet<*io7(n3?q*(CH-o9_f{2&qBpm4^Ulcm7~VrA6%Ii(s?(qCK=-bKU<3F5 zYls+B?E!Du`?75ZX;=5J!m^tJNb;<#l{`m2gb?{lm}I5yP!%wQhz8X=ttj#=>& zPR4H?&Y>CTG6AckVf6QVx#g48j#mN|S4W^VJ(XL&_Us>l_Jk-j)1#iHRrY3Vc@^{Q zkSru=(Uf3E>~A_D(f$RHwEJRE-7mqr$NkoxIDBqPivA;@GK#fZ&C^BZWC zmI-?HqlaNeml|hzDR$}r>p_%*Ls(*-oV`O>Wu6>+JFKGSU|h+Cf^6aybvdZ?+Dy`O z#udO~cD@`0^xu>7qXZbIU=Nahy|?NTr^c3-!q-|HCjg&DYyZOfos8|f{?O*JMwaUa z3QSD1Q2>b&u-zOn$!mwj|Mi-ZAc<)L685ifgEbwqxNeKoANJV<2K;U6eB87+K#e)Asx(sJrO^KRtv)*{?|A3KRf_UVQ{1MtFFT1d*;>r^ zG(vD$&Ngf((+%W*HneR0(agS!B+JM%RHnj0i#1<#&=fqIE&LD^&+|rUN%=4*#_w9+ z)rcn5wSt~wDirRuAnFwj2(jj-QGglp1;+ec0w75DIsWLjFgvFOSZ)Ga}3})VFCQ%QbMw8wY>1C~uOT`T5@FRMF zlG#bU(oig%0?WqIkW3Cz!2qbOZfnM1#fR(;dCjgHS2-W$gHOgRJmUl*F*MAdWGj@bmi^3bH$nw^Ln zENeDOJ|82`us>AfWPe-T!viKs6Z#887r zY$$`_h>;snSac)%AT@rq4KI7_Pdy}NO&^qK!VF%8FpNR|->G^pzG%6ke)<{95rCBa z($PN`sTuNJ&snt2-Os|ZLm5M}>2p<|@G*kk@)M>TMLNP}N6)&A0uJrt{B9!+;{2?L zyxn@|D6Q=2G4etEBecAz?=?gc7de9t$FMBH)A*@;QEJ^*4e zp1%+1Zfge^eECyHKTq9_gmlE&E9a zSUw&X+2Y62o;~kOx<6q&M3!r#Upn*@EEwCw@(CY~P3(T{pn5J1oki41lw+dpR`t%i z`*&HuF1CeE(rFz~?r8cp+x3RVC_OU-SXUZWK1=++LW~JJBRa5j8eIyZKstP_%=rZH$bPmKn$quhRi6Q<`-Fz1H&CVFIVE6pyW!b&a zEbH;JAlFG@UbEXYh>VLI$=HXCw;U904ib#^|Tasjd?aPEv6e0VT zEg`(dI%bAa_O-Hx8OoCEWEsoIYt1%r|MlU8)&$uNzxJ89?5-`yhhs!aTZS8pTklj7bXD&}U~@Dz z&h)$dzK_hnPC1Q)n7-dZltJ4y42EgYuCrX)dq=YcFlg=bB+HRacr?2fWW`1$oK=B7KYt{ftezN05OD1X z0SThB4xS&)&PZ^2%4)b?3*P_J@4LmEc+?e;WNU>|qO2aOvTw#X(f?OiVtp2tFie_O z&zG8;@9#M~lD@NksI6lCq5=kxHO#7a4vOq`8(Q2b#Dmdpo z#(el1t%)zVcI|B60^?cE29tL!$Hb-TQ|GU~lyq$YVDE&YLKzwStisaj#Rjs4yi2~# zxJgZnkg3*v1t?nO!slk2uwS)Dh_;I&6l&WG#-(9ZOVk?UxvU^$-!R*_#>X>Ez%T3M zFXqc+aaUR){5|1&YfFLMPbLNRxB{X=C|8sNvj@dSW<$Fhz70eGHTt~t^PY8IbVbcV z!@RSW7K*U!Dvvh`v1--iTT^=V2WVU-(J=~FE?*RYp5MyzZ1a`DdK3S?haC6f@fyR1VKtXW!tnk*je+Hyd zu}3>N*CpoJ7JP_GZ%t$(5%yy*D?CxZ+v`D3db`^bC^*UMY3mcwdm~G-r+H8DLXUuZ zM?*sqq2x6!__6Vs-=VPffi@)r^B>T^{dxfQ6B=_PG6jNLPf6hs%ria}o+nQk!jM9J zt%`>XH`*lK^AP&Nsf5xhFiZjEG~%CR&HzqIr7I6E6E2`qUOWM;;<_I5uy1z>f;8*l$Ln#5LxzuQ)vH4iS zrVrbrkR%a?ZB!(CMpSteIo5xc10?)x3M6=;`)wGqrQd~{^7lRE7Sgbay7CK-wUN*b zEJFj32#&ieV|h>~11b)mqclfI1ZiRQ{0Yv`OvHX%#-3C$_#PwBIp5Yj!`HPI@u1@*7cOM;5LiwX;s!hFdo zc$g%)xlh`F-`xoHdS*|F9ZyHn4xe08b7q`;vvV5c9qGMpzkLZp{m+!5?&>tbsXO_l z1wGaIsHxBlQ*qDFK2D7a%NAylgxiOjCALCfcxXm!ndNR-o-B@Lx%-Pb3S=^vMuTW) zq|rtQJX%wpGo-S&bM`~QDqwUWQ3E1fNVEtyUXCtSwf<&5-#kjGtR!M){KqnoDC*&W z9}aa5I%(moQ@%n=jAni(JLY;vp%x@U2^q;Jfm-|9iOxu|#m`B#hAms{~JTH za813WoHQ*Kby6MpZiL}Vs`U$e^4O)^~!R_Pg{{cI9G$aUUSRjX|-tf|{Q@W;MFDDT% z@@3r|*ybQSiwe7O->u9v$UMDK8CuU9(HdzV$6|kuAVhM-pKB~tlf&x4-3GI;b+7g; zA8yzBw=$vH1%Rz#Hfkam;{uO4Dqiv&4y0bvJ&C5jVc7I58y;W!XkzD8mSh>Fdb@s& zx9`|zzx!3+p;)oa3EN!GSXxIKV1@iT!Ntpyns^W}_~Tu?XL9yRIxh!-Z)cGMz=m~- zJPHe7RvW4P6#>GyR^$c5(>7R;Z3f6`02lIFpqH8QgxvPfpvnE%qE8!PZbg~-k{*WE z4E>NtY#jqwfK%^aT*$-bwczrl(TMo7QD=L8JXG^R%j36O@~-xm$X9AzqO^W8VM}za2amrDaQnhZ z!eJTj$?r(wVHxHoTW_o-_k&nu-{Sa)htU^gH%j5H^}h6v9-=Bvy6d0BK347@vcbNYpA(?y2T zB6To3XS^pn=l}BnDr~pP*lnfuysTRk8K&-K_q&>tJvRNr{_Dor(SHLHOD&2LvdL*m z-96u#PmLu8nw;fss-(3C$olj-iUc?Tdc}h*K9jN=ffZwJy|O5Yn)sQyU(QQo{kZiT zgeZ$}$0ZNj0b?2MJ^ezy7210@Y1UE@V;> zGV-);7yo@|25#9BZ(2SfXY&<;a-Sj5Pda*D{Cv#alGdSG4M-#G{{hp^o6|*|AND)<<3ulN+9Z&5 z`~Y7wD1T!>#~g>Ud(JBzqmjr=h|pA43%rSm5WPiiJxzPW<`S2-!Lf8;{ zT`KyD(2DV%@3Jc(larx#$!gW}kSqjCFtk+E`EcOoU+U;Jop)G)X(Uh7E7GI6V1PQ1uI*pOw<#yC9@k)BNFwHaC6r=3`Bl66*^jFVCoj>pk@U-=Y(7*(EIzohGb)&vlIiY@S#UmHP1C$zbSPrI#E-6<0o5? zLo*X9P=j=S$K^6tK-Nxz*@;gq>u)}jO9z~Deo7j2%P zHsR{%LBC*YEV(?I{*ROKkEtsz)y3%~o@4#J7+Nk6OsrM@a$FUY){v%j5EvomHt5@4 zGxd+to0o6fGtECk1d)+~pdVtgYQ0v+pdX1gdHalQ5EwU*3pmLQELPrsb{IQ65zpqJ-2f68X+lWLNUOc67*a>6QQc zc{abEhziOSJMy#!YskcVrp*3vjjqc(bxI9!X;>(WhR7AuJRnIcQL@RuxSW&*=1(`B zSdqJIn8>fFMz4LD^l1OwPPC|3g5@$uj|)y{O$ zD(&J_bAaKIpAm;dwmHwPKriDJUBuL)k6Ojbx~p zoaVERWq~MbJD#9(HOHH=Qw*L*wASYwCU*MrAGr0oYc|-4k-e?W055kS5qR-#La$xo z4qFF1Fzs35Hs+43M84Ze#diIdR!v6Wqo?g=Q29HPK;7zxk0>G9_g~)m*hdN9DkU)B zzlW5hKXRGEiDO=5o<|6pd(gM$$ITD|2pbI<7wQE`+0le>?R_^-yZnpf1y@Q zmjJ>6)%XOjwn*DjxTAaxHv~J6w5M{S5JY35#C%5ZzTfxCxW`#7i*c)13$eH|LA(6L zmGfm9uY;yo8dYk26tlPV!m3)z-}(X2`M0LGx~r~jeCV#a@~;j!M6Q;FiGfuzI0xMd zv%nsf31;k%)d5KLS~8&CPm98-!Z;y&nFnXjf7_}3)^Q``2enDHFKO94049?LdM^EQ z^}sO`XI0Ls#OXX&Vs^ZcJ*y_T{=N%H0)=W~lQoBj3GKDHkoi5$O zWgsYpI(NjWAVENHsLiZIXv-eS#Kn7g!dW4vgR|^a?>GOD?+$o$_b+Qi)S{SsUA24N zwoY{N42P+s6;1r*&@RPnI$IKtUT|?Mxu*QQPpeKIPT7PYZQg8_Oq1$bZ~d^?A8TR$Ghbnvo#P}=W|oq5qdsh1wx#qT`rMN>YJW^xUv(6t-@ zqkaV5<1jR%YbWeK>oyanqfn3ZD;P6IxsP)32IT#!-^J60DzaG0(gzKzY znnChNP8;6}Ta%CBXq{D)ji*IL$#!zH{@B{cO3O-*0iHbAZ24up&9oi{A9Up}zDDg* z$EfWuS(1)lsj8qI2)&|o@nQ#)(ru|&XC9JAd3R^5W~^q@drd=rQ>xp2$S{u@Wgs_D z_V9Ku$8xl)(ByBSwc&`TNuO^R%BLi#@=ySMszwh3KYkmq9UTqEqk~mLcU3fqNl%8V zc0;4K{1S01{_1TgC;N*m$s|K)DE$INFfPz3o0$(8-W%VI_q}4jktLH zesKRPAy~{=3oR5u=+&)-TbDp*A0ad2P!~aLAQ|#2QJ4sMK>oUGwI+E$gt^ulX0AB< zSFN=m7=NP!!LOJ+zZeKN%WFy{k+*qz9J3_#haRr?X)>-)I%x~`7Wc4+*aiPWAy=H&D1+wvy z(2MC{mnp8p0+=R5@I$23-1R;Cq*Bv$gHEzYNb<9YtVvQ-rCtjn%J<>M|4xX!$8GN* zhCWct3HPZ}r%q17P6uV+eE2P|_4zkc4yV!|Mc(up_lqoQ#55#4$hdJogAnu5MIk_hd+Aj1rzodTzs}GdO zF>wG=+zAmQ2touA;c1e1`IGg9v0#rf#A&i5>!E-K*XBcKm?ekcZ~q$VmfP8lp)<2P zx)iS+J{Vm*HNxvl=i7wz#tbRhbyagC+MSSmqc*db-Fw6S%Z4u93I+g!yLyIzPUgnh zn?~pMkEiXTiVv7C7$o4gD;)Y<>Qztw8s;Oxzt?mjyG4YKcwF4JCNikZLO~Y;PTO`} z&>(+HEpCd#9t4{sgIQw4e4~qteI?AT`0qQmaegdj7dO->SDD4;NWZVqy0P(9Mm=-Q zrSQbb>&V39uaKK0tI5pKqyndai|ic-lu2eeR$t?SloA+gQyp(tWrnt07-ui+oLaST zc-j|sU~Zheq8u=oo0VD7Gd;~ku26LI0Dmf0$d8-otMl`NSl6x2#fQ(Dc;8&wN#3^l zmCStf-6~5x(K6(369A4Ob33t>9EMrWOV*?v5Ek~WS^bK>gG4xELgeqCg5DPY+SOxF z9?>ALWjV_G5e_O#wY<4-<-A$7%Zt|eFmQlX)2xM<*i@+mW;ohRJ>Gkxx#xYUrenOL zw4+MR&5@vBzY4j=T;pe}BTdd?X{vELOs;K|?UxjMwIJ`iq(ex8Nr9dhNgd2IdFV33 zrqXq_T_xV_foGfD^B{P3=Y*l2A-$lrS&;X}H@LCD(-s`&08o$fX+SG!wW^L+q0q|) z7M;S@KQ=zu41gagdlI}^#%*I+l@+nx9wminb=T*RW$*pyB$PMXwoKCHr7BLx=7xvt z3EbO7(8y&QmAmnZuXHqA1RRYKGTh?E2&ycI~M5*AyWi`(AUYjo>wnL#l2d zZ{f_30B%Q)%H=0U9}f$7*Qy>9B>Fu?!YFMt|z5A9C*3GVI^0t9zk+zIX;+}+(FxC9LrB)GdR?(Xig1P#t&`;q&8o*&;i z@4xpwd(O;schyx_Ro8Ua%$&u6f`Y0-hJHUnLD{_jINr~i<}R+*jt&%T9L#LYtQ2DI z)^=tTY~1V=Y^*Ho94zdtY#bD7auizTCKQrp)^6{jDJ)q3LCDVeFVYLbf13X}#Q*wl zpBMC*KSH*FJ zu*1^xJU+$e7peCLhSt969lkY<_zw)8>NSn&4|3}rUCZ}!zKS(2p2w-po^6otb8@K6 z3l$p`g^jAKUE>Ch(QIn!c2OH`k(gITiA8SOHH{C>B1H%%5Grg%1VxGQJMDbEm8OFx~BkAgHr!9>z&iT6VvN7hn1`lIa0greMSH{Iv%T z5Qc1q(XNu?M%zZEwkpjt2ZngkY)%FD=f^RH&%Qs1I|>S$_lfooD_KlUcK*IGFL5>9CtQJKX(V+8z*SGF%QlY@X=qR z!>l=QyAgx~v6Am%0MaicQk(mdkS~qo>DiK?X`V@bbW7m`{n@*QA6xFKU)G9myI7*( z9hi$fr}o4S_2tlcGe6%d+b>Bc5KwR7j_Lkc@%5RvSvc5|Tjt9js`2=wI~gG5*ceEt zS6G_!@qEDCwstM^N5Db_&xLR$kE8xfh2*J?be&g{fJe-hptn|yZrsYJLdcQ={dCxk zt~B91-hlPj3t?`puz1aMrHens0id>S9>>aCWk+|;Gs~{g&A^7OPUmfYwzeIFpO;yM zmVM3*c3hcCZPRsuT)sDY??HJ1JC40YbA9=NW7Ku%S#LZlD>rTQn@1@grNdQT|80Bc zHuqyU0Z_6mH41YIzh-;MyT`KShwdneqTIs`?`ZgsH+Ka`T_HD~r1r06y0_g{`l2wc zfv)}Z*a7@@KeOnNnyh!X=%s46^?tOL8pVe$Sms+!*|}M_v5^4EWN&uQ!C;^7b}e6eb*37*7OfoJ+&05 z#&1w11R_s$E%&J!GW|`C;6b?sAU`EoCOsm35~!3wgmmA3X*QZ#U|wQ;f>3Rn!_)5y ze33b-y}^)Gb%i(SYj9lbllxTn075mf5JA(ojV&(|S$AvzP`RCWW4uMxaU^~^Jd`;@O6H3b_QBGziIZ;F?w;oZR4oh!)Yiv11fp*RI{g5vjYvY z(OIU18K*3nri7WMEE%VS>8GS|K;-zK27C~NaSHT#N|<4)>Kwu}1^Ti>jt6qZ1wq&< zY8M@JH@l8OV3F&S5(da2mC z@i4~bge27YqwKYf%3YD`guH${z~H*Vm!F~J%|!pulCDO*&GzojE2+vAW#+td{D{ua zdw7iAkxZGe;bkcm_0PHn$ra^wcTMLv^B385EvXlGx5K|5_0*lUNfJ^$xc!HoOc|#Q zx(&?Z&84-bIxKHs5DqiQsVId@DpdLGH=R?d@$}m2?-@@u!L3g(7O$j?(_egy52Kr! ztFzZImo4{Uc`7UGK=-L2OQVkKvngkP!)Qybv;U$9D31p3OwvjXs#5zJG)TH`SS~v0b%l;POjc^`B`0kDqMG~ zhri`xMCyF=DvdaZX|t2u+co&CXc@5wG8u(J*_39UwG3Fc4yspD`QXHTh;YPCxwi3FQ2NXi?`Qm zT_B3IZTWoNAibVrHjq{`dj{W4T~;|sRXK{n$=9OxzB^Klh*f zTwfwmJulaR^-BVUjGh~K%a+5`yhB@?t=F&9TID2bxDV66T^!r>Z%w8?Ceh6 z?C;qeMra>Sp|x^MYwQh3ltoa!lNh zo~`~|zC<>OEHYuTjoQ_FC0cadlqhL;%982AhxXM_8X@YVenw7>`cRm1NS2 zGxoq?_8S)60H&JFi<25;?Md z=mhk!6_NKv&F6h->YR{1FTr5y13OLam$^>V6|VDNWh-6Bv*m#M#!*^ynb-*| zC;Q>gB?>Dh^=!$uhB>Al{we$&nF$Ah0g#QCJ4dp-?%Tdh@U=Xd+TYc4ad~=heYcQs z;87&@4T9pyn3DcYHjE{s;kT`1b6LP)y}-4aqYovdw|*1s`SvCe49ol%8|&H>;Lp14 zy!ee&*za@W3tHq>dGw|wm%;H9zEP`qfl zE>y2rxg)>T_80ElsI$AmKYh7g*9rq#+O7^FIIimA~Ru03m1b?ZCeT&+k5Cj^mqaHOnYd_;a`Ggut2Q3N3gDVI%Ef zB%kQN)Pt#c+(irGv2|NpR1nHgm%L9*k zS+tyu2{}aq&iHr^Qj~m{gim4_Zvsi|US03b?ZX3Uw$0M|Z^&PdwZQbI57X${x9MGc8_loiftd}jg{C~VdA`M~NbAc1{cFr^woO8pBsYDo7BIO`vRgM(s1?=C zj~*3oFN=&?uWuSZZ=B}&EYd0zFmIB#1yADrnx;V4`^;T@kkRu5{HMw;T|K&7M_J(I z5C8RLfA`UQ*P7KT|D{5Frd#iwU3%>1z4k;uFg4jk2Q&GS^MU8(B;*Ex*pzzQgVI|8 zwETYJL+uuTJVZk#x?Oszz;l6d&)#)0jw8!PM|Up;$kh|lXuj1m-gVnL!t%h=UduxP zdoRbgd!3Ra{9JF};F|_tyY2VH&l6IrH7!0!aCDa5dfU{xZXpBmb^i&nL;~cy?psb3 zzGXa|<+=;H+#7&3p9Ay+p4*^n%x9*=j0t; z2Ans)bX@|C{wA@BxIE4&`F!y@=oPru0C_P6`s;fFXZ%?-Uqkt!n6AIsFCY0DJ(vW5 zOUJJ@AXazK8*y>4>lY(6f=`1lzI0pJmu?RFAhiH*PvH266ycZADsbn|w-$!+xJ6Tk zcIs`5P@e1k>x-8IKEu*Ik=$dB%fsaZSKy5*a5yyms1Q)^zSh8l>uw92NpPXuEwPz3j}MH&SYVk05Y}=XRQr;7e%v`+|VI-AyfQy`Tr~L~sX{ z07xHpBk_%X2DVSigONP-(`vx>jJA8|=POKYMp~_V0N2oF6Cfq{Kr((hfj4LPKvo*W z`1WT{ERTzBB`Z;I>0ykF?|o?n1@`OGf|oU)tpTSlT8HQxe3TG7PexnA0K8b|_o{Kq z@vj4UEL`$7-*x4^I3C3NnVvXr4X`_c9RqmzB&Y|XY3p)ti?X1ZX55-^^9+;UsveaP`b`I7qAn}*`fB1onGwJL-C_Y z*!9~Vqz?zYm!_J0{EUw>fW7naYtVh`C-Sz@nrj}#*2dELQ?!O=d9r!$RK7Co8;w!Z z`nRT}IP%eS!@Ux4GNWM*x`3rvz`9~OPW^q?+*q<-PTnh3x7S42%ScRMZa4QcCfwBO zO6R!j^^F67aayU3di#7-?M47}%$Uy5IVEhdu|*!;`mJoBUWt^jHVmetG>MmrXP7i!0BLQZ00XcZ#TaOlU^!$ z6s-eqL$KFM?7YRE-wG3$%r<>(l~nMPrC(iRs$v3D!S4G?qmb(bN^r*ZD`%k1t3Q%X zA$QArEUuiN#jNo9!F>^$X$ReqIlgo0KT74Wy={t>QIBTG0{m zxHZ4#`SbYz2IR1X8%hI~b$wy)e%RW->DuCB?0(hoMX&!==lr>?*y(+yyr0<^_LQ$n zn%hcW^K)<3Y9MDD_rgFoO-kF{7^b*`)C#($OW=+7d0vfgz_SmrPIkId^NskZ0sVca z>jWE#32*v)5rTDTH_(-Oz?SX!H&@|S_}>G?0mjcr+`K$8BJ&pmKF|;oUz*GYryK-kKmYLSLPnn=7dR>bW8a(`3`|S2=#q@qJoZY0 zl|JbwbvG?|gYVJ5GB^b^b=ij|53fCoBCj`7dp{53H*Rz8X5Y76@OHeOk>{u^ETeV2 zt6us*YF}z|0#dhAF7vjZM!mx;F&*{%X%bcgNH3`+-n@ieFlQ6nh*JxFe zJp8EsW`3%Ey(nc+XEQATE?4sN#M%z6(%uL(c!{T9i$7q~S&^;3X|m7pbQS3I|I=(j zLLN9HmikRCttK|te``!siA6{7RC_&wP!{-XfUL=!;Tt~oaePW`P^SXtA0s(UduneK zOW7k>=k=Rl_Y%@m5-(@_bO2c_p!8$&g1px~?^9jgc@ql=A0^P&@gQBua|>&vM))uk zE(vm0rgJ3mHdDCF6#G)Grp0v4IGuZl-x}aFP=`#h?OCC{~Td+Wb>Pen%t0+1Vhv55g=< zhs%1{=xadf8^s;_koTW0eaYX;_=#TRZLgUTt+6ursGW(~WBs$fGRuG~|;(5Os7?iRKV`VDzoy5jeBgN}W+WFEZ?FNdpeGdQ~N_X=`zRsRrY7 zCyo_qa8jivH&TkLVreZse4$>|*`XLV)tNbwVXRjZyY3v`8kkB-v;-vwhi>)No44>S zC}GRPj*Khyddo@xc5QE!>BpAU3m$QRe~QY_*loL?8a#yEcie@|f@U>c=m#M-Vs|9d zk+qTKbg9c7uFQ)gCET5JE{{(FIg zohE6f=ccZNjp`OS$gDp@ld;DO<#f{UFY(8C zk!Z5zx1sws!B$a-p$v$huIdoTqY*t(sXj(gePmguH=CFiGn2^}K-iko`oMbo8Nd(L zPDn7sT#BP)jrAfemRf~FEYW$yFpwBXMNy;jQG2=uVx8epJ858&zZI)UDBL|4-58mE z*nx8F(H=x?`LtJFc1Uf468210C#Kx0PrHFZ0D}Nqj0Yu>E1((mh;4IlGmsbtyQF~Y zYbW8v7X?Tm03;=dKPpS06ryf~Xt&CeULH_gdnaLBQ{CGDw5T@lc*-Oq94ORBPzsy+ zLSov|4A|WD(vIsKMxgDIIvtRq!jQ1GsIGqWRvj0kbS5X*f?Jc&wOs_sso(>GX?w1s z!0j?)SWaKlqI~UgPiQ3Zr9+m3R0Xcq5vx@NWY5iH8nHdgLkH`+#48@#GiXM6iO1>K zaga6tvZ3%bO}SXc#sPk(*ss;Kq1H!D z*&7!%UDk_}Oub=Bwa`Yel(i(+A=Jj(D?|;6;WSbg4qa2}4rd!y_Ve$2x&FL3k?%uP z>3^*{OXT{E?D>Bjsl#%X_=N5&i?Q9Qmz5AD=AJ-r8D@u!-D|$L@^cv`%jW)S-H0HS zyKtAK;mmF3@k}2f6Gp?IUa(A-*_?Bt2Es0=74vBzm1iNqe=eQNE0vx=%lPM=tj^4)Q7IEGcjMr-Gwyt(Y28eiZ!gf~#w)OjSw4w#52TUT87sR^52d z-gIb6Qq~=Lhz&liEQSWB71Q-0Na}$!LgtiRB{k*H5+W@*F=k#*h*MBYj#=YtTqA2* z;}=!0FtZZDK_T^uq05eV6kV30UDAHU-56J0t?`*)T)Ihd;2SHx1Kz_j*Ro`}UWZD; z_0kZ7uaoHc64$(MB4?Bw8u|~39EFpwvk|A%Cmu&6fnW?c;=jKbkl<#QaaC%e$)jO@ zh9~S5rX*N3wIjM$G&-^)P-ero{f@|$M8s+Aw^ES#w&@kc?aXU!<{jkT=#6~Zn(Cm2MSk4ad{nrG``0@u%TwqHv24|q?nb+Otn=Z?;v~;Vivn*pF9g(kksZG3jP*1nY>wtry=kiT|#HGoOC># zwM)hUHQqFe0fSDmDzUE}Pr`QMj^ae1+}aASz#WTx_LB}enEg|!?f^*A#elqNOtRE= zRr^T8oXPOVmh=xve~GG4DpW3lM|LEX0Hi->cEY&5kI z%uGJTHu|4ksbIy<^FAg$`UK%&EfB%+i_$oT{~GU$hM+P%H?qoDC@eV{a30YD(@a0$ z4yk(SR@O4h<1ADGOR=I;^>$}5?46k?kWQKL#hNK3N-~(mHkr${(43-{IrSqer@|M@ zU6A3e&TA!s{?X)r!iC1Ss_9vY=p~2-Ysa{E?{en~ah9%dyQZkpxwY)Cc z_U0V4$zj#fD!5$`nWJ-pXP=(kF8P7GWPwRnSRGQa#o`{51V-QB3iXH~U{h2l@8KPtLgGFxZP0jgpFAweK@t#Djr8jT>_KImMp-ww`J?lIPx^;Z04dW{aB-zoxV zCht|agmG~a7Q7gcEQ8#CYd1skob6ci|v%GMl ze1b>a1)a?m3h0uxjp3eCYte4@no?~UQS7D5)1zK2Jki6ged2B(@JOF#UF@TdTMl ztvn2Wiui!EVOZm^O$#g4cnR}x%-IIX(S`7dwEgo*wdHu&XH!{`XV!`%d#;3d_2V&E zwI#}FXW_x9|2iU@dA#^I+Kk(ex4+;`GVqXU(Y}TW4_fHcb+AY&F$$&X_7C%^yKaGv z83g>EUl(-5x(FvA53#83zREUNU!~1x z_jK$E1VL}N7|}->_?O5~?%i@b2yqMEbKy~ylFGsoP?bGJ7~WAT6x>CtVD`&4s{QB# zdPb<|%!gyWk}I8L%?2**e#WUv#VEUM;+TAW74on`C>|ODJ+X_Yh1r=envlG!Nq?>l{#Kk4)>Gv1cn#+fX-{PeRBila`Y?|KdyrRDq ze#J<^b?tgHa59;?{=_J3vnRwoFm(W3M^%Ci%`CQHXtkF8x>8010}DiRx;k3crt10-jJq!rxM4?pQRAmB7-6Mom13RD$}`GM zu+FSc_Kqu&GciX4ko;|UW3-q3qJ@Ol9U%-{+ua>5dSkg>42w8SD7ChJa4GRRr7CB$ zSdmp&gp7(}rCcZ*yn^hOsW>!M0`u2jB5-|XhUTKZ69kAVkutEydE$L?jsSM}cw|}TaVarFh(i}zqlEW!m*}Ljuc!$6a?t3+Y+&8yyZKP+#j~$)MAstY=oUBTP zf=kB8&serz1qx1xstanWHREhBQ(ZLSC2Z=rFdSo8aI*-_Ox3u8>SfO&aP@GML_5r4 zoFfKdpAlBhCp&0nR9MxT?7sgjB`;<@5vM;Bpv^uDFZAm6PMcI)()fJ^V|>5nLTpK! z3nkh3joZ=c=0{igB^R}E!5^x!NHQqHF$8Wg{c-8d!xF-H@tbP<+j!Ac$H?tU1kj^pAdcq^Hq>Q_8p8Tciu8lgq!c}c5ySV0~f1nuu(rCLQ!LBlHF z1TxrF0=HIBp*;Gi%V1jKP@2c$Pbx=Xb z(c}p&9x+^&DKbQ`8o<(-mHdIH^?2))a5x!2J2MLtu}7fQVlJ%%0qH46E!XcQTQo9XqHiB=nZNyfp)-poxXV~0pgNd%XT#V20*9^xP?UJ7|5?Lwg zMoI66z=^ySjx19OF-N=&BNB0?tzUVP&Qjg5t@UhUq!LbrD4gNPLEAAzR1nAh>crOkAZlaNZ=6AS) zo6vi3=z740aH=3nM|2Bu_Su?2ch)8|RCeQ8h?nhULFdw%4y z!NoD7DsXQ_L*8|Hih{rAB*K3x(ZMNs=R#)vE|GQ}B9;E$1^4@XEa|_Lvn^Dze+Q5H zyu&D(8Q)qZiO=;MJo3F$jOApl!+vjY#_VyTDlAbmokY%a{LY^(4j#1*ez#!xf3WaB z92Aii-U(8_gM@Li@=X@-euYiBlZk=pXoGq0+d`>&qLWyIyTq`v%d!v$boxb zW&HXBw12Lu(@`-aObV@^muU{*9_SosGIG6k_G zKiwzUE8hu=4Y8+}rhVt~9kP#e7nh=P!NOjMlfy5&RQaR&pcICmTIs~)t@3B>T(VfN z7Jgn+yksm=>1k_zQUs^fls6k)0lH9QmujKvPiZf+`AsFUL+h*K(>zyXJF}E&)`H&o zN3m^NrO&5JxfBcL=S@m2O{m7t3YdLO)7FR*QCtIWN|=2CPCpeO`+|~)9gU8rehcP^ zGeG>??zaf|$WjoiPU374c=vaBWmggkG(}lmfLv|TgZ=OCKYL{TdIa9RyHku(_Y{fu z`CQ~+_<>h+H0e+!Jtby=$2^ncF7Wq}h9$mNT3OwR8-3@A8*%|KBEt$=SEReRCvF_~+0Zi$Y9^3N(lDK^Ccgi-Z zg5F~)iLMi>iB^&w*@a#gHac0_96ZLe?pNak;Tnkdui1@1+67!~;#aMq1ceUo0Bf;`NEY1GeRpC^ChQBBWmQ2aP!CinwJL`EYEL z=(_pwXu8#f1zlM&GdxP|KRD2A)xUAFD!V(GZek*b&tk^xI;VBv#qQ79w92@JQ0YQV z^n;`Lb&(41K$`QZ6TcWzrekOpn2gWI;_E#z3)aN@BOJsnzYQcEQu27Hxc0v9pc=wa zmj(vO^%BeVDGmpPkX+I!{R0mdtURTIeJ?#;!tOE}-^%sN3C z#biLV?bx0IsXzz~so?wroI81Y!cm{iI6r!+dHHzxuO-w^?u$y5s{&YR?n2>z#%KUV zlu|!sqHyfpLTOCg)6`IDmpcQPGe!Jm-A!$Rkn$nBeiAuM;qEybPV=SfFelAt16j6` zAxJ7LuJEy6XV;LD3Yze!xTe1^T7e&73H_1XVzu|mO6}jv0dZzASCV}9isuMXvdqHs z7D(=iFv6iN6wO@&dD;?>&?C_&Nk(Y6*GooA0<_W?S-9W{ZSM7e<1`BEh0{MuTmY2;3*jxZ7)1XyQLOJoOYZWF zBr&MVJ5gDwrcd>ylk@9(Ez-;=$*8iU1*-C4Vz6x;{e4&Kuw=CV#~MmNQPGN0op1vh zJ^j7fS;-2B;MiraN3Y*`=Pl(+i*eQzZiF1M=KMP6HoB&=nb;BpPe9$oqI4z6B(Gy$ zR5yo+fsI4`Or|c+M3~^%%z^6wr14)MmY=>^mk7UDoo@UcJ$c^0-mS~Pss|x-858=q zGlkDu?yIhN$`uFTQ)FjZt_so~_y85gdT7m~ec;XGaG@F;p#=p;?p|TV*%>QC&6^*}L#N76 z+K3QdE@9^BL=yGlDBSY=AHO2d96P*=BlFd#RZMgPTtx7b==k|o*f-3zUjU=<|F{Ba`E;s z^318+y*dl2T~f;1LJU0hC{Rl9(f zuRloC_QH;Nzl6`bMMv~(7sb9=O(-xjby>i{9m2CS(XO9FFX2V22D@WG9INL~7r%$H zWqcxBB>Iuk&U4DJCj7Jk+^$B-LXNPWh0tc|pTCEXOrS7MATH=EEuvZWYp9Agm)Wc+ zF6VMzA!d^H;++Fg4hEZHxd18Rue6^Ni$8LdA^*!_@g_r+x>qli<) zqIsA6Par9?a$#Kti!c*&Fbsqx7s@kasVRJEc@Y|jm9L(uTu)trvK6*v~WquU0MhC`}@dr@jGnd|B7-<9vAua z<7wwg(ZzojUL?(d@7F4yns*hc&f$cq$DTtRQIw&k5cmbRA9UhrK}7hUAdoZ#l)p2T zQFv$S`oB#7LG~$d@Rx1X!ljkWey1LLH~EiT`OQ1Irj-A+_=$6h1d5oX8_3)__#WIO~LkuE#9rB%nmpJQ)>=hl&gPpEo~fE`k(jO|2x}MUEj(%AQ-<+O9D! z0(+$;5o~ib0L8t1CqMx@omf$O%tI(e-|49S%@ZFZwkDwfbV6A{ya=VHeIZ)n`^MSK zHlB2dS?qyXP;U@Mm<}u0`jLG6D%h3fyRVXIDZ{^gwhe<^*J}*GJ!=N=Jn#S=6)oy?!Lt@Su_$Tzyhath$1v-{r(I2_tlb|0>2%4`^~b9t zxH1Jo49^}XSGh~1xQCJLD*#mlWske4`n)i&5=gs=}9u;oCiK z=C68;f4WParlH?tfEIME)e6p&Xew1K_pks{g8^n>;)2t7)P3rXYWf{7b0q_4%x>2r zr$|Al1U=C*f+J9;I(qk%#a{7#%tbTN{%x^zSuM$v| zS=L#jb>O_Kv#!@&`#gNsbnNYy<&yXZb?EpkBYm4|h{v*?7HPuBmtS3y<@u*gX= zE4>G`%wK)|pOA`If#f-LyEH0{o(9y`%3j35C=>-QO1u0<>vh;Nla-3(;$~qTKXUey zxPujK*`za3R z@bBH?b`F1BK+E-M8HEO1Ss5yBdeQxE6RQ4#J$dLZBTIEV$)>Ib6y~3!;hPIiciHXJ zPRWzs#Mrz`>toymq&=MO9m^a#ccUP($h614%kT!UhGK%nw7?qiw~mV7W@fNZj&(aEX6@dT_I)gC~cK*RG)pJz4#Ya$3HYD zYvywOR$!r#^W{?p@2@ zokLK3+&En-IQXgB5GS2Qa*CcT==8^jOT@26>07Z0E)@%P^@4KVzh8 zB&$3wxPNa!I>zJN*j^%?Kjn^Y7betyNC}iBtZqF)6xh^Mt5{2SgZs}Nc58p`F15vfu_{45KRZJ|Szpy&fJ2+Lv0TZmBbG+IDWUx) znDC2VL}$C z&#yJJzj}LPGMOzU{(yKd>gCvd62{+mM74%L;3W5m(|b{JYJ=T(B*ti%9Q-Y(4j|<={5Lxua>BU0@MA$hKQ!X(x%|s*j=dw~ zfnWzQmu)kTa9^xGq<~^4FrU+6K+uJ$(`NbO-ctdP$0Kn1EuXJ;SEHk4;o*f!-;z1( z_+|Qa?GM{FQy>fmNe^8illRYL`P5m%*nP2BKFT07H_rKXUI{%ozu49MVUW0+W01Jp z_G98S6%SaQyFg!O=&a(Fk8)->gPcBF+0wLH^~tVDzjWI1)ALhpG3rh&e{dk@K`8DQ zG`V&#>+e^3BTXrm!ditMN}@=ng6thk5%w(h!4j$`r5!LC2eMu?jk^7KxZ;}>9l~53pQ?9d(p3c}1~96h7Q&8v~#Y}=+6uRE?vK2pB*n$-Y_ba+h3MzhT&^#)s)8F&s|{XaMB zd}2ENfa@;N1%QCpnwgM?18W~v7uE<>meCb7!ZtH1*x`@m4{aUZln;n4YHbH37zKF< zZ=+Vy5$*Lp6TWc2!$ZpB43Q}gX)W^`vmt~|N9~AI!Jh}%EGmd9lBbn$^Mvef=vk{O zhjBsTat0FQ@*#}D$7G|?9?qOaIsjzO{An?pcus_bTp-{Qz2?utWC`Kd9lqp*8zy%p zw_hiDEGqkdehVJ8`#m~@Tz2atO2^Vn z;hup5f88#po=;aa3zF}*K4BRAvV%w(`zCn%I_EJIjb%}|l6V%ErR9}yq#v34{3WHycJNw`bK=;O zL?yYh64$#ORtD8_yXQv8!XWoon>t~f=Bx`(i$1v}Lbz)~pN`V*i{frrQ_Ae}ipMb{ zKeiC5h|ff`dE1Ven?z8`tTl8z+b}(ekRv#^&pHAfbNj;w&A)rW2!GfZ>jP=rZ)Bwe z5Z-2O=_U5V!(5fGAVD0nhHx8i4ZPU6iiLhVrX*?8U7|U8P#Y%d9a8M?zAuB<_~bU(z4MXF&@ldX7*9-O*kVx*``pKS7^K*-3qlt2P$hA1gtrwE zfgg2AYE0mq5m$i#iHGS!adusU!5fjdRB0W!Xvkm6*@qCa?^gRFK2mx-Gq6GFr{bn> zSPS87ff~*u$S9)nd=D{zyjaD@qRD-dj_wtq#Hj}4qcZ>ptHm$UtSj+$y%Jt^LlP{g2*2W!mX~@L&D4B_+dI^y!$ionQqD}V}bf&iK2K94$$QB?NBBYTd^NU zsdALYE6PN_{Pr^aH$6^^EC)z*`gBxl^*_)qmZAd@)CDb>D7i>J{I-RgmWD;dRQeSP zcv3>5^0Udl8v|_jA^7!`AWHO=9QA{L05%km0bDvep^U$57#~|P4C#l=Gb;mkES5bS z+M-=c(Wf(TQ;(_VzE`WHA(4MJF5V~WPtBFI3#?_%%c@L;0a&@Faaa~tb~8Xms?6i2 zLqu3o7M0gEc3qSybVz{qwv+OAFSGe;q9HJT6Lq9JRzcR=YC2I}?t!M_=b`FX{n^)j zHq%NCaZf#T#TNhCY2gO2m_)1N=$C5~G>uNYgPrifh&&bzP-J$dy%q%sbPQ6We#^vI z=&;&<^1j3>^^Xd10C>e2R?)t;7h#bUY|7=>V#GBl!1BiZB`kT=FSrG3!txF?kW{%+ zKV`450QSIZV_70LQi~KV>Gd{=L}?4lg?VedXI0E6>$KS%M2jLXeoZ(m>i1Y$Fn`#L zc7kWZ2bQWHJ?MIL@((EeqJSiieAKU<_nGyOV6sg=v&K&k!qsl3WE#BV^x1T{@oDZT zVLwL4)?&;2bRecv$zP_?Xbi=Xs-HC=# z!4zz`_=0eUgt#p}Cr*@*Ee=el1Av|AsyO&B$2N4=(L;dH5n{j*Dj!uvoZ`n^%N8`c z!ftq5D=tVBfbf++qxU{zS9E(_Y8{TluV6TYY&4_AyV8xxe$xPImN?jLYuEBCHT>(sp2@TrMoT8>uObeYCa~PX zndRC)_*A^$Jmg;S_VdlP^PYgIAIaB62AxhR@>>}~Au0W-$RxsPXqT% z{;D>J7qyLX7RXO{9W5UZxw5@+6{H%yn1r5FpE#?u)6){dcQ zZNox>s6U4xEvtnRxF$(fsG2v*eH4X9jmxA9!gyu067a*vxV^!XM(mZo9IEYz@gq6A zy{3F%kVjBWyJXt{KVcltX~{@cz^M<#(o^mrI1*q3W~~aH!m}TAQ`Kjn)cv|AB5RZ$ zC8!JOU=s!(jTy7X>C_n4hmM~gl=EV1oktGE2as_G5&PiG!y^6IebStj(Z=3qyAL6o z7TN>_X6!IqBhLy^W1>0^hJmW99I_7o5=w^%yq)nd6%#(C0}x)p)D9yw>mphf2j+gf z{SHR(kgb2~eY~)3MWKE#fyCBrmBND%08ihgq_nB7!G5BXY5k97i@tFULv4DKJhu(s z%|mb*x?txrAX@PyR56#xA%+VXri%^V`xh#$EB9QLdLpg5jnSodIj4o$WC%^hO>X(ENkCILTt{}$rqy&YgGVEliD)2$ZJZ&y0%ywPaO89D^l_ZA;<&Fv zh=8UZHqamloan301ko5|V4?e!>S>8G3$N7g2+I6IrQ^-#MPqsFUfc# zQ2fK{1i>CISkO>3jpZsWCK%w5PiRL&S`~kJ()BsJ=QQ*^@CDam|LN~CI^2hVd!J<_Q2f^>_cN{V=5QRG z+`ANbj^>fRYpecGgMFO2T7XP#tyi^o>3haI zGe)GbMUmailK}>U#wM$;uQ*J(zc8Pg? zzbMU8{M8yOw;At|)=uf)2K`>e*uWb(9EwiYbM4}u_>d2(Y}u6PC|hHo?<*5Ph}6+< z-k%*yC>L6udn5)bdEkX`aVdA#ezlb3#ZzA>pw~p9T4f%d0)aP-%@R3iJ0P3VpG(z} z+1aLZ)JUto&iIlI7Tam3R6_;rs;cHzcUoV+uPmg;lQ7r0{A2_Bv#2k1qWwJSEVS3? zL__|n+RniF;?-+2ksI@e_(>%QLHI3W@)O(pcKRRh&NnU-XmWt6a`+{!=k$@#bfta* zmV9nDP?|~(^d39d-v4gU9+;S61PZS#RKv~HD2!ew7CUJYUI%xjw z0Xu`iF9txN@`q*fmKRsoy3N13WFPq{sxwncX(0Wiw5GhbfF)4K=U4W<;iuiev%}7z z?E@eg`*F_4x~W`zFFNEtcA6lQ$CbTAY_A^M{H)Q%jVP2U^DgLQ@VDL#Au7`3qqH)O zaq?ru(Fmq8p= zma<_mvP2G24;Ogd2X-X9oSqTPOA*Bswxr}qEoRa)j&Z%a*J3V6MD`(~T)ZOc`^2%Q zJhWeNae;%#xN!E8N3+BkcvDZgOgqB68Vl|g8hk23o1D@Difr!u9Ex>i#;jt$bYDf; zK&aDT7Sv*6E&JbE<~6<^D%xEjKqSKas@UZeq{Dd25*Unu0(U?I5R4x}@bNa9mWs*^Uk;zKC+& z)D|F~W9nzhrsy}OptJfMjT*Q+(kF7j$Veb76urpNiHzTlIjjBJK7Vg+RBXnYX@GbuAO za^}40laX>bVrJ5zqOi5sZeb+j|6?6(tXs+-n<5ZY+dkTVv7j#7(m{(PwoabkU2|1k zN9y8gJD2ar!a4E{omTP91sgkR6@bGc&k$ddybUn8Tx59St8}lx3_>O}Np4IC{>(); za^MzzQSZl1==Fx>(Uf5gIe>C@oFe+zQ0nUD<>Qj&GII7#^lg%;Sgf2*nH4C~SBuR&;v*fGEYBCrO-%yaKH$yP zKmk^K%2AWENd}VEJm7zsM265@N)dEA4#W|XMm$38?xLAF0t3(Vgj%>FiJz_M$=JZo ztYBr5@z^re0HS%*e-syV<;C&K1J(ef5u4|4dMIW|A`pU#brET6QjE0|blI3VW2^$J zLP_2!^IM!CeE3`YAX1qQMTYo7_@1z&o+4W3XV$e1;UD@6`CGP9<1ZY^G;T0;_gXT+ z`)_3nT`~2;uYmVYTfsT^(9u3URAvK(!|zo|!FD3F&EQ5PwD?sm82b+Mmwm|W!O@?4 zg~q6$E9mFZ;JJZI8J5_ZI<&;e%yCZPgT6H9Ze4rx!1>dD#%hgRmR>~srjs{t4+TYI z00+m4@|^5v7+1Clf+KA@CErZG@X+dsykui;wGx=p;&v^lUd_clIXAs^Q>xijDOlTi z5WP+zp}T8_{g&^ndvr}TX%9lwe@&BHN9tFXWEm#(iCniv%Qn) zSxBSBxQvOedVMcqKHD1_q7?&Od$j}ywSWFGNI1}0t9Gruu4Ar?cW3cEp-%fQFtxG# zbCj~`o4mQ3zVj%X$sF{`r7yqc2inp8um@ROz4;H}+}BJ~NaA44O-06)dJB|vm&rDG z=HO4FjS(iwwhh{9b$^*OALn2NuwS>b88Uj&8MIe5k?DYrqDxTU z0I1%6Gbn7+I$zM=*n7yTBpH{Bgqkp`{;gU=1q@c?-aP_)ayQwdgRM9RsFuQQ+9|K` z8;Egz$5MKQE_n_4ZCnSJNv{+hJI&l--|(c3#|L{PxR~;F{w$;_2I@LV(oQml+JR^V(19fcT<+obu#`jlKe zsyO_F!Z1y#uIRh*u10L|{f~CGY@lXjF5`QJJ=o?hX6u)5iI%2ME8xp9DY!MG)H)TQ zagD5}HSG1(Q=QB1bNEvXJ~g@Z%Rrak-RQG5?V5ks-!?K#zjv!Cg@$2 zUA)Wg=kAn)t>MmAb&6Neb^ryaXfW%ETwc@D^&%uk^{0Q2OKRNu(z0ePj`+)2Dv%U% zcVF*P?^n~VG}SOU)SToDKv{xldvuo2`Ea7$`m9HzwqKpnTpR`44<(O3Jd^(myGlC) z-8T&j6+7cx*~Z41^m`UcdzwK8>F!g1egq177#q}@iWk^X4IQv%&+#2}RX7Lg3DMpx z#8h9JjruqKU?f-%itO)EOu_e0K$h(NxzL#2_R?36q>f42-Tv}z*qY8&#<9HK-!xDF zgDiYbv4S3rKwE}V9-0vdTO3$p)Vrng^557bzeM!O5vvc6+R(Y?5EB80vXgDvH=CqI znf|aethnm^)M^iTQhoF` z#RPNm(oWp%691XOzG{;oYCv5JL0caGtr;&NtXd^oz#VNX;TY^udkEKWu>3Vj+|xjBC(UsW`_(&sa>wY6Q7ndoLypD9>9jc%DDghGDCF(5s6;z4 zF(AC~@yRn~$IbM8pv|}=Nmc4Rh>DB$nbM)hdfoN&>x%W$m9q_{W>|mDpM2?vRY?yp-PwN0YtFM>OL#iHdjM!NEVigtNz&K>x6f>GzB86T8L<9{2o*Q_*arPTkHRF(05*q^_q9{8BMSpg9{Ep*A&oN635 z)6rfGU(*G2Yk;qxqaH|NZ*rILDhdtn^_i*Jtx+1Z&9@WXa3;$i41L^IZK}}q^eBPl z6%&pwMzZcLc?a4632lIDR(l!|hM3hD{*2+XK(C>|3s)N@wLi_WH+j9RWb)*bU+UKA z=8Sq{--^M3=0EGqb(&yEE85gs-=-R2HEQGi!3u(5hQ1{i+SN?CY_ z^G{V=mhqv+tkWYMCb8V?4Tt_<2MRCVff z*Jm&hV9;=O>4-;hWniS}+em8|AdrY*ZCqMWoC@w?>J0D+ovFK;uXNqy;r7y%?%+I< z-o|bnu$7?|_pt-U+{t%k>HVsL9~}oCzzt6Q-6NFRYa;0;eEh}{Og=bSMKI_^*l`Xd=+;p*kOcIl@3^!Jhy&(s!) z6i?mqw^m?a9CbRy*vKYTzAjrA*`74X8r;5BA9&N=1KfT+fB_0F&s|@lx<707KaxFP z`-pBtt0!%KgIi(4IEDxw8se_pMcpS$t@YkWnh@)2E}gZz9_kb*8ZsnkjoSEE7@r=D z35Q1NqY3%HJv{rh@ElM2T8d%G%|NomnT717m%uyQ4|G+>M_8stawsHIe0^LFWJ76h z%}U`V0)Po5@ZoXxe*m^Lh7_fAc@LCAeCX+i@__v*GyXhg!8 zTvzVLxSsOH6sG6>V<4IPTui_fAJAChQYhlpc!8A++83!XzB8U(yyw%)eRaPYtCP($ zODriTIN11OAclh65*u*CA$=0i3Wm=Ok2X>#1**j@i0i)^!Q433+BkuRo%xb4YqSvf zKR4Fk^Xx*5DdJxtDyt(tqtwU%t4F7-!8&8b~P%xh_5iJ_ZZnm5k>Y|K=ws0EVGBPvhUV#!SfV^o@-=CA!Q=E8yON0+wD#~7MA%(wxT*V^ozQO3Dgq@8Dbi0=U zPD=r6#V+qy!7C)=aBS-=qT(X_t`zprvmZS6BM8|i{sKLqw=!@k;0?_FKh;kOoWW56 z#mP+$8&0x-hd$Tdk^%8n;b-*ufBs}}9BF)aZHdza=qF#h{+0+d1dV2Hq5S>mfm7mT zDVe5SipNba06M#g%L&3iHA_(*=Y+YVfHUxe>|FiJU9Q|HI`L6`$Kvhomx<1J27KGz zC|igVt)Eq=V57ivA)KVKVeNHSOHgwX2pK7@SZA_@_YZfw+aJiqR(gB1=D#Hbz!MUK z>zxdWx+#}@sD*`XItM;x)fSq+NC(}_kDn|xez=w6uXeu43G-9qDhK#j=l^z7p>6O) z;F_VavYW_IKDTF(sA;uZ=^dF&aC!~T94mPDZuud-`io-{VOHjf+SKsd9v_JjYF;tz zCos?NYxICZ)-$;y$E{za$*XF4mQQ+>>W03~nDCsTLtUJ={K5&q{k>Lc8baN4u)ul$kLebB5JD zX8);0KEp_h?oXOV5XXaWZ51%)@{6wQ!S2f=d&iNtV&L7#N6G~)9`%QWCavR522w0* zTzn(rJJnBeOYDgI4?V43@}Il*bG9$;Veh1zww1%}#HjX3Y}a|IPd*^NqM_$J^pGvQ z#k4huvS(|Wxc%XOYvX1q%Lvdb>#|fCfZ2xeU00)=ow}6!Z>f-SvX_b*ojy)faVsLXZpBlT6gJTQEk7Cyp zdV%hV+;lKWK3dSn9OWwcj#a=8;4UR6JWDFaJpG+6WTLMyI=+lGk0P*9sP7kAYv(Yx zqP_*4jpZ^InjI`Q0HeYF5;}k)Ys3;bevNI7sE72Z19Ds}PN~R}w#NH=7r)6}jCZb+ zGZO5fX4Pg^rc{t#BQ(T!E?>>qNydYJS@aTbT3gq~=FURjr=mtc&|je($8X+GpLC<+ z6ss3a%a1#*(mF?T4N>dk0B@QrT$tl&{t-qe%czyjUL}7<|JdL#B8?c6P;7WR70rk> z1?QCLf}+Wkcm&Vk3G4<-|$;+%u5 zWHaSY_U`p`^ZrTW!Yjd`MM>ASu5f6-w`ho*f=?h}Oao^=OVafpbQsWyGgc%SQgh%z z-9mto-GOhT$z*(4m0w6)X3dc4MU*!J1NH4Q_Lnw8oe zLoVH2PWXs|vM=S9wdWNix~ux8jQTzab8aAjry%in{z z^zJLx0)hDNK~^3wIacxNe;(14V!aCt5mP#vLe5*y124gLmb6`t0ik5*mJOH^IW)3B{HAH8P+_e;o_N50%Z!l;Pu7Ggz%tz1_% z0VddeQFTE-)(GE$jewa5XUt4C)uXB@nbtZ|GS7YKA@Fsog>ZQH6#pft(2u-!-q2&diacw7VkcGxrL~wr4@*n2#lf;r(l`bUjR< zK1y{Wr{m8-Tmqn7_G;9`N!*Wpt%Zw{8k}V%s@e!**A1E0pIcMIk#wEBULDCc3*Wb# z`#rYvS?WbH1Uc*_zG7&0olnvQsgDWl5{8OdU61B5;Bs(DI6fABdW~3%(N*}_eP_4u zVBg1RJRN|U9^mh}?RGmwINB6kvmrOvVR8Pwymy!QlTWitSaLC^@xD-~}II6rGj&6G+VWzu^*?rx6 zs)>tqyfA?FvK8_`cAeZ~;wGu@@|kW1hF=t-tq> zrXZes^E3bLY|9zbBAgOTw?3IP_1bh^_)M>XsJvgoo@a`pyK;|w-XYlVza-;|*u`2Kk4hUA1&{Th z!2Z;b*R@2I0TCi0?ukCmiTCb4aZ}znmiw&O^4$qODT!Tn6RSUa7Utm6?)Yz?Z{7vi z8!7tZ?jXO>kGL*ej z+?1DY_`YBMn=8+`)C&*NHMnW;XDa?o@7GU3%+;86p@QTqSbBo9sQ=K%mj3cb9DcWv z#(Do_I~8lu{`Vz!bL`%4Gbap&RXb+)q?-nGmv{}p)ZEyv1yl&UEhO>0_rLmbnA6{W zs+)Jx{2hj9z;X5TogZC)7(k_z?Xb0u^VOWvkiWPwy+MSZtQ_6oy1?sHx3`?RyGrbJ zFcYe0C1V8o4GZEhA4~-Z7~CcU*3m$KJSu6V4QtCFjyw|-5bt6f4+uoQE*0TFUS|xB zw!)$tY(NA5>>7=j`T5Px4%9ZmDPz^MAcuZu_lYNLs?VRrM?`A$2N4dnD0 z#N7vJ~VQT2IsLK>CZA0to~}cvEBwhsN;%#4((N zGO+pir!SJ0V~5BEH%aY-utp-pl@K1FAo6@`H|M0C;9rDyE!vMu+{k!yzWdhX{}4RV zR&ZBpfH_f^B?{iH;SMP;jw{kXVN{x+aR&g4F;-}|fAQ|y%QyNrv?UR2H+f3Qlj1=T zc(ZTwnegSoksv*)gYiB^*7uOLwis_16c}pbbi%BJrXA$7+#s?=QWuX@ zs)5PG2&XKOjGxntiR&luR`&<%V-HJ0*E96h z`GO7>A_EE&ql1119Cn|vEI;LwixJH8qmL0*T72O;Kf(aj0ra7gKcFz36May`AQt^2 z371XC;O8fDFNGCBw`Zeahu7zpl|>zt{?_F~;9l`WwQDc+5Z(BwE&c$mhN(C$x6CiS z%Rlz`2PoKefH0Vja_bMskWyXU>K5e{{W4tV*FbA6a$IBVfwEFttd4kqKj2L5En;W^ z-OH>oLAoETFXaI!CbVw;sfFlM5(W=s(qh0H7N~Y~s6`zKH6x+z{L$uB%EyL#t+OUE5&3sX&%gr*Uw!_P+49wh|>9K{iv9-APUC?;XH%12DQcuE~;E3 zv7m#Zc$(4bo&2GiF}ivfKqepdoJ|wxGPd!4qxCxhTx%`RbVQE(Wkz9SiAz8;&t2j# z_NcvSIwCKAe3$5SNbw4A#sr!4CD>ikvV6Xf*GlWUF?o%xy-El8SbY$Xf|#bt!szj% zm_DGFKk$m2<5kQ3+3GJOBBQvkNen@CnQ>_*0eX#oIun|_KCFQRVfSa;#AOQPr20}< z`s+N;D+P3Ui+k*1)oz?Sw^d0$PBFBXU*7L;q3m}UmV9-%p~L$bi{rxECf2jD7X4MN zjWY3Gg=rNn$K{!NUiN$Ol*@tZF!WzAAo{Q54c_;6l(h4fWBnaH2Zp&@1f5ZF<_xa$ zr5q-a^oa!SGTc10+wm9*9C+1wjgQTA2fTgnj}9ERCU(Zmocftr5(W~sp4}&06gtiC$G2|mT)DI}=DQUBn$`=YwEd2DmTqzn#k3sfc~^W1FK1e|VwIZ> zu{j9G^|G?$Mh?W8o|Bt2;iw14@vLp>2C_3DeAd#9)Q{*6LF$_X`z)B{Ntgnl6ShNb zIsqEVFpfO$|0PKW-H)McH%!44YUUsr$Gx#`jh?4mCi^gBdfL~R9bx^WZO$E?Wm1$N z&8>@Cpa*@7#JOu}5eBdXqreFm?VW+~XR|JpfU}B$icg#t-Po=}#UGY@RRLWZOKOz7DRVQ+{Q*nT zZ+gR!ecogh2bT#<$|n^UYni$D#t^ z-5}TY+8WI8fv1`dB87Ti##xO{wl3#)SalJm+*^_smi)XWl{EE!AN-j>>zwEFSL={G zHaP7;Qnwswh44i)>-2$I3wDn$Ft}^*Ii(!xP1UTa7%%(Xbt2`+*&Pm0K4b0(vk-W_ z=Ioe%96a+r&!zgC`Gd0;>x})<&4Y<Qe= zr+N_34~Ia~itFVLXw0|gc!a0APS*>SAva5if-Ko2AkM5c<3t#3z>^Z%xc-^KA>n5m z6c!88*i1LFIN~9%*OG*+$OgO(3ZYs`5NREU;ZfeB)7|_gb4C7&X2@$2g`B!>AQE;# z?r)f{CFrL~M_aBR`Ey?^kM-GSJm>X5p~by|brtW`ATlvh!CmH)L*ueVJa2?gr^1e= z{^P{0Rl|>iLtXWRK(iee%LQ>%uFyGz^UvR|F#G*cg^TKIy4fHuYjZBV?DrP@jt~cN z!Uk&7fB0f4lS*X+VVyp4y-J1lta>s?tVlGfm8Zy-2-WT>D6lLBPn~j{*v4i|6Ir#$ z-y|czgr|r8UJJ38z{**S7()oVTTQYl#PhSUU)oqftJ;p%Dx{ZMYwn}=6lKQ_Rd(>r zoPl#Yuk~8NdvinvKSI`Vh`q{^v|fE7+cO`dlwz7+!}?|(4LM{76YGdRSp^b5n7o!d zXW+~K6YNoDo*dB0KGB-2_UxaZw*!%4AGX=a;kwIe>ET*YO?M2`LK1WS?SOxp;!W0= zNOOX_<7|uyaQv?LIhAL=|9I{qUuycCi(y99Q~kJMas^t&@f-%2=@QADvc@We8cU5` zcIjJ^d6>7~le@W1I9m)HM8>(vtEiiM-xCJYm1;u7u^lsrnsN|>W_+e*~JO-$88 z;n_{KM6Cs+alc4p9cL zN^kx%0|{GlYy6s7ra2iHZ6mkyo8@FwI@(O;2B(b7&ZYig_o9uTcRC^}9Og*&_h3Km znY~+&CDlXOP*&~xb3x$%LdYD(wK>MhS9rT8XPLjQ`kn-d3=h49@Vu)U!(x@zLC$?30=)#Zvh@t9GgtE$ik@C^)RPgmX z9meNgBjUu0{5}82Vi1ZL%25m&zH^Xq# z@K4peMp{P$Dy%zndu)E&#cKK$NbGyCk&{GOr5@aaqn#4>r*+7fU_M!ckDVsn@SIMG zoyJLx_k$>YL^kf%PjjV}-oS9 z1W8R3iVrm)+Ck*e{I2ly@>G((gXU;g7YIQWx+Q(pk-t5ZhhvRulh(}jro8XBuCXXU zvJ382bx#N+9dV42QYS-eo`Rd*ZT&sZ=h8xp8Rx1CCLIAf9Us`|i9^?>IL_Do)-?pm zQnoZFT~7Fq*jHV3GB!>j5N;NBIa0;+bu5kWq9{G%%SnMMSU&7?);~$594xuV*MXYR z9Rj`wI;dSc<-bbkDfg2uS|v0`%vtScVSJ3{NHdui;8)&iBB8A_tjSQi`dX7g=}YUb zVYNB*2n+gd?u5OVLu2W6EUvE@J7V~0q|ZpGiga+#)9gjU*BPbRMBqBE+HDJzz{(K1 zt6oANn?#>5MllMGAYe^a3VF>+e?nwAPQp;7t*NGzAVbMtYVq1z)_L&Ov-;&eyKxS5 zW3YC4W&E=A^j$*SK94x*|d7SdLdU3pIHcHdl=`dccBGSw&DLL

OkxFG4-21m)mcf zDA$0d%)3SVlkz5=&<{tJq`G*yYZHP2~_`R^}VrWZ@>?h+T zfp+w3Z3s>_(`uGeXW3=ZIV8ilib?aY{h_}?U~oy8Vf1V#2Fe&Ez+lQSr(vl5z7n@k zTu&$`8!_}10bV~jT#sY5(JXV%(+$ajU$!5ZK>Z$kZ@%|EZ znD^BRgDv`fe}OIzMspWB1d~=SMG~A1N@s5SO|W3`q5p>Mv0%hl)=m$*^N|(a8~JTk zlz$UE{Iw;DmW!=~g^9fu+#P;8{sH^_G;Vm9Fp`U$IVC z$K3qKLpO^u?jL@ud8>a^j3M8gH}1?e-Jk2knSaqPzCK1Jq_BQ@w;Ff!ee?2NnHogu zdjB#JR3XL$+}f*uHB%hGh&bSL$wu30alI*AWSmiPTUoQ~x?2vv@^%?bZsMjXoO@j};O2KXPA6?0eR1?eO{~MXH&S{?z0{v^#nWGGc$)RI zjF1cYhU123^Fp>etB^OFK+Z$p8_YsF!TdEIh#)Zk9wo00R|B;8;Xxb9!j|HWq|q5u zLdTABMYw=t#o0?=&ct@;Tsyf09QQlUX&AN&mQ7RW7UrbY9a`a(5E|xl#6PrU96$rc zET`GoB_M2RL45he#Z*Gf?9A2mS#K)CXpzC){ zR%m3CLFKQVZSg{mMHP8KDd)&>>wMBM{&{kWf$tlhK(82jcegc zCnhg^d-~|3x@_c!N=HCa(Xu)IJ?1)}X@==1+YC=Hf|2qX>#MgU|J+l1`4K1NV-!9a zQKm0Fo89KOwv!cWJ1vSc+wYehsue&}u!O32F7F;b-YRb=OoYu^FC4JgTBP!;@;9$+ z%3Rz&e7qcaLSp#kA6S+3VIQO95U2XwK4RGKT3IaHkT=!HD3{xQO}qQyL>dIvLa2YE z#f}^c(2}PR^tWFlks=PzS&L#^;uffh$Bl1A#J;p{{G+UypSTYgD}2xec|?}}J>UTJ zgzU(k&BPVs^?$;8wzbgo|5HaH4T3WEHVA;n21@m@g5`u z-$(oRBNPWf<3zdH?lKGD%MhF);`n*%WVq5#p=NP$^x$hFIMXqW_uU+Yj zq}xC5Ji}X0NU)zl21XjbN5rMCo2LL$lncFdm1Q@tvdc0TO1`4Tq5U!R5zVQqfJe_Y z$i5~km@gZ%;F0irb4o}BoB9gL$w;g`rp`wBTHM4^UIr}bXg1pDZ+H6o#u5UR!2F74 zQO!gMZHAuDmVzCg3waXA^YsF9;$ymG|B zPH2BI@t1MOPfyE16EWG&~1Znwd;3rvA)+$btU~pz6EW9EEXb9 zk^9lam&m9S&(vu+`4^zf3XtD(X1-*h68{tIn(Q%nCJc7h|ScV*TH}!oh4+U&7@m zE|!z!#@~sari&?UuVBe<=OB`SDOUKLMNEC%RXi|Gscvw?y!~(@GWwVZaz5JMp=7en zAGvQ%49orI-zdXeS98C9SX4#*#$#}|?nB5lQ7taxgH9*^HKV{q-!#L;OjaYVHUH_T z)8UtxvJYIxC1Goj%4(>0DaO3+ruwJL*iAkk%L@praN5`Vm@=a`y4Ofi^etI)wE>N| z?3jdwGHB*o>vBpA4k%irZOYR zZwq?_@dayvLQ8b7=js8wmp9#N*8+KUR?cjCW=h)a#4|T&7|XfPfVzNpUf=yxKh+Jc zg}WJS{mU5eUj~+^@kvUfN**yqk!Tqq81iT>YF!r*wpEoYUoV4TEoudAZz~PP08FBG zQ60a8jWGMPArwk@W@jc>s#c}!aj2^&*YPCD7lG$}3c{r&y1lSe9O-A}bXAn>~%Fn)2K!MWfY`U(2nRnJI2x3+p@2+M?@SC*^)I9<{J^9 z)^C`2tmeiU;5rHuw)z|99U9U(PA6QjbjQ2#$OW%IfMH>5O%ux9$O~9~MLLLIrgf?x z4JlZU_>J8dYljmhTls}?{>wWQz!H4c0~rIEKr}qHq{(LOK2A$Efcmp)BbNp!t7Mi} z!A$qW+kTrTX$_wnENZ~bWbndZfI&F3D&roI*eIbP9$E4sQz+PI>q%yyGRi#U_lfE? z(!*RcI@DD>vBY1cZdIQ0L|)q%|69i!Qcw;tzr(hl0p)a6!W*#-$T2M z%nFR*8n_R7kpmJ_Y#K|aeS@0R6VQxvSAc-IE!HdgA-{lTDq!*A z>Dn6MMG1-Ax|6vqi9SMk18RKc$`&HdWMromC>!2 z5E+6wF=42}bXdcryuBG}UfiqcG%Eg@;gt8;efcfiCQoxkd?SL&7SQ;EVNZBp`a^jc zRQPmj^6$aXQS{xcwpd7C;gu`Wq4YQW=;1X5SopiBpW6oB479b+W0(_v$4|KkhF=vV zG&4tl8VhNBXV!8*H%@3!KgIbke0`WAr|rO}nh8%S4xAr7x6q+gD=nofgj!?Pxhh#M z?MECD7n^!a&C!E9hk*-}DQY9YIit(YS%Yg;T`k5?4)%mGAfB7(Qrl0jhKG_TXd_Ek z-K)Q@(JH~@bW&#mlf^#8QumZVHUod93!97R)seJm?HFSzzb**f{Hrc*Wu{w3mGo41 zg}$ROq@O^Ilxzx2&B-$0a6 zs{~u3=>FDpA8oO&6pfKymQne-$W-`4<2U?}W^96xh_K=6lECX^a>UCJeCPtidjcYGShs?y3r5V5}KYNbH)M7y|u zUtRc&(x@!aIVO!0abRajV<>s_yL>x6hAMlL`9l0pF$lL;Ux(L(71Z{N>fN3Ft_8TxvS@-ugpr z`xXa$n$%u7X$qgmwX8Z(=T_;McE*#1Dicc(gDTTJMakjxHY%b8cplm=eI`ITF|e^L zL||3QCb>ae{PKwmtdx$T0g@gSEgLFmSz7RBJrV2zmwa*y?pUyp{KoP$AG-DAATX}Z z&NWyN90y(I8+0UZ?7rn4M^oSQS5KcL)Yhdb60;Hg`z8_3x)SwVN5hL2P}a}h_%L-U zecxfPdM_4o1-II)h;%ORx~kX27Q7I%Z@Dsem0%OfmenRRGSJidNtNaR$X`OxHgQbIoK`z7#{@uB@hlmqAL24`i6{dy5lvh<__-+;7e|B+XOLuqyUbh_lSB zG(jy8qnw-5e|L?;nX=?m8;f*e^6$efYI9Fp@Ds*GnGFQF%x_ACFa48KL}aeT4{k+y zMu-fAGz|QUGi4s%2?Nv@?6e+wN-8&u z?T3>(exKPz9DKUaIV4o7q(0oK4@%Ea+U7X?eJb4~SY1vZW1MEEuTw5YJnn?`wfypZ zkvI20xo_2kLk+eMwlUssTcB)xwKE!5d@-b(Um!S=Kar=y#!d9?Trid8JVzH8YY_^52^a7~ONx6ds zV;!5^+JV=?NbbANAN=3Y7tWeXE$ZDhM}8cY@1~Ey-WpQ;reVHVE%?H?R+M;rWC4*o zN&Y%|Kks)f!_2EXa+8+mS3{`j(vj#GLgJY~R^as$oUSD3nJmKj$=Y7|ioTU<~Og@0a+6ie%3-pslCm)nxNgm*;HdE$f`uubn0f)8-dZ9KzW@ITzX z8SDt&|7gh)z9=DvW)V3XJ+?1*(HN2OoON}%oC7} zsYq`x&1JgO!p?g!$E`it5?tQl^);CE*lwSe%4l|WAX1S;?P8##%Q&}^Wzw*o0`2w* zrm8?JFyI}?-}Y0^AC>(}j;Wy^VZT7JIF?7iE?~1Fb0VlUIv2`P3TiQ&xpUh$iU;Z$ zaqFTEZD7j=ICO*OQCOB`M{u<=n+(HE-#B6?;-$hRg z6THX}d~_hp!R*li4V|d@9#hym$AmO2PK<~@kRJM_=EByVbkx!WKX9!JUWop~^g=e+ zTX54W?3$8WwLGr=`6I#9J7sg?^>3pexCup=XVM8!BjL&CTH60zQaDjbWl$LO8r+Yg zdgrHeM`}<85u`#qq$RU-rJS&=0l4DS8S2zohqlD)n8+oPW7AKv9_;6_E%;gCH;bW+ z$LY4{4*exhfAc4Z-}dEw(V1~|xC4(0uap4@DR*&!F-J)*+P6-}rxL2}CJG{1wFj6zf%E$=y|X9l757yn+?lnqoT-r|NkmGm z>&Yb5_3XTH1C%7(*;%z@1NP;8EMLEf_GxyUtHWb-)kZJ02S5N5&HvzX zl*RV~jgx{3x{Z_m8Q$lY7PO+ciFTLy|Mnoq8M@!GKvv&L>%W*u-+YQDp9U~^Dv1bP z1Wga+_+&DfY)2`k{4G+d z^RM7?%JkuD-_rWMvE$3b{h1*c!3p2b>I?k^sds+ZS(a<62^z>4H4G`e(FUm;aHbI* zwXPQXcqv862`VxN?u^P?)A?&sAGktz_-^k#V<-CORr}O_)nNSvQRQkVSUq2$jzkTl0! zk$RxTCF|^XX>Yeyb6&L#oxS0+p9A0?mwl}S)IEZ4?Z7mSQU#2DGtKC-6$XDt1@A@!fZrI}g(e#~BO?+S1 zq97pB1r(58q>HrB0!Z)DMVeBiBfSUw0n(%eqz8i114!>pqy>QlfzYL6qzj>k@{IrW zzF)G|tjr{{X70K7+A9^2Nt#p2e?|=>@*S3Z04tQf!HG(n@aK8K0I+ z6cmp)bFs$oMVKqlGkI;w13qWwC_WwBkz;gMk3E_f6Y92TdpPnL1B-zwI0Bfg_o^KC zpZEL2-x*^Wzx&XrCUHW}1iYCclDa`bTJ+qT97}psAv#plM}!2Z@`d-8?$CqGe`+_O)Wk9F zxc(}coPxcxCO>5(UusEkb|Rgrcw{ndGaxP(As))(9GE)VwNrX{t%ivyATm_W@h^T( zzG9Lk`8>1hQL9-KblYhAdjNA3F=xWu65axBNEmbZ!*>s8r5rrM*s7k|RdeOmv5gt| zwijL9tZ`iRL%^5!$}Ru!FCoU}tkiD#q;3HShL}3#w@#M~jq8HL=$w+%ocM zU%QtJIH4Do*%>A@(j52HQ`&TJnm_Q^6^tv zKLsrkC&A@i-%Gy#F}0I=R86~HL_ZM@+bS+MZhmB`;&;~|k(Nw%?N>)3_J!b6@WWM0 z<&k%r$}FtF+RePfNTV1NnqC(86$z?+nLU0xv}Aar&NJVWFs2e3eC@7Kc1 zb#1WkN)8@FW6T9FK$N;vOkR3j{4`571u6?B??R?Wus2`#2Ro^kRXh=q8t~?{{;7tQ z|GJ{J12PK$MP*v_IkPiVJ+0ri`SsuDI;vp1d~+YuPA2o6;JSyN9Pg9)(GK}%S$N_d zv4h(4zqQA1!8W^oP04P21h+oqsY*JBUk$QPQx)BQ!2gMfzz-4l{TKULL{be1b|b)j z)4+ba)UaC7%^yN!$qe^8S~(W|{CE!)1cTIAgY+*16;714R=k<=UxgM%3hsbiobVV% z$q2Cu@|T-(KWm!q?yCk2*RYR2=(+kkIvFAV&D&#_lw%sOA|0a`8!TnCu@6r(z=o;aALAt!#0sk+2;LLstf-4ZUus)!yA8MNzJ>Gav@+c9RDOQ86&nxA&QP+KP~ zmighaIPXOZkRv9nlGenAJlKDF@$N7qFTQ&9gOSM-qC=rW&&4=q*>p8ea56Uv7Z>?* zHKOvfmBEesuTqJm!smt_g~`$|rHSx+w~!6t@qH zR3<;I(>~V0?^;}Y73ss~sEs*t&r`ItNx@C;UPQ#otu3|Q{3Xm0z{?J&FUK!m{7p(| z_Qy|_*YE89xHLwP(feoqanOasa~-GoW{_lt`7umRdPQ(Ycuo04Iw;{tCdF3Nrahlk$2GGisq39dWg{`+8~e8^b`=(hQDa}Ra$@B8`$y#ivvea-rx&WF>(9rrpZkKCdN+rExb~^! ztAl9qlg_~pW{JsT<`Bvu#noEIa1HJvlKu4S%TMjPFH#*Gj1k=f76P5IXRoo7GW5!> z#rul2uOTI|=ss}X&CJ)tO#wqXUJxeZ-TEJKAGH5nUBBY3x6YqJo!*65w|7cnepPVk zzp(m=sOSP~2UK6Z$_TL{2VPH8^sc8`ehRL@%tXS(%Mrb{2AH*4s8H=xBZN_b$P?`>Ij7#xCtbY$kC48IhQUp2})@xJEpK~=*nurwd zPY7({k79TVtI<`zz>N|ao%Iq;`kReoj*yW|@W($Pe^1Npnf7;}P89*t4g!cZe@)4T zbe@ddE#KzgS;=?R>zu8bTQ+y>Y50 zsU!M$+)-k+;Y(T)C3%306t*>eAosEl#J{<7_YCs@64yka&fO zZsJ~jUwJnJeD_ZNWH!w$Hd;CFhcfSCrJ6Cml*TX$+pzy^;FJ&jsAi~N6CQe4wG}{< zL^3eC{qp{cUbD_8a~k@5nbW&zr6GK0klj?e zX#969;mZEUN57{3^hkj8e|D)&`J|qe*#=qaN;H_=IsF0L_&~{^quLUb&B!=_Ebp`Z z7B~4Q&s~B5!e+{~^-lVj9h^*IwVez%o-20$xAofn-N#;{n|GWg(({aJEM48T=vTcAqauB|9e75Bwy&j|;e-ehR>d}=ghfp^$AdI=Mm7IxjH z;zH<1F`c5R5bjRC#9131yC9ng720vOOAM99unm6%<0kayk=#SQjz@Guwuw3y&h0eb zWj2%dGqmC~72F*~kDi^(S7_rB4uB)~^M4&Z&qOR!U;@)EFzdDvrDD*#MGn#sd+Lus^-EpIo-)-Z(Q9PLFYEo6z>+w+6# zb;@%%QenJQ8rxTNm>y)}R&aV)|9oETMjY59tQlAL7M_T-_}fTFu_n_k!{XTKAbZ#r zlB)1d%h-k!fr)h?`yvxxmXTk1I+kx{gNLB_f~Iw4HF-y^vX^Mhq3T{iuPn9dSnMTt z)?TYY$eq_$`caQrC!Hjcwlo7ajpF1acBS36Meg%{M_!lhsa&|}(zmywYpT|`y(OGu zuPQG$sk=sv*syUEp8dIRsA0Dg`J9~9wKgbJ| z-R7Jl$gugdKHHWU1~{ z!+)OAQE0A>AhwFsXk5@MkE-NRQBHE+3*XH~!I{#NCzwHAg@!z1?XFw-_?ItyQz~K+ zE;|np=>yA=MyZ@Ff+MIc<)+7xXv$c$Pek0WJRGQ0@}~Nr{K}jQuKw2NZ!C_nNNk^8 z;?yYD6=IA_vZsY)IN)PL-<$GWFWfbD$#WkFJd}|={`R~7*o)qr$W-~aKcW%4my^63 z2s7o0m;3KXI`*hF>1dX>zX5t@-0cYyw7J7bn;|H6V~_EROl|VKlYLXpPdX#W9LeAxDx*jQw#{s!%Iyk7XRvrBu zSRD#3H53d)o$RR`v%f0$HIHxC029ri!u9J#O{92Hr2s$?rqW2ZiF-GW4U3D{uVo#N zv8Zu_%hO=h7S%2;(~rz$4TSI)GtTJ^-dlSQKHl*0If=|QWo+?hbW^2RsQK#-y@|d< za+?hiM~RNw+0rLuGJoqNbYfzl>UlobZr;Tu?AiKl!uX;%r#u9Th1lbjkF2##Wc}VSCYnt7k-Ug%x^Ag|RL|FKNOh2FD!zR!1(@8Fif+ zojF-~AYZv4ECC-U2K64ojquWHZ#Wndro$Gq#((jDv3BS7exfwstT69r>7sy5uR?57 zW6e^*vQ(&#q`a9aCv@hX2`vp+U@aTkphOSm@5sH#%m#9hDW`Q@d5LMiGXoIMNqlCK z-lpHFgWSFwj9#TAzT|8kY=y#K#U)yPd|Kyvt@q1{J-q?}I;4x&7D zKnS#UhWAr{gzQGO1Z)>KgDw=R9s8M;^k7k8fW;Iql@&FhZT~6Ta{Xye&khSH+C0w zuJ(;wR(^+97)UrdL_~kbXrA}fQ+UVuSK@1QN*kBC6Qt&jj}5%LlzAFCdJ36CR;M1d z&N&`JUST7KPMzHobN54nfTK(yjyg~J>r+jkid6jc5K*3$jPP7?B)O1rnL|GGMDQKP~u0H?}=kCcLE#Qm8~^X7^pBl zq{O^@#AD~cUJXS-*e;6#o!dIB$+c`*Z}HJHUqf=8Hl3of;z%)$7$A zT?Pt&{2Y|!!!%-b;cx(|pd6^W{Rd>jhOGVXsrIqx_myfSdF<93!X78pTUIi^HGpX! z6NQtHaC^+XKV73N? zzm)Z2;dg%_g_)`mb3%qwJnjWcuII`TY++zb(y2RlII>!w3r3YF1^rbJA*;vC<|Fmmx`M? zTk4**uuJzfX|1`Nx(LqKN%c0hVg(elX>0)v+58X$B}2t?Z6N!V#`ha34ru4K(}C4q z78OEX;;37>OHc|={F+K9Tf-72gP4l@y9kGPZDZwRW?%RjMCg!D{_55MiTQe)a!zwI zLx)Li4fOc}5lSiFSC}MMjR5i#3H`+;Dy2i;EId4qTBQNN^9x#JDNgggY6-32Uud5# zeu_X2_xzF;gDVuy8b_?j^~h*lq_E1#=PURAE8^l*`-N8OD;0Mv++Z1h@#Wk&$GQQ~ zlJ48z`9~<^*pQGv^r}LlLG0K4s_2;~Sh+^~EG`NSTDE#&IaOX@)9tD#G*w`)$ z&dgU~i}aq)l@-z|qGMkZA~HNe)Ttb=FJv=4!S|ZDTfmm^0R~7Zx+^YutM^~Bht8x; zLI#k;42p!=8vAvqpu`a1sMAWdA<1{3F8nS9tiT2q zc=UGFZmAW56seM{WKV?Y9QQXH>6mou1QG8(af*5B5?-p?OG0tZke+G*ntbUodg=0@A(wRnK6=F2c%}4w+p+Olh2(ln$)VfB(d&;26g}|? zz_VJpBw6`8@`Wx@v+B*X4e=9uiNjA(g*o{1s$%u28JBc0_7UWhU*U9J$vVE)>UKZqH5 zE+&ju*fb?n9~OUxPRwr_eX^{T0rgBvFdQ{UakoGIw@IfalK z@?#f@ax4xt;*YW92H-9fq`jEHg#`p5R%^}|GNw|Fe4vxHz5}fIZN(>cL zQVG+AnX$oWk>DlGP0ZNdM??^sWASl6ih>7Usd3Wd>;-XM&`1ePmX-U=%6urxuXXwF8;6j0zzAA?;Y4XdLc^Zl0^p z*8>w)S1UR{LB?}`{%}E&%<9~+!4oPCV~*;UuKkt8jHiu`yOB2#^OMo6AAcRH2Fyk! zz^9+Q$@)HS&movkTc^&L46^^Cj2X(h{yTieV{&|aPQS5NMdL`l{t=9!m3MLXRXeE& z-jTzc-RQtng-Z;tDj$$xPI-}&-!zsS_~^yry&7b!N573U2++{&t8vh*IV}^pil=JZ znFySvkyrhE8d`Zxrxz*X;(}u}oDyDh#!-Hk! z8|OK*pl0(=a`yl{`J~P3?Uy=og;O4p!f&J-(OdndvY@3Wv!+dhVduCTCoXZd1Hf0-KvajVgVPkmZaLl*j8A7*40fCHh{)*`{UL70U+T;3oywb{n5P%Ba;o2nVf!Jq4 z0*oLo-_xQOcwyKqUDDvkoFGTLg|x51q+ZqnEB7%rnY;+Kq-PpJ+V-qQZ9p=krC-v9 zxA=gl552yd{nN*2z8Y$QKb5Wguv~sgeFzswJ(Z-9we&L{%;=z{V%p_E3^9mTuKDNx zTzH!ss?_ec05-kdXIGo05lXg36pHD7FsE>`mNLZ9konUiafrd!F){astZhHLVqmSZ znnkBDO?GU0)K8_qaQ;A^#8^Mzcjzsz#pEJZJy2-^`_%(Pdp2&d@7#*(N-RnYg}f%^ z%9CD7vVW{ER&p(Z304*>Su;513dp@o&+G1Cg;T{ zFxgVzKisTmBiFga3fRU~R+ivz>I#ROgU6qf&&OKv@_s)hK3(5)1$jc$*?BH|=mTnmchVG+Z-^^M&g;g2JHFnCaaj$aCio*U)!(pO-YC0@GI9Rny$9_VB=ttQNDTepigxt6e_`%bubMCqSdOBluyNj%A4>TO zYYd=9yhppZ!Usm%oy}jGN@!Z6=1%mvAlJ~H2_%lpG2~|nDuG1TPY(YCJ!t^5*;J|8P&DV%x%v_mTGCDKbEnYH z2`Ri7Cv?^o$FI@nLK+k(g0zXekwk?L91R*(WH zAQoN*!5M88$+Q`6lcp@D6Q>X(LpQ}JSTj6aJrin@+Vc`JTm+!?M#2w&$Wqp|OorMo z@8n{sQL03?&9?A)9&`T;t%%r{URm~D^2jv@R~pO~>6iG~wYzS24As{?*6liIk<(Qk z@yo_BFP33#CGbEw4>5Cgr;AvKwTT<<;dXVVT}(qXm*5kG)Pbcd=?LpFGcuwJ`H(;CI@) z%FuZurp=cw)nFNe@;x=vU+K0k)Vj52bc)>HY&mi*f{*QjBb8h=IA`W*sR&{K#h;_dYBBGGWIj zS_wZc^#t^dI9*iJ*c8mm4>bb7`Flk<^+T zFbta>&iy<4zU4Gh*}wcfMNj@6VCNev*lh)bjaN%mSkx?)(*#i|H17wXYFNr;;|P+7 z%1dnNYr3%iEhormYBkezQ77ed-SSDF$y{W^Xr6#4-HwBj8{HvnAaNMeXKt_j<;NNY zf>jh8Jr!+$AGWC+G+g8(tT#r?XQ8NJlwG%7^lKn~_diExeJ35i9Srh`e2Nu5Rlehd zq$;u9TwC^~Cz7`hDqBNQS+v4{hKsr*1}6{cdyZRo>N{aFsyv*)Ua~` z38zg*T9FJQept87%k-!?bjtS^?x@zH|L$o9CNE2dvYbEMCp!&MsU$27W@v1a;sNJU zuNxwM+D?O?2B31=#2Ut#>v|u$AA9*1hmba6L?=KI!!nL@TRiW z=Q>Pr^-pix7T$RiA5j?Wc_7nqD}9S|m*IgD28kXLvw?dWcPoQf^}VV&JSI~%K4+Je-KSjC5(HTzJ%#L&O#}ISz+t3nzC0Sl* zXqRbDCR)95HCN^yUsz?4<$W((^wG`e5i1o&o^P);eDQ?f3FtAL@{v&f?Jw~QR?0i} zK9LW#079WfTobRN+);VLlY<_{p>I~qbc@rWjJ3}zrmg9u!C5npLyd1<+OK?2KKzrq zZ(`x|+hhn4AbA0%7(jlbBY-ibO~o`+)6fY{V(ZZljZs74?8Bx!V|=zMTK@lBW0&?XU+9uu{2>BVxzg+uk0 zoz@p()PrL`obV@(4uRkIOdF0JHs*XftVDlGMr(c6=aed;2XFU^VFs7z>Z^T{rZ0CH zRQYc!XszGQr{+mF+cb^-S&TMdd#~#aw5s`}rHc9RxEI&K{O9Oo zTKK`?bYUtu5!NtyMa-e@Uk*lfap39ezizCrC~%Po-eoBug!8qsvS@pvS{;gm2@Zm4 z433H&`S%Jv=B&(J%$t;x%quB-=4Qa_C$Ft;i$6H`>G|*Od+|dBfL;pWs%nHKw0`qn zQRuD=h{CUiK4M}2?$j3W6mq?e^iH%T-Li|>`PoEK8u;4?#Lom4Ul70L2gPI zBe2^Hp}|IKT!cmWoID)Y=hz$x@?mUm%}{%Jt;#7Ob&9&2ptrEhFR?H$?4~>io8R$~ z;{ahiPG(AjS(SwE{}X2XCE#Z3lC>=$ur0kc7CUqODT0{F-saWHFsP?AE#J%S{!fZp zz_IvB!Tqitp_5aHte_p=nXgvQyO{6(0eK2)R3l%j;exBpi(?ht3k=}|`y+1Du{=(xsgZXX+ zN9f@+$T96I|3Z<6G5AYRm<`yig`oIwdPt1nTBq&BkWjZ^evZ`7r&~%#n?mK6+4Dn@ zOzBWt&j9^Ep`i-}m;MzMJkV{g^qG&scI56F^F{L|x1Y}H0`fy&%qdGy!tv>ew2c#M zEigW5C)#M{PbK;%r_M`Q zLN3{BDjg&mN>DNq@2828BfIu5CxLa8DGeMdybG_X{0dMmjaG4t74n=Q)G(*uYgQ7ewUW3|lS##+&Dzd*WxYAUNZmeZXm1hjudZbjJ#g&eWd2+gI=^3@ykq z2yXr@mZ#v7e@jHs^Cl=^<8;o#tuue)6oBc|hQcYHU4T(TM|7S#E+$`oeR8nUt_!40 z>zI$`zld_(Y+}%I>6AJ&uXyajjB!tko=S6D+K0g8hrkQ!;x>-fb^#Z!F2=q(baUY? zvsbz63dxT#p~l_%upo3Nafyg5CcAGI-LdC0An0*M@xAP7qjUOccX3Ibm~T8-Nuq(5 zePGm;-(ps)wuN>jG;zl#_h^ITkfe_C;xE2#G0lFqyxJbVp9Zm3f{j8_A!GZ~nG!bF zK6GAh@q(Axn|=$BQqDjE;CCJHus45m5YU*6th<sB>;E>-6#ynraZa)ln#m@>c;ed%+j<{y=LuVry6bm?IWto(f3WBz z$5lQT**-^0e`yZ+4O1q**%Em4%ETT9&s#8U38|CHVVqh<{lmf4>S(cdbz~Q zcIo3mGw>qHS^j^d|F54RN~{{8wnda3iVh?uo?!iIphZMiLDRgNR-R^C@0XT^=wZjU zim#qAU96IIuMUG;6&SRmBzAlQ4|^|1o%{<~P}($@v92Tw!<);tO(vCfrSePX^?NQ8 z*D`rAUoZ525X~Fu2z}|aEWcPS@@f83Q-9Z6IA4auUvpQvi4EC{1ncX95K=|sFOP}r z;U+-!in$lu&5dUU@!~_X00I^qMay$NfhK}=C+RG1>jh32FQ?+BQ`tvaU0c;r4kSj6 z-$PnMm|C1PF%KrtGL$@3vp#< z^DcJ+qD{G{9p$%8J}y(S=m!xEeb43r3Mpyg6cLZ_bAV9uniu0AWlw^4wQW8Wp&b`W zbsq{RZ{BOBoF$N!YHV}ght$8(b%2->5jQN075<^zUlU}-3NA4i2=)jKoSa8@?hjs_ zjdR>y=>rc}-0WypZOBEi9ctmCR>9nZ?x}NMIBt?Jv3%-kJCC{=mTnQhFdidmggryFSoD^D~DKs|BIwd12^Hq zdukbqFGUrriA$iIwCTIWX`XJ$!QZ9-yTr=c!>wr3k59N_^XgPi&dJZw?I&G+$a zdL;P~&AAgGBsc2IYCW-1mlF5!1L0JCo6yDI9Q0|s56|`h{67CWml_wY*Y20*f^eS-=F!XFxN?;w+sVh zO8*NT2oO5#@?Nc#q2sokK~2$HQ^qI@M;Ek|3Rtc2{_l=s2X<=+l6E5V(^l=2nzuz% zbUU>2A>>Xq)QT?cb}eIK*N5|kq5aafIw)OgG$NdHlaiYREj`4`g*qM~vL=^8fsma1 zk>9`^=OaW=siMj3BH0c;IhP>aQ?)N$v03p28&m{~wytk?8337c-RJ*_tr82Y%#`ov zvq^^Kl&OH-L3iCEadEs01P5bSH#)!64<-!&G_u@kAa=*q-f7&5Z;ub`KZL~$xCC!9~z^#*vhj30LBXvL-Aw)%z0}oR@A-#zU zEDz(@tc(weB>7K_dx;a)o>LjtQ8Vq!>TU6TWs&8R9fTje#Ju#3C;;Eks72 zgdfaXvBn5ePGPP-I03q&$J&EADgb*8)Vy-3WIbfct5E=N*;#O+G<=V}Qij_Go_5m_ zwG(OO^i2Yk>k6r#9YlSt zJD8Bs<;8Wmxa$1got*MiuVVMVHv2(Dd$T$3`0QPczZxPfA zapO8VAu~98`Whk66lDFMFM!Dz3IP5S;R~tQ8?yn- zeY3d}d9HAE*@)-_Dg+&$4yWa{t6%-4o|QOowtsd*JQWvK*1RNJ8IU=>U26HEo0M0FaR~z^pG6~lCoz|ke!1(aZ0k#GWTw*r9*oJkL z@4NdM-{s|bU+>|}l_PO3-NIn2FpHyCpuW}7kGnazH>h@6$AN5(0D(4g?C>FR%EoD- zuL8_dFOIM?&Uf&MXF)4!B#5I^&!`DKUVpjxsbv3)@6G)cW(Lw=+RY>blp@a85kkESI?_ zp3)fM2J7ECHG(}}U@CyuOagEAtLeOBW|@Se#WVD<_waf~qvmtIWO=9uVfzWs$?}@} zjR`i39Knd2njry5-oB~sFWk$}w70_|Zuh!A7(PYIr1|G*D_G0Ua|%*V)tuWAO7jBp zY_Fwe#RvpY6kx{SU)vpCJMM1%XrfdPDA^qu_dV)UM$)mnDv@s*DLlQ;cwu00$=2#e>$%nU63QA z{oLZ3H#1o2weB`1c^RZoTHP&TH000Sc$b&4jV_ay$y%}e(&SL|NLlS~OFipvlUp3! z;;Eb7(scNh^!1p*R8~FlN}tn1yWTk|UiAUq!Ls?=0#jLuH89kE-C zGh!8f264~qcb3$7$@4q!Yh3Ku+ZaluBR`vdm`qU%vjy8It31UvMVDFU%%KrXi;}n? zvoAD3cQ@;4%-3E-bbMOvcl~xQm#Gg#(yI_?dm31T10R~Y;{%?>;sIiT0vCQq<0Shm zIb;NB_+}Lttm#+k>ogb7M+EHM(J7w-+#~}Jl*PQ}FLFN1+cC|;wQ}oIPdOkL-0zY7 zpSn-9ScJWo74XIg3&W&#n;)`G`^EhY?-C_aqa|q;GxV)MoS4bu%NnxsA_I!DVVbTxHoSjR0Opu}HIFLn{R@k9D`z%l^2V22*8z%8lxPG>CA)vp2eja8~F|!NUrpQfMzt zpBc{-NEu6U8TAAHuGp91{1fEqbf|H-q6{`YHE}_{|M~HkzFECVZdB;rE&n@Z#q;1m zz_+B*#&bt?$cDbk9)8Lj8|z2Mh3feJC(Rx{Y$&MWU-EJx*S=F^$i<$#4qZy?IA0tC zvUwAl0LUtL_k-f)o}b=opfAdq(^y< zvjpHtqkJ0Cq339!UT{^~$lTxJ40;K!Yy2;HM6`6&piv9UB}KZM$k&I`aO^|-HcN`0 zIr{>Fd$GIjFM$d-CnqUKo%-iG2BCC_1vTOS&y-`RFlc_RLFstUF$M;wBp;~|m~f`D zEibDgL9JLmO%lJF_=Ry6yYn|7EhnbpT3p)$-Onz{obTJM_noMKN1P{|4PE0JAv{=$ z$*C-u)X(Mtq5Te0O!dUYM2v;}O!9O^<1D3I>jgqKrRLHD^4pM8iu^sn6B zX)ihXM>94So(VRo16D@A#_R>(OfKSbNW#qrCr{|L0oj#*o&GgFeNTCT1S|B|DA#m- z!yZmflT`J!Zm#}!VX#OYdfP}x^*TiFBuHQuEA+tVWmz?)oybu$@T62QV!kN!CsKTNbOj zYwIojz<7hHE*JdA)SFBG;sTOW$Lsf(Sg~~leXg6?q@s7a*SOw3bw7ba_#H$2_G)~j z3Dp9)ndK%(Vb<|Z9omO4+)B^kIrzT@s1Gt+ur>9DIiKx0{@V;`Q%y`&y7{gS! zFgHFlb_eG;F`KY-_ms8i|BXS2>y91$HX!B+Xnv?1X89kpqgjODmsIjb9m3Mp>k*Mp zM>&!z%i?ua|8h-4xIPtBo-f^i6mC#L`)1!e2@9w$`E|!*&#XnD@|qXGESQ zySGs<_}XlOSV3X*?+NCYVP>4>0EK7p4U(&KfyV=04D^PQw-nw^&#;!TuS@oIPu%ch z-5k1J@#7b{!4DMrDgh}!VWVcH`LqDRP6tzjrL~sdOY+cxdHq1@gP_WvQyIu2&S8Li|?Wg=W0qGQqP#v2r1oQgv>~4p|OcmeD>vtY z>Z#qRp1isF4_t5$%#n5H4huncDpMLt882j`6lyB)zg+68-oIQ5V5*Q55GQZFok8^jo&1aPK_t26msh*%M(P=843|cL806ub_eJe0|1us zUCtbw++`B+)&cW06P03ZGK4e;BH`QrK+Ho@SV?NtRUo$Asou(w{u&GN&H8`o5BTUw3zvCXsqE+Yun z`K82%ds5AECu$@G%B$tQqAS{cwN;-pVtZka4oMHsZJ-J>LcwmVO>bZ?fAvGi<7MZmc!|{iw(P5%1Q95m-G>U1Vu=CCFDm=IBfbd!yu5>G zrBbWzE4w+_FtN`yXW#;>jtn}JJegd{KfwHa#7V3`6AsvIyca91E&M3|fL}l*VVI{ZVVK-06S2ku3h$x-?vBY{d z_II>ABs7VtFOp`Q>0x6(DaoQg0qENwoT%$V!UvD(JTFp`w2LT|5pD(mJp9m`1XELN zF#F}XBm5NePe9$_nqYdbx{1VqdO{7HUMV`Mbq=SJ7l8bHwxs1;=5VbZzi9!8a7rD( zfVO@|lrCB|2jRW@cS13%@iG}Zbof%6W#m^Opp-mdAB@o+HO#ZAQ^MM{F4Uy&yhIpB z49^Wd0G3rT@*d8!nIGkfHSI?38dM%PPcc6COguf-835kbP9Bjl(s1J$^Ehx)ESoMq zMVF+m+klPGgwp~rTq%n{B>RM}-1&q2`l!>a4)=-BWVwtbv77t73o`l*d50g&jT~Cz z;xyCX4Di1as(o}ZNU>CiakYRKC4igk=KWpjE$h7M7QHBB(RMAbp?M1UqP+RXOs(cb zCJ_e7E7kDxN8sL=SKlLS7txz?C2{19NO)pRr?nzSLFlT@*#b`1$Y40Xt28BvaOUjY zFy0<-#t8SFOCP!SyU+DL&o)QBj5&{rWM)uI<_mYvQwA+j z05t%*VVMtQXFA1>H)7h3U>ic{uTka|05Z!4g%#6MST6=U4oi~)-Z^E-^< z?{Akv2iIx|6^%KCWSB?7R7he;rXrWiBP(+R-@30EiUfd4UyZ&rD&KSVvm~#z5_Z16 zq^0e%eO>hljKLb^Ra|U$W3%#8d`;!qH!SCKQ8}{i#=DMyYOTHSPOYL!e(G85!aQ3+ zgkHg6y$ykqCzy#2LE-JpPwR$}YwS94l0Kt6o2jI9;jOeRTB};?$YoRD0_KDYypiyk z?a!d#44i>VW5n#EeQGuCDh0(vRWD4r(<9Ljc(PB946Z=M{WYlN`1dbot{b?nbPf|s z8?vs~j2Dug->4A|o)aI;4pULx0p!BP?t?bUZFRh!g83On7a*W8Cx^ww*t2$QMy&Pu zcz%r+3?X91qz%zF=`>n%R_nDI9+w&42KJ>{TV&AYluEivwMhTZpOI62LH6U+X%xV| zQ(f@w+a+R((BgNFIMKSx#3^xN)feSm`Qjs9tjRb5x4$L7cTPz2)XpZN0j3>jTLZz4 zaGU`eKgwVN;?Z}|?A1--z1w;l*w8tOpJ6se7s54>%j za*+Yt&o`oSMCsU;9CQk*Aa*%)k0#llxKDXho$J-vzfrkBIlD;%`T+fPdpw<#06GQ! zw!`*wenDvuQ_JtQ#G|xRYX{I$azwSN+6`YBCy=oo{im3z$2u@Ob?6PyHLzqEauAaI zi%<_EKTTOofZ--@T|9Rv5bSS>h%Xf-3g79$+}CrqdYW^<60EFJ`^qfo_HoPY<;gw{ zbDL#Qa-((+weGjh21XLzuU30Qf-&FX{p1!2O=1Oc-Cm&d9PodiXQ+$DxH8SKzEJ12_sIz*sP=V zwd>r_Gv8a&{1WP&!mXx)Kip%BiHatutu`1Zc8??Zik3(An&}+SNWW*p(ySY-XIGc{ zhCBb128tsdUtHF2AGS%QPD3~j%onCr9vcY&dELQo1l5K3A-9Y6Wk#rAcxkMqI!r`2 z)Y$Cnz2IiKsaOo-oL(}YHOHCZExl6qlifJL0^yJ5KnP)s+$if?_Qbzo&(fJPl$Z~5kNeZSfQ7{+?Q(Z?yg<(&CX_hq89|cM<;a27?4ABLt#YPV{>K8`3L#N^M&2O;#-;qb4nwvR_l-I^({K6bTc!4&9LUXL)4D+_f32uqCB4Eg z^8qrS6e5y9AqwJs&Rc_BOJ>8hpnWq4{-IQE$ppuIve8D{xUI`R+am@~4|yBfC&d>T znYCR!FRmx)jZ`%)lsniLVhZf)qR$vo21j4$jlcz5q@F#b20f8YLx*KNbkd_bzkWxxQLOKNpP?`ZjKuUV(cm@S2 z$swg%8s5Y2{l4pZ|JlsN%$c*#K47&+)rzjm!Vs-(kmw zlwAOlhLmr(3MB7h^yes_#6&@{$G-==!H@i^D;R=|(n@jzFzQW=`CrLwm5XpB?2i3R zvMl35fjg^3o;NK*ZK*x>NKhPaxxqGJ4K=$1-aqveFai{Qq_G+(K&E?biKL<<^#uV# zmxCn?YOIUEPsO(m@+1JK&g}Cfvp?uuV1%CzpTE0;Cq%Ykoi{`_Pl6%H<|?WFi0344 zYd8#YVwI|PA#`>oBz4xlKL{lMuhGR`W%X@?#a;u01pkIme zKbgfSX?x1+_1(^lkwlrprO4JA9^i$I3R&r&e3+R@{s-=3wZb2=0xt^IT`tL2j?M7xN8OGz$5chztIOJLK-q0D zy)UNd#pqumg3a4!X?K7~-wka2R_MY^g!KoOHpb?c7Qup|z&&F=m@i@==M2BNqs|)~Sfh74*TQw; zQE6KA00mHoi8PIkwmtrPLWm8>2DZr$$T%bQ;^Q)PPu z*~)s_4!0a$+BEe!FV@hk`o0B_0tJ&khC>Sz&dVwt+W_O$a&WZI{x-i~l=BSYPPES+ z!MwHO+n-Mw4j9c^z)`|V-Q?0ayTPIH+5aH~{BZ+dp1nMXQQYik238;mbgU5eG5VR0 zADR-x0i_Mqtl8udApew=rZq@dV&MHLYE1H0oA+-1(zL&TuWi zkn2ZW<+)P@*C{<|O|flN#d-!6;K(*lY_~6VBv1JOr{@Z={83J!uQl|QRA;ORy3Uns1cu=J?40R*+nhTQp(+4t_t-ubd5--r4MED8`b z6$;}K!FyI4Gc zv8G`dCZX&#g1C(o?%CNL{tWwMz&=xDS>x>@U$Z~iZxX;#bHME;@VhJs4e2FlCBM%K}&uYY8zK@mle z`r0tDKGgCk4-GWx?a}1-Eey(PKMB|4Z1o7WelV*H0yX?hDycEdCqL!-CWHy!d+p(t z-pj-egb$g(^AdB|wx3r4w=FWznPY||%6(RVVFUaux}wLNI++HG!-v?rzauzs2`yJw zQo;V~9}&}}m6Sxp1l@J;6U_>TYawds?lSfdvzIS5f7J9=NLQ*6HLm);ijUsz35Oud z+vNq(vBBfZKm}Ka^=n+ra_86D$V-lfT6w+2I{QM3mek!7t?|v%(-POpoN=kugisJ* z>o(t8M!uV5IWS`4RQjDPNM#ETg9YHdlBiE5CJj*0(`Vj`DnXkzTtv5A0J6I_66vM+ zP2_C>*fV~iW&Vo$a~72<#q>7`Fs_c#eRFNb23Xv7)f!wZ*C=~(<(&(`%ZT(im$2Rt zJaJDfWDh54@f9c|HA?s#)pu7B#J!n^3VD`t2eBp7_P z*nd-o`v_6EK%reU^H3@9BQ|E%KC&olJAfT)-K*v2y%BIe{Sr5<6&ewoQM}3g1!}9- z!64!BcVci#^^L-=plgcwVgRUy9mU8CM#$2sK7h&g-^Si9bvJs7_5o!p3ptJKaKG5G zR${!X6(4ZHCtrQl|6J;{DjVOQfoBI`tYYRR>-z*XmNw_X3ZreKDgezra;}r#5pyur z-y~>RrK7Q){M_QNv3czuTm^N1;yuCEx*|vkP5&8LgceSNGS1L_!;Cx3rubw~ljs4Q- zi|JaRVqqNGB%%-K59ReB9bnp*%&5ut#`tq)x8)AH%^oaKbs>}8Y~N#bMEjtAc{zkfP`=s?f99i%ri3(>VfML`D=M&J)&;8{ zfdN;?0CqKe&oC&WGB-;OGt`(Zlo5wUvwsP#B4@B_6)%7sqva#9jU-&#v$NH5t@kc^~2% zxoeUtT@ZVHDztfq&)f7O#^jkeG{djEge&_iZdwVHG_eX2iIvR9u zAk$%2(91DjgV{>+kRKhM3V>c}Gsxgk()Q7XC06R{6mMTrJ$2R5tj0?d5 z`RB0|FW6p?OAihd)@bcb;gWj0_grcD@g;8g6^QRv;T^ym4@yM{!20J%<*UdcN@duu zTUGsJdJutxgX8n0_d4Y!SSwF{(=ZmrJ5!r`M`*n$*pNHZ!8Z zf_9!A-CId4$Dum(o9IVhP7=eitmw%m>8`N_f<4OT2ZPktR(jPPp%K9e#hbr0p$3@3 zr(6Aan9*S6bv59+-B|V*hHdQ176P`00=4uDT_KK;q8AGc@pSH*zft!*~--G z3^o6OSzJ74Y}m#RZQN}Uz%33Dkh{((&F$9TMSe-$P%b6(b-$~E0p0KQ+l}rzeV&Ts z?;#kbg3_5H%e8a=3k?@aO*ZnHIRMf*`;zpQHM?^3mI1sz_q96H?+<&_7C#0rj?lEJ zV`P41{${HnBft{U^Ro5JcTC6>?#l;9sr1@2)O(dNr!J47KKKe9tFn8?d_WSvmu#^4pH}nk(LO$*O zMETcbUL@=`_}}^+spzu8RbM2cz?fSdw%AxV9Z-6s+`PNOb-A^eoUs)c;iq0agTK3& zfBYEq)ZV$f>!`h3P@lJKKFHhbdcnmJVcagkvbUcC732*V^o%<3iFk^lI+9+?XU_5M z_=%g2wUJ`|&~L>9cC5QJNrXpC0Y;n(p**!sz z(bNhRBqFdQ$@yVV1x_02#tkX}3h31yOyh>!m1wW1mXlLal&mKzC#Sg=X0M3zhazV~ z&}*Ke`O=iFcUWWI{=5ide6gnV(ri%$B<8p(*v_O6B*hVtY3WTRrDlY z0?df0s`7HAn;I!Qyd)s$W@pe+FN%XZP^e#8{Z8)M@e%n7u7GS4b22xEeNmvQNlc^! z3Lld`HwcW9J}%()x(xSYi*Sc|I?7zWAN(&U>85DVQY{0I*GYTl@z;ADK)v?%Rq1@O zN5%0m&}d6OvD9lC?>{m6jSNIQA6}Xw6N$%Eq?K@*r{{FP2qyLs9Dg zE$i#0+_rkEmjffrBc&+RNc# zGC{~{)y>%KK~uns2f>`L+0f%cS)kUa9g;AmpH}>-q1^w1a*|}KB)jU3_lo%B{_8|K z6`|96hXdIz5B~VZw_O-o6l9n~^qG9IY4wg=e4jN86v?m!*ff&lV+qWMD5$suu(b8E z`4kP;u&EUldNKG23&R7iYXT5%W%`}BwZG(`;8jMnQ zlTH}}?;F-?LbOzJveOo{>ED01^HN=##E`T$HllZjOn8n%;D5(NvBuQv`+RvRBbrwv zSu%RmtI2%Z`z3eF?|Iap@Pw2m=r80ib{r_GLLWNuS0pS*h2h$!)ZeeQ^;(QO?Dkz1 z$4<MnHi4(;~zQ87aiYTaAWMn~b{S^KaL_AxF~=b#;8&3D8=6Wbc3$U1?gy zv}ciU#qp+5qo@52{1l672idCYLL|u}7o%hbr=?fDfrN&WJC8rX%1wi!e-*9O{t}`j zF<7S{wtiFM8<5)N&rV{@It+eCMPS7f{HzG^A&Q8fA~%Devrx>AIDNfwpxHc9`U!rHha{33K^u)*<=D}?-unpcp$FxuodaX4p`j8xn zHrKhlo*>)jL~PCeLyeOPn|&nZ8;;`O!q(<4ezOnin(kpYI(14hNbPHll+5DIH`D0aH`KAy1fmZa{EtWD73>=lm%l`$L_7G#F)JJwG7S4-MPu~ft&i00a%DtWboXe= zU?}z~Er~yP;^uX&tto!nH=Ke6-J~-5Nw(bZ7(U5=Wiw>TvTf`q4Sek+Z14eo$*i)O z<}~}&tOR}XMZG$k!WmGe{c1mMZLP)z@fNf3Sdzo4#rPpjd}6lhkEg6+lA+T@GtCw^ zt9VAzQH}HEa;!?0o-bd&^!UE6#=uC~G7r+tU0|TMko_BC5&2Z*gV6G_f(o%q^{=0G zTI9?U49Y(y$_gWJzbTPU;T?8+3*1YS_*QRY4$$kE2v}e8mAcJXnIKLKg2Sf|IK>k{!bm=f3vF1Lp@)@L zigBF}ILe8ifBpfV1?7&3ufV|Z zh*{S=>$DRa!bHHE`#uC41kmyK0)tC@6gCp9gccQJYZtQ#2+x0m=X?~BUo@K2#&?>W zvv-W6q=iVBDUcCV1k3ZTeGPsVl{x*cRF0H=Xp10<*()DkLf|Oj~!#SMn207vL8AcKxa7Otkk;maxKU$(^DoF8x z@ov`nSir$vP)UQNrXmO{)i`XLODSt?N;L?w@qhhA%x*;m34NCQ7tj3k{Wo!}0g}Xm z+nX9I>SN*v7*VgKiUJf7Krxw+B_hBSyg5i(va(PX@EWH@00r)K5k{heR3_^8LhR-B z&c+T(UPLQ18AUn1O`|CL|K~vhXR=#K%W0X3ytE{s zh#+-#(vigwd@ZxSa%XNij3fWq4!`NcsUH4a_wev|(c^CR38bmwl8#>qy`jzTe}$My z5Lt7<=*!pV(4WylqXIRJwTO<%->wc4XZKWwD8{E8kXxT~Yk9WIl3I03 zM;VKuxM9oc-ne(ey1p9n=2z8-LQ(Al-mOYrBqZxu0Uk;8g&Ag7crQLU#OLALg1hgA z`e3llm=&0L0OJ<6pb;YFNsW+n%%L^Xo6w5A^5iAS5g7bek$QEB!o)2a@-pW=-O-`4 z)6nun*B7$Wsq4qM8VTnNI0g!9mR!ekah&1rl)c+%w;)D6Y2da(Sa{rgcycwDu-BS8 z`yJ^tTET`(n^ns!(w98&wNu_>Jg+TZd0(53)Zo~=w*^NLRq=S?0?h75;gOVN^oCWL zP;eS+pQc#4V&Jnyei#(7&z5Y#^P2brTVx$J9%ulcN-ltD;Y1*siv6II7BeJG$}`6(@fgca3^;~Yfr!j^zK_SW z6UrXWd)tqyzl{%{&s(87{qH}S8f0~b)`Rx0eYcAC&HNZPv}6ORyUwT*SSi!b7WB<4@qr zIs{0_;`?1nqeFgVr?|POr!Y)z0259pI?X@^52wN$H`c)SYT8VqMEXRX2zPg*L8>!9 z@1(iZ4E$E+U4t?9vVDEv3u&Vj&U{X2X_Do^+}mTDFpP?mFK@B+sd>-U7HC6HL}NB& zlx^WD(4UJRML8DM4TJblU++w-!=rw3N!kow44P6AAZtZkhU6y?`A^z*m{#!~;oZX& z3VzIlzg`AG@$3I|64pIIGjV`GOl40b6(-|+qmMAZ+oFEVzyt=+p;p!&%~EiIsCmXr zQ^7oGNvk)}#sF+H1u;6_{i?ZFpk=!Cp+VO@^vsopQIJpZvJyg@Yl>-vKhU40Ht7OV zJ?w~7wmzm!>R0AJ;0U;OO&7oc)rWduiO;Aj8lxC zJa@x)>EM0gxf2FWnSiN~1xjS`s%ZoU0T>eCs+%o_v%agP zA7E-8zEI%>CIYHV9WH*f!@ZKL312J~^e z*%IFeQukA@#EXm4Sk9bU%=_ZdEyL^edVy=CegT)bp6+@uFQ0jV;A&_d=)(lx70vs| zSj>VUZ`n)Tiugm_Ki$h>{BMn!ey$rfsgKj+ZjvQ&!3!uIuPKbfGTeky_hXbgxF8`| z$chwRrw9=ku%t;GPLnM6{tYTa<~Wv=D{pUH|Cbsh6eTqq2vPMb7aH%9kLkS#Fh^!9 z#UErFhuqUG@|u zd$@4U3MrV~Bmam1?e?w|qS}mnh666=8Cb>N{D}JtY0D{-Q@CyrpBO^mBTZGS{PhA? zb>xTRG=fUlHh{F4;*Bl`A znl)h>%}Ua+B3)s3y~e5+J+HJ^8>zENxTy_!8>-)t8NLbX;_W{x@9jt60LB>{Rr(T! z;Cj*i#FAHVqv&rhvt;aEFq?DVwv5KpN4&O@DIVE=q1_#iuyFzA+*YN-mZN4<4MqZJ z?)#_S9O$`>PmQDYI`)$=#7BAhcMfL$^Q)Zv6JvBOcc`~fF3DAsbnVR0QjuDk=@ z!GH>#LEn;K+nLw?tx7X_!%@#GbRPa_Kfu-e;V4_@AnE=w;~WEn91JfdS*GpDGI6kY z#u5TM$&$P%ETo|jF-D(!IdR26CUe$@o>777JU0ZeZ&l6P|!Kx|Vh3fy>A#HUbpLsQ(io0s2%yY5L8 zE|_-+qI`vqa#TQfs?Kr-=Hi9~UG8Mfc|+U;i*K4i>!Y@$oeSi+#rk87=W&^!NyZwj zYx)h@;@f@6ws*?66QLuZv;N1Bg6#>a7|Vr(iO>Mqvl*geyvwnZ7uzDqJpoc(t`5-W zWzqp24rfQjJw;b?DxB0_qiR|4hoU|2m_O%Q?f;Bz?jq12e^R*Ed;Zd}}JFKTH^&a6eK9oco)Y%kYVu z{D(p#eQ28)3*ON&!QaG}J5wV+jOJH>g}eF8+lI<|>lMv_^6ZtO3CD!6unaQA3CZDA9fq-O(N^R;Gb!r2=7;VZgDOozxEKw9szG?`1 zL10vdKl25jj46DOHiMzJpFWKyG+f{z@^1T+#h6$7D~r+9L#N88NSwmvxYK9SXVpo- zl=M4A#TYCerVCtv{lg@ZWl`_UZA5;tpsTBIHEbgfVJ(#r6lAY#UskAq)EgxF3p5mw zNc}Im)M%KzGl>Lk4teiY@dzN##lWg&$!`5&v8TVQ=}5D$u+jv#n&=|{aw2UqlO**j zZ<`0Uzg92hy(j}j#kcd54^c6s!Z<39lHlV~TE83qoFn;@r4~u1WSz_S@9BdLwkWzK zcSzvp6jpQh0e02E_kSc`=3QY5Y(+Y9YvL1qdWhIp@sS5#L(|qhXBpFvQM|gF9hj(l zRC)Y2u1tbfgPg{Ug-jM6>26uHU;a= z9Kl>~iR7eL@_4k!;cm3bdc0c&Lo3q#d3+`=v`HWVRAuh#m_gG`H|Yn&B%U`lc+uPZ zmR~dMYJ_Otz@8-I|ND~A z9C=T&4C4ycE`NckS7On32Ti&8FsT~Is){TVNBr=T3XU9i=Z%j7C^fTATrYx7@* z)NxTt@gGr*bTKqVojX5z#-+?>Lkz7qX8P%w^o7JF;``` zJg(b`5!=QgxhsdXtaN3!p`P2dJSUjPUXI1!R}Kv*#vc}ic#y_#@&}uF0SIZbl z33G!Y`LcQmQqGr^_!m~1@tmAq^m=Ddb;SmwkxqsUxbg+jAfbMd?Gj^mQGAt}>Uj0Rhrk-^w_<=Y(|9}j{`{HzeZ zd8SL!UDIbRf+uak-XGh3SYX#Yaf1sAQW$Pr^4M5EWsls;i%|kA+N`%s-ER+9lDaIG zf0!H)htru~Ezl<=V5gW)dn`j)qv?h#Uqk{S4_1wx>&m28nyIvjOA!SdJx&7zbryGY zHOlC>B-tmF@jHIoGAA}X^d@78P0xF~?S}z&O|_*@rJOStZnO}}TdYo)fgyg2GyL(& zm^UMM6K3+=BnFv;q$wN**J~);gclaBr0z*l2;2`=eW{{-dgnZUDOV|=E3fK= z)#0AJ3A$R($opHa)0KU!h0~KdC7VgGhOWTK8ETwk97n*$OZK(9cBoZjq^RfI*oIL57S@0 zRyxj6{o;H}VtM2{eu<0TjTwG3cs~qf|76@ED&N>%uk3B$=H~{A0SLq8!FX$4QH=yf zdTJI7b^qU^T8$i5IfR?Zu0VNh3ACVy*hR zZbF3)=pSG|PP9(9QOqClaca7oO<0lU-xl)g{W&`BXpxQ%wCLj8PUN1K#!hL$kB~4Y zfgl9yttws#&sfjibyaGur~L2>6LA7(SZC^_7`xhvWU{Ohq~Xar+0_Ut)Jk`-mwg~cm@2zhS=OSQ%8R{JA-N9KWUGUOVdoQmAw!C=<`nSZ(Uk^ z*XwE{y5?Iw1Dn<&docA{Q8Aj-iMgFM;f&s*6Mte--e+~Q6t4zXhdQP_FR zx@W0X>53@~d5eb~E!SoE1}_(1FS|$kdgxUT^$l3)3+{rcG?^D{bFp zR=FD3%$NuN3Hqwt(Mw+71H0#=e8?3jU&$;>p7ja!Uppz1K}6d=8OoL&rXukRHi!89 zRNJ)3C`2%}LHU)usG$P;HRWWz`S-y94*WVI#bZ#$t$^l~7EVxHaaio`o33G2xGH+%cIhzh)r}N^rc*AAg2PS5O}t z|MtlV&kAH}QpGQCc9OR_KKyN=zi@4ehF{%o3e z&W%{b_h~-wn-+(Q{d8jviMAX$9l&2@toYcLYuyXFRvCe%^u{Cws!f%EDz* zJnnFMSGQyQJ8-v>N z`i`rK|9@GZF?rQ$ehI)S9}lpzKb>siB3%iJ7T4~Vj*{RH4YXd2S0fxc=)+9 z_y?7$SHQS_iQM1Ca>sThFpi#EYXT(00=ihvh&}s!h|VpVocQ7?|I`{e3^9*8Z)4zh ztogMoef#AT!GaoUB%bZTX>zcWY~_CrZ5$O4h{<{T*>A!#J3{)c#Ww<#T8awSc=K@p zNuDETP$;Ws#73u=5X4IJd-4lhBs02*#CXtoZL-s%A7-BU{xc3VL0yv|ZWBb6R%WW& z7tB<6dRG~IQ?UA!wXe%}z}30+{I4RZIRG$x1h-+Hg@5GwjKHt%twRbIQ%3VtnT;C8 z^!m_8#H?r>-ac|kY-!9Cj)Z|b*%R;!g7R=-Jx{v6qfM!kkd2jY%t$;pvbW z>jDP&3tBz1UqO#?xAdlbG|{DSd9}Uruau9dT*iPWpLmQ-V87FM=e6mvfOG#3Q05tK zngprh%_}j!OgE>xof>myT4Z(arfkH7%StzI|NS3%1D>Bqq(O6wRyAdFw3PXJ(1vG{wCwP-~HlvT`I%1Hh97Q;njWCH7i@Wue{3nV=x z@#XrwpT`FZ zhKtPjZ;X=nF^Hi)X%y(D8yJLqbB__6oj1yQ6mqo-0e5Xhz3})(iClbvim}+opG-ozR=8b`C)s;Mu`=MLU+w%LJI?zMPHTNk&xmqVE<-}EXyF9g2bSg;( z;7Zk*jlI4DN4?5?HdYl}ZQ*ig?n1xll2TE$y@t(#!qZ0-wN&~4u06KPq{*}ClV>_~ zlikMG{}#qHo+N{m2ayT0bM+MB=14jdvp;{XyUtAC(12s`?>TcBv!779W$3eomV+$- z3^K9YyDB|;6S(j^`5t)QD(`#J&t8w8K~YV-RX{ftv$FSpU{h}b%3+%aCJ_KQEwN)V zy)GVS(n*V|dZhskOQmoh3uo5^Mnb&;MRXz^FErC|tAImJi?fN*G1$?Q?lNZJXOdKi zLREfeE}IK?U)GA13cM4D;RL`pndz3|f=bKO&t@X%8&@IbH)pk(LzSKEc{N&M$;Ux9 zHlzx&OoYGQ-tI-6((93%=-~#0Q?8O=b^8ufSe7&pm*}NaKZ|jc839*|oqaK$YTr=K zw)t8d&U{<)K1RY!(HB&*-1y=J-bECQI>=A^ZPJI8dHvdM@;>olQ0IZ-^CMyvnX2B3 zI?3;Jz8d5-gB)5LjGs`{C?@9$Wu-xNEeAPc*kK#8<)eq~r^0W5^&OTHmpC41qN6LsLKdWc2+)Ctr&-+eXo3s5oG! zz}DzFzO{FA71tagl?jCZv77>;NLJuyz;OlJ9wEb7^%b7D%(BCIJ=h@@>02W+`MZQa z@JzPnSca;=|B-H{%zrmGNDeKu%`4NNf!|zz2Mv*yD;2*@ofJEKc4K-;c0lh9Dz;WE zx`VN5Iq;s?rJKAv>4Hua0;k|~f`#jsd;qqGdEFYZ5kMd~86=Tgfjp>N(%8$oH>!~= zpk~54xP(bQu2U|Vqv)Y1O*vswtR}p~+zOU#*m=SU9~r8KRva91BHtZ?WuY=`AdE*` zuYVid7Iwv8IT7q$HpcT|)3> zJHe0~=7*Z>&?n(XBIuzBowYRJDm+$t`axK+m)_-$+~+w!EkIH%mahIHaEdhFmG8)N75_E{7!wsJ6-yh3=Z0}|zbMPz4M)9#s zH<==mn*a)rHskvhwm=Y(Lmh_kpe|KqfN|A+q0q3c!O&11_C)N! zIM?lnN}srBHFX{^MTLAzs-mouIWqsFrW%fi;0RU^y~R-JV0!_q{57KvB&pB+!~r0| zao2r9SSHewY9n8=92s@uNHD@7Rr>1R?^E!E_zM(t8aQ@*xR6ujgljuC4qPDCsbF}U9J}r<mNy*V28??ZUbN`SEyr91aSt!V#8V$8Ui0>E*0)*x z6gZ<3`9i@jy08*+ouK)RF6kiXkuX&GcS0y%?|B6Vg#FU3nQTAZgMbJofVxp=QU;0` z@bg!x*q5}Qsuh%2p?B@%m?(z5tV-cdQC4#AHzQZ0z6tatPe(3K+KSz9BnCY(L7u65 zFTOOvC{1ZHIPHio&(*k((ujEk%B+8jy-O8wTYfa=9go3u;~Se$h^r`Ope{T4!MgE}V567d0kKz!ftA+9!6dJ~xpz)jlTyPF-pPP77U&&bdJ_E+q1}yvD ze*gO=r3fJ35y26*>tv_G5 zg-Mg0g2Z@s2_t{nj9BoOR{t7*G zE&vWb=)LSp?d->ka+}_7Zct_iqi{bf1&02%jU_!7k>qGS+?&=jKc{46!Bms|GNw1V zd4hBK zv;C5pDb~1(e*}Pt4?_xc#Hah z91IE;b3`I;{9P0+L2i4xu)>SS;v|+&R&68uZYL(+7p{{z-i;gP&h|9wSk`- zIW2As@GWy+*Co1zq@k8}XL3E{^E@^U8Iqu5v_Fj`=JSeKcX%Ez2gkF?Id_nw<+R|^ zVb;1J5z46N|16Q0{F?VvXd%UvB{FK?jgUKY<@dp9j<e7r zE`4ycT{POkA@;(0iK2fe2xj|%4Dv20v)%;HuVYNDJXyX+IpSrH+%LGi z@AwpRRkSHlVQj!YGBrt;q?y9Hg(Yu8WE`-8*k00=OxPoOEJUg9EZa4v{6v{OJ1q>P zt1fO~rks@ZNMn=d*Eqls^yU;faR+?1nv9u0_n;77*qNYb{8>gBk@`;$KU>)2QJ6&J z&cyYg{DSHTvUk(_SipDV`wL=QZqx_zV$JW*(7^=W&cyUS?xs%K=U1=Quuiw4mP3qr zql%)xUVLg8P?!~QF$^s-@Epb_GsjlFs~x}wvBTc(l7GQ6Wg6!7lAxAomHS$VgAK_m z5?iK3P8RErmw#`&nfhPekU8RMfqEKGhfza4*od_eXuP;EoeT$32KY?Mrp;N~j+4$+ zm!5d1Y>4FJiOH{bM-Im9RV6GeE9&P)=P$P+^bxdB7( zse3}ZyxR&J#mS%qTKrw4^!U~H6Q*aklVdj>6?vN}SLz2b?{(loB&0n5VI{KO8BHq3 zjZnF~&H1~f5G|w^?CJYJ4|OisL|zCf2lty4T&8a!-1`0)8K1}Lf~dt}CKrGO@?31r z;U?S=jahDgItc^*fqlwjPb&9a+36_B5#Z)O^P@y@S|Zrw^?5V}C-c@W+dYJ#eL*1; zB@tGc5dGnpC6hK?&^ZBtUSCW0skxcQK`;7Tw}#93L&lh*822F5DE7Xmff8#UNZT`- zhoSMtOAVisk=_Df*igXc$$S)7rYd+>*v_n2^FMeLJgqykiBroI`VtS5dHc zIyn5)l7MB!IFP_%DKyJdsXMf$o7s0INB$R8j}??Tt@k8Fha!S>{Zp}Z-?nECse@dE zedgE0gHGjYEw8$_i^5cu@?Xr(49(Ah;5_}3x8IMUcblK8(w&GEKo{)a*9FGMjK2w+ zR?hG#Syq<$ykR30?Szr1|3;wyI+_pj*RiEP!q zP0(&N!2jc=nT7;dm8IRemf9t_7>dLN@V!wjrHD}P1NNnKNil6I&C_4Z*Ca(79J6cR zM{2~>K5756KF7}9d`ft1CAsqJYgnlB_!mX_P$foTsKw#F%yIOUq{T4EwWvhcavY9y zI(P?Z3~o+fy8U%=NsyVt$^pMOkS93Lf5!Rk3-lauK3 z{#&i8meX~}_yx9a43!;9$0VWS2LgH2n5DekAqy)pd$&ogm$ti+7}V#G2O4n%_=gw^ z+xn63r0P2&UioZZZ38Rx$<(~w?-d(MU#3<~pcA#;IQ6-2h;Gh*4GW|eR#!^D6s}J# z8FjfF*S;!RY>t=vH_@vY-}CEOOjY}ir*L2JaZJwXaE!LoRqq9LJWWy*H^0Tj*Pjwp z!eOK1mD>vn1P!NoYdt06#L8yzg?%Na{w=`38}PJS-XCi1?GMu&ctaXR6tj*kP_%p& zxf#Nw2H!`sh7cpNx`pdF@iaEf^VZ+xvP)nkG5|5HQbBx7tTHCzp{WlClYxTNj@GWo9;y^3_fUI)8le}M{0dc@*yM%gYiChDM zO^R-q((>Yem~obq<2$k2j&&>c5iqaY7Yxd)Z9OU1s-`p1#%JbM79#+Or*XA)O0)6$ z%Q<#1Fb_|lTJZ0S+tLSu6Gn^|hfYlKD~$=oP@EY7Q+{V_gv1|Ue0^pS_KPnD9o7U(EN)}io-?;ppf9AcNcW=Ifb@wxkCLqM$ge(Rt?HS2BD;qQnKad*d9`@UK zOZ@(k-lnJxTSy@dN{jV<^9D5uo1VnSfo*U2aL_h2Tu`1gsc0r}5eILE+NC7#Zd|Zo z&g0vh~?Y0+$(%L|#Oe~my=zmPcvPFQpL-KEo z(m!s2J2&*1(zr{Rk+AynBWT=MqKRn)01ulrfmnyDzXgDYmsN?@fZUY`h;_g^&yQ*x zLF`q)XA3A$yK9p;FIcVxCoj~0;oAg^)6&{~tEgm=K9AihH4Y5plovUM|KpRu~_zSk+94` zo@l7GDez``CGT96k9tyL#+(DtZ&`8te2=~Ax@A8)%{!&qvIU|csru0pmup(B2ekmk zrqHFn$lK+A{Rs4Kw~=0sCZ<CaH%Pv62>F8TU zjU^60o8m=N{Qf5y{sZx%&>JZVOEwy&o=>tFyU_CWp|z0D# zla!*VTMK;WZ1sx}MvMeH=^5m7VUWKVjjaJuqXO>L|Z-`3tPT$d;_-nK@v}*p4*ZmU!VRUwQ zoOk@%nC(A|6StXx!W1_k@}9h20u5BersH0Hu1fp~YLIs2qBy&=CuHjN84{|y2fE)o?=c<+RvnuZ!{@wVgR)Ip#3z?sCvu`TAyC<y;p#Kd0SMW(2e` z6z>gnFj~O8zv}W!o`lcmnW(W?H~LvoXKZv=a$?QgkaB8n1Jkch&H|}FelWW^h!il` z=BC?|GQFP=*cHoDoB=85j6dAb!$m25t0Q`u$G%&ZZ6*+*C4OzCo6%01EYwHDA$ewO zW=ra3&pUElIu^?{tA{Fb3pIH^^ZT1^)=4bgGec(9vQlqqHH6H1q`W~Xi0{}MW?Z=h zj^#=T8Vr<2AtT9VxQw!0z}b?G5vv^=-%S2rD3m^ktAuE(qigh|8Q0a$I_z^F1?85d zMp3Jb`whZA;4UnezM3OQ%iAes{y!-_N&iWa?#^4r{;wELd>o>JzJ8EE-?Am&5UBLF zFNZ90)`LdNwaEpvtMR`A3MtI2#iy}Eb~J+Ol6O%!5x$-Jmk|fgjBpx>zWk0;hbrX1 z%Mj*WgWSG2UU=l};pVi+QRev7i>=7oHJ-j(lVf$iLB-nT;0I4%gea+!W{76`S5cv%Hu6c;n-}Zv5wG_+NM4cE`j&@JaJAs`g&?jIzu2 zGmNsI&xaq~Y%(d}4QXj@0#5N%BR*4pxY%PF;RwlQ-6+=a0ZJyMhz;R;4H?>z&i-GR zFIK-M4oH~9o&KT-Q)e1!fIDC0QWk{0jQy{Y*klA6o2R3$m;UpeXe9c#Lnl>z%*2DT zM9(Pm^69;o;2FI>{ihPmhohAy4=h!cC_dU`Ar#DVLwoeED+vxv*ZhHQ~^_#O)-?G6N zs0_OoSnH{zL5yZv&Qgb!YZv4-0cQh;Utgxrlr^f$6nq1HeNq(Tk}chYCFW9|*c)#??^eN3G&nWvG z3X3;%;P9v_GE=DS2hcZR8-5dhO0`L`;>t|7-zemJLEn#t2&^fzL8=T)Wso1^WVEXh zV}n0Or&z0i{tJSF{raMLmH~uA2Z4{Oe1Y+2_bESJ%s#4eY>#Zi)dOTejEYT_n(1o8+Y82QoOHnnSF36bZ70xIKi7kj9K2L-_aUYYJAbub zY;tPYFO`KDN>&`I+Pvfd0*b(W^8KXkMCAwWBHsihYyv*XijBJ}`8>DZjX1r2r?8)_ z_17&Zg7dmd>u^kU_onK>Q5C65TfRk)WED zWXk=gMpyT}Bi}a(q?hWOLeJK&IA}0ob)WxB=|STu#j4dFx$V<^o_yzr%XIkmq_ET0 zH@nE{V}p(&j*gMB5Q|RVyjC?fd%;k@g-%}iA z942)%Sbh6X$iD918D%vi0v}U6gCMj8CMq4-@Z)~rjh;{TNf+TWFEfM!XW>mk7e=8Q zw~&xpz}ZEY#^%j4eYcD;U39Mc11P|bqjK6uWg+$F35m=umi7z4>@9P?x}?4KZ^>j! z%kh%um1b-2v9r9u;feEa9sId6996XQ(J#F=aY#e3QEZq6=Y_V9%kCvT zsF{)+X^Xik*OpH7IOa?D+QLfXg7+sWC%t{s!ZvZ}A5n2LnRE%E_MTsHrH)aF#~WF% zYYJ1i@EIpjsso8yey;bArHhu=j}BOp64;Xfl~d}U7in=Yqj%k;E&21=wbPLY(XVeF zLB^X&PfRw(M(HqvZS^k0I9rC+EYp1GLw)aL&7q);F5vSe8TwSGVe3UmKsLraP%Pq- zyJ&0GBhnVH)1?w9{2po;ch&WlvvmET9|)H#ZXY)$#jxo*CIk5;IWp@0OqM#N^Glml zsYO1if@Cy811M8PcBk@uk6x#N5#fUisV{!W=E0jqn-nc)%>?EvW*Uw8+JMGna$eB= zy)dA!;t+VFBas||Sbla`&~lN`p{`y2)BArIyXR9$El^dpWn9xey&$6iD~r~Kp8{7_ zxRs*QyY)Y;4O$X|if@UbXlef40scccO&Cyy4kkSJ#E;?3H^xX&?X#y-T&+<&^~r`w zSm00I-3R#(*|sa@ayAw=c;=o+hl_P78c~6Nn0DD*@Xfg`)g-8+vEY0b1bnxv={*yK+x_hz8=)U4PK!PjsV9KCB@SgyT_&3v zi>g_6RS5=>bS*EK=bg=#+;-%S0l=ic1KsoEPhA!W$Uj=epn2Aj-R2RZANXG z?>pbgm5W{H!+F&K<(0VatWQei9!FVll_1~7XWu~gWkO8oV6kGKS{OIG3@O~dfB3Cf zYVJJ);+T>7Il4X_Sy!n_%3i`CpN?;}t9er20Y`&lKSZi6FOu_CR8LrTF|Z;(f-DD{ zXJ@XTg6Um(b4ob`-nJm)81DMjb_=%S5^?-Vxv%8VlmJ(tT1)|&+=e%%K%9I8@+F@1 zO&_iv_iA4QQ}YOv_~D+DJTPozrYY(<%AgTm@75s^4FJHy0dp)k7hiVIiu>^pbvacA z=t?gHki^b8GQ}Q&2dIefJxTY(e*}_B*fEI#Ofum5N*LXHEjSywRW7q{a@!{H%us!c z7q#>z9ps38>?ljaqk&~rYyW;@ZI4T1Li01H1)0z?Nv7h@ zGNPdall>l>?yoAdmpl>S?_J4`c=z+pKp3MA5y!VEeE3m zMqMyF_Y6JF+i%pZmHHQc96X*%l0dX5uC>Z!s2fo3#pk>MT#{V7$ZbsoV2^`#DHGz? zQ_jQAzSp1KM+{dn;lkqu@~<~^xg7YWtUs=_FSckDHDR*QF2WXBag~1=l4zeGr`C4j z14@d7UZ$%tQqr^7q*DL2y#KI(lB_>qPqRbfS$7`nyAw_=Br!JQ6(aIuVm-zR<|_7J9#!26^hZ?7MdI zK+u21ckO`sV))z$UM=j*FFm`4LJ{gXI=`^|aw{RtQ2qtBSfMf(Df>h$aH4h_t>uW- zX?`aIq4NE%sj(B%fzE2hjrOO_TL~nzGGY>Eah-SEq2@8OleO9z6%r{Q1^MU86VaZ? z4_F+q{5lTud%DSLy}aMzCLI(n1dHOW*xXl6h@W{yiAT`UDU;2Nmy ze~rPryEI7le;QQ;{ntqFOkz9YtwKU3$ zh!NCC)v%m%5N}RaC6M7(X0bgw!a!v&65$(#&21YgaaZJ4{UWKK6y(!9N%C0+>3Zy< zOF313HqWaH<6#CT2aMuOY=)xJJS>qs`j$5GQfAu!?|?t zjyuk4zbF;R;+2rCtCg)0(r_AgNR>`v={@NR-ln+KbcOS7tol9;6DOi?+rq<9tT)A5 z#BXTQBRDg5-cPD``O)s?g~ji8RS9sp|8PtHllg>eUaP`KowAneTanQAQHrZB(DJ@v zw%h8%=+~7WQ#m3e`(?gV6}Og$40uyXD9in0E1a;=eKcArQjZ4 zVNuwWT)bBv?NRpW4U~XSb4I~e=#}Bh!QT7YYIT?bq-qG`tY)UyT%7-xv7#@+Mckg(O|Eu5KLnlKdJL8?#Yn z*1Q7z@_T7l%}*CZ3;XotnoW`UL=pHI812${E;EOWFL9@(T;v5ITc_IMZ~7EDn&r%u zSo(W*0AQ6nU_&07t7zg9HQP3*(d4X5O9^-kXb^~KaweUTvOZqHkALu+m{st2C3p^` zskJ}CZqHFMLa(}7_`HQd-t0*&;@V{0m2Gg0cYTC2%$wHc$a}N5?}-+Vg_V z>J10d^N+0QlbpxoERBauU+x_89#_z{rT`BgPO|?RcPPb3% z5xFscD|SyQQ#>j5-Ptw;)S*d*C+}d8RG^8!ki5?I!=1&Q0m5IGmZLSH(Nie$$Po#k zYD*$(f&FM?SC5^>GK8Ts-moJj)K#WPShjdua4t{@*L&e%}!6fb?BQ)q;ggdeP*9kJHEpoIU<%i_YUXHW-`piwl%= zOKRzs#?EanAJlR6HLdz&;t*0SLcs#oq)zQLX^;`_yO|1!O zquR5nxMv*9O=(GmwQ&c#VehQ+)Fjod8Iad+kMQ;;z9Ca_h06oU;tXe-Ql{N0Lzel} z?>l$JXUb&&h_(guA8ekuj3@Ok`^`6x)E~sxw-luK6{`l7AD5S~+h6nHa5(twLHvJP z6>!1Brkk|Fo7urg&dAwI?T7+tM-cbLNAH_7-&CiRmaPQM5Hk+-z31RyYqC>jO0z7< z=;ft?@|SnrgISQ&Tao4o>e6;n?Cn-SO3!={GGAwl;!w5wUGbo-#(0b9Wa(%9)aKm9 zlu4D;5oLElST?2Xr9Q%d#nNul(Nf@oq?W;n#xj+8kmK6-i2}W9+@f1gpyp2@EoZKi z`jorkzxRqC@Jwc(gS2D{o8{u^^OgR5E(O)D%7!1H>epg7?zB1zgb4rSONf^45ba9yDUkzXFkzvaaPn>JC?5} z-S2w5zpAJJ9sjg-1bLq9SdfL-kl=ffa~=)>x+|5nZ}^Ul2k{s}Dx(C-a}`Q)2$&=H zRRh)Iz#5KRIa?|^NLF6*m`i@-RC}i?{3`TUQQ!p!#CJ8z^8=3mb=SvWUY&Q%1J zj7}J}A3cvSNfK*lCbIQjRPE08@D|X&{NkO5n$js%K15HO6i(#_B`>pd@hf!}>tqXe ztZ04lia}fbm|MSjyw<2A)M0Oxj8hNvj1w^S))3E`U2~PRitfbC3}B3=P?Ev2qkUt9;%A~ z%tCubpIUzlfeuwj)F2^lx}&wKdfxuAjv4_%|B|aeTUyNe5~p~A6F)nu27pz>w||Gt z=282`4|i6m&m<&PG>Yr3oYl{AxDdY5Ld{XOnQ}H(Xza8!}8aaN&xbEH4IAKuR5j$!MGIYp*1EQ%AtBa^YN+vFq>oe(J5ha_&s8 zc<<1JezAr8OTyk+AiFS}*`X&_(Drv-F=<2+yv(Q;D4FbiAH=th`J#A6aeT)uUnZ%3 z$pN^NDFxvM{5w%yC(ac>;a{?@#6!C@(y8w=&T`NEvoxQqx}hpckU5|2lD6#*#HL*+ zw?tiMZd@uM*2NN&ztTP+vC1c(+bav&6FM_LDLfk%@a$OO2m)o3Hi+Qn&cJ-s)WTro z3YIkKSXH#ItDg7o*A;YS zRw4>>TJV)aAZd37c2x&7X?9$xFNt0DXZOjL;vakoqSE-ixt%+&KeHD&7w0bW(U1kkqf(c;jQ<}%5hp)iwXSr z;v%6C6MG%HLNn?8rXYD6>0Sw;`D%y}GsJK=c=#iz4+HLBi0N~!pq{+B-c1%W_dx9? zi~lGXU+1@LFtesR;Bf17a*YWCyuXe-MDfUAE^$*rII$~B;D+_@1<}y6l^(GRG2h#z zXM^a6TN8mzoKeqyrKP*0c%~q+KQ0Srtaq*)RG=Hq-$4q_58CdA+;0tGhHvQo^Er+e zy~JKMsrTo@bthW$HE&j{;H*ED;nP0l$v=M(`J}zgu_A-9_is+uxsydgLjPR4&w9Z8 z>e!rBZ)Ou(OK{`%23H&vyA3|}QOgb~MyscKby-!*uH*LQJC7KDa27)&v}$`8WwJ}v zWuPf#Zny?F?45CN|9=n1bvdn(R9BA9NqgDN>}(h}tPg`FUw-bSWYb+yf4QqA6L_2X zAAx$sZ;g8emf2am4$u?M{NvniAm&N$O+iNC`jd(GP>B95p_iSnAVI`e;ncHZMjHV* zAj7|xov$GB>kMxFEh&?H^#niRpk2m1f7`{M0+gV6(cRR3xSGRsp6i^x$~_vh(TiV? z)2^NM&bTdv$ptbsVL~@7A(KyvI~=o1Qw*7OP-3W68DOYHu9#z;^gb0<~rTozABe9C+sKHz)6h z!SUkBa`@!kTFo-EL&sjkZ(XK*Pq#0fb2~z z|7Rpa#$8L;9m~5O2SL-BuKbwSV{O7*H9`D(jI5#MiO zmFDNqcqp@b@=TTp>Mw-G|uBr70K7 zPWFS6t{%CP6`WYHM{15>&}lOsZ!we_wN^;OPbC=@W>kycetXsxj@b|X3#U0eE$@29 z{FD~@6diQ1Yd1klN$4V7r5YRC zj^_eL<>EJjNGk6fv(w)PZUpAAUR$Pn-ND|G`!SfU`=vDst$bxYW=zWwlbAt7`hJx& zOvfDmo7YbpOuw@;eQ^Xr(4l7gPB?h`ucn&W8Uip;QxRT;oy2I})WtHA%XzCgK!3dB zEd4uoAl5}0u5| zol@4jY79Q$dG6!M8sM4bU34zpyrNwiqcMBu#PZTj;_ubh=&*%H4EyKqwD=EYj8wTt552-$YNJcb*RAi3xYahu*zmTDbINm@IY_sWl+`YFs_k+<<{k_aHI7GH$zP@(J~sF8FkpM>&m zFu3qk#Z1wB_1ekTpSoe1=QTyKTzPg_UFTpGO5qT*E|a+AE~xT8spG^fVQxR+AyA&q zw7IKKj%q2jg;MiH;O2HHF@wI`JbYv{Xi4V7)N?QVijCUH1se%twh~L)djko*y@V7C zePw(DX{`wWk1=^>bEgZD3+Q?rEXKMpz)@; zmLCW1_WBLqTD0MX7wGbRM(VD5w*ysw-WO~_j3!pXI=r*o^ zY<AdLTG-o+=1xS48ZGx6R78Jp@DmMG+fNDB2#xp#_LZoOhzQPW<+1tkFC}fc8{Iy zLR}SPhmig|VY2_WkAHfY)Jsh1E*w0tb#sN?`k8u!Isa)rYoNRciR{$RWX!wW0C6c_VK#&W;%7;Alw# z3+H4HB{Q~P^2Li>z*2(sP+4`2`XrK5yV%AnAOIQ+J>; z!IEtg@?a*wUk&Mz`xL+_Z#2nole3q%ZMw}@Q|4`YFq~&(KxVlcYL-#o-BRq0`E78C zno99|;K&$9Tf`dBAwYfc_mlXP2qIcm>SC&ix}%ybnDz7&IJ&Ug4Fvo;(;n?oX3nrh z#oAdxXi$nX+! z`C8-Mun@4v4W*| z;i`&d9NK?nYI;y)H9Y=gbi64s%~I_JN;%&@YbFQw%zi;p$U(tq0N`%i9#ZcF(0nu} zG~D5d#T0%B@|B=^_{6daBkcy)Npo z^<2KM`>}~-dtl-wXUb@tPX&j=$-&V6nN|Z=D#> zPx>K%Vi5nfwayy&YUN>1zdWj`DM&i7X~?_39?mL>~0)er^T<$5SdfhMt9R5 z$;~dyYx_CtOVoOcS*YcJ_g6P{Bk_&LZD+lsjNcYUH)R_!jz#jg#|1BT)|)y;if=}q zcTXRwUpM3hzCB*Qq#-e%P1 zMj~`y0s|M?Z2eY}uLyZ3w99tl`knG{JGYnR#yiqz-Q2R{U6{AcDN^3;3FYv1r_jH} zac@=5J~-{nRmh!Js_o_NQ9A-+yrj`j>WhCP3oDmWT8tzhf!ZSRolh@_n5R&hkHM$+ zsjjTg*YoU*oeljZBEfjj!ta!;<1gqDT=%VzlD!IgM;ym$K_IE|E+(8WO@)1G+n`aP@H_E{~J*vP3e zC$^-S%j|VPbwIcL`5D4b@-U5_cA%^l^&?w2@(ye;>#T)DH6f@T`F@gwJ1#Q#4c?tD z3Rc_=BmI@GDq$E^aL66*#AjSy*1woZ(e*YCd4=T8oh>QRz4lH18x? zPb3x$2q)B4uZ6M{ca!+varT^I@bBbISB0;Q+tV+D%bQU@>pX!{n;>&qo&LcVr;qB3 zGV#MQDbh^1TTC9X{+W{cH2YGEM+2mA?bHoUY!3e|^W_?)4ayIe{Fx)VEar81%JV_C z*vxeFV5boCvv?hPtoW0A$iM?Ldf9T%rC9O_l%X=vQ`TJNYs1*bJDQuv zmM-9fV$J)UOZQD5a(*X;^DFXLWDcCbi8Q|5eLWTwS=|ITU?l^e0k-{osPa#o7qxev z%3*Fb;4e4*bh9)%oF;Y$GB%={``SXM7A4Sa05d;62uqkmξ+y6=*6_Z+T-i(f5Z zo4xkc)dyCH8N^s}ATH))T6vJp@>a<=pMRKz_UX2=yjvHi35350lz8J)>K`mr6;6b@?w5 zu9-9XUw`uQ2KZI=xxm*|uVPmHvryu`2{xInn_!^g3|UJcPjKE7AMFtNlhR@5YR}+; z?0>8FvR4QSG*burG*&crx1jGZr(z(-O|IO%>6TkQwrMb%_TP0=ZupMK6~Q&_HWT7W z{*AmwB3*#OuVci^*QS9hGM!Bh2R%LWZVK=CM%edW8e8ycxI%W#zaev%V7sybc(uKx zr>X1Il#AyFJ?#3vDK{iL@+uj>T?A{(P2g348U~F&cL^zw{<=kZDr0Snq*%nrIMGoR z<&rgxOxwT>E3D5L?+Q`}=}x#+l_x9^D~;!bqQpJ?xVWZbl|X#qvn$VffcxH0b8gF*aNtX1I9 zaN+QhlhR6ubD{rUGmpFtA-uHWJC#k`Hhp%AQbk;~ldSx<@B!BoJ*~m4fxfxs_j&P+ zQ_S<;)F`KB_NX0sn3k=k&!0bZwQ5i6;k+sQ7vZ*FTqe4R|2Fo;JwIO-TGS-9SWRpl zpH;85=728o-`Ue6MDQ{;dWNJMz+59;EpQuIvn-^yWRC#UNpNF|DJgHd%m(DBqLf$G zJTERkq8z>FyFUx9&Rb(=8SgrVwiuMkMy^y5k9_=j{Bo^DHl!Tcphpp;*Q~WQi5t65 z^YN+oLwhK(c!pF1x3s5hGt(bOg};^tQvHGXM3MH&vdcOtGduguPaVH@kmcu{A*^fW za>N@5@a=U;Y?o=%a10{G)@cwl-6hRhRXfy?(k!u3p6!WsjnEjaBe7*f5w7&3rgal> zc~%#{$)N-h85j$eP}6T4QlPl)Ha31nr~>(sBS~kiK#9&tWGQQ~PzEza#gWNF(06rl9!81fH^o3TG$K!k>+vJ`Rv`oURHw z6Vw3+pJx8^>cYDWWx&FY*?L_F_B>?*E-JAlz=7_O^6Q5pnp2NnHuz+x`v6Y%E73}u ze@L?xB&JmAeqVDRX6P_0|l=w#mLEevfOAQ@>ftTN92_u#gz$lLae#=k_1b%01u>g(PapHeIt$A-RXC@Mcjj zyN3@aYuPm`a}XP@@qM4%MB0{L?z%pRUx!C)YEk*1Bi-<a_wyx|eu={xe;TwjHyACf|LR90 z+kE$31Rw$A?z;|poyaKtLqK@WoJ{5qcnratf6aLPe!HlSxJ^=1Y} zh3UKFi_3embCz|xLxTwcaV?8Jr+qHN0seC7_X9U<$Ris}hVIH&(VS(S;7m?O_NhwoWs(F}_|b+x^qJTedp8 z8@XR5)+6uXAPacq2RrJ$48HCH*E=cpD*+zf8tv;_LNSRiyLo8Ldmo8g9POSaMZ^q+Usq0sc{UI+;njs?jNUd4y!dASp(Sgk9Y$+JoLxT9@ zir9ufrp~zK&i|NjFF%kL^x`1&yiZb712$C_ak3N!dzjT#%RTjHQGHiEOJn3`0*z@O zNuct%t*dbLTmN2aO}trP>z0&5$=SvTLrE&>T7(~ptP7?Dn;x32j}cUS+C>bIr@kWb zdza?@+b5%7Ie$3u8dr+;XzwhwUv~0?L95S(YvBXV1Qq9#=5+O{=m|Zc>+g&3^gik{ zaX&azJV?S9R{Ol#YVWv+^90Yhs%!ky%vkO8pn_C5;jOXpj{jd`HawOUZ{$H0-&DMQ z7b>==&gN9=yn7^v9t+!U@s4(uy*-7pc4!I;G&9J`n)Q;Ny?6ni6NePSshPA>I-@r~ zLLrCx67V~I#Ok5rxf+|dv7Pf*kGF>;!+`Dd3|@}Qy3cKPR3f#gwbd?8wq?XL+4e~8{Cl7`!&+Py1cJ* zJa6cIBpY7@p;z6h%aT$EDVFbNr<75WQQ%yw=V8ilVvv~gkwE>|2A3TxR=?Vxf9hQ&lA){kizfw;DYIw!38R`)VmCypYW7c3LpCQLZ9+_yoKGJ zyCqB;EZ_gz?x?=^vQPMw)^-*f+aBdaolijRFOv9@ednXFbqOpsJ-vp|qLy2vsa%DH z8hi$(uQ}l#WX8sL-=lIj_YRd{HM%11XshkDm&E!x8f$}RW7*V?m9jVAjn_#7#C8`S z)oLiS2>*uh;NlUrX9qJsgarKsTQ!vPgu2snknVEtNlUih*{d>97)U$YyW#-5YyktY z3_as(&`79y?62rU#Y_+}cy1G>-2OA}6ILI$NrX)c zEe7QMOWSGLU0+Jev(&Y2b@Ozv8@t#&2PM+he?^xuuJ_BTuHr|~)_JUsuHPhF28I;@56_D?b8=Efk=Ypv6@-o1D6GVLaRF7=UsKr4K ze7aX9e(-_jcz>*XU61umP~W{|b}ssh?>|i~&th?K`E^G60g@_-Bot03e&M5C8#^h} zt#Z#l?dmCV#kU;e#J3g%#!evT#F#-C+qh34vOzN$O%F_N!2vvXw*ABGp{#1!VJ0Bj zM+5e~m-K0ExfeUzRq&$XCMiJtZw`fzrMM_Bg{1B^TM!?v;_5`E=VQ3gqm5`GwWph~+wj zI-*$g--vztbW?!cnHc~Ta6zBVw%+eVePe|Jw*_WJ9lWBNbepS|*(js%^n7XQrKxk1>D3)Z!m$L@?#gT=5PY8RG$fsDd%LU_ z_gSFYflP)gd}qSYH3yMX@UjJrTST~(D#gZW8ce!QtZJ&@7bU;?es&xFKbnYUwZ^y!S367xAX%iW-zW4tQlje*v8hXY+=;toxM(>J5Z%v!vvyAGEU$_sM zt4XW!VR@H%!Cdntcez49L8JJW_%fWDn%BNAJbgkF<; zYqDQaF&de___$G1Zwuh)zT>DMM7NGdKN^zT7`3l5uEz6f?JJwJ#^gK><+N={Z6Ylg zA14#ZJnQpk%s_n%HBIUD5?fLtQRqH$EZSTgH%iA!@#%{}>3V*QA`q4kgc6H^_z@>UnG1aC8F5H z*jtiMhf33cml$ZxS9ETN;V#s&qDC&{NMl`-I+F+-ExaM)WeLZw7^hi!X(eo8_~=Y3=YnjAeE_;GfGnbw8+L zqu8^ovtz|RPd=2s;|T8VREE$|qz@;RkA#x>#5Pk_J9KadJ6hFM(JXn9Tx$^wKvn8E z<6%z6!~oW|gmRyr!nwD|UE_)JfoNFTx^evaY;>I22r`7n^6eRlW8hHJBWuW_`_1|p z`&3kCpkV&&^BdB!mb^r9TmKrqBd)XcoN)LROU86Pb<^g1=jdoFAp5W9v~#T^#y26C z9Vl|BkjoYD=Sn#isIbD+$Rv1GC>t8L{|yijrpR1yR&wlmZY4+Q5?NVPLrKhlA^t$BTuo zxU^|Ao7>fQGZWV$Yu%l*0eje<2`Ce^mrJ3TlWD=*X-3rrStsd{Of-$!qCGW z=B+RCYU`U#^N`1~vKr4dBCl8yu}LW5BwDY#zaAtG;Lsbc;EULYy)s5GI8VNMhxNS_ z{AiTFnb+J37ju3Vpw$=2yW^U9yRL2v+3?;HS@O?lvfL0|t#zzZC3i3lT}$p|g?oke zq0C!a1Tg~}q>N+kr|Rp0-`|l?1d5C($S{lSFlk2m@74(YobgZ_UmS`XIbE-?Wo<&_ zTm#UQ7hE%h@b7EIvc!?c#p(Vjs#(#h4_PpPifiWBUpID2dz|ZoHH2dANN$ zi7(RSPvSr-^)U4-8?XGmr9M?Q&z?S@wTSFUun&|s8U|-}__EIVT4-#=1XOKGaHJz; z3X#qee%_VHXjXec2%00TlVIyS@?z)uAEzYp{Pca!@KF#}*D`XgX*6<^63SI-|q8dkvw$ib9wIuk4J=B;X%LWI#LXwMHyJLF$Ov zJI4{uO5k$_*#yl?T?;m9yIPP;s*lE&AJ@Ael?W1!S{8Ka%IO|I%#XhsCsA}6( zcy_z)c=jCKw@7PyM`9T@OC#tpgkL~ugB8tdl$zfA!20Pn=N3k43q9BkAlqrxt?pu7 z5$Dbh%1G);Z0MX<>ajDXr`jGDes5=}%9*C0qq_P%faJ(X3V-Egu&Gm>BW`3~5@;@h z`HvN|K?LS&wm<{^vvD>OW8UC3>pDB%Ns_UQ04hQY``EnGp<_2cwsj&BX4a^}=nc@4 z3EMCK+~KXpyM}RVzwlobV_pC;~B2GB@cUWH_beZFl{wCJnHH5S;)GLGV--FflNITG^ z-lMY@zXKwOZmWiV|4|D11fh8T{$Lgp6w!X?!E)KT=QovYBy2amc@}4+mW$d=kET~SK~ooEPDC|FH_Xt|AfHvChnDtEOo%Z-AACnb~|%Z zZ8FS*`9^kB?PN7^{0~}>YCk|Yt83VUc6q1$&$`;J(S<_hB`Zbw>Z#{C$0y7<-K_cLtw9QK4(2Fe=`@(>v@1&RP$$KHH^!FNd8A#D;M;e zH?a|kX=jPm#o05mEH1roUdjhM5T>-S;Fzv>pek#H*ZPr&_L6}}fGW7@U?Sc5UzNZE zuBfY9WW_2!;U!J{vLyY^^UX)FC44pURZ|od|2^b{|3e2C^)gX!eaBo;zpQuuoP%2i zhnHqBWxBsv-=xgnU&sVsTSKqvR8U=@gHbFhNl1;uP`MT@uM^8f{IuY zr@wE}>7fj?$W@3SK(XFxuou;J~L1_+cE?6qirrf6Fm3KD(coz0>Zq6XhYs zcK{&ozX5eu>Ar)DZZC^$uCPjAi&3~6j>3endq;dm>?Rkzq=ua8f0GWcPvudqMl001 zfDw%(cR21k-_CsKc;e^~9yoSuB5kZ0t*h;p@r^M;ShgAQYbF}8_XEg2a)w|J(W^0jr| z6K_LFL$V9V9AGPm&8NzjBTJ&{glOQ0JM3|x(Kik+UER01{t88F224Y;K>0H%&o^y> zC)$;hq_1G(dj-z;EBJB#o%844i%6Bz1|p=BtcCsmZR|)~faD_pb4PkJJVQ*8d6l^r zhGz!EPA3%K`>>%W9U5!Ve*uy|iNnnCw71#OdZ_rXu?kp)B%B)dBzT-_CJ9^8yH!l@ zMNpr0TU`iGWo}^C9R;HMY~;g>M@#MyBhfap;h#aQ&Y)A;S)mp}93CT@z<3(>5phIs z!9nGa18;)_EX!yo)4W?sj<;7@J(s-QT4N}JQSmP|Qzjg9*NRFQK~&v8*rlJgzK2Zr zd_V=>M?R1c7x(^0*WzU9w!&%%wXb%dzJP9o9DaMt5kB zqrCa5cJZq~g*~l?@`#_F@?smOY0r8R;GN}1po)r;FFph6*s`y&Zs=tC2B6=E;OaM> z&t*KKQ8hnf;n@Gsv)MoB@B{M41FyQ@GTKwZmpTHoJ?BgWD>9fyq>rLNJ5YzDKyGl2 zr%fwQqbsMRHQzHa8$wj+CEkRP&B(b6=zif2cSKhb9rDX&P5F1c@iFqT)s4Yo=sT>? z#HJ+U{pcy)x@V)`9?OTGdXR$R?I_=8+&wlCe%SnOJtk)SC$*u$G6<1=44E+nxKnPC+MM$$oLfx*X!F<7ngn-MtK>Lsf^{CAB3GQF(zBW={V?td;U=qQc&Y%&_>{9 zBKE;36BnB)``a}EYl1dtoi5{YO%H{g@s|dNaLv3dn7h}+tDe?!-qQcf#yenxPzaFQ z{~dFypJjnp~2Hz;geTClnb(QNklvk*+@)qrgb0!Cy z4d1-!p8)C*u`g9Msjc0V?4@@7gICYNHp8Jg*l~D(xqlvL=1hkZLb$hz*HOhUlfiL2 zqHwhCw$;EZTIE~^^2B=RP_0RAN4J!DJE?!*+L$Qgbe}U6pdR26csMaFMk}%vk+GWB z&w)XND2=CCo+B>|dfcBzg-?|zOB}AewePOAxniMX`#11bJO1RVh7r48(!j4r+S`lL z&72I*Mvs$7kw$$kNmDE4LkN4{tWjmdf!rOA2>#nn{YED;QY%H>nZH?FN6+KaTSB;( zdD&A3Yku`sozyOHhHYRU%(PyZnM~DlBn;w1y6<-_9GDTzCp{x90c|9r&8xQhj+=km zH|_hkdAl|Y3X}r^6{LBgd;EW$aYu6nJ7bcyd0io$t&pdk8y`{odDu-^XTjHz^hT)5E6UU85FZC9_Rb_p= zIKmlv^nO;y61er9FtF3te|Cu`<|iL1xv3m{`-=c1Jtm5beYZmqTxz1s-Cq!K$8m~O z2r&hU`cZ8|5XpV9UibU`XWv+LQEqv2e~wv&8=CuTqKgAV(2u;=<|X^}t^V9!)$ELL zVH}58B199r%=uOjIw041=7|00;}UaZiRoj+eE16i%=NN)wcSBc$MhrB8WmzJ=ibLQ zRFxX@SxbE%cQ&li(dzajIBjd7@4+vskNSOGN1ks09_aRspOJ>)Rb+>SsL`-d-L}0O zfn`<36Fi&KMni`A(}GNmq!6yFy*$T4x{tY*V$($1!GXpDdSisB(YHRoS{od%>)EJO7e71d46N?h*$PcBKtv{`Qfhg_Kf6h$PJ4Vg& z!dCY~8U;xO1O0o}#2{JM=FI?7_EVI^^n?0qE06tP-;ad~P|wI#BvwA>I~EtfJ2abk z)YK?jtva~R8=5Hz5^l(P-Tz6=#{i8DXJ-6oNA|;nqfXaaZSVbB%{e%b;^^n=KiAK< z7!e~S8_4af>h82&FDL!*$A-JLKOB@_^mHML2kZ6{wbh#CuqxR(sBRz`ydQ=hkq`d@ z@)6N@M40yry--8=K)&SzT%`#wD1A|Psbn+8TQ4-D9{l>c)6g)}@)Z)D52uC^X118u zfjw#i?NCwPcq)Gm`5$naU9z*hBuXni<3+O8gSbsU>b18oCe*xt!$t?a)49wT0`CuV z)zg)xo%W6X>MHzg?8c>KyqJJJ$=|iO5=?~hbwY(802~?mIT<=?&kSxIwszJ5^WXE6 zdQX-HUI@df`hI5l-DF%vc^am{yjYt!T^7RQ3P8|%?eR~;<@Hc7a3$-xG2te~P&Q$a zzk)VMS2zlyuwDk<-R9 zKehCxz9Y$MpLz39bvnAU<(xr{f~vfDoUr;d9h>uLm3MjhGGhV%C@H|)6(X6DrruS| ze@1Xe2(C1<2qXnQe@#*(i+owgl%?YNYdSMrX?1EB#`tinwlfViuhFY_^oMT{+ zpTf1YaG(Xz9uB-g41ojh5QWg`+UPz?`%ksRh+^}0`61XU*)SWcE?PUk;zX224+_XQ z5T5Y4i|vR7yQ~#i`upFwG#iwN8r1LSJ;J6l-7>J3 zKl;YKD8)Suho1X9}hdI%2Hr>GAq3Rh&*aIQ2 zBV*l$Pu)LPq<&G}drwGqWkI&SdC#uQc}vCUegbFglB29U#lr;;KGaa&JKJ{mu}^Gu z1gx#JYz-4q@~b;XriBHsEyss@qHwBMXYd=s3lmwntW~v3|EJNM5{vHq~6GY5v_~sGw_c+e3&DoG z;Ap_g*?@D_wS}aHkfT5tLl6$ztHZ>>(8g=UO=WSx47Ed^l1;)E;QjA18b>~qDY?1P z2IJTk>%pgY-ktB!djyeFok*8*SoCz&!aJO!D7-kTD-b`84@>3Rk(W6cRlFsRa2#HG z83dUz62s3V8fZnz5JL@uEEx+wzCO?*pXlB!-Je_Y$c`Jh%rhLLpwM48pkvcQM(=Zp zwT0-7Tz~`WiuHN$lUL~3^McN^eAoB88a?7etiI-{PjMkfW4qNv7`}>qu?_>!6>q#+ zz$@mzsef{>IpSY8KB(%vOA1P*hNbJ&{sDM|v-)E1-f24wYM};gH5jqz`-x2W#H`w7 zO01ASbD}!M?}3!%V!kQnOMWT`DUrb=}f9Ex-N9+nidcjC)b*%Z& z3qm=GlnPnivQ&%+9H7O-!S+@ph-A$VS@NH{HFMCYdBAE$69KCPVaV|~-;wvPOWLbk zUHdWI!tQPFq)#E#Qy!Rtql@o$!Wi*Ibw&)wf8Cm_ijQ~azy11J)aaIIO$N9^hx z@_qN4M=*xeXrfdQvx}8juy{Zu%zJDJ9(-l0smE^UXP;50HL{*dGybh|sISvra?`g& z1SH{ZpafoNRK)PjArw4d_Y+h6T(@>c5r(fO2DNmM<)}wm}4^XYr6ZylBHE$NB=)Q%Z`re&t zJ2o$ZMpYNwI93EIUC+9)Dt$cs1&&tk&8y^>^UhzKA?YnSEx7kIT*_S({#w4>)@e+X*85Mya7GYe;Jkx(Ut7XrVU1%hF7Cx&89RKoB-RRK{6Sj1@{+6#NQ)|$EfC~Ny2KGv-g z_O>X-|7D=kPHL{Dju}deP;9qMKOeNTAw6;t(1a=<7e+nrFujWU$4B%k-r_h_OnuFH zl3nzj(D^-GC5NCp@rQCXUsrndT4%&Xbf(`qiQV>b&p8h6vNo@+niH-M5ITvn7R}>m z)nn2-I=YX_pNsNoKlg&wM9cgI4RgIIb3V8BT-u5VMhnPMHPNc->;}Tek-{rsY6?^{ zw5pu;4Ma^6^bSHf5qbk5J$$)e|I!Q(UB6$b!vTRy!d)zwERn?u01e2G$|cR(^*Lt> zv7z8hwN}?z0M}5+6TJWKV@<>_N1o0SIjjUYn~SZfXuWr798^Ls2)u|r z-m5B39Pf=)#V+)Yh!Kl=N6zrI$^Obqf=gQv@1wiTh-cC13gH^+20n>H%TL}h zbr4>g1ZeD=Tn+{jq#&YiezjWP24R0YB8FT4wZwjm>gL$mV9uL1HCcBBXAcvAiN`n6 z32wvFLpST?EENi`d-A_*B4^GGUNXTthsQWf>Dq-~7_|C)v}e^o2-wnquC~_vg*uf+ z`8yPLlP~AdW1hYHt^e^8@xCI1{7D0ff9Bv#xMK(_!$iy%!pcyXL7RMyBO^6^`=5tU zcgGkHb=xxkfaAWk{3&iHMq%12RnC%CzYkd%&R+gL(PzTd`me8>OR+O27Z;IS-A~{h-$8O&wap{p}Ap1_AlL4nyrn9|AmdY%h=Lh(pi5W zaO-I#k2>_#WS1iwSVC<$jEj%yPasi`1WVaQ!XU6SEHSZeR1lSq!n z4lc(Vg9k_WPMKTWHMZd2*I{T+67E=#=8blLqJG2vL3x)b)tCKRn3% zAMd;-MF#88!5gg{l_c-lnhgz)ap>)}^rjcjX)?at8K}vSp8yr?`ulMMinHxo)d_xg zaqY_pc~1Ro>^*qN4Wb0vAjojyQe-LAW1<+uillu!6+*W%uu- z%*v~Jd0ge&`*xINXUhagC=;dyJCB;at5eHgtWX~ZdtJ;=Y0IR6XG<{z=Bv&nNN@Vt zAuqv@fM3wJNwq~4%3ek4Jnb07y*63ykfBa6rr-IizT*WXw_YBWY>lc=^WeVee|dfN z5yFSdH9wTXIrALbz&TUw+(>r*>k!V1nB6g&Awfy;}YlDVy)$+E`ZwE4D7%p~h)tfF26ZTgG!_Evb)=bANFwGe(rBKVJK+kG117I%J z&!knVan|Kxu2%IpvbLu^WF<;cc$|exz?z!0ax_9TC8(AqYF@Vq8=T+Q8A)76c7OSg z#N?0M&l)w{_J0BL6Dd+F$nf2l@60eVX+IM6hk|WSX%}PV;QIB_yHqcBpkXtX3{6VA3R9gML7O1AnhQco9&j5Gr}5NV$MQj$kuFp`t4{fV9}Z zuM16d5<+q7HU!FrkNPjda$(9M<7DU3g1^O;Nh_hZ>tg72pZiw4>p{BA#n6zKfxO5S zBXmstTHsLXBVdVr!fTAqAccBKnkbU5h1D6|bmqB+)N51_+x)7nQ6U;?dm5?OXu*EL zST(L0IQ9w}DHo0c=?iz*BY=rG)dI5V3biH_<7+$7b?+}*nZ$t@LLKH;@eS=Z2>x5* z!QM!nn{i(4Vr_8ZOY3YqL+!MU#ksX&PpR?dN<31bDmvmqW3hEb^yH>iNyX1Vk-Q`r zS-z~Nsu6l7>xW>bTEpp8Ch{&~R*D=-d8z7CvpY~s)K04x#>KXoP3t7%^{o+xNx%!v zBuG!y?T>kFJbnm-uiYo4FKC)ivxu9rBY7#L+g9cokC~f}e_o>gt=rJ7Zt;U?GxyUl zf=0i>)@gMa#Hoe9x+U0rP6hz%AxyOB?N-G`^-j~fCbN0Y2YJk4kKSP_h81zcu`~CU z>8jukUT(&DFK+EG8HMM1KVueJPe>CZs{K@rG*`dooG>`KpObaqRFo(b2^lBLA5nN~5avO> z8(O;e&)50V?OAnP7Xda`Gi@&O#Ueh3Z|nFK(2Z(~199{n~E- zzMY+Mpgb+LHFHK(Ef+q?8E-%Dt)&jMW>V?O{J-8v668)nxoQdf&n(7BJiu(N;bFJP5>=bLvTuB*KWJcT|u zm{r=}c_4^ViFD|Y;%tCBNsJd@Vu~#c!tOw!rBViXOzb?v)(Z84_Eq3gcq52Mm=ePK z){jQnN25&K4UA1}m*{NQc3aTsKCOm@WrgE>9|8N!KZSLLOAP1|ivd_c=DQ6oJVyWi z+K2)$uuk0Pf}LF%VT_njtVU8nUdyC=Q$f?2EVsYg>Z&Ai~N zW)GK`y>Qqw)#G~lBNs!BYP1rTOqaY26N0Kzu+l3>C znCCZS20N_Ul$IWFK<}rA*=9A^IQ6 zYeL>{=)|Ppol*`>OVxc$CYXi5A4jLm$xG+y=rI4s44Yb|4JE?0()Fh3I}Z4@0-8@W zYCY1l^U^fv zr8&fG8Au*=3ra8w6;-Y(Tnq)14AQ8t1{OY_KLRb$feKs4JJd9GqRuj0Gt)G-`X&?e zZv&(s2beMr{Z$TjG<(}!ElaK1dzPbO6Ub~Rc?l;BFEJz5z=0-L2pvW_p^rBzCvFu> z$^b#4kkjEEqjG z(w@DYM&4WphD>~h;pk$}QdEcGfkCANL9y=|ndrlh3ye~{Q#-TZb=byyyF??*o+SQs z2S=t``-$p{ZD_YH7>NB7tWn7cnV|1H*!on}aZA<-T{BGz;CB~&#wDYFvS@O^HO%G` z_9qs9V%YX!fDk>7=+8CD8}a=-*oPhYOF6VuG-JKZ39t5_z1Sp7N9hH>wHE9C(mAVy zy}l(F5>IGKbF)-zgPn}g#m5!^rnQXEMqbS_cq}&cb($+&Zd!)kE5~!bKgcFrD=4D* z$F+$+4Nq|&7$#}hYGwOwp{`a$R>Vvk4BCQWqsYz|Y%V><*a#4RD7wv8+U#Go&ASn@ zRap~rd$Zqo#d5jmjxTT|igMH4!2LR=GsvdBdlCN+1jYEG))a9g{6(9zFuh7nP<$DU zsW5Gj-XM^OL6c#Fb~b}=)P8tH@5!)hKv&y#q}w`0W->{#<&^yvh-N|m=P0Si%SqOX z`;+uQY9=Z@a^6if5GdHcZ|&>ww|w#@;hF~=Rx>Ta^;PzP?XHm+# z4c}_!J?>cEOZffhB+Di6{LYG5R=!q}ijaQ*n8HN~6CAPVIE20oJyOOV^p>9SzxJO8 zkd8Hk(;pmaqp25hT5##>wH*eVHCd|NlZyxOuwN+Yjr~WjeQ4qqtez3N;AAV)uQn`B z4K4m1t%ZGFnf6)D+mzy+(D^=R$r83Iyg6)03A7lxL2H7w&P&0{KqM4-WMF)VAQlHx zT$vbYDRt#zN0P2O&8L=aoM~5Ixl z!FjSZy|&EJ{n<`NFwUdo!z_{S`K3F85G$A#%Y?=9H^!=cJy4RV(b<*~#uV8ZGSL4~ zME$#CQUqZ^>Fv%@+8dhh2l}z+>=cMH4r*0rsA$INw&cjUoWBeBJIf)e-FFEOF+GV2jx% zHVHUtRyEAAkGT__>Hy=j$9aW?KMxb%_CY#@TpKf43=xoakH;3d@ueFQA?=$otA zxJ|@;^U=LalQm-{e#uZ?ZjgxkOC$X~jEY!lJ;z*n#!?v({1)huMY#vVM*w!j{}WpC z7htF1-rZTX{4uxj!IAv5@A=;WzjRrjtSA=6#)3!JR-3jmUcsb-g;?7;+SMMc{KXW$ zZcdM@M%tDB269cmKJCD5Xa97I6Ji!)5zc&5ZTAm7 ze%=F0@PV@la$@B~v+)OwWgka55y7E(S_Rl^)y~g&4>!_XJK@{v~{S$M#(HI-Uh}-(n2D=;#upoKW4*om&V0qtq z9nzmYZ;6g`i|xwHj~Qv6x5UulUGFBps4eTJKi^Y5T7H-7mRF6XWRCBgmF$%mz&KIW zlAC}o$nu5DjC$tlP3IS}4;`jl>{jc&(}@PlaGo2 z)xH8rBKOP{=6f3VQ+6vW27h}w*xhSo<8Q_^kN&qgOSrt zz`HFUSD9u7Ie7axl{(~<1ksUO!%F%rpel!~K39WDxZUX~#eUFQ3E1l8+-=3xS`)E@ z*byaCp5E;0m7xtwtl&Kz=cS`GicD~k{3RYNJ_#MMgf&j|9I5HgJ43V{tGmuemJBjB zI69$h3Ix3p>bQ$gJJVr>;7DR)VzY@`24wr!Da_O0H`PaHzzp6JJb60`T}(=Wr(In- zLoNWTr_QbZ>J1e37X(L~L~62m6$$}&0efwX9pq8{kJG9CL@4*jLjv|AQ*z6pU(H>l zL9h!A&(W*L^9IwlnnvqP+7)*?q4dsgHY#(+Ap)2V^R-Kvfu#mPC)ex6+HJE)F6EMU z+Ld3Dj*S`0hi<$k1(!3p*JaMO*WHRpACzHXue53N3pYrPebKe~CV-Tq~u;Fwui_3r~{_v4F3!3BGC@Fm`=c4aR{ z8UNe8r=#P4}up8WK{tgQb(bN4&7I zJ`Y^M`~t4^2>BkEmxgEXf>8~u86^uK%_M0>)}mXhO5@yiWB84}KUA*l*@Vq49Qb+^ z4Lrv^#PoIgkB6N1F$QJxQ(DF(z^$i{%8d*EJi=L6S1%YVoWePeS&RB#Xzbve$--WZ zn)5P@nX9s+J)7uqnNv?D?|&H`zJ%j9d8Gxo#m+@}gYCDA5ZG{62!&*;(&p0MlSF{O zhqq(jbb9G@%hNjj;sXI=<*=@dPMA(6(x4CNrV=XR?8F$`aK9MJ$O<$x57EzP9GBHyo)?Sva z8sW1@cuBGwTYta=gWI`LnOIpn+6l17rAJ4FEKnyWY<_af=rqdw&hYBwb&qH_w3Bzt z;po#{@+23fW3F2ktNUKx95J4#wHSp+5ua}Ul{dM`W`6KK$9+ModYX63LR-IZU{2__>D~t^b`Exd|!|8=zX6ZV82m&t)ryABc z$vZ^zk~L)rF|tN=%W`Qo*(8;6O026>0;7qjlzbx%|YvxMj0)NfSNd07Jk3wGGBERlX{? zUtA-{u1;h3+0VPeW%q`nx~odB7?fOZui=_0!{)-FZ?G6HhE$^^mwqC)58h^Uw`-L$ zQx-o$SdI>TH)80AP}y7SoqP`++>Y`~5^%jP?O0R%DIHjZDN-gs1@fRWH~7mW38(VL z!vISZ?%NEpa+$HVaMLlSd1gf{|NVTJdh?aCW- zau`W7tAH2(5m=$h!sAhcE1#^8?iDMQze1M$7e3CsoVEy*$0>yd78zc^Qi&Po;A#I< zVJJprilL`_|T^{mOD0;S@!LRN8STjsiiyx#u* znQ2SJEb#o&t?CGmeW--aZQN|vxsB$)RNoHcvx^q=!Qtb;x;n5`b&lk?r_W@d&*T%- zztKi^sIQlPW1iGpv2?ARXjAaXUtTRF?JV{_P`TJj9t<4MaY&fG`a ztp1se9-MSUiRhKVl+7gx%Y7eS1&3@}ee94AbAD$2&bf>*;W)$At-m)vG7nFr-a%rR zcAq9EJd4Ui^iW<8lRGCj8B#b+y9f>=GWa9pDr!jq-uIwxDRtX`jm{nFVuy-r*Qb>x zo`f4E`z1QpRQ}fSYmsx`_Ub3}S>;)C-Tw{lH4;0#ioCxs+?Wwx9C-+WurH|J@@Uf4 z0B2tPC93Wu9G7D!@0B@k&H&hVK0OK&(3UKF!5j+}MA#maqY5?Jo=#{MBb&9RjT#n| zLkh41@g%3-1YYW`Dw0}az@^aU!~od>*g!v=t&uLl4Q;N_=4L4gw{aGl0XMD~?86OV z1iVo$N^gX3G+!((_N}sC@$HI9n>6a)OGDR=vYj3wtDn=FvnjPXc(IYzpnsU7sKRbq4l(c6 zk&6A9^XPh?-9SQF6O3HT-%%ejM5>pn(KNd>c*Y(c_Dzmze2pSZFuT(Fa;kom-O$5j zB<1U8mb~}lQw+&Xj)_Ua{proFHOe-%$N9_G{mtN~CmVUW7;=r@b-;~(SMP_rI}jv~ z+bU!_q3!iI6g&S02;|TEmX}EuiYr7;N0x$F*Cu1&!vJL;_pc{>qA zc}x08o+RjbvuS!^$q&U!ZJIdRZH57@j}IMVtQHY|XYxs!GfHczkd)by^DnE9LVH;o z)(z>bk$}JWsq<^?+K0r28p8xNaUDBbft+HQWQp#aCx*6_dRIoy&p zF?@gZ4>VP2Cg&KhMv-0D5e3Qzf%T(C!mb|0$F|9;5#Dp^GywdbMZEwC<*`|GwIf&q= z>ZPcwj1Vh~V!v`vp}s8rnAwvy!Vm7^Vkhu}$sMW5J~DmLr97Z+!%6%rDNJNp{@$*@ zdqQ1(E2eBO0@>Bwk$PH2`X_S6m9$=KBlt}(M2*3PXh87>Hy{p}W*gAFA(`4rYpbTz6ZaYa*5iEPlH#tzvH(T* zQ&w<%i|evL^Zvzg9|^B4{VVJilj>u`=j7`M0!}*T26oT3_1OKySyU4$CoVOto=3fn zXnZBXz7~8g8QYR6yLM(+f!wyV=N8y29oCg!ENPKe>PNU9fA3YFG*8vQIvcyiY&Y{_ zo-rMN(cY)%3+Rg90Q6sstGg3imv^r2YO^VD|ApDZ7g@{kUWx_GCy%1eYVivJfyVE3}KjvlVwNONMcta&l|+Yw!y=H z+|W>9#C&EGgs)Y71floV-0FW`t}fshX#ude2VLb?_xL+#;PppmgY7R>RdwoUiys+g|<7utoQ`!JnSUPE zQJ_3~-`_Ogf9LJ&nW$D>-uYR1Hfmj;P?^!;35nJ5|9YUP!wWssC%pVOY&9;tpuxbk9 z+dpd2EEN*3>ZUn0JMCuSxcLTZpj2+ z9bAM$S=i#HZA&!r0yPu#KeO$PS&|M8QXe9=ei=~P837S7Uq`Er{W&+qfqs0U0(*cv zjP8Ca)Z;2X?moV!>HWC#cxB@Hn-DWFQ+UR{ronwioG@p9{)f>UC1~l)K)7zeZ>Xd! zd*-x}%U%j?rEUx*({Ydqnz0vnbW-dp>wXtPw16GlF%^uLIc<10dX2W*)!%+)#OXTVx5%WF#&4Gd zIksle5#N3p)qT@o;cFlDrklf-j8D0?m%mG|NY(E9UbDbk-3GE)^WN2yNcF}kIKMpg z1E?p-P|jI@Tc(VuzJvx-8>BNnE?e;=9HxBDw8W83cm#<2q{%wpuWvtpV8ZS+?3{bl z?*zWQtGXpZM1LT7_d)SyhNzI)!I78SK0yPTOc_e)TzPDhVb9D;M4Br_X>%-s!Q6BY zw^_lNb)y=cB>j|-gr@6{k*+sJ)?5Tn3#hOC|{`( znWe^7)+4Vq@?mUEx>t>y+OrNCsQ%lMJ!J%l`+qtVd?hw+k64zFhFP;^gIye=>}?r) zp1=%VHK@L$t5%p9RUdX?cVm?r0%Z)|!Bp*@>WMLeBw`h~Aa8lw174EQe7K%oBh^q8 zo0UXZXoSIT_-mFInfddg5B0Uh{I%D$g^AC=ZM4-=9jm`aZ+v+}Pe&$qhq5;9>B^Nq z99OYj?>WfQ6m1@|{pq;~nK)URV*JSMkF9zNjkzmiiN1&!x3x#v zB<^xa+jd2G+9hP;?~UA0aWr#p`W_b=+mEF$7&-`36gTqU;=U+#Ro0!H~w%o71CHwA+-6 z^mgyAl|R_MTO5QbTN#_N{D!90gtFid59AYz<42|6nNld`1!_2_bow<-?^Rt$oQ835Lo-!ol!98 zRWxHi#{7UjM9#LG&{`cY;!Kv}7+p@XR9Ce2MdWovOQK5J3(GQkk#_#`YD#?I$#r+3 zp{2+t!+mu|O~Z79w6JZ40x3IUzbjdjlfqC4?mqZvLcrJ$k-J6fWv${YJB1t_1iJKZ(0-|-AAVEu85aJSXrckFhQR!n3RU~W)jGJ1An zmTzLs**UFZ|CpB)DTme`AV#aI{*1(8Vs#3rMKiblj(f;@*{Yge@u8qDcanYm#qHFiA!Gd;hYf%Oq8}Ok`UZJIRz<*|p2U{bzp) z7&l_$F3f)(&_R3=$MR(H8dY|@RMXE=i#V;Fj^&4^DTHT(AZI%6A-=%mNM&E01FH3f zlysk76Eh*a{};H6PG7e4_*=Y3Pj4Ck{r+qJhxxok7d70Xz7kT%_$%4fnmuSyuC6Yl z5K{BG&r9lwp=Aw*(s@C1B}#t_LKYgrOood$FJV#)6zGOMnKd~k^>)$L{S(1bL4H5n zS5EXF#CQKB+&c};eND=WJ~U_BpSo*;(MM0pEMjc#DBDHkDOMNs!?i3)*@@dq`CVdc z8bG!F-<`;oaG8ct)ACmc3!ye!yC(}WrZ0p@tt5MZQ_%$B0Cu{nj^s(seiwZI6 zvEQ7${ljv3bo~EL3@J!#>;CUm^#QNBK2tlmF~xy@fyJ>=`2-%a^1nO6W%j9m5>Huu zY*-3;yA!Sji_MM=)JqWy9s>pe4>7@6W6VMxvkDm|0};%U8?F#8c{Ze z$vj&S78d|&m@Z#@c)9Y$h?lf>m`{=3qPo0{2m^s5Sh}*yXhYRz3=&};O@LiVusrva z)G5A3q5X(*Pja{GXhRSzH?I2&WZP!2CAEWJttH$ua6l(!B=&2p61yaj?f;~xoIDlE zn3|Re6V{CT^1hGglfg8Wc$|Ijg@EyBmuF}sVcYSU(BMc5p?rw-F=x0H)iw8uZ@fw5 zZsDHI=lmyAzIIf0cX`eCL@fKelDPJ%sae^(uT`e6X|m2b@%uL&6))q@=x<0OBPFO0 zrl0WlaWib=M@+wQs*LwR-4{W=JvPnR(mwYiH(ZpgU;el7pl*Z*w(4Ssp>IVXSE3-T zu(;N~L7SZDIWe_yWMo<7)W_Oa>c=3B(O0g+pa0PbPlkvzXd2CjjS~$cRUd}vFpd|g zVr_Z@h5QW~i#R1M{F^{ti8v)JsaN}BjNkU0N2AIlFV6iv`zRSE;pDI~y(A%j0(a-q zqo}#dM&xjGxGeP=Sx#-U%2dKJ3H`gZFT z?rV)YxAHq)JwuFkWk<=ZKJKJNL`szr2r`6|t8Flte8J?On6H+1>jOpfJj889{RO+7 zXnGbRgDd^NT4?F-JDf^er5k6ZP2Ug>m_d4MsM|s5&UM_v z2QTzWgUr+)=w#iGIL1r08KI(Fbg)KYc8e6wDyo#A@mqaq$#h_d7(tK93oE+xyMa7-`4LRCw`Xhf1`_D!r>77PC z|2saze>Ovy#m$x^@pjvtsH2=V$TK6`y{;KM+AZK@pC+dynqV#NFAmmo+Q*%X4jOl6 zr+FPpx3#^KVXSb`-BC_=XmS&>g27(V$uUJUp1SQm%hHltQR0@kIC__{uzwU-Pt{2w zPm;l|rg&@I-?lm#3Vat*IN0^Kr3DLU+t&9u2tK)f0O_86Ne?w zIUXJo5fS{L#slLO^yp4mhjm@ZV;k12YN=8fu3@aGSwhuM7REtv^7WzniFpjpXw2n( zua%t@FQttCjuxz_hvY5k2h$vO2hLxqo+%TR*{yC^@r`0nq{zPk_E0e?VZI*?xYa%z zn{jYAc%z9%iPBt-sxK3zwB**e&c1rLAwz3frp5<%|CgguVLTBO?UUN-g6bhu%+7{X zZvC}iW{R@&9Eic_*~#E?(5p<+3G}z4v1hjX*VC9=sQ2#~RgK~5fRqM5&DaF??`4)a zg2(7XAJL=ef!u^Dc+<{kqCU|Wl1b$OF9_9~v{wKx*iDb`Kd+4L{Z{!q(fQh1C7`JG zMmMqv5VQ7GruG)_&dlj>K%>qKasE>{S#kY8I_MipR`D ztPs(O+EsgnDynuhRwAlKluESJj zkv&9J`vhNaccPc`^YeGPgfWh_O!AuWlhmndFC_ZK}rM|)q@krW8hXwJGqAXJ7 z9szSq@+T`<7LgI=@%@JCT?Om@@ z*o!3=CwJmlP}u|Bc(-(bU-!yUDkdK@Z9WWEmA}I;sFUCr@iIJvAYaM zOc{<@zfjTsAo^$XK?jOMg3dtw!+IfpcS$$dl`D-&Q%>FZ!q(fp|-*dcusj zXMXZmRB6``O4Y>nOWeO9{rb-1+Mlt2GBN6XS`3VRI)1T$H zANP-26&)$sONSJ+E?ehL>mOGu*6*DsoyDaGS?jNqM9A82?sGx@7y|lrV~q*09G_jQ zwnJ+)=z7@NTyPqOWO7uJ9Y)6+AC(L&5ezz$O>a*$t+OeF=^8i44)$m;4Y*Y$C+!BE zfUUcdRz{7L;jc%&HGmB#w8AatNQK|8y-I5qQSm|HRKuotHJOr$C3wCDlgJ-v&?dK(2aBLd4GYJr4tny_|GlNwNgGkuQu~Kz6&pptH^_>n#3m^K z?DswZAeAw5^mdqRxGvrZM4B2*x<^jh`Js|OY%{SSCV&LpVu2$~DI$?gMGIo{*$2gu z@f2}#F@My9$fc6x_Vn!gM>A_s+AZc4$p)zft@m-~#8iY9l^5!-3a6znmrCrmV-!t9 z9+}~uOmC}lFCU?q`_@-9-R<^^H%~wU;seOe8|R=5g=RQsWHV#SpbgI0EU7N*Z!E!0 zM&(#Z`&9(GwJHgjfN{#;G2iYM;S4J-FFm9Ep}% zN^YSr?i*4SiJe8d<1yl^yx;m+$fNTG_qwtb%@8F{c`EsmT)A^Spd_mq`R%csznl+yW zn^Ay02|Tg)n|}3)@vx*dry?93H*QpFztuNs(U;*QDqlgJb2G?eX%3q&FB|*3t7gPT z9P*J+3&s3cX0Qce14IGAhYu)-ne?JeYVcVZ5=vSi#nr0LKMH0@mv_bcB@Dr&UBo9r+w zXKOONJC)U{JmB*SbYsj_t8pkl9m;lyL_-~-*U4=T&E9^4ZHyReZ^8mhVD@#r7Jog> zCw2Hqk&?HIi5Sn+jR1^Z?}Mffn6DEt?6xZV)-#&wk6QYI5)Xcefg&5H?#zbj*3-k* zEP|>=m$B^In67Dw_)w5GWh}Eh`=Aa2a5q@#9*u7jC0s1&`gv*ZkM zCF)g-Cf}SA$T>Af1rQ34>&crO;6gXZ}h3z30RWonMiTq zs3tKSeuZ*mfoD$&2K*uFa(lK#xnG4`E{`pq%6+P9@kf{!Y0y?P`XfyL{g&}8N$0Fv z<=eO=D2H2R_G{)(dox|pXQOxZ*zdJ8pJ#zt>P*jog8z5t|JAcUHr}W6@-d`qG$JAq zIwv}>6P0MxAm(|TP*)jVF}PPM!l}-wmdw&^tr=1lm3@7qfWB3C@Zai?&Es)TBN}-+ z;^kVC>I z>d3U|cc11oP`9_~Iw_<}efQzS4;2^rECBR6g5(fLirzHH&dco9X#5L5j*sy?JIQNT z-tew5vYLx!Mrl+X2LY4A$DRY0l(1y(CCFhWOWgP*yL-^_5^K1%-8sp9W=wBz%@naE z7NXs#Z+QITi1UztA2*q`zPN8or?vh>jpAW#1R$)jPN~fs|AAObqwTMWWH1bNzX>gz zihGG3aiL&77}C%D4vTun#n&07jB|#s*UL^?R(RKoU7E(1t`z|u)GJdVweHz*<=kOG z5v&+RhktkIR#Fc%7pI7{%8^7K<`a+FOPn26a!Y!Znau3@tj%A668LpUx|W5vd@e~N z#(5QBpwPztHrCkubx82H*EpJJ$Ib+NNmRZm1hl|mM2MoyCe9_!c_&}c#$Z!YVE(+? ze&<*3;)kQ)m_*}s;_jBKKHXH$0nt0B=6wCI`CRv6+f&uW>B@SBDE&)RkWt;ljt{m9 z`-N%&F$IXT?9=P_%V}NX^HcKxM~T2wZF!BPP{Roph?V=jQ-q|2QU6S5Q8Bh(%lXJR zXr_ZsX28U%E4xl+=!CPeij~DIm+~9+IYRbqYzD$$ElTzIAF2jU6Bcw1A;0M2+ZB2w zML}c>Ez>p*X@llskT1a2Rh+q7-$I?=%X^!s%-YOabf!F_#<4nnMX_0MP7Kt4NO+=` zSPN_So$F1~v69=dpHCLZdli3v-)+%K_*I)B&OZGsg~@O$8<;dMt)v-+-7RUnv zQdI9oZ6aa2o%&lk{q292#1A@)TY}g;pNtbj{rru9&3~0>+J&L@d?d}qBj=Sq#sW^z zu&7%fdi-B8aDQ`c#uwgZ5S0_MLpH0#^3p{Pi@#^KRxkI+sjd1%OLX}F_}MRA!OO? z{S`4O*9KOAB2r2#u9>yu^!x`ITdf5qbu5?(*KX9C&KdRT-kvjpEQm>3-mFZjwfbE# zj^pVrvhCxjx}N||1OmKWK1bH69#b2}J^$1LEX!>mcf4H&+7)Kow~^wDdgvs0-~N^w zlObjj;`UGYfzwBSnaX-61h&+WTP5A6ANf#K9+=(Lr?rELH#t*nQETbw{;yO-{EbkVK&M-C zSLF>{=4$!m6F;cGRj|*QZf2Nufq&_EP4hsBi+$wfQek3yJl zX|_>1zgf@{$ZOK)CO&b)CBl&d53AGu<0JmVDJHo}{MQET;l+P0k|oeM?OgDnf|$(z zj=S^qSk9i?58-%Ud<<1KB%AtmL{Z67kqRur zKcPfP*2Fc*EWft_8pGN`K|$hhyUsCorOnx)0PbLe(g14fPSWoKwWLnki30uuY@f>k z_0W)hSI=tFN3&$@s5bU(!@76vy~TawHTmx0BbgecEMfM#^U!S8Sp4KrEFNuJG`y@y z1kgipT?VOUBR$x1oFG?RAfX=tk7+&XFi@b2d)WU04KTOFn_a>`g z?Dqu^TAN8eWUhU-@L+;CwB`rjgOo7dSU0);QpY2}*c8po-(Q1E0Jk9%m-~#3Ccw4B zp*2y|&P6Bv6LhPBj+*gwTU*zi{ONCYsw)GJFEuLIZH*-jpYJXpFH9971kA9ehq|T= zH%QAmd@?{DGaqE;lWd>THO&J4!K^I3#X8QvZBhlUJ_DK<+v|>OE_#X+h1H;6s$R$1 zLKD!HJA$~!%Ug}VxyiLE{LvWdZm;}emvOq|)X-0JDO~Gq5o1z|dfFCqTqT}WX3$rp z(%&4!T_N`*Rops&!wZrh;IkziCWFkT*Ei$v=nrs-_-4!B%m}lMjwbISo6=d&#(HGS zM&Ru_d!c(`OW3jBnj20u&eK+Q8{esWH1>gDxon8|G=Mzn%g zztj?$CqhH&I0Tndp~}?w^w8`q;@|!`EoJ58p?dPa)Fn{lykL30>+3A~z9yr4cWO?=?hkSn6& z;K1jDc5g<3K9e4J;i9A=yJVHo&@)1j zLB&rRa7x`GxZ07Y92Uau6rIDHab=+ZRcz%z$GDf0K6Xr%s#C%=GwOa0<2byuFP%r0 z#*$6YF?IR}tp0lGH{ND`EPyJqn2IftEf>lOV;v((j<-Ue8-$W$biu1pr_%SMKP%fE z0>Z$WzCv9Xl3s-dNSw6xOC=czuuIN=J&~d*$7GnSFy&X`UqYi_adUS@KNJ~Qn%})u z|NC<{-;wG{)dqj{l_SJPqo)E_HsC_{tv$BSO4gK!ad4IXfK6(}pKk#T=G7Z*B7bg> z-!{;N?CHoHtF48~|!bY|e?{tRQek9|_BL15HSV;Wa)>%aIog9Hv>MBvQ&9vH{JRm{J?VQ|rGv*U*3yW?Amkd1PX?K$Iv(iX66fu&0r{SgU< z?I6L{JNztD&cAUy`z^w!rsKq}9M`_6Ht*V9_fmTvv0tDj2G#o%rf}(z zbOy}-UC;C6?A9E4C9;OC8S_SDi5bc!sBe1&L^Sp6Q#R2zQ7|&q?_qD9xn_2H=WxpD zY2_aKS(D`DjXD>MXN$&(pCiIF_@|~`bf1LyfuN^1bv%c`E*{Pidg5X4S?%4A8I^5G z_`L{QbOdLDRPc++%3xVr2a(&ZsLO?w+|s7nwdynY0>!K*T>;8_fL89RXZf+4|AD3D z-;vj74nJ~7Q_I{!Ik>y`=s(zRas`{0rB{`5wS5rkt^O3Ty!`}`xSS9Py!}_)b?NuL{U)_A1W?z0 zRHzURsmZXvws7%`O{3>O$oc5lP=~e|J1MEjYT4P57dBci11RnNS=BnOFI^Q~)tjzi z!y`iKZnhSgd;G?>xl3hgBpI-Iq39bybQWVE^eS~9nrvBSiJNzKumRHqqx7d%q;L-M07eYn+t;7E)@FtKWxcYO@*Y1nyigWf{8w%0h3YA?)g#1^$S+Il4jam$gy$WfbG+!Oj$qTV z>-15F31V7SL*Mr{pGROP%-)$jkWTVNL#UqgX~gy9{`2s0AtLoC4E!5M2j1(kAf^h~ zWPm64mV0^Og#gb-V|PS*uFtplhmkI4I-PiOwsHfz&}aE}yqd%3v|^s1M!`uFwidCP z$6jTvCPVz-t6f&9E`MQaUsY&@6%RFU`>F(;Ec{d{g3{+^nZQKopO%^GIOrLPyX4eN imx7h$YC&NXGp?Leot|kTe#2Rp=gZCe-{Su>lx}9C^(g7=Al+yu z8Y={QDTJpcDY&L&mH?I3Fo6wV_za;Ke4#XmvV<7UP{Ej#n2->_o+PV~mY|W8kicXt zvF{%{qnp5dR+UApV*kF+Z}en1Q^hmwKmU{Foh9M={2Rqy*ztUCxa+;@kL8B?e~11m zbD7D%uvF{dzj$`>wqM&>-ndV1XS251cj4!YkH@*c@oW6sa9muml6S+lmH!(>*gH54 z)r6yeG>0y_-9N9+p$p`k|NnswNlHlBkdTmIF(LIsqo9W|<3tDZ)S-1W8eeCzI n-A8s?7#bEZuqHAr2@vS;{@i7J`EyXP$fL)`pS{lbKuiGu1+s3$ diff --git a/CH6/CH6-1/db/Ten_line_to_four_line_BCD_encoder.db_info b/CH6/CH6-1/db/Ten_line_to_four_line_BCD_encoder.db_info deleted file mode 100644 index c0bc0f15..00000000 --- a/CH6/CH6-1/db/Ten_line_to_four_line_BCD_encoder.db_info +++ /dev/null @@ -1,3 +0,0 @@ -Quartus_Version = Version 15.0.0 Build 145 04/22/2015 SJ Web Edition -Version_Index = 369135872 -Creation_Time = Thu Oct 3 19:21:34 2019 diff --git a/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.(0).cnf.cdb b/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.(0).cnf.cdb deleted file mode 100644 index ce9a8138dd9ae00434d55f40fc4651dd30c9ade8..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1233 zcmV;?1TOm&000233jqKC0001L0BQg;00011WpZ4R5F*Y(F zF)%MOGcPhQF*6`jN+4HdVjx9iX>@6CZZ;MG0000006GHz000000FMFy0000000000 z0MP^h000000C)lHn7wWkF%ZYS5IzJbNC+W>C;>&H$$cbup}PxGnkWSl#qkCR2_!(` z4JdgS3Q9^Igpwi!6{1Kozp=-=d%Jr%TLfKkbMlToGk<$#{ITBKaL(P5a`ys?bQ!6T zS|PvdK|=e!%A9)`WS1*^wyh1!E(Ni%MNn)fYIrI*EUR=LavF_O$J~#|)G?n&WXhPN zYSvR|;ZBkQRcJqsn&PiQ2l>!pK6ED^x|m2=DaS;eKqx5hOy(6&C;^Wfa7=H>h2xJUF@{wDjzZas5*LH1Ky91*YP%{c#= zUj3v04*%l#YrUo-Jev7m<@`JnuWtm;Un#HqkDhmzKi@H^>scSR1~*Z+=ojJX_kZGl z$#~EoDR|4+$jAZjYPi+;LYYdj7~8n0-BTVyH>He*y}|g|>$e|1`fC?C*~%;ei$vBx zy?g)Wn(*6E>MQtl9Ada5^}kZLy9Y6}`CXOKi^aefU>QTWFkeIvdhyymVr=M#_+0Q+ z;V)!Sj-REx-yt7#$cG*BoeueKhkVqOv#2LhH1lxv5-Ce2?kSZL*MZ)tAD|N|Fr@6$ z`Ypl3)tH$+=udP++nE)`R27?;3l$vFbE&MStk-m1?N>3CKdX5-FO{rxDCS*L$=Z+7 zYAWxkambig_*9ZENK-j~e3i$ZH!Ah|b_~~g)4}Pw{4}u6ON+XmAQutif0kl)e$;v& zZFua7*l^xvIUGK(aXw@ABp*3>0h5Ev9dC`Z8LRVU^Maibf1vpNrjoHdnMzJiinV!T zQmk!LE}{}ITUbejJm}%8h|C&pL~pzj*uYhNO5OSb!#Bo@z*G8oA{dV3E(~Lw0RRC1 z|9AmxQO_$xQ53#o{2t7NB9T#pNE!>fm%R7p_U27*-ZSrAi%Gc@2{i~C*;v~716Yf! zl$B=bf3UQ$vk}Ee$#-see!cqo?mOo@-#z!71dFgq#@O2R@M8pA1+)TzC!WD+!Rrk#{bUS=sejF+9{tgWMJun%dE|P-8ZwvYUUvE zs?VK(SO*XXLZRzrcnuSX?d#_`G9k0(9~#@MWhq3?oXg!LxDQAGp^GiJpRZF_l@BVn zB<}ws@<>##9(Hx4?IEx3ssR^*V!nYL;5746iP2R4KFRd*Q=W_IG_pLOJF6AES)1B+_@Or&^HmZ3C11Ye>@21Kz}L5np+}U!}W0Hxt@^?5A1Tb(J4x zp;C4O;DT_ZG3}aJo{_Xg?OPotJUlX%PEWM3Ls;K5X@gOe(1L%L(l-7rjVn1S)JF}{ vindFe%S)xjh2(N+E1_1h9UZ5ksJ?o^VH-3RUD$@hrOz$2$o=3Q00960-b_i6 diff --git a/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.(0).cnf.hdb b/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.(0).cnf.hdb deleted file mode 100644 index d603819b3e815306e105c6a853c00b2fc50858f2..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 800 zcmV+*1K<1<000233jqKC0001L0BQg;00011WpZ4R5F*Y(F zF)%MOGcPhQF*6`jN+4HdVjx9iX>@6CZhi#-000000Pz6;000000KfqN0000000000 z03ZVZ000000C)kkR7*~SP!z3NO%okBa^%1jG<*vn=!2rds3iB?^LU4QFXz#AJLAXHj#WJF*8mDJ;${D z>o{3whq4+1Mu0J3LY+yph&It7y2Js|Bl?)Vtf;nvuH2lNhDxSNmP)otj!JHkF6Dt@ zqoj68t&*B0H9$E=pqa0kr`bR=S2IU5wqX@^A!8Hz0U6!dlF^n7bp_6`Yc2L_*5KBa z#DT<{z*Nfiq}xWgYU;{P=cJH)<#~=ZE^sAru*5v5^0&lpmssr*vt42|NigFqXjS-C z#Yg3p!CAwrD(Y`c#h_I|WB+rFH5z@TqS00=8eOGg$J84c`}RA}rxM^e(TRjU-p`61 z;1GS5Z=_N?MYEl z5ry9EU8quAlWnq)P0H>b+S3p#)F2k})929l(4!ta`wZd}=sS23XSa=i3&Z?<^UZ$K zA`Uqvggoc@jf1{{wt=RC7^1|ZnkN`=r5mJ)WjHL~x6$wXf(fP+4H&Rk%h3{XvGw|L z|5(HJF*j@jCKd7^3Y3;S4(0vvuh};i*ENkEweF(MK+zBj4#uo^QUbpP!(xbmA2dK2qy4L5zxpgPUO7a z?yXqO?Y9qe6{~p*y->l=X_OZ-L05@s9J`YPquTsxcL5BT{~z46Cvx)2trf2=z}&~{ e@II<;kq~RQM|ThCEMdL*n~(w5Ond4R5F*Y(F zF)%MOGcPhQF*6`jN+4HdVjx9iX>@6CZZQ@B000000PzC=0000009yh80000000000 z0CEKY000000C)lHmphA8F%-wM>-a!q5fM=l3sDiVn3;WB2s?r{%XAjDejq=DAHs4= z3kwSi3kyqyEi4p71Rejslbo5GyEk`U7AtIqBsV$vpLZV92_aliT~ochU=?g!uIXz< zzjJA3Mg3Kq3*knZJC*v5`sdq28?laYwX|X3@w8{FM`2Y0^U?-A4YWUqazhv`0N!(O z2yTqaZ1H4L73>@9|3A}j%Zl@6i8pzCpyx5l z>0sOF)9~}szp&AjSwF4ic@x4{ebaj!EN~ig&+XXF9x)oG!aIS}7|p3Abk8WceQ|XO z1?OJZgf=}!N-$L2of~Dsdg<+w#|4H1)l$LlujLljQKHYBhkG#6sx7#is*Z~^$5mJ6 z-yY`^+`sY5AH}X52RnLmL1{z+lKE81S!+Fh<+#^zza@Wi-_9=2#Lak5SM@C8T=%#F zJ*kvvV@`LUx&0FxBF6KygeQNg#}$95xcpG{c-8H@Qqe#9DfiE}ge~DOCHz4Bn=A)3 zp~6`Vb{d{{@Y@DFdEJKRzQO+wRe5{V?L+@I`_~el_#vl`|5cISL`Syk*F^XG(APBa zcm1w6$OnfE{D3XrRc%>nI_eKJhyGsKwV%>D-@#lB{l1zIE?PTjU;)M9hyfPO@v9*I zneNAb^=MQru)tDhfh9#2T|+jpAQ%`58@@{{db>pyuEA%qxz)yEr^o`=!G@!O&=j@U zF0ug5&SQ7b7g=l-Sr7%1Zz_vTV}TU=NR3ORufK!rnXq1bT@c73Y-2H4KRrsSDu#e< zPgL)xY1wdO1Luf1A~T7SikuBTiR9^TN&>N*`fHR{$(2hW=5yWBsnJDYCWAqMx7d}Ki7 zIPvm8A7o=H8|qzMdLN?#)yJqg4zuIM-im0kz~PmqsvP~>*TB^s=d^n7Ymvw;G*r(9 z#vsPN{)Og0X#8Ha^v&8WRo2YY3ljbU00960cmd*PU}SJ$U|`67{m?v5d@@gAP8L5@Mr9+4~zK0t}zjtMJ)6oWhv^8+zLp`X8tD=R|?P^{B& zlNTS51{ubSqQ=vojX?z{vc_k3jRlal1Y!YH6(O!czU*MD_D=ZmL=GqkvI?!FI6%>A>JfUrvSqAgj#IpcbSJY>@N;LrY7?(7?csmthXj=m$JD>dZhbpvXek3JO`QTKT}rfjYnd4R5F*Y(F zF)%MOGcPhQF*6`jN+4HdVjx9iX>@6CZVv|l0000009pb7000000KfqN0000000000 z0Eq(t000000C)k!RJ%?CF%S$85JD9B0EALfp|kJZ<&q+)B1-5Ygs3S~UYU|lpiD`b zl9G~=Pv8@%IA+$5J0qbhV`=Oed%U~eJca`^F0q{M3ATg*YdGH%My?2~L*Oo79%0e? z5VPV`P|wvdXFXk-wGx+#d8Q)Tra}|al2avG%Petb5AaN|y8jX@zB;<(?Ium5%AiGv%0SndY9n#~;RyX@A(pm1wR_G`d!~I5T%! zC2q@Sn+uahy0eNzo|!K_&03naG-+wvQr*(1rAp`+u@3-#{sq#mfm?7^pi)eO6M&8d zg&pML$hblFuOshu6upkJ&!MAUhw3_*^7E%@-T}T`-V!+It}V#Lp&jFE*G<#Z7UbLu z3Y}o1wjh@fF^F#%8@&Z~Kn>8LgCrXiNUVVsz$8Jx#@M;RCP6Mqf^HP3?HgC0uwDWD zCh-T1#TW94eQFMJZr#BzpPd7|Rt`u^eZ7XSeN|9AmhP)kn3Fc5W0fOZ7} z76|d_p6-zfsxXP0Sc;R%_M%;lLXk>@sFY970r!9S6{;7T`06U%T|zVD+y_yrS8DHH3Mdwf3RG=!-tSW7{*AYzMmm;8%Rh-Cq zz1>@}n%i$5<|Qh~dq%bS)%F4yF#kWeX;0+jm0K%bTY$Nb f*WrCs-6A2@ZjbIB&{@KI^EV*_u9^4(009605P^uz diff --git a/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.asm.qmsg b/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.asm.qmsg deleted file mode 100644 index dd2ad3af..00000000 --- a/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.asm.qmsg +++ /dev/null @@ -1,6 +0,0 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1571926291773 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 32-bit " "Running Quartus II 32-bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition " "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1571926291775 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Oct 24 22:11:31 2019 " "Processing started: Thu Oct 24 22:11:31 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1571926291775 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1571926291775 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off four_line_to_sixteen_line_decimal_decoder -c four_line_to_sixteen_line_decimal_decoder " "Command: quartus_asm --read_settings_files=off --write_settings_files=off four_line_to_sixteen_line_decimal_decoder -c four_line_to_sixteen_line_decimal_decoder" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1571926291775 ""} -{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1571926293386 ""} -{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1571926293426 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 32-bit " "Quartus II 32-bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "382 " "Peak virtual memory: 382 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1571926293958 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Oct 24 22:11:33 2019 " "Processing ended: Thu Oct 24 22:11:33 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1571926293958 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1571926293958 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:03 " "Total CPU time (on all processors): 00:00:03" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1571926293958 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1571926293958 ""} diff --git a/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.asm.rdb b/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.asm.rdb deleted file mode 100644 index e9aacad0e2bc8f2bc36b317b2ad3a7872b866a75..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1374 zcmV-k1)=&B000233jqKC0001L0BQg;00011WpZ4R5F*Y(F zF)%MOGcPhQF*6`jN+4HdVjx9iX>@6CZkY`L000000Ez?v0000006PHy0000000000 z0A2+E000000C)lHR^4wKMG&{4<+FSW5FjK52|sdRXJ@?oo7tV;&h3p_t#*t0JJh+=>$UeubdAAe zqEP3?3qSRnWcZZ&7p49+jo+e90H2Xq0l?5DiO>tOU22R|=S5KT>nH@V^87jBlsu!g zGM$TwH`G+!iU$~V%t3zygm>x}>BClW&!h7>^T6f|IT=3o$7 z=ggrlP)@0KTdMtJ=r68%TJLHo|Z-fw%so%JkF7_YxaV(1Hy zH}E<1FcwZ(cq)`D&Ve`e#2^?dk`}@&nMoy>cYYPqW)R04lt0MUPX!femddT4Obeq+nLrWqHh849G7pV-_WJ*Z$2Xvougy_#6z~Ub@Y3rpb32 z%c-M!!7x#N%{>r*^o~-CnvUqQg@dWpex>dqV~sByrr`pz80;Co0+`v;Zo$d@KN+G{ zTj?+V&h>BUwalZ z#Ur7oXrX*zD@YZEi{uLSRQv_^WJ+(9C8(ZU2lPQ1^ghl-@sWTXCYWkal!>snVc_h{ ztu}Cm^URTzY5H1wV4|13lwpnKq9US*Zw}3)#$;;cqw;WvEZacB%%v70sWDvFC1%!> zna3%Qe<0H-Diq8#657B7X-^1N%Lc_`u?VD+h>G$vVKB2B6m`CVDrH4X*A!6eOt1ZK zs#^R+Eh^+77>#>_hkd`G;;o|mP7_vy7^0R!!Bg5dCB>(0Ney#O)OhV&Rp132_j~;S zhNJGI2N&RAqxAr9Bo&;pg7MA_P<|pwnzEck^r#zA5g?C96E|4* z{DTh{nonz!#Fd{E{8aPHxFWyu%gvwi;z{UNY<>Z7K|UUJ5A%Y|^%(r@4@tDue2&9>Sm>Yye6{?z*;RH~2!KU>`nSnG&haglnvMM%) zD?rf+RR#NDW{N;nx%xV}y12NyK#gN(-~mdc@BelcNHHV;G0cq+<6M1QeO>)RI2Z(g gQZga=N8^Ds$V`|UA7lZXyR6}f0+p<;Bz#rq~s;H)^uBf&Zu8x4KZ&gDmTA3-@ zxH&5tyJGynpYCD`&L5$Dp@3KtMut+taOg zg+z8*V|zp)k!MT}8^CkZ?}aN)sF%x_m#-=!Jzyjxzv`T@+0<7k&O-Yy7lcPpyfL^; z_jT*K$8EZ)Va#q|lhs4Z*>}Q)Izi&0@-uop%#$MmRPjIfX4E4@p~vGsphsY*S2O~g zn5z8Aokp{-r@N~Pj7CVCQ)=EMaMTE4;$iR{Pr!?bo%Lt^JC2ky`vj^*DplEahE?a6I4LO(}M$92FyE$zrd=`+4MFQv2CKcua zyYWxS-5h%0-aaP)yhH^Z;B7t@*Z}X$;e>F=_?b>*7*m?!X?mE`PtL(l(~;~6x(#7k zx1wqjXUu8I!y)H=fVFVSLoVRU+Txd(BwLR#!wPeTNQXjb%rZ*z`gk_voE92t~oU5Y7 zP}42_g>uCM{E5Qd(=D@8beUXMROVdMPf;_EFUz;F=ovJR#o)$fKmpm1!=TGJDoM;`Z=wN@Rs;e_PDMt8 z4l@J-!0aB0*l7#AsJdiIO+eR-{P3goo`EBGKBP4BT$T0)L4(V6r-#2q?c3}69Oh&N z_4B#*Rw5-|x$H+`X#r%rPOIPP+7^j6wy$YjP71o4dRhb8+zE|CyPghxm7{Ccvjf^E zgFE2>xI!7Q6nj#nc~o;+~B`%GRn@` zmtvnrV*tLL^>DN7tqVns0 zh~5wJ9|M{&z6zHAc1MRQ&qGZ+}HR7V}zwY7eOBe4WV zovA#76qR|Hlt0xXn^9x8vwKg%`05wut?o8Tle~5x%G9~}&P%O6+Lb6C$0*admV7Hn zW$jJ!Q@hy;u|nzDLcDPQ%dl`mr>EUE$pz|FpGc zO^4P4SmJo$Io(%{EQLvFTF zwVYcFY5t=DvCcm2E(b1SP7nEXh7FJO1fSycibQ_fts+^yIug0k3>dw|jUy#PH@n@E z?Y#~=N#T5X{^D5&tF(P^On^d@e~I(govie6F-jblHfYf>d;d4klP)p7)0GmUx}o74 zPpgPz^mU>rsgK8|R;rniLY0r-<>tC0^0RERd@oLjV?&g&-H>jTe+92ZquwFl+LE)GYIhDk_N&?_`&W< z)kf(J;MF(TfLGrNlWdSRK-?|ckume#yMoG8^C^4Wm1D#b%10d6_kMu(dQ#~A%=A1| zSUC+Iq(XuQ;*6Q_HEsedhc#|xw@)>1E=lSw@=79OV^MN6mGF+RK^J@b9uS4TlrTdO z2LImnTPZ|OZcNI&JCeHp#aKN2xWj-#mv_Pd+~f|LB0lAHm9XztFr^hi7w%>#k#_ic zUjKr6iyy-3VxcG9V_+NdcbXVqNUPlv2t~$cLqt+GY^(s|sk$U5Eo40Aw*7N4WJ-i? zP!r1*SQ%;VQ&h#JoCjJcJS{{yFh<^zPMGW5(KR?5;7KgG_uCP?9Oc4{At!E+|Fb+2 z{~r*sVm5}nJRE}Yxpdc13gm%pW-ktiWMz6*81;eGAgAoLDbm7Xo4wNb=e=71EaV2= z+Y!@`kT^7L?AEfCI8GaKqlLQDFFOJ5hTEvx1U!y<7>!YDuP1r`{}181BeGZN%U zIE4iZY+nZq9iH`pTZq*k>BeOCqBeXlY3^t|xeOJeQkh!PQ0ke&h8upCFkn;@3Q;n* z@C%G}2BpRVa4*$T7N&1u!}{{*{GF$JU%Cjx<+%b%cxm=Gr%;;Vn~SFk#QprG94KH~ z)^~t9qp5u9tSqK+T;HcO{tSkNYM7HgFirC3G%db=;}@!czc{FD7EH$wi6BC@EB1Os zdn>MSldSFa%$d`-F@06dWjL{8e-wUIgEFR#oif@|0Y||0yqMfMFM>a#;Ya(wkcR(a zq;jFdnBqbQEbAt*PJK`W{8ZhlHyK`>bU!|D$ITqsc95#h-&#`oJdwt_k*2IqsG!rG zT9pW`aFC$k$6-UaE3)Kh4`bzMs*zVPM3c6LQqdGp&oA!4v*&Xo^0;t$3#R?&93X2=j^Q;_MvrqKTLOJ}mau;(JmQd=-ViH8?qJYEuxpQIdPDr+Es5tFPgBXZu#|^ zG3OfkJDosu&;A$SJhH7man-hZI}!61SYlba(`qHAOaJ2OkOB6DKP3~tIzuCoW{u5F zV#<-<#?J7dv)CWuL1&49h6kOctTi5Veg`@o^Q_Z_KqCAEK%-D!+J^Z|xMI5~yldtwbot)9M8nj3xuvfMK`UVG_nx2nlT$T~b|M$spmBAWWK_x(<+29L!b5; z1;)U@4PU(vE$rD#oeqyDX6AB*syt*2VW z-%(y|*LJlswX6QQo9)e7^kn?^{lhCjqp#RI-{g+kC6nM4xfr=T)Rg*l16RTjC5rC? z=m=&`tyzr$$NeLLTsiSSIsbDlOW8Sa#%W%*iU*y=mJ<&;ODHBDba_c5-y>adLEYWL2F#ziKyP*$qXA?l;Vb zOzrK}y1~&8LgwzwBGhX6jGyDS3}`=kbnm!eY%uDe5CT!kXY9!5D!tnn - - - - diff --git a/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.cmp.bpm b/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.cmp.bpm deleted file mode 100644 index ab984815db7d8736e2b28a8bf772fe220a0b6ad9..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 807 zcmV+?1K9i&000233jqKC0001L0BQg;00011WpZ4R5F*Y(F zF)%MOGcPhQF*6`jN+4HdVjx9iX>@6CZiov20000009*n90000001*KI0000000000 z04Dr9h$V{bD`9%8Wnd&RbYtHLeS~cmE zS6bZuKI_@#P&(fBwliWt#=R~K!;C%7xa)W-|1;FTx1`GrvGZkZb4x6uqd=N9MleH; z6EpIMhQJ|BM!=aTeMcHQbbGHY7C(5X;Y=NbF9ob+=ifoo%vypw2mPi9U^QG`4nhb( z^#J|yta>o~%Z4Edju;2SpPm(mya;g->j9ee5#!+gf?5B%Z-j#Iny$uR_?oj1EF{72 zjux~{|CE;Kz~nNrD$h@%b!DTLObT87wz1ZVRyXRI$nW`LyGBuejJ{QK=V2MT`E%ciO=bISrK9U|J zMJ75ulOC=lM-*ybYFQ+fl2LkKzZ2u}D?%dsVyWA0);RSR@+HATK!D$r_XMDP;CTH1 zaYn#9kvIc*9g(v83CT6K+>+~FmBAO)r*l6`sN{#=mp`lP3VFlBHzrcKksK1gX!!LR zxx1~)6QXO|q&+-6SRXzVWiPzPKN6lrUir>l?9wTaJmm@i0RR7Z0b*rfWJqCPU|_LZ z5zh#unSfXsh@Ap_D3RW)i9RXauy zvqscNq>9*L#a^iqLB9I={0rY7Kj%5;zMk{Cujk%#?tPwfo^vm=u&|VzXFahjEUqU@ z@dVACy}V%v4^eqVS$Wypq7Qvx?oOie$_k?Lx8)QR_v^FaEJ;z<>8B)>RvLxtW>ZBw)+I^7Ydgg~w0c*cQSb>*S@ZSbTu! zvqpnPZ+Cn&IkfA3D|A)iR=&NE;w{Nk2O*bN*Sxzy%3)9PFAT~k^~FE>mE+Z&XbjXh zAXW}RkRip@!<6(ub(!twiYu!@lhs4G%)ONn5cT`MbPtzSh)O`RzRu@Y!PgFoNY}H8 zUGeytMJrodkO70MZ)kRt|M!4v2Ik#(pPSHzsX>rqo#bh>{%*{Wc>#7Tmlk_pRee41 zQd7Sgwlj8(Sb<<^&3r}ZLT|6b_`=LGVOE6L#lS;hnP$^hVDu;X$G`*z*IW+*#3Mox(Wo#ySzRE8$pm0O)F!E zpWXT7)cuNuL6hT{T~sybqoXpjj0m0LfO>xek3_BD8>)NLW-3GS?xP^u8{KK3A((1~ zEX_rGW-UBWk6-Z*&Ki{%m+SjfOhu?SCjsR88c>BDjhTBp^{7I+_U>`WP-D-^ulQ0C z-ztC0y|86kO9>IU9kF?OA!=mQUL=mTvBrh&{2cjrLBkHbpyBA(NI~YVvJ2Z7&%xb( zdPZfsjqW{m{or??M2@AQ&{^4z8xsOi+8x>744XANz)gnjd^3M}K0fdjTYsvlGh^&o zSo^EY#4}~%lINS+hc@qn!I8M1@$YL*ZEnf#teB3^GalK9mGW48u?5VO{&u`3%a`(2 z??{yoN!Q@Dh?HvQTN-{-D|I9grPq-+W87@;zZCx~)cHGJ3KROWh3@|yDJT2vAL7kLO04tebaGk96-%B39gG$V!sqU9ZD#3Fmhsn;D z`n6{j4`=}$*=h#vJy~{B?xp#%SpQIk9>|Nn+qumU4=(sxHzo|D%h~PNY*!&tE!UGj z(y`zT_ZXJ5XtZ-5xd0PPsa*LyAWVFX$EWy&56Ok3X7tacY=m63;Mwdku65|t_G|bd zr<+D;_M1+b=#+iZ)hq)6g4nCmV$fejoeYD{T>bLwX(m>Y;&P$JLI zs3V}`r8t+4wSfH?7hLHpFn$VvUGa6ka zeEG_OuP1KOE*y)r8wSOIy@9J%8FiG!w6N`%QrSrv?N zWk1kFII<=kh-Fl$@ZZ(!@n7CY4;-K}%jhmmO=3jodihD_;26QoP1yaSiJg?;LXH^Q z%sN$chS$!~WIUdhy`i+F##~MQQvko6z~bk}4>-1(rpUez(@@O$F;ARqssMJSM()m7 z2jkN)Nm+xPY`F|UVa{XX*YOjw-yY|bYBY)DF${AfNb1ol=&8bQ1d}% z?Zj*|0LwrrqOCdnn3LqAU1dK_oP-C`HdxrTo?zqeSqU!UA#NP2c?_R(L7j*u+*Va{ zTTA);)c_$<9>coCIO}z-j(&LR2IgF}a(OTr5AL+$O zmxcj5o42*@PhKW+XF&1V!xp1Qg|_6a{DV2#ljOC@{+zrSyEntY3yx_Dz|C&&W=Dc^%=di8@+DoF77ri;CLAn5~f?nxlGDWHSE^TZPU>nSj;9>heVeK znfa_~=D0b~)yX&ZG} zV|e=-BFtaJQ0vySDjekiH5$L0>Pa}al}xizkGHTtzVbT*`LBfMh#^Dg%OGY7Nj8n1 z)+AE!+ikRwUw0B`nX>?Ti6C!K;4w^a-Ok{SEW_hR^<9g2Yunx%lgUN}X1Axl zmED$jJ6a{pXzBV|Jz)OQI*nWBmL2o9wBVL$EBbVJydBVGt{pw}*F$;v9|tI^l6s1q zl2>$;#U|7XwW8+*VWrN|07-K_qEyDS`|ZP?5xFVeS9>xQZN5J@*?LGM%v~6Ryqkus zRtr#j(HdnH@_G#U*tE^KmNkt6ka|_q&dA z2lkaDw~D@31p6*QLn$oTg7OY8TtJQ9Tp+8fYs-7j}BdPSj3%UY%e<0^KDD)H^ClxoT==4_c_jFC@upr zpC(!$GyH1~C{{M~u-IVOyj%uvb&$Ww7Ebzzpgz!e4IxM^0D~$UB%w_*;qC_d>r`v$ ze5qUHA(pW!beUI^eExN}{i2fV2 zFI>*SPJhZW;S$?XbFri?x4%+;1=`xQwX?wi&KJl>w#)^(1@Z#D;kA<2l}9l(l6w^$ zhstHI;K1?=7P}a7B*mx;JGgr_JWcF6G1*a{lOZ}~lTa4cu#6R`QQ>oMYv~k{`J)R} zJ9u!CjC@w5tlHVV{Q+|dl18*G`$l$<&oFDw;BoDtSjh)3bciO;dlc64IUYZ}zph+UQ7~QcQpp zV!^GcbB6&q^?Tm-b_+w(y?LRjE3XuPSnkwZz2~iMxA0-QH|>;S#0jOoqkR9Kx1rs_ z@9Ey4(A1;WR1>(X8H-wIZ{gX0?(`UjdHkN7?)5&UiaibGvT#{f!Vx-quTtq%Jpm28 zifc~6vh7#)WLxYhp8eMM&;9I6s!p*d^qHIa!<6M}{T!XwF7}Fj2q@B!<567s{i%Dj zsNAnD^0iRuYbWr7G2^ai;jgjBo9~Oci}MUZ;9?X(=x;#;e`a=iCPntKxMLNjgD)ff zftt0a@jsB)Drs-a1hAwp2IwDYl^sD?-TPtDh;NHuEb8<~(qZxkZ(=U(*DNHwCvd)v zMJgzt1%DQFwvF0RB>8`l3k+%y3iLRGqjepP2}dCy8VKM}-3Cu;r@+U%kpfZ=*)F

yo?=c}^_{Y7oezsl%o=#~o$8&s;^@|~uuJ1TLvBVXo@M^DvR zG_DaL4d5!7CcvJ+8bsX1d8=`M*|93{@v6f6*&+;$M8_1THQq9kPa|pf`FUPO8iCi| z&=KN( zMtIBh$JZJ$(VBO5T;-142a6i_#VyNrXAE5%N24|SPe=`)-4hRreBv>2D%)LlmZ3p^ zLPk2=T>i*v<#r)uZ`2ENo9py&xAs{2?Kj%L4_`)tGh-f4kmqfsCe&FrREywjXsqxN zzR0SCX{Sk;JEH9WY`k{J7vOxc@wi9Ww(nDSBkXfsiDh^;HR}`B>kz zjiwd764~RK2n9fN92Fy-OAO^D5vFCKsv5g-A)x*!Y*AC#^Ykz3^ zyFUzIDq+X93^Jr}g59!B*H;E14TZ~tkm2b}y0MKNDweX-bKLG>u!?`M^XdCaf7ed* zX>Bdic-~vsGc)U6c_od~>E7b1|5yY1}@w z+Ed~-&~1?S@YW1)4buSsRomaVJ*xub8ypM1Ib*mq{{}2IBMz(cE&1BzS!D3`+he`5 zE?rNDgm}!+x8vX2k(#l|*29A!hc10loWkIC^EV$&YN)= zeTFV#JK=C{Dz&C;nyqkspIf}U_#v0uLO!=+BEqI8*37spv6jDd5(N3czw+GQf_^a| zS%YUelKHQTTLt>7z z5q+#1Iyo?C{J0&DwlGICcJMZ)=#HgD7x#AC6Qk*CN$8J|GfA_{#r5=u4dbfR2z5FT zIJr@7)b`w)O3VN@%^XU7jtg=AJ7-2IMvC06>ngzMCP2A+$ob0bS4%U43wE!vXu zc!BqwjRy6)>py9#{*%^G9YCG>zO8Oe-dk|C-^!6O#dw}pDmR`skpy2pfA!SRt)me= zsw^ja1%L7`191NMJPQkxiDE@3f}bQ93A~ICDWoHgp(<%L<>w|)B%5f&6jC`pNYk5< z%oRQGv){gC+=cz|%>Z70-d|?@`j>S8Mq2$uvuEr^6Hj=A*e4!=eU1KpHWEni%kQC( zDd`z|{!9X+sWSVD>1&>D`hZm;TcB+5UzV7e{LPN~rR(?JML(siFIRhL-yXcFvo5B= z8oph7a|~zL%x?bqd%)RUz2Lg*i7qsf*ybSppcBs=;Y>(;p;65;AC}QNz!hN!9~n2v zNh6o(-P(B~WTfq**?I)5^?0$hMceV+YO$JlSQ_}lmx1Z8hVG>4r*J^pFRYUMYKjq} z*3;t;CYgw?DGIKEPg>;`5)LayjSv za*U(9ja4h^BBR3YsiN8ri)AK;{hy!D1zp36)@O)$9^}-*ZoYQC%j=ct+WYf;2)3Iq zl7!Fj*1bXwREZD$#f}xPkK)kJ;t`T~DuH>4%FlvdRE`_`K1nqb=8C7_SqB88Bv;FA z%w7PV*!UymFj-{RR~?Yn;f(@GBl!z<1J~*SR_%_axh_1>+-=XlnnpdsOU98<4rI!` zD{;Ev(*n_tbkntSDIe9fdp%xU<&V2IW3!BLv&>~R$@}n4NZ|{`aMIc)Z68*Qmbgc!5{ZEZf48q$(^sCs@;mqqgduuokH6xrL@nNdpau@ zO8edb1`+}^5-KwS11nkTv!~B^1ZdRw7_bz5Tb9g^urq`G!>~1|p3duKZd> z;Vs|tI-iy_GZk3qYY;36frE3R&FytCyDyb!?fD!{&Aj%5En-Omp8+HNz5<~#FD|pw zY~w*rS)6)zY`oY-J4zNg6DG!jFucmU--{D|nq1}Ji@F$ga4qk&`l+a$rR^Jm>^cgu z{}?A#d@~;DALqZr_H*VX5_>j5jj^cyzu!oR-iCN&UzHlOvdG-Hvzb));V@F~!dFk9a} zX`}umL3iEz)5p|!vNi9MGi`3w8AME(J?!E$k*GyYr5CQocAsORw3&MEr!1%cT|ED~ LD&%A&&Sd@{bTc$3 diff --git a/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.cmp.hdb b/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.cmp.hdb deleted file mode 100644 index a27e129914c6b6161319f7c15305fab87fc7e4b6..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 11368 zcmb7qWl$YFuqakIl!Lpw6xZVJR@|W+X_7%p@XCW|qbzEZ&_pr;P5RFO~KnL*4<=TBC#Dazf+xY{=bio}J&W%mWSNH0VJfe=3?`7KhlIf zobn3g*?Ue@s{~K&@`w^Q#DQGd2TD1^K}1hEQ-{*jCtpZMr5+bBH1~zTFbq23QtxQ! zDIx{|QeC@m5vCTh(y;1XIeV`+CrMyQ;Xq==JK5h?&^M@6Z_h@?Ofuv!H+gkWwW85| zygFArxy0=2dAGM3?i+di*fp)=g5if+Hn`tvSDRq*o`g?#vN+U#4zhI!GQAG)b)5a0 z<^N!{dA=6T*dDO>ytfb(T{PjqoT#;T1qohHUKGVKAkQ?v;&VLgj3XC%4PQ&9J-tY_ z=*K1YVFMaIbaFqID=f|)OF!;YD+Dp&9MsyqX6*TWdIc?LzVmYl;^un}-VuW}^~ZhO zK59(o_p$SU+C+bb2trgFCfGkquv30t^mN%GJwE$o0=}94I1$VEjnxA9P|6aozpJ!R z)Mj|Bf?DYyeH6{F(C3t~yGK5Z1uJ#3zQC!P8h;s~>igTt=4r%ti46$_-TyQ`0Bu*Rwc?X>BG`EOMRx zVRe>T`G|a*QZXy+&QOQK+7O;%6kMJ725$|%#W1%@bn->n7}IL(`ViWY;4t%lQ2Gx} z{sHZ1aF|7@c!1b1Yppujy>bY;8+BjI7P{`RH%yQ?5<<1v8;SWkq`uNCQgtpC5lGyM z0Ge(-y*$yPy7+)yQ`HHNzLXacODz+&uAyj%@XS?rs@=lf+IeWL{E%Pmuq==J=om_T z3Jr}S+Di?l!VG?82Ccq`QZqg&o1CF%r5@&V2CZB{*ms>4Z&gJlzr;dcB5m~H+ss^} zLTq8HR~Y-f7tM32#o|-K3Hk*UlIt&?ry$2G5mtiubljxbkzoQapNrg4Rj`S1Bdhj; z#SeN$N1@F@!=G8ZAyqRS)pJ@KORH)Zm-slnfnUzkgHoEWA$FWG-GjoQ1MLl}%c|DY zaA@n#cGU4v0)5{TaAx#&bq)t9?wWth+^zEm_Q>y-Opp!q2*f_2$Bzuqg2uGVrskI& zGNrCq8pPTP1F?wBl;g6}#c#%GMb6nTOUDH4E5~aJy~2t|1-zWnPheq}EBvS=Wcv$U zdBw+FJWikR<05VB^yI!C+d;jU@=puU=8@kq43D&g#x7qUe;d83%=;0@0u7l|p5NR= z&o#AY`0kgKXAu%dn~fEXI8z+2p~N@k8Nb}-A{jsa8Gh<>=Mgc?^G7W7$oZ1Hri@BI zuMP?=0@zGg-!+eM=I7$b<%c%#(KwM5b@AYIp5bVxPv|Sz-Tnv>`6uPoTvBz-UQj#d zO$#aSv~#Da!dPRC{u;7YdJ`!C8O6d1Y8~gRpRd~E)E&|vuZZElg^p1B^Kq94q}p zWO=8v`zbsGcO23VCC-t%4MSdmBt>om&C0w-3CSZbghVYoJdG-*$F)cxAY5Bjw1%uC zhWFh3jfm7dQ4!Gkz9%%rPYvg1&CZQtN}5wx<37be2`8fW&D6rkZR&4VNH8lQE=G*{ zLS-NBn7{QMCHWkKeZ`1Wa}&<`5- zM0A?_G?f+`3o9%0 zM(ZcXkZ1a2_K@e;2qf+W^443870DH?miB)6M+{LEs9ASjA=*Q#C==lIjW9v+*U2;< z$JN%p6oMwd@c{wGxU9t5t_s+d&vaFOS2~||L$JfQS*a_jaFR7RG>w=?y})ha(+?1 z0AA?yIrYe9%zkfolk^>97xlFCo(wbNdfXmU0Aqi-)%qkj^ais?rD1FR->@WDq9}n_YjV1kv^3t2uZeG?ZJ_i zlYvJ&O(W;GPvsFvQhwBnKU-KQ9^6kucD1S)2^1Mmzm%l=^u*LWx^orKKpAnDEOR3L5|&0{&hUD!}yS8vbA@erpc z{AJW(6_j_o&)uz#`mG`&kaHIkIKSury*mX4lUptLG2j1TQ)=he*?_`)?rQ-6jcR!~Zoh)=+=tm7z_xkcf3KCfAp}#(l zDIYL#2$~hi)5a)i&m@BNk8F)lq(O1R~_{7$Z@=lqF3ho zx&FyZ+smQy;$}fs*?Y^GzG;iBphk=AXNP>3;=At4<>i0)7~dc6Zw9RO89(V8-vVzo zS6|xpnCd-qKJ2C!PlBVbqNX_mO)Un}y9ITPkAfAdapPGcR&T18wL|m#=6FrL?3GQhXb7msX;zK4e^9U z5GzOOA>!GZUlZnQSvh8-NK(mu4P~N|WJk)v4&2u=J`>y~C;-g5{?)sTcy4Y^~!mtENr7j@@GHnaD;!Qpl)EvS31Qm1X zs}aSGk))Fg;64fSf}toHnDRf?l;ctswCOMg*2DtbHI>oWRDX}`f)&@tt5br`$zw~z z?Ze_r#0|m4qk|gU^-G5WA{w≫>!>F-CC-=9qO0%9oYmf3(vLqV*l`@3e^bdePq@V!sF=X1}O(JksyG z+c<<+1(D|pKb!C>SGhQLQsa|WM=n=f@Vi(q{Oj?ipx>hn#s>BZ!(+2SdN9p;t{~F2 zbMg)1tLBH7^@dXxaX)?3g^)HyY?Hc(Un?{LtpIq6?{eh>bE8uWjFoow->&O;yy<0b zxvZv7zM$175WQ?iCd_Yj#+!04uZY&5Bng(wG8NP;f4znlS1bJl%E|3UMO(d5AH3=p#6dDp&u8VRb60xFIRjsQv6Y*5LYc|sV3@RyE`JDRMr}RZuWsi&v zD2tkthQY4uTUc6A$2TW|8Lr4NM5Y>Vh-yt_j@WktSVy5^Ct!f+VW6ZyM9=OE{S&Mc zwsJ8QVW&!(k)YVa3`uqD+U#}G2=)A`CWZBLc6L&^xs@4zV1QV_YLZe`pPy^MPG5k!%xlj%OH*N_ zP{7xqP;Gg9Qp(+JPHMf^*LcD2oY`H??{>dc!dCJYBQ^5og-9M-JvLk}X-eUYwD>oQ z8_G@`M&a1$?^MXbQ;}=G$29ydM>Mt~v4GaXUy8Sa2uC00MTzQ6yneWl&RV3CJMi;Vp^Km9>}1kP$qx zYYyjHs&I+lv82h-o7o6+x07ot(3z?xc&hWlT0Ych4@1y}8cb3(Ab9^trzkMm637br zrEimfUELZ=i{ss&CT{xw{2#qr` zXO}>{DJOX>r9-0s%p8}EkK=IROdGdu$e6kP`8=tG4+n#wjMXG4nxOAQJF; zVWG2(1V*{l3ODPZG{;I0LKZT06yke+P~*J2(N|$YRyF4g9fed;;cUQsySv+aK@d%! zd!((8LfP3j!<1ADwEY66&?uMa2vYRpS*7&XOQoM>HsZ{o;CNkuSk%IydHMeQ`K^ss zR~&bgp&oBVZoO!hzT%6%JTCK+QdSWzRFrpG?`xV`Ur{=_}qH%j{gk)Wpo;EG6P8#_kgQr`J2d^k%U zH)lh9AE6|dmcN%o_p}qDQ#*@CKKa@RaJ)F?5CurSCsZ?~g69|! zLx+YB5allSJjLk9DaCCuKWQ0UZcEdtj(x#^o6V-#QCXfo07_ z(jF>-3guyOMw!=hnFcX$l81r)x!FKA=K(M7Q-eSDhZEcMTZvNax>NvK7?m9q-`4-dQ1QkYS=wdi-dC{=+PiaNg!To&>vKB&C6AN&4 z7dcKjPHT48oK|%c2e2-aPWjReveYS3_@v2^eD|45HB%9)%PBnNy)0t6>r1uC2i{d4 zOkWXS4Yn%dy)zO9C$>lMSAOt?vH-E$mJzF!z(jY1fGsvOTfl~VbwH36a~m}-_^Oo? z7gOulD_UtBrA4k$m0uF}mDi6l#jM!5GGf^QKFFp32^q^FuxCxkZr4`9fJDR4Wiv}s z?CFn}(462@lU;VTn?s3htODCbx<$@V>ziQ zw85A4N;c3k0gS)@{AQameK<^?O2vC%PtQz}p>;Kv;tpKF#T*8HD3p`R2_Y@gUFqMZ zjfa(@WXe=~362gS3bMGZ3~F=(#k>5%r9bK=8*^kY$|`q7 zg{}tXVUW2}Cjbc!WHjLGd%?yG%HJ=dUj7+DUGJTsxoecZ`E2~8o}c~QKtd)EC};`+ z{sG8S6kBQITI}OWv&f;i;%8^EE3|1_#ZQ3i+>wH6V0we*M;S2zTbGPRdtV(s2~$Kx zyC`~h;~pY$Lb@U&<~ki?oz7+?e)oDIdb-@}BbH$H*&1Ot7Nu7FQ_27tJZhi``p7tNE+4?r7cwrnW`didgzG?- znjEHBWh^EU=9V0qVnul9z;T4HV2WtoN*lFSo!=mt^d=K9b3}9rc`?lUGM5eP& z%|C2W>&OA(i&8&1zla8bkK^Itsvv?v2DeHRD!Q6|tCFqNs5+N4y}kSvR>!a>CEvJ+ zKh z0-MnTo8tqTQz@M)h_4%^F!>}1qsfq5$h*V@dyqf1srQ=@^o-sKmwaN}uu7S+R z^~~@S7M>czlmv)TE0ONPaN)^fnt}{Wx))fP`>;=OQhLtSVUwb5n^>v=`|FJU>!kkc z(#$Sp9pV3^u;qkiqi>dkZPS2liw0REboMc`(LXWv*s8F%C$P>v=oBiwBctH(?(tGf zjIACvYAcfO9>NTy6cM>QgC@xd4w91^)gIFNU3pMCs8A)rJX?b^@EAHelV;Z3zy7}H zR#rI_+lbncEUtJvS2nbOJ0x~A+xS)_+~6uDd6xiQ9M>=Q!ozH zl(p3q*+8EYB4GswnY#3Q6Pz9#Jx?^Dz?<8O8dOUKGtZT>IY=222C!#xiXP|lFoPCR zVR=i^W$SMv4!@3hb-e9FO3CAyfMz{6 zBvu?9u9!ul8kc^fiWN{b#Ujj-C%h>~16il@-$cKTu$G7gf93`V9Vp=ZTh1b&oNWFs zG{!uruGA?!_8b{oLgDEPX72Nf1;GC#IU&eqvBm|;hcH{)@}~sxi#%(XjN=mnRGgRC&C0w9FAT_%bEj;w=QDtX<8JVgw`d4lx@vsy^3}%aM zFAwG(G@T&zGx*SKPe(}S5UC1cMTdceRh|5FdvS077v`Oi1ws$$UtD{(z3^`jo}_K< z5qiHt7ipXSo*+lXoKb;DTB0sjz}Jd-VHVIL-YqChc#&boDi6>g9YBjJqnvjb+I%0P zm<~^AO4vbW;>Bv>YN11N8_2rk3;a&wkBBO#4A&Rn&~(Ybn&S$sv=xIO#>#||^OIhr zL#%K~7Fu(X`St8{3=o7#%P%y=u_pcK9R{5qiS)~9t~TO)3|x(hYgq3wUU7bE|WVX0#+#hMPxFNM;W@mnHOlAk& zqfefxzfO8jytr*}foI+d%`o_6q8);0VQwDXLIM;Cuh{C9)<2>t@*><#b4TcVVDpHO zm2|t4obo>2SmT^q@m}6${cyH^YX2HP#)=%4vZ|pj~mphRf37BD|IC7np^Am5|_4^ zb;1CL#pMjLIlU2@K2jHSnW=9V2B4tT3os~X@glybliYuOvKuJdC0h-%iHG$^A_gYG zp!=9?u1>s|4n9#8I_Skl*2JXv(>W+L=hNfA6XOnR0(j^8XYrk55}`z+^FvADO#u8E zprzI&k?5<(J1hFx%yzG=*_aQ4-d*dhBzZml{iOTT)d<#8)`$2AB-r&PaP=h$P{mTg zPPQhvgY{KGB#x~^tqk#7k~1>1;VtSm{O=_Pk7II{!M~WFU#HnTpT*E2GgN1 zKFLlv0f7>O&gH>oc3aLToZsFn=*jz#C>u(3L7VttNKJ4*=A?!_6f<^>UQgPXH~MZOVqv?IjbCI zk$;sV^!Mt6&JZ`Arly!rUNVo(qNebMZ|o|XD%m(^m_k}30d)K=Qic2^-Fir#sqjb`Wc=1mObqVQQFad#yy87y`uuAZyyXi3gg3F0# zO~&n=|9nB`T!zBk3bHvXvbl4zIYP3zf1A*YZ0-gT~1^|Q*KkL-NOF_b@1lJLK?^a3JVgp4^@JR@54>0#mdssYv~7xawvypZUK?( zVfqorBxXT6_=O!e(`82a9+`14BKqnQy{O*$>LR;LKo^%RlJ4i)tMJP= z47-{Dc37-4uVePgTSvSgvdA*YDUx^Qf||LhxRNH^|6I?Jj<={)!@g28WVikFCku+o>=2Do*+F5~r?qe&uCS zQ~2hiCd$K?NTxOvY1lBwOk77C6SZxF$T*iFYDZZtaQYx@42!pHH_Br-*7X4>d(u1ctspGx82_2N^2FIw|F9Q!d1jRV$MB z8yfE&ocDuxNM6;`JRk;>8-grd?!W!3d?ikU_Z6L>emJ!#}CK zZL8~Oo|g7(juS4E+>d;n4#5rO0f{VwQJt;iP{_`}1uo4IP1Tg7vecj{VDhS+_1|c&&=FVYo}Q$Q5fzZjehadT z!LLg>0t?&u&4PW{$#8Rg$jHjySKywkTf;K8thw>wtD+McFLA#p1Vju6b2vCbl&sY5 zEh3VTsf7%nzcv!&q?P>6H`_&~Zk-~Z^GkD31mKDFv|Uy%Hu*=W&Oc|vaKdoTw1yNV zh*A8~@#60!)pFGsniwyP^56_$CDcRoAL&jk{6!_BXXL3D7SG(BwoRMXb543j&hEU-(d$6a+s;3L@XPIpr?crT#MHGqxt&?PYzSl5>oFUTz(t{%` zz6r$5`+|0-9{R38@Ag70QB>k9Q)^0&6AnY7eOD2t4gh*Sh?MEIq-jgqHwGV?AvCla zxuGPz)&7Y}#QXbG9T$_8t*ngHDEZjNzZDtVd@P-)dKnd|l^GOPfKy%UsB|sc#Y*3DPkKQHl5s}O&Eq;lX5Tt?o-M2S&IQg zB4sdaJx(TPrJV;K!f&|`#e3-Lo2dE@j#=sXr1eCfgHI-koFMo)DddSVeqVtc!6VL> za*-!>LkcQ1WBErqX6K(ancy=odoUo|sk#Z=p)81d5 zDUb>QGK?&8I>NLRTENPl-^4PO15Hu_6Z^z5hYd9kBbQnFzz^iKj$ z#}G$9+Lvvl@>E^c)Dg0)!kw#)9)NJbnaE$IcwLPcQfnhwAt3;rbaQe`QFR5K0$C6|Ka)4DtQNupR!@1CHhV{G6kda%eV05tZBx zz}6VOW}m7k%|Gw87LM60Y?+rrgneI4yFO+F+95zcDH5?n5!7@<*tXJ#oPD4)SA40v zU12L--y`dAg2=kECt+xeKZ+DKF-D<5PKTzr5xc*q@E^ue|CqG9$5 zkQ;l*YfLcQ!V*$<_j+LGtX_>RY;<6FKGY1!A5-3{Ejyq(5CyNtk^s|$d4mpdC(4c$ zoI5C9XJ{dV;hs3i_cI`m9;Wv0dAHJ06iay{8=H$=&HnjKQjiRBYI*PJW(4XRhdN02 zLE@6vjFQ^a?YYxJIctxZfuxwrK){vs2GEH2Lc<-w1;;=Ih#Ny6gHY$|<35}Hl@_n+ ztw6B+$=KR}b3;!z`dT8{-d%=3YpoIZtA4A%Yd3Xy7No24V?MDRcW$UY&`~NOJTOniLlpo=Lqdlot3sGrse1UZ6?bT!*7kv jc4Y9Ak1zXAxr~M{CZ}O(;+=8nwVsKeb|0MoV=Vs|sOmHl#et<4DxjO~^bFzwL*&iOpP-|zYU_x)~!Kp^F+Pz6IEQ3?9AVKI?8ZUon2eJ z&!^RwZLZsam;IU7>2gFO$up3K3EjAT0lfj(kHSbR{mI>q{8{>x19ocRW{>q)o>$0X zcGaH3RC#d|N_B*$t-5q+WOQ?9263deCOE}aUb}iiv}|=>E}y3Q+?G$%L&&rEc>>TF zn%1Ptjn!qtKGC=uTvGH+l$b!ZsL&R3*xjZTa52}O_sxcrD|~~}-`}_W%LX}kz0U$@ zGK?2=n-8jrr?d|=ISPDUbG(ENDALesN~osA zR#0;CTffT(EgjKUjsnf@QKx5AX6`UN?JYDoM}^gcq?sqz5gBe{sS;nr(3v_Uq7z2S z$4v9<8fP0((JqvJd=k5+6UO&PFm_Y=jg#2Pir|M}xKsMInE~(#AB!p*tH$0TenSh1 znKgbNMv90_XzLxi+R$Rk%yRvTPM+{-@6J6aupx!UCAdO{8I?vrq|O zKxTd`IooHJ>~+XjD)e`^*9{*)IF>MCi9TnLOf}&5#4}qP3J%fK6287}zAJAI()|*2Vt$X5{j3cd^?_GQH=2Z-*j76m z2d=y;J>eWkAra?Gsr?_Yn;3M571x!;ES8Eq-L}kjT3Xs0JpZWACiscyn!yu(Vlov? z*=sutVDCuExBamYQQDO>dIU3THe#6AENxhbU#N2!h_L%8sc(Pa74!D-1b4CemR}JV z|5t@z8EOr-3>ygrk4gu#CVSHV-O76Zbb31hWR6B0iq(*mcXSN+PksZv-WHDlJ@>jI z#_22(wAXYs7r39a2n{&)b)0Bfh!vm0EH{Nzmdfu|B9_&MD~C^MJ(V@w&&1}mh8hxrxn)JsH95^mD4+Gn zXFMS8_@(enlv`?9_BION*zOXOadOE`y{!W9&J{!6rK2OWW76hys7@wl1=@v0SINd; z2H%9_o3-w<{aWk3>e{N2-MWrbK#(#jGaKm(2=?DJ&tQIgAM#Gpu@Y-XtJ9@dRZzSl zO=KQK50OioM9<`bvfL~p{pz|5ADE#^h5Z*Ij1gvLE<1D{(}lAw*=M(klf4*6%5H6v z(pkPaSMY@eY$G?<^7ovV1~SY>I9a5p=Fq~URegH|`Huz{jsFxtTmQrUhr!eQADJ8QWw(Ya-gxpaan;Js zjxfV6r07FBq$JMAOR+^iW)s2qWGa-G({X-DjfcPptm!Bz|dgR=jP|rOW(jB zFps@`rn_dkrZ&5z`JVKh(XKQ}X)i0DjXa zr^pn~M{lqySvLb$1i$xps@7V2WREq?IOzNo8Oj#QdhM`mt5(jt8{t$xwAsvfOmlr~ zF(O(_D=nVu2wN|jXFKyRZN%#*7@0C$yGR>*+Rkb%Z*2c!>fjPEwD22-vz43>3T(-$>wM{AQlPUbNS4AhRx6;d-B2i9Y-7vb8TSo8 z*M7*`Y?uRzPsN9I>t5!G#%BXl6nMx99Jd1Q`^E6Nm*=`r-g-zaMVI{Gf>wVgMsTx< z1%Q(=Vgu{WFPeb+jo)LbrWW0%!)-1M(c2OXC}ZxQBYoHt+Uf?fh`(ZT@%9elTk1I8 zK=grgDl{l)Ula^hP>@EJz|9=@fJSw3v<)&JaJffe>tqt@E|n&o`uK=kA; z(DP*pZ{4hkFD-EAuW_~aHoHJvU%7Mco7&M0HIhK=m_ALe-X6ob6DPLLipPnyNMCz^vmp)7$ZUEdzwn$|rcosfo-I`T7wGoX92%Gx%^3_F?9_IVZu zIk+aOn|s~vSGmx_K&g>!W#daJ#Z5SEQXQ+|ZkrMC(LPn9P-yf4=D5IA_=+?%?Q+7S zUHpCkSmfwxB1d_KD}$CbEX zwtOo%2#2P1FCAEEs923yVBC&EiH5Ng0|B_Bhl_32cW%7eHzq7y*kn_b_OjvY?Ra53 z(~TMm1_fRVl`7^dIIH+=$UasGHJNqO$SpN>fv(&d#e3hm#KATU8~d?LzI@R&^a?g- zj+Uhn5CQC+>;Gh<++_S?Y~FM1vU*Q{Xsr8_>F29 zUlAihpBxHnslMH?v~gEyb#nIsRq4H|hO7pDg@a&urPaUa5K_#q3?(j8J#S%fjb8zQ zkEAttF;wcpYp?gQt%^yoTfRB+j#9!!e4K%zdW=D%EDY}KMHv zcg013-oyU@;%`!>eY`M5w*pJgTkYr92M<}fVl=Gm7$o*Z8?Zrc^}I(0YCD2u@P(Vs++d|T2^m{6(g!Ye|8Q5!WoDe*9P$sDLZYlAf z#$$IjMNvKL=qMhcKFHH-N&KTfB?a>fH!aqmxJR0 zIyfhswxR<(V27xqdW;;S`r#T7xjGUjboTn1%M(cF?xBVRS$Lo+j|k^p(comJX&H>7 z*)M`tiWsxdtBVeBUorr8GHa`2{5h|SB7)xw>QQb8LJx@XoTI?T3({71fQYGUle=ru zwwHzsn=Zm1B9$!jIAnmDhtfpLIPu50Rc2#b<{I0A(uQTY_2LN(VNs3DDvh=$3x%Gd z2D3eFry|2tR{<{@JV411VybM-sZ{8^QfOl_Q}UR4~1Bg%NwC zZZ8WC%^ze0iq2~Bux0ykdg#)ypWKJCd)NuzjKwp9z=-80KgI_=K>QZR<402#>L;c5 z0ngFvcS2g?U>06a%aLDaLKEX{g0P2 z;IM#Hb(->Kj^dGPq8qtriL%C>^L{cu>;Bihk%+Y%|ATmJv2Lx;I%@ilWNXI-v*q5t z0@=+f{7Z?bQlqWGr~ag0Y_U_wf{KLFkZ$EpHpKfzB7HGyTR%Tz8*g;1h3_aR zGs`a~5kW-_WDFwY-XaMT34e4`dV-)EWaXa?Ev_s}=!wgDp&yOYNr=m7prdNY#xuZ7 zluBw>in__>Qj6({|MBk)TQVTWIa7KQ{?otYHU^T}#~zGmy*j!cgRJaV}yO7WBIC#P}Kp@toursr8ZB{)lKIGw-ZmDG@&exUoC2~^2nj(VVV z@ar+!vm;6en@~IL1klOl!o0oZf_C+02Y(~4YQ1Kxw zh3C&|Jy+|+p6OJ7gS$$aqWyJsyK*@>0DrE2D9h_cgk)d*W9@ll+u;B6mu%!h?#ezb z5yy4upsG;|uqe60q5$o(*#a*YwUB9?`vZ$Hbm^Kmqd8_syxzo_(ttG{Ia{9;nryX! zC#4Z}G<>2yF(}Dq9aBsrdVkyqZF#$8zkP?yl|3Bvc$w8whO0nx~_XFEu+TIp&@5k0o2d3S}iJN$vb#@$}3qhV=Wv#mS;Wn+snRv{_rZZ{SWlz3Z->e zd-3ImXkN?P-(Fk_@k>iMO)+yQrXS{WxB+Rvi2Zdnf3YY%t~0Kvb_uF2 z2Rqm;W9<)wnEU3E$h>(>%B7gP%NIA0MR0Uzb9VR>II#KJUY4!uxNltO3_^RR;^m{? zw}^3DE$oPt%WfGhK-kz6klpNdY{KvKAt$arxVy>{kOOP*0~(-N?fAR?6KIt$NO+59 zl)dLlEE-}A_M@EA=lfITI97Lj1as`oUDAiuqIcErnN#bgLF7Gzf>jk&bgu1@7Jd}4 zR6PtjK7{1t6SLjQcIujA9#hI$K4l8~HVA(oz>nYWaSLd}xq9l;Znd=Y_2TqXNNnFN zJ;fWEvLW?G=)(hbgqvby82#S_P~(xUdG1#4XmUs3Ys0D?buXkss%#lT@7?!g_S2yD zX9>Xv_$%%cwg!71dx!~^0Q*pc;i^imuBZ&nj6wH?)sS5V{AEXy3kJUY3COFvAOFw~ z9>3;1r&f_VMeGG~f%oj!PXwT%y7GdptL*=>NWqD;{&ZLX6M3zF_H)yOIBsWJPJY$; zF7&fcbKCW2*i)XDwKBRX1KkR`qJ?78;#s)~KtsygavY z?0}OL#Xw4s$6AF09yWZnzoOKcOs`hRcZim&7jyO3FSn-^$p2~(%iP1FeM>gZ4REVdnI zeE9nBF7ceUTzYULxv$szt!l2B?e(D0$H5T1;7Oan3N3?$5aK<`ay_|t4NsLg?8K0; zeUBY_;|f`>KL0Q4)23BoZ?#1p*7+BfhY^9@3z%2$8jC%4XOF|7aJ6O4*WTzKc6M#M zT_M;Hx*Cr>Br!BZ7K`m$?kv|S zU(VA;%CT(r=F45+hfmBWzDZD~ zEqo(kwqvIFCSKhtzI6Hw`|W!wT%yS z3m5)gA1M`T5E7!qQ7k5LU}v1bvMy;(AXOl1FK34zE{}UsvnU(Hz9n0x+L{X+kV@d{ zc1bY}B7*5-{`RB`wsyM@vAcHd6ti|@i@bm&CHqyswpcBiiIP4Cw}x0hIg>&?#S zl?`Hsbi#@6*U$HD=+6hD@9SXq4e2bG%UgDiprq9c&Nh|FX?KW5AHhV1wd>k$l#1RxOql;pjmNL&4j}wlz zh3d8;q*SHJd{Pb%K*Pg?AR{+3;Vu4c3H{d@7Eeup$HhGux>D(|lWdYlsF(s&$wrNqG6-O|@{8!CTO+h`Apu+08A@0p$D<{zfeW>watySP4x{Sp;gaTIUd1PEupQV+rj=AJue-vJHSInhnAm~ zHdQSbNZMyJ?IUuL9IdU>G5 z8}(6ytfijXPV<4JR&rY*OON}SJ%mIqs0{JKF)fgl=VUO+Laa~lSEaY zm~3_AD^#99oYp60A7`y?Z~!0wMtO(JO6!YYyQ!R@8(xx??KNDL-1J`oqO-?du}SXE zvbu)96+9dpo0}f4pC+ysb@vY2{UR)>1gX1Gh~(q8wGF;)=AN3{+Z)@i!R9H+s<|~a zG`#LyT^+W2tiqv_k3ye8?`&*{hypgA9~Y1pAGTX#*44clsrjTb*VZZ(7n>K{p`*#! z&4=DdbH_7MYN^*f2&Fhjb-@t(UYi>{96WqptrWlaZwW66uGGkDs2BE?i?>4RT6;g< zJf0Yc&!)YHTwZoL*M67n`S|sCSYIBjeGp}twXW>zNagQ~J$zg4Ce^HThhp_NrQ7?C zn=nd{cTpv}sNufN_*}!&+61MjAER0rSXeOqRC94g)tpn-3i_?1qs_EQuhqrR?buex zypC5afX=6(GgCfiN|6h(8bxQHSCU=r$PhO>!Rh>dxila4q5E7QoBCSNqaT02nZ=f( zUHKK}mIC>bU{s0+LBY(ekP2x%wdn$G)<=E=PVU|EXIjHoX8M22)gaXO=Ot>_ulo7iyPEFZl9xHl0SOGIAE~pd zv1;Jp>+8OQ%O|%1xHkH5Gj@Zt2$>TPB_nz5wZA~h!H&bvB(zYQT#jqP=WD|EufZCe zXK#Mp;-4BAa=|2J|1sV+cS?K%U$f9iVwH`GeE|FI=i@gq()^)P&m+bEz=aIJXYmhZ zG8)ZEY?=4eLUFXON^z+VgC547xGF|XrbDbmLl&O0UhN6e4*$Cfk>#!vXhNWn+Y?H( z+E6X-T-um#-ioQGBc=tnRq0G02aaf2h%)0iQ82#lbaB|Ef_HG#EcFigX=G8IrAJ;5 z*(zKXKj1~p*Kclmm%=MLutwK4N>OTB!)igl8ow7+I7pC&8Lj#S+lXPL#2<-SJ!v)%^F5qu?`lt7(VPj(QjA$ytNPX|56A-f{rpxNe$Byq2iiY_H5`~H$RPV*i zz}nwXiB<@M(&7PTOtXem7YutGSM2Yfr1KR01`R6R>kb-o9AM58J0gfM32?v9Z{F1m z5P*s`$x7Jno?AOL7Fpw!PCQr>pch?oATJ0T)TsSP9)n-#vV|CNCw^6L|>w6}W zBT)8htysG&%z9)3Jr(P({A#M*2B_MY--t9cjaFGgdXCV)kVcG>0F}@7qWO(Aq*Xs& zPA)KK4s$WTd@}9vt{iUD6DQ}SM-yn#R)B_|ndCcd>8#uG3&rn7PwU|SMvGKmc58bq zk4*HZ4K6DfiWiX4qFYFbAA=9ok%6U!?aUQf_}}R`c?9E&dsBGH_` z`OjZu7fMFM>=cmaK>M+z{_Ki6zDqD4@^{-MbcHj@^=`9&v1f~qyQ9WBFv zAW$Q7aT+Ws(x~y+okfPTC4ui!xeNl8GJ zB?&i*-4-x~neJXDVUp`AQbZ*oQl|TB&gvRs8^5!Z7v2H4_4=*MykB5zAZP&0CSAKK zOMbDT(mlp~pEU;!s~qaexwBrppNL`QvcyeuM-o)l>p~hhvV$N)I#P$FXM*#&$#8b` zW6Z8(N!Bi$$ma0l^cUXNsUfZ=Wqyg^92gmaFee}V1dP3}0`6|54UT$N4IyPx5y{cH zZTn?c=$$S{M?g0?TcFHMA&djD2**{Vj%okQt;JT&%R!B+S22#H$XdnUM$hJV%sF2s zQG#UW9v6lp!zL_i1?R!ExsIGquC6$>p&3-)WJFriPQzueMtX2NDnX?p!C6u^MfO=- zWIFC6ojJ^IW&#AF;M!q015~6D>wPuIP|X^b)m&xm^0Cpqru2mv`FV?Bv>(m znQ=gooe!+K|*tRI(!gbRo|Qsu@`%GEuX_VH>NM#W+)k>7HLPpKXZ zWI2@B%*)^+lyDxex`A9d(gF1O;n33)XKixwlS}C>o2+A8y|iCYZpAsu?el(ZLq=av z-IuV6>X{b%1?CmT_4FXV=yL=m+=oh#Odb$2_FWNDa>VbzrEqICzm&>sPOJj~N(g!f zDAA%HuyeR=AcVnXr~qSB$S6IV!RlV3rV`P4;KZEcGpzJzaNhoV;bGJqVsM?qrbOQDR&-)!QA$=j)LqA=F~Tu zj4Specxr?Dd}XfTA$J(m_-SOMPlO;Xy6X^?SBj_lQ8bEnWQ>C(h*tWz_+8 zKqJ3^Q_40#4pHExy3*Rf(y?rp>qGP|Kp>{n2|9 zqSI0X*tQbGZnr>Mh%I|#pDI7`>&ZeoT)?FE=eAhtP0@Yay?=jS^A*EnPV}*o-U)Y; zY-`(l;S>{>u(sV4h9&Nk!j^Q*05X=H>kZFt{5r@A-8N!&l??f9TRoAoHe{_4p9umM2}YZ&hDul5=ndK(If%FrTmKyTDV)>bMA zI{2WU!zNF9SrhF>9hP!2u-%vLq2}_~4>+VLD-6-Mfu<}eWnw*h?k>ufsnN4-8W3R1 z{R79?E-Ate_WsrMG8f(tpSwhfTq6VRb%oxCl%*W)5LpLO$I+D;bvFG81ec(uyD3vY zza9co{F*l)F^PC)bBZJ%Zx(ZmGPR$;_HOd?p(HQRZY(PC&9Wc>+gFXMj+<=TmO7m)l+2=-rr+ej#~P752OtwpUErjhk9 z()&K`T66Jg>OQHU%xI`fZ@bLyRPSS=70}y5tPoR7e=OD$l0+7>`jG7vx%$Xr%-|DW z$HY$ab{70XFE$dO62#d-O|hIIX?wfc!Q{45OcTmz6&LR46L9V%X@+&Tz?^@s>z~qE z+9-XFNjv3@xhOx2v*1F{mm~amG^AN9dbv<)8H+r;Ur6}fEu>h_Nv}N!y5hHFxD`j* zVI_+q7Wjcca)^i-R-fh;vG6K4%BO#}fo=0Vr$U4*SCLyDwk`8w+&cZly8EUKPAb|* zxA=B{`9c<4E@_oxh^Ud&1mBwG96z|=VnlgW>L)AWGa|XC?aJ2M?LOl1Z3WMowez@b z(F9Oa#ASRj8ovpU9L0cKS{>@X8$2hIH})kVMRyNlPgc5CxpKll*oV8^-*_7`Z(k1z zOxM)dAHedrnnBClK6ZY=xLW0F>$pXj%}c+B-XW(FKj3c>fk?@J9@18|DLsz_fX3|9 zOW2#f%yp5`-aK((!qV4qF?29?HjEZWHmg2RXe(8}Ek6i!A7m`>J;&Kk|KbWhAG<@C znTT%^qLrEOWGAzf%!VdhVrwQP-mx(D{mHJviLy%UBuR9DT%Oo?ZzHFSFFKm6-o{L> zwuc3D*gshLzEr1I)t#THI(u7Qic0W;T=id%=;N#ih?8?WLllCZTlDV9}OlR zB$taXe12cEg?&$aXlu8}|5-fba-o!EX{ghRsv8~EoqL6@uruLF)^cZxqhtiOh&kKk%yqTX5GO zY^%yXvqb8T8}nUN z`hs^%(uo9BFkhe6pAawavf3}S7)4|lAbyRn=cXXaXV@x!0hhb>N+QOIv}^fpw^E-jW43hmlTNfNhs%*aamHNs*jFNzN1q zzLQ7ZW+iY+JXvKZH7h~SgopySv1iD2*hx1`3;tIm?SCu963kbtJGc0Jn~a+*%BLti zOF<76mfZGl5lPaQteG|87tFa(Wa$%%4SSgG;#y->O2k+B<`xHVX^H6X(w(hyMhr4k zzU-8$Gpy2!en9eM?ZAMWhA7O@l3yvwu7Un+rqKym!fg?*#Hf$|3pN{^mnS;3C!w%| zQ`4u4;vyTvT*EuE&fwqrJ=Y39WC|n;Mc3w{j>HJ{{X|Ehuw0yt$l36g=!;s9)S4Bm zEN+V~`e9V;bNdYiW0E`Z;jGZ1_M*Zb}uP|OD7s6HGd z@7btR=Y_8}BR@0!_d2V{ubzHQUt?U*qfl;v1;*YQae@j~wppJm7+kb66uUwvBcCfv zV&2eg7({m%qz9dqJaHF?e+fSUPyMw}q|k`zq|XKqa6mT~0G3L*Xta!LbbPf1W$;u* zz0&(CfI(>+X97&)mF*J#x^{|$v+MYaO9ngW2bYX-;AGq}N`X8s#lo5Y42RwKJ5~wK zsSXp=lI>9UnU=EZdpR5nsG>3Rqk#zPkbXn$uu9yx2sH+b1iKl-5!$#ug$FgTl4t(R zFfbzS*M0$z{TUD=4^3<1Z>h0DNBMF)#Aw%d?N~W8FfY|W6W>c$@?3(4sPsuOGQ}tw zGJ5Uz(-zT_H3yabpGW!NZu%xn#l!dy57F)S$C~V zt#)H_)~U0MQq8L-@mdXE?HQE-oRi zcm55rFkkAxfHon+4;YYf%+A*m7TLi*x2Ms~Sz)n?j&w!Lr8nYD5Su6-mz>2o=WD55 zx+Bc?jN~aqu~=o>5bd&8pBa=r@su;Tnzkz+^D`>cT5dq1m7VG9v{%>@O8XFoq}l%4 zIn%k^cBe&ZOT+4~3u+0@-o?;8N=ZmUu}9`{uFy8%0U~aK3ezD6i2fuuZzz{eCPx^4 zxx!G#`8~u~7)9GS_&%1$yL{b2Ji6E^_llU!SzXw`l20NlIi}SLfNyZO^FRdWT3h-> zIVHGNf0vX-Ia;T`WO7XVX2K3+Daqcqxxay#vGUJox3wQs9awhvesVX$=;3FW(Y1?l zL*A^-)5IPWX)cL^!^f;WXo=B7a>_z`8#C}`QPl9zZ57&Qa^Tgp{k@|7?$DhS4MhK( z-?UkFfqS$O*LZz--&Dych)qLTbc56F7%d@UC*ISrTBNP;$zK+YcVuzlZy~f<#5>bk z->e>(m}FO^;u_<*f+#k)m)Tn#;ToBoPFn!t)U1GAQtE^twl2UU;ODMRKh|HF4n^YZ z4%I{P*6u3fqN|If$404YA+3!0u!11#ghvl`)!v^@%S^oua>ZOrp2KpNnhhh^B|&`8 z*Qlh%(fu84cY<}1vsId+>N(uAoa0N(3`de&KFgaE48ra(w*J~ZeoE+!JQh10q)FbK z%Gy-o;S@vHZ@689s44=8waUb%q@z}vcM{9bM$TYONab6Ob1tg_a3isUUW8GyX>|D* zJVSG+xDB{>AB;hympaNAd1yx#PNDSxh0VW z_$mqivKzxE;x?ndAFt2ao&x2tgZpW~pP%!}se?^P--w3{opuy1)(ASKWUkm@G z!>vbcxF|(?@h7d?MeFv91MMhR5<>R9qMO0FiN!rwBLw|>F<{~gxw5->kJu%ZNqFXvFa~$`$UH;RK+D^3u0a~X;dreo|O3_{7^g- zlIh$5Is!pDtvIk!M*Z~^GbuZh;N)&@!rk?TLYI9U(Rl{?0c?KKc4W)6t{q17VJ*82 z>w>z9xdtn#1=E?X6{Z(cBS__pwMM^2x0bSy#2@-PSKy@^;y4Y6ra!H>1E<8Tiyy!~ zz2+b*+8S^6oYYXU7l84fi2NH@6Cfv4VF$<5bkCeRRrjJ?VLowWLTxk-+cmAPf zq*h}ftLU4QyjrHNwB}Y$c@IuQcvC-X+V?$ zL}DBr>T=AsaK}qTP>C>-m+n~D&E$I*nojG=lp|av^(ynGv=0QG;yGzSFew3OPVq4< zp-iF$vgXA;E{G(5vcFl0L={TbE?Of%ROFEhGe*hv3vsjq2XsMMsI080znTc6hvI)G zuITDzu82MJQXc6#HSap>x4EV^Y z?lb>+u3f2H+&B`@&~4BKdsnpPXH19DcB9Bdik)Xq8Z%}mN?Z9A8-7Fcl?n$72S(co6nOxiXpUDv-AV2Psx1)7D@0M ze9)l;c%=6oG}ZkI9nm{?uh0!R)F-50uDxM>dHje=D^y%`1vq2|_BBvTZrR~%ZLF-W z32dub2(f*JyC27*+(*}4<=R7Q z?atWV9ge&}m1?ol4(J=C%*WbhmjRF@l+lw*2ri=_N6q2{9-^6$p~-`VppfLItw^mf z3z7J20{dp9y|t#b_Oi}5%}%k@h>2QlqCdSiaFfxi;MmeNz4k!CD)vqgJ7%N7^F_od z*tO*Q(HI)b+u706sb!F{1931h;Z?NAs!qfEC_I|`kE{(T)<4^HbXiEUp7e*&$~5;W zZ99DGyC_Ra|5o7l$o@vP2!8j)Gt6dZ|Zqe!hX#1D)$a_5jRRs+wmW1DwF zUf=$sucv4ys!%bAt4t`q{u*nq$?tqh-hRd@x%hz4Dxk_kTdSe_KfP%K3jrWZ(+f&y zqnKla8Gq{|Cpqj?Q#CS5VN^$~HHBGW9T9&dO-R4&`hrQxf|*aSLohLRCI@(E8U(YF zN5M5uxy|1wVJhJ>2Q@K*=_#jDMyaF+BqGh|+OC&nqq$3Bp>llg4WxA9=&86!(=6>j z7^SuwH;vw3V&k?;Y(^iKA@gM$8e#slo%=s=ijS^0_PMZ+CybnX&)Ux!gA;0PY$C^j2H7X|Z$=kHOA z2PFp~s{ch+t8RKVbh&r7*15TOd^+7<&tI=R{yyF9%&)A>696v|1&0WQktq#B`qO9b zZ&L5m0?%92^<>tOFxZ2oe0kf!C_$XL!sao6!R|#g6vG4x=yPH88Hc061P-j>`H2(> zU`s;gO>GM5%fl(a=D9kdf&T$fxqI2?hJqChLJ*7xM-UAEjhC<}Nbm{A?*{TP0UK@N zngRJy2P!l+8K9tuK$lL|3LcUI>yw?lhQt6D;5y=hU(lpCrZG(e6a-+Rfj)=`79z)) zm<^sphZQ8potQ=6{f&T6wJ+~e^D1gjq`Z*-sfB$T>_4Zup0)PZR1)+zLXdY#K*q1| z7P`F|Va-DzTuOAR^>CGy)g! zpkV3JZNQ(>BXe^JJrZb%4uM;nzvXOAl2R6Kk)ZhrrN!jr)e)fid9-m=I0r#eP;HHe zDCqk_S(xO#xe@}3Kn6;Jjmj87X#e`kBK0>w1`-E2IY~wZK!+uVjFhaP0#FUm4)Jt7jJc_ybm?87TCAwI@#_z1OywPFaQ`PZLHhsY!zr20$`P)%5>^7PDVhHuVbP zUsgPXYTSkfB~1HEH976~zY4NdFj`4Q!@vrfYQ@Wf3kAFN7NwI);005UxCfC+YZx(< z9-Wi@rLWJ~gJNM+^zT)RdHzg;($fQ&B$`5rlJT9q#jAwKtwK#jZox3Bey}`CU4}jy z8zWZA_=gBzV~&Rnw%j#YY+~yc4s=`5;S4~KvA2L=>_8M(%e(G511AX?u6}i3qRYn8 zfjy+!#$roa!l&`;gFLaw(S`)xVSjn4QHTCt{>E4Ij}yw~8jO)7L<)bTH58aE(W&)o z*6C!R@hGV!qf6p;s{4tB3^gtq475N-vzh1wl8?WsMU-oF-A3bv4(Oimxs|kq$G~m{fq_uqtO!63FaR;<=B+$czG?n2l zqW$QDY$X0nZfL7u0VQ)bSN%2D2U1>ea@Q!g4F;AUU-l=G7ttreGE`L z<+vBYyV+*QDkyf5;Q1+V9*2VVc4fjsZ|w)T{|1m~|95JxRFQfi&1b(rnR55Rp1efp zeIrRs16#wyY!ZY#7T$SsMT3rXBDRyzryUGzpwr91B(+pfrC@y4FINPDr?-kQRaRSU znjm0h6=u~q6}+`3B%cCNl>i9wE@omYNH*gv${9s0px{(6P39mDHIwE9qxiz0i+f4r z_(G$LhMjw*w0YD&9Ey`0`?^>_#>$`y!E-d@kB4QLU5_>lQ_uYO5L8N41r z^`XCn%$}nyXR24%A`9k}yWh~_Py`#ro;{zJ8nY1FvYCQ$D0;5l>ejtg< z9PxRB=V^rcElGJGMd?g(4pq==E3Si1+Zk&!{m6bx&4 zRTVx3mqiw2GOl!)7eR~)P&SiDkvEPqZ3wc+KW$Wn3XW0&2_7d3vx5(zqheEk7y5h4uY#9$+)0SGt_xRYiUK}O5GMd)a z!iG4rL0UHTM}i~XG2)W)`n9+oo!TPuxko7u=hu<^#^cuI(0+48Dyc#K_ava%fLOB4 zKBLZ-P+@^&yz*1J=s=rDQVN{Vg=KfN1l_Hb<4G|^5}A_9y1XnOO|p~tc&@Y-0;a@? za147PMe?o>6~UHbpyJ+ei}N{b*|{HcaA$kaoM;@PA9wFV39cGkR^HTKiCM_+1Fp}V zo62$1TEVK^8N69M=0H!4j0#w>-yo_BrE&7z$rSlfOe%xPle04QY2<7GsO;$G9yQ#q z3>H+c<~4ap_*2sBS4J(q7`b<9YH@StpF}kos3gS1wv}!+8_Mdcv@jscxHAa#M>8eQ zI3S&ulBD3eB5|1ja6kF+J!5a3S`MU$JUb3F0%~EjolC5Q({Np)ky`!{hzt!|rO@ba|mShco*(_=vrEY1*YyCFeA>mg^gT#C4&t zY6CS}^N<7CpB`Y9mBqleFa>wAD6g2hoX* zaq4Y0@m}C@=3x81KBYn3l~KiOJRXF@5TaOpT6?m$Ydcea)Fq8QG+ZhOI}#Ys;?Ox7 z^Boe=B2lw=CiS@lY0vOkqYcg9M&cl7#7FMA3z#->FY7DD-$86b;*mi9dcQGB(19@! zkVWtwjITH-0}d>K(f-i*y?fc}11*hAN8~-wMP&+<42n*)X&`s~!?*NHm$+_4MY3V9 zL0vm*;-!~R43YA!TMApepaGqKKxn*`^hB;S+@H^8^N8O0Z+n19Db(@-{CTh({P=|z zQ~(-}aHC~NCXAcY31%-vd6+Gb)L7TkHP5Vk=@T_wzu!xvS6}2^JKUGR9**Z0j61Ys zk;E6NgLGLORZ>tb+Kr|!)v@aNM(&>ASWQ|b$zS;hXZTv&5Y@!}ib0IjoiXPY^M`;O z2@C9I`z;T6APVl9F`vlr4&A#vjFO+%hlqC+8EvZ z5e<}MpUdAw$Sh^aQcNaD%S=H7ueC+zQF7du+$@rjizzsawpT%X|1{lJG~9n8mR}Hl1@KRTGW*L}g=2AwtZaDCupq9#d5u#%)Vtx|yPRN0ZXwrAuW9fxxi9WNAV`g5_$y!St+|4U#7@*$cJff=AuU3u82L!5 zcpC`$nn4C(gctv~5F7?1!B(hXos9VphHI@_J0og621OmpjD=VC3B7sO0SqwD4ZZo) z91O6oPNT^kJm^H(^?sl4E0}^1$rAIIaCHzl+KDPCPvtl)r0;DSF^*eBlhCm^K|e9; zlV+fyx}6=O@z1+ej4BlbYN=lO32X4$WQhX$Nc6rRMNpk>k1x-myErOa<s>QFIAD<23TGfP2et$sg&MAyOCu=rJyIjrX_CH<2a ze_WCo9^l!frF}GS-l?s$zj!Xuu*qNm#Qb%3x(8MkY{5p`&lvSmAy2{^INn~738{3H zfl-)zY7R1J;ix1vFhg(57%g#e<1W!sOK@#wR9G#E3+~rP{OLv9Z;Ev%O zcDBns3mKKZL$a^btH7sfVl6Uhb8YW`ro=uF(ufuCoNj8H%IA?g1HRkF)ZB>zSXVAE zzfPj9>Z+?!#OL+={?7dV-Sy7<%-x2Mu~OFf&{?#_WDv{W_#KoYl+Aak@Pw%^Cw`7C z4Blue%Pr~8L;Wp5@mRn={rz>l_(Otu>HwI4bIYS4W?ZNs16r^MOG>CbhT4C>x0T)t zb~x^L%T9;17EQL;6QdU+bO5VwI!BwH5wjo*cKClPX9w=nS~Eb=y$h^45lxwZ6&(hN zaw8c@Vw3G=5A+mQL4RG@0mm@(CSK{S-w`+L0T^v>BPrlUm#D=xH$2)ZWz5`p=1>%o zt3sYbQfqxKu*%eVV-UTK$ZGk%ozs zGcgyIL;oWM^8QqcM(B(s{mNv1Ik9z@;s1j#801*OGu1hS7aw6#FsBF9hd)Ey%h~T= zy0pxldr(wjoi82o1W_q?s<0`kzt9tD090xP@E8$*1IuAmgUY>TDWY)3tYFd-BrpuP6IX}+=atuwUCztgG~VEXXYT_Z zefM!b?l!Jx;CMn2p!V|-U<=;SP2;+-_xqH3Dh%f2C+6lh(O^Jw6mceUwqO|Bvf#Kk z=8%}k?1JZLUBF;KDr%u=A?#d_el-@ZoRBLS9vko0Tk9aBe! zXS8uJxn0e*F1L#9>kp%0&v}YXB^fXT%h%>%(TYzdDCCiD!AL3@&oh_)^{|EOQ#|nu z1B^$19K+M62o@}-MfH=67Qv0ua+tXe#GeAD6<3SgE>ggAM`W*$8pSX_rMv{cc0VK=c%qQgj|<76a;QEQri}j8%QGgB4zSl z+N9OrJq=1BypPJU$O^2y(*#VWX->OlMm%weCAiSEcPchE5xN9Ba=Ww;Is_-=tS&6jf1(%XYSB!Y_#>nT=PiM?)G2E&)e@U8N zbvnhM$&Ksk_L7-#>x*3b(dno)Ne#dhVtXm}RHoxFlu<&nF z!D4cnNQfj1^Sb_juWsx(ba&*(HYRU1=$X=-Q@OZMozkHc(e__U`_sk*Ig6dUe@0aI z@CK_9p?nZTD!#|5l{!2ljf`L`YpI~}=kvlqC~c3s5n6*g8Dq$aGS3cUyB}EAx1?AE z6ang#sL|gHP>V72C!RX#ME{5}A;VA*(y*>6Asyh`H0w>-8CeT(eJga|A?D@jTUshGC|;eLy1P_4ThGc*`u{91a_$ zOzn)36zAUx@nPX-S7!>p(zm&OdTgY1XWHaW#I=wlri-AI1u2IxBZ{_T%?JVN-80^p zhtDpi#gS%lMg+L*Xd%ye$*#(VrK~^BP^f>-=*eM4N2ZF30HBo8cfteB<%nqYb6}Q( zdDt3-x%r$?X>7=$$~Gn4{>A~n*`(M_cQr?mZf2Ser8t_u2g*MJD}=afYc9yaJ&E+TaKoOTG4Bw1{pUTBp&HF`vNHX zQYndybB%FUZS@R~RnkV9qGO>#Xr5AxI zVN?J2?b-rXQ{jC?URYsCIV(h!!Zzx zJy$bt?vCgY6utvN`P_VU3D$j-4_MCcI<&dA-%h5|=wp=jAy=}1&QpcVIHDeJ%;E9B z0!Ne@qxAp8ICzv%eBp^mJGiwwNQb|Y=HG|X8GO;FgZEI>a#R;5GNo`uy<*%Y^#_sD zQWMB{>ei8M{6VgzskAb3?wK4)+qOUZNVs0BO=@`tRZB&bmQ)inE?yv%5en+`x=?_* zwceJyGfUa}GlzK^{`MW6p;$QiI+(#gf#5DQ_rnsDBQm76KnWjG2g^C!9b|DhOzlRPq4-OwOT)Cd zS;5HxZRH*$6+?}tTa#K8>Lf;iXJivg2QT<*)JF=1xayT$1(Y!Hc6#5Za;W1nMDt6+<=NbA;;6UUj?x`of_73SX2Npt+3;Ioy zcpT`rxqxgAu2unvQADK$;;;2E9pFYg8Ab`ft%o{@WWs;b78%2Qq6ZJcMi|y*`3HcO zMDPfv5t9Qwz9*0^5kM(zf_3MoVq@-nbl{0z6oO)z#C-*DoB04+vdq(ah

oayT8*&t4e9)ucxpBHNSi3!FH}7upyxB5Z9e`)LXI1q3j&S1H6~6=+znHz zFo8iO@zCUc@S?x5&_d1Z(Q<2GXku~OkwC-J_t{#H#{2T?&bg%3G$?nfjhDxgb(+D3 zulI_hSw4w}-qjW1?A`Se{yz-ekS4oDidmca8~KN9n6nROBn*mTT}5W2Hu zmv|!)KE;r^#QtCDaH0Z1iy}r$tf^z)8m%}d~bedUoe5>&zvow2`i`MKTMgG=fc2& z9cFw`UCVI9Nf)~6GN2Or*Q);eNkE<)s#SQ<3nuTEW|5vge7-$_wYtJQ*GX-o)3Gr> zyL8#1C)cswm7Wuy^p$vTacjNU1rv(C(JVZJPrOd3icp4x4O0^6P`6YTRQPxk?6G&7 z;25}f%hesS<<|=W_h>w^`E1LmwblN7?CSo#>D z{OZ~4GorTG$eOjJ{6nsA_X%1)d+D$5Uh`^$h(ALjL24nzjla-HsP#byqHCH&pV=4* z{0BApl$bdfwcH0aC(`mt%pm7zYnmakXsh`C{1!&^DpyL+Q?#oW&6W^LnOZA%Cz)|8 z_iv>)yq(MHZ^?|ES)y?@2LF%>{2eNfKxED+Lx}FxAOP9u3}{pm7BRC_&WKB~Mnlm+ ztPE=EAeA9BP_2WoRIQzbJ^WdfMQH7SfKY}oGKrQ-O^LY!TzLOK;YU)qa5yFxAVXSs z$295)=LHH%GV)>wG6IOJcK$2=;TFs}m|xGY$eE~%cyD*EenpD8|9=__IWx^m zZsH@^ZuN@1LlNh?QMr$Nl`%{c@LhdPoxgu&C{3w7hw0vledsdTKM{mOvW_gtz;j73 zSK1?K5oaGKED~tkN{u3@ljKJA@5W!_)U{)iA~<_X6oYKa6g(HNY7)X{lbamb>^8p} z^P6k#LK3^?xyGPB?!;_ATL;8d!Y)uF( z*WpWTQFw8M&fteH)I6_pBKfW@P0GRY?53f?@U)?F-}ffpG|J~i%Svq&t73zub9Q|_ zSYyRM*!g<%$vlCBs`1lDS<`c29d0;~NDIZ1{?TD7|M?c{x^MA=8j9~lDXe4)6cxTB zsOEG!rx>VsCVQ7mBc4YV-^Xuy9+%(|IBJzt{#`yeblf}O7Q+i!V!=t~#|G*&9{9Gq z=2ZoZ=k+hHNodNxKV^w4S$C~!N%?QAqVUm=(p-_PAmpQq&qs&@>cI%ea9WFU!7LT7 zZcav%m~&V)w=zYybCZ_c=TuTxv3Ai7wa{Gs z^?G=t$7$kLH4#np!E#rXX&vhOFsp#Je_y3G<3AlYTHG$1Dg6>iOyFI_l-tqVlaN2! z;@enOW#F{5O-arb0FDIPl!OaS)lP+K(T|4mT1RX?r=OUVogDZ!@~~;cDJp0IU6G~- zm%2IU&xT;n!@`eMD9rV>BJv6-`Hw0>eUuzdZVKp0MZ=bwYGH`Y$AoH@hw1*0yoFT- z6knC3>p+Gtq$W-bhPq5kT};`gC`m_=E3uM+!{S2NoOJ)9=7PN4n2S-<9dh2>>`=Pg z0SgP(&EEcttO`0>8vXJ-dKXZF-Od4^Cn5Bp|GMeY!L@hY&TrH<${~&1NLws7gs^>_w@v!g$ z!^{b)$gu0eF&YOx)Cqng)7#tjItWMXz~lV593JS{BtwA0S+m~(g zC1-eorO-lfxA7dGunEezceqaNm1aaZIm9tZ4u;q?$jE-U=gUq`!&MTjFYmj~%Zvxy z)>jp4%Nds)QhDqnxzJxsoyOqP@Yd`gD+SoWLby&OA^{qW4=9)so=1(nK z!_#A<@8|nDyXGJ$B6Pt+OuoJAf~{pPcNjYgIG5#8KIlBKDO3-H*_3#vDVr2B4A-ZB zR6b_dfr={T6sh8;8!^Y5d`;^y(`LSvIWKe-)swv0bSd|O7u2jyjGx{8*EFG5SvjgF zqhFU+eHudQ1A5gDPvlGW@U$B;L)QPLys0%VVuw|P&^}QuG1zq6&=3c_ymQ!R@?%2t zWZfv|>M!_RI>aWFt^`rbEI*hfr{nh8CmHZm# z*RUd;lN+9Dr7Ek3ndEfl{(Xj8vr65of52cI&cb*#_Wm=2N>v=M|188g{eLX%+`jt% z3+##g{{=V{pi98I`C|*!Y~|6bQYvP(ka0!Leh_&7KJby+P&9pE`02Y{rYP{&aoVxI zMC;!EIJb!6iC%5yQ;6D@40WxF1l1R_hkDIw6j2jCs>xX20`Uh%X2oZ=wzRGD?S zmp=Nihpd!UMa^oOnBBHCoY@dZy>v=TM|$wp}aM5!)UjVoznQ}QR10(%tg<{ z^wAAZE%-j#ZUoy19P>y?+h5+91PdTXxr9nrhBq=V{u&bMJa3&9eI-1+Ax8W@A-Rvjod$mfo0;B!2^?Be(_QMG#%@> zs~Ec7ozC3tW=DI`p?58L9DexR4ELT0#XX?_6mv~gq~gnW^|xGHt^z8iI&bC;-8WM0 z)j$oxiY;?4_s+iAH{_CN8$R5-cgKS|;3R%1%!$Yx!TK|dk9!5>lS59z- zZ$e)`rqEsF-%>v?uMJb=1P8E_&s`VgiUWr)DdBO9`zHP-8%-Q%{lvE!uRV8$tJr% zuK7NlaS4U_!JPyRzUfu)%+kjso1+>GkZLV(@LncvA^!*wQ zcIej#V}uYs!Z5amiYIB;23RC8IMAmZ7MNvrL-fd{dB>|5XR?lX*!P!6qI2A+H$}9~ zi)`SW_Hl3!;f|=yV?~-ET{SLAX9xAA%)tNg=(wf&p!A`OHJ66W;&kgLj7`EZPb+O9 zOTFplXaxdlU+>U&Wo*oGaVLUiDWy!PO|C=`ra0Z9D@9IEKZ=1Rr5w@6DiLP2 z%hQ}U=a&a)=->=$&TLd`^h<{{?_%ydGYY_g1(Hy*RoE1_vcv6V+Up-KH*?f$)NBRs zFAFNB)){orp2{zS1ZRVGmMc}YuQ{FdyMVEemv*sw%7j~1q8jZfRRlJTW}+GZ+e!i( z5*3C0P4ZBa4@^3b8H%T{3~*_q9-yt!2!5CWbVPk69k`~V))%%r-L`&D&85)r?zA4` z!C+TGq*By0f}cM+Z0wrv(42bm&Xk`-dT+v@;5yVIxA!Ll^V8Lk4tgx=M9vbXAFVwR25Z&+!y$^l8FQACVuR#b~KU7dd zJ3x>VjIkOvmRGHyA_^lgHj9e=$0PMN@_z0cFPWZH=ddBy7O-|2WPU3s}1C!lVD1S@SEy2F-3! zhu#)cHo1fR38mxiFxQpAu=`0(*RO*DBU&g#4VVi0&5~9ZWyXQceJj*5f*kQH19&D% zfT=rx=<3|qSd?wGn1BglXTp*puh2gwTNjh)ab*5N^SotD>V4RJxRsJ)UPBNjcBBQ# z$ZFNWvY&Qwgr3w6^=Ag|S&>02{!}P=a}s_D2|fm5SuRo=2(VVA@yTGrKD>r)L;guo z*#=8t#83-Z#HdFI!hq)fpRNsGk-_6l=d|$In~(BxyZK4px4`^G8k1eF+&yKClJfb2 UGT#HNGAtimp1|~g^50kbU*b)FtpET3 diff --git a/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.cmp_merge.kpt b/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.cmp_merge.kpt deleted file mode 100644 index eedc15582c8c536a82721cfb559c50468e138981..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 232 zcmVuG0001ZoTZUZOT<77#qa$TVeZ>@TJW^(LD<83TLe80Z70=XJAcfi z;({OEsRN3rAb1LS$@{%P_UFJ#kKhK2otXVfYB3HKdlk(KBf8vcoQxfot$K}APBtiu z^ne03!ZT7d-gQEjQoz$X5U=r5J!JuoM`)YfXc+GD2xMEzvWDx`EE?oh1G27~wrXnG z!tD**;|K?BIIW7l0N9`LqJlS$ql(DDmypgToRQE?>6k}@lqR7Quh~$2b^H!t8eGgt i|E0{HEb2dEJ{-fAomt4R5F*Y(F zF)%MOGcPhQF*6`jN+4HdVjx9iX>@6CZo3L40000008;G*000000GI**0000000000 z0M_mW000000C)lHy$jH7TXq(76#@wzA}WO-6+ED&6sI@6UVA;lQ*P4|s*$P_0Uz-m z?&I9%(CK{-w{NZ;KqO))C<|grKw=T03Ccqh5CtFU6zLEUMJiN5VnB+QP?W~-P}FE4 ze%}~#%sJ*bIX%U-q%nTl%%pk5>M|zuG?$?4Rh*{VD$a{Wsq{ec9Ik;~=L& z2RRLXKbvOhbL;5b_sQ#T9V-6!T6O;@pSW9e``&}PI!yP+k-r|=dmpWvLtWpWhN;2A zw$;5?Yu;JMs&27o>JnxiTzPdkEQ#ZZVRoJ~h)JL~_-5%;b+n@J^ z-=EuV|M5@{e*fd49<%*<7x?|T?e@p zO?AIN9$VbRb${>eci(^a@b)|JK6?MryZ7FE{E0`0)1%kl<&F37tw&$=`qvyV+Mzz! z19^zcBC>BUi2kBaelkgY;+@kw2b1E)d+(eczlkB=euQOx`_WY06OlNnmpM`9M49}+ z-h21;(F<1y&`~3P17hiBtgEvOb`$9 z@kqEiR(;a|mqz;uBNFLMuphf4K(@VqY${-5F32}n6zsb}0PI+ed`V;@66s8E9C`v6 zMy!X~)O@Fz-~d>csmFF2Tfxro6ONHDFB3c-F*irV+rBRavF1r~YO1E^X;0Ny)y0qk z2sY-}bR(`LB6A_*C^%dCh?WNiv@K)Q-3W#h8A1hPFD2elay& zuZoDxz7^yJ!t03K2DS3%;}iM2p}hUZ&jh~$->M;;TK&8H2|MejM|9au{yYPE{`JZ6 zlX@t!(T5G2SBJwNi^+%VPw7YQw+{U!77Mm|?B@;Xu(ZJ&B2yNQggdtb1j-#ecs9+U zsXh2khXxN}QZ^uv@6YW7zD;*%yzbEeZZqWr0{Q;jcKeI6kd&RKJv7H`em>Lwgt?ve zhw_qb%H=We|M07gJm{uaVGEkdFxJqddZ-4&p&4$V8iX7A%-h^+5zhm62pOOEs=>A; z+EoKp>~32%`0RIm2Pf6w*yDj~fPI2KvQ^*j>*ENMm1sJ(1ajb%{$#2_5InX} z9z?LMp-kk0f;}c!*A*}lBeq?m)^ZwAR0Es3nZ^L*O&fqOPAzW?8*!{!Ams7>5yu+P zEDDNh5CoyM9APa(D>+VGc`~9JnBcLa4$(lc3ifw8Mo|rd;5fEA;&hzgEP&zXE|01P zLGlQom$|2@9mGWRlC*}x4EM)os8!R!R!nok(MRVN% zm7fBtmBZ~E$`hIv-(s}!zb=&LzmJFSG(FlXeYj*n}pbV10x;t&u0V z!R3dQ@c>)#S3r5bxxs)G8$Uc3JCMJ)HvYCH+JXFTfc(v``}4o`Bgw{}I(_;S$aRYk zcryu__EiIWlK32c3P5gxcvIA3~78X;W7n@EZt;JCu&v z?5xE>83Zvi5x=|N4^7Z>(pd|T+uXz0!GSKP-F4+@i;ZuRecv-KKy{-E&UrVcSZH>b zE#m<^%=$Q}s^cW(eQc6dUBedv$)+93GY7V%YZPbin*r)ke(LfTkH=ZdW#{Zoud18P zek*E(fO;_NpBzuY>p%DYFQ^m+^vkA*h2X&n!2{WT#&H0zP{0x1=eGH}en8_JPfv;* z^fd0hLQq5wu!9F=8sFX%4+Y~YKvxt_^V7L-jWJLA+&eSqT*x93V$OSoV!`@%`URiY zV^Szan)^^^8POnn0-1>WI> zD2ON&!Ap04Ivxj{I)fVn;vrNh!cWj3MWHas5ekn=)(yNzg=DrsRX@t?Q-d`xCH)GG zL&xJ}zyxuSO!7)4#k?0!TGd3lpow%rGg*jUhz0`{gS#e`L==yv{KNV9MX~3Y@*ZS- zXh!kSLQaIvI}3!Qfs#Treli>h$8gaL^L*GR9O{VVk52zkeZ=b@r9+}nIN%X^wh9M4 zCSJp$!ZBnD6K@o+aEPrCQzxh;_~;4r6FiOnghd5OsT$b9D_po>ep6#LQDLF_MW^Vs zsSDv@*|m@tR}0y;M7tJpH(JQ>^ZvwxF~uh|NzdXFA!QHdI->ll3eYU)qnNV!h;vb} z?bL8Sb_`VHg0!1W5YTl5c)_XOjREG;h}1%&;BjokWdH!5O-+z9LBl9%BSX_mc*mqunYON2b%!DG%W2ytch-ctu5aJ-$< z832h_`79)C<@M4fpO2p)W3kZd;-qLhlAb|K0!M7k~VBBtu>oV+Ntf zyptSqq~IazJjVzRp~rEUG{oPu40dN&>mhWgJNTx>NG71=f*wLAxbGE0VeqSuI@~ZY zDKiMgkZPZIO_mT(uY`wCr0UT`CVC?mubA3H=n<&^ii}+q9O-H53_<~i3XE{~tKpdh zGYIY57D;~swtZiowixnZM+5{yM&YrWs}Az6Op*gb{LmeyfjNDd?jR^*lJQzj$BL$O zF6o!2r)DE#j@3*b5kua_?57qr0CGlM$gBuI(d73FG&b#|*S)Q?LIf@lQn->z0*$3t z$4J*6b43G^eu)MoY1r&YSM;~hMU{jQE_#5f7Ee^QxWeQ&g@S{eDpgFPnv#@>btOf1 zUQBk7V_UHJIc-uzWdGhQdmYWTl2lMvf|^p#)s+(cHJ#9@D-vOF+DDl$NVvx>=yDc# zKjk}kb%hqTFIHg4B2#xRga~M{`(g!#EUvNG8_ADM`dI@HLRPE*f&BOvO#Gy-=;cWk zf30~w*INAH(b?6NU0u0ZJqgvMm$_Ks&;63W@;!h1)+~M)`V7A_(J(K0BP3;bI85f# zA5o0paYl<33=T(R?W_O&h)TXfFhfH~LT7+WzXNO+f*BZ2i06wS+zuredMrG)%?JgE zdd+~;hx{15x-vjAjCceh=YpBKvg($|)Rk4gM5eAl?>X<7$kY}1{Z~8_etB-mGa-n( zNewXQx6g#Mg1}GVrI2Ij;D3-W$HGOC%_mba6Ee-d^ete&dH3hoKl427wFNW^kN(ZS{OFTkoT?EdkX_~+3^fu% zBtt*|mf)5`&_1dS>)s;e?Nnw65L{xYx<$}k;|H2T?*rw0F__@7sc8x$i=pm^DKA1+;pM@VF}gCqceUOmIYqUQ!7Fgot6}CqwKv!Rgq+l|dgsr8ScNtcm?5I3mUo z1Q}M(N6c~#Q1J=9ghST-dZA)}L~*D{A|-Pc%lA14BWgLNuw#NxL(TPc;#r~MFim7F z;Os_kV3L~*djm>hg^BZXAlUyL0%Oi*zy3ivU3ySXPwYO% zw{X9o>_Iu%gL0ZbD5v9l(U*j~@c%4t~O}J9NHmxvLKyo`rP%Adv6R?R5NIf9Sj$vTF}rcK#5^ z_s`G2!}0n|?e2K!vcw|@P5nsE~@(9Wk7 zJ;231;%yW2jF|k4$)i_NFTQPNxzKMte*5j5t0a{_v&1TbNfb14ic4~lAZ5;ufh00t z#wX&L;4C?yJ-O%xPWEsS^^C87#J{HMXnG_|~^ zOt9{tOz{|@Uj+jojwp&+5FC%BAfvJ})O4e+)Iw_@nc3ELQMU|rRunZG69vwqRAKT^ z5tfcJtAz%GTL`|wJj<`pMOBF=0eH*gBlzZ`qtL4&{4PR8A`|}xJxR0@`5s+_ONvVR zo5-#zLONSY6i)l5Z#v4^u%Nw0aIx{nWjk#`9+S6p{3K(9SsUH|Rfe zr1K?m=&xU){-h;Z1A6{uOMka?XMgoie`ou(FEEZDo4!E`72&xu_e=y+kK^zis*eCv zCB``x1v4C9gJ+N9h;edi;CKxNmO_QN8IA{qh(Sp>T#F$y97iQN8RjV2Ord%woX*YA z*~7AcWT@Kle>b>ZPTxrYn!X8?UdS-k*%Bn%jO8eEKu!|eXP#i}p&7{PJqN&+3v8if z6*4lEGgDP^usoLMrfOLfL|q`W?WG9D@6TH%nc7-Jlw1{16|PDUhSM#hH)T~#<|+`c zoSR>^)BNIJcAMfDFk_gS4l0&hQL(t=B1?(;bvyOwwii9^r04OX=e#?=n7(2XO6^#@ z;_tX^ez7nAxxeoV9w#hLiKBG-=@veFP**WxfIv`|Dm)|EZfdPQ9ePP`jmgIpdGCSt(8B@Dl`SQ=1?H5Sq<{1d~8!ngof(CK%G0$;J&{l43-@MokdJqYSCY z$z<6)JVs)%3BpoF;w|71F~?9Ko2KTAH43&p*g+}Cve#m2m(2r5AUp#Sr$a#$vb6Kl zcG*1QuVkE#4BxF(5vL1u5sM8{pIp!UDcBl} zuF_|S{Tl>V*)zoc4T7sg7h?a~hzPNXp$u!rOmF=OD4u1}tTSSWA3Kb>&WMp^(G1{E zm2`)8s>?KjB8#SBl@={Dj|IjA>`9 zitX(E-nRtn^B|*uX34$YNI;F}5L1*OC(UMGp zl3-*bA~970zNinG5rFa)YR8CIs}`~LM^>@qBZ?m~jEG!RR^ot#vqyNk5G0t}hyzkc z!&y&~-|LT2Jd-x!)PTekBck(`P=$Fx0rNN{$hIXX5T{?Oshv(+3}}<=`y=b1;mih? zxB3H|B*8pNBFR!O>J4d-Z#+I?BWxXjyO@QAvHyDV-a(gx|7>GOU zKS$UPudfSBw8Q@PCAy|%*Vq2SZ~v9wpPEx@oauZn8pyR*bJ37JFWz3ZDheHd{QN}7=?7DY~9BZ-uVgL8AC|V(i%cy2p+!d5c$6(!cjS zuDj_h`m&q8U-*@O?+gB+OQtUzUf^mb5TUO`X|ZL-6?hk=E)qQvW(J!#ePMQzSQ_>x zEZcHKZ~B55NeLd|-(R<}2OQQ=4aBnq-4 zW^POurtc)kkIol?j>?YlCSWO;>9~@Y5R89I*d=&aR#jT57z@Rc=+ZZx@C-)+XOi)c z7by~C?0}i(Yb-zE6viXvYklBqM+TO63e&K6KGvBR8750soF!h9|)KFt1l8%+vJB7EI z9=mn8-SoJ1r|`BV+O5OwOLUEO_}PE(@B9;&Go00+Vy-XzpM^n{S1OGfZDhlxHR}+T z8R?TTA~*&@&2qHmGV2hHp%K4?iH4G$GAB5*4pD&wFDj9-15V}krne4}*u;dcWqZ?G zhZ7Q<^%&7~x;z<)@CJJmp+BAaV%J7wUj+h0oPT*XVkiU~`Tl^SCv_jULujI8=JQ3g z6{L&NSlMdM24qXz6%{XKmPcxyK50^aT!Rkxo} z<*Zb3?L;ee&v1VH-s6{$vnae`c2Dhd$+r5gzy6*7@V6x^^<1_Uieng{1?5f%Rfe#7 z2+)Qt*mEFSq2N@5nneLI<{io7Yza!J1y-A~YI=gwInE-a5~1}Fk+TNPN+Bp%97c?c zz{d*ESO^Lh2SLy^lVSxUHjQLB`>6$sgWxK;(Q>xc0E(sJtcz@`6&fVP;yC#l4^ozG z^-MU61W+Tsdr0MXh1(}F|4FtN0<;NS1%C4yWBJqb56~{){2rja{Q&LtcJ;PQp53nA zZnWLHUA=9IcDs7}5?y0g|M=hb}o38GjB1}H~FYarDQ($vIq6GZqH6}A#11qWO) zHL*Mjq9L?e>K!`!6@tX_C};`M=o=8qeACp#auWne86EP-NM2}5Bv>9Lr;5BD@Dj9e zClzxGmYXEV)R2uOl5oyvk~g!f1zzW|-x8G#nJp!%K;L!V|0FEmY;2kOb`0whXl)yg z?A@@weZ%^Czzz5B1P`JF-W_fHC4SlUC-)M%yIKheGS2(uc0bvz<21TD5`}{%L_x zJDZCzYETIN+>~J~yt@Q6dqqLC+4^91cLN{Lr+I?v#5_F`y5w;ai8+Ub1sks^q3GgN<_Jm=k4Yl>Lq1%LS>Z^_ zG2K0E48M>BHSH`Q;%?#ts@Kr4N)$J1zhQSA&dA-x2b?fHWK;&3S?JGb`@MPc_a>=s z<=#BSdlTO-+?zL{x;=YKWqRK&+?#Khf>%aV?mZ}}SJ-SR%UqaIrwCD5s()iSS(dqg zc1v02fo!Kx|BOfX+J4N!yX)o`np&TEz`Mg|9&kI&4;o#Rzq$RvoSJ1WKp@*+w$uJX z1)Vlqu~c!TjOi_5!Q#05Xm7HSpNtYJs#jZju8S zi$!`y{yN{pOfhQ&1ifxEt0;)Hv5{#sRR~gxw&!EcWO_+14mhVg(fyRQ_>Il6eqk_P2i1fBPfePt88n zooMBPDagdGb!UQ1qL5gmr)FX!!&VEeHheV7#g6FxIUkKe0wfFUs5+3!Cl~b5DA&BB z6S7+$Wb)CdsX^zA;1d(ykQWqPB%I7@^A1r(g5YZNjw~95!ah~zm%4Xk(I~J4gl-oL z?K_miHpZ=*&={av=-=U22LuiwgQbRRLRBUm1a3p$5aK=$4~joj(4|fvlDT8EPlFQu zP7P8}%Q5`|jZ*hW48fO>XcK1NtgtzCZJ{rLc*+9Hu6##@A+;!eU!n7ppUWti{Bd56 zA%7m{IwAjVb&>KtV;<7M<_tnQn*BW(6sD_%Pr#;C(v?iQP{Gz21z580l@w%;UQqH1 zkd_RPq%%-yq9y?Wneh`!uK};~@j*}Xwt8T(qRN&p896Rep zUFBsV-_^HJQYW$#HyK2bXlC)j4fKM2BE=3{Lo;om4E`#>Y`_=#R&K{*Ph5E@<| zh+atu4V-&{$vzwv1XBnNuR*Z!i`!<2OONj*I~l~{=-(lgULEpmZNzJUP+%s{_%xS+ z{Ir@3vhISAUj>|=Uyc5B7@f5a-3Wyi4tYeaa&P`JfQ6TNW{wL9QZAoCLz!?Q%(8yI z!n;wj+dOSu297sFYB1?$*1bTPKmHura$kpD90R%s*aVc_G#cez8H+E*9lN%NI_@YM zb!mzC^53z`NDCw8z5Dp|u{U0S^WzU=9;mP%Z{aqqD>i`n`cDmC`Ke3BGb~~f-Y}ID zftSKU^5z*D<*|;CiIWe2 zYxMUk%yDl#!#xjnA32#$sA>E$dgGaGabS*10;_le@TN*eDSeXWg+Tj9W&MRNFwBxZ zCDsy*(pA>J%y?cWg+1jdh_yC?isyJQXAd@*PBcD8n|g6QRmDhQ)R zH&H=$^ZE4F!xx*ociR%}D#&&%@tTB~pZcNi{Fnd5l!2;cw&uSNMYnM0irX1yy3S`d`~+i{7?%V)MH!IW2O8NVNEO5cV^#`T@YO$@1c`7ac!X0? z%x91vAlD|JTHJa@&ex+2utWqF$PvUU3WCTb2gRBsR5Xl)e-zL*N!k60Zf?kdtLAHY@`pM;#&qh7lZApnBp!e)3h0LQ=ej=+B zR&-|7O7A!aqBE-;X0H#D)9lZJ|d(FYD&p_;g8Lb}m1cG#! z;}&%eZz`l71i76+5LyB{?zsKoHO_+Ml11S6&x7Qmo2KFP@)(H1VXf|Yb6Df*T7Mb@ z$sr7d<9aJU+}p`9xLf(sI?iIwIr=^qdi-rmv_tIOK3D8}m2Xa6Wz>y=<_>_H7?qnur6fp*HNnKut922Xp!ZPsAT$y{L?j)Fo%x7- zKiY_W1u9)4=K!XIa%%6P9{WyN{RXhs`a+blCCyNEnsbU)ZQvKmrzXVO)EJ13Rx$N3 z1$`l%wLq*%!W~aArlhrGb&`BtMoH)>;gYw3UBXHF@Il`o{gKWHWbbBWFgzc5$=aA8 z{0*c}EocLa6Os_QNe&=9gsOxw(J@GKFWu~ZeU9UVzyZ|@CUll#`UOJ5C2Dv@k~&U) zIi(ky%^v&#xJuOkr%@qEEyyI1J^`IZq75LbDkc3IVRkYvV$8;JFVh)`Jr~E+qzpzd z$}u;oL89l>TDz)B%x!rZMD+;@k$hi`>x)F@J$Np@hB8c#DEbB0fpF+1HG|HHOie=I zJy%n5H3_CW;^=OF_#QGfNo;$srsUBE=2A|6|KYk^O5{EwkHF18F`3e-SrEvMU$&E8 z6!f48c0$L^)Vj<}v*YXwjocvet&78B0jc zaJHXWKolX-(So>6;pClA^Q_ z!3#;R2!(ctH2y}U7Z#FU5dv$L7NJ1-3^{mVx>6B>$)U}eP(lo9Exrf4tD?I902Y5_D)4_CLxQ&J-dk!}y@Lj8{RFC$M?cr{&6 z$ab{98?^s-zUEhc)6Q+d8l=~#<0Q1N$x;~#jCXE}H%}Uccu}}57SiT-Zj0;E{>3Af ztZi|7CG#4aH;Oap+uT)c?Qw<|EzYoQiFUxf8^HaZf9lI$*+cmnlMjGvarZrx&l0wI zIhvTQW-%{)7Iy8~&0y1-ExQ1sdnkVowgknmpJTvG16N&z^RTkThEs0R>YiAA$`!_o`r(xw_{z zEh6u7j2%kjoWqxb$%BovMx0n&-KbY!rUO`1(pkGuK8Y_uAtZCR-37iz1nBj2z*niM zdN$_Gyv^d|{ha4(3@{8|KmU6T(EJfc63 zVj0N!N~%4nzcS85tLZ}TK15LSsp&+v5m6w=Zh(aFDnwXq>Wi31b4?(wOrk1nKn->( z4T}AC)(AZN14mhJ)2{O*UX-PwbdSQ>@p z)V^p7$wY*B7M7uXo}glyT9QlctWo`?g2$s2nEMJenxQr|bOtiTa>P2=t7*inXe*M( zpsB*FR!vCP;+SiFceOUhu8?I_WAc!H?Pm9@gNC~x++|L8J?{=IR$7`JC312`O&@w2 zx-ql$Iika07_-)#Zj-#IItWsi$yxHpWpi1PJ=Y)v$tz8olJB04xqyE8-8|Q_k)>xv zP39OfvMBPTUrNu?kt``ohqA3=QjY4%yUlR1PuS0;IV|R_Ge^rpF{W61Dl(=WWhu*- z?AM|^oc3!*P@_rA$zYf5WPg+TEK8Zbwmck_by>|PNLcAdQ2d?`+ZY^W6@YPX!{xTI1$KEM2IRCcS=dO*wF zvR*2AxA9Kvz|tc{P^haaQ^TEi8TB$9nIfrZ~^NTaCC=VybRADx9+SOsrGd4WW& z(4~?}Vk!$zYS8q-`@ySw7lAz@Y3UOXM0Hi><_EA(`909LBpeldUBUGvnZC(BU`N#% z3k;9PH-sP3e#JK(CWChF>~gLbd<0Tj?)=MAN$vri3Y7P6m!;y!63c3!N)14^9Uuc} zJfH#~uPYTVxmi&vevLyL`T^3Q(+N{SxkjN-)idQ>%jL10>j#J@K;5_=qr;87WAt7_ z^@$rL3B2qM@t^u%{mM`Ov4qh|o@1uJF`WgLHQ_{?HT4yTcpwl9^+^zH(6&XL&5=A! z9O5R3>Iors0n?j=Vm%!jAN@GBn!xm&qgEOtdO>lBhY>^XBzAvU@BTYlw_J=^)9c_z z6o+^`#t?x<&h@ORFEQGV5v`83j(u9aKzIIt`Ei2@DqF}g3%@kq|jD6 zXt->xj^dRRj5f(}fWE`n0;1bst`i4;lJu*C0(J!PIeSw<`|y%CKy!oZh@&WNj^CGx zrxL0PpsrO#7osx#;*tT zaM$mE{^A1qwk6t)?z=I%fAaT!*RT0j2j~(8E+gFZ{c3U**iO{~v{)QlZvS8~DcSqkwK> zwjBcc7!!WRv5dnjpa;nw#n8gKa_s8h%eTY42-9NeUht+HaEojK)B>BiCtdz@eVwz- zl2n3VX4?-5d*z!e}REqUq~00Xb1AU0rH>tyT0_BzApi}hBC}D4}lH52)hbSU!W-% zMAV=RKb1lG5ws@a6bBz%8EYqy+lXV=FqRANIWnIWP1A^c4VWNMN&yWlAE9kX63l|~ zKwY62fjJ#igCyvK@}t2n1i_?6AWwomD39?-Vb56moIlnF<-w)`)-*Yp38@D8hITk&FUefROL)4Ys;%1UUQs$T&D@eT2UbRhdH^_NaR^inpS2mVmYl(5o63!K9h%xL0y z6L>+BphuRmn?O>w3HZkb5X5jC8ir&Lq(xz2d{&RaZ%#q9G%J(rGT`sf9ZdycqZcE3 z;2%1)J<+L2e4Zm}2K-=N3uiqAyF8tB4*VT23pFUw74ji7;71Ic6l8?+Aq3dnm_Ah! zaAiMg0p7p)C=qwSDUT-Q2lBjb04aYOleCoebEbS?)8S}X#tRL z*se7^r(R%Vx~^%xmDrsL)x);}4FVB}8sUv>88@N*!zWKP3V<)Qgyjuz`AN{l4=!Mj zts)YT;8mqhN{s&S$;k!ou@x+Re)CPzq5^;)X5o8(3|gZXjwp0dF(=8C>3GGhP6^~lJ3SnfajNPJ7j?%7p2Ss5wd)neK3W0 zf*&itwUC+XZtMe6Z?x4g8XSUkFn^Z_=edm;+7zHa9Kw5LUW-%?M9Ch>G*n|J^eHHt z5lXvulSB&#B);$wz$sEE%;Vf~0PT z{yGNzRygw0{$TXyy_{Ta5XgLUvYoO^+T#Itirc?A@E&vf^M-N0J?tRq&4IGNMLLSl zo^88Bo0X$LAm3kAV54&g^(MC2j)&GaH0W?TS;=h6XV)E0*Bm?n?KT zHcE(77K|8=Pw&H)G48C#r_^tFt-*qL$Lwse;r8NzGQHM~>XL0e|3s9G17(78B60$o zSB2KbmQ`7niP(sGwThQSM~2H>_;p>*&w>aIMq&-qnhkLfS@VZY|5?cPas-$4bG z_f~M`?cT}VI|=&fWUt7#!ZZm=7vS8`ZTC*zW?XXjPVU~x@ML9fS<}ym%+JGt?34*p zC6eBf9qC*ZaoU7_eF3AEKlTs*&F}t$DP3u~*cUlUY-fmIB$9hI%O+Il1@EL4p29sx zA`c3(7cz~rBuLZ61c!E{?T=d49^VQ<+IS{7As{GaG6OQ4NN8qrizmtm8jA>d-F+^_uVs(oo&wUhId!!3-p$!J2qEBUN>&Y*jx!2 zSh(k-WuIAz=j?VcfQ5(Q%nR3`ftK3yfCkR{c^_NcwnT)#=dnZz*%MyDIDoKPQG>`Dxp@QWII%q_%5D8o$(B$(SlK;U5 zJ!sS7Bbxe^r90x{w-Hqk88xU#3c-LlHPPEf95nHV#Xxmv_ZWTxC`)Y7Myx6p1K}9) zt_gwCIHGtTgCLT90$Fy2`XY^jL_rC`1VNrHNiDOa{C!S5d6_W*QBL|1aLixqPvj2_W1yMDOc+vq7*H}VI5q$P3z6+-G-dgE8 z){eWOp$<>n4!3)4&(6B>@f0YLEHFCJ-}0YDpT+5sk+x zjVK{L^Np`Db9yKGDl;eH)-DfHH+YOV>#-Zlq~R>ZH{?XoIo%?wh8BG~$UMdOIQAHm zlAt9kC9@RYsR4n9NLGEB1YM-~vRyJIm#8_jC zU>796SkjY3&t&)NyeQ_CFQnn{Gud~Uj6cd3a%?Us7wK=@Z`~H(^L%eH&zGd6dxg*A z_g=UK||ZE?Yqh3{nQ`&z?ZcylUHoNnYFDHk-)baAe)(fB}WH%r-HQ|*q4*Z zn_1havH@mc#I~EYg7DTh7$kwH6kKRm;jQiCSha92(=11lUU7`x+Mdu`0v0dXFQ!o7 znvOBEwxMuf>PF1+l9?p8wp$(XqP2|*n^xvV6)w|_bGuv;9?gPp26!RlDCa(bf}^8D zaWqf7?F-(Ii%?Cp%ky)?Ulg|SQ}Ud9sGR5M>V7B3*MV$X8^t{52|tT(VeiH4T+SPg zYLWXo4P~R8LGOUs!Boe^*4UhVLO~T}$t*@xPKCT@aHAvHJYOq!Gan$xdMJ2X+7>!n zA?fbs!x0JEP{1e&O|X=7xAOu19Wt*#60|t8JH_442Oh6_a8Qm_KAg3?p%23-rQNvm zk)&Qa&1!c?BY_xY?fQ7)A{7slrts>0dgwvnuJxVR8)0y!Nc*E%xJLVftti~zd%#T-4x2XA!moVIMt=SW znhm^X;68kGdh21HOS{sqZqLA#FzM%e2JTPzl3(z4mG}WPaB9|rZn4E{N3K_>@Zfq? zpI7(^DgvE{Q4lP1s&$~lt53gK`^@IC|os$*GRZnO%m};mUZDGVa)t$Uew>rEg z@^}3kzv*J!v8{=X$h~c>~k(NM!3_@ggIJDzaA?*RScCI)R=q8*^`2B3fUr~jY- z@h>KT4%!mT;bcC-jJ*ApdDWmsE@(txZzhv{-ZGEvdH|;b8tr;}l!D2&M?P*hu*Y13 zViGi{DMn1TJp`MY1w1H@!D*EvCfgoojjUM#XwVJ@syw|soI2U|a5D~|@D+%}%;kvA zwuhv40S4?&bx7h#LuOSpJ?I8C-DgDFQE@t16;1Sh3{`{JXnrXr))l5;a8;>LAep&n zZq!`QL#KTgqqyMp5ipHOy~#3mtUj|k8wFrrT9d%p=HjQ$SHw(Y=j*e4R*dhx6VEMn z;z7lYIPYS`4X&>9aCtDyfka}C_+pz=|o_BG3F_~!ZR*!;A z`tu_LR-j#qH>ZTtBg<1kg!THT-akYls@Ff23N`M%d-~WLA5U~v?>@%%>u>r}4iZBJ zF&kn*YR+Y~T%}BuwU^ZeooNT;y8+~H{-3_;8~OyusvVVKbd;m1H9mOr|#lA$m?Qncfhbz;!|JJhp)5;_^7t8su0~wf-f`@84G25_SxX zQ0M69O;PZl$*H~mjr&|6ni5m8{zM?&&S;o=D3-jV5benC6V&s#`}vR>O$)ugzoQV-qKC%D3{NM< z+)&d(_7qA=Jy-S|=QS-Ty}_N&`?;MxpIJ@I2=+Mf`Wz9C%Fk%qoqyw+7P(zBGI#w; zcIR)aYzaHsoqvW)-rw=|ef@qlNAEv+ci|$Yt4$Z%{A!yPeMxS0RXFs{DPO6> zmflg);e5{z|GtlWMRL8VN?@+Hpddgi842cay)BT+IeiPRrBU1KELE>F7G@)ku9AV^lFvIFg-W?XTZVHz6NIYY4OtzD0n*TR|SEeCjE zG<9~V%-v~P$cXLfnS`#>Ac-f_-Jz2?P3u1Dd_x241{WZcyr}C?0ic=@`sB#hIn9iz z73C+zq1VVqtskCHPX1nox{~ryd@Bd{+lA=aP42U%$?v|myYRhPy=jPa;o*b1QQu>v z3!XWPbZzB!4G(F0Vefvql^W75PM=#3Y;9X2LgUWuYC*-QMJz-Wsn%_YJ=TJ*|H$`! z`44>Mi*BoLB%B#2FGNbp)YRrBh^_MkU3Ci$-cV7Y5CnSA1O;7f z#I8p52#>L8B%Reyt>|h8iMN?@bAWZGoLjkFgXE}nHW(8w2PWNSV={(eR|rlCGJ~*J zC#!nJi=uLiyJ3qJ2}nXS--#+EW>Z6y0{Out0ojL-}Y!OWnfrQ^)j2#zE5p4GMS zAO*>bdW|SD8d8+6O)5=UpwAN#l&r|7i!#b~aKAi3ohrAJzEfx+^5demBfmICd)XcX z!~K|Cq+a8(@5Ll%Hw(>&&mNv;+;s9;0gP(~?NSg>!Py7#c!&BRT*+lpUB^W?>p3Wn z6+ajlg4*|tQxDs(qFKA--!KlRl= zD^X`GC*3kiriu$Y&kBZyG*aLl9SxRLIx2q!vvWaDUkLi7TZHNW#(bn}R&Npj#Xvg0 zmD7km>6V&6m>TSVg&>hOPThgakN8Q_iM$L{sWVJ)wI>~M)&!>!b*(ZYv<=1Cbd2(* z3>m~Aq$l;6Og*XMkOV~)vLOQ(KTmrK1ujl9OS%t=6V(=0-y*77O8VvLkz_4eVBfSMSIZrzUmukG)>;NsvuepNzd-PkAyXPk62GWIV`E-aKB7XQ zl}QBfGfb;bg32Kxa6;Ig$&VrE?-dXEL+QzoKbrbcC=v@k44E#Zf64b5&DUvx0YoMl zO)cS}u19l<#AZ!YcG`gCE_oLL;66*eMkX`7a4wTstbC_FaNDU5@L^;=1(^Ntqq+V0 zO#2B%FHPzP)E&=nN_8g7v(;`Z!(a?giF2%uc=!KxA?ArytoR;wk6sXkh@VpzUPzw`S1TB7n3LO+`(S}%!X&a z%ntC$6Gt$gE4X)6pyLS9J7_ARfM}Wm91kr5Asn%$(_bHMgmMwc)w(51ozu2u37In784Z0GV_7r(HPIxqnG&{aXM=bMBX)C^4!I-b&SqBL`EWSvi=} z5~PGHFyk{=6J02m_pmrCP?DCiem>q8e*YZS0839*phUL=Rct!r5AD5=(k+p(sF!+x zY$sS(FIPlay*dVq53q^IiL=V-=}Jis?pIJzy6t@`Mn7g)kR`fd2pEU1weC- z{%Lk@_1@gv(T_yJM08nt+eKrKzVS*;w4OftHX?`lJk zcTVrT_s;3@o4Ag0QG`W=opci;$=+Ajldo{k32IwLjr9>@(#|VBb1j8D8YD zKZ2P4-U|EaT@L4PifF;m?I-n;G5`Z+C{Tgs1)fyc7KV za%aT(=`i>HY#8EKF?)!m>=0Bgk0B_^0hGtXAQM+Nl>@L8<3_w4J7}`URK{-gqSXg% zTcX{8yxpB~jjjCMfAY_NY=Z+Cj7{o5u0s!XbEPV1hAoz#G1N^@a~E1LCBfW*yh6tz z5z^qrU8Un7Xxh}PbR2vUnji`Z&og3V4&H=y{fKm9j+@E=cUU8F)Y2`ibyVkBRefF-+RDOEN_`KeR- zUJtTwOuo{QEafU46vtUlzJjgkbD1=V>ut}%cGc`GXRIQ53C65To?>R8GggI9Dp{AP zqRNxEkb#t0=fV}`_rK-jEuqa!$Is-g%-EO43x58>*jESgg!oVg53-o@j>ZzHUDl_8 zy_!)zM@!#qXZI`J&DrMeZr{~<_3S0L>mqZAnwo9GENsuUHf}pn{!3{{^`wqF?#MLCocZdlNb*EX# z1LM(!Am1(~h+Jv7dO0G}mNm+pM¥3RdWfK%gGLDyWcdf}5Sg;CWP&7+1`Mmk1zLX1VGq&0`~7k9!(?4;SeG)k*W$LEkx)D?;5V3aX0&Zj_O& zx$-p@-N_`UZe+s>u3evTZu9;U-6=}KC4L;AW&ZoeT;c0-)jZU~Sh-pUPeffrGhIwNFEw?X=*Qm6Apa4Q^% zvnYUg^_^lfVG`1 ze*fb9v4aoWw9tG5>u1QLjKs+395y-or)tSOY0L9!iN$86B(bWk) zz`fY|Ufk3rTU7kSM1D$Oa*N{dcek18nvr}kCWxh+1*&AxpBM#AN4X>y2V6=m$lOV~ zfpovw9Orh8c?qgDVpoZu#3_r|3JJHfZl`#RQn^4uG#pcIgmf}abwc@oFjPShlI7*`suLQi9)p@Q zj3FH(4e3=Uyj!7$iIO#rp~;z(bgGjOGDuSCP`4reYy3EOsFeVR9?fD(MP6RiY0SD3#SJ$J(meA85?@1<)Ld1LY0MDr zjIt#{lPYl`4i&C_IcBy-;7^c@(IrXo1befii&Wh(>jNAy}OC;eaA2Pf4}u3DZZzRQ7T%Y<@jy7?m&bDJp#oS@c)#k>w&z7*GHjr z0C_|KdtT8(I;Zx5S@Q9DMXN`71OQ)7W;V4)al{F!Z7g?W&p^Cq-*Ud6nrU{7xuOLX zLx)`G-JUC2BbvX80<+Y--78wCWMw}*swH*cj0%qwy;)w-LK-V)$6FRzwKJuNrnadN z>=Pn!NR+J3lu_|QM9DReX|8D9g3I(QVU(#O_Nm&y3TuO?0-JlitK#5;fi_Y3sS|ri z+4tJ8;avOBFriZ>03NHpuUXg++DPWCx$Cf>ly3PAZCBv&}S124)#4qkAgu6z!E-a9Eh>PB zo*Q@x3i$Vkh2Nqde7`;LJsJh7xbF2SAP*AP!5Xq9iT<{+lmL^->+wB3Y3#&bC3eIK@0~^mi zw0ATssH*jzy3!jcYx+zmmR2($is9RQXW~BYDm%sgz}-c`jXXFRYdP7*j0f_ zaiLg-=xu_lt*VILQE;tQ717%SNx-1VxriC4Q5=54$q>Cw@Hm7F4#T19dHcdOL)R~)U9@k-@<3(qc`t$$x-+J_ul8wJy z6f~i!B)rXV@uMG6Sw}-03Y9G`jRVBYY8I!W7s5~!tYBQoh@hcTLpuo)g-j4e2Rn^J zL7C8dK~N|OQcEzwVH%hT%E%&P<^;ARNG-txQNY8dn-J{LfU*$eTgL<^FzAaxjwW3p zF(i#hEx`m~VKnAl3m?yj3H7|hvc0b?FYmwuY_97o_2;ABYN zhW4K#6#z8Y{KfETlluWh%>rLQ(dJP5EJd}CwEwKY2cCM|D9)0m%g4=M7Wm+JJqpveoyGYjJ;d*y$N5EnOot;e zqm;*p&7E)J@q3S7LR&k$Va{NaWk>9x_qz$b|NNi$=8yU#2}RX!Yqqv-)rgNDk+Dc9 ztEQ|_f-ZHw$4u~uvIn391i@VFoy!zVo^p(+$&ehe$?9+@m^|fpfq}0FKLFy4%T)tb@G(+ zB`5u(kwlIfWK0daI31&)s0|sqVMB7Ps1xLpd?6-DfIQE8Q8I>o$ zxX?Q!TjAP*1>KHWmSKHwt6fao6A+DLQnKZI>I$)eff+Q79%1=CVW>c@j&sts)u*of zIWTdYRxrJsz_!yw>)$Bv3}SI6!T!n}zDh|peB!9g@9G;8| zII$bV+i~L74dQJ}v_tv!CAy{n_E-Ox)9ZWCdqlVng;XBOfvS7ZTVcCPfGj}0RKzK` z{K5vkfjcafb1CtA(0dPhN6)V>vP-pid2;^T-$|`Oq&0^9`N@{7=v`e=}EtlI~%caX3N1zjqZJA4~g8Jjl}jK+0D8|OVHacIqK{t zI3PG?1>Z*QomZ!&aa;+n2i@}x+#982wmLAt5gHI@ItnxWm=_IknyUici_HV&mzAEy zg()mhzZR8F@*+CRY}5IILtQpl=Vm6j9jjEql|x-s0SDKJRlx1$IO5wGVS!|+-OwBd zSCu^Fc6G7k`3H(khW2~JsjlrC7#^kz(6Za^+o9#wZTD?Uw4?v_CA!9T|He0d=lA{T zA9RVl3RUE1^U#wU9$8tNsRq`{_>&tR&c3xW{^W*-;97-va>GNVd5QvY!SM;!IZRA1$K3o)A$c9`LS&0+f{-O~k*D;Pa3!5W1>zaIY zNp47^Nyyq#u|E8&bPkN^+}OgkOzGU*;l+E-&!V!05fW^Bxp_E2Pw&n?cs@SCWsb%$~yxB8cD12Bl10Ff`}Z` zvc=T3lAm}%TDB$#utc&MquaGsIe9@z=MHBbItwwdjy}7^F$xC6Asb14Mv*O=7KJqF zcs82O9k1ksh&poPCh$FLd^-%nz%ktpHYO->xHzaRYNt`N=$s@q50jjlO2hS_E~;i@ zX3NubDF0@FyKYfBF6rFqIJ5OZEttgNr>dXSPvBqP&QwVnXOROm?Y{4 zN=d&&aV^2&gGDWlGh3s!!lW{LhlsqF++@|8ULksm2E?DZzp$UH+--YT>HLBfqiPN0;;; zdaR`w9k3lb1}Bj72n#B|L7V_uh2~L4Q|!WS;J$0YEJNKQK(W^?Jia2Yj#cZTtq6mm z7xeHtjbH^-!A^4Iy-zDh}^*qJ1*wAR*xs2>UV`IXG{AM~b&;z49b@_(tEiUl3V1Y@ebxm}xfNBamD`BdYc?OwyWwFg{`oBee!4DyB3d;muAyotxR0h8~<1{H95Wi^3ge~JmML^(H%iIx(F^qlE z#`wPoglq)<97S%}MJr)-`c^6dI_H8?kmW9<{nIxc$yj$TLw^}6*~G<#i#+X?F> zbmTUvxjubaK)72kK*b(@*$x%A9)8)jL_3;qU!rTmFJJOwf6K4k!!I$kkhvfB@XH>4 zdHucDqrwsul+VNP%iOu4&J1Vk@8Op{{PHG8`$ku9-@WIh-%3sJs4iH~PPx1C-#2i9}qu){0Tejrzg-)Zi4j9hJ134QAmf$A_{5m;u{BB zXA~+jJGBnNg&HKh zA5tonvOnqPa{dPKPFSdcRLKau?9qdlkWpy&H=N#jh#r={+2yn2-v9dF^DEx}1qpAp zuEe4TR#k^APc3CYADmhJojkXps1EcuAf^M}f(Jh&>V+|oq$vKyAUO5|*l#G@CZbDhlN4$-Kh%;bn@ajxMXuXq0B;H0r zWO)E@DQKLN+?8DM_yhaeEA+jdGC1)6$1T) zK|3p-^Vy?zP!TsI6k-d-CNZH9i7_`q*l?#`Fqd|Z{&sWe);;>$mT0$Vw=dB(9{oT2 z!9Vl;fAbQ;f{G3_c!aqLRq+`xs6}g7vkJu-!gfslXO3`v4Ax=-r3_(fB;hG$kAYz? z33`Mb>P`dsFhfIknW`k5f)*7HP8Da65EQi_6Q3~UiQo3$)F2h zA($cTs=iQA1+3@+1y#U`BA_LCL9JQW1pM-V|M13|fPij{UxvPh^WO9U`vk>b3G+gx>-7TOjZQC^vE?Ey59-@?w%n)fdn49s z(o5*7M>40IU##6!XDJ*3$rxr`zppE0TH-Z@62j@1hEq>MvaKb_@Os-iJkvY!zqq9Y z+m>iY{<}f`fB)0}z+d`Tzv$W11fZ5ryyHk(2XPIJ%urGbBb>+|wqR1Xla%TWgH}j- zK_Y(=Y&54GU428jXBv_3+9)V56&T?dFyzrCK_b5iVm@WjM_;>)|Cd~VM1B)or7{rY zH_4%cf1G*@&Sor|?+NmoBuXr_G8BSHyvr|;Aiqgcfsso&)GfLX7Lv3+qa=C_V)irr z_xO4@$zz9xH==K~Q1;3({rU{C_3u)rzI=huMMwM!sC)D*$-JEMx=*v$mG%%ox1-tY zeu+TkOyvx|3e+deuBTrkGzr!+(xI|4iZC5!mz?o9dtEF0@uDQ-4N-E9nL-R%le}GO z3Q=HgDW5=8oi)BD)rCUfLN>CrM>&=*6hu&ql0O)Kg=}0Xm(VfKgfxQx#q_H369t{6 z+9F)QUTxvs>h&zd3&4Zxwk^@FXWfmS z^&=jB^>5r`80vxm;(8AYx+m;0jO2WXaR*|b{Zmv;K8qwS@Oh1|BE&L)GFB9~$1rZQ zv(O&HAoKBbj`eq$D*5e4w3G0^&?PS3oSz`^LE>V5{Zl>!{eqDq?4FG0nI-U{|KJCI z-z&+Jp(z`dB@hxg(Aq}$d@t7+2AiJgdo{>SsPm`vJ(|ik;?%=bm#9cJ%_y8`dqlwo z1#v1^p`pO}8bh?$8#GG*%t!Q%_&h&)GHk@5tt%a|p1@$03ld>XkZl0P?XX1LKr7h< z!Lxi+K8B_N)k8j_crr}V)i<(BQX%QH@=&hGkPN9CdWnnp(-BWb8Z#12&AA(LQiOVF zVfS+x7swm37>O?oaxlil$`iLwzQD^JjHNtF-HVPqnO zY@^^(KXp4LK_=BizES8@hH26-VHomZisTqlB?}S;(}V1@nB?pxp3;v+p*>E?`hTlB?fN%O5#}9Sv!G2&Z7(*Ly z=3G!IATCeFq9B6Qndmb#!D9>T6u}l8KMGAzP_S0FFi?%GMvfT!28J;(qCjmB92*G* zAP=%XjsZa9h=Q~x*p7;ypnnRz8qV3UQ)zkjjr~k_h_*6|is0qf}KW zNFrIYmD$OmfWs788m=N2M^&L92?9-Cbes?_YT%sGpD5{@+wCn`}d zbdb|RFDm)D)Klb-XVOe%j^+FryzUsxhgu`X#P2Mn?v1dC>ctJ?y z1Z)RKcX>XdgP3GU0-76?oQi zk|$b{2^uNI?BKaT=StZI=o?!5&jiervXT_}?wd-BnEciB1ld?llHgBgYdhUYmJOjp z*;YCt`4&_K^JOf=b;{NmqB=NL0aTG$szFK5z~)SBMvg2S-YEB8g+RGOmRo?_1o-4Y zZVLZqd+(zJ*DN;y$rkzkMQ*~=Qc+4hshc0kA$@lIe6W2o2)9#ig!t~MO!`-M3f9^}$5B;%JjiSCJf+*3+T(iu8?A$PYA1&-g+M@SS4Z*^Vo=%HHZWqV}Xc4tYZ_r{q`O&D8B++4SsRfTU>~9 zDO{rADO+3!qDGka_^nv0*e>4-e?Wnz0<~+2kV#%dGl1v3I$y|y)Ct3e9hK~N%+Oqi z%Knt*xeV|-VdQm1Dm!81=V@xj9*=mQsTn{0C;y1w{=o$3nkQp`9iju4*Ss#h~J!v=PxaL8oq7Sljv3^aa?62y9p}<|Gu= z=@@Tr4;wPsr@~fl4+}(9t~xRS)Y)$eYo6`MX5gpJQh;MImX5FvjKSiCcStfBeMTcx z^U4{b@K&IQr88tjTXBYR-)_gyZ#Xo@krm-f@<@xV>HVCbylb zOT*r6QkjcP%E)NcpJ~@uk~4v;nOGT6d5VYeY8QIAvo9D~y9<50k#*}X^leMD+ritH z=o%OLD?jexgRi>;o1i9Qh#b-9ORNmYIuvIz3I;<^H!N=nn@z86p3t2#M5&YDUBf0? zOo{h?fk`QY&2b3c`voQ?51XmI0@$21k29T&xX{Dq{eBIJGggMDfnveFYSG~=KLuyB zLSzoaf{=oXYVX1&EnC58EdVraiqH+r)igqynYDby-({1qzM5yJ2Ojid`JJ%pF z*FkXZ8rREXzUaU;s1SU-2Y{v{3u!t5prt6%0D1$dEJ4;Z%^iTtch!cP+g>~w3MxMF z&gq@^-Z?#HM+vzo(o}(ebtR-uW_#BOs#H?c%InRqfGT@2+f7sc{#`y=mvpJdSAUEOS?A zS6!S7TEe>hE*Krc1Od?HW5qim zzdtJ&UkDNiRdYgB`rI?dLQ&ovszIGXy92iaq6!&|ioTWaP{3fOH$aiQ%1IAODWv1M z`306e42#>|Ir(#!0@Q}uQwITfuS(AoA4Gz2y*v@du?eNdxg_NIMH|!#MJps0ioXKJ z^UbX=9-f~wZ{D{aebwt<6G#Mp(I-Edqyzx0=kxUK?9HBmVD6|YL2@=Ll z5U-@2%~2pXT_1sQyFi~SY>r^PSm9`-o)yoiTjOXX|)3PyFIU1#m%Op{0 zy28;&RVzxaax^lmZIVyJ(MV0^iAHtg>)C<>uPs>@4A}!}5PuVuC>ANo)^)uwWS1=1 zBg3DqQuIHrYQ0>XOOhKUBAyc!U7G)KZ@Sa@btxy+( zn|~x@qdQ=6P`Cq@?W7lhuo2AHX@4+A;vMSuFVwVx;Zcet(r^;mak&`6=QuFsg}E5m z@_JRViGArny*f1`JQGQU%1qo{(E=-#$ZbosD_VD>X#H=$`Cs`{z6GRsA7=g%P!DO*BHSFBe;Cx`plC&Z03tik$l%=& z%4u)AYjtB3Y#Xu}K@k3uvM}HKOO6fPAL<6FX096VFM$(e(&|RMVl;k`j?w!|penL* z4^uC=LA<{N^_QHQt{S%3$WJEomw*sJ0z4P}C8!P65X%wlU0OAm4l?tXAd*HFaC$+S zkR@r7H}irRU>i6;Ia4htxO*PBi-?0rTfJcMo6RW54E814w$CK4qikqjW!}c%1A*3K znF4!S#*5JcQoWZu-oCi07vVK>VTpFUy&Js!hQIKi{<n07}f83Sp8$(ytGwH#IiHFM)X}9Op&7AVR;UT_!dGLuC6D#12)4@;w;!1!7-k z&=)%|@FME8swn8RzVL#7IW6!*%il*+9(W2ae{&&NvU3SsmvgboC2(WzfNf9Y=l&dts*KfztIGY4Fkf+@)k$tf?{y3r}mZl7@Z?|$}o zP7ftgpcxP^MP6cf*<8@Lb~wKqIRCxB?FW9}cU*+?qf`xUfOCLU!2L@J4ea>11^z+ql9z#8X$QY&U^MV;Fz^!MP_9{=WFP|Jq;pn=i)I(eMstA>!)b+zmx&G05v9x2v4I zQuh}^(eS&gAr1#_aKMMK2|&@uZKttnU=uQ#tU)9`4{rOoI-#D6_n`xu#K{_%nw1o@)OBq}qp9*o7t9LjZRZ0{E7{ z^OwJPEJFa6YOmg$n&f>9L{m?&BK`1F7SV?wy8Hs#m>>>qM!+Qr5(8~S^zdPuT?&o? z1Ct zlj`NratH)T(DiWIvsOM^!PkuKrB8v~;Ci>;6F_Q$U2*~lvaUDVDZtjo@VBvKDEBcoMSfv<9012HB75RP#-Z1$N9v}h8 zIRgR0_!+=KAm2X+xRSZ(Y6b!vZ|6$eNMw|i#woRrV<2F%Xaaa8<4Sl-c)E z97XRzmoFAHvcxiEtbnx%Ldm~g!xRT7BZY1BSWCeKp%9d^H^zt;QrHrN;$30JJ2KP) zUy$Be95F#Ca>+aR74>9V@ay|navnurt$0rmim6u%-V=oKg|=4k&KF`hW|Y!REz%5d z-c8=skf$T>N|St=;`E4xY7oyLGE}rJL9+nmGWWmybl&QfpKsuy*I_eg)}gXLC7>=a z+OXO_VFd3iKi3)Y618xUEhc;N(o6OJO)>f$Y1(e)z5r%!c-QP0{ai5m?|%A+efH;l z;FVWEfGGu5ixuTZ?PUiux2*xz;u_V`Q2>T`;&lu7HJDCpVy%?`(^;e#?dg4tI?t&nxMRSRv@S>S(c>3^(b1`E>oy_>s zX)-szrF1Y4yJ>`z`l=s3K}&k#9KQI>@$jbrv-lD9;0gPdzLnGX?Iro8zi}T|3}#qr zpVUp#Em|McfTO#jZJCIeEsw@3^r|2FW@0o4k|0DtR3n#k_wQjsvY=K!!ueL-M1oLO zj|)0Tt~u^Y&@YxZuw;3eBxVOIHt#oax#oAU#wx;b9$RRvGA3L)voYO0d_ac`rL)E} zam-m4ah2Rnd>AJXDCoTj5`*Gy7jh#z&PggH=z?j`Bsr7Zes7-qy?L^G^Azt*e7kUO zp6uQ{*}Zw1-Ku)k}k{c_`z3{g?T_gl%23_Ck;=0Hfa-VM4&rL!?oZL%A8t4K;*7p+aGYVx*fpS zoH?~1kk8L;HzAJK(`vTILz_9Z+QO+N+iCv}krz+L-42H?JAVjd^UJo|AIIyRTJ3b` zGN)F@x1Bp-*-rbH^i9p7gApxpp`#Eqb+mwu-MSO>HCjw;MPblla?^ylDR*kry}Qg^ zmIavJdi?g=Qh5=J9g|8;$5(tjqM&&mF3H6KmvTZmbfmo04Y-bGbDY~X7Km_H)fE4;9}MB7${(t)d5cjXe@!v+1EUX>5N^2#f}`#<~O-`_J`G}sIcPE?bSY_ey% zKqK8VUC3dXdF;gTR+=NG@SRilWT(5$bP2j2z|nFs_Dq*O(GC2c0ObGC{_W4_=||AqJe{tt;RKrIZh zFrAf&gic%VVqEI?Ie{ZmYFN=vyX*`_Bg94=JEnuO*tKSV5Bf#IPtX%2K`(TWI@aWP zq61~2pSIX^K`>oW+QApTw!r1x!*s5w8Q4Lq0WC6ca@GtWrHJHN>I|FbY-; zIm_8&t43~&AMv8|M7?d`aSmV1B^MZ<#Kq_RY5X2Umr`1mTx)0lrsJG4{5>~Ua@;Hp zXCqndXp-6B*Q2EzF69AkGOY$aR#Qm(4nLU(&?ND*WnZD^dUnx695YIi6jW{@IAF_` z>{=h9_s{`1Tj+dJO~}~t3yCq^{d+)y7Mar6EDf4$Dd}$D1Kj7KeLK3Q&Bkg>BvvbB(F5bMf1G1Ruk54(_aQ9`- zF4=a@uF~1pK3iuWoO3{`bo_Ak!TINppJ?Emb=U!~w3u>M`aUcA3e7vm?s9Pb+2)5| z6$e~wMTe~@tlqgO%uT*KQ!<-64b6AA*)2phG^&m>N80YJJJ-r>ch-sL?j>>7{i!X8Fvqsn6vte)zFO{T+EiMs5WzU0T*G2a%ws+=8=Z;Dj-qWwZ0ee&2TaB+iyJYo0 z@vElKzMZgNvtkVULyio*J6*3xeW|rd3tBP7{zyBnSw4VtzAtPAZz*QR2B@x|6n?0o7!K}I5S|wJ)Uuvx~!R050 z-Gx@PNa~}?!!kD%eHRDm)4Y(QJ}{-8l5D<4^tIF3ioSw+>g0fn!%&W&@=HiW_kXwF zLM~FsM9T7zmOyf)wTjMERE61;31)9v9p-~lJ3q{IfUo|)h~MJmO9nMIp$=T1c^+=k z^qyOMo73)o9&htK>{K7@J#t}*h*-~Ki4PJmc?*?S$=2LrP8^$S`fC!W+V@on5eRUYD*rp~AEHTge(}(kfQji(jvEzMbjbf5u zW^7|_hUH+$EEIR=#x`ox&bd^N0WQ55D0tmRBs9xs2t3&kcfTCtA9>y=V5=AK|n<1Ny1b z8-S`U<(EM}$MW%r5$O+~gTBY|2~K-SAp!QyK;JvgF%FquF!cgN=U5)9vO(yAW60Hr z9LsUua*&G%ohrRiO(5;js7S!qu4cC3Zpe^GSdP*?Q8^kkRmo=GiqL|D(ae@D^-){( zj|XXkPx}l2EgzmBMgGu}=+bABTK~p<=PWGV&fY7$Uz`f>9h-M-et5GC z+?U zG;Ad{BayI7x03{(a54i*$`u{aG`tiPY_@~!`y<@=L|ke8na?eDc9cA#GpZbA(}t$% z=_r-4D$I_YQtd9@qt&Wnvq@gWX2O_2aYjpOz$m|jQre|%V7m71>e7;3|1`Vl(xl#M_T6OOMw(281K}JKGZ=wMH zMvckBZGFLt+nwgmky35j675d&?MrkGrP?2O?JIxHWkVaTUSQC&{mf!+Gx}ETXK!eS zv?@BJ$ADGIj`W5$nu(C?oA&bv7j|yMW`=g;`tEB|v4c7wjpz++n7Rs+OUAA@v=MMS zZxwq(d)+8zI;~xwsXA*E!+iFJHsTb>!YAyvQ;{Q97}`*Wf-Aghi>$Y6z?`631hWlI zfKHEr=X@p2*hR#FjsYVNNTNZJW0IJd51L4*|%{`Mm@A15k&6p4DZLApZaE^K((;ZxPbOkQK{{Q_HJnJhW48uPj>-`E9#0=55Mn=e&o-- z7|;f5H$%IE%^)_*0W!npmnnOEHPzc=Gt`Fjtme5Bd^OYCV>2kQ*wS2_;DJ^vsTx`K zINHK6Bb}0CC=+y&es$3OoS|<@qF+n4j5k1WLF(t^E0?)_N_dImq6Zw$@Sv_^xna6} z?g8yRpbhc>$%Ko^)$?@WJvj}@Y2n3l!4_`Sf8&#Xvi^J5a3UN_uQ1Uf_)4J4 zuXxupr#Y-=uU;8srx_5uU}0A##H@WTat4!DVsWgsD%Iut2bNCW5YZs5bQnMj_Xln8n7 z1CsO)Bl=*gCq z2|i`hww)1SXGCxmq^sI(rr+wuPG9=&;q7LIc zalmDpW2Y}Ak({vOl1q+0t-tmq-}5bhF(r>AZ%C>GK)7Uk3!k1WS?u*QWS*E=3!k;l zJSpA;C-_=to)mAo(3vO2n;_VXAZvhLgaprwjDg3P;!QYfZCMAwz70fYdU+_Ad|Gmh z19TneB}nk}Eh?BS zh)<#5-xGO5DZS8LuV|2q62m$p6B1s2$8aFqPIZxnx+y*cN`$+&P0LxKdP(&>o0ALCO*64_w*#UXCdYp)Xts z$E79OJzjU?@%r!o*YBBrN?Ld?JS@jnF46vqa$8vwR?}(fWEI%ZP){TN^V5w zF}S}J@vKMe0RnY<)7z{+ z$a86WYtA-PhcuXDDB=yZ=TS4CS=PavTRzfd3ov#D3GFE2zMo5M+S`_B$I-jN(Z`?t z%o~nJNKL{U(;D?B)HGKzA=WPkgBos2)zhA zLg7(L-pt)_eK8GJ=Wt$Jq8)JW25|q;PyeRhvZq~+WZ)s_Wq`ZJu;5i(S@ zPeEqQNC6>WLQ?trynZ4HEPWFQzoWFv-9;_siFf9rCa3X@${TkqXP={tENI7a-$LM0 zW-N7x&|*`+!l@X26q(jB_iu2A&|_1-`h8yz65j9sEpPs$zmuHM+84~6(4kA%{T``f z$T9<`H|iWUq8WYy{4t;z1+@+mf=v^6gpweQXA^{7s!nLOgr{vd+D{mf#VU3cs#Pn5RMT6zK|N41jPw$l2cQ`oed{FC;=;V(mP3pn@tjZ2N1cYWZkx! zTIVDgZZ^pYgvN5>sIm;LwVb41op|PmiiZ$^ll03ov>+Ok*_+NNfI~;oi-BpuSq1IT zn%v-=pYrP_f4P8sESVHUmp@(WGuIhINLn_|#;23oAT#X@{K$D=q3}JWB5?8XKuur; zk1r+zf4I5Nysvl9d9D{c7ZSm3OGNa19>EPo{Fj#y_ya%tr~R&<^@UgTp?pzmE>@|J zd<1%x`p8G1SE-K({vqarxbh11Q5Jz7z+Wg@6y5yl4-bPtpU8=d5cC#0rDNAY1@U?H zkRZ+Sb`idB2_pqlYC84I2WBLSv)p8TfB)SEfBthb+Cdih8y=#Ri ze+_uQMwTRK9oqHCci+pp0CmT&AfnHaE=jW1Qc{?Xrv(T>Tvx9_xWZk*_LG<5eeTJye`xBNdlhh|bp5(MCm&f|_Ad5|Zwk$SW z-7#gc;YYv81U^_2FhgJ})cw7zZC`E^{{QT~anLMnRu{Hlg0M@3(wGF0md-Lt?_wC-WJKxQ>UoEQvlb~n>gs{S zl|Yba7DPjqR3W9*rYZ$&6iJc9g#3QzJm)#*dAg^&=ic|d_x--@_n(=5=giYR(>?t= z=XZYRoaiUm?{0M|Letw@HrhJf8fQ+?>QdZHZs33VnO}I#+ni7Ev~*NRWBl7DsnVhS z7A#UjDx{-88aj8(c19H39q_C&^kh8n5bc0OL*5mRl4T}a0kR3 zA(4fniE=SnVJKe*Lm{z$x7!p055=;OuWzUd1pIfKwisl%xicHl=eWI;b?1Lyh|mtm zGeP|m!Dl1$MkxF>9e;b$@#k3Ah|W(VL|pZ6xpTtYDOw@-W+3-}f8$5}%Fj6DDy33W z_^AIPnazpXHn+yP-$)Ygcj$&sfW-VCbO|nb^vDtSh&;8;J_5^{M~@W#ezyhUFnfR% zHS+XbM+zTZgE(*x$JieSggYTOz3ndg6O!@<;f_PlsMl8{EI7i_h zn_VxWcx_c!a{UE`e>m<{XWyfCD^15s)Od>GZRb2V-T4+Ifj9DkdLji|@Z!a}kUi6;EglfwukI9_3|3#s=|TE+&{9!)y6R&B@C@O;RTt zqf&O;ojua>VPUBYnW|1#vMu)``2NtD*dW~=*Ct5U+XN{UjA3ZVwHAz}U&Bn`M!;Qx z{wWR2U3Q9ApuZWQ|0}=uzxw{y2cVZ>v?g><(=UVMTPnH*$@0`%ffC(9omi7b`D~8@ z^giab9GH9T8}v1$M}PwDgx`5Z0s6G!u}3dKHQX39WHK1rU3_0uBbq7miW~8*c7@qCVJ49 zE{>Q5*B-Uopn%>i;yM^s&kS3~9xKzcn+abHPgAHImFsJ%*kx3_CUKm~<_|L$WU~-p z2R=zEu=jTWxAMD%V@|;-n-%9*mowMvblh97gj;rsR&>1?bp6FI{=j$7s@lUWTlAk7 z_)vP6@iu>@Y3uGDUHhTmV%K2|V66=P?3y4vVRr`z2p5FQ3r3WvZ z?n};{&9Eq$=A9xvh4?C8exh8@9mPuZ+Nx?_RqfhIjZM`4*Dv`WK7OUdGcCPR;-|Mf z_ml?Nvu&vQpg+pIxR`O=aigG;9K?SIqvzvnfVDA-fW5*J=GEHpJWrqM9F z%3%Pgx_4EKX!01Vn~#cs>7HV8=}s@`ej>?<;8cf(f4@F0L5%%)|~ zZidIHK5qniGo0-678UH>5#l-hFg>RDG8pz_l%U<0qUeLZ+f;GItQx- zbpqt)?LIhjidHKBW~ltPz4Pt=U6|Rcd6ha*p4*N?B9twW1{@fZs-*NN4L8)_0A28L^&$P0JtFD;XWus?}ogS*sdXar=p%Y zdiW;QF~&qWa)^6PH{e;4&ZVq&Dr&d%H>$-|X}0f~zyR&;yDk#td+bs40e#Vj9y;`x1*pEm@GqgW6X>5sy z%`sw68GdpAO>}b~7r-QUcF2S;h z*qd!?3*Yg(FLz!=?5s+ouYt}ps(}b=#

u5{$z~){LhI%0hZXwA8ADf`cf|4+h?8 zaol!~lE3OW;|T(Eu|NT9?wSFm66e_A45m+XfCpEfA%(qM@h&<;thwDC)Gx8m{#bsA z_#uH@C_?_=pNL&@GDej0Q!+vEPo8x3yZI;ZBPbRRl0l3>DQE+w0fN6WXtrL(`6!pa zEY6n}a_;X?Mt4c|Img!(4er2m6#fzn3V%tq1J5D6M%X|6rD6unjz96>Z^?FeKWUV( z$se!t4EVN07{j40lngfV+cus7Tlv+)oqOfxf|!>6%EFj-b#0d8=C!*v>0UJBeXg#} z@>6t4GUB`6`jxx4x;|fJX+}GkNDbxZC|Q%(za2>A2%cuhgn^O-JMaYpbm=AV6U5GJ z*!IL@unADK*P=T@rx^ld!G_Zi5L#;ziJWGLt};iJzPDWx^82po+L&PnOVuRq_b7tr z4b2UCJ2b<6rbb$n#Z`t;L5OsKR{Zx+^C_9&D~fAlOCC@om_&&v7p#^n6ohGR9Oa8p zJQkLZ1=0gqTi1(k_mfshQo(};i28tghwP34#y5;0}LVV zPlay2Vk8s1jvs77Em0`Qg#GSFYJ|b>W=IhfWCFVSswLXalmx{r;u@e4?e0HJb4}Am zijeW|_9TitJUaut4te_ClXjQ8|L`=<;U_CATKBihGuuC)H9eihVeDx!64&8zMq*S= z2+%0~aD@U((p^j(bt!S=CpC&Cu2PF7Tqg}uDb2GHQOPf60YYfd>}1Wf#bIw&^h6P#@>j+ zoZ*VT>f4TWAo!Nhxv4%*@DBdjQ3s;=HB3pCHq?=J5(2`$rDNB0Qm=yVvm(7NyP{9= ziatHGD;m$z6@6NGMW5`7K22TGr_nxgNbkS$^V zvh7q&BYhJ6{mteIXcRs$3<~E`w*CE5{^^@ddxdK|EO)rVJvlDNA8kAw9B*W95-2^S9OKm+kO=9B(}T?e?lg zuM~IATYBH&1IxC*A75Bt&R+XWtJ@?bH+Sw~3p`>TcZ^MZn|TxJq85Oc8%5r0_E+s8 z{zF<}yRVPeaV*xAvf$R<^5FUB&-Iq16QA$;+0f)DuIU-K%Nexc5CWVUEPb+?8r^^! zJlMy%x8`yjzLnz)?o8I!c-K(=dubEn7&{EzOF7kwWhIaxpOr#UlGfnbW(o2a!GsC2SrFv%D z)VdV@p3QJlJwt!q3^Ct0mH%QQggU^!Yoe1G9vZN6qVQ?aqDs6PH{@;E457kFjVoGw zn!<3g147q2xvwsTF@N@!qN`c4419D*=WResI^?;y4&8Nx1t^!$GL+xx<1Zu;a>UFPV_k|ugA-; zo>S-?CZ}6mR(p2l>N(lfbDF(+;AWhRn{?ia zvLWs=+IYP?Br4ojc|mV2eRAsM z(qSI^lMYT>cTO*ouo_FX9UlsAE)+nZ!o|4fq_L*)>f92|6YF8U9DD zINdAmi_c4lBa>tx=dbuPzT*NeXom^4%eWx4c~^oCxy1SsE4ZMpMdv+DP#y<#W61^W zddLQraY2^!oaKVhJy4tZ?VuN|E?lYoO4rC04vyXC$r`oE|9tezXPgVFPbIhIL@#53 z{PLM4fOORbmk)E76aHDcd{#2Z$&70r*9JL!p`TyLphqHuQd3|fgL02x$+;e^M=(>i zVfr06Da~bdfKo+)3|hINHDx)-Ob?w#qk~p%C?}Gcvi#ET`h;J*b|6yS7bqVguyyP1 z+JOklk+lPn`{?qla@0o{s*7UBod4wd#n7t3z+W#>O>uoJ`!BJq#! zC(Y@60n`fU6zJjykWLph6r#F@^C_vzWuk`an?j}y1B9)v3zzM%|FS?{za10e-oqx^ z6KM!6Gj&A9W$`k!{`plZEsD(|FJFRB%(WX)e1?XPrcWbbQ0Of_Tv0LIX=Y9l(dlWN zqE|X9e)o6$%1httsHnO6fsSI2rZrSO%7>{~EtdW-{QMxmqFZCTEa;@_@K*#qBo)KMh$2&;sTspF9w7CMR} z+UyazQQ(JqC?=@fu+&jtfV}`Mst{8JV6f!vFKt3YcI4n)d_fyzwdyrloRKXtSVwgs zpc2cyKLU8yXzGZn`p<{R9hr@wsOFwbKq~)A)qL_iG}V>u(Cuanm~H8?$1(e{|M9(3 zX{WU>AF;Hk){%0i0OM78lNKks29j=TuBX8)Jo>xzA*FZ+Tku&jvCZDYv07&-a;M9C z1{R2*PXiWKvdD%K&nClemmq#@YQ4m>$uJGGMfABrM?kE23@eHn zBN-l{r4&F$1+~;0M?;BclOadYr%)O}b+saq+dlOXL+`|C*kims6(ey)B6l{_J_O@a z>$U#G_Pu3)9^moV*m~6**vHx6MyZya$yM|vcr2D@EA3l?GskWX`0VK3mzark zoR8pP&%9)oOjVdKQ&Yh7(v zVXey*|DSg|s$g8(?Zsfe3Z@5b_)&CS6zRgCh`wdpqi-Pb;c>k`N^Az7F(bu-0eVtIdx)aR{cg)3@zyrPfWKv!|Hat>xH+! zq#a^zn+P=BmS#@TN*LTsf1gkMmY@Iqe{YsBXpTCyr`zs0Ahw8z32YX;9(uI-P{N=~ zg_Y?gfTe^LET(!R3NM^6Fa&f%!kVqP@48li8jv~&?+d~JVp8c-+-;jQ8o*a934DA2*f~Ni;CWrbB5sFSqCXM}O@vx7x5E!pI@XxwehZ}~*X%a6%bdVfC)GA%K?L1F;kC0fy3j`ZmwpMjB;U|0?#H`K}RToHw3Z&svVeu^&X-#@zO%163kz{lRjB9ED5J(s_{MN^pTWVlL=(djRxeWQ&)WEZ+cRqOO!3(GRB15Qp zdwsYj^_MwUD{|iqa{snZ{nUT>u^ywV!eStG)7GI7rZsS$mH*9sk_xHY232VcYaw+* z2QB5*P}cHBCL1I*pl?IB(mjeKX$YxXZ79@pGTir|`WaVZdmv1c)Ic&!jd0lotVtt+ zOqlkD*B4SZw2_xypdkFvC%-&K;R)>+wbws(-D0XxVfY9kt-ziaYsP@`;SEdO6mhFa zwhB~fb0Vi*{Xd7rA&Rx=)gBR8ty;HBVsJ?pg&0;MZey!gt=pP0XLdpFLNlDh3X3-b zi=Xx{e)W&98S|WB7Fe{j@tQG59(>K12TwtqhbNgaABo1-jQN@|&yB0s!n6B1DL>Mk zaJ&kFzQjUN!|Hb2jN5TI{Ov#dRddm}_aa6oiE{_5Lp#qTR zj-0_P6(G^K=BT~!&BFgojGvifw7FGSYEu*c^b!!!*!V)KzeOX>GX5*TVse+B20W{rfRuVn40e2>5buH<1a|wX{KR^7;!v)RNcC2%T z5_+>srPDqXlK`5w=v!;!ee}y8%!MR?W<$()ltMPNNlBStUy%T6hG;v?Ij0CIdpOSm zpl&F4Kx>7Dq9&0+L#>_W@3qK=oB!HUX5!H71)MA*TSvMqr znjt1}QmYL^NYpi3?S`61Ob2F+Stw+C$8;yqz~0%vi;=B(GBTGkiQ0U0ppJC5{0 z)0QV&S0-I(+;c#+IZDLi@$&~ATpbjS;q!U@dF9CP$C}mR_wa2&4<5p5`7N89rGrZ^ z4Xe|&JOeqaSMU_Qf@P;@4Xc-*qD#DjFZ=Wle8pe$uv$y>>SAm3rdQxAVi2Gj9xMfr4aF-k%foR` zuL(<{KCAvB%VP9qtG){?r3gQk^%uqHm}|rq^awdoX;&6`C$GTvj^Z^I_i^v|{)?|@ z7V9l+=3|2R_^hLGe3ztduw$u?a$0s>NxOwCdyrLhpO-&5{84JYlzwSeW_H{+3 zV`c<0TEi4$ZrF$BSnv(Jg5nN^)ysT?j>QRlg^Uyq2MmMa{j%+RMT6sw?EpA5xX5(C zpnSg&dwKtLTOav)EYtDYXE31|cO0vZ#}-QWjo`RfZGvjyZ}{T2IO(UbdQG?2Yg%@S zR**?IDGfvJA(E>`!GRI2SfG~+z$lJk0M>1-Ie?3g|NhrIz^b=XN56=(md#e1j8$Xw+oO6+=xZbbQqI6aHk~4qwvc+? zl9QGaH%pjn*aygt(JurC=VR3v{q{%D#uC(yRIbJ7cQU9gqhF)~B>rrglnL+;qv`)L zrltPAsS5Tk;iEH{4rO*0J=Tu`n>7i29%cQNv1j$-aeK^u?0&>9+Cw}{{X@54L ztBArZd^J@ThNv*xP2n0sxgS4d})(Mngf@WEcd1C^4%H1znTjvDxlKwYJ}4_*!Ks=$Z{7 zOy{og4w)TO2;msM+85cQ&7C+EV|u+xT!$WV{M`L!M6ky|B(JHeLQsXM)l2Q^^uXYM z$Su|^I}}Wa76G$XFvvxU(v#&ZwoBlw=!~LtLZkf0`_swhh9#4zzEvIGrY^ z5J-=Kve+DTxl`3aCW1VlkG+5Fe+lRl2c7HY0?@DWx@(lzA&{0|cn+lT?V70mF?z12 zt9%AWR;GG6j$A)et#_N5Q?x?;@>6sPQ~fo6^GpBJZ*-{FoW2Fpx`nE09qPt3@+QsW zN-qIT=#ZNs27Ix@iRPQ5UX9KJq23HrVp?*a$$9VPH4m6SgU`*7y9J3+ttkQ=X%vr>(}<3dmLT&B%UJ-= zkezeLHC$$ka|F0xrvPwHA<`&=4C9T^#8>r>*sDY{fzGm zOtisW2vFB{>X-Cx6GtuZ&?1pG(Q|62et9N$*&TERx#f4z739u%OfS7yPlh2dKmS}0 zvJp>GW+2}mq=m~VH@kgs@@B73vc1a(KJ5d)v^Fcvx#5_}U7M9^SXy*dsX$SH*NU#I z*NBjO{o(%lo0X14m20!o%euNq8X^EL`JvENCA1^m-vK==nWCKd4X<7>Yg>P2XYQYC zuQd$b4j{0kk=M0@@tXKOz2!cjIh=$mIpS1}8^b;kIcB-7$dM8D z^(>zuHJQY4DdJ39Ae^ki8a3M*NV*|^&e;&XN+t1&wqJ+3;Ta=NGi1_LLsPUDsSO!% znqh9=4QW?u%I&+3x@AlTW4SmxqYc}<5sYm`B)PFi8t!*#;Fqr$b;~HkW>m}-QLt2f zJsM<~3cKr31I-HbZJ$VRUsBj$+c_Gcqw*YUqErYO;PNY10gas;j4hL*q66l54=hVn zxoE)IYYog`y=FW;xuNT@*BbckczzC&Lk4Soz}jUmylV-|70pxLcz;rKA7Q4&D;NpW zD+fWHhm<#l6Cv9vEq$7-a*9EFwdmQ+6tLHUdUnX!NUWe~p`@BFRvNS$N8R!z(ku2s zi~ZG6?Q~If5$l?ZI%8Bj73Elx(+%ZVvh9$MGHSF}54~I<6 zhn_Ra_;!zAnzrZ!g^V&@jGK5b#UU5hDW_lP>Qbyq?RPxVych=NCy&uj&jBLxEurWI z{PK+Nzvm<0^jZJJT?*%>J%nbZ zdW>w^;v_btRe&_fM9+73IUq?6utw9CqV+mgbP^k`Mc=Yf8|y{Pk@8)8f*D^=*D)E4 z6+5Jb1*+XJB$yFDp>L`v#JV1RaB53Zn(2+W)opwDGyKYDySr=;I62F@yKn*wT%Y;w zE<1_`mvndOTdk5l%yxIdlo&~w&v$o$d#K;iTz8jayW5F-h%5_o{GNvAxdcA@_lc%^ zYy-Tgy9=@#Ozoq)Pu2Zr)=A2gMo!p^OLSgPFE0lVNw$OXPFx;MhMY3nBL6(od%HYNZx<>Bc+b4p4N7;FGsv|vE4P4IS$2xnT>kP*)Frw6 z@BfIu@dv)Ec7q1F{OyKHa7ob@=uHZy)Y4k$A-X)kS0m2t+YT`1h{#>XT>hS{hA7z3AT9RSqoKP&m_UQ} z@q&jwK+SjU5DTRnbckR_xe)G){Pom}Zxh(V~ z9zyA#eH?fhk!KN4qjptI?a=rG{iW+ zx0M}Q&y^Gt8t4?N3>cKh&u!;)q%UIFEL9o!wq!6PF7-EpJG-;A0x(_ItGjZ0S(|02 zXmwX^#$EZRzy51aulBM4&B~d(l5%utuZHZ3xGN~LtDB+`5VK*{|21vmSkg-XZr-oV z(EHV4s7@+I%hCwCD`vPy<^<3MklP=VJl%4ZL=ds+4-2Fdx+oyEY&*1RYA^%0c&R}SfyQt2@tD03IRt_5kmV5JRpvH| z&8482uHqFGZ!ah=J4Guf-V7-I{cqm=w$E`;)YKoNzo?Gr5k?}U1Bo^_i4F-0#K+A3 zcd0-;B@+`Urmefj4eRS^2!%o|D2Um2+*=q;Qkc=PvaJwi5EO02uJ1HJMfdp>BKoc+ zX=-W$4b7=#fHc2au~0{B8_u@FuF-j}-nDr2dBxN&{jkNysaDimV6w!pI5xbYJ^_Vz zh2<>H3Vdp)=+n~WpTpYp1sEtmn)vVv1?43RGOO3Pvg~w!WBNm&fQ6Q8W49YRpqZ z9J72Zv={tsQy|@XTk;z91;^E6KpxzIT>d|-Wp{(IXS&lfpl@EmiC)3jyQ}}vK5)tg z`1Xj7bL%+Q%VdX=E(w7*f2$$VmT|6^1An?)SKzyz&~i3fRgH2rB+-c#TPK6q-R~Lk9#|h!WpQzhW|tUiuZH za34>jSAGSW$!e~@O>^9X2SU0&BYVsIIWr_9BiuATvqZW!>Ss}YlVo~f&C;(p0??Uq z-?UxbUZL0aS;paB4m5gx++ zIB0AXg?5v~L-aW?ohGRh@^tZl`f+^fH1807j%nT@av9USLp(e1vC>zB#DDF-6+Qg4+t;Q?QoL{(LK#e#R1M$7OA&F~{4N?l`h}dyd5&>Q6 z4E4bG1UdeQW3b8uu3sh)90U0|XU9N91hLr=s_txa^%LmZtlY%2FW!HS-Tp$~Ao)_* zviA=Ei^f1BHqs)9Erad|)01t+^A@k<-a;?E7#Q`fiGI6mhh7k-KeP(LH;105dDO1*K$S1{mq~YVe}KAcGTMP14_s1z&rX02<0)P zP5cCQ0Eie^aTDE!9Rlc3K%Q6`Mn3@sa-3Al55szda>a_9m>3#g<~%SaS4!mYl@D_X z(N8!W(E}$j4k*8?_8$F&eY0iF0?Pr=v$3{}ZXCXACXi^^rVa(>`ONwW0>w%Jc;SG- z@zdcE%qdWpVW?nq?SuFShhbH^TO=o;b`ETN)e^prEfFtiVR$oI!gRgPP~Iy;usr-+ z8GeEwKK{t$A!X8ZmmF_^EzOKqA^4p5XBf9kQ7&&zZUypRsKuPf%=|Ktdn*6ZCAW7(4E5!5U)1_e{sx ztC;Zm#e|p3@zb??=B{U1;}t@mB7`nGMJvg^{1jb6vj5{3zVAQ$m*z|pKp ze_1oS$$tM-R6uO+a#fj(LdOF*VXgJJr^%Mm8n3>PSNTq+>EF2aliPv9|&`3 zQL2p~(SmK=Lisq9y@*d4Y$H7@5pQ}a1>4zB=i|U|w@o55HzX99VHhUg_Np;+Ln4tG z9-xBP@EvVRRoUl;^c2hx&1q17&!~K}Ym`HFLwX8k*dsQUc{>P=Iq)8#u^ZA;FvA0; z3u$DG7XJ9u!EkA0Obl_ZS+*PmV}u%?I~J*K-A3dIAPqX9R>0(&amo6*TTMUX`$9lG z0&{C0xa;l%cR9$V3w8~MZ*O(_vQxBz+|7X8*L>zrefe{NQEnjR5GIrn*Fm=MxY=mg zSC0ZL)pMnnj8RU8=qjFQU68P(o>7~kFTqe4Wm{3j*+jz)@|&fhFv_;#Zi^-}$kX;1 zFQ?e$D+;5W4Err>!f1$Y>4~GxyB0<{8FoEJmJqRz4V$Sl_v$MOqinmjKCgiIBu;Zf zVU%sxyNymu!BBQM1Lca!C?`v_&cVz%GRhF=C4O9ABKJ(qS=s~0>CIjwbp7VgmtXlT z!V;Piyw>O@|6WvICXiZ^&hoFi#_TLg=A+o3p`UfRGf`x!+K)&-ul4E_ zWj^Hdu{$9j%&h2)^y>SvBF=Zv{3P z>8)>i_RU{*->s;e|IAmt>Qz7d{%`uf*Lw9)zhbRdXYJTpuRh*sPuz){@+%AkR=jM46qy;Qu0Dr*6Leyi`yBt#$9?c?gBo4c3WGYd zEmGu?AV*8Ky2dMtwb0Uw^-C<>+Cj-()e1_t zHc?8~V-&nLl{s`Nlb)m(peUl04%KCx^giCJE^--%!ydVNF~s84hbg#>W{20MY72c} zD#L=yp#EK}%eJ_S7M6=L0t|I?2tQ1<3l63M=MNEso4JSK5>iiR1T^Y?C^32#p7{#$ z%oZMdtW3{t^1UJXZZK<)NC&KH^xL3DUnXE$)#%H)=Cz>9VO(e#gYhA=!W71BFX;N> z@A~-f|3**0Yo~tGn5Dk`cMpBn02!$x zAaz2h8BPvTCxp5of^-Gt;UINFs2NU*$$ z$Ec)9mukMrrQAw2#3h|0j^EYdro0mvcMe|IdPP^#W~y z&4$JGBe1!>+CqJCq|)T7x$`<5HRZ@c&gdN?H@4E zU`{DzXXu%lhL7r5+Z5OgVONjQpK4f652K-DGbpm=pi@fGjU`n)`-+auxM6f1F{-`W z!BXR3H*{=<;l791jE1`nnw~JSc0Wm{uz_;&+~rusy&@ ztxW@*6}NwpVwLt0z5s{YHtr9xZPBY^fS0^V0({i0q)1G&@}#r+HA;dq1N#q_%Z zuP=dLrDClgyM8&2UI_jmz=UuV6%5_)pUedjeUR%Im%gP`af+r^Hfo5@A&~oF8N6iH$jN)btOxC*Ysuz&uR!w1G23Rj}?EadBPEI+V`*rQ_k zcE$3Xh`V#!Dv{HTXXX^GSbj5D{A(2=9G2g}?C^$(SOL@yWnCpsMlA34O`5jN zP_r_OSUx$xJ7PI%t>HZoB~eo)VO2ohh~)?L_>kJ>F~f-E)6=^nOFTWjJ7PIX7q4l0 zx51k|PJyk!=O*y6@(%^<)1@=JxkKGq6)?vmsTy)S23ODco&{ zcljy0BzXRVpLze?pW%ReM%g4?o)PL^V~6dAMZel%yVgpH>z!s>p@Nw$un=YU&^!v@ zx?qWbo3?NVZV@w6UL*l(DXJ2hFRh3nS?sfkL{hg)O+$S}0yW-p}>skY#j*Gf7h4QH5?P>O-7tH{3N{x~5B?^PxUN zr6wcI5BvIA4azqw#hL-=&O08QLO;$2C$D==MJjm+)JSFQWwk7S=5PPp5B_@}^W?=o zdH9m@oP{(eqSwBY6d%Pt5C~PE6wwRK9(7bwcW4HJqyoLfT}H%*1-qCoB_2A(IwMGO ziWPV`B}fYCQe;tEBx@N&;?tyBpLF?S-{RY+O@PS}-7@7U(R8}{+(C#7fmMqSqyj6H z^VF7}E`=qOTG%@Rck}|QKe;fFdGBqv)tT6s8KM2U!g0p)(;+H`CDuGNsl8{gEKiUO z(?CcLRaWhXh!n^7zTHRy7}_zWpNoq_G_Tnb2}JQkQ1XNU9F=7}N68BscWxxe%4?qY zZXkhk8XV_+N?(R(%d+N(!LC(cCLYW%QEiTtyqvUV@*MHKIajBwm=-4|C#>D?sr#IX zow-x8QP2dkgE(kI{$bNCWur?ByS$l>mpq|~@0B+0S^2YB9}9_EWP+VR*hwxn2GqP- zV?Zr!qr)4JS}xp_9q$3<8yG~Jj;jHa-Nzkvlrn@J!k~D+Y=`&nu9~PS!%S&5?{fyA zsVEQG4)4eDMp1RMy~3|AzP}PxH{AC3SD9^$&&JVa1_7Jo!d6+@=@rKkr2E_IXFU}^ z>$zY0w2!u2p-_Mx5^RYP>$E)!K_Y&KYHFzYjZ7HWwwEL%L2eVT@uE|`iXK;9X? z0}U~5s)pR?XTjHiB1{ayP*m6){j6@&k}&6rShF&W7~Uc2t%hBn#%B647Jk;D?dX-z z&uTI1tMUsXhU=(K`N~JUr- zKWl%4FC+<#G4HAHv)Utzy6I<)cu&O{>YA;7p99%DywP}y_PZ8Jd#u?(bujSA;yHrK zkAg{j^CO!3($Csp)$uhSfkhHeXh|-;v03tp`Mi^WF(V4 z-npmje(-C=F$#Xcpx8=0rSJx5^bXOHfGbrvGO`^UiQ17uJ=PO-q;R~kJb;c=p(%uC zR2;u-hvV1ei1~H;^_U~{+GoV=Lb<3=<@c}!H`8(_^(NlS2x#@1R<9{>ziX!zjyivA zcIs{a?!WpyU-#uum_+bs;XBA!bUWZwhsNKvQ*H z+>o$rhM1_ymLt&UBkP|Uo9}H@CQ;c8A@--D9=pc4PW5qoMczKmus`-xIPe%Te6%`7 zVzL>MMS<5uF~3dx_7w@qX1MPuo|X^8-Yj%+%y1EWMsT|S5W4s3ABhLROCxG@qSXC| ziA=zg@!&m&_pnGp1wI?^M`pVpdvrcv`WfFF^ag{Bj8-|MujvXWR7oy+RPZ|y#@jat z_lHruSwg{m!zNXM1WUq9wlqozr-%B@a8|G;5yvbKxn}{Y9n_@?Ylh4ADvd(t z7#r3zR%?dq_6jen^aP!Rpbo7p!-abVzKo@j_(a&d`rNkn%dehOef69k+SP++!&(Np zdQNuroMx|{Q@(oe?8dL2H6^H`nj=cDTb!-~P8K{~R4UtMgIB>!(r4wX!%ghT{M&nteABl1{EP2; z@#^^(UVQFd&%Jo(Ef1c5zU=&K75BI&B4cU44>vRs$(&tITaBeci)5&7KwX*-#`baU zt!xO3!_z}#`x~t#$-As3-Z8`GuN5h_i5irN#2gP)ygpy`h0_Z!y>NQ)W;rOy^Rh#- ze5AAf;RdaH@ImAQEIuog!!9{1<97Lg$G%#=Mfy7H7pwW|WoLzNO?`-XI=KNYs(ib7 zmoLAw!luJt`3cYbkx%qlx{90wx3t-_uOD2#TsmqHTe+o(8$p@CP&y!#s2$*47J?fB z!*-JzANYy^-R~ZeZ_?6Ha8IVe4=%+A zw&oFEr4|DnQI7$9Lq7&x-Jsp^R^-~kl zav>Qg=4qKH;Dy=k45yM53_Rx)S+7nQQ=WwrGDFl=PMG?rhWw8_MmJr{jypwHI`;&& zS8sdgJKgFm@Hyyz|KllD0cyDkLWZs>13AS-}Igy9r%cNRLFBx~0Cg`ER0y+~B z`?ovk>3eR%VB!E^n5N@KLva$)iU+dULULhxAK9>IC{98$#6qca;mEGVNw5_+4I5Da zez%97AV7rFv1eiw1H!=lLodjEQY7C+}3CR-emUluI zj?F<-ipJL5L)pd8)5G0TiM5{`brO>0ala=)1WRJROBds!w zyEB&~yCqW!B&YPm#Rx8k`y|Hth((b-vt8Pw?piq~d0tq{`!CJ4jkq9H6%bgQnJS*D ze+4l3vi=p1%j2N)V-yY1g(!FkOerXM2-$Yx0XzhFE9Wt&vbrSKx8;vIEQcGna}lq7 zMra>2l7XYQ5n2P^X3n)CX)Mg_rJb_Ug?$E7RtKdFy>;MDM$OU8&9xW%E;~i5gK{&) zzMu2(_!IA)EA~CM92QIg5jrf@Wv`+v%%!6l;wnR- zpVNxyf2G|upyo+RBVSQ?)?|2WnN#D6kV4m0!Mw5MT|=uZvY-Rfa0=+YA{`VrM3JvJ zC>`=R)iKJwEbSV8&Q95ZzS}7D`ikP9*pkpLrNkZ*cbc0CmdqiU<=)zKAw`6wVfjsp zgJPBk;Jr$HFe0J+Jd5F6KvH$UBZw}q0+J10yK^^=`J(34>df?+`Fbb)xkKc;Sp>7~RVm?0Pj?bv+S z65L8yi2ywb`4ONI=I0Sd1IWKwfKGG>od_%Z@lPEA8Z< z*m@gEV3ahKF2}5UAV6HF8RVa(Qiw_q0*Bt?}{K=fiy2O_G%8 zF5Opf4?uR7eFWJ$FR3*@!T3XaM0b+93D+w3;IBH!6)H*a&14$V<-Ga{tDnHskVz_* zCxbM-kh2By#{4UP1!Nk2^fTW3cYe!UApc?NCu~|q{P2!OCLBgTVLIq0Uzt($F@kP^ ztP4=pkklR>;v6(nzJBZ_!_(?DTTPB;M4##AC6N06{TbJq4z|~cgqLYPmEp zv4oQbKS7D1lgPr-^;#x^*i32*V2GNOS_T(IW5?Z|LVU!M-4@}wTgyhDn zsZpixTC%}u*ZsEDIZ7yAY3gH?WUv{6(nn~#8!cXmn!cbt7#6P(MAL!XJ)$kA2mmm< zf(=H_R#afv6BKw!f48eYcA@Dz?)pyaTpG6YEWe;wQE~UU>#Qp^Qv8MGY`9Ap6FA&@ zG<&|9)Bw~T1t#mx8jg|ja?OVO%hfYEjM!1b_F|8^+?j?M)na^4sE9GI*B;lQgs)@n zzUZGZsFM|%#_?FFGT$U27T{U9aLrxsF+fe~DR47DW_v5u6)0J?%yuE~E(L8dJ8i$N zHU7Q5@o%~T&YYqZx^D)$U;8J1bgLg#^W+e(FiFfrHu_8mIwXgy=E)n#+{AFV z19g0!Coh^&m-MQ3;7sd-FgtMM6Pr<6t4`l_!IP_yi>x*WOO(4V64@wp1qX}|vjZDk z8OB65qF$|JMY9e_?#dNo{EKfz6WIq$ohl740Z#$1oJ}^wvPXG!f+ViM>+Gf=U?J?X zJ=mk}X^b28MRk?gRtqEfWBibdX-1fHTU4cqTM}qe9rxh!DdZj2uGN-521rVBCCk6? z?VkRe)G4^7qMB($UO?G>WcDE zq>dO8DlLtShjDBSczqO7)24Qn9>WwJj1XhM z%bI+IrzrY@@~mDl2E6E$jiNBwHIm_intqHi;Duk$5E@}Vo|PddvbJQ6Ei{HaEDe&} z90uWg5%BJ@OUYRGeS^ri3Zg>`0Ti*>=h>kRXLXpWPq*(9-yptAeDWQ>)!H(io^oh|N;VBQC_6T3(CT%9wvxOX~Z!vEplJ&BVpiDq{QQU-P!@pK=MBwp+B& zIyGNJS8FusW;BXklf~>~cGRYmkPWx!G$MxJkrh|Hfg@4K4AC5$5i{c3BRV+>wzwgk z1TzGMty6_NG?!KYc0)P|X1IrFN_h6Jv#8z;=_HsT+!zqj`e6pUrjw8jA+FNijrjbE zl)fUJ1T)+p_UunZ!B(F%;%+ETf?1+h?>6>QgE!Iry4qMog&V5>GCv7jye#Ad=}0{_z}=U$za z?UmhGXHLqkC73Jp^3yu>LNEWAI&}1)+>BPB5lz{k z(k1BFs`3jNgQZQSzT>2@kN=E|=gJ%b%tjI0_U^^z-1~gaf$N>kIv8YItY(k!q{XdE z*AkjSay~dIs!g95o%9kdC1tIb=hYFa2;tF?E5g_|x=X<_T*z04?GaUxbW~B8tL}NQ z3|I0MI##mN1KiJ%VW``1DPQdmD67ylNf1_h57+WlkD=?Z#1Hd`{#LbRxR|l`ExWGb zvm-jF(_~s|o<4gIKUExRtT{;f>1fSl2|CMax8NOnY!a@d%gY~RwX z`ze>qY3gd0)|uu5-anncn%Oo}m$R$+wCrj=OFniv4>Akn zbv!2qr3ADQu@@vS&5%SxOM+`z_RW87hQ^PqEjV)EB^gytIF{GHT=QG<#4=Rd;@w} z7Cju$FtUCFuq!KFS{!d2e1q1NEnfG*H83dNFWce$yQ?<#kU$5@w)p%lx$SVkvK`(p zy*K>>x7(|>5bm_R>&5rWT`(Vi)!Gv+Fj~{%?9nZAf7K#Nm8>I$JFVQrEGLPrY>b>F zH_e!va-~{6^Ij`oyloaLpWMwdlW(r{p#z=o_Sw+%sdILD!7&=K@Xd82^`V?)5ow)y zMBTt!Cke#0wPrqhlV^otz}i|fG(2T%&Hv-|ANst%;94GydCiAU5ioS72ez#QJ=pKj z(Vh2k=bs{_g?W2S0yUK8*Hvw;ETXdwlP8lvFMe^fj=MU*9g@zviuaU-vCw6%aP5RY1 zN*Czq2)z<;&s}X6O{%^2h84?B(MrJG3<3AifAU8^<9P>fP2JWtG!U3l*Mm48%^mB4 z4uZEyW+sbm`1{U=I!O#GwiMYALDNg%LI~bw*rSoOk|u}EKn6qbHbacZ00BaV0fRqE zZOa>8Ptw8+0d-HUhUNew6JI1mJ*aV2YQ;ZxAt^#elOuv@xlI(1Sw1=>8y*T+CkoE~ zXODuW=L=&h&=hOSlvS^BMcoq$Uu^mKDE7L-Y-tSN7vLB{BY^_$jy$3TAqX^RP(9XE z0dI(PB^?@K%|WXORJ|7DgtSH|-w@#ttkpJ>6X4Lc1vw$KR(!vZwmG=f4wT`5rD0<@ zV4<+W`;+E2Pd~%)Msfn&7}%Nk{wg?^@x~sUE900CN538mf$w1xH8z(6Zn{WUzzqV? zTh<|J*(q89_htb1uYTwEeEZLSRL*#*_cMr=A)=8Wm_wgx1xOJs?^-R9>E`4)Xpkg2 zo;i8WvBhv2jcwaBq%3)8K;{@Nqt~N0Chk!BQffLBaMRl$v_+CaR@~ZX3turt%edF^ zQ&V};NHc2Z2$o`A#+INi^DYH5OsH;_w@xSeOP+gwFn z7s33t;I+k-2+)DM`8G1ofUbhLA7CSWwEz`>wg@%E+G3o6I86s=HqGf?+M z@B4v|_!);f^*aW!Web_%lGyUF+mS&=M1%lN@x~&eH@v=xEsv;&6hl^*OvIK)rkDv# zW4#YzY`G6ZijpMKcO7F(#CQBK65pW~t_*8=k4tYOalB22yK1iKB~WKzQ$J3FoPd`C zhJz%?_wq0N8l6yUHFNz}6@1EO_YN-tK-U6vx}S>2lpQ6I8}!rnqmXAS(($Ue?IGQ=+bA_Pt6u*p zr3;sxq7~_G2I>Ct|NAXp@{OJ@)cD#$I^Ehr;)5zL3F)?NY6Fm70)^=8B@8zkHppXM z5lUx)8#g4<*@~$i4~ESKq8}IBkT`X%4|jcF|8y8aYaNRVY3S@F$TCcILjVS(Lk2Q3 ztTZIj*{*vO*K1y}?b4(gKStiu%n<7kjhWJf+_*YM*ZN?%$sPm$6l9y!gWp#S#fA7Z z_}pUI_mqxP%o;3R>w}N8k-q*2JfZH6rFi@}LF+@ymgSdX2}ySRDwjr{0)|=ZBU_K! zc+XK%aI{r1&*H{=wL1{Eed+0E^T=G0r%d$i3-*#%U}QgQx)XHiC3Gh;d7w7~T?!dB zu+wF`B7+Y*R9SrHH<9QoM!z8uc~NHYMuk52DCJ?htV@T(r7M4pLT_&ry6hCK*nBhC z{KtO7-~6vX$qWCr!iD#L>Ht!`3vN?shWlZIHnwv6w^A6zW;4X?=X~=$Ne^jp4f?`1 zScuAAG~cUNP&?|roHE1nk>K7yYL%wq&U8JNci96Cx@-+&clmcfXn(sui@U9#yVdkF zzHd5&WAhP~TD8+!s}n!Qh|Pdxwz?w?zbRVxam50g z(Kjwt&h!JmK4LT0L1m3P8mW7U@48^uy{&P3tZ=l(W@IOjr+`pwjE662p7mhtQy zF(XIBXK13Ah5(1-jHd@}h!bPqw3TNti}!-$+KES=W?8gsO;qPbEEXJ30l6Tt{6i`K zQ#&&twh&3{UPBsP{&5KZ;C?H#m%XvIUiE+3oX7rJyDa2B$Rpeud1P(vIuLdp2)nkv zfBuCRpL^GHFWxD?32P!k!rBsMGwFww+rAlY`_*6jxj*z5U5D-Ql!s`bQJW*Cww`G) z-eAfDG#a)oB67BavSD$$Yc|vO_?evuky&M+jpXq$iq2^0>a=W#6*pb# zdf_X2{0zr@%kB_>#-ZVCY)G&Ypc>_Z<&`r%BE|x-vH#5hnC_#B{tQE9L0#cY?B~1W zdxi{fK(PL*Xw`rpU=h*@FAzeax|sxG#-eYb^iC-4v7X0F2*KJY;F4AiA%v8vJn{Wi z2v+Bk!Czn0ngYig`!-Usc8LH@d@BDm(j?M5Lx?)=nB50z(8C9!Q z9@Wtc+F>t6ZTngY?dXNuM7Kq=1)*RYv|osV;D}xb3n1neC3N3oB1@HUb@W2gv)d72 zL0Um~1tHP-%q*nH(rW_Y>L7(%u)pm=TT+i1M)vn`#FTdl6$e}?INg|;Jv40H$e>c@ zn#Yeli=2X6%BmV#)sJFwE`=(nrl^}bL8A^={u$X+`Nxw>pRVCwMm$iiC4NId&C42c zLtf2ybo^<+@2WME2=0SBz~5z{P^FFbqXKB?IK1{Uyls=uQp9lvmSUv%H0sBQe5re} zKE(|&gJ5wny*vT*-Jkx`_un@MpqL|?<_qiqkrmuU08Qh^UK#1r$y{dyP|jJ^ge;WM zbxBqUpp&W2C~Zp9UIDcz2ClVVMR5{l$Tg}GL$8mz&P#%>^b5OtJRCTej%zk3HoFME ziPeJ7Iq;ROr&Plv`)@(J<|YfiO-+rgH1hR6@-FZG%=$b(=%PqL;L! zVEQu7VAx*T=CB?*fi{OlEG|P9A{O&U?BI)RY0-O%#Zi}}QzcaQ<@D?EU>FlA9=$K| ziskojuS|Kx@~0ULfABkh&xek4SdO5OQ%ofoMvK7+0#%{0w$}$*w3v!s8OC53HA?JN zNJIQphEC-&81C3lkwPCS3oc^ZA{agppOyr}P%eoeXe`DpgJIl$WW|xFIbu0Vock2w zwyAA%x~M@n7g&y7!<}YWchnliFY-Er;TR-4y*nESyXk^tsKDu{qKL1Y_=@w!W6u(V zuHo<+4l5rBealNPoF2USYJ=zrKO2TnRSs|%FOg>yYj92$c4aSYCf4E`@&KR2Tjuvp z&hfcrorYHkeVP#Zhkx=ne)N029vA}xsqVn7pV?5|LN;`Z5rfQzBJ?5!%SQZSXoJAb zFe!?FwcDMH?LG!WLTEN@sHPQAZjkNd1UFxi5Nd`8j_x9kp;w2@E{ukRP&3?b8l7D| zwrgfX%@8^v&N#%1TZoIQT@ymhu48(gx^6fwyPdVs%qLueoxCPke06cgEaM46YiX*u5tcRmcJM95GXx4%n@0D$ zEvWx^xy?En(R-K)*wqP8{gphQ8VBx9fOrU#DlD-mB+c=t_*{4G14bVf*7E*?wZzob zY|D=$xgd7WH&?{AA;_wNat*RW6hG(?Qbo~>!Y_)VrD;bH70YDAxI_N3V-#LyvANu3^%E5hZ~A7ZiXn~kw_H`yYL9!W$F#DryHJkz1eWrpyDBPQ)a?f6!zLIv2V-} z6w5vp@&-$W-e$Q+*e~=xdR;-X&G0i=GW5=t_!PpOqq#_uY6r`Bc5-`>`Bq%ptqy(Q zpy9Fs+HCMEVWp1c^D(>f&tdhE`33TF>WDQGhVB9pE{Go@U)c`YGugiB=2{l#4m|>5 zVljYdE#jSywUkI8sdekbd?Mrn1kjt*N!tOia1m?{ zAUuFX>AGQb5sp)BJ)?`TpK9w_xCoOyIH~qdM{*YtP;z)sc~wF$QsL1>!0bU#*l;G$ zAa&sJsIb>O8IalPB5c|uoc9kd?7ZzAUCZg0o$2ctJvcC^=lXg+nv0M*fzazi{DK7b zY{Y5)f;|e@=MlgaRUj`;cnX!dY}&$Ok7M>@|Koduvdy?~dqgn1`UuzG=tI4LIUiwl z3h2nZB2xD0mbE$sL72$$Fbn!oE9S(_oh8)HB~HO#{)W%pew?e`^ki7dKBLLDNvby_ zTgyJpWee}a;kc6oJA(H?gN=wf=$;K0fLITmSdEU6H#lIALY*HDJGApG=|+Ab+~-fS_W<*MBw92P$^i{aE+^i7gRp|(p#Z(Po(FT3ro;qvlW zYz>#sVE9n0$PoXw7f1iKum7a4dd`ti6ZeLUrdUe)FWkSj$27emy@Wh0Ia@P?S_-HH zz=O0*nlDEE7m?8nkt(Jkg<{=6QAT7mL&(ZmH^p58@d{y+w)QZ?tP?x-$AS8b$Y_Qr zfYOi^ayph<7s@;$qZ#h^=m^bYghCC+P#Q)t8@?4p^7z!~pqa$&X^mRUcHH0wr1 z3}yX)`6yUo758J$b-7vHxU9(cAWAj7wdm9y5v2;gx{yiXG{Dc6mtVx&x)%s~i4@AI zx__l?2kQ56@$zx&x1{%pe_+7rMZ>6+NO8QCug95eX_k38-ll8x%q?=wVBdla_Oes7 z($mXbqDvytcfavB{_f2a0EAusF^}V)qT~BaDkdr)Nz5D z8-yT&acCY^gJDEXOm4=Z5eyIQuEybrnmv?P5~c1jT2TXJ|1c3X_h|hA>X^yW%`gEQ zle%a?k}M&sF4bJK_$shDqo)#^GeKwteu8@`{2Y5}qHtOFawhhawPf+Na2e9*O9vt2 zK3DYNycK=CmffOPu6Ow@dgXf0xMVL+uJ<2(#s@zC|8i-R5&;9Q7hR~vkv9wq$b2;}R%7pJEO zIY7(NvgzXd>^5w(O`aPB1=fr;?V^me* zYeqUg&%fv!8}}U6jOPfd{$8Z;k5CLPUPJa@a(F(>SF3vwN}&^1ApcKg(eAN`tMN#= zYkB7}O?77aT6diM8riU}EV99YPIlO5yPN5vu=FG3dnR>Yb;B|A2b&?B>)K{8)I~;n zW?+&Eqyxi!X>BvSlGvSmhuY>Mqb=3RAtfN&&hR(V5iRWh$d0=qr2vB>r6Ak>{zMh@ zn4sQL{#|6WU;9iWy$g&(-M+Rnl?A0%0>j0I7EE+C+ebj|5LaKJ_rw9`Uu`b`6{*I&{NSd*K6NwiVHx zzQr)tUid(0$$|!Q;#-X5(0S|3zD2XszUn$Hy8OJ2b_q{grrvjy;R!@QL`OY%(*;q8NaqBrQK3)}tx-2N&eJy0N%dppJs) zCU`XYh*Hi%-Huc6CFEVX8%w>KWihzUbWUHY(E=ZmUzjCWSv36=BcAty1NR*sQdh$Ux}V)>%vY&tg*b4L@o z5$v5lg`IFT!$}_>JH;`(dM2-1)CxX!pBV$F6>b z)vpT)dKKEwZY&(QZWA>gIQR&CmbpH@Q=x z9-cWB77O1*m)UL$5d3g?IlprAHtM;9IGb{Y+N2g;xN zcp$&e+(sweW};yvDqcQaW?PWNk}feXDScMDG?A!4d!f{$ez(PUP>~;zs6d>j!&7_A zo2m17WwHhXStS89+hrEz3*^Pv91Ye>}1|S0;JTxW^J8fL=$J7Oa)TB7 zgs1cp49QuTAt;L@0&ymQ74ViN_!$fXC*Rh8-vs#f(ff+6y6nmH)|4PM~EeS3_ zo9^y0??y$Ievpy~G0P79hony)ItiwN7qgs=+a>tEAi-ckygjC1y<+s`zi}vgNC`wG zfxj(#0+v~@9oS=7;Y=E#Tr7wk6B;9Tl!NrOoxo+%iJNIBkgn317;i1 zpcxGvaoN`lBV1^Ra)<&@Xy}Lw${wBcMEBTrECO-FrJ*A(ab&w9Q>~;p?=rAbn2knppz-)ceCem0IrDbEiB;ksg?3cW5|^4omKj z&^1<;lpdHRRs`$Il>QO@4{A%Q&ax%C;o_@=CEDw$pfJ6J!<4(KS*H95*KAW+X0R+z z&p`+KbsK08>b=MFgVGO{v+Qwc?2?!wtXZ5OxI(bR34;1lGY`Qso*~E@*q#BFXac3e z2eX{@HZsbmI){Ol!O-3w#XXtQJ&LC>)xby$rWFmgGkesX!pq=4*#F2=ped0uBRSE2Vo?CkZF1k-itbq%XO0J zB43@A+uOxq*(q9`m78%^KH{18f5rRe=mGc(@KGeWzbO!DKo9KOq*U0on+UNqbTr%o zE|DwOs2`RA4QqM;x%D&;7d>(7fZDNe%R*M23hT3W^vdFj4uueuVJ4n{=Dyv7P;iHV zhVHCj?7h}rK+@1Q&_R}l?ySJD?b7hrzC+O{?^M3);H=pgK>R;2?l_U`I`v=6{Pk_M>T0E@CQ;Godn z0S9Y20pudnMG{gp5sM3s;@-VOl;m=_t-hswtt1SX+ogTLkQfp^^Y<5wlE z`vLr&hBSawWIQUCZ&xh8?N~l{idHPY87%(|-S7K^zgrUzHP!!NiscRS8idn!2Rh|E zmWSlQhFD&tBT6h+E`v+5OtP|c;sO2vMi~m70M{h-A`bq66Av>kM}g(jK1X!5`a{zQ z-P7+kNXb;*i4zZV-br+}P#MBb7WnK9rYO{(J$hT+0mZfI$DjjPZ5iDyHfn|?(l%|A z<`sr-Df(O829>F{X8FxOI+>v^g*qS)+az$DFVGN2qZB;KzozpjVp0@o=EPR{{$*#6;8IRg2^uTR9c{s+JJ z%fJ2AuX+`xcoJR@`vdw%nSY~O_}%S#f-RzU%&AwvNiQLAmTpY)HiT0>!gj$M{8AM~ z+>ij8S3Cml#1I`LYD40u8TR{@J)=-g3W2$zqOVB!%!U{>oY)WaRTfZmL!ze{9vU`t z5VwWhwdiBGA;HrOyB)G03djfR5T>7VS!i!DG|}h&!-wA2ApPS^XGneZq+$L2hfw}k zv30eX?2Xy4UNywS0T=+ zaONc@lnYfJ>D#_SBT!V$@U&nYg*u6TTC|0RtqD&BjuM<2)Y2;D5-jQZFz8YD4rTl1Smwy+Usl^aK-!j(tSp zg|^70vhyRS=2Txlr>XH4_fK~HoR(cbr_8s3zu!D zrkeH&*x>I+k1zZ>qvL|BF)iO;?}3`vx?*{3b%C-Ok)*M&U>Q7c_M z^YgN0UZ^nin%%``g^b&hvoda%zoN0PmTyVT$@s09~9?#Q>Bclq)= zE1&tQSH0@j|Jb)Z6DN2H=ng#92HIEkRM8W)z}(VP-5-vWqrjzYw#acsaMr9^@(jS7 zY*OEGh&+_#a&IHRJ^cZlC<2LWYRm41g{Qhda_R}Sv`Af5yN;gfetYC>EF2?lHnpP@ zJ=Go^(cyN(5M5pC4-?!m-eYywJ-8;UDEa>}cMLViN4idA;x-^>on!OtyAH(wJ`{|C(@=lbbVE9$PuWTxrV5;U0Bw!!BUHl&)f( zz5M_FjO$GK$Lj>ITQb2b`SbY}F6e9M;Gnv_dfPkS={I^o8?%a(f+NUzKyo-Lp?(|# zGA<`D7(deS_hw*DXprAKi3H~{c*&sRYnR-n+lYVpqo;_7_cTsX0BM8hGeo{cGRw5&wy+SoCBJ@pjM2&p;l;_ccUCW(c5&Hj;w32b&$4!{*2g zrCt_T5!#cQYbx*-5)DNtNKWdIJ>o5RA)U9iKd}FJ3H1fuZlFXa>W8~F;NsNG8o#j5N8T+sWuh7-6u)1@4LX;ecvMzp(VP=mtWBJ;q5sj z6#P@bp2l1SN=^OD!LEUQd~`saewN_Yempg+unx?8-A%x)N5i60fd{G4Ft&D0R+tu{ zREc;IUo%~2Cs9dx1KDW$H16{n6*Enp17yEf7l<^x&udtm-z$Mx{*)^eGXZlQ-qI@_ ziof{Z_|ISa$6bV?9YM&T3)wB)Hb`$xserhf8EAsgk5B`{*?}fIQr!y%njAOKJ`&t9 zrjuSkY*ZysoQ|N6!q&%ITMjAk8l+vE!RUelUxS3EKbk{)wbL_ zrnXVo!i;a%epWT?^hpXlR7D6!g-|qqlhn* zRWL_y#ASA0kfLGTbzPy0cAq->qQfBXC_)`n=z?~am>mV6eJrD)ArUaG&xE2X z0Z{)b=x;?Ln`QbC0hUqLyMUppiS-!ZO#e^dr~oZn-yS2x@qc6M=_&?lZbAFNcc%ax zC*5wq{Z$-J1^;P_+^v^Ai0c({vyf$A)U+pqF&hKkNU*1~RNBW}FccW&$j;r>wiw1G z5{>wNa!MijTZ<2*Y$NWUmq2_!x5N8!yr>GwWgaMF*%tMkwRl0c{rz-VeM`TboIl1U zYF-{y1sN#DB5Yj)>@p$xRJiVRQ#r#d*ePP5`!xKES9*Z``~LmSC$3cMNRd8}0)vn2$K-#+Yf`3X)| z|0WdTJh@!{pZP=I_rbs9x%_l8QUW2lo_Ep1LAzps%SL9M+;4gbhK#zh;SmkC7@Qx_ zP@!%lMTXi8yDfSok#oo3?=)`GS7h8}hL8m4z=aN#7AhlfL-NyRctp1cVY0EJjQftq z7=!a}(`x2@Y(@8PurfY0k{sxDb7qM-zdzHJ&XNyCHq?*E{v&*k(sYL7({w;Ji<5N3 zCGk75?Fi9B{4b*}1AhR6f*C8PTVe#nYoC#HzxS8Iw~H&%8cM+!gU?rb;uSb}Gu>-e_wDC^f#q_vtP7%hJJ#s=_4+pi7!p|G=OAwr~2T zxgHDqX1`AbY4D&`Pn8Aog_2$)K@rHO{In~@qmmw~TvTp}D$m+syJ4ZEN4&4vbM%s~ z?dFyxEcDrf5Dxe&7I7SJYS z^uY~fmxX=bvbX|e2CY;$oGmlB+M`3d$R%*f$;gzJ-T`ELg+f9O8^M~Ga5b=G(3wuu z)d7SEYA$gOp{%H{ilWN`Z$rWd?a_jxu6!)IEMTq{yQ4}`A^L!p6&4bd+TQye6d-a< zVg!3xfwKGxI@uL;vMVU|TPUue(=}Z|rFaZ_{Ag7fYK| zVQs8X_s%%~+5j?rExC=%q_J{aDmFFr5+&WAOqqLOO&6hJ&IJ zaZl5VDVYL47Ngkfq7iXVli{&xw9pe}E9hfYewbKYlFM6h!@{R34JA301mt(oyoz1g z;a8zI=b#otJg~g;9b~0q$)b#EP4U3YvXge@;Q28FeX#dFtq24U3Zas?D(EQG_1$m{{mM!IvTO4+KgMS4nzaAtFbQS(Sp76JBIjSv0gG{R7 z4>fp~gC3#iF5^Vb!fG=-TDvfoD68|}h7w-O`uz3oG?8||&|mdO+)x5y(1%BP}>;f8Y0OonL0%IJWGEu50lP|94*@IaL` zcfIRU{?JzxhdLkRR@$jy$?YzQpv`jDX`v?p_R3s=_$V(W7vmvR$+C?+u|B$Yeb#B9 z;VCUpdMNS=#`fxC^sD0m)X&fEsCt3#3t3|O;oBqR&!~Pb)Wjl(4yYyR*?>B#k9L@1 zxpo1wgRy*a5&2lUT0RY8r+`^0caA$OVfL}*(>UHX%C8}xE?^dpTLH7O?Jz5v`>M1FJay+)UvBEsHUf4!`~%Owh_ANiCY_#fZn zFshMg%}Y7mgup)KLo$^U;(F*%qtd_{{{f!|V~xviXel%c+}6d# zH8hG*rQs5A-6(4y&&%Xe1)9Dowr6!*+_Ua&+5^y4W^0r1Ws?vB{Kz9ZQ?26W>l8QN zWAzby$csnBrAvPW=a7-OZAl}&$;_Oh6`XHo1n&Jm`xQU`v2))eWoyVE&|nk%nMHEoX#WrRfYE}&4l59-ezfd9`NV7~h1fufo z7)g5%Vfl`g={A!}qFC?39bTodve+yL-nA+BIv?Zo!b>ll9=tiK0@tS8#!QU%B;9UE z{eJeBe9Jd~nK$KD0>PScCprO>B7~>~X*5UuB5p!F2tz0mHFKNR07o3D-y|L zOtVyh2N={uu*q#|k~^@}-Gdlc))_rVY970we!G3oR@?Y~cIXvS9i%7)(jM+21D=|ZqcwQ_ zxs~6u5013H1nVHb(+n^_OkqZC&BOi((SdT=sT?I(#?x~^<7`CXWW6_sns67TfUT*C zcUo?Fz$HH}G^<&HK-bZz6c>~y=+R>99NMNteLb7qybOC3-(jO9_Fx>XyjYXp^3O9P zMa9=>;cLi~edTl%`{awd6rN0bI(%Q?!bigGikeocIeG-VG6NzHT5+J2$3?9;WEh6K zAjILqg^^|&afhV~gX$Bs(+KYe`&@AGGvz86;|V7rydME_F%}aBdPcG*k&8wE<5MYBZ1EWW44aJI2cct{7j_B{NvN0VT;7{hwD_XWv6Hj#&0GV z|K4By$xr<*_d2w&+n93Q!o2n^=S7mP#!&6p$&2m@nQ}ASgSLg&L8g3DbgH5u??z^r zvc51x$1eq|^b!o|b(kThSD^F)&=0ygC7j)mUWXe3g~hN%3od~_H{^}T48f$MJVcn~ zF3r_+L*9e3p*jQAI}J;bo;Rt$%R6rM%)X2iWnl0$iZY5&ob5rms^-y!!b^@htvsUsc__jn)%+fUo9_}n9NzxBubyse0?qJy|THTeK zaaaEB?|9F5yf5Sqr25B55-W*ZJh==pHKB8Uav9*T6-on@o>CAQOGYKJpDHIIIHMsw zB^l6pzP6O1jbPkHeTKLq!O%WTw{KY1$`u*4m({Agr)9&bL0@!N%rLtaxO-yQssvVg z`0%~7;3Rz-)Rs<61mi8w*2Hjc(oH~sv58<(PLb9;qKT<|Lum40gTQ_7BD*j&onw?F zP1~)T)3$Bfwx?~|wvB1qwtL#PZQGu<-F@nL*LVKpip?+LLgsAq^+7 z@&}GHK|FyDJuNDK(V-r}G^DWtKC4csz?_a#$|x7KrKK4j#FE9GbA$A%F4l!|_>?df z9v3z0Kc9`>0NWErZ_@^E>OZeMKkfET|AgelV~!p*OM%q&Es{H)9W?3k0I@(6`(mU!+GET8Q73{D``Zl5wJgAd^J8Aq6g@ z@#tv7KC&l1SA-w72oXGVLTbf@0;Agi91w~KNviw@#NDL~;P9Bw27ZtGNb08T1A+9k zpM!b|ksRNqaH*vD4grw8S!|q!n?pLc;IE(7_3=SmYV}&AG}pT+;>IZ;5pIqfdq9F0 zcpw%f2k~I`KOsIe;f57WJ|{T|-trKe$I(dQQ;F(8#OsNROHvmfpf`X9O@)6RTx|}k ztyn3<)O)g45^ZCsy_mSl<5wfmZxhSu%07se1l#pMpn_8k{uAg?4_&oB&TERBxJi+# zYaMk=O@BO$CIP}i3a;VuDcfUQA91zzZ-vsdt#Qox2RMX#9*;VmJ{rcJ8xVp ztAcaMh?<+4-lgRy(g-H}>n15i?O-QweZe^Hf1vst z8PFwSDG$X9k0j=pK7wYE=5p~ta{qj!_Tw~J2q{)LKnFy+fUQ;=5iKGd7!0Zk7(n2o zA-Y%RP}Qka!ZR1`+>8vvAwp~8e`KqF3lqCV&B$a#G%kCM4=jt!RrKsl*q=n;sq{po zCgDo>26DR$J!TmbvgJ99-Z%`!kxrG03TZcn6O2`OVN%&*-YY3&$3g0pWq80^UM$L} zgN58aeH%{gU9ek!p~nW)Q%K6c7qi2^%_>7{92mPj^*t) z@zoS=p^;T4qg{6%TZA>dOyDX+61VOj#sNO|iU|^5xxbQIvw2$F7;_FGs)W~BhHBg{ zbiI-`|Rnpw**$1?*0uLEby=2n;% z1cg4qKHgvgy~00i&|pe8mh6A$C<%72*&cJ{1aet8&ejTSt93M11Wz{R{Q$FR(dRMz zIvJnewa@M7cb|acTR?6!_LY4=-p!sM;?-zqibRt{NX)f!$X2Y=GnAhn=x=RU#f$uY zyN(8+cBCr`WzhdSF!k)`cjDhkLN|?`ad2XOvMRj7eS(O;ou`*A!hvtdpWqbhEvyWw z$L1K_g#C)is=86))My-PdwHmUNVlG0S6c&V%Fp`?q;a~H1f7$6Kn^)Nm z>@yK2GZ#mNdRfY8f0@BGyY4Bw?v7P17hDRVj!$Nc@CU|CfW#9^|Df*sS86#8Q9r$*!o35e@W+?;HZ@-bx6Ep*jWTy}(=TGNm@LTy35DiN(Xhn)Y z_G(UV93?liU>PA5hyR3P0Oz;h>s^k-)gd(IVzEfn0K|+liH&tpERonknAaZywV0yX zE_9irQU-4N^*$&XEoNWw8-{>=?uCwjiWVRGy`rm@SM}PT5+Qlt>mNEU0265RQa>FQ z7)TVrG zLQc2-LGQDZz3IK(qWP5FY8SA3p_XatZU{M%`DYkoZBJhFRV?r>!GC(QI{X>Pi1hnf zSTwBiOYmdlaD(pX&K-5f5QER;M}%@ra{W*XGsvSfblR-0aI|?&lsjH<6ios(n%8^E zl8Ofsme@ba?46iqQrG)FJyhkrz|dRf5RWhOr45~{{ZL#Lo@jN$eY%Y(39PX$a>6Mq;2W3bQwk5h94Bt%hX0hSe zaiL)}nqZbY+?1D(GEf0KJXJx9k~S^$5qXAT+DSXvJ`TD3bc7e-`1q!peJ7{vtG$~y zi6o-Le=~}2qH;Tf*}oZc7p3wW{W7f5zuJKI{ST1Ft5F8JVngMg-0ZoLR#$zNl0^iEU#e>8 zyG|1sF zd-*jOD$)+zZ5;egjc2lyx7>i%T>P4Y!99j4J$3-Z7S(x}?@pMD@3T5bjXc?6RnsQ0 zN1;azRJP??@@S4}6Bjg0GM1O%!&oQ3<0#(9Sz|@)|IES9-F87O64a|b=O^Z;^T|bm zz|=R2__i45EcQLxn%oVr@{RekjQz0OSo7bTnLnP7b4Gm<29fozyR7UH56#q#|LTRL z^Yy+2rgK3GXCl)OKgzoViyqsRcLn*srocKBW`chMN}cF;g<78x$bbuj!G7x19BBn* zH%8Fi8ECQz$~+&idagPh z@Fz~k_cn!xy;0u|KR40$H zxhy3phh>bSBZP%h{!2ZXDZ~HbMgqhz)R;oxHv9n*5B^5t;3pB1z}Ewl8F2?8c9$%f zZ#=ziv;LTY9R+v}gHXWr!>#_0{h~L}q-<9ju|CGbVJgu|rkqBs#%ivd1|Y1U%;-PL zW6o`({%xM`)$GjOA^axX;>2MSM=idf<0RE7mylvK#tr(Q~0p$6Y=huU4_$hYXq&9Ch-JCt4MSGWlkY| z2E{N3h@sQf>*<*WiH;7Z@)8}VQvd`^LZvx~P8_Q@e_#xam|e-3P!~DO9ISTnYT=s} zt9)@(XBiLCxZ}&?4y~8U#Co@r*Xic&)#?%;b8V8+Y4;Oq)cz;##OYMQO~@vddE2!M zhs1&vzy2Y7g2O?(s*h8AE{sl8n~qE`E;kAZJJ}sLG_eoAbSMfETZsvhG~?WEA>SkJ z-WUvyuC2Hv*zJjX5s$=T?qr6d!x$__93^MmxiO3!4~hyX70EscsG7h8Nyr!%xf^)g zxvb{hKvQ&%@+w#jXfp7Ax+GnO6WmZ2AB1+&O?bVr!aVSxKb-f?8pvWDzM~N>ce1qL zd+jj&Yi;*+$1s#fyv85^ZP7w)oBKQ7*tRn|o5J;i5;3673r;*w5Zb%rY6_KT#o*B_ zXx{&GboWW$@1S;KTC1|(2Fp&_N`iF+-R$>{Gb@Af)T7dbLzdx!)&46s>{%6T#H^Xe z7U*Yk)vsz7OmQyH184TFr|cLBrA=}2S}~D>MlA6j%pJuVNgsBR@IfS!cH+eC6r#Iz zSSDIbMLI-+&_WXecUeGZ^xnLlL*!|FApsoOU=E#o{A(JSqG^pl%U+{qAx&&KjR}8- ztomOmA9m@Pr-bJpl9j)`&f^YQWNY?tjCp1C@)bdk$Z|zn{*7c>UBfiocI=d4X%sqM zE7J}3Zj?!f(%g2Gzcn;RP?iX=oxtYnqrW=?xBPiU=792NkR)FAtjkxU%OF=tLPFcg zf8E3Kd-F=PMK1XsCMga1uS6mJ6rXjGd`{|1bftf4O$5u3q znBO;)9GMoaD*Ck4waYaOF1=99Uda~0XsPL`H__EV{e<>%f5!HYB#-XxE=9PxD9cPW+e53E_J!{5~}w7!z7d-YM=#vk1r?%`^?Rpt~N)tS5yv;+qh;d z7FW{2+D?Hw03_ovbMKC{f?xLHIxIeQg4jv&DYNW8KI>DHKBN`r*5Wz*XH9po|K;$L z_1*Y0azVepT2jxPTN%3yJlB%0LTGE3_HW(}vkEkx+xY&2*>5`_9HR>K?48BTR{$~b zw3-DEQpHA+24YXxn_O!6m1*Q3kjo|`m>?WPv0u9h` zgebrTdu%nA`mMuPja>}lS>H?}qp#|;`MbE2I zpREE<+s=AIO3B;6g=QKrlH?>E=(U7Tr921XYeGdFh7#Q%vZkGeN3fSKd?Q^@2X+t- zriJQ2AVAtfkk4NMHHh6Hw+Gy07?bA5fT4lDyc^)=BcEI^flHH6Ds9>cF^7sm|0GX?_M(Vr>( z2AiL59h<#vcGDhzLosUK_m3p7HAd4c{CYY}e2J96ES^$>`}NUOU9BsgCSdI(tIh_#4%JQHmuc)Up5 z25QBUpiAuf!hbtBw*bq9_GDWDuL)BRXT!!ao4q|99QnVO%m{8|e(J+puI5Jlx7^hl z|F_(|`M>z;f8~7wmmOb>Gm?vPv&qZ9Ln5sb*6mW-8=8sll75P-1gp$?KE~vqECEmL zbu#*}XCne}RWVwtJyQxQ6{de$+C9tsVQ<-5GdA^dOS;JctNNyfZtO>)URZLXc&25_ z&a?%ic9BHmB$JbGo2^1Q;&{#k3*WU1R1RkC;^h7Q=1!N;>g}UK3W@kgyXf1EJB;BR zW)=)oUZ6+cWstM<^M_If|Gk|m*M%0UCXCMov-DG3lV|>1UvD)5t)N>@^)b~=(Djm0 zI~&rak|!9Hs;RzxAnEofAvMKbmfK^Gs7ll(V%DXQVZ4Z8&)#w%#AY(`G2QhGZe#s9 zAfpkIHsN+>MOMG-Yc0~IC{^YK*jej$^+P#x7{X$r=PVjh?sWdy7GFX!^IQ#uZVW&ys&Y82L+Sa)z{9Io@Sl4s!O?W5#UE zoBUstBLVAb%mC56iiK^=?(~!tHl(Aw;{xsPi+=)kD=L!`PR6t7L1pw`$f_DF7Nh~ zcd+a~p)Qd7=1Q@;cK*5SPhIo9*iiN3lG*!SFE0Sy+whfvzkcrU{LjBr)%miaRiX~n zYN0ruP{a&8Bbs?$u@SdlhZ1*-EA=q-?@0VQ&}99d;Z*dNrAc{gFTr0a9liYkLj~MA z8*j5xBsFsnf%4ctqp*N?(~{9>23j@e%r7(mu^g9QZXbw4>Dn=@clb{H>rZK?Rek=0 zq=CYve#_VE=C&#hXy^%Ki`1RpfX8jyU8qN&t@pBcs%2-lRn#m3V6@{?`_3xz2A$25 zN;-`efGZVH#~9e}I?qr;%(>L}@Mx_^`=`4#)SAJf+=jj>GA6SE@BLd$*O zkj4ng=o)&2=E*P~YJsb$M3Wh$WG&8qq&o}?FlS=!8B$#nCl{X6^~cJ^|-%B!7yZyWb0u%cWi@d+{&zK?%DPA>+jiZ zWjS!nc;R4+lrY@=zCZ%oEj6@+wF~s$+*m5!Jx8kybokXO{x(p{Q@17(`MwUDOj%EeQ$tO zvt0$htfkZGt#@Q8oUTdV8vy;HI&*VARae7v=ywq`IU?%<0YjgU^P~>JdZ1cc+FVEAnl^Ckf9B*;s|=BWSi;CWPN#^S5euS8As! z!-~8n8aazj{Ig#nur6{xD-Z&wH=og~N^Be^%}dEt!pPd><^diqLv_j2WvB~&W28)n z!=&t}ix)1~Nc^?wPx9bjZf#iuZ8%2|y8*DcUX5sD28|<(Z&%fjU$`}pm z6Cq$jH6&!LF-7EL4s#*n@HQB&HuiuE;jc42C?=pM^+tD=6ty$DW1{mO9z5U=BZs*R z;5BENUZn<+H9}{jt?4(Gn`{;+_}te?jc)G4Hp42U@?t0hZM4fw8a>e-Om^z|E+^dB z*a<0-M)Lo~FzbtofDV1hETu%Sfn8_CLIj$RGek$rLu_#BP|tbW`(+o>BHy;CN zk*Mtlq#MFLG*r=%a;7+j24y+~`yrCa^2;!>BP#s5xxVtb%{HeDW^mheQmVsYet=a9 zBlWL0_mpJ^BVK|}_UZXR#dkWL=2zkkq;mf+RFm=c&7A^I>O9|Gu1Gt$>$mrXt|QBP zh$Ep!)l=P|^!kppBgriY#PU2V2V~{?%rwYWT~mSMc!0_G+pdo{RbX)>ZZyv^K}vWv z(^+u(Z+JU|SU>YgjT5|59DHn0F`@8i(q|#c=xGZ%W!Di(^owbAeu$k25jV7(Z(d-a z#XBWX6=b9Gz9E$Xnxor5&F9Xz!-r4zX+o1&nfBZi6)~kiq;hd=2x`cq#up^^VX*Q?Uu^{=={S^TJ!K3>VTdtaxSICLN~G*)vqDZ!C>Idzu!xN44_`v8b%EV1q5{l z4&5HnaSbREEk?VTKuQ##(C};cl@_mCGNP=(v$_s_&z*GUiHU)~y4(NZL}rsOl}maR zaV+O2RkuX1!;un}4}`J3z(E@xpCc){({XPvjoYrhv*^bm1jKur%Wnj%`b3d$f1LeTqc(*?-Dk7VcCBU;2oE2 zQDpk1@{$kcBx?kYW(jp!^)9$oDzG97s}olA6$GedsY9*VyGD!_=!tj;SjhVRRqD$x zM;>cAWiIbV*W~)^)JNm41`iwgGU?{g`xy4;tBBqKs;UAxYQA=q8r17T$J={Gfyd9h_p^)Bdq&b&NP-jWuqHXl z)lp7=rG%cbK^dxrQC=B=5=nvw#-zwcCInD5Hq9OfAonW+{=cs>sk`Y*H4IrJL|L`? zp*}BN3EF<3TuJF+8R)ffp6Ql%Uhgt)*+BIl=(QBTDd?Syh#~Nu9&v^k`@19R#P`tK z7&Z@a`;UjA^!w?)G*7v%peQnO$w+mc& zwaT=?K891G@rb~##@Vec93QYx3(bJXKFOqZ80=$9{*x@fDQv2DV8wA{OJXZTpQ0lQ zHmP7J<>g>E#uJL;#>vXGMVg?&QLJqcYB485D4cod7e)c5^S=ua6Md7BVP*!Bt$nbK zt4l(bHQ$T}=;>q|jhtg)mwUF@iH9HPt$nofwk1ALTk^KWf~62q+6Jj-kA+q_bL`4! zRDY#f3AGQZR3;o3aar#WX%Ej0LBv)?k!>TPe`{tAk&Uz}_&u)=4H`iVFzW0eA|u@0 z9mk6w;`kGvGJ8q*I#27`W`Uc9cPm$!v3OdZP!zaveNKB!X| za)A^8Sai%QVJyrPvXb;L3p}EJoqwjY3zwz-i~URRdqb)HAb=O(l2DgcR{9==N}i2eoB=>H<~&TfP_hC^%bhjmL~v38fx!ICb}%3;LtdCU_y`F={F5W>hKJ-l|4IEPZvp?p6NrlXDz`Kg2aImDt(O`orBL3( zAFee%kE%nLjr7TGl?WwYq0{hJzT_f}Dq)v?JMc(Pno6QS_V~DWst%1nD%mJ{k93@W z!hRVEMDM%iD{NE+*rZbpO%q+Oy&g{!9U%LyiB3Q)O>pzYZ|~IKLrAMjc5&^bNkvgZ zd`q-?PM2E9o0;Q8_v1Mn%GhWPZY(5`o#lNq)p!t-DfX;5#nRD2g;DDdq(q5Tsf>^G zn=qLfg$fAeUy7MP0%Mt|7dm5=nze>D9kbG?CXdash#K5mH7sVcE?|?gv z;^Fy+0DLv^W(l>u$(%Gx$Kp3OH8ILc#cF?iA%HMs8(yDyHDti+Uol+j-Qy*W0^SfZ zG2byoM{l{>oSsBcwCP9@ioq=2EBFxu3Bh~TaYi*&*t}=(->>ayQ~i$u^f2vPzQvG1 zHYUIIvzGCpSKHDaSyA5jTbm@{yq8A-BO_-2%_&y-$-n z$4N6Tm)T6Pwk_si)C`Q!Uqd8|I%Ic|T}+$|Ky%{5BTP$ZLyb;Al}EZsh6~lkewd89 zSiiNlU{#~=s>d;dGnwz-k-cC^>2hH^;Th$BfNi@2e8=6)MWmuA1`k^(yy2&(Nl0YL z0R10u!kBEV?^^G|b=Fc*n~`G%FoTH7l62eI?$wreCK>NIb@oRmDW<2=R$9C_xGeG} zr@?`xjeRx-6olnoj?Wa{$!Rl@s*vn*EbCz8Xd?3F02k2?@{7Rt9qT2q!)JBwo$sQ9 z4*2%PUzUQZKdd5R`pS9phc4fO&N=Tr<~b!WUgW{;;s=w1UDk0!fHDdjvOeIi9x=50 zkl_y4mceymqccje*d9oijo$!n2O5JTw};9uNdw}S`xi!ZqHA4(Rw>y`==b z#6duU${kSrx|9xyjq`b)fao;suzTGsakpl__5g%lccVqfp&j(d(8OZ#jXIF2qXal* zXJ(6iI#yC~@#cf`2x@=0tb=|AGu{NMhwk_-ETD;?_e4IpRzEuNcxm>hRc)(T;&wo- ztJ_9ve0tBe!$-YK*F0(`&ke+pzk>Ro@|M6u?I)B)A&>8e_xIiho_z|Kp@(&H0bg+k znGfj$jhI2tCl;e{v(6!uh8WBGyp^H>_7Hp#=SI98R&U+;C z!A4~KsA89xx~A+|yhG+2zlzcIoTkP0K3u?ybYxHPTLCi;&85Qos}bwOZMtsCaVe>F3WQ% zn%IjF3y%LvkAFf8Le^(QsD%bt8$+#hnf1>UoU5%PLrOe>RMZDR!y-P&fdc2hAJ(&< z@&?v4fx!aoD~YBxn}rT#K^n-muw~K6VKVAW-6APSK*&wO2ya1DEIODTAil{?Q!FFc zn5cV_GKwI-{~8w!=Ps5oO(6PTI5m6RHg8?ePj*aO>OPfjR@ z@d(`>XD`?V*w=~oW{Ll}$osmu`Ob$J%H=dgsj;h08qPf%H;U@WfI%gwLX!@_HjALk zEMcW{E_AGaXsL|XU{}_`^)$MFc?)^MM%Fi$j21VeV!%qk(I%|mNx%VSfefcf_;8VM zDwlNQrHZZwLt=qniQM4`-nsdC(|;)YNqwOdVx*h;n5RpP!_s1w?*5ZmE*f%PqQXBD znpje@Z(=|##-GOH5&YSn3V@Ckj+P2W?aC2NS;Bg$2t!#O<8lhmQN*XS98fbKY#c{hv;1`(D`vYUOJ};O(2?qpr-DjYF?>&mf=(UZB+u|oenrET%}E$SU4m&O)60l zpwlP_oubECZ0g`ItT8yNVv#{OyOvwd2wD`h1xaD%;kH0M$VLYKs>X}FA~0W2PYKh3 zmok%aKHEgK^y#ta( z=16(SwKn|rrZJ)xBu39zq^t{`av!TmpM)#(uQBBmCUik=3TQB8P@Gv|@|bBM!%7M^ zp$+nq_?!;zlK9_m)J!}{c|DNOVg#bb0CGmgt4wYCz$5T8DZ?$Gy7kpI|L->6pJq}>|MI{YQsJF6bMrK`Yc)pwSkzVc z695VZ`s6=zyumk_{zF#WE+bDp=pbeQ$OE*}DnXlDSZERrVq2`tASLK(4tqZS^Rz*w zBvM;s1A@9}sv2JGk$mZ;%?3!I6|vd#Np40u7wb#D#&+Qahi|4R(X(&Z3I0ww@PZK+ zGrefv7JL#hVInAI&Xo}3Y-;?^z|QQ{bNm6OIcyO_hqX}F$&Q4%H>0&l+9yS2Zsv_@UcPPTkllovafRdzBSn~<+9~=Dy=%@Zff=1^=H0id%!J%ZOW|hI zx)A_AYi=Qh*gVUh0fO{gtI013Ps$^y*(`&bR$vAN*XVd(I>ZVmd+3aDm|UHRL+=kA zxpVESxtXeg8jJShR0}7C^cKuCYc|=H;KB=_MTOeCeB|_ zo9^%!>dwj>HouY~@Xr+y_(vtSE1NkdeK=tQ@r}pC$-zYo^%<~uZ`_b@ujB=SU!n7eLF9B0#7w5 zx1~Lj$H_vzV$vs=iK!<^+_4gUv$|H4#<0*>CCaI~={55IjC)MZlM4=Il@xFh(Rrph zI41_E2EWphcDbIbgp@*I-L)SEx*`P2ofgono0Gh3F4MSxd|WR5Y@qB#81=pTY8oMI z$hv&H;DuycGqE~GGL_mhk|)f4Uorf5SCpwMO)Q^~lDc@Gd44+=I`slx85xF+<++P| z$>H)J^UtAIN0L!1vFtP~_9HuWi)2;pBpCoq78~K_;HQK`BGcbn@kpImg@-aUFWz7; z)WHX#uxs0O67txK;OUKuG`_!IlVg#L`M8onLM;+%1YqYk)p$Xg!7UT+Wna%b(I45R zT{h~OVx{dCqvH&)AgW#nH!Bcn;FafjG#b(fYa@sVts(;No*-7q2|onW-z&2Pm%{(a z*Wh)SRe&=MNh9`ArAY7d3_XD6tnu~8YBg}h_lR)L9Dw1urz=yZ;v5A~PkMyTZ6HvD zr)ulqH=8;}vePv=4mo+yi$U}FOCJQ@W$h9vDpylcp*5Ne^2+hN>>NbJjC%U-#Cr&* znG1Hw41q^Koz*ND=X})RhV$)o=(^`y)03X?SE}@J!>0(`(-M}!J*R%O#mJVnB~V`G zmgH8EDiL-_mW*k))~D!iuV%rNn%0e&^d4dv=uIk42EJ`fDxT-EIY+APS^}orV%+Ah zNBbST9PL7iqUW5sy_xgPLwK3T97gk8Jun9dFDAAV^qwSS;LySr8apD{cCUZG~))Me#cLgyvaO*^c5lnTX0AT2T%5%(Rw#tUDH6Hj(+Q} za5*p;wm|lL7TRJyhQ4Z#P@;z!CQ`?DPA4e^p9uRsH02(m0~AVJ@RA^qda(+SU&kMl zO5i?>3X%iB`jrmBhqi|w1rE+oC8Eqm@U8DpCT+vykQ8ak+zVm?&cS+2E^lyN!*hUa zZtGfJcdsWq+FQgIE&|z1lBE3ZZ7Ms%kXLWTG>_{P9tPftz=K-9^UmIvMjxm0Z#^s6 zFO4w)+*k-(EkeaccrB7pBVwqx=qcd*V>=KLibZH7k+Mc;VzAVMcMJ2bPS6ji7z6W;0)!aG4(=uiA)&ZTqjg(w=TvP(9%)A5 zI$hjUcI7#^QUY#qe^lTxD(|0qnv+O0l`I6EZs+2_F_^A>*y%AsCOd$zfOL7)V39wu zK{kxRU3#cII5Y6wH=`3e%KG4%w$~K5M>)})TP{XbcfRN@*C^9NgoWVF!Pnj9R)p1N zLZzFjU34Jp@9%GUbwACCD^&$sh7MH)-B^eG632b*FZuT`_&F)ifM&Evlz=hs6sK5; z7Nda;3Ox);Im)LuGJ%hA1EEPWyRWJf7V=Qt=AP&9l1?gdkX>wt@rQMi^Ke{r3^b&g ziG?_Dp1oONfLsy=?3(1RXpmi|5#PL?gA!p|rI9bpIAP3xq1F7+3m)s1uKz1c9oQ1_ zc~2TSt_4WcHJE=H0Yy;a5P9F^Zu&^PY$>+J?M_dEIwPyNnVhH5O{4MR>mfP>{63-4 z94O4+YZ%|(Hy#f8))ln);S7j@B^WotXGa zv8!-^Cu455_W=t|;z;$7A#R%(qC3CZb5m_c^j|C|N1+biCEpZ+9;rUZisz3k!xfxN z-aZ1x$u#<&zpkv38?UrmSHnGG!u1_~Pv2gP&+&E(=4oPbC2~xq%}&uu_PmJs*Uov} zdnc5}=;V%eglAskniKXbU0aKGZS}Slhrff%f9(@|h}yq+337E6_fCu(YEygGU#FL> zV>*>om&Hl;kf-pJxAikxEl44;MReo2^BvufiSF3M*V4h2glEE1fIma(d9Eshcq@s@ z(j>N$8Sba|<)SLuu0n?$f_Q6*vQ6S0{e;)yNzOctt`J)_$cTu&wR;=J4KqlVS3G+X zk|Df?4LS^EKDpDYGB@%HJL9VwR$>buc60L}BbiT1t@n8N<$G}wZDPpJHQDI%il8+3 zhHo8G1@V%+uQ<5o2krcSl?v2Bl1k4?t=C=K-DP|9OJmd|voLH@Z(QVBTNKgk&}@0t zl3kIVq?4IRk$bP08#D6oDd_pXJy~AG&Ya5>s3)d+4a=f!$#e(>4lRv^lu)h=2NxPjQb0@g| zsL0O-LhOSM{+Da&-Q9ssYobJB{yASW?6S5)>}gYgnM?9!g`!V~m4zX3f4<5W++vXtYt%3?_K(@-}YY$2FXx@m@iv(cXeXoG76}D*=Mp)QcZ2Pp*g|6 zB*X(q14S%L9NJc(pck#)<4F>OkS$3H1odvn=Ojx23Rx;<+GmWUx9DO!>v&NKYSZ&V zBTYa{|B^!oXAyT#m&C?PBZL=dSH(;xfs7elHqm2pQG0YMT=UaJ4#tcE!m*hvq*-%d z93uEicW{Zq-*(C{!wfJXjnlIAyemau&WatNaDaXl+F=29%!H&hodlsU?)=Ju+@!P5 zb#s5M$oLz;kLSq$t{a)fuPH3ES_VHs;PS##(wNW-R*UmV5?F|SZ=E-SAg}>6}Zdm0I{qiX-LK%cH~@^J=rfDwl{(C_iPs zG)Po8dyC)GzOcTf5U@42)K?)2cY~M*nSU;g#)exb?VFCLwuSlIR0J4+r3LYNj71{m zVI3W3WZ!KD@?;i$%iiL}B!&lB^0^ zLpzO8#Sv?(7GS;K%vaNNn}QyTs7wvq&f-o-q7mox7j8|~hP=IAe1-#s>!GT6 zKAU1ikpzsBy9ztZu~N|NsI4XakeqQJmBq0R#S*TGX>4}hK|&FYI1UHdVG%uHQ%wDL zHR9DU^B_KmIkHv5z6Q%gPoW9wVoj0t~AxBnC|9MK1Q60=zcZf3LB0x*9G#{$LYKo&c_kX6cRG>7N#zJE*7ONk zI1J2HUk^9MS94+4UCQsP)$i%W?+2qJEq@AOvX%lLawJNGRJRX~&0$!YBu#bNIK6;A zH0;XdL1UMIs`yvi<)(;~I!%UC01X~)aG+O?7gUdR>GdV1&4ZJ+&Nh~ z5hqx3v=|-2_Tm#DXT(GVagxlDkNj1Rp&z!ubL1X${NHGQ&9L-XDy=Jwobf7~kix*d z&AQ!rI)Y2&5OsJ{qVmxlS3lL={_8nhbl@McPEk~R z`itj+j97Cm`?-96v3tEh0{sV8oz}(Eq{xPK?J5J0nDvU`e-lOCYiaEpgWo%u{6m$q z`M0RkQ?A}$G!CjY^uZ0O{uaz-Ip~)8ygK7-RGTQxFxGTTNxs5C{6_dB=1Z{^L#01C zPwzhQN!T{^ISB6DlVn@9MqV)^SgjJ6Ze~2GhA$3ZKB4*`UqVc}N?@r+X)#)!vODT# z@2(Xr2@g7%goqz&Tn>S0_N6OEB z-uIZgCvGjpVUOd>p|ki@ajT-q2gNpA#35cmnpKnBJCaz7|3U)g<{1TF#2JPx%@BI* zyyu^_5~NHK`jf@;a`48FRi(8U)WSdB-Q5f6nE9zH0qeb?SC)aT+!SmwD{^rD&jl74c5OsYn+hT5sH_xN;Rx zsctYYgUeendT;|BB8`Cl`BioMS`028HPvD=O>2MT7b~NHL>drq5Gv*lmh+6wBomav zJ`4F9=;0oCs6KTqT?^d={abYzB}e1@#H1-JqA&~s2RP~Kq|aS!2(w61agySj+02PH zfa2nU!u9G~(~f4%Q-Hvz(3a(uUHI#Hg2!9Rd=SkKeRNH!aB*PEM$7AVbZqDUoHuHO zs1eM`F3aF;|62Ekb*9SPHBjiQu)%l*H%+e-QRI!|G5Jlo*Bd)A`Ks>z!Bpg%Fu((# zUL|_+4(TnLc8t7PXZH$Ucj}t!<0j4e{;Mc^)_*M1`#xyr>`fZir>UB~KT6TrnfQl7 zVPyKW%*4ALqX36_hrE*b^^|tW_j|OpGxFGWhEw2B_LqFf&Kz^CJssYb%(=nOOGw zfR(io7a@J+yI23weeryBIEV=6__W7hWaEYNF*MIe5;|4QqI*Tt@TrYOtQ!Mt`4l;% z!6E~4e^$0@FS}#g^pSHAkoi2?=oO8{w4%m~&z{^i9JYR`9G62t!n6YWk((3dfI0Gh zPNr;^`eBAJ??Jw+EqSOy(0S%3Va~r=Ohz#{%wWN;OnjNalj;p#0bNIufhbLeawZfVU^j_xZb)mys@# zlfD#v)A_s5T3jB}SYRby*V??TXxp3k{ZmOUFoBN~1^)|TEVI<@`ai7rEN7!;YxWrO zh>3_65UA4Z+v=m5*#-C;;P<|E@cD2msduPPSMW7Ny`C;7%Vmm^ zd}4PBt6{YEimL>-|N167O_tu|v<`D51@&}^?h%5W!nU5lfK^?h6feRiRa`1UaKIt^ zo2{XVgU-%=5eM6Rd@BnRM}ernMTWjbZY_t=ky1MK6mATuPwL-pEW3A*fQY>&)RI7{ z74GJ!U+3<;J)iC%y@8Q3?JV#kT@Yc_8@WdO4gNXoOSJ}vm*5zU7ZM$wE8)7DKYkhtKgJqz&IK62L1N3msKy7A)RcAjfaX_T zL@M(!kQCIbtKx$}rv|3Jf~x8ptOtt{h0|yE0~XXQGU;AQm|DQMiu1*Gn-{vfjzF!< ztlhouBHCLsuS3i+FclD?XuCYvc8zA(Xj*HU9Xo*aKh3=*v$CJ{{igpJ#K9e8)j!n$ zuf{WLY*(uoBkyuKI{7U2qposT6>%l1ARtb8FR8HUN-2zZyeJ*8pOQ!_xIK0lO3REw*GD*O2+?qFB`47B*N(jaq zOnBW_p`!{tURKvsLnseI{4>$G6YZXuuq}*JMTwe-76)>i#Er7FUlP68MG`fGv+F*`GK*}sGcq)J-loB~0kVube|+Z6x~DWFdro_}bJvrA}&*Y3MG9(*_o? zjn3Q=!pT8uVJ2eHP1G8#8<)H~S=kQxLSLTg{xu@JQvmC$$adq7b2JvXw&q!al{a*L z$%rr=YvipI5w?jaFiSkv<9w4F#GY#riY4FF4l6%WfWx3NZ3#Bd^HLa2+hT)et%M`8 z3)^3p_s8jab+k8zE-m(uf28Tq+VmHhZ?(F|Q8rli5+1`}C!>HRwkai~=v3K3slj0z zV4+7Fs5j7xbAxx1O$O;n2R417llbnKEgrEy)RPWehn#dt^}G3CXz~L5ify^I&*g4X zCBGuFeZOE5IzsWv;{4)~nGQ22>A#Y6-zhpD<=xKnW^~`n=B0mB zbpCe%(6tyOP4J=XaBf`En0vW7+bE|oPe~2S?9S-r*2P_9u8x2XmUK|qAEd3fSWq0) zAC#8NioHZ+#6tC(woN$+6mX}bD`>N^i5h7n%v%xgQ#OzX!B=AgR!SE8w<^Eu1;D{Mi;E+ z?Bp_IX9411#0x8-DerS=j2n`>#Gkb>rcsy^`m>*VesiZ9Z3~CVRyIL*)&ShKUyP6;K zm)irqM30{TRXr~at>#x$-ov;5`}jjl1D#mWz8FEw?ZG(hP7&P&_%#(=f&?gmq$RB# zB}ZQJ6o^1@F)p?+x|YH&obdo%Q}1KM8mN3dq(!yIK?X3X_T$toWt#zhKq2th<* z-1?^}v?i-_KXs_%e9ERiGe$4K+5|4)m7FTsC7;v3@>g zKlZnjP(1I2VKQPN7y5I8LPC*XA6sGbt7}5>3F5J&`;xl?;>DSlVa^$0`CYHR>Q-lc z5%)ySJ2vjtlrH1Ymk6GO`4N5$#gUG`_i=B-dG(+CnxFZ}Ps#~J=U*`X&@Gb9S`J`d z!2JcIHIam8xWD`MD4i>ZJu)JKI%#Jqp_q5QX?4^Un7Yi?AZm3(-cHOAc@<(KXrLqH zPTonf;Rec%1X0LP78IJzJeMS6n`YjSe5k=CTT}_RF@Tx=o50*`ifu`jVIs$1{&)R& z>-O;~-s$16pmrAGZSi%&onDJ+9#I1di}+r=lhVF`f_i4NXfeh8Rq?4L>O8)G;8Gio zH^%3L_JT4hskwOk;y&iunD~f!OTQcgHl*tLa%OB|3*TjCY{D2$!2J?T&S@jqeUmZF zqquK!zd0^W!I)iSsuE~-_~Ct%@6Ca6ipKJLCC>F|s|<7>GXdyy%Q*uWc8XSLTVBq* zgrfPc-+9A_*ACO%iW+JQxRI|Nri?TNW208GL-HevGE=k(@5=-UYGeiSZHv_Js>4|3 z%Ei?Pu7PC64UBK&+sgeC9m?pU@r+idwZruJJ5MkaTMaV*u8P=gh2pZ9uw-1uB~bk0 z*FXO|XS*d1n69e?j?M898~Fy^5*Uz}C=@M(lszV_yCwEbqm79elA22B>XOyn66i}r zYMK>A>=}vGqPM}LOjJBE`4D+k7=oFK2FAkBqfBXnNPL7IpQbV-6sH4$<1d5&tk`eZ zS6i$oaRd5f(f!WmO7a0%XKyiFvHa8$aoCa&Mb?>$5W^^%R+o26jD@xKHzH$QR2SS7 z$jqQBTa7|&JDSs7sN10X8!M*EF80F$x}!Lc8Ql^SIo!2dV(pfgO9HOJ?DooTSZgpF z8d}a5)~_2ufAQt-{vEn}-I>RT_}T5CawzD8CWx9ZMg&a`7=W`^HAL8@cK!5H zD&CqQBo5E>Im=)c{b>r~=K;m&gvubQ z3M$*jSyVm+MRJVJX;{lgq8!Xn0gZpP=lUw>Z0U*Oudq2C2CHYubOwKIzmB}NPhZYf zdJqgQ39>Ux&dB+kW^yv@OQ+(hn`Ck_wVW-tsIlvH3|Fr>pE%BNAzvL(!4Ovvw8nuz zn3Ohc%}IWyB$myxYd8cO?j=~4NjoLIgda!A`J7~Ol8k0+f)J~k8~BN$cbI;ouUT+D z@i9mThlh!`s9$ZC%dX{dex_YDe3a|uG+vK@|JSVg&Z0wO2aNB(h?su?~GsF%gZ zX@t8O-TiG8H-ZaO;bB@y!-HhN$o63XW(iU$1mId{R#8cH*tA^ z8EPzw+a#)@)G2bNOn0?%3$t_=wz4tFm)JC8ZkCxdrHEQ0Z86P{&U4PX3@CV(c~L^c z&@NYc#&T1IU#=VIcN*;D+*@;viEmxWmoL4L2Y~rmVR7ohv!XnSBhM(m*p+-q^5s{2 z@GF1no4wmvQ#IzlTjFMU25h%s_Y_!~aD9~snAU)wz+bJc*!5&cieb}7`b3=pJ8Z$F zR3{qXUuBm@GhoPDB|4|?I%dG&9q}09%e1>fV~x9x88FvwZ7FV2CbnV*Y%)t%WWXkq zYU01!7X*ctBzL;o!TBk79yHcK4mj>M$Pv;zYLa}beegxz(SRsP&jnp8@({R^(Ortq zX_s81f+Hz^e}qaT6S+0?J4Yy2zGQ%MA#nuH0KPB?ln&)AeHBnH&T{#N2;~EQr3}~% zJCtUq@P642*eNZ|VgHx%D{;IL$~lp&fbxOTS?T*oCC?8>za9hJ+t@_Y&;1fb!fkxC z^ub&8N)#~#sO)x(NI*$D!~&rxxFUsRO>!61%&b3* zW3z-E{L~~%Ht4yCD=q~+3;TVpbwsuN>c<9Oasuo&R|U0S>Pi86#OnyqgL{1ejvxh2 zvpRU`1Z=2#4+MJR{&-=;{c$_IzlK_&_Q&^EQL{jAct4Iera4H!EV2%gt&00Evku~} zh2^Mb5VS=AoXlXMZu=}Cb;*5{_+`JCGj}Ju<*rb-oN#yT`XTA2Hgk$rs9S!DE+Jxk z*Ju9p|Mi0&hH84(!qAlLUG+nT<2iWqvabj6!NM}qP^c!YJc3>LAqPD#~rX4J6+f(R6FaONQ4(L>qf`~};FdT;w zLsrbf&{38kU=GHL6d|kR!MI}IV17o7L}NM`VKtJ-QbQk`?cwQUgd2wgTI1yyt<;~K zQ-swaos77;#dxvwY5F>(59wqEToTjsC`~>gtQmVM(Etfbs`ONj4EKl$g**fes&2#K z9xUKFu0tC!##?u!g1FL zffu$Q`EG0wu$ouG`fn-pil!np|0Df}|d^RZHQ8)AJ8L6XcEm?^BuX))kfKHK5`<}R&2dDlO4;KuDfyP-nahTZ+rb> z)y2nn%t_)kP$SU>q8pBtV@`sOBY6vq?%gmt1$_$|f}|^;nyzU8Hu8^p5QCKmxzWS1qsY#n4H0*ZRIba!r?E@`EQ53K>dkg_p%Qh*thc$)4 zl`PS3%hVI0o(h%aCs%Tpt=UiuQ!HCH9Eb1d{+t5w6ozC%`SY31ArIUovX5O4@`uTk zg11Vo4Qj%wyI3YanCtUwf%GkfO=j%^*{)&4}cL3WC+p_{|P|(ie4X}A_m4j5S!-}F*eBH({M!S z!m9%~My-sD8+rkUjC@pEa4m%YR8}lhD?$9Y zgp^3Wew=av#{xslLcT%TWy20-+kusO$dMF@0@X$Qe#7NpQY?`TB|9fAFT z^Jomc|GfX&KM*G>JeER}bEjy<@|(f(+dtm_p|!V9WvYOZ5zE)!z8CL30lj@Asri*t zc^S5^y?r{7$I>}vxcLO9-;=9FK&hy2T2(r&I3KB`8&QMy>v6|drd_J;4A5tuC z$T-^!yWOrADd!SfUmeCc?P}F=!^Kt0f+ILA5eKW0n>C&9Jjjk;L%R`*CCE(1( zG;~H7IX~`Q?EmO(pZ0rxyv`^y7a3XShey{Eus^nmZVz>qn9Q!b*c0cw0fDtmgemo* zXc!6SlT3e)LQ(6QV)}bVd5-8$RlRg1Gem#SC}Zc7r2Ketpi;O+o*2yo+Z`nPJfqAH zE~@sn_v7ZE^9s?Hk0;S`7P<*{g3URjEL%P~TsBk9Se_>km*_zqxv*YZV}$0No;uk>GVrEI&Sp<#UWIi;PxCe}s^pZa8Ov z(oWHuSYCdLF6r|7s?Bq+`<5EgEqK*a!;G<4}qZl00vE~DeV#t7)ftr7*{|QPi46_9*AF%YBj|ydC zI~Ipz*~1bA-2}?`R<#Gk9>MNfGs|mcxztJ<24r*!*71T`a$CXqkxXRxum9|ao(nUL zG(TnFY&q6$-;;QR%yP2@uZV$~QhS|Fl+&NiXpS-ZGR@J3#)Y0?gc)w8(hG)KC6W3|b30&i+0yj^D+UB=rIUZ2yr zB-IjlQ^M-Hyk9z9pEI}THN0IOJFOY(GZ)j)LHbz8SpQ3Z_V;{X>moMVRAU)y>(fD1 zlv*K>oZ0NUx|=9A-(X@7^~PbiJtTn`Z_^Q;Wa_=9;9Mqc##6%Yu?sZB!cD@QHRE^*=&Vm zumGbQ#@47jkP!E$VapN2+q`7IMW>N0xlLH>OBStBm;*y*Gk4x4E%;zrv_@^Wse|jV zsoI!Q#yanP4-2k2+L#qj4|H~+a>LfBO_N-vu=jX=_FcbEmMxTY=`#h(;shOcm^CG9 zZqgvgU`ZdxjuQlWjQyeI7MDl8W^sx((6v{~;kgtM8(R}!qc)}OcaX-Z)>H{{yW+Y= zOY-||i!U~QqS?GYoso0me)8G~4^94lS$coe=aD}eZ(KGWq1sHHT7cS2rRxqF^$agt zsM6kHMq+ruJcnLQ*>ELSTOMLFP^&>7`a@N#!SS|1ZH8(!1(6-{GsW@CwtGOLf)qA+ zpLK@_Gwy|YmPRr8e92Q43Q}}mkI!;pUxS$v%K@_J3T_*Y1t`SO9RVK(IusU zkACBOzin+RSJ*^#JOcCDR!;9_Z7Uy7n^>V?ByF;)&9Ff%w!6{Wj_q#ZeP=IcZ7YBE z?rV2nE@b3B?u!zuTkt5=W;Q?iZ){)Zi~&tS4vP}I9Wo^>N>D1dtToWpx1@X5>@ew! zhG>e`ZgESx>nIyD+_wibU`zFaQ*z1`dDF;-5M7awy#wc7GbO$vV<)Xh$j{9^-6m&6K;u%DEITTV6yca{Fhi@f9MS-5f^H zw!S^e?nf)|zNoG;oMy{4f;j6iTt?xg5K&u4*_1G-$sLD5$+G#Tgw`g_dK;NF^ptzB zEG^@%mLFb4u*^Jz884rDlYTR6upH{Zk6DBEvQxAM%Qq7&f5%_?=70D>Zvsoqxtbb} z9J1684x7H1fJ*kY^XTyN+-|lg)h`(EwmGOY3ToRB03qTeJ|$KpDyFTcp#?^TF{9c91(|sfc z_?ev~l$+EcuOZH2z+1PESA|{(et;+ipgaWjeY3gsj8G6l0K|c$QQ!l@V}*#fR*rFb zAa~#Hz-5yHl|w_#I|s5B0oPz4Y87ya0E(xjNtG)`#n*bMvh8_X=$UN6N$ioT#pk>A z77D2qX=xY~0iSI9`=#*YpN6>SSz&xv5L>ytOrEaG6}=u`^jda`R`j|V^!lGa@ppgU zZ*m3EeZ!xxmC1DraTCO~1ig;i-ddQ&(9p{aQ!f-|7wopY4UmzR4TWf?TYwmV2w|=V z;VShyXG4kUl3^aUjo~MVuvqEx6(y##727S;I~gTHu8Y;pXecpVGVFF8M{OgfL(emd zu+dOrx@6cl+nv(uwtEpsi-tlp%Z5APC3cN>ByxZsqY}-M3}F@+0_yDKz)J$J2vWu|~)V zIN><+<#`kwu{EJ|%C8-3O0_;(-iI$Bn0!9)RmeVRw2Wpk*&Y;wi-s{l@W7&zZN$*} zcnSkXLxtCZo;xtw7Lm5<0gFCN59~A ze#Psadg|7jo?!+`^YG>-q^vx!9MP?kgTAM9J zI7t>m%2sg54dup?xJ^*OgyJaCAMraT9DwB$I*pVu?F#VYwJo@7%*s zj~cgZn^*^fgoAum^;@aINi))S?hPOne@dXrXNt&pmKQu3U#mh&JAkpr%Jl3e-#Z1W z$&*T7=2hZ&;?h7-U_j&xgu|<@ZFv3S1nrKgMcz-jM%#K7U4a~^o)pNLU~!fgN7Q1! zI__GgX?S6d&>h1ijJazgS52z@U7;TrTS=T5K`LGmrBlu2S2 zpL*)4cYOM%eabtXV4;~&BUtDb^Z_6cpsw!b2o-(ai_=R*DQQOFpI)}DI4ST?7sU+G zV3NFS_{Qp&`mTA?G()J?bEYwT;&zvOE;l5)nBf6Vv81HH!$>_IZphoJ8FssEr+!r1 zq>Pjs5^v0KQs7^_>})xyvCn&|Sw4uyK0WDkofGC^JdZESgID%woPI!>t zJBbD7QFzI8wrlSaP4^i8@{12{r-(53C{B?gv%s`I#T_(%&Bc)pPmw}%ey^OZ{9f^R zvWHO0^iFs~OHR=xoep37z2EfNpX-RLf$Si!HP!qnMfj9lnr!}zc`e+B&NqLCqyPrs zByA)7_6Hr9At&%O@?+;4FpInvvQ-QzI6*@4i9;iJ+2Zn(nCt z0tVR|D%qnLRoq7bs{u4RN{u~}_Y@@~+e1DSlL)jIs%3PALKDy}_?|$2w9DNd0gU)n z6jNXXC?if07a~s8v<}=gi|GHRX&v?0n@fQe#~Y)60&5ZdN{?#zz}mBF;VQqd0_WxH zv2gQE&!pr)ayzgEwil8^@T#c9YM*2yikm;QEi`47h>fw9WuxBPN)kxZHIi`v+6>NOZYPde3tJA z*e9X0M*4%Iy_M`)uorj~hAG6n2+rC*num_N_2V&m1qL88KR+(%?#-F zIJSwf=7t1hGdym)qzSNXM078IvNs?%@A}?@;za=LcIbGAfhrgoZaFioi1ciHW60Fh9RG7W|= z)?~`l*6c8#Px?Z-EjY3Dn(^!$4o33-#TEV?}~#9${v zi^tTB6VJFPw%Bp@JC0Yv*NMr3Y*;L=4XNdt<+0m9dXttLOmV6$<0(3BTAm{07n)6{ zyvMW%yJzA#0uT8pEMN2?r6Pw}&N?{aPi(9zLB~M;(&$6bIg~%As=Yig@lKP&HMP_(nBOGfds9dD^4HXZ`w*HOtx7V)P!R7*Ehrhg7%~OY zO&dsm6pM;IdZbAfJ$jN{D&G)8Yp@E@F5syN_8SyEdN3$ym2$mgmLaMeg)9X!R2Q)n zNj!`_ddNmy)IM-CL!y=?+t+%?@*DJ84>^-|8uCww`>9P&pGFSkV?zJ`wLkO^|J7%G zNL1>@KsK2vcijS6JJbJ>C)WgZdZ}o*LyrE4xjuwO)H{kEHOgcWL-UF{>kt@>o?GDJnVE<(qZ4E3X88u49zP_`VrZ7ESR*a+>rM~GsOO& zP(?#`Pq&7$&zRSjLl+ z+Wq*Q1M~&zgC#v2TXVnbxp%Zt9gdg*MW-NG#?u2G%&nAy_6^*o+Oj-92S_zg3*o3` zsA5ezIktD|M`Q1_#5B%&&GHP%Ofp)s+p;>&;uN7?F4dUB2H$;cS)8Noc8^cgL9uga zDTMocoG38CYr{F(ZPFkw|CWSoZ!u|yUq`a$#%QVqrT9f~UV4q-zoEV4>&o76Rn+KLxmxMJuKF&`@AAEc_{ zu8hh*1m6jgS%oVD`36X6g*TwN^zr1T*uXpiclj3qO9O8(33z zp;Qqt2)F5jj7lIwInl5s!hBXm;U7?nRMZw z!N#>=q!dY5(!_V|j@GhML{DXH7}?xfa*8e?o_zV&?fE*@k`U>J{P}0Y?UsWfv0~Fgvbr=B;zx-BC+H zc5P!jeMKRjB*Qd)nxi8y3aVOBh$qPqoS05TveCGi)V_R0qPQI+lN#9dj>9XHqveJ| zYDg>gJ=_r?O28|@*Mpm`8!GX{4icmbwFFxP2U%K@32HmILt7i(tQS8b5i2<(L3!^JcLwOD14&~r6 zmc5UXSZf((IbvOVc3{~lTAhmJr|1%=;%~qExBtKw%{dk5458*S^7j}yQCI&X zY$5!>tVUrdpdwKLc0{edY1nyyG7S1x>J>{W17Bx$;D}p6wW8c?61MjH4JE$mRA9tL zWmr-fB*_m$#MM(yMIvyZ8qlHLrdqLZDmG0LfI93_@+4i5V1*T}i^Wn!HDnM0E z1#11jv}A2g1(dU;zWIK&BISpJ4b0q4=%5ONk zSveHuNZ2E~Emt*(>sOOl9SW276&<8}wSByBBUgvwQ3_9d=PQ5i-~D~B&*MszsA^e? zL|Y|GBXy;Y%pfCVnPHe1*2)0t*qtfm2JN*#A1nrdiJyS^9n2|2Ln${T!=z@6)atfP zLdt1p0Bb2X*or!ci=DGb{|g4Xp_ChvVe)0z-7uU%CglcOu|su*m_9awOv(*;#T^tU z#c*T-8HFe8``Py$9WM5IqI6mvq&O62$%E6KkIgD$mLgjoP}<>Fc?1tdT`vFN!X7ls z!vO>Y-8;VjV(+uyA2F3Ej7ca%=}9w62C1`Cx1h|No@iZT!Kf4g>hz|VT)8lP?N%S^>Wr@5cX z>0bP)ob0D^!QdAEsrbwmxwKCg{8VrlgP#hPv!8~oXWN6H%G4;Ant3CWQbK(X`Jy5- z#nB@LRR*I;E~3gbq{euCjZ~SUzNX_h!t4_Xy>cq7!RV4mQwEcUS8br$@FyKoX?R1d z?_p93(%)hK;L8*=?U_+3!bI{^90sKnlT~z5N<19?a>LQJb*(T*1 z;_#1F)0PpOu`OfQ0`Cy()|6k`>Qrmm0CesmYXt-0Ka z*KsViStL92oQ|wN=Nu>IF|)g$4Q(dLoLydUNGN7NL0MHMGt$@)X?Gs%a|08XcV|ttNX4AlWQ&yC z%TvM*_q_8X80Dsw3^5Jv{0K(5J0-)(5sbV~rI47s=JO*MdCxJ!$u_Pcr3p1X&bdQ6 z6_c3jbZVK>lrhBsy*)y-GL<{)0;yMxVJ;RC#K-hv$`T@wu)%Yejak%XrkG91BIOI0 zMh!evcWtJhEr=09LHPC0csiYG(q2~whY(tRU(%o*^k(B zD)&1xv@u-C7RxZ_J~Fg1T*@;;8^g6cGqf>W%vZosjZiQMa!{3!xWiIL9Rwc{B;yhl z=0W*=O59*h1xKp~ud_5IKxAOZVT|psYyX3v^Ogn0T&p3Ni@JE^`J@^`@O;p1I-!OTJ@`pi zKlnXNZ=84agWCg_c*4~WUJv>=MOu;?5XWQ9oeWOTw5wlu@RQ@#OAmf(-g-ewn2rj9 zV~WqgK9c*33h0c`(Mf{X^3;n6viYFY0WLBw6)CSI?`c_1^gev2v&8~3@=MtRp%R3A z+Ca9Uo+gD3iDH)YO^<1;eLa~?9S(S=K>=7@=u9BFkCue^e*Tt20#7pap7B)LO3vr$ z*JA*E8=L5abm-p|(2uSBDjOV%%>vv@0YFc=EuNdiJkFzNgDVnzDXWyTQ7d&I&cY^U zKZ;WndX-R8)1Jk%@$#QGR+oYe-e5l z?1SZhlypOPL~5=|-$dAdII>C=@N%>MC+Isu)XRm%C87a>v4O5PmOQI>9Jj~p$Nt9m zOb=CFRh6z$RSErrlEt*@8Me@@nL}xCOzvysvgPK+VJl+sqquvo0K3=+S^@TE$o^mY z%3uBSvmJ!FGIot*|1Bsl!g}8&DS-4-1?wmREM_4%*}pDlM1Td`Mm3jELf_OyrvhMs zu)=zS;XvRp0xU*Pfa}IE6cZcj`bY#=s3WDGL_lqg#ARF^$^P|0)^WA=F5uJ5k^RA1 z)Uv~HD7A3&EIk4NB^3=ofdbtLWhtZVAUy1-7Rk~O`WI@;cz#g4!THmo2gI@Bnv04a zD0zrma88IoUPQ!BI5z(w!nwEu^CW+FF(S!tJVw`Dp29BmId|)xg6z29EuGF2{0x3l z?7sF7dVK;RIrNfZ%+6nRjM+8pHbJ4u{y}&V@>9=V1Tr9jgM%02CR8ZN3C@(8HEO3r zl6g5PI<#x|7G#6o3u?)8uiRneTZ#zDYsOzGUs@twvvv?ZQBnKXf9Z!m`&}NjD>c@V z^@%78HQ=78iBjM0kkBZ-1Q&qmU^7JH+4;dujM~kxpPJi5&@w|v4xS&e#M_M-c92Mv z76RO_0G&j)^b(GdcN#OC9K}SZ!VD)TG0~|o!|6dx zBs!!Xr{~lq1MfL?pgm|r7ej8kOwZgU*Es$$fZS!LXpQ439dK8sRLxlpxm(pQ;KNeH+L!B}}q>b%6?7Y9E`mLEAM>;Aw<_3-1__8pw;Bur(SM7>)kFVz4 zvQxAo-OV7~XZ+~T{#OSNF7;%Xc&%=2ajS25&xb}#X2GYI3Z$dd>;{T2G~8_<9`E2l z>~y5tB3x}ZXlj7sYKyVEm7yaY452hDX=4JE23fvqM>-fF z*yGcPfUK|RNJm37-X=rN9YA-Z8#1^|Tj1*UYjB%hc$I39H8~NcmantP$2JvO2bPY*0ao% zhA(1wVM@pS%cYWoT?m;JS!xiq!G?~KELMU-02Cd-FU0*eB;4vQA&H$U4npK)~Fk$a>qG zSeniNNRhP}9w8GY`B_A-sS!QBlp z3oJ##&erVrsx(H;uT;wSHHB|rmb!OL;Q>BrUM8$b988-~CfIE>)dRYmCP#v0xOAb) zKo*}|KTJ$L*!2^&zT{kqHh`VN_^7Yi^+T;MKY3%%lKq5BW&4}GekkfSx4U%xyxlGs zeB*?CzkpUX+y|t`K|~6^lKXj(C}UI+{7n8rgP%qXvZ(0=${cRE;SDq6{|52{&{!)R zOBj?pEFDXgD+mWH-TiU@ywS%4EsIgXPdcmN4Keyd671b@@1Vs>H2nR%$ z{j==yJBo;xZBj7}6*G=A?u$k}FQ4v? zwEw^PM?UL|KWi>!wGKLhL~xlkiYcpg&=LGEFksS*N=ul{`tNjk9BSYf~VTSXae{JoF6V!(I*E1&lp ze#Ge^dY3mMWV+`wAYz4yk~zbnL`C^zs{K$RmsE%&C*VJvPPw~|&tB??V_buVS~6s8MN%+%Nyxt}aV#p2d}vZXL6Sn{0QcS|8*Oz=?A zP@XzjQ#MnoO`e0WIRH)1i_m1URiQF1l+A5j-RE34VZG9@8Z{2kv6FBeZ+wm8jZuTr z!~_#k8$|y2c1;Y3iGXqwLP98iT~78)Kch1@VBEC1qV_!r;&=MUe1oC{qi1#ybd zbxOXb2wkV*#~8Zix$%+ch@B=L13M-j2XQQuda$YW(m1jPIFZa@^KtK(EVc?_a3PO5b;=%MK`! zxN8(TFED_k<-@ULyQsVt+O8D0?PDQY6RP3;a=m2G8Za!u8bsbARK9sJg#8QS()a&( z_BN~m<0?I40z7N_*C_;|T11`r&-*L?%lm%DF;qnfEd84#3J@`2NGbzHOkFi=me@5U^%w`n6?soILkQ2ay?{e$@v$TXO)nw(C5D}uRBt3UFv}xYllU|s_-qbIBF~ppqF(wYd-x1$x!tAsH&_Z$&n(p);jCFq-K-8% zP{#I-dwH5)yW3V{MrRRR;5lp08D!d{yt*h+ycEbCYULe|I90p~#b4I$Fewy|RIyM! z6Y2Ffdn5Kp(`ve(+&kVq0`;HqTYlG{`TcWa<&hlaFldf!2V7KW5}}?=3esF~-1bdv7@_{Kg%d6- zjtr`o8-Y0wY#LAm-$~Cv1m+0!06Zp<;S+2zFuOwV0_t}FdxiQ&K1^IOLOmwCQ(l)V zBHvuC7@-~?l@8AMp!y2xTO6A}J$eqSFS3OCrd7}7xEUE$AE6#I%Gn|UsNXU%FRb|N zUX@V4*`X7ZdzaZT(Q*;gqvyh!D8gizGo2VjXdM|D{Q>;N2IJw`V2Jg-(=L$fbqSji zgBA|x4!8^8&yrn#FLj2TdXL|2=kd|sIsJ_9naYU2?X4otV>hrgOMrvr_x<2Rgp1mm zIAGbeiEWq`VQWUXs%^j{*BlvEA1>=F4*!&D3$-RvyN+K5;kw4ibWt$I1=H&m*(~8qd>{N+PWi`zXA?h`)5(4;cy_HnmZ_nX>uC9Pw0x1hTt~|bVKbLl z2V>uzyuuJ=Xg5JoR4(W`T7IKi++v|F#)z48Lu2r|0bQfyjpmtOGU3gfrX zjEEnvvl!Ej#qq7^S1@c_^KCbiZ~I+ufA{a0rKj}Jyi}ya+$O!}rmXe=-k;?=rqpmOaw6c}2Fbk-kRYF6o(;alug zn`(^OT1an#8pC1*4s+WbY6nMZR<8Ch!liA0hqO% z#zFe78I;?uyZ!cvJT;{_!7Qj&^z<_aaeyqMh9>UmE7GB`6(LlrsR*R22V*nDp)kum z25~42MYHb3fDLgdvL%SI%4rz0Bv&9?Vi*S!A^1EEeoEEn5r@Jo`)#w8lt9<8oDjdu zrQn~V@{Ih1xdTBWUGd1+5)IM=qQwi5z7xM$mG$rl7=qWi;L!MReQFGKN}Y`nndbpN#hID^>Cyy$$=nmO4N=qt7d2y{Cj?>WO5=xTE% zKMZQdlY#CLseJv|kV`1T_N8fKfv@x7tGqmt#T7KW&JZ@Dd3^2|IsI%NL02Bx?Z z78b3M>+-N@ja<*TW8>)ixU1a1@AmHQ8|EU{#Ha!~LCv-fxMJkm_iPO!JuHj!cw`p2 z*nPWWU`9hWVwWLY-~kj@JQ<`)-#y&wbZLw2YR70-MdT_{0zgpqpO;*>3vp5KFIQ9}F!8y(I>MovhN|jSfzq31PEBNV;gZI& zY6zT=;SGV7aO6UuHK@7bW?c*j7D=7*4Mk*DXM`cR{!lZ*I9?IJB59$y^%l-+!SOAY z57c~yN0t(Yx`eKbZw|~U4NKV;My>hq>hkjH8g;JHfU7j%C_R3=VW;kQ|39Dd#q-%- z?SjROVJP)!MCUM5VCDulFTtZ08PEy}BW89xNckynK|iE2jF^dGvy`z{D6E;q5i=oP zNU0(k_5*M&FcX~x@LPBBY)iY4KH(z)++1rbiyT7)(?E=2Y%@9&dqS?A!h+hLu5Il zkb%-65om!zMxODeDcAD~8ORDFKRU0F0ZMNxY2gF3G)g^-S|I~t>1D+kg^Xjv-e>N5 zQ!ZNjWOnA zH*SnEC%bWr7;~x{H^!Kg&A3HgcCr^YGKx|c5+g@;OvlKvVifI>xC0{7vTf@~xajYl z?!}E6fCuTt%>nA`#s{kFbB38e<+|e{zg@g#FZy!>l&j zj4CiMU>^fd5wP=PGigv+#?rFs3p(Gx78 zh|hL|wnrdjT`fM&42y%VJIc8scMe=c4|;%PUPcj;<+954+?@zy`TL8+`oDS*`<)*a$Zhn=~;Oi zN*?;M)uZw4_6RJ~KpVUmH(43S9Ez1CeYzvf&*n+68YUXqt5fmX%cr~Vz;_{@mZ+7z zgyD6;wOU8nxoeq6+5NR&@qhl}XDoIqX6x13#7gQG2*VXqr+t_;h1 zH9DfS$@h5N)k~J$Njs3*B$u$=3>v};r(%x@cB!%rie0_;!l{5GBQ=OI(pu?MY<60K zN7Z~^9_P|(xxleXe4f|UCgx@w2l0&09gzj8qmv+isIv|7$9Y%5c4d!pe1%h?3z=ge zTj$+`R4Io5j0C~4Vh+^o0%`)frb%UkA+mt&OITpa%)^>_fCrEhD8T_(orFs=55MC# zyyqidHRmLx(GCkpZKy+Q6&_AP4e5oGuOVy5}$t6h<(EaFBH=PS6IviIz|x>Q9WTGj!9mr z+>u_Q8VY`@*%Dt1M;IgS+ssYg-QYHDI zqxVO_pIhntpg1l&MJt{EX6XE1@FRckuYQVW9Q0%i!5^q4m=1B48eby3B=Hu2KVsVw zG*qQDoor~1HabTHB?1xQj0jwSzs}+URPPinqhSI50ALN#H$A0{3wNRb{{wOo3H%4U zj^GbxgZX}fKWiu@s*Zzyzeyc@>2nUif44z3Lcm`=lme((l(sLZ-?hEM@3ukrLAi6u zIGE)TW6$J+Lqi7GURlPo)7vLUKSNS{4aZr4f3wkK%W;R<=f#>U_>1EZ2n;Lu-z@lp zz#u>LoCZMM$7hd_ErJZh>KeTKqTU~>jaSeX^+kfx=4~4uyhkF0BHjD&g zy|9I0B&Y*OK-q1NX?$0D3D_s#lMFEr19}*YxtlGV34tkn35J3_X1LulFF?aK(I9L3Tvkm=w}C~?pY<&KdI_lWm(Y6+&3)`sF5*os|C*8r6j4)hAkB^uBW|d90XxVBw!&>_H>tD|EX6fQmWN%Zda4-N zVBVO%bn8NJmQZ*%2!!t;@g9R?doB^NauZJ}g&s)*9y)uZZghd_H4C}#olDe*70yK9 zzG4-N8;{T)!6#gWR4*$sXr0QF^!#~-B)!lW0#QJIy*vD5p53A+A-uXgNuMtDnLGU& zr!T+LUwco&vQxBz@$yr22{Yi%55D!M=ErqU)$s|&R>z;Lk@M$lhLa`oSb08RIEW;` zyTkD~$qbk(rAw_a)yI#!CaJN4d0)>Yl`5hY(x*!9Qb07r$%;FZ^!BkQD($rXT{K)!O%bOQ?LEfk9a_Wj-8L zw+#(-^7GF5XnecYvd^acaue?bN&oWnbo%+tK*bsnFNcb2kBFC@q7}`TpQ1}5;!pjG z?%#aZ9Gc@6!@ zH=_BY9QW1jFrQn{e5(cfv*W&?$%AlRIOuIf)L(?rM6-;JO%XrmKc59>fyf1nF|nIS zP8SDpmMo^LEKN`w9@md+g8D6h|BG1gOA^#87|a6>|FHk?wEWz1uSlWMXIpv~~mf-25n7bWC0BE@$t6=Aaki(MIQFiZ|a zuw($8E!)FZ3fy~Cn>G0!EaS;RcAiOf?0vgSeR;u>4w0>S+@Ld0EJ4p#&&ezo!8oz; zo~E*gZRzr2sxr&-1nBa7zCGPT-?PuS(y2eWCt;Ol-Cd%8>;BTl%vuG^(?19ycbyvt zq|1=(5JCU{?7a=pZCP3#G!!MjL&zkdprnG=5(v_q@|?5x+2?G~GD!~}A{1ksi!4fo>gaRuu(ZZByVS#8x3=oOLfK~Z{C=d~;L=q9Dkg=i= zLdxfPf7W`}+WYLi&%NJw@9my_ySmO<-+A|1`~P`=pI10-f(0za*uerGG`)ryc@0JE zfCC3aE{~N12m5=B#p!oIi+4Sw+bz3V(dm_c?`h+@TXu<7EBbD%=wJAP&-*iL#ko47 zp`S#bc(+!Z!)-Fs7$$l-){678y38F1{waFM!&jV}Vi8$yD%bp4alTfZgN#kO!m!J9 z#U?*&h`AP>q3CRN-9Jf!-S7U<&-|93o+~;#?qH+RkARA0^CRSHC0eSf0BU#GN}bkW z*9aG0ED@vY-UUynl17{v!GJA%6tMcEAVJLqK^ce8KLz(>$t(pWv%C?%64-5SyXXvn zdzA8U^jwwRZE+tZv)q z$UdL)qUdb0Hcq^=`CLwwycL&cvgEC}K9ePH#RWPYn9ac|_Puqu3r9pxkMHLROnfGZ zQil30Q7=rAlVxON@6x5psMRbqL`TDAwSmOYsA&CD& z{^)@b85F4t{L6#Z942YkJU}A99)oXXglk9VESxFX@xmF0Zk3?@)Lsr{?hS4gaP*al z1vNDc2tXJ-+;W z9}nF@>3q69>21n=EFvYxuA$z7UJR&=)3m^R*h1u&<~*KQg@}YK?4%aU2gxE*g7iks zu|$vD`r?R&<8y+#7iD-dXKPe?Vb1dMbO+HfkVT2E_SF%^>x1M{vIHTmY`Zs}o-k)| zfe`5ug%SEPDln=!;}wF;@|Az| z6SMxIwVQ+5{TAS&ZVnWm3n1i@bMdfP#W_BR94yIab6tIArT!mkg_!Uz*nr?h1Y1%M zmx5x!n_!PJ0(EnAM-;P{f?~iY!JVc{9J;M_8_4@8_PYsU7ukFly#b)xnD0V=vapVA z_RQgg+5r&+Z-4@Oo{?{>B)CJWh8zrN0qUbJYWMpSD-?Ntb|5dPjz;v4H0KFaeZ*kA zF`a)e?WKBH?0C>Znc5GIr)jJyLf%dFu(%``lqEG2k`*5SxCi6q>GXnQPN)L)S(hYt z-%pgjknxF<`@X!8v$}$yu)=*#03l4v4>SG?hjT&yq%&mK#A1yhI~C-c&+DJEFVHT0 zoW*73ZdhfJCAiI`mtt*T1TV=otim{9(MzG#B}q%5&h$_WKl*^ITEf$-CD{9B<`S)1 z!rf>IKkzLd`uV^9VQ+ZDy*EEAVE}nvhFXHK>Jr?@np;A3p(TLWM{($IsxYI}62P6K z^?nDm=dGbSY6*zzus08u9zozjOE~r9z#9kPLQ6n|2wn<7K=Y(zR23(`f$9N$gQR*9 zI)XD9>QD0|JB}&*6r9Ob3^Fuc`v+F~SBqy;IYUnMO)<(`_iiIsGI84adnI~t$nB<) zZo7$%>!88xiljqPeu8yaS0cikMrJxRPlrMmxZuuj1esO!=6>)oxvo{<_QY0xd zOS7~u`C*zzr69Tc0Jd}x8C;Yk+ z>)=GbUYh-`82BV}5q`(7|IQy+S?&zlGy&3$K#<9=EO)FUcnVqW%takS>00!%AkI9Ua*0mC|$PcyGj9wc@h)*r^Qi~fIiQA8NP zSR5${j8~Ss*C#{I3dT zPwp4hG&>8M`_c!*xTPo#MxpSc$Lu^YNIg5RVW3_NQn<{nDBm6>L-vod?T3*A<=#GFq@U|0I7!t-pRo_{}nomt&t< zYWkaDsAJ}cvAj~&UT1784P#F0q9(Ue);gukc$J;>K6giTzs;J0l;EFVc>ZPWT>AX` zpL=>EwDx|?|mV)diM@`D~8?;hW@cn`^*2rPv$%>y%=r~=U0D=ZY3}cZxKVq z*i;NH!9?@O)6zR)EUnTJe!YmH$9=2iRUJ5LrYLKX#!Mz!0bBH3rvYh;SsqtfdWr-$ zV(26ZZh@hQODp3}ht%_WCnZ?ti^DPA*j{3rYA^L&X$X#~RCz!kc|Zdwd0c7ENow7w z;!IQP7CKI|L&6@lg55!S=f<-s6g0j%E(?0si6oogl;>UZbNq-V>3XA@(>(%RuV^7# zHPmW5^1YDO$vmG-XY*0kVk(Wr zH5dN`tt>3b7;2{xJnbzP7jLMlZRPcu$zH_TXEMiGITvH? zti&tA3p15-l4_ozUA=6mtTE(^WsY{zwqh{ zFIUy}4u`b*{k1iNoU4OiIda~*gTP*XGnZ&pZkAu7Ya9gM{IlQs4d3v_lzyfa1Fqay z{lGKGgJ!xmR1q|UQRCZwf<8bi#04j->4=_5aH^V);OT+~G>*g4ERx$>1K7MYm76Ts zYlFEX)CN_TvLGDPMwE{4At2(aXSyJ{QcTw1mU zDk`Rm3pXeAkU?ojm8hzE;hqUM_U43)P7OLiF&>mlhC6%Hf$LfCY_K>CC@90Ny-}|L z?^rNU)(?y1%lQ$Z@DfZ6FfQ=4SOG@fPl5L&iMmQyK$vsa4W3j%ewRF)!0jQDaG2GF zuTmZFlE=P*#!Rc~fzqrU`o3EL~`)>ODw|YM90dlKGqGYP(;ZNbB1>h&L`_) zctrS8UZX|syywpTyqx`cImhSa{J@_Ve5;<9^Mi}e%ei=7&gVtX%lSNfUa)HYykObz zCq6S{&kH7-eqP>j@qxJ+kU0oBLr9USRZ>;_f)3k}FClCoYGX)Ik#SZ!n`R?XWvZ3T z7X>*3Zj-pZ_|bB{DK?0qs^+l4Es)o5z}HZ)S3sbMe91VhFKiQG`*zb5br}tx_PGCI zvnMy7P-}(hKt2&UK3g14p^`U*rZ8Q*-?Y29|Hn-WcA1>-3xRrrc>Haf4{1yYHDQIkXk+fWTp0I<}Jn9)7;mjy(k#@&&9XK0%w(zFACLc^;iy-lcZCR+V zu^zUPq$|b`2YMZ;%}Ino%JwKZs|Qhqpk5CJ$Te{Pls|_$92r|+@gX`Z=6+`xcZzs7AvBX-}k&ic!~rP{sgV( zQiLSvF$7TRXTjp7>&AF3PYvP{wy%$Em>ZatQ_>=F?TS1{k2 zB9)YY5lxETjV1clZcF?5M}FYn`jofKB`TS1idf!UvTq9dAsa}&^cJc`&`(@^mWzTo z8LDP9+1COTS&;U#jp&rT+z3>hem3K=M<9)+DePkqN=eRv{)DB)yJv!kXOSQhf?aQM zjJ&k?{Y-GOwP;LKnrtm9_Ol;Nazu3dc90}hBq~jIAuSS>raOF!U)m06qQj@fq91*x3}tK>YLin=ArRK=hmu${A|wJ`GWIFL6Fh@l8%tnhbqLut=!O;@39o9GQ;Kf z>GUAkiGzhRWH1`r(3w1*A)}e-h8qAQnnGR9E9JSt*Isj5ATG9#&TV325!}#}nH-#u zafa5K+LC5>5!`@4;T)H7*#1$eEv4Q#nEkg&I`!sfE%sr)dW^snlAZJAkfqD0@Jp`w zr9J|?#V?q3+4ufrFl+S@EY9Lu)r#es-!*E*_CNW_uYO}F2p(mqIy46`KEjEP$k>1* zlJ6iVv_J+fxSS~1Zq4m%KbczLf^f>y>kL6~Ua5aN3sS9cK_t~`)eUxR!2C$H!Ud7M zq>VR`uwzw8c}A)gE_iB?BS5tRFB9tzngzv2pj;i#fT5AZ&!nrpj4V%&sg|MVS7vu~gltj^VJm z6c+71USaX+Eel$9iB?#=8(933U;g6VuLM{WC$dMY-HCkM!+54`JjQAvu&BOggp5zh z7;)IKmq1BYL2KJ*JRQ)JL1D2KD#*xcA+TsOqJt92D%f(Wb^J_@Zh=K#+B;5>5>f-@ zwwPJlY3V(Vf=iFFT13}5cqiC70a-#Lq!zTc(~Mi><)|Ri3l%@pK8m&NlCYuBe*#mU zz=$=FWVG5PJ6Jcm_zrC_>Nz7%#%bG;U>Uo)-&$I;O@aegdS^RD2)c7WVOcc?r;N_~ z886SV-Qr~HoYvgJ=Uk)_I4BDsXZO1Q*+1oHtE>@ZSJNArfijAQv;3isk;@;a&1YZA zKe@>RTE_f?PKtp}bdB|FR`YJedajuzg()&x&s5SvY8$NGq8oonK)&$j?mCeO+%Wm zWeyls1R{SD1h13PApe2v5%W8J53SR+1`w9WgpS{r!HrUsos+r+!;clR8M7aX9%Us zcp`&4Lns8NS}tY?1(Z%TQp^wvyI?9OJU}QUCzHZssy7T>Qb!^w9KDgEGO{Hs(;Cv} z1~E%m!dk?KHi=~!-7G9S7NR^;0Ty4hjx?fWkg}|BmUC8hau2Li!*dUcs<;PU6-Qvj zZ)X4U+gWT@d`pv_7eIHtV;PgDzj3>eDeg$^pFFEs8Qh{Q^rYpMqh+DtRz_K9w}mzc z{c@}4I4DwlkaV1P_xGB%msEAQ|rGjWOAv zbOC!&-_J#rzteZ>-&9DQV#^YWReQ1D0{{H!Wm+p5Hb5z5;V*+gvA&G+`h!x^{xO%5q7*m!%UDWU_{&fmTJHbggav;YNsjMHk|0RF@S8vV5W)0M#E1`{N)K=(h%1RZTc4U&HQUy1c=5v^x2*IpVv7CO7 zoKL*G4~Ju+{njovjr&os?JaJVXUrNEP^bratj@Tt++5lb#x}z-?8!00oRgzv+TvG;deX?p!?*=y71D3$a`@tF#7|jI)?n&tUVZEvx1072uHm(( zA-rY}Ylf4ej4(pZlc

bc7G?n$&>!qnBv)4c?7!@KgVt-}$NEmCIQ4c^QP);C2vR zs>6O;@MjU+vbByZ1MT(=NZ&VBOOoNT02d_Q=!|GK8eIjPF=BtJB|&it=vqr$&F&N$ zmeS>%*uS?-l)QAr{sWqV3%dgaYqcXgQ*bc*gR(o2ydgR%xQ`M0jk=0TLfh1W!8Zu> zMQd{l!~wFaqbaZ-iAM-KQaNgeVZ{Rbp%jtF7N#w99uQ|0am51r)4A*q+ZMsSQZim1 zmI7(4^0XbqDy59Y&MlZP8hWu9T5RFcDX&lPcR`EWH_EC3df>v}B^pw^LWpK|l2n9a zhdZm9GhU)&-!i{hR!2s3lw9l`GOy?|9Yqv~Du5{}!)Qv7{4yQD6p?~0%vBOu$~xxC z_u!4qDv4~Lnz!q07s+;^X|uW`B?>1aYbDzyQ`$A&Epd@%XN^`4x&MJ#z<9W_|Dte6 zjPo;47x2jbs;)e}*+BN?73`pRq&8 zPvS%!ieS}CVn0EUVCaI!E!(jZ;-KMZm?#Sp3tbSUrzr6e!5-N@<1)rzt3cLxHjw;eK|=O~m$3y1W6Uw5T=h+0uFkR*8TsW2 zGEuD=K~FaA;K5T}F9zl8l z4$}^HY%80ME2bS}s~=&wqgZyxV?jbooym~RN(%0^1_Tx>&B`L_AY1(&*aJjiLZib_ zy^Bj{tDiF-;gBFg_01sVAlsA>L^mFRQKlY5o02nTlafmdog`=6SE&iJm{*u?nX5fI zyj`UJtPm~_FJoPn$=?J@Bhhrdj!rl0-UpPG(fiwcJ}U?(MMLWyBo0k%zyYjzjQy_jAp>&wI4GqEfG}6feVLp4R%&! z3TOf=Pz@vz?2xynMUe5jRR1iP-=(Pmu2 zbS!zL0J}M+;|7SWI6KF53=V>(8$4(B{>*?1xz_adYftQ&@M<4 z+Kid`n}Xv54K%|r&A-Su`S}riwRt+7=^^~I@aOMhk1+V+cSTa$j<;Y)YC|IFt_x{v z2p#N-v^A|`%$!Fu&W=q$T2VC#m;P0lr+f%G3vLJEpdt%UJ*Rnn8RzwpHoA*xcksJj zRW&LBkUX89p5&*+5DZQ$=Du`(PQ23OxhIbDx3B8b+>Tu{}Et~wIvE;oP|JyQn=w?Y6xp&|&m<3Pm{wdICM z+XM7U>IDQVF@NqVB+_R;n4Y$bFXo>0Lj;b=rR}J>ZM(7 z72Uewy6h6IhU@Z6bWPpn&;P|Q`D;I$bF-8x#6i@ELtwc{c@~&dw%Y+$4b>VhZG2?0bQ zxK%H0q){S>x?qo9g_`xWXJJS=Bj1TG2(Caj{Xw?Z77^J>kikwD>;T}pkH}%8aUGYI zU}us<5LmO!x9Y_%BqQn3=?DW`j~f+v^_=u7_?$=uCuJdabB8!@Eg7#4IzIM#ir{`8 z>}PR#z`!U+bOM<}%^)SYS-v`$me4^6t0SQbX=gOB?I&Dufh?Cqf<3(Fl-xD2^DD{X z3c;zN*=E}gEKQYUyhQ!B)xJz<9B)zhuzI{ks5tH=77mZQkvqHOmFf$jUsQap@40QA%gh!jIMqBhyraF{k(Ma0v4k+lNU{kv1 zDVW{!c#<``O`T-A`mFCxR9=AL$ODgle9oBN^RTB-&?X)L)QT|?J4LrC$XA_39vCl2 zVH`8HT6A2m1PP%octV1jst?%7I{BS1KNsxba!>>v;g%{h&^`HUj5DgpKnE#-9`~qZ zj-BvS9+|JrEbJaV&&vZBTiW*%Di)eP%8@rk1Y>t^Dbgp1pRFkqc%AnpT&^n<)U~{{ z$56cQ4hr0n%+ihyi?t`xbzG0424`ef_e_Fv3?Hf|lHJ8Cl&{&@Y+C7kk#kq%GP8eJ ze@+#`s?YT+Ud{g0-z&=A#3{h5!PNh?DMHyLu6R<<7`fn_KMxFLvr zG5;(>IXk+Ldl)lZsp@_;1Fs;^5P(GFRGP|2_x z;wH)zJ~*OyeL%9MiqTj$pS;9I-^F{KcXF%AbrT)jQI%|s6v$h3WZ{Hq8 zEjyx^esDzb8ew&&+T&vGONs-YCGowd{nQ2=%yFpaoK<*|rek&M7Zn}~CgBmchUhVM zftvi$0yXlz&Zk%H$C4mqFq-;MF0Qmmlzvc1>tr6a(b;^IeJ7l2GT{(LI06^J#FzMe zF!2W+m;~y>25^cLksIZa3F!ya=(FYkA3{MQ1Q!TAh;c#QLD46{ao(Oji9YV|@j9Ob zIFdke9rnM!jzmdVxAN}j>2XEq&Ch}p3D2i<@?Zo9O5)_HhS-+R@YOA!>`}M?bZdU% znxA<8y)WcO*yEbd>sf1hvNM{dNr^JM7h;0)6H)7}T-}?kSps&L-oY{5{~WjGvg}A;b{YOo`jf zlt7C`;w=KTcrcNNf)Vmg65cY8;$Z;R?(7v{pI*1bvP-l^815#*@JXNjY0v*(w+FCj zK8Of70tY=F-3n?Vz@C7x3b4r5uaP(ctd*Rxy8H;9of5pn9&wbL!uANTlh_^s_IP5y z45}3)eU&4?c2n410PG~Vi(A5>7(Rx}=&m_ut_S$)aK|+2z_3Z^6p89jx090&jbXPp zBi<#ig?EAS+!acO2GUbi>jK_eMR)l=h4hsUaMzXV?rgLWLqz&D#Blo|28H&+JpjMD z6&(1*E0KU7KO!FC!=+p?%P?h4ELe^yx1LzA>=Lc8zx)zilUVSx-~G1#^KZ@-xgUWr z7G#)-Mu4qff(&ET@I^ENDDIyAf{2dJ;ppw|dvf1HkvJmGU;FQKVgcNXNcfYSyD@ij zj7FeBfhIk@&21HpxCZQWh_cy-Wy4w%MN7iDd7%e77N0k?4<5ElPFVIJ;rNw9X%d&c`$6gaeDn2 zLr;9Qh14D6yIYuzRr$lZY3;8KIq>d@#?2|w(25p-25s+HoIWw!t@Om?~f@s0y zsc^8!KMCZ&@keF!meZ5bTRJAE@GnNtOfq^S)Qx+7jrXp#@hf`2I|ryo`SGr?OMh(t z10VC7xvWni;i1tR*tF0tor3vjmv*}zK2~No9Z}dff!<^V)daNJEV&7okLW!K^AWv4 z`-Wb0vX4W`xe~n*5SBc(BMR?pHK;)E?tlZQ!gSotX33$PPcqsTWXX|k9~jMji~1GxG%Xb*Yfr7QiA9(+mnmn2nuAa%q3i>PAKn`gbR7A*IQLDY zK{6np=3`(g8pqbd54|g8H075Ufe?Y0@nd#b1jT2q<-5&VKIFZzoHr>xOtQmM?{14~ zh$uacs8tVI^`KP`x=lUk?|s(y{Dn`?UJMPExE^Go6Q;f{%X>RD4GYs5$sSU)$FMx` z>vH^_IO|JKX3|MK&!f{*nRGEMKN)S0VfhqoAB%SvVfot$cN5-n1C*sXZ32oG>8{)f zW5Xwbp)tZOj{e|05WjwO9?*LhodbZYZ>OJgltcQmhcNJ@p=4xc;ft;S; zJRpYVTsEBDfT3`9t(-vj-*^9Y==xuS+E0>L@9V$qJKk|WI}gS|)6D2SQq(|yQzewe zY$+7Zkx>?)2~s*Od9urk7(oP0G>K;pI0e0AJqt$AM3obJ)ialE2e0|%TsEY-S*Mh? z?k!R_K^pJhba%cRi{4 zIcH;i(DLQcVrbh>w56f;!~D4IAoX5K?9zUMSxF^f$Cvd1v&jUaPt>G>R4e3%RvC8Z z`oEfbAs>T&tn$~8GM~c}7Z?V0Wl-qImxHnHjHx=p!Xxu9?gQ)jboLo6cYrR!^;zrC zh(gplcxMK!1LkH?;)d}4bs+;Oi3tKHcnqAVD?rEt}5ap5_zy2Z86%IZmi_ zuLPq*9LfvHff%sZ4l*60KfD8XohC3KCQy61qdOdm0SnY51XA=BWe#5qSb)ob)B_a6 zC`)K9^X)m+gN8dQI>j~nLNQ8#wrCl6-0e&SzR>q3n%)NnGZ%D zt-l*9M=#C%?cNK~hV|&`26b2e37PeBH~{H-FD1h-na@YKOcd}@Utb+~Y3A>aa94;) z-XZ}Zo}z1DoY*JeJj?}5KOv$W;Z-2``cgGPUfH(bc=4HKCyaMbzK=F8&+x4?EoFm= zwsq~G7p#t8&O0%Jc@4(Mc)O;7TOEeK8jOW0KZ7}(0avB-F+;C#CcsxvbpM&L?bQ>M zZEHC_*|rX4Xlu=yF|~cr;r?oBKS}rgxBrQM@)N(FYu3Qw0vdcL!_^V^RzaW#Xo0VJ zhw^O!4ExD4`&|(D2YZ-qVc3TXm06Iu?}EouuV8gR;PsT$G=JPKi7%qgM4z#6rSY^|K7)wDtEf`LVxoyFiiVuP6Upd$K|YxCYWy56qCr zm`)~rhwcaKTQkE168SS8Nf_fhXV~|=v8C>2yJ=?(E83B3bMhzDoP60OTHVce<8FTC z6aMt`+Z^InM}%Xbj==MN+SBn0eA#z}yO}`55O)%6(Zxmto06-Og2X@<1RDuLyqs}{ z5dMU2DS$T8oFVEfKxA_m2KtN$nG>-f2$I<7ZsyY2-3)hL4^lFnaa(x0DVW{OIO=8( zM=t@rJ)*e^F)%Nk-OW1$u4zQeJ|ZMs2?lpF)@F_XC6TO6I$pHQ$WsP)Gt>^S;)?ft zo)G?YqUDXnt zJz{h!ALTugZ-kHyH#}vKH2BrAAGV;ORM*} zOSGyEccVHy|GEFqU;W})w|UFHX_m`Y`ddpX)#DCnZ-g8)LfO{@ZqaRy#_Wo=pkz0? zcyprw@1ooM)Y2H{j4f-UqN}&0Qau6|HCzn)dCG0xA@AK_(MjO*(tHcKKRUHzLrR;) zzO$fY(WDHq15JF%5Q7O{?qlIL?;4cUi6jaE%bi>Vw1go6IOUpAtXq~FmH|zGPNUc7 zr=styqp5vHe>GyU1r-b2xkWX%s73)*$<-2rw@}B{zO(MHUfEPv7qun+6)|~dlwUFS zZZP(%zVYin^gA=gW@C{_&N{*;dZ|icIXbfx<~b9nvUv`{9eOVijBhO)4EgCDmS#%U zzG#}prRk#feLQr%m9L|DTAhfN&2uR7s1&ssd$dW-R(G8ZhU9p)rBR*^WGn@VOJK`f$$3d(^eC-{6es2`wT zirE@ank%1=G_)NWYNPBzv69%W9RoYW zDsgfTU9iz^^lnWyoSu9i7D-U=y8q&fWlQ92n$b8*k4O@9YUnw+#1B2}7U(rQ^rFar z>LtB5SmV;BsbE%1d0-i#L*uKN|FP`X_+Hk8q}{*qyykn+Zq=hea%0(J|sU4hWqU%9L>SOX$?MsC= z1l9?tr0~rSoUX(xKRu`I(W-wLeHCrTej55xtp@jaY*Aucx@SYG6H+|eBYmmxN^eg^ zTGGImdOCF=yW|UXtXh}vW#3N}VUd}f%{lfKEe-pbGtqF?k=+GJ%(-K3bhV%H`aq9k z=@3_MtQ^VboYmjlTrtHhsBNyaxyt4W8#O*Q|AIWM>5`SY zCbR2w_$7~yKB|`I{syP!(N9%?8-$slVdkqh?jqCo3u zb4}$L`9^WU4gpBPEwt7!-%u8$y5NFvqZ}XzAN5GR5v{f)ctQ=Yda#D|MpPGE5R}+Q zI7euJ?|{e5cg{;o=+z~WS5EgCldX~IU7a6M7hDp`LI)*)k~`3n6R>^GSr`_CQx|B7 z#E!BeFyZi=V70DrP$AQNCe?3A8@S{f$uPUvC*qHf0WO>d32;{$j_X*xv|v1WD3qnd zjc$geQAZrgl;r76WlG`K<*ZnC1Lt>O@&3#P`dX&+!e%W~dTtz0&ER))|H92QzO7mO zPc0b#>u>q~!*9*Dd)MIQhN?h~vFZrW0!9tM65PLZA1X44APq?YV;wz%j?GG+)$dN` z-M2X)D37xVg3N*qD;fUL0L%%Y7%t5j1&n=0P**}wVmduRDGCZ0yP%d6b4J?crJ#VZ z37&8?KBL4`;*0{uE~spwaN0JACsju+U~Gbi11xRsBU(ln=|?^o1!I%MY2k?kY;Q@A zB@nAW;jk5qeQ_|8Xh9RWO*Qrs=A@_9hV-biu~*BBDMf{^UZR)f2EjRgGoahNwnNvW(rtpn zB*tnG?!2mSNhw>iVjz(rR}8H0bmwXpta$K;Bws(yC8#;CPrtHT|H9jRRSim4{}Zv( zmt7)a>ys!lSAC$@P>n_cF5FD7P1WEBf8YP~dyd&oSC_2Y={iC=EgE#fv;{sRk3(yL z>?czVlAx*v5ZrGEg2Wyd1bN5S4A!mHE9Dup+Zdrqn5$v~)3U9X&Tf6y8@J3kpyl6K zc0!&pyY)#)a?+Y;`058$#8eG@AG@~G?CdeY?AAxPdD{TJX;bg_D2~ofaH%22t&^ra zu?c}nHe1y;d?#-Sas~U0(aPc20kUv@3-~q48Pe*TEnB zs0&UqY7#+R@VIZS;QH(I*5l)uy0bq@zuLY_RZq@G&_=L zGX?S>&X0J-)n@YjY(UMelI@@*TjQKAImzf5FA;7thUK~X)r_8^Yt-=o`J?I;F*xsP zg8Xdm0Qo*&XGJm3q%86%Qx>I2415BY&YQv&{Qd&I-J(vcu&s8vi3nDQrc z20XazOAwsY;-T|+FAb4+d`zP?B0EyUm#_x$Z$F5Cy)VI@h?#de6Q`eqtC#D3(D%|< zc8ONFy&JgwwKx9Z?^*-(DX=YY>#_1RQ2z{45!XO{oxpEqxr4ub#+3OrP`?K1UwHoI z=U!mDgiv?3w%KCh+LGp@#Cy+0n$g}?)!=SagI5l3`rOZ-3p2pJBo`-w0&`)8Lrcy9 z2{TyQTXv&IKQ>C%*aBL@45(eBp_v7v8yn3yVB9h&&~;$cE(K$lA-CN|o_tL>5W@^z z-zX<}*EvO5URoTH=IuWAD3a57L`ws^*vA-VKn0qTmm{zbBfk_Z!VIZJDA*eYAr7&` zZC5}aN8$py0YG6NYDbnt9oo^XX?NcrPAn*-B*^LN{fw6f)d`wN zzc!=9^v8Fe(w=;qMVi7j*#~-=H=naGC1~as24vN52sU7wEX( z4od%`hySo^*>wX;kJR5q4?nU2h;Q7-Vf|h7@PlJX`*@7i-xVHyRKX*=1K#)som;Ad zDGK#al|Tjnk@P%%JCU>4n&Q|yDQg!*y&PzFXTE=mFJ6Q7pevG5blS@wo<()jYsq#+J13lk2uP4tLcu>U{Kb0-0SWriF^%*Cr zYlyBcI7wYYbWMU&)HOs`7etC0+fIY$WrVqg=<0&UuIV%-5-_VquKk3AA-cNY;ds)d zd9)=m_jeW)bWM_K-@|h4cV-O-Nxm#yvO}v9N!e$eU`)gxu;xrN-0&Uhb3%`OXoedB z^KGK5k>RlMXVL&J*3(J0nqav#7@5I!`FW5zC(Cv za+~RF|FuE(b-90&4YE;9Cr>$36j5z^gAuy1IJ&cR5iP^6H>T}QUybRfH(hSoC0gPB zZs7iH-}6`g()Z88JyNZay9Jl}ZNWWQ<%p2L+yi=SiT!E!ff>^YqB=I|hUp8YIdt}%+>4#h8Aq7vBHUZVfs`KJWjoLKaBz?Q-xX7|IOdO8tdS$#1_L7FSLRmrXr`lK_f&0~1Uyb!Oqwcwv?}OO(`FFlMv)~H(`x1?eSn9Ud zj7GK^>vvC z(owmtS!T#wt5fXDob>L%B6j4X!RbE8UKgLG<)j~)Jp>@x?T{Z{opg0)y5x2XGJlZ- zwMZek$c2ucm71r9FW#Fnw0yx|FZ9`@Db0qL*_ZAJt-pA`zJ=X3Z6kBhUv9bSi;R6v zD+2k~N+s~5IRC^0pz&Tk#ptoNCyPIMkVhJ8hC3ULHKihi$&Mq9HN&lq#+oR&(6q>5 zW6h#jCmt$oi2&Y%VaY?dxi`n%7W55#3ihB&C~gI$zzF+`9g%S;QgUaFgz{q`d2cq{ z^bLzV5W_+t33^ACPd_Mk(lfZ}!NiPbknf3+cA4Sk-t_3vi3bK}X{=plD7e_5Q7E20 zxw$*CM;5gvMYGNmSh9_+>1fR@!V$%5blM_NU~>wqb*!-gr%pa1Tp~7m!b6B^j&k*7 zkJiIB!IWnK-6$_STGzJ1)alOtXkF}wIrd2T-}1vV{mmY&iLRHVF^a^-$|V$nInuH; z1as;(nV?C+y%RU!%~fuIix4WTUVzd&1BUud23;hmy3*IaA(Ja=N zaad5=YK8@+3uQQe=(|~NFOOT=|3TNy!*yLZ(IpdILc{t;cHxZc^CcM0A2x{BA15pf z(e+ZtmaKzOWVXT(XEWvQ;9f+>&TP!wpra{Tc7{Lb-I^+Av;(RSi(p}DX_(p}WHb=Ei%bM*+7T_BwdxjX*jjb#Z89q*j2d3*V?No%JoPYN-of18 zfPh$HW~>=nt8UpSBd8)`KLk}o?TMrcsbQCgqPV!SaHCbXp=L<+7|$vez1cOBLbF&o zH?0q}->TC+pxL$CRja3fP~1)JwDY5m@71e%H(u3W`91&2FZ^cKIn2ck7Z80Lmc)me zp^jbVPU&Rk$KS7YzrwbmphgSTHaE2CrG3uHj1OmyT!5Oz!k(+0v{pmAyyPl2> zR`|F}DGSojN`mkMYSwMH2d`zBZ5)$`Ph|Lz8PNy~CU23JOr67LL?3Q-fx@F=zT~_# z-%T!fM3*dG`gmfRWHDn|GqgKc2kU+Zr)ecw)C_^%6vvHD+q=d{N%P`G5;1&OAkLtR z>e#b!XSsU3IymL@)WYr6z&&0|#*(f>-vB*I#W1EgNU&r+) z>U(xGX>CNZ59=}7wAL>r3leBu5TPmrwHONm zkSQ!>LFz#+csN;r=K$)jn!w^7$PfBq_>j2^kvw23MtP7s@P%Tt&ntQwh>lg${ zBZ8u60a>4M7CIAVYHSseRl&eqZR`OS`7yYIa2}8mq3m5mmVUD|iSJJ}x~dPeXE zP(MaVA)PR;g(RF*rNoCz-2-+X&%AS2N|EKZ=SnGZ;bs~}LFm$W+M9Wwe(68@K%Zez zeKmEzu}Rua!lJo&o|*LGhr|c8jl<>5r|4b5M%FE6KVe}J`35(l7Vpzy}c&0}j zT+C@$>SoO6Oo|wiuomX@%MTeg#dYaRPZDg3so;{A2{soYEx}GeZoI`t#6tfr@F$?B z2#w|%GFnz7RDow&-|0Mj75|i}`D3-=*pSF=xcoFEE-&-nt{D0RF?87_ zT3zhRFVQuob6z~cAA9X2s>spEjba= z_aF#9iYe2wYa0?u(gp>sMq%H*?XA(YMEgdXzc2*5J-*P1miIB5mgt7bq+EdQ5e+pe zL1L(FhIcxEUX~uA(Iket;0YirPWv%-Ofe%|@^E5OHP=Q0b8Y{Mh2fH?J+dZMC1@>i z*?wXMEPa?RiLRAq0k>fBERJUumj#bC#n=*z=Z#?529DkWHn$3z0-+^HkD;fCJuV;d z2sT%SEeuhxN<_<$T3l&amZm67*=tPEx*aA=Q8=l?f|39n7Sx2`WP57+%wP&jx5>DD z?bPNto3e0%!}QME(;h9dG#`bdYx)itd#7F$pgx?67vTa+pl!$&Aj~-!x9p4hqkf0WBihD@Xs** zKclAt1xjA2v7Jl;t~5odnZIP~;n zZ@C1?gNh(evY%`XppI{q$_w z>+@3U1e2x4(LH{eDm9LvbJ(F8QGg88(^EPD-ljBMfwzbKsqR4)bpllT(pf;A;A9zo z8So07V5)++aE}W+C}-R^mXDWbEOY{x)HoRW(N9%@=PZH}6$L33^d8N!9>d7$Z;!>Q zuC3_+nQ+m?%q#6Q9U-$aQW?;VnO9J;X3X#kauCpsRxRVzMdnqF(J~Ggg#d9Zb|mIs z6CkElALHZD5w3!KEmY44DyPRG6U>*QM<$HK*$tUjP#uwob$zPU3YzSE`TYAsvd+^+ zqwFeP#622ofgZr)gSJ2YMTnoxdYcpEy-7>pP?f1&jYLGj8_Nx$6hp* zQ_~xNLO$t|-Q;uj$RrmHq(`*EvdnbH=#7up$2yq6^h(q%Vb017(@$_d6V#gf>iaRtXUWBzgFgN-|6j7eQ-#MRo%jPo#-wbtfXU@W;9}1QJX-alC1;&Pbm27A)8i`24TchByOw_29*EU)e|qV+mtCS&3Ar03 zhwA>LvzwCQ2EcYqrcWJrbjqf`G6TjCu>Az1yRrRZ& z;S&squcrGgn(j9=&Yh>`a1WL|)l--X8d}g`{_5?1-PK5~hC6*LMIqEY6X=ijA9gIi{Tz; zq+RHOhZZgX6=bXFa%p0w3xar)6nNOjE&4-Mg2c=un2WGmOSZG0a4^J77d&+6Fe#4q zeUHNFa%nN#ljLM`i&!ge+44{U#yU~*&FFJZccP@>?vh9mKxYn~%k6&9MDaH7!S(n1E&4zEhP=t-aSybluK5Ko@2 z>Q=~2Mb3{J9f#d~2+@5;$BSAv7}lj7&2bJj(2kF>5&8v+Pz40a?L|3GhBy2UaXP6~ z3q{&u#it@|jBqwx!MLf0B(h;@?6pR_Jq)YS{`4B{%P!GswBL=<{?|U{Yu@yS=8Sf@ z0(TM+K)QOTu3S?afk!diegI_%Jma*S0ZanLSulp%Pe+t{3ucQioey^wlz{1yq&0b6 zd7&3F>SV^bYBZoP{pSphGgH1?x-i;L;5AXfRzeJMAEVKZg~_~w8HI+toUus3vyuc# zw(uN8$yuMcV<}SJ9rNl>;u|yB;@>l#8XC^}ycIJe-e#nXGxNKym>uC+QfGN3u9kn4 zZ`^*`@x4}~-C{M`h((V?fCRWmd_a)kdW5ydc175y7h#uOq7`B924Ua*ZGZd!{e2&m znrx`LQ=9mR&o;;l7pu6{bnk4(MI&;#iN8fxX|Xm(IzUGgA4PJ6Ihyz_V2qfQmyQ-B zA^oDQ8BKiLZx3y1I;aeLM~p!aq{iT`0-EU|feOtbE;e1(-yd{lTKaBOllm;nj7!fy z^G~Qz!S%606L1zNNTxoB^~y^UTpyd$ZbyBKg1p+LV03+KdiWmHKZ8U^&2P+CS#lO^ zxH+KJiqtZ|G#j{lB>CSZ`xeY^a_2Oj;TYx&ckKqZpfL&JOL;(x^`7U>-c96e-9-FS z_@`Wh^DW&G=b1YK>nyk~{E!i*mE2_K$M%u5+JCIb!ygvOW*Hi;YN=tBG)b0-)&ZPT-q;#BMq&?o6ZdTS;@Cp63T6XS9ddG*S5cP4qf{-z|4Lku>-l>BAs&Is(WWP zY}7-|;EW*Z8N_a)PT4^lQ61vg4MH+er$i+~FZGb1`-S_aRA8b`*`cW%bd4opBXr85 zN(7F*nXnOKD|o?zFmSMsQFnUgh-yfk678j?bSJi-VjU>_JBV!!^syK=f+HKvfhdWF zR0BfZpgX~TkM38ZWuc&?HVw+K5mH@oL?q+|A8>Ut1sqL117p*U9Es5RK?gjTGhQH| z1+OIMY|vsaUZBOA+bl^#RNx*=g^j4;ou_z|MBuu>5f|rX4+;xFCvx|djE+9asz0|4 zF(ZEk`X?$f*O<|a(j_sZq;&9vU5*)9KZC4P6l%jn&jPnt(uEl%f+cXTObkzmiDB6# zTAfsP@JcKS3Z9w)1{MlM59)rM(L+^s3zp6p;xDQ5=_+pr_#2!tU}i#& zj6(Vd!#|7!%m8cZ?XZHNZ)w12jN!k?L+PdcC)EU0Q55iNgi1cA?IsRy1a5iU4MKS)S- z!LDHrB!KOJoDc;|`^g~P1<@>hF9?WS5if8B0pkHA=(7kYsH2^0BCN&lA`*%a{kIHw z0&AK@>E>+pqixRKH2IhO&8Hmu%u>_exa~0i0@{b?{NsU$?r_9@R_gueKCi+j;xh_3 zM?Eh4yAfkUGUfF+XZP%dS5tJsuBPh~GF_KlqSbW08`JgAeZ}wn_TQgz4!!8`B6pnA z5&CWSdn7J0P>vKmg$Db{G8kPDu2c5qgCN3c>cP!|#5ou2WgQrrJqj66$ACy-79`HO zAX?*T+C<;OJ6s4}3pN5Q!$vPP2;|qk;f(x2zPF=jk~hfT>Ph1`OHF?pN0?ws9XF8* zr{5G+-t+{9U?pCl1yc{gkwtJ?k{C+)!t4N>Y|&T+-o7F@Eo_Bxd)mz5bzYl&K*J)q zDh~Fz{lM%gz!b6WA{T!U%%=*Jm#3bSM{;UBc6uW|=IfEgZqOB3p5Dy8WtV70mb*cg zZ~Uo$@TL#_=wv}@8l^i$EvfRE6TE1WxrYFy#YF8VLzX1CKapV$!P6ajzlwt{3ldpe z5KUQ`3kV1TyJ{^cFBMC92S91NF;dYfR^=Jx_2IekXtpX*)(+vxn%qv_EGBq7pr?!O zBSO8^K1yW9XGEx29JdJITHH1-UF622J`Q*a56`wY;B~=S^do!_waQ#T`^yjp?T0EM zQ2&Yov}vfSezmQNkYGeWUu!`M7*Y$TQ@{Xn_?Nq&Cj$%~UV4q~d~JI8pIwKs{y+aSp>v`ZomtZar*cXss!kNmwGbRlLF09?pDV*2%*;r`t~ z9mZ`7%?Mux)Ww>krFYS$NBdg1*7-*3Q15?>;^ z#qMZv`Yaf+w}pi4}*Obn{Tbj!r34No>WEVSW0a}udU z?a+Io+Q+Eu;rx+WQY2fHV?-5hR*j(s@*uG%%1C1kL5GpQBHCW-G#roU!ow(q2yvFF z5QVN%DapQMnBjtgoOguVgjj{E$o`2$yR}yIx?&BfB6jub`$ULB^}pqz2%X;W@p@ft54}}d`h44zK{L)KQU+SYv{y$b9+RPv%o{K{%2~qX5BXht#}MkSLl2TcD!~(q~i(5=C7QJ}QRB!B~tiN*zNd ziY7s%t^uIfBZw`4${C5GE(j!RaWII=BSB6La{H-{${$fypn^No9kL)%)Mtd#0tx#( zYIsiSr3FP@vOn&bu?Wd6+!obNip#_$52r0SnP_@}f?7;3Uk-aI?@E_MLq`_Y;&|W) zQLmf*L`mv6NfO={lf+rASC5wmNsR}U#C6gv`!Hv`K1eY%$p)vZnDgQE0wSxx^h9SQ zM{JkPbWRz=7iZ;XP?v9UZlC`_Xw;a+RoNIO;b#eMKb?+WH(wBUN3eD!{XAH!gOW&O zs})hFyDo{8#)H|sW=Uibb((2#gpxj#fQIcsDOI=!W+KAHap_q^b0{lwJ{&;eCm}@npZr?}oD4JzzUk&?Yh*A* z1r?X)_XU%S2xX`2(whB=DGt=@z_L(tB4iC`3-+hZyc@IZPc6I})Nqg+G2r+TKZsrO z4RR*vUw|aCILd2heh`=Jn=SPgNH*vbR$OKCgSezdTzNn2$@=+l_d}7AQC|p->)oS2h402eNWMz3`srzcO(* zx!sWYo7OyxmHSCF^K>I%6g#TE1{k@Rhw*X0@uxofmNM0@BP^2I?D!L(OO_Lia==A>^ZN#Z!5jZw0(UMVhloqn9u zo(IV6FfG`^IM9sStpC)CimAMsBLa6*P2W$h7qt8ajCJ8wcjw88C4<<&11)ZB1CgS8cW zsB+^Y1fsA&tilP3h{@B@fc) z?2uU`p-#kY5B2I2&vMDraR<&XxlutHQXM-&QR$Z6pw}xixp_+;z-w0SC;Ewy%`v`+MleD<3k-^L5E^Rpl~ zKNg<5`7za1H~)l{Flf|@hAq?4J+x7Y46)FqDSeU&dj5>~FLZm|lI2mMqcIFkPKIEy>VvcL+nl zqsj#27*0jDbc^$o&!40(mm9?M*Y26IoUI%rMp-^vV&kN*t`d5gIM7P zqa@tv(1khVn>3|n-?Vb#JU%CIj`z6Va()5bS zNar`{WnS}~y|fU1|L@v=>3^4_$J!OyGqtTpGAUfyV-9a)zJ3(3QzLf)Y#kvJnN7DK z*zZnFEhsvb3BoN*?Thbs=8%aFkY*H>$^;Lfn1?WfYXRkk1GYBvfzCs3}=dP}C_COceYlY)~JyS_nQR$W+yq z*do)dI&94`OLOk2PT_^U?MN9wRZ@R~t))3;F4@EV%H4!s)mYA4mW)^DgnTtQm;7Qz zlu6Fo64Y37p1A8%GfCpIk#V$^%FjT5a_wnScjA2KQ`XjGekfbq9sgg~T%v%TPaad| zwo^X$HGcev^!iFz;1JDl@?C0gUh%P$cW4bA;`W$oqr z=P!H5^Y46j_D703LNxz@uYKVUJd@E})At?CEtwx#%Pm0&E;3Y!EB4w?fEMC~3r^A% zh_T=WT2pidVilO+BwYc~+?SrDD5O(X56G4rRw-eXS$TZVee{vskpeFcc#`BO^kYUbRfKSR7|?&ufV@QP_X& z%Iep(&dMyb6wI59-(2pRvesF>{QSAJe~H$w=M3s+f9`+%obS!3sV?SWlnl0;de>3J zpaz-ofYwnmYbt`$BTLV6r*$1Aqx+ktEgJRJF)guyb^d2UumC}zYUvPFfYr^ee4McZ zqe&$wHn7czG-t-N7$s{5bxB2(?1T=ua@0HIkX18=kis)3R8oso-J|FT`v})>KI$q; z25uU0>Ng0iR8y)A?8m)_X{(a$AeL9wS?!Oc7?OAzgE=AaGHclqj|I1Ln7|@r9wL|v z5abdkLE}0uw#c*SerTj_>M+Ca%5q6KZhF_eHq;OJCK({?YtHJy9((Wz0M61DjHwN!#e$H3@ z>I(t7#hvK}ulXY2mEI~-9db{d!aI3Lqk|OeK$c~KoDjlD^!P^iwK>G$8s4iPHwqT+ z>%ElVibEV&R=RNu_w}yV25iXfwnX0S?~U&3V~^|`A~Lc~tT}5IjP7fs)Ud;s*eXWk zmj$Ey+T!taHJ`Q?xlgC3a9^MH>}o=%G-wA0PH9PS(G8I+gGhk6ulv%`eJvony_|6R z0uzZ~uiD-griYVR{y0@`e9_L%%UuZ4%hcC}=N)_NPhfG)I4cE90otT_TYx5DXMtr< zhmaE+H@IUnSSZRfmeLF^qWg2j&O(Wsw})skbZ~x8z?FYZ(e#Tp^+HkzJ8V;0$w5%XO|IL}+Uq~6VcJe5zRphZbETPnA zM0pb9%BUadj%MFwLE^0op1QWj(iH5F3`e}pg2Y=FL^pDcg^tb0SEmcolD30sj148v zNW68y!wD=Wv_ZRm*wTx5n*>|N#zhd#LaTigybY4%QN_{hw}WykF_n|#_Mr43$E_#Q z+E2?f9?H#s=WzrY zFtdMQAtw8G#oVU>bL~Ezd7-aC-Q_RzTMz0kyF@GIF26+A1a-gV=YH!q{d{g(q8Fpa zT!cZ{iv&D;un%kCqm66V5Oua-=T>k&TJE>~RADaqPtuvp8HMShfYK6kL8EQpY;M{- zW5iqwJhOTjyITQY#9VmV_hLPc;a-8cTX?bwrGWDa?q|(R!4_@KC0`RCMg+iQ1zL}- zaki-pIv2kwN*NrE;$FQh#}}uoexPi?I>$KwgnhZT=PGj7g^D|x(^SxcIax4GGsqni zjxl_w_FVQc_Pmw$#YC!!sE^GA)K@$ zB+oH@>F9(S-5z$P;F2u{NF>tv(#Mu=Va|vUrrN7nkVxl(6C}^Gxa2r64N5NMpMo#R z`zi1se=^@^g%=lxKHb>o#f_>TxNQKVq2YDf#JU`Trr@~bn>fCiE3#(zJ&Ty%Ait=r zf*Axk@$42=FayVG737nkX!cXmQCpNvX=-au_mOcx66|lRf@1p9HGbMMf-2`GMI0rQ z>3T**yGd6VdU|1K*(F+G=x$)>U;Ctg=?~8HF1K5>OClDaD!8s+^kLCm`PY!z|#i+;! zip`y^@KGs%B z%9gE8{oIsg$>zP^5Vm)$fSNlU6Dn=9`!Rm-3f2@i9jVidM3pVtry zU3r(QbXK~OS-)w;oG*gvChJ14rL19lspOp>rPExsggep_KJmRT|E+ASs^`FsRr4cU z6AImv=7TZQ8Mo|IgfqHjCnKEEEoi~-bYGgE%5VvLn_xnRgy&XYb? zT_+cgj!mkwER8FMyjr%V4hAEhKfInZ?Sm*k;cZ^0{vgS=NJ2vIJW=PCw};^hsHyaa^=uwIdUL{0LcRp3*~8U zIiCsTyg0Cq{_=}NQ{JQK)rDvw4DV3Rx{ zt4V8+z%xd8V^da+nJ zB)W#>EUVt3trg_^9gt(lyeuNpg)MtoSB?XA8u#74SX0E!z>~2Hk!Wr+bngfKhh;U< z<&7$unbh98aS?F6C`6iTTqIOxS>+;AS>a>f^F|6Pkc_hm1R}GN=?wG~MYMR1=?wcD zOM>^H1}juPP?r_!%Q&ph@NyUyCBbigR(R6z5>*`tBb?2o1EIu;DH^G-@#4xNeIjOT z$2IF}x$SuCSy%Qdnz=-)dB6M;U84j2=P$hT`ISmTc{_lc1Xz!5Bi)PDw(T?BzCjYT zyeyNT*mF+z(d}5Pgp}xQZ;o)AV?HZc0IPO{%O9J>_2ljY1-G(e(U?g;{Wrn7i*5s5 z!!Xkqt|rtO3bf1;hMT}4zR7p?8S|0FQ;=ay9Rtyl7hnjX=Gyef>$vtkBU-yK`YEQWpyHpCKW zBu~eH2Ca>07z2?yM!ZWw@prmlhXNUih{K%JaIYhhhR%H-Co;I+_Ewq`E@*Z>F|IKd zk33_6nuilgmjn!H@0a@+QM2p!J@%0^Zaa;!=NZLw;A^Ai2R!qX+-bcstd<1C;yG|h zu#7XvPRX__k9*eAb+F^7py0ItEU>ts7FXnE+6AVa=qf0djaiPXvs?h-0US@nq1W=D zIlH||wUb9Tv3+P_T}oDa*pghkgJ-Ou)lgk-1>L%#y6h6IhU)T5bd90<^)G$IEB}3l zT=g0_lud2;3A;srFE(c(9S&#erX4G|6H2@zlHo+MeaKs<%M5b#@P`q?t?Jo z!l!3Ny zLEI>bWxK6rm1jXd|1Nmy(HWM40Bj^r(>~3D1auedj*WJtIv$Z$UJ3FYkp%Y*yuPr? zkKMui#Cb*ndJ^n*WUoM2YkL|jO+ZhAXhJ0=U2qIohqXK-ZD&q(_Q1;Dcf!~HqmTIE-^gk0T4PX?VRw$hV;tAwPVnNc9+aBI zB2=JxlA!(sBoudoS63ltOmv0AaqC2xNl@GgKI0U1HD6a=+0{=`SBpErmj=1CAS(*H z2EAp)onV4TxJ1N>erR`YecL`Rf=dS_0i+C+GF(JznXzf?o1$98xh%z{bsaOgeP8@j zM0JJ>_H{W~u(TZ@gQdN)jTHG~3UncGFX5i-DPO=gqBtmVY-Wq$0b~-vy&FxobCg`1 z{iV0CV?1Z&co<^5yu9_CK#$klHJ>>+56gy zfK`x9vzZ;w;-~yPR#hf=XA$Gst_=!~m*I7|;#hr|&N4JMuR+&}5k)CZqS;9eELd?Y z$%+@a zB<6l7CTY8WSA>0f5q8-nTJ6lcu`~bYw|@GUzA1aTFNCd^Hy&=-)ECaQxyHl$-4Vv# zlE%Zxz7orI+enwhSV1LrrbTa2I7UGhqoFqgz$*&IuyubrkrtbRt$1*wpxBun4@TTt zT+$F6bSNgmdQ|_UeHYmaVy^Kp+{{uBhGM;$#=~3KB|PpPBs7{SX3Noc%~z$b4#a_L z9g--ba@*d5(dOk&l3TR6;*q1yYS=eI+yHjy$SkK`gVlHF5G|U3uRf~@2+XI9al*0u zW!lgdkvpiuO3NQAgIgE0KXUL8wO4L&+b1rH3tcal zt3#mP0KX^Ol`-X_{QKITOpof)7G0!tX%{*0Gqqzwx;7kb&<*5C_p=N4ILOU^hJ^kYIDQDpPZp0`>wib|= z5M_%2nF+YQH2lccQR>K6$fY0wHwnTKF9tD^q+peof&^R_gqMi)t<2DB%-!uvFU7oM z3u=xNx(hql_@^M!n1{MTw&|hZ;>DCGU86$1YC@gi0`75V5%zVobEDHg*CrDnF1_ur z@Abx|-JGj&`Sco>%P!H1b$5ex@A#;H`Zqo!8-n2PlN_Ap#4!5G@@5mg0xG6uZ2G~+xYvCj7qrX?CUiQvf^ z{ANL7oeLhp6D}#>-3~e4)zU?1_i+$=Bs4UJs;fXz0BRf9s44&(8$NMZ#FHHPuwdiN zw6IutJ)(lElI7$=R~7M#+6w?#Dc5xWJ>*LlAJQQB?{+~c+T(M;w~)jsj8%`QHUM^ z2ep2hdszm~Su(9@luMc+u9IP)6S?WFGx;d*gM6De#H)m@wJ>Rh0dy@)y8qr6Hs=?f zfBCr=kajyx4|dKyGtNcNj1LxSF?&JH=!mNVayJUdZ~x*?{%xO>6%dWky8>eIdQfID z83UL-mIo$5VM{bR9FHx4i##VgAT5E*<^XJ#8A((pAb2{RyzNuUa+1O%n-LLSRX`5g zqf{`(^ezbs`_kG*1JPpuGBGm>N>JDZQRE?>fU!KVm@o!^{7y5=kQGj3k24ktV@-n^ zXJ{((31g@t7U#lz!dSCM+8dOGe%pB?v~+@sgfTcQm@m)dE*NOlITr=QFC%wPSKoEp zG7Su=W%2SL_e}eYp?=JQ68Soc0+QzJd(DJD;fty&E;;MSe<+^?G5oDRz>&m5f;)F}7a-w7;KgJD)YxdadvwMlX zS5`$KIFs(5U){WD`eoVJI))N8d(V`fk87BH8&?9sCIs4IeqK3~Ct)8Xg@Dv&1|uuKxY& za>kXJHsd0T3KA}Y24wZ`e^8p&_9j|U^NFD5w|@RxKk>iJVR7|!xOwfaS)?;-m&&;m zut_qp=s-SdJr-Qeps3ccxGe@Sqne}9tAi?7Z!=OeIr5&Rx(Q(l@3 zbDEkAA0s(vg6u;uX>SL4OKw7F#{PSk(y!8x#eV`TAfejD{p?f$=VwAfi$ZDB+O3A~3wN^MxT@qe4^yA?XHNAAK zjas|t87_I+!?ut)(Oj<7?`J(ua-*E<+y7kO{^#QMKQFoc&&BP3PPae4jo<#~iQ6CR zhua_PFSz|N-$j?yJ1&=Epz0mWuvteXbL%wIYuy7Cb@@dx!(0#49V<-3g6M%-aP&wQ z)1XDmI9K{L4f~kp?a?9?_iWI@Ay6o#G7j5I&)2X$`l2Gxn0X8us-7eAoRKUzB{5l+5z37vA&yS8j0j z@!u{R5J}L35_58C!m;caPMz{&bN~f=u*RiLU-Z-(Zu%m;fA`+|{QF=K zR6{m6WBIC#%cavWc51naq$=sP*GshQs&G>thutSZqGM-2!SFmg!uq}~*k-0gHI98H;+xzN0 zXo|5qGj7lZp_U|0x}=rtGULW;iR>q+bVVKwVwdDLhU9*SSYow$aecsHDc7fOI#WHv zobdwTY_j7aV7x)iQ$1(ALP&OI+7?&mm$>?@^5+(YTN%*$#9!HJrtX6_YgzJl7(M!V z)=y;U$QWh|KM{PCkDY!*nhE)PNC1|xO?QSeCu5s#Tn&u->ff`yGyRS7L)K;77tT%u zwI6=Stg|RJa8N-8R8HVFj5-%HQVN}G#t}GBGU1pkbcPx3B~?np4vP*KceqlrB#SpG z88_$^A=FWlSs5 zV5@sTX7-nM&c3H(HHy;EN*2s4O|EU9XX{%Y@8%W z7Geq_?57TfEGWi|37!r;8zG6H^#jO)V&<42=A)7XL3H1(Q-k>G@`FLN6#UQ-1cQdv zCgmCBon$j2Llsn3RB4dF?8m7|M2T$D9-rZ$yXohj0gk4jFp;r*KXQ%L)uBK<1 zFmT(Z=exf7oBoZD$}|o7ywu*^gY=lYT@V#!0|n9A7aKwJ2sfxXZ5vP*F~*b8HhOnc zc?de_p`fG`j3A1@sS*pY)n4ggElp3P|AF>E{KZG>29TGIAc`zSX3g&#h{4hiJksA!%rn~;uvqop2~gV)#WN%{4K8_Tw%QK>VfABOpeo_zB)J9G zg{(d(Je~DI3%u4~PrQ^LuJA<*3a9XH#$Nd*KTZU9D{&b{u&=+5$&dYQ9{AUmKG%hn zCtLd5AmKKpqDebld6Zqt(vY-grY#Nm+Fd?lwyjFR^3sP}5BV;;M2wj}3B8T$TB4eM zjbYnDeR*w$d_VOI|LyPoqK{71DdP=&z;ST7tBzzfSsR+kt~!!)W`amg2Yn$h?*MK{ zOF+_}thh8a^x5yVSo9Q3`xY&`@eSU2tI;DWglyf^7|B zJ|;X~SwE7|PB%`;^Vigl__~2Ek%c2REcdSJq-U!hACg58339BYvNN|i>^s(G@Lpmw zXUz@r=1@v=r59?`AwN&NFDM5#o=rdfR+r*)@>A+44&7FGyO?j3<_vf8=6I5LKQahT zjVH)uNlDMs;pTMQG0lN@DEP(d{S5c=2Dx^~F;GeG%9!U2H}eLIk~R!;wtH(L9riQa z%^M^csGf%e1tTvHlHqpVpz{X`LYNbQRLb(%e6*YNx+;(ACVjXH54qE@ZoElR-Z6HQ zVu9j*)J;+3u?y~^yi=wfPE~rKdN+n%kh6!RzL*3jv4tFZ8D#At&+V~h?bVeg$lANA z(ggb(D;<#3hsu+1z$hIlvi@Wo(){>T=V60Fk)rUTohv-4OG8lkQk2$6DMZLREK4J9 z8Yv~oS&xlWUc~+90~NOyM{KIM9#op3SfV)oxk?l4Z!8UBrAbk#gVK>=eHj-gES^7< zd$CZZVU^kO-VMrfP=}I+ENg+Qyh9n-+fVmybg1ezgtex{>o{&ua}uIph4P*10#d%e z`*E0|zpQ{@TrN#G)U0&slr5>wHLHP`QOb1Flq|_j*Rl>!%zB1lzIxPmlb3sVna8e5 z(3W{(sX8P7Fm3B=T-x;YS=RAsKlShaH=p$}S;dyB0{6RlJ-|wjy3(VDVhzz7t^9s6W8NjRq{-ahBy)a#rr@}O%;QM^s^ct9xZ$R-_MrBjFjB&%?X}w-Mtt`zIuzg zd739EH*)hNA5pl;H#lHzSI~F71l8*C8X+)9Up?n!&xT4;j3ZkerA;u$dF<$(R9{W0 zIPqgYp{Ps@L+qzp@OeMuH9BlTODU_P`mQ`ni;N?=LC^j$p8a8r74gNxm}=o|!|{jl zJbW0(>?NIp1yR|Kk#F|HrH3&U#QRRf34Bt2Ud+`;YyNj9aKhM}2r) zurit2(quYpH*GA=Z;zWpVKPCWIDazE>mQ_;KJ0%FQjfSk1j_A88&m9@G~Jt@J;)o; zV-6T0)aNmW8w_DGr6KIo11zYNs6Z>}AelY%1MR@61qm#^T2UHZm@IO-T!%JM|3t&$ za_QYxtPkK#QTRjWD-%fL-H zNRHDOsGtrZN*NsS-tZs^2KO#r-Kb%wu5)O&R-2aHrop=ltqF5d*?`9w&74FnNizoL zE_*a`BZ`mw#?@pW+)eDu`)8Qpf;Tv?#%)5npj#UL38F>Gz|W8c63ZP`R4Dzt zBKMw^^o#(xh>I7jkO*mjKJwPIcYQt9Yw0G*;a^xAQlpBZUI0nj;6wl1SK{2V|#x+}`y?y7kdP1`0E^cu>;L+C77`v<}I32*gk^5r4r2855axY1JYqh9Q#7;;67&T$I{&n?mY^k z+eJNN#(o%Nr=Fz(NbB#L0Tpk+en_?_a?4N>gxJ+iN~)Wm^3$3ZX9lyqf}nhdg?%L-`=~yXl*x<(`<$| zAx-|2mh@WYaI;s?jCQp`_wt&HYdGBg@^`)XpM6^m-2<~7i3aM`-nH;CXGE^e_HMhi z>T)DmM?|JzG}{jnj92@$>!|!9J9yCqOrj2FI<517jw3G~2ZYGfiwH zPiP`WKAZsEI3Bdy66l5`GDqU-v(PO7S$ovwk7^-52t|1gs`aM;atY#sqVo4v4ed~l zml1aCTRnLkkI9eyjoSp|c5~W4;Zk3b`xcS=20#uYe>JOLIzJ~t0rkPZj<7l8^^Qh8 zR&2f_Z2r-=eat*IPeE>U+D<}l#OAIOKQKeIC+jVop(kQM{EW~rI&KGc$-p{m?mvIy+nh~4PqnUK*bZYlScOR-6 z1vZ1B7WtNxY#U2c^iw&D&4e+5;zee)NLmgox6HsOI9&U^<&OcCW&HSPTOEt*&lQ{B z!tnVu-0hQA^@`0eKYxDZ{`>B)*z7^2$3#z0o#6Fc2>%~{(Kmg|znAT1%{_3t*)kE3 zD-T;!g3~(?hYLX3PXLM{zpOjaQOj`m4n^cd(kw`9_8GHH+O+1N&Vs~d7ldb+;cm>h z+rxG*XDsr|w%}0}h(a%tIvb4G>@yyY*4GWGaK@MS|Fie*!MCMpc~DEr0fwQ(C{~1` za-b5*&}`j%ueJBu3o*g;gaR`rX@1cib?jnDI5zVH3Mwf0(TpY!{jbNaA)YU=Fu+wZ!s zy`J~-yw96=O>9nvyAuaIW7i}7?Zjp`M4ghtdO&+rvnTmw*8fkx0R4$I6OGuMwz=P` z<~w#i=)xClcFV4TR)Avjw(0|)#b!a<3vqJ{SrdkjC%y%wOMK3_J@!d#i{6&;y^W>3 z^Y(Nr!{j%&g}~WU-S#gi0;wLo2F_0cINK9ElQCt2_;D0FS1#IOy5Jf(-%jBC^B?~A z&tLTB+(Ikd;{iBpY(|8HN?V1H1vn?ckP&f@2+rU_>0lBhgrVC~Vr~Ryu;0+$CJ5;? zIQvb*FNoj_ZX2~qc#K1;b_8ei<*U@&p@m#Xwd)AZsDM*ZmJ#V5Y4CWAv#1xbh8R-x zgxVhvQ${oYtlt{{8l9ixA{iw_!EC0^>-)@b=ldIOX$W`OhHHh4u>)WNzR&v1;I^Ra zQ)>TkMjABt)XoS~3jTtIqr_2pxY);LAX`+3(%5Z5Q38#Z_5F)(3-;bQGZL*t#SKLA zAw0L}HfpWK0A%Re1(dMSj}yX1jrxH5)Saq)eI_)*le;;g&sEQ;!5F+^@7F&*v5Qe| zWm0_c+vxx5xBmE?mpwk`MUPLK!RF3FSq|g?zTEO6 zx^MTfZxBi_(^50F7!2e9!8{7rPkZh1{$)Au5JQIX*3HMyPE-8g^Yx#ZLd?d_*u=oj z)Z+X_OC}H@WjX90#~a0f*tl9Wap>gSaQuS{7Ox*3FAN3l@Ip8}k48g`fJWPCT5Rww zSfdbr+49@rA|6fWY21a%Ojs=1Cz5bSl48l+NP30-`xq*G%-N+4j`1K0hf^0;ZI{I^=hKRAZbJFFa231<5 zUe>V$*ST2Ym1o2j*E_=`7STCzJt@Ln@^oWHEAD)wuDP9MMD`o+HCT3w2zig=76sgO z)XflIJWNB><_b@GpW)~Ki$C#S{=qk;+|CL8ZHMPQx1&qo*|D^sd6TVWIqWMdyd=XO z0zzVF!|dS)%NCum=!VGcuzNvj6%=rycb*Ihp>7CaBG!Ch2*oEPSc2hfge-4+1s%Sx zWpSvw6<`1SoZtC^Fs8F5p9c+Y*|z#rZ!|7frWY5v&Sd?d+eGo4ep5)lR1q-JBgG^- z1e8SpEa{UFK*@6PI>z9-9KeBwxhn!-eP8DQN|wX=^;|pYN)G{`($~ZKdJbb48^6F(YCzmEgVA2G5J+jyXdf{adV}i%=+S0gCdp1bfcy)lvHgMRY=`1&8n$f86oM;2#^E$?7I?RC5y^v2PE%}~mzUlkR8 zs@0t@mAvbTR`*DSCuLkg4V_{oBfO%*Pj!*=rIU88lt6&mfVaLB)C9~Dm2Dxk35abK z;pFpU2RHZ2M~dUy@{KR?R0Ist6yh7dDyAbPTx1v}LtolQgc$UOLnr1Mgh5IWz2pHP zrS&=EM*1Sc=H%)mj02jZas7Ibvj_IVduau^wN?8b#H%L~ zmrpy${n79KuftAIlystO@r3$rX{hft+hm7UGrK;0uldw`+8VK#C1lUzHGDLCp-zfAF z1K#>`wb6@skkXs5-Eg$+MRrWnooVl@W02qIe5=_?AV_VzDS(N?I&| zLi7^+x3oGGVUYJ_@zuwo{bKK*nOn3*&9}p~`>7xLyzlv>IfnxDZi=u2{^w?`1OAXb zrJvA2DXxUph*+mBxENvxP_HpK0u~}DFvdxto0+wK1WICy^b=fC-jPkCW?RDdCmnbN z(HSI<%VUfV1(aOKFy|JbX;T?ShXO6>V4%|w%t5WD=3N&K1^NWgvo4$5nJ!+iWDMm; ziP3R9N}Ttpt$^B^)Z7wB$5D82J)mA&pO2X^ z{!kAPs~ia3%mt}f^C{eisQ+Dkinl!^x9lN&iq(l=+Wx8Nbhp>h>O=%T@6n0J{>sPw z!tY&qQHgR*C&E|;C?jtT$46QK0xkX7ln|L!YhQU$8GtKz9ZBo2yr`8IRVE0A<;}Hv zz4pAl_QK2Ocf0^K_7@%tgf<`bmeY@BYH_YLXNhmrb0IBi`lizwtR}lgvDO(4*5;^0 zV017Q8ZZ<>&DCpEJ~3up!s`x}8nEjz(lA%A0emg;dyMQcC4EMN6#}q~M&~tHTOGbP ztHIiJ;C3-#KCi)wH%&A8uA#{DBd_B;RjdvnHJZwC3w1=2%%Mk>!h<$``jxQ$rS?OTel5N>xE zG{*dEMjd9{9du4$pP@XC)cmO&VNoU^yA?(Q>UW0rkwwEizbkLxkEK8-tAdz8@T;WZc~{&CW%Q-1@EU zE9_fJ_-={snH>kx;~?vu7f6pOa_q;VPq0Jky*y0GxcfHY6VP>`PXJY&+A`jrLxbKe z^$8&MURxHP7z7U_HxJ*=X4Hi#ff%1M_h1ZSfwtBASPpGB-hZ|17OmdL@>_I` zVEp-gpZ;Az_)c%efF-}%a+Ep>#UncK+53RTIz$Ih?}uRn`PG10-hZXrM@QF@dLw`$ zPCto|NyCh8Fx>A*Nu=SnZ~izt7i8k)I|uZ<0r^z#SNEBffU>!6Wr*L|!jRmNd!z_Z zarKpaACa7oU_kO3`r*`JuvuJzSXQn8?na8V2ZP)MS;P9bJ;+VE2?CO@s&Z{IRET#{Mx647B=lCbZ|}`%1Si{=3-#DM*Q{_5R%}W43+0j z6z{B$EE_WRbi+fCuQdi)HsqVp4LdN>)h|erWZ975?1qP~!2ncXE=H>wD=ZrloZS%Z z+sd))ksE*m5!$U4oZZkG_lV*g6cY_+QBSaCq1_IG1p4}WzxNZm zy3*|+=YbPuba0XgL2hPb*;J^S6RZeTk2}r{V_?}00<0K;9`-%O+-Zne<3)T`MxdDT z-Ke_j$bhjoKm>XvZq~>ss5+q+3jwVhq6vcPP_pxdXfYdCpM1x|-z)Qri?k0K zS|efN$`!k=zI{6yTJ{cD5i}5yp0J*+Ww&TW(Az=K?r(nTN3K0v+Ny+@m#H{!M_PNf zSQB4+w(i$tw8|?Gf26xWNt<^R);{p-D$8h`kLhtK6o*hdw06(+fiEotFKY-|!|>$@ zb>m_9vRkw|5zBAUHDUPw`t|RB$O{_Uq2s7D62V4j^)3Th))2a%P zGvEAy1~|sB4|HX$+^eT`Aov_Pe}&xJf!xpg!~ek-|5Aor^?w|4J%WWK8wIE-p|Ur! zw0&jeT{k@J8cuyeioD;kA_^W*Hsmkb4KdD>aE8FJ^?BFXkeP2c1ltRHq~Wo}-0O0S zgj_eoJSwzy(GXH=icxus@~U(l5g;;-k%p&%99)dsdx)Zeq=l0?a%5V$Yk7J47V#ES z?iKo^747q`ORbf|o}Jgo<3l98zCML`TepK)omw`BQWOm=ttj3Gm&8td?*qZZ-dTM2 zON4R*G-K!*K*79JqnpjU6SD=Mb#8=w%*1P1w7k1~zR1+d*gVzal~JBsI}bS5x<7=< z>9Fe9TGl%h!L)9w_*32wH#8|U4uu(rsb>0;>aIn6<jbSFS|u6`ri)ve?#o{+bk5LL4uLiC6SnA^uPjUNj6HF*+))~?vMg>8 zgk?cYpk=LaH|u){+SgpUg#4|>`1e~=cAYN&ixV*D`c`kjk_C{6&0fj{s<<2yMcL#7 z{R|#Y{eRu8SzX_4ZftrHaiTn#r>QESnzR;6C zQS0^1H~ug0%vl9ZD-ZZ|Xch(_37@XWKhpszI=UX?+>?g7?SL&X^q{#yfHR&6jxi_l zch7dvP?v-T7}7R(5EFxSEI1}aXb$&3Wkw?mqB*GSr_2E0KEzLFQIPU?oH^staTD5%)17uDy*e;F#Z{HIiR`i>@i1|2O~SPrUQ3Ig-yHRVcW4 z06MSW0c_wmk``s*9#w?gVZh72)kgCL3N9z!Ns<95E|&O7&IcUrF z{p>)DISu!1xC#YT#4%7O;Y2_!f{^erd{)fQu60IUJdN~1f0*(Q}?Q5e8vn!RKhkQ}$LUeG-ryW!2@_dQg+kd(?I~ZX~k!s(pkl4Rutwt+g!<%#Fl{13j;#A0Tyo zjqK~~w8hy|y5i_sV1Li6=T~2U^?dIo3|l|HjMv-wW%vZ8tjl;Zn}#x>{V5y({-69? zKlR=BGLBvd%O9h#Dkhm(v<+cS!6SziD8N|$$gdW4Fk z{SXYe?o%=OYmHqW=h%{0zTi7Q^aFFLaI|ufH4RF84>^|t0AleOd1>f`BZbO#vzf6a zX>fVJ1yn{ziE^R=823EON|YPxpo=#sB^S^i zVf43fmEs*b?2Ragxu{0`50>#3fo%u{b>8%`uDp1UFj|!o_Dsar+q@=gAYMsI#LLo% z4$QSY2+_yIOSw9Gq2^(j3#LR-Yhh)Fq5tH-F#sG;nYxaRx z=@XxM=9%yPk)Qjy-}Rx-Jagx*&t)KXdcOlBl;;-q(?en$(e(mPr~@pkZ#Cx$^;FaY z)^+F{tk63GZO%T-dO{N&`VnR)JM<&UVtyM3qwyF^m3XoT3Qq{yx8yUzvgd4>(zzUDyd)*)5NhJf3HBXi|qhug%$YP&Ktc`o{ z#v?OSK2zvM;OIAK|DW*EhRbnBrlvR1g@6-PxDfPF3XK4`1y>D&5mBD7L-=5Y1pc1S zPxN`XoShW%6+C!`u3N; z;Y;d@&7gHbH3ZPQutm#%faSV%0V8JE@(05`ROc&0G9MI}IJIWS5w$dIstGDFd&HqE z=(Q8W?Y@}8$6aSg<}ezF@)Ky`wG}A`8fHX>;jvLf2BET;w@bqe$T-HPCGQZ&*fx+* z$j%52v(E%W=pze_qwhNojzeH+=Ly5$GvRE|45*f;Ej5}-%iy%)E#7ETA|w>R=qPs{ zd?o-AyULjt!KK8=plG=?FCvI-&3&^l?|BOUS8@=EqnL9L^7jW78upFHfAglNKXILD zZG&|d4Y4*PKL(zQ=x8K1hGZ5qt_zbkh!sg{=s~f0ZF0!(?mO>UMTWJUwz4UZ&-kj} z{-<92%#6)Pj9fiD@7SzM0G|U&5`t3A&N|}lD}WDw#%_3Qw`BYQ&UU2!5ht=CvDpnz zCzMMBNwGi0y==&MIT`jlw!;H*!E@BgPBtVqyCHmhY>2zYCxxhNNNjdPjG$pP0EXKm ziW2295}VyH9V1|${sVwCZ;{xX4BP%B4GCyOw64o+DA=4Vak4mV;wUaavF}+NCFB^0 zrjIJMe}AO75JAn&A=NK{nOOcAn>omV+W3T!AwS41@!_TY*@seRpfhu!k^R?kirAOBu1&QjFInT*j+@v({XpaJ3ZJ1;?neJ;(+f{ z#@)s3nT*im{W+pOf-}OoH!a#3sxyi=2z3V=sN0+dBYH*W)}NviL>Q%7FqU%I#pFcS zq1RFO&Q8X-_iMZraat~h{PL}N9`~UWB+Hi-i^WkX9T@pj)H{$r*e1@8zd;ez63jdg zDR#;6$HNTA42E!nrkIu)3-M;0;`?Q1g|E;Dr~7$OeLv;2V-)P49nE~1|6UAsIKwRx%h##?<9K5Uo>SzDin#bl@%YP%c*zOWi{k}p&hCXT@Su6@IYoD}{onQ8 z_vUz97+tqd`r>}q4uw;9*gijZi`Gc{b|USM_@*!U_*2efYZom;$*AkjthAPnd!)J~ zpz)?~&12gVfI-^uAu)3}l8Hn2RW)C+MLOSZh!~b*TtE>+Ke`5|*^uCs3?a5JeRN1q z+xVJnNbqt)sQe>+&Ga<3s6lKtBzU=Dw{283X12Q?frEr`M|9WMTa>qz$=PDgtxDM) zLAz77UEa04$b8>;`%%Xq*Js~Y8--ggiVPhN1s;b|HIAD4Z|=#<04Z3eG39xoOif-n zfAZrP`!`!nf8x4pV?CbBRLVt!wl>yZ*|ohw=x*b$w(P$Y-Hof>-FxnxL-elvtDB=~ zecmVkp-%)%-WeY(jH4)_jbR(1mp8S6P4iYh9`%qV*qjdpeKUX1@v z;B5*`3p3?TF|}Ol-63hJI`d;Q<%;;P>ulGstm)-B6N=DNMPA4G-I=C^h!Wg(Q=DrC z$0!i0mz6>4ZY!;3qeJC#vKp4?KawOcMC367FQ;LJE+20Wb#leY7;SXR$!ff;8*B0# zQpRTiTu^zNl-Xr5W4J6LpG#Pj&u`SZHC~B^Gf47Vh=&Ies?3>-5h@+J7$L56YodQ3 zZ4N1+YjbN5JmD&DQHn0-);#E-8vC8iV96RWFAv97J-Q1I(@=JSQT~%IDE|w8@gMw! zPyMiz?p30I*QSj&08y6{wcZeo&N9DFXlkb+CZH;m+BPg=_AQ=HCu+>1?mNr;Lgm+) zUo}sQFIe9qgz(rXg`PG4EOwO2sha+Pp#Z@KEUA57k3idq{Hf#*gLXJ^5Y@4(Qymg43F^U(7>ma zXC3*Z!8Yl#rfp)JvLu|4B{I?Hu+8ugZ%+MAMHNxwus+9N8TgBvUfLUlmFQ~DZn^x~ zJjZAA4EM-C;o$LVQIAC@(dqf)ox8YNEt(vCvBkF_lhD29x5Gso zX(~^(a;1H-pn<;Q-Yc(^(u1ClQCX_=dq{>}cbclJ`AJQ(-3=uLa$gjljifqe7+k~vHa>W^C$@sbl* zd{^j%F1ah?cIgt0U0SYU8k3BmwEDN~uF%?CV_lWENSjj9F5h@}h0+ke`n6y8HD53* z4S}@KBL0MNI2TAmOeNZ4{_M~-oSQH%yTCVQN=lpZE?NncKKl8Lh;OX{n-ryBiK`Fg2D z7mY6!BgL~6gUcdavNI0AbT?J^Zhw%4E-ai6gDIG@1KLbDvW^I~KMOy$qMa&@G`KYb z`K_8K3Z7}UBA( z^&h_d3xDIoa|aHRJFMlvkKwC8m+&Lq+iWgPPD-Li3|V30Z{7_t3zF}uh9|VlYEE*eoSSGUIU-#R8$wZ!E(fwTrah6jC@CT{>~}pRCt!HuL1;25?OHNK zW_ZGg3>YHjYd8$FJQ*UsA7j5esTL~49Z)YV4JAM1Tf_trakcubt48Hx6sIh0vg?_O zMQ{t13U#%DB>~?pQ59B&4D|P!LPoHRH|MlHsyEv2q5M%DrsnYcI6I6^3{Zr6|-|K^x6ho!Sl-wgYPE(dsA+Ly9f3! zZiu~??vf81voD~-PV;N){uks(kuM7{Ek0v_>AL@;(!^prOab$Q{8|a~1HVQjr{ezk z%Z>XV;5rDvIo-tk-ZiqM;<{(AL7K6Gn*cWpKMTLQSi;pzZUT%UVS2q^V85jt|Bo_h z*6QbDtbQ)LMNF4G4oY{(@OXab!oxJo4}}zuegsCO_s3+8jrq6a^Azc~nOawCMK!{(UOYr(#0pf77K25KnC|}r?@WZB}HvkfaRq&_; zc+wWdFR(36iciQJ!*`ACm@rhsCe5N47Ai+T-Xo~Gtn#$L`)b$X7x)%?4De9H1H`G~ zG0uXcz)$7|MP%~NVlvX;nPXOgy^`EpeHofN^EdgE?`rJdY&HFf>xP=-2o7#Hu?V1Y z6#-Qw2Nh6-eJHW36P%!;sZ$)3(mqC)1K7nB?V{)*Fca73QxagO8k0#9QxUAsw6y?r zcd@CHZ8k+yr^tuK{nLdE`$rZ&E=q~RD-CyXvEUOt0M6+%n_n+0&P^05mb^r7oaJM$ zzL{G@pjdHkYodxNs2@>PWNg1&d+{GW`x)Qxd2{hECN{D015WjJI|$oi{0ld=lLR?v z6{g3WqqZ3TrV$^A$gG5r4P*So zVhLuS$ToNAwy7;+{M((5)QsXbd1B=iD&k)R=c>MSYUqx{s20qWczb$?OJkeBpDhM> z*Ou}A7~dO~==UunWo)y!L3>nzc$_^x47M5X5O~Zwl9YVr(sHSTAx=alLmAy9rtrmL zLRUh*4`Z;iH(>5Z^#|M3CDMtkbh4q?6Jx~aR^%;De=--uU1xJa0Gu8y5|fL_R8Tbd zAcM#np=$x+$lw=Liy4u|0dobR`8A<1l^`B!LI;jFQbkyzD4dv?ii7wl*(cG!z-)Air!v@n_Ql;7{>9u`bRpPI+L7RD*4mLpuzlQf zv@-xv`g~%1S_azyV{4^tk+@cr(|7^ldknUrZV5gxx3~klvoegqHulWfScstk+S)*z zaOe@h|_7S6XM%UR91E)RYw_#v{J@InLr3NZA728Lpn&F`A|3bgAiuW~u58y0Z;TSO25jrCL0^DXIbih}kGsWC7LhejU z9C!m|NRBjm0j>bc>+Lb5u7nDNeO{tP+EYq4!A_Nemg|c z0-Qy3k?`0wgU+G?oKYUYNr)vSM#>9Wg7d!PI8|(OkGQ_DoP}J%sz7NGB0Jd1H`b!- zfAfC@G%tg#d_5BiXBbY0L8_YXA^xkIyFggW+mTOPZ(3&q_)`S8$Z$_**rjhb0~S;G z#k@!@)dr<0K1Bhcs_>f#e@#lfZX5x=1Arr7OOibAA1lQD1F(xqJmc4E3gePI3a7)L zXDUq7e*t{2fcxsxM@h3c=8R9Y0`Bbq?)QK8M}F`+av@=T1l-B0cLBKT$c5b9TLI<8 zMMF@}Bip}`+O>{cxDJ6OM-mW}o^U`=$+jz(YaO|8rzp)2lb{U2Q@ft^h^1_b6tz=T zwCWFg!Uh_x=!ztF*#)44JRf85?$SJdN3ffhHg1A7!c)QhAVD4 zJ<5Ou?__y-l)*KDuSB`~i(%*eD=26ZrVhsp%AX%S70q9Y{_mPSu6qb<8dYvjB97F~nQANQs||7(Ba&2y4dONR}D4+Vi_$w{}!l$NQ~m};J! zN*L?FlQiyF2cD#H7pdmm4jeRQxhW}K9b=4qq1WNFc8-0S7hVi{q7Ow-!UwI9;=_22 z6<-)|@lzJ&xfnZ+eWANL8;J^HQIo~inD-sq+SuQ!h}99#|3#%BBn6-gCEa;&gvNBC z=(f0PZsmDef`?xh)9JRjCAd8hd7^VQrXVFeJ*!@UM4;-~SRSf9g&N5QJUwtC`B0pE zjI`|zC!{<*-{!ud{F>Ai2JHe;Aob%sL8<{oe^v{2Hk#VEx%~N|hLhaXvp+u+a*8*O zpUuLbpYy{#Kj-xP;8PTIs&^Zg$DWn3zi}PZ3?{^zNMnzTG^Nyq9DH4LA0+KTLAxqx zUs3Rww7gp~(4C<( zE1~Kx-52o~`QGxAK}#Sw2;3sv)Tp+^PRN*J;^a-74E&IGZ%%pMb<8nYtAu#QP_!&B zk5DGkzK>|s5EpE0ux62C!iaF?NRDK}BvhrvMDK( z;*A;m;nPovH)ia2=O2(hCf=B_AE}V30WBH(85B(nXvx^mV|<)bQYQ5a1NP%MFY6c1 zB02$2(6gXm%3rRA^AU7*8SK=L`0#KpTOdu?gZbK9U!wFXkf|Ah-kj|fLppziev}y#te(xzH zWEyVFcwH-`-wvez;b;HWXMb(hNYI-x2=W#yNr9d(P^D_q9jL8;so#<>hbc;}!0=e9L8;fxM2roshdancQO3QeEpk-)N zU>Zz8tK~QMlQIw}lu3{BwGEs0@Xlc2%A#40g)58Z z!oxHqA=AaO=@j}A(B*H6J5Pvo;Wzx2cfJ2V&lw0EyzLnXpU{md6V%tm_*INNS48v) z-CBKc!y|A|GW*Blv8iXG(_P7iC!M8*F|9`xNyu9y945oQ(Ft$IBV?ciy0RhB&<(r3 zQ!K_74GpuQR84&_xq2aJ|2|?OTeU@brswE2>PYWe@4IjNzpPgEz0k1 zCGYRQAxjd(o|Cm}^9H*%F-(=-E_B=wC~%l6t}Zit2NX!)(3((J%jRKRPQXylW|}3(%QUIO-2O4e1yx3+6bPuT(e!DUpVV z5|7Qkroa-DZMA17B@c+nZiwnW>-UVLhmr@xWH$t<7UDt@#(QQUA0si@4I!<>p-Rj( za2gOp3^$yGMZv&ja>6khEdebT!t>wrrztp$+mu6Lojd+fHltg3z;t;;!c|bXh&~Zc z7tyOM=jc@va%}kzRkJB3+6@ z7bIIVj^eSgZ6+Il4#IeKhPOzw9w7fOh}d%|om7qVJaIBH z&D!mHt9N1~Tb*34(yS*0u#!C8_5({+mh6}@D(h<i3<&)0vGY6SS3KTny93#_kRJ;mf5-pubi@31>$lw~ z?;0glal2DV6|DASE~(((%YlU@rQuD@HPs?u6ns;7)i>|4#e#nP? z%4_qiC!KxHa2x|xD^QQ&_!0D5V?FIKvHUXnA3MxQQS?XOP-Pgy@gv*_brX*J0X-_B z|D-<=(SOpPi0D5V;Ky)$(oHDPA4!Tw6pp8m{3&ETIYh4!`Q2O9s$W@8Y>Vy#Rkh$} zjYsoOh1Twh$&XWO_hWu>|K>O6h+ONS-ZQqDnPHQEc8KC=6|A+zySGa9(MXUf8bZX z?6>D`V)an!_ME+pyDoA&WHiWR$FfJUsb48T=M$gv+&PQ*!nDIGy?~ z?$1M{z9qk`ZMY`oYC^6-##Iy2THw}vbXn|{baXiZF6W-BPh#sDVzTf6`ES{#Zpky= zx+!0(c1q6&{4x)&-L>$4k^f#bU9W2-md^9QtfnIysMI3ydi@|cd-PXN;mP$BmffN? zrF}ao?SK5sAN(1gHs>iEwrGB3uzTF@4>cbwVo{b7+kuE&WvUBLp=q!oZ4I`jp$wS? z67A4CEOB;^prP7g;VD4;Lv!DU#`=}=7K@a2zsK}glG~71pK9c#TE0-J5nWqAp0`+d z3K0CzU89kA(4H#-1%~R->}O{aJEB|g;mVYM=_wpBQb&XQEoQD)ma(7>eG=7tN4>#P z7WpvCg7$W&EnOh5*(~~C8E+5zuyyZHeQ5^A8Sl?=CzqYA$@{9>Fl9)pSs6&vTVZx9#!H2L_)9Qu3o~!DZlRuF99id`JwyO z#S(_s=UhX%h;^YfD)up%{m*T(v*q{E>|4z=x>&>W>52t3g2WAop z_X9>-bSb)hOLbDhbz|yZ8MGp1b-PaWw~mn4sxd1<7Lpxoks`aDDrqIOMwbHHql%gs z4t5<~iX(O@4gfYd0P9#K@4?W=$&5>WM3(~Fqbvzw_0ZScfFk*TtD;H%BRacF2Wb|7 zJ(n+_`uJ+!#bKDzmj8|a=Ga@|d#J0GEoeV%{ECpf&X>JzSj^;nSwOD%q6u#-&j6NM zA5h8=pmSBmYWhdpGu(q=vmHoR5@UUf$EGz=kQVYj)fS1dZipcmKujq;pW0fplNjrU z(9*)33SjJ*7A7&)4Ke!z=Rm^|DNJH)Hr#^ycEOMs>xQR(r>a^Q;)hH>{Q&z4^$)?= zY}s>lZ(KW2{f9_|$y8Y6O<;vx9EH`Es z<3Qph`DT!|6cEUyFQSDT9vULEVEGPVE^#Fr63dg}ZbzL;f_`fecs3-KyWw%q*e63gAN-|r!z35Y%%`nEn9VtF!z%%@}t5Lm;NDNlx2 z?uGzU-6H)7Y*AEyK{|S#c?ZFAw>&_0Q}*5W4Yai@OJccOLdn|Xud(y`C?zU#OC#tL zp;6&44s#Zv1q)}A;0}|Do3o{IfoSU&%aL*QNsI=S@^ViVLXU1?S%G{6oiV4P5U!Tl zTS4hs&?qQfBVW6VQ$7(}BD)(`kYsQkx+%%wTv4v2wUK+@qb)ED#$cr;><}wZ#nQ#S zREoP)+AfBMN+cZD*T^`nkK>I~UP*nsX6UL2!{@`%%afF@vG_o$n)V3Ky!uykUjFL8 z@ko2wEn3le`7OEzoxkq>OCS7!Tp%}B38<2TIZU0>un*a6sAk5nZ);RKT@5kaZr=iQ z_xMo8oHWdlHs@G%jNQ3KmUnY_NW&ay1Fd!)hqgmtmo&7>Fh|-j+@hUY3{ljL;V!qB zBW<2c+l!!QhrD)uGC9)5$!uFqd!yEm$(-de=13ccn9L#h@viGFHE$OLyAF{y4pIl0 z^K4uvCRpZ38;7t zr)o_53Ig9@1&lC16wV2sspwpAIeP;fn^8CysH+zf!KE@D*XQ#T*2fr*Qy3${u^>fD zz|0s9j$Du4+#Vyv3+D<3#qrB>*gt>TVUaJ_#QuBhbB+A+sVPK3aV+6#rn-K$2sezc zP0Z~9ZDx18v$UzeenG1z^yE?nm))Y(6S^Hw=xyB(e9^s}r_h_>b$z=v&zd3}9h^O9 zTcxBr0Vij*=?S68r|t-ygVHcU?Ga2l$>)!G84+nG{gw!{lYUFY*-0OxfLT>6A9n`| zl%4bj_>S}oIgQ-W6M7irPKa3M;?tieL}~;ajd7QuQ9j96HBM(6h1FOl;QsPH=Vooy zTbc!E%Rb*Wd&(FS8hk?tq}c1CHu z_9&3t*kjmU$X@V~2>ZthasNQYT`}hifIaPzl-UdMlQTf;<;mFxxEt`VSIj-XAZ?5I zAxjaNv5kFEsvtDXX!_o^Y=02DtAhG^wZshxI&ENSmKb|r7h|ch>mLu5a-Gj)6q> z71_)0usH8q?tqr7uM0JJxMhOMPeZ%LiJXSfY?$TgID%djd)z zPQ2f5(Y9Z0Qz|!poTy01wLGyjQCKdGz=^DAx=T~Tt6v# zr$eatd~$?E$eq{EpL9!(>)cjowlg%xMNVkGR{C$S(r;pO=y}m_`-arz?5DHF*H13i zFS|u+e0@9d^}XNo*FWf$jP)7_IuF32ZRD_tVN#4|D_IVk8Hnfj8Uox?ChNgZZXH#JB0R)VLi(BG2_l%=lGffyF0oWzN z&)uRGaBl~2KlSJT{`-D51MY=JwH``vQiwg37(1fQ zUUh7hV(ti(tyBHL#%&_A?aTnzcio@1rX+jVBY-UprO;>n=O;+BOMr`A_v$_5Ei${j}3N_;5u0%1)zRL-wot$tKRo5r^>)1>HGa;?>lM( zI1OIPv5xk5cc5ILQ(&NMQ%U2_f_OsD*~nU=+lojZfm}Xc5nGFW5iLi+W!9#QANbNvuodra;Bu0rlNE98Ec+U($1$S~}~9faC+ob#yc@GVAVvkLFV%M01| zgIfdbCpXYuc8gZrza8BF#8*G*^FAh1`t)XaA=}}~)L(8?^Jb#uUFbZn{T4NEYW$P; zg~Rz&Cws*G$xil&`vi}rTqD)r1wC>gNhECRWQi1vcrs|Yw?v42b!XO>APz6pyvf*J zrU(Sf=e2GGrUv#+=-B-d3M}Lx_;HhTea~@dEa3dHVf$Im-!}<0{SW~ zFU6QbQ-5vD!zk8}-FfZ&&KKXEc@?kS!}8l-dUv*v2kMTRy<(#XN31xN;1i#D=9zE) zsl(s;mYg5ZJ`7LDr`|8n+`KW*f>ry<67p_%IDp(P`2pzDS$X&1EoxGH*QJm?N4LFZ z9P$>W_-=-1>bIIY90n^wr!7kH-M5J0tlpS6X!VogyBPwj*%OGyJa7sWtMjg<`0iWW zZrN{#WXDKvoD|>95Pb~jN?@UUwlNQTl-$I8W8Q8@Cxz{UkmwWNBOhhanAbHen#<=n)4J@@0&8+7)j1|8vo4!{q!fUGac@)ZFx7}mKVZ(`Tc_8 zLKe?mRMye7mx%1;;!@taJbk6re)OV$TPV_{ zJyW~h|E(1B+~NgC1&r*tKRy(3eJ+Rf>!=w>eH8;8$GeM^cQDYe>7SSEPd*!jWw}G^ zF0K{m>?;BMDHKz+H{^_ev4Yp_fY)#R*xsD+7{gM(lCP;dRov- z4~82J(Pdp3G6qdlI8<~Itdh7!+IG1`zOCHwfL1g~YGc<%>$a~DqRU%8S?+h}co*hc zGn9l2-UD8B+fE{7)ZW?WhHlreO%lL;o2>H76&kgScZaNViIop6BzdY6l3cZKv)lG$ z2H@18%~PKG(zrI^{8i1`5&vCoT@Y8GJh9U<2v?tmh%X4=23ndze)t3TIl7q(bTz|$ z!+X#Xg~%M~;vzK@kXV#uA`Yn$lHNLXSHWH$hu-U9pw-g;kaF zTym(d)#Yb-F}NX==%fh>lT-}Sy$-5`a4(p4eMdEO3aL|Ig-D$Z`L1yr`e&--pw4)(airK}FJtW$5>JrEs5a!9V1 z+nhz;2%wmY#zjRy&G_DLZN!N+Uc0&fn{iN(G^w)YzvoY4;icdFXZfW21c1s162E;9 z@!w>6K3>4uyjiXnSmBPo9({vIwzgc~V9Pa_WAfupO``>dst{ropO!n?zv`*?kPzaquREv0LUwKW~T7FSx zCElSS8xrJ-$=Q&Y>|2Dgf>Oaj&=PQpUD3p3H?&@G;N4zZn4_W8gl*TD@C7o5S`Gdlw;SBwlnFMeAa@= zY2W)6>T0yyHO-*Sn(??>_V5Gbbo%YlnC)SkMMJiq50u*m*IaVAzD>+wKZrNdBjs;; zwt5QlJTJnbV5>o_LcN2lqHfkayFZ;ur}^1x#`5`EZ57?0p}Z^*(EVuqSVet3Bf9LV zy8!%LXBzI;p6u&_bfp;PAe%qdB!OnU@#}+ZdzM!~4-wpxHY#1;DKocd1@zki^bdUB z5B%6iWiq&y>IWn*Wf2oq8w+5aN2FcXwgg5JMyLo_Ht0=K3{)l*kY51Z2~^mSvcb?^ zRvQYSJAtZiz{Da>L%y^oI|-mCL$rLW;nw=N^Dzpbn;{fqK|f*g__)Uh!D;;p#~4Xo z-HDS)xN9I`Z76_lTTJs|K^nKF^t@{YbhAXZnlMdgf=zJcz9q;`SMtQu<<7S|7qv-8 zS&+O=E!x`Td>V|eskg}}$+vmJrNp%)9jrn2zS?`dJ;$cA7Bu8KG!YTbDBd6TsA(#m zrIKQsv&jHK;#&LN(fIy^ZmL3fE{h9PC*9N>wqNiW#N6m=oPwG$KYxnOLH#*fO@FGK z1kVNdzw4Crw;^#4-PTZgkbbb3$sb9*2TlNguE9xoz$xkWXwM+f8uc$npc~J`FS|vn zld$|2T@&@c_)Rap=grwk&=ibE{V9R0^TMC#jc(av(; zx*WyBb(K5N*Z%_e*Ao2Am*4}&VA(BNor2}J z=o+Ws-}~>s_G7<1mjd)=)J_4Kn%H_pAr;Q)X2@xG%}N2bv|K)>Lm6M9@*^eQsx8Ly ze79>=uML@g8~BoUEn!7!BcBkYDV%~SL7M0kbS<@Vr0R~=v+5XQc^+l{2~ikwjQ#px zgv^8}jEG&^=^QXz4rzOq=UEddFL~h<92>X=VhM+%>~^1x-3yq!$e|MuovP&v4)5v+ zT>e@o`Q?u@(Yo$0CkFf|vmc;a8M5WG1=m@7#0vi26FIugei>`j{^X|im))Wjpl=7D zU;MVWe9ITVIW>N13Z+i%PiE|6@|dariy8YOcic7@(=9pflPH+S39K=7Jei1(sr|`B zd`#`bGhq1vNpleW>jZa^+TZu^MwkhQ7@ZLhEW5r4`G_Jf+_xSvd{6+yhpag6&|{{S zZidNBOqqeG`lK5=L$KUG#mu$uZtUM`Ll+kf`8-@5aM(WUGegy50})(G@q(PtB4(!}Y@o(RFN&8@y%1`pu>( z3O+C>*Dnh`4UU)7K&i#QY3{tOIc%Du>k|g$`kMMl_fNvI%qqcLzSFai#Q>X%0)zdg z>GNWPZ*j9a$`jz*;Ue~w+CCb-3)5neReHz0S6(UgeO#(W#eDqtaVHr%p?=OT4b2%7 z3}P-SNe1ZxQZ9piTw0Z~FQPWYRbP1-t4_}8VIM#`oU#!>*7H-U#`|1uAK-y<3QpK6 zmR^7LeD5VWEIBpmAjv6-W!gt!C?_H(u=uXf1zmDi#_iH&7`wDw#YXh-!s;zrc2{W4 z^Dqr4E+9ekM!zeR7W$vR`^ESF*|#LuG`9}&ZKO;1)uUe#bOq#&FzZG$J@%F5j*=mo zD}_c1_9t9Vz7n$`-$!l;g<>1T3)+H`6|y1m-3=lC%O=bQb9m9VSZ$GSsARas%Y?2? z$1GE2$XAgYBKu|Y{?H3j@0u?uH|&lLX4+!c$ZuKt#=gQ?^PS^{hYoK=$=;lxTU6~@ zSSQI++e$c|Z--vY^7=?Y$t|G{%(hNg!gVLvHC{={9l0g-5yksMrOc-F*jG4A@xR;> zK_GKnoa+lgr(&D4zP5V05Dav8#Qu=oZ2N=dm~3gDPWrefYi##fP^2#zi+8dxhW_=CaD$9^!_Z1LOB zRnIeg>=!ToV(tz`M9awM;K{A@b2+%~2$f>!L0A47*IPzrht0t`?!w`;IGrN& zP=|PnhQsGK?f%Z)cE4$3=mAf?EkX|%6vr>id53MgX=CWo?lx@^dcdGupUYwWmz&!hx69wT6q()8=phGv4l<%FG_Qf zk%;J`GcY-A+NdXU7Z>-ygo;n~rUg!ZjYc$eiuhxVM(Ut*jYb$v&cuj}M*hZs@&CNz zljbVfNe~@Ep77-PZng4;MI*N4N-r#V4=1L`D=O8UCu-yJF87M=)MypSm!vJ;bS1mr zw(4Dhem|H+LWj-Idb;Y&Y_MBCOx2lQz%}yW(W$xM*tlCW;`7XL!{um9H9YPqZ;BRZ z9*-kW@|W_7>rGdip_3M26psIl?pn<)LmHvLJ&?}|+?3^vTkzN+td>)56mGjlC~cq? z1Ns&2eoh(Asm0)Uqu+zc;8&%5mEw@xFo{JoP+}2-zcY{)DWXeByWPg0-kc>Gm7->b z=Uwl8Z??LarpigG%Q>%{@hT5&{KcM9f0l1b!D4ZwlQ+ij#|;rx zTM@b07C8kP=CB%D#0)V6_1NO}gel-TsHb60=^=1Co~VT_wP6+$QfiBaIq^ZmPKCIU z;j#v4J1Q9Fya$A}Fy<2xJ&VX_WUsa;&OS>C&-3MdphgXMjb`)8FlRkD5OnL3ZfO6i z3`0r}n*_zo&IST(wIu`5bS2v@vop9r(4L8T9G-Vb>EV1((ba8k8(gaL3Wby&F3|z$ zBc2d0{!sm`xIMU$vdtdN?$~>&6Bq9f2CgyX%HnHpBJKII3q<)DAwRO$(r?=g=BtW0 zms?`aJ14{A!jH^$4VftncSX7j$H~2Oq*^@p*_;a@TwDRApj$B)rCj-AAhN5!poLrx zFOy{}?8dS#{|W>=ap%x*#jdVSVw8ld~fE%IvIQ5kHvgofUijXOM7pR+jUwZk)Tm z>=v!g%JN%u4R`%5&;Ilu`10(ms7eF@ktxZff3h>FbCef}a`<7}S1_cr;)XVV6cCFE zXY@g$L=4d|8G=`&S~A;4qUmTzFmywJ5t-QlE=a`C5r~F#R^0Fas8+_?$gb(ExFP7{ zTj5|~PHRs$Aa0S)iW~M_gYjSV7k1ix77gjFxS;3~A+M(6;= z+XD|#C(}Z=2+B9r-sAm2=YgsRjatSVWP{?6_y^g3aFya6LVygPkH@*+wdJ`lazPx> z=>m*6AH~;JAQe-13;a$zhf$|P+yVJ|l9DeLlbkZyozd6ib73v(r(B6{ZJ0T!To89X z{9m-z38gF`GQ?u1(+?Fz41OV8lIXMWX^K7zmji^$6qB&SYbj#Vo)*@JOH|Yuq!1JL z&!;BrAIBRLMDTd7a$#`6ip|j@NiGO<_T)F896b0tj4gHuC0M6G5eRRDRF+tpO|c)~ z>YzNi-2G*@XmwC-$3c1hJAdE5^x}smf|TBj+Ced~JH#J+TUB@!A@G1agfOW9EtdPp z5Ev0Kr~7wX3_vfvgaQEZ2C%ftR(Ma*ONjW_V>CNF8M+gS=+Q93A1A2^;{z^@)K{Wm zbWlwDmRsxwo=kL5j@pQzP88uhZn1DsPNX~OL4xg4TSf;3ia>0`6YoekB*iwP_h`%z zv6ME5!m_wK5cm=LTEA<3o?CWhireFk6qSie7XhwJygw=PK~epI(-UtHNMt%gzK4=> z?d3`~#U2$xA_mvPLBZqZ@QU$2aIU4UuItTCK(>Sgu`a0OlPv{8?yX&O;Iysew(LEi(lD9sUE$9U$7)TNETW z!^w%b;%xaArzYa6vt^b&ruQfX88XS{wdz;Q$B47#+ngMUE6$c#n#=^w2SU>3?&NKX zv*nh!f?7P zPr}q4HFJ{ah!v?(B#D0GKmNbJ;-hoPNXbT?k#TNgB#ByAx_xCOBR2%3vlB*0q89gN zL&gZn5IheA-838uC=rC*5Op0>4MdZd<_7W>=_I%z$S7L70FUCJPC_=6)Uwk~d&n8n zo4`Oql!WL_WJ5X$zC}c1R8wGVvbS-3*-*kU+v0JHQju=adtkDmI0?Q<^xZ)?NlqIA z!_~e^4a9y=@FmB?2P=kc(n;`b9#Ggq20?_8Y`$Qyl%&3&`BoGdX^F_M-g~?~V7H(_ zh^M}91|n0Ek@3BwwQeU2lOwjR#U{ z)l0}sked|_Kv64Hb?&mp)jdEe!JgO|2wI6H%j47=CzdR`MQbWy`7OG}OZbVu_V2&+ zt+|y%Sv~_6Y>yI$6%j0+H#(4c3ATg@1y4Q;c9OHow~n98BxRLef*T$t8LKQ$yJbS( zQFbX)3E6Urhe|KOEmP%Wlru^%!7U$#Gb$)u)EVS2L(V8u0Vy3|cs#-tt#bUjopWT0 zRu+$sBg%e~qQ9e?>rbf|9qfQ9IF?dT3MGJ=(I5bS-`V%$uz+!p0R$ko( zaP6WX18^9)7)trVHVHUB=p+T%0O_3NoumNT$c%(v0P;dypN?PLp`?ov($>u>@C&X2 zZZwmib2d93Bu^9y9wPe^_b&;(wEwy>m&v)r%%qkgm=#LJJlp|MQ*w8Hu~qKGiJD7! znahI5HNIbtIBR@=!NVLjBGZvExjX%cjBt8;Oe%alx+=gI{TsjXN1x5{z5ZV6Dgb`* z>?2^#9&LpMMrh-R{mjtifUXTO1W8iM@b(n|H>R1$+}13Xcn zt=e@_1?U=%US`g|!7)S}(=Jy(Mlb-_<%*NpQ;x4Rj84Ji;Gm)k@DOu@QYfs*mwPQE zJ|-ih<@t94lH0(C%Q5lAPqL0v@x;ov=Kl(E&xrEsRvx6A0l$POLWDNlT>)Xz6cZq1 z5MASCU0{wxljRD))QmY2jc3eaussRV0@`8yI#`!HcEH@ZU>(O>G=w5pzXtQQHk40C z5Z;4jfFzSb;KVB54okS24w83qEmv)bd#~Sn3JG@hHp_UjYC{O3df~ei@V)8U`QQC} z|MCZYSVm1HGI&IGc`t@mcbNU%F}=oC=i+|I;VvtcCIr3OI|@umrO4SEfYWoGKA zzQx&iGU42VfZ;{NyZFT;fx?Lr@OBfW1@N+lE>Z**zS<+OiBM8TG5}MNbrrH-XK`964;avA2@qe)?4Wm`EcawI1t_iOr}0Q2_E@1=taQA z9oqWBx9svO7MI47_88B+a@Sb$F~*Y1ZV`jARWZq_VmHSq`=M9=(px`$j!_1k@}0Eq z&B_jT=-<+yb8jN(IYf-HB!=XWD5i`umHEkrMJ%~%8f+1OjL!AiFvgP5Q$E6wM6qT- zJ&TMoYu#hed9=cJ-gOa6qF5}nDv-KtrhPUnVo5|Esv6WoQ@V;y3q~2ZX>3FUMZBjP zK^eyujIwSA2Ax_qCIgUnF7cKvf^B|cST2k*%-pNC85v~|b~4K?mE|kTczcd$Uvoy8 zsT+m87xxF0GC8B;wufR>+~%z7AVI5k``Z+n%b|1;=$cwUb`r!Zh#WHcL*3gZe?*Wh z>&e1oOr1QNK{K0f$eY%5OTLs3NwUy+Dl^S>iq_U8ZmS1#=wS{t)Vr>;(^2Mb-h5Zs%pW?|aS}(grt531q?YoA5 z_V@nIm;bSqr9N7;#(RBbsjn<`jN z57Uf@`J@IdoVqWcixh~gf35uChznBH-!)|savFo_3l+N^th`nJFu5SOT2eLixl2nE z70U!&*5b$VDEP*Sbjxnhny6TQi>^sjJo7{U%IVkMlm!l@fL~JrOzEE*dKBo>pQ4B$ zSfiX3uwX2afj55kQD^3if{Sj^3WCdT(KR6W;!pewum8W97pJ8YkHf8y^6X^2 zKGv8IQ}z0y#C$xl6wZ464vMa^aICyI-}SL;EoI);nk70jDH(zxpltFZ=QfvHj0}L& z7Ufjgb?Z}pY#YGe$N<2AC=TS|F}9fYQ*JRb0J;NYeg&vSGNv>v832=90ak9)=}dA3 zq)Et>Vy3wQ#od|Y3KX~JGFMBz?!jKs1hSt@F;wvWqBGxVpiJ{r>sI>es zLx#}F@YEc&2dzQIxjJhJp_64JvbBKa=2VSko()X}u2k~O5v=}K5zRDA>y~Q4OA8Vc zlFA>W%$fWl=$2;4%b)7q#^r1^{fXKp&}a z368fA3qumQm;?`ERC)Z8uSfx()Fjf9cugVvLy?o>1J}}s>;YcU>q$qipj5Rgi#$SQ zkxzU5tN-1%WG1tg9O?>cn#yt9?oG8L7ad~?6)&&L!d*oQbxOD^rcft^yJ89zJ=8jT z96i*NQ#k;vkSJmH_R!dv@O&~cg?ehino>hfe=YODMFq9P2v9Q|?7FO=VxLmIg{sM5 zyh+(Zjj^vncETkec1Fhv>hZM2xL0I)DZ(<@LydjYWnj1UK?c9Zc_gCdVHW}%ZO%#& z%l24qa~9zRyDxM`%XdMfQyWN++#z1~movWp_iRp!^ODT3XL_xA8fjH) z^>mf#eC>so&+mBQ!OC_dbV-p94x%^G_~WKEKUbX^mi)K^CoW-4{n!U&eGm1yll}&l zUU+id0(%wBcy4P@dpkkxSAE=H`zxo}tnjfneUoi(6PeWCrvE^O1?ZrR=7KI20TxD-`g-Vw_FOhj$~v13hIqT z(*kz08R3kb}OJYD3m5$w~g+^MBXE>f5Noz2=4A^KwSAaTxqf525xB zSs^rdIgd{1`p3TX)Bi|-Q0+kR!m78bU6RYf7x4G}NyfnzXiIsKWz zXf`B-y5R{CxreFc9&Ak-}nr=BX?=u46jneqBu1EB(6`#dlz z#HtbH;%C9N)cPY!sk&ML<@BQLn`Ga(_4s*u_53Z@878JV##8r`9Grlr-L<#V%PjzUpd-F6x?cIcng)|qUWqfivHjtx_%pxXNAUD3qnu z7ThHo?mEzNW5ra5McDS)K%>;+4ihdT(Q12V7RLD67Yuo7N~@k*wSU*rD%7Pn&7HS# z5Y$>)T?4?E-}mxsRTIcNUjE~6|6ED^?l#UGnQ<^y^tv7N`o_0^@_+n+Hz$P#O=#Dp z)ze7`p%jzeTk6j;--cec^z5nKpMW*0I$h4qt4AFjDNl_^5h~2JVJxjq>N&>J>QO=} zpw|%-ChC5d*qzcz2dNxmZ|vWEGO@Ip<_;tDgy{C=(kc|1RmuUf6qaK4EiT5x7w(%v zGd^f!h7aTYC-}msul$tjgoM=>?w^;BKC%^TNHA8tNJEMN9zW+WZu*l2c@B~8nft#U zAKTU1^vDa~@xfDpM&tUah?VC}52`oZBwBVTL6`R?s&{Wt9!C$7#N7R^ZZQ_NlJ6ZY z#^9*2p1kh|ZA-E8yup)!a<@EdyRL4n)2W)!#|@vV$L)OW<90s#?sD z-QZW6SJ?L({B8ol5C-)M#SV`f{3i1HO|xl^#rgz+xI+PjMA2(bnKUcR5R zOB)=^uoU?jT|i6lU>}!OP3ak~%BhieCfNbZo2SDmhh52feo7Uo;Bva12P!RGd8?tv zId(ZG%e0TeP)EaeP==`*dF@i#4+M1)5?jFSD#rPdq}^CTLwO$ z()07aqpOFE*_K5m@D9d?zZ4`xjGGb12+erIp(^q2U>YhZjwBgw(RWZCXS_X_mBm1X zmH?r`a@Mz3|N6d`UEr&0$_pQYgKsug!ohd#S@L7}WL@L@l)Wx@i?Y*WUVKeGggc)$ zaK;AAEJY4U(ll>LKKTyD{;f<#XQl2zEaS?dZFPV#hs z5T5Os03n2VGXX+)x;LQY8ilqY1E_pz1d8nuhKnv)T>P^3kU*#{=_0vhzioQ`#q4*M zbj`nmeR#Sz*3i;0z&+K!EzF$B+eGyhQ3-y9hf`~fuwk3w>E3ibo_~G1kAsQBGPm51 z^VDn`BinXW(19kR@cP-9_!ECIh{@}7kM{^M97Lb^+uFC)eP8z5I{R;HJerY5{(Rqm zTli!@gLC?A;nVDI>wF&kw$ACdg-^cGv;VfvkKng85#zwchMskBnRjHKMVFf|;^?x% zr;a`@LIC*eaRokIjZHlbVuovcd|a@2I`qP$NNc?P1dv=MRH&{W8XBalG;Vx80^KVjLKh>klqiynYCI z4y0A?pnrNmP_x4;HZ8vOxr4ma1Q`$kVJR6S0^*_@b5WjD4`}Wl;A#c7Ri!Zj*6>2H9jlkv^BfBA3xuCL5ZR4R<^nSP&l053oj_?Vt< zkZfOBrr!+_|4_OKQ|d8rQPb_&kgq#8L@zNz0=!@P)?AEiNE~oOQ?_DCcP|k^oXNl! zUNUS>blzdOh2sbZBN`GJ+z^~Gty3SiM@iF0L%sst5Pas765O_$BaViA*SR6oDmjdi z1g?`*=cA!gy&6gF@YoJQz#cmriEq+2w{Wdwn>z?+Ri`7VY`5$?ge{u%ZpHsB_D+m; zOANtcV-`TE!F>`R%)VmEJ>H)FfYxj7z2A1NrpJS2ygw(jgQ;aI0tL(B25qgl1MyGO z4+)m>4q*T&j}v>}ZLN{qEf;x!=KmqGV#q;%Z$<}GOpIkNpC542<`FZ-43CxnmFCT_ctK zGw=GWf3}+%8(G44DoIN{KH3q3Q4_1BA^>W0@F~n-@@}Md&KCYBtzh|Bz5`` zL;5Igh}t!!RM@9g()f6J#77ykwDxWLYM#d@AeI`a>tvxq-?;QNzbmT7@`pyy``qe3 zwP5BLK+4~I$GXFez5e%$D^_2O<7>)Xt_@vlL)QW+VLl_{qx?YmJc{JdCO>e~U#sUHAiu z7QH;4hDWR{KkyVQnjnI zkY>D|3p{GFs3XQ^>|FXuVKXL<{OP+@zVhei}dn>S|~u+OWlV%K+&QuPKfqzk9U?PnC&Mu z^G0Tv`Z!{*H9STQVA(BN!{gg&cl+=9cmBSg%b=<0PS-o}yeI}LERzfazYfjZ63lK< zKrZvfMS>YYF#w5CZwta*X&4jCnAr&JF&cvOs>DH0$;M`FvYn1rU&a)}TDL1Em<`xb zvQ9+Xyv3Mc?oUU?ois#yQ+13n!OX~APo`}O_3uG~c~SsPis0#zw+JUBJRZ?26I^l2 z6Vl%(Lo>a-w~>+ge59W%S$2&j>-X${FE6?j!IPyrKiDR^$)Z;H?%G6n=?2Ks)#@x6 zk_D=-O^NGHClp#_tA`7E;d$rssd5TDruKg&yaT2Oj9#ZO^7kB^5~a~*r$k)eyeKY= z$I^Fq-GfET_9U;sA5^rcBbvH#3d+`!vgM6emY3b475Hxl`2Xy;{=TpIXEN}s7dD8N zr)De1Xc-jz%d?eZv^+UmIY!IJsoBagT0Vj^rF1d)9%aa?DlHyX9}#(I9eAW~rihlO z<{%UJeTx%gkY}Ui7^wLRT#5%1*)7~P^s!-w@-#=PN#rJXdcKN2#Bw7OiL96=*V-&y%t((3Yq z_KOWtc>0z^?qYGxveOMpnj0qcke<{@>5V5W*j`-<4tBYB&P;5jc&B_w@zs)i$Tcp- zzy1Gx->3eobHR4sw@h_IhaGoA zux-8UKtT+OuF*QW6bGnTC|n*}%SvZYA-WVjgeSDs?1%}L1zMIa#bJM9vlrXw(Uevh z3XR)cisKe#Zn4~wId-c69OH6+&NwHZ1O$!#HTIQ5Z}nY*c4npPU8LO@-mbGbm?XZY z4h?_c37pxy>=nHR=F6kLH88(W|9E3IL;arr^z*;?#Scw_RLZvVz}&cZ&mIx=BFoG6 zYVcCz-lGnb2(A6^A&y_|T_{z)P3#+b zM%*UElGJa@+mxu+EuoRB=?nDhnFNwsE=@`#r(}H63cV4^2qm+Tu5$5M($tm8>5(r- z>G9c>^6Z4zqP1Lh%IPce!M!C}@FC%{(3u~_g)`0azU5E+KJVq^OY$e4P~ZW2_~-v3 zXs+4lk`IXSJ6|U;ewU(l2>6jODD-{ye8kEXiiAZaXoUO#p8B{$K2C9c$<3u2J7h7@ zt{wI-dO>0RfoN$-+=HGG5*&Gb2;A_Ont6aQDE2SQIYXi1T

  • Z0`w+w>}3+Q~YQ> zLxCk^R}y``TEx1{$*rB|=NE)*uHvOnMash7PZwMm7YA6YAj|#XYf=`U`1`-&8&_hW zwr&ET>1;VWZY2h;#J~ZyqVf`ezWA>Yq*(`yUso29uDa|rAjt!t!o7r*82H-T&+onW z`qS7(w-N&%XRYF|KL1z$^1J8g52ibe%EA_tOC?0j>HSz>w>EE?RAKF%I-sWTB7(P2AkG(fLN=@oJ44FPZI+hbZjwNDnkjeoPeORINV}yiahzOi&{kog>LO01pT4DkfrQ*iz_4f7>M)L=N%`! zcnS=a5-91_bdEUT1##1fEe^EAJih9LO8NuJJ;(;pa*M`<^7cgWYIbyVxJazi@PI4J z;s!x#9C;2});DNrPC|lvi~i@+62$2Ee+m7sN=L{V7m7A=EQ4G`+#f&77MOw1O%<7j zoRr9)xK5x;c-F!HMZ0}n(g!YZ05hF zd7jydm_1;sIMU%Wcq zV88yESaz-LERSW^+RlZC>5W<2`Qp#}rC1&s9lk~6O!DChkvZU%*Bk&ZGIiKrt1C$-VG( zI9tIse5k9Y!%~7LO5l(+S=0a&*TVkG8i0DC3DouKMc1UST-aEy5SLJeu;j&U1Rf2vmr6i4G(BY$F317_Ki)Q%7%Qg zCBs9xqS1873Crrixf_d5s7Zo=vtHh0pCSQT+_b+&iEXK?C`@%+tfV- zAi@{!gRm)rJ0Qz>|A?83wtqz7R|VCYmdS7|jDZZt=m@}^tE4w@oz>z6vXw$G$zk;Jd$jv8%DVX#hP%O7zzkJpLxo$a4 zz>^TecRoqLiWaGhk!(?Xs8X^2-$o$h0y4G?|TSa%DE4=x$NgvjJfm&*8i0UR|Z=0FSa!O z^Ws2DH7tH!)lkdoVYd~=e_q%>Dm(E2Y_UAb5i05n=eeKPI_WaI-56HCPPz=WxW_x` z60_v6=LtEy5_3b-BFAFxLQo@vF+mhaQO;dhM9Pnj8TFv3NIRw>mT6>9 z&L=XXm>?(_dgJGuUE1KVuA*GqG~a^z;Sl*{bK_~9Xu7(9RVQ1D-vDzJOJUY@vC~D& zSDQ)1C3j`qE^Tn^u5cCJh>hML9YM0}u5i^?;;OtwZ}_{yX_tTbE57CZE3GF{kLU?H zqiCh|AYHoBdLAdOXM`?X)dyEv&rDO)O6wUT1SjvrATdF1k!Bo6(d3@2!YAifUw`#{ z?IB$2Q>x)ia3sSi)$m->L{KrM8lFpb4e3tJ*66+OPVljJ8*NEe(F1Nlq95*k#b1HhLR>udr~x<`BlG? z)1u+I-i#CPrbNTz-LXsbK)pepDM7lFd6hgkM3$a%#qfG6h?GJ@H|>B)RM$G!>07Ze zNa)2mi%{eV%J*@MM*XL8;q@C$*HIzM#x&kIMc3Y5Gq;Ff_&9En0=T3d^kc;18Fxzo zJgqBEM)Cum5G?=Jum2tY{5RED?zK|AH2|2!^d!v16xoh$*A+uEwm`Lv<&Y_uVjX2H zM>wzgY2&P;jO8TOPOy$LmctOkutWmo2vHR+f!cZE7&DgRtU*GSiUwMetdAxeW-Mni zbArbdupE|?M5c`8)Nwela|%SJZ8@xiS2BzWfn~d=Kn*OR5>rybW(zMvg!WQK{8!-m zGKYy@pQF-7sAfjlaul%9Bq_y)O!^|HOR%SK_aNTcZ+3WtH?0QE8< z7DvNCGI(}!v~}PZfc`Nt+B(oc&`}Dnf=Gvuvq_AVYq-yFT~D$!abI!$|@oYfug(8J8?j&Ls?$K}~6 zwL>Dy#*4lW?uK|8smn>0qFlQCArP!(IXEV={HSQw?w0t!a8IgC0MQy~9MD=S=$SQL zOO+vMeigj4*Y=^L&THi}5St5{_e_$X`sB>o(Sg`BcizTc;!|(&Gv4x^Po8s6j@v^c?#URpI=UwSN`e)DdPdh3K`^PJY@$4Yxmc1QOZaOc!%1fX zvaYoXYjjTzTZmt%*8#N?Y%w|$5&RD5q?DBY0qUj<#G_$!PZFUNa;>5`5)Gq!a%viF zJ04?em+r{{=?`@!MpRIvdjgIq$hP8iz*nd)P~n~&Pte8{%N^d2wPn0JJUrd|?kM`R zh0_t;69}pvaKou>3R-==&3J#%;!Soia0wq&@5Fx4^V3S{N)W^cg@@>4BqO7d$_hYz z{ypaw#21)y9>i3vhA9pCGXzb?cdLKb-NqJc-Pmx(if+yS&TR$((-E#g-Fzi(MQ|ge zwjNQn?v?@!U)3#DE2g4b>Y6OWL%SijIdKINBJx{LZUnCGDZ3GLx$rB#I?J&PqgFR! zIY!-hmSfp1THT1{x9FPo>5uy6KlJQcpAf}TX8!3$q^itXpHSs5fzUT>ePRT;*ZRbD zp2J$7SXqKf*z>v&;n-i4GdY?Sxo-!#|J--I^Orv)bYY7^ma_zt3|51{RWkKWiZPS0 zmV}rtthGNN<~`cTNUGAc z(KG?zmJI|%+5CBE8XL`)Ph0B~Zn;Mcu9mF{z649@!cLY@a1l$$gj%z>eziOVPtDQ< zX)I_`A0LeV$4|om*#l->$pp*LqpU#m^Hz zXzP5=mf?{`Kl9#xcHk3~!gtU_Vr9cF#RgKdTA)H2YVf<$zH8mZ<^(k)B>njC?`(i! zzMk55ZI)KO6id@43YNt^I!R6omMti{nyIogT1=~X;cQ9)@-&_O;XkK`AD?C({aEerxOtT5OGg%J%mkL-|f6sw7;e;Fh$m9B4 z&gMNmqsg}|&L0Nl`sMkf}&!Q$cI@oJCHb`9hi zp2s|7T&)(vlYOzpw;gp(P=3U>!$s`L`;+gsa;1H-ATv!h#xjHFzmH+ls(Y{n)-pbsp#5OzWIDt#_iH28oRVyWo=gucZKcB|L9xa_O+jzLjp}? zIb|uOwIEtAUNqzg&C}1cTOxEa+<^kYQXj_PnbvMLBe->G*T$fhJ10j z;o-=Ej5NeZfKn4s^?=kB+fzJBHs|nFq4fbpidObhGjCZ;lM-c!JW*3 z%7z3t-{PSIPlCSA5qqw;C?P?zbmbVz)@aC-H(6*Q8nKGo#5{uPC`+>O=?J+RUZL&2 zQ9mziGv1v82o~a#!O|upy5(~JiAqcAiKBTay667czO)iv`B(Rx7&eIeqsE0A%>Cp! z;gfkzW;QJ6$wqu1{MYwh#Syjvx=+5XSx<_a3*|h2EBU`9eTPRHKD z{eC;xdw8xlUEi=SjUNCef|oRQiwpcXv2)c)uhEuZ}a`qa;m+MvLltF8{4C z){w{TJoQ^S-yi#}6j4V0t(=SB%9wJJ?r;g4-wth5kxWAk-`3LK%9LbM%}A4YBKW^D z4F0RtS~NWsHwO*}Z^fZ@Rx4zDa{hZ_+Bpd~o$n4nn*m&2f3 zpUZiDDQ@S*MgokyIgKac;Z*V0AYg!t{YV1_`0z18V!%+GKfLAMzu=q24G%r0 z!qZ{FsSc)`@SWp^Mqos)8F|-1wm0N(Aw@Y+5-G|Op#f!B6H#8G-T^2}Kn0$DD)8H9 zuBV$5^Xw40ohpjN+jE*Mij?=~Vo?O1cf3JIlvng}wrKPa|IaQUz4>^D5KOXZgSlo< zEep$8|Bqgi3Dah}qX#FRXpU)SSz*Kptj5C7EV_RlC>3GSCC5+p5i`uX(~&qylFYI% zdWJbmJ@(0BB#()3|M6cjjdksupraA|wA#;=#}Tf|^5U0u{ri9F9W6oR8qH|9|%0Kj@Y%Eejin5@4`| z7$8wooGK*=Ic(i~ckk}KQ%N~`M}^7#BlX=eMNx8(cjn&9T!HT%?p&?|NF|X(ETfSG zLM2$C_-z!iNQ}`6iZJMi6e+?)KnR90T9q=EqL~OuNHEXy{#ff>t9y6%KIi++`R=7p z)v0gy-fyqf-K)Ew_s8?T?}^BT5x2*pL;?i~aej=4eb4+2YBk6KsHV;yBUsXhlV)2$ zAz&VAQSL}=C80QCYJ{34lds|(TZU34Kzi&9OUc~n0bTJ=3YVWDS_OwC0ar5c0lg${qzt0=>`11 z6QfxG5EP`FGPJw>3Ep-JqQRF260$K>T5{-FAl4xwX$nbMurTDBqo&Lq&?dB=I@)n3 zGzgQZ-~q;LZO273?gZK&rrt{@n0mx$XrnoW_K85(w*gr%8rny2wKTB~_=x~UN&kw5 z_OUs!`3y|RJ%X2o2?=9U5&+G7X6CbmCD>WQnm=Uox#0hyqm3G{AV`*yvsg?JBX)vj z7g{E~#rc0`ktW`sTdL5SqF*BPGie&eTgzn)cQY7xdn$q8&cG3+>QKI$CC=xXyym;o zD*}>g=|d>}5{Mlp;_J38m$mduV0I?5VoWw*sh_1?xTC>n#LchIF>P|S8xv7A+|ru^ zXgB&aZ5t~Qmd~i<|Dln*=F@IL{;kHW_b^8XmlEBJ3K{|{DVh`$OSFgv1F{vS)J4GD!~p&F(9 zt^B`S!+UW=bez}cF~aDm9ODVD{6FuDvxJpN{@;)N!Jqu}kJNszn*WE=pi1ile+HGt z2)t^@G5hcurf9R>Jv{@wXeyqZOp;6B0oWl9Ci5()NfQ66jce%%%C*Ienx)%&&F zwQ}QiE9~_#gMls_t6x={@C-guF)X|HXAo;uDwZSGjVl$) zmS|NfmM_sIO2u#g!Qb-QF*obb#TY0R5!q`rim+wc2XPqw{R*}U)Pkp8LTZ37tCV5) z0o0VJRCHaZ)e6SIH7XUS-C?WT7K~VHAB9q}ZCLgL$GAnbsyfD~RDiO=q6QSy;+s)d zh)TtwA+JPX-2!F#WD2DM?n$M?qCSY_8M)*v1Url-cpWlO1P)=0>)$bBS-@{E0_T{R zB4UG_BV)#6sXu2#o&LoAhE{pR+x=!2Gsd;YMqnaJ04#WXwdqFAxIm0@SKyU!Y0Dj; zaz%jJA!|DojfVF0WP5Vpcw@#6NGKOo%M?m;I2}Hj32(1(wb0??a#o7npeuyF@#+`9 zt}kKfzU@BUGMoLWbj?_{L@R{e4ut;8ANtTg=`)0CwAZPZ7W)N%0}0+5%^uc};)Cjf z;9C-Pgq4C1F-WY`OBXbvBqG!(kW+I=A}hsOS1^pf^8(3Nw)mCI_=78xTTW5UIo`C7Ym1PR%t4A$)Y4I-wd6AQWdcsBO&%# zg${K};wXyivj{>38#8|d1#}$1s(JMDf$P81d*)xc zUr6vC@oTRLdKVDXZrv3@?=FHaTcQ;~ZwEnt?5qEUpZ`^N*t3mV58AeX)f#JGc{D;1 zHr7gXa0$1ii&YWSG&SJegbO?xp_4A~XoODttI6y1xlxsh9%H~fQW>bCM=%)&)5=>so(1m}I=vq&#kuI-wpQy3leYYgVL0lxehBofNE zq2rUlvV7@o>kQQL$!DO?Sh?K{&UN^YrSJA)EgG+d(XT2yNg&SadhEbq2QdAnHNjUB2AdK(1F1D0DQ&o8!m zK4CVnDym&b{ku57c$w1v;u;9Hvs@mp$p&AwdbZtHjjM}lZ{F|;fdMq9S}p5WuOCQS zChiO={6@XqKB8l>->mnsX$y>_R?d>QNuDig5Z7S3F(jB13k3Un|GJ zeeYx^=|A=>fA@F)#;g}u@(qkpzB`PJfTD{i#>PM`$Xgb6cA8U3?n28!RIwGo=}yuI zREvW#3eHx49Mp~CYs05L^m`3tqgd=@$LwwP)f z`DCaUxS-YMWfSZ67&A0UX`^0{1ks97N*lmr2Q#4LzKLb+lGYH5r`-(-%ZXm#lHjzl zxBxGCViSnQx(?^{4`i$Nt!nylWg^n!*1-1-2EMNt%YGDf!S3oaZ}>1^e?c`gs74P#Sw2K_Dw@@hvB`K)(d2`Btdb+o8V-hYjMQ;h*N#8#S!m< z=(Zp>Kax7V?0%YB9PuW2Kr{hoEv6V4XcB%%g6fDjNtB|TXf;iOoL`{nR@2nwlH_Dt z=(swM(-vA#GdTy`F89CkYX}3#_TvWCGvq21YmB~xct3!#zj^=ZPuzDps17^1h%pPc zN5=qf#9$}GkVgi68(xYn38rGCY0@LiPxQ|SwX0%n=dJraewhP zCBq(mgCeL;N5v(M1*K4?;gmuU90T%zClVX3E~>&O#{f!bc8}l~u*YFV`a2vj(6`{s z60JyoJ4pYfU-PHG_T_-|63!lk+;6-Q6f)8+(Y#B#LyDx!i$J%K6}DI6Iy&b_Cur6@ z^W1eodJ~*1W++H+f|G5V%8>g+DZ?VpK9+UNbg6vKU>N05`&v4Ca^mU z&X0kkK$MMFh%7pVv;snC^%ZH82K#@*?p^twE?nAScwO82sk6eILoYjF`I z3AjlTL_NtU11Zj0^aV)?v3i8QJ1}h=yt&iK>M91wxH|ADFrSTCYFqd#CUVa}6C{&> zk}wbp$yvA;j7>(kY-v9h`Dm>iS!7tYgFQZq$2yRAWy#3Qonx6{*?w~5b`Q+k-{@0c z1N3Wv{-qbR#W0Iqy#DI-EAK_LJU1tsi~dp_5orywOCWe@^mh%=U%#5V6~xP*OTI`2 zl1x*0q$Q#{@>UMe|Ke|X`-eXg>~L|4xLV*&U336r+b)Jr^E#)F+ngvBS0jzx=$%9W9uQOuuE*+fuObxTC6yM9EQ}Pz?6ynvWiLE2;uA zJusxBwStY>H6K9Xo9;W5%Cfaz_0j|ANsZ*%uuhkqeH!$ zqp$+c7nLb&%$QML+v}wVV1g)LcmSqq#Y7LlWUZLO1EA12UMnVg08TsUKM!+#B=x-T z08H}f>2miAInAe!9)RikFNFu-G3vi0Xr9cROHyY6JR|9{thpx!-wxMqvHLdQ8v*3V zOxG;)=rQMQ7(M2~wGR<<`1p$sItYQ+J7DEgh=T7SoDE61_u>a#y@o~Ie zi1;&te@Vn$eDe8lB!HgM&_Sh_$2&#LadpA@^oTj5^7UZlO7Yt^(+nXthEvBJ`eY>_n%X0dwcc_}CreP8k z!`%egl0n_o=(tzciH`wvG&9huW@w~f_0Prw=qUPvA}*X7UU*~arKyVmdWX6%bo|3n z&IUw9fqXIC{TPo73_uXCbaz*z5sBt0EZ!cO1rLvUgXTxoS*zi0L!vxB)8IwYIfpjn ztm&LEbrN}_G0Q#tzu@OhhQ@v1`tO*k7zl3kr(>j=lb!@n_hv}y!jG>O1W@(v%G>C|^m950D z!5tIO$%2JF+#a>XP)AR7B^d2t>yk?|cW=#m@`!~!3{z8zxgh=PR7dR#dsw?0;bgE! zZQMtD80FZtA}ONR+d)0l!X5^CE7l@(UJqI1tV2`5!SMnOO02zGbJOhXSpSXrfQ(-6 z&>%3zxo-%S33lpQE-Rr{z$hSn$L!(S4qolyR2FWvhc#Q6*~9m4B1*ajvUeNz{LQ#5 zRy4mIH2sq~RRx;Yq_e+QX+KSnqO-JE|U%5th!nu!pBC?7|-In%>YH-jJJp$xZL*}#LzUcIAlQHOw+-vx8i>80cnc#c|^jk3*rdT{tGGrCLWAPxOKrp4~s<1*CT4c zR8tGwCP}!+F*gj|(++i8%Ii}Wc7dxvO=9@*Rl~_gSrvBa4&sOCxBI5Pl#-;AW=C^i zoDd7Upkiw=`z(Yf1G;d23vQ1v#2we;-wLVorog#@|21e}@{4?Vrhc2wio7rRzyz>3 zkAK!-P3oGZS@M))pSWLOkBvb3${xEx_ShAo_m31&w!3-8JX`(Y%gwVJ_lGZAqSb<4 zzC@S!!~fc+|L1@EfBb~Ez3tvRpUD})0}FcBGGwY2v?8@BVnFJ`f}YCVjuteMs@cs0 zBO>%%Tc^>2Ha9l~hh}WFpb?p7<1dN-8eaJ^&f*o{{%B9HM(40ky@SNLzX^jLJoHp1 z9npJrj3LO_cjqSH_ha&7-%)lLsm0nFb*Cr^ztPILxN0c$PFMc9@H*PMw=6IN!B!&s zgIJ%+OSVu z@^smCjACuCpW@oS#}~8q_Jj4ddJ-PXlK>)HSktCv{=zLyti=Y$8(D+R zZiBaS+@J6FaKhLj9>387Pw2JSvL!FBpA!N5dsoeY-R_kph)w)Ta|X4l5VzZP=pHA( zhcASLm{^DW9g};VfP!&8Y>Doyb6hawZ}~Hld#8KnmpQqVJT~ToDZDVc0gWgfjL&gy zSIJZ30diN3#JuoIEZ>8DK{d~Hr8t*ID;iqCR9_ablPfTM>l4*{R zO1BN6q{XX36>iC@jLW6VFm`FVizV#w!fJx$vQ?q971Y&TxkS^&j(K_0;Z)9;JF+V5 zvHmCjgAe}OU;l|Ss@QsQjsJU(UkSpJ~Vqv1w)cP?+uKG^LIbV9t=cybVXGS^W;NU?Aw}wBh{?}6= zHI%bKsl^>!L{uIdx}7FeJ!CeDa*lLGI=xECYpjDXt$*`3N5$v2uHj!U8-f;Rd?F$HtZ1<7ESY~Z@w%W)nM3M_Bl zS#u-ZLDWWN-LCx(x;}RYT|c~;JLr1m4#GSO?jVdZeFwd#R!!3_wD#krj#>0KysRH@ zt#PUb88kMifThM(tB6oBFH#YqwZ%*ts)*!cGsKH0`Q9@PU;j}>&#U)R`oPoqc@$=K=t&q zzT@w_dp?&1#hC!|)H>&KS^B-YDCcrn_T66MZ)0jhK=}K}WYJ;_&^o=OvT;~S_4Kf7 zQ8tUmIG4+EV0|rlcP`Imsnk>L5@AZoeUxZs2G5dQ7AU-$!qYUDy3kx-fwq!9W<{3@ zjF*An&+$KhyT0i>7M(Cxeeek@Rrl;B<%b^9NXJ=oS=L;Zm5%fBtFOKE#!Ii=E3bsB zC-j@Fbexx-CLQN@{{8QI@%MjRa`0$^gwt_6T>@Q}kPb^TOtwEr-qY-HZn#W=~yT_zKwX=u@4gk2bCBW z8Pj~D3o57|X2gbejPSn(O!CLL9`f1JInH87^@9&L_O}u}yUBe69JyiOfA3u#H$`{* z?WU;XUm1IFk?dkT0DS@qTTfgl!B&#(U>wy|6Y!W9ww^lZw^*>}H|<_r`q9?XDht_G zV?3&`^|TOw*uS*(SSPt?I{+F@Ve8G*Nv4x8pG{$&T}cV$9BU}dwefcx4K=@;v&AN| z?iKgfAw}2zJx{5BkG*hamWYV;G?s|-zLl#9e%*`j`PLt(S)ooLQFhM`5U^+MV7%h@ zUBL<^UJ-_pAo2iJ5ZU>WA4x&NkPE_|F<))V5Jsj)Qjjp@f~XCMO29OdP%JPq87auu zj|-kq09n1%@B;$@;ia~pAedR9yZarGPstFctvRW)U}l9buUJ=z;r%q!E%>G83kr| za7gGL)sTYaE{QqGz7S@^phtt+aDJFtwiz_5ZG$2f<>CmIr!fylrmRpBDLb`fTpsjA zI*HDKh`(i`gflAEXWyzGgPzT}tkvwr0-;HP_~AQ{i%WOItR^9tU8ji3m#Pyyyg185 za6V><$`_aD6f*sw({I!?_*sX2T*V^#s;jDnT7@tKXVD0-2#&ImUUU$^puVV+&wwF` zGJ}POKp26+!%#5<5$py-Fnb6{m@GU5GtqDmB#Xv`pa`NZZ#ZEHlqXz9gAx9XE&{zg z9>TrCCg__=SUi9eFR^r(7celuZp2plwY#@E5a{$sj7Fo;xeh!{p*v5LTT%e`#&b~Y zZMG^MPetkY=0Een5C3P`fuM^)!&j)vhHF-sU;NmEemzm?IK&#ob*ZI@YCXE&2&OU# zqQZAcW(?T3s*m{~3#9{PB-PkQ8z(Ds5hUZ{;B~LQm|^v% zSul5q6aY;Qe1+480+>tM35k1M&~=cyshF!nF=bS?pM2Q|e=+)B@tv1}nWn%J ze8}$ovP3a`EYL(&2mhS*?|Y%gX0l?1VJkM35ZX8c0nso z44Ze$A`E5E9^$hL9>FOGkR!IR15{Un#Ag?TbDIT>DAVUfJri6p2&RX!u-SG12wOd1C2=lq+wucX$!&>^ruF zti~+XXA5VH%)ZA>QcD&Kv_15aJA$jq2y=OyafNzhtLT`}JXGKDGg_o^h<-83XDjp( z3q*)?pIaS)(So)Q*m|Hp(y`Xer} z|MxiF=>MQU;*x|-aTsJBL@!VBA7GRBY|3z4>LajwH>2|!n7C_!iDgT)k_>LAPQ@>N z!yEtazm#>5aUVhIWIP*~m}+hdP>X<#|m{aNooTfdRz42)ls6>F!9XNMxL61*cts^Gy4UIM3|>td*WYWPPG~ z20A?#g&7_%Yfr)sIdcq~&{{6n6YO?g^@QN4UVRm-uR_95%qsiTU;LwgAY_#(o1`Wq zcBh_|2gJO$xO?Wi5s7Sz{5`LDe6-B*EJ!^e3GR2KHMmE-;piZ`n$0zzk~gNq%%Nt$wTL)cLYKp2c;PA#P@g zaRiN)cE!wxA_MP#u(ZVw6993*rH5@%M9Sy5^c6LL9K^!XRZI$8{4z8S#4QkzOo3_F z0Nl(G!EwCN(r0Y_5)GhEP2htW5LEPo%MsM>&lN%ME`lywqSeyA9ZUPqe#amB*tajX zv_Y94n0?WfJ09sArX{)aiYnRaD=h7H&tNkJ*{-294@*ls_goqX#%O$xIDfKO!8=H!@y-k0)ouCt4^k{v_Y+7X-(MAEh@^? zl425>tqXSmYv@45Kr6)hbV>?fhYUTHJPo*y1qBd(044r+JJ9j!+2it{9tJIK+T^h5 z3dyBNFX*bEZRKu|s3%{J(Dmed1nX;v&VVbBJmq;8fhQN|uWe?xr4i?cDH$nmz}@Mj zwgK|OXMPd#)*L?|Z;=HE5@2b2b354Huytd_7uxa{ar{Kn$hJQ@ewX<8MX||;qd9-c z$4)(@gI0?I<96b;G^PRJD03NXP;p?wnzw%yO3n4DvSTihYBX8v- zzn}QvU;Q`#_^+DDHAjmCv?2tCGm)-#@fKP^kF0dl3as_R8LdEr;i?sAKsXDQT0z6E z6ENvVIx$AAAoKl!dG#?yt-xvo66}vCXjm#FQ7b@uhJ(1k0rnM>U8}X&5#K*J@ep=^1k?&gTz*m+kz)ztm1vbyP9{eaQ6@Zgln7^J~C9gg3&moHt zf7XcdCv!%eRY~6coM5WmDI0XcX8#Z}ZM?pAxQW8OzQJ>if<)puJ0Q6FD)8oX zII`m@eQXHQRFY6|pl_&RyFomR#W-d5LCaI99$B)-9;#L&p|yT?MW^vG;o)O{aXdi# zla*)8XCy2`mpo|6dPw%CfljZv3wE4GsQ*HELH4ipP6=a%n|*^*2dkH}cUvJO#ZZ^z zH{{vb1cv91)a3dBl1v7dL<1Vc!sG$!2NoAd4dE)GX1+aY?3+`rktQ_Q8J*x{6ptV~ zyOoa`lCt9(^+?LLF^73QC-6~IQ+#}{Cm&zT?T;@epL%>TY?565_)ZkjWDUj0!qGz8!I?Oy$%rC~F@DFo z;RS7Grida+9inPtR_Rf*5p@#dgname_fl#jW*xrWZQ5Aaqd9Kc!WjsGVt?5V`{Q_{ z4b^T@ek9J{Rwj*X=l!d)$-^FNd(-2ulN*Z;7?Tff*}+^g9c zj2+_f4g%l-b`v7c|<g6d8W!j7sYS&;7x7ldH} z1y2N_LRBNuN^?O}1!XxDj)*ZULB5h*@YuB{akTcJEX8UlJQ-q_3-;Sq8BJp&hUh2Q z)gUU_g=|b2wn50MCZ742iFpOP*V3YcB;V97dGG{k9+~?Q?^pZK5?$3bjik3;yz(f9KTlo z?Jf9kA2o8j%|Nkor0*I>+TJ)bOGLC@3$ZP$m3K)mzyIj||L_@qE7t?nBGJx$@{-XV zFdtw*zYIc;0+_kQ1@XlN;rvsRAMIJpTbTuk{w@d)hSerP9+rZ779{$+AY#E9>tvxd zV0F<2gy`>raE6@3e{w=wI{0}CL88A4b`88XL^jZ_whHQbYNEdjVnR+$LApGsp}62I z1Pc6Z2a!l(o&OgR3pGB3$Irjd2q#dM3YX>Y<&*q)CnA+BE}D&e_>WOcG#II()C8+o zIZSy6kb;Xip{OCHe6%75zjv(KC2!f?~| z3Kt7e+skpzZp@jND2<+{@e)-7IK>ks=9N^X0+GiI;kY!7>;@mAUlz#m;{2O1I z8A_^jcs{ZlJ@A)nKJr`}`jn51lu}E`u`wzucd}o7VdWwpM~&D1U{SF=VzhE$h?5)z z6Rz(p7MTSLEBDZ{_82VjExN`}Sh?MP$AY5`q8Tj2Ra)ZF$_2+t4QvER22&SSZV!;f zL1IX>uh5WBKFGq#MGIb})&OMDQ_Z?(11?ZpxBN&Z-T`7Op8zt{l{V zMTtO?vHrC>C3T{)s0vo4Tt0^yWwIUA2-&s)&$s=m52Mme&TewQ>D1y1ot4!zfv#(5 zGH9&XBrCjUIjr(I|qX#6p$yaNp{doZ(SR)YB#3)I2C_ zdB5CoUn>HlJ|z94(gSMUBlt0lKrymI;!8}jB@$O+IaA^+(dkOL*4aa`Dj|8jcRZDlR!*q7U^>5tcAx$?WxZa{> zTWO|8kB3!+Z(xtGa7Zaw7}Qgycl3Cm=GzTg-Zivwn+V3_V*NYjv#$_rY*S$H>6zH4 zP2}Xk8H46o(ZHrI72WUfuSb73-rfZVY>Q4@VK2Te)Jd43#k;@TYl|*hq7~V02idN_?GOJazdhU2&K@? znQ&CegzbHRjiO=qEXX&F3!;`e^6WS@YK}~C;NU^$)DYZ(=}?_DZ6hD?h(Nz^>QQKf zjZq5votRB7iB_)KO9Zq!T)y)92sgkb!Te*{Qxfp@;Pyqy*+{zKwERiI^k~w{FK3c> z1%#6qTef2QZbobQB)^|Y+4UK9w(KzQ@AFVP%HvfFt4wtk@0zC8)o2dm{37F8&_6rM zXb>36Uj}iofPGo|&e#w_N3{4qlqb)nd z1MKne^DR3q<1Ei^Rl!ja#rlneGZL?`U(G|~J;qun2-FK)^3n7HLFXnh@D;3|SY2+N z&PoBuhPU!4v;_W=qua98W2dY^o`eBZ<_^`xG=`8_ zcj;GU-G$8}SzV~`DT6ttI{OX2DiwEEsjzp@%o43i#qB5+uWx?a3$x8WCYy^#*n-VL zx;O0JQ*Zh14l$a>Zd>;!Re%DtruugC<>MnxssK@`m{b9xQjvoEV;VrAR7`dZk4goy z_L!6p+WuI_aIw-{0hsI5)|hqNu>}Xv;78#ry~I z8MFY$Yu^(szp{rQdJqJ?gO@);ca|UIuLOsD4aaa@nWAo}kvK^x7 zlMVu<$_0k79?|I?9U-Tepi>cYifAJ^&$T$CZc0Oq2#hv}SwL@jSJ(KJJ|9iNr#lp0o z3i1F1TNJqy!KN&zLU%@vPVZPL(25|UKX$A+L%|%JCRGWQ0@a6)qC3^p)D!#|wG}Fz z{U}ls^Wiw6gcXlqCOwZ_>=Qa|>xkPT5s-q^6MRGjB#xFDk0iuuYU&9t*h?=ki8CCm zF;>1j;(TyPWDIJPZyY2bg{FFt%;W)FN#xgAwmr%k){>b#0LgvN@;z7_)EA6(et141 z5fGACM?LeA#wnrP4XzQZ&+;0LbxsM1fLO>5MRr7Tq;(4LGG8T>oYz9>hX#R}`i$ZV z^(Yq$Z4-fxrX8%15Y+s7qQk0&Sq@0mtd~gHXtwJ8R?bMqv{DRyysD7^al0O>!zg$ zP87^XbTuuR17a?uXoTW_R;4a(T8ebO$n<2>(jqt`Wj$kXMNEM-KWcfF1>KJf>V@Ep z6#ESOYw^#tzgA(*n|y?Ga0OSZ!NYWM(^I>LXN>HX%&^?Zz9lk4D9%?@cyO=Q>IYfA zM3;~mzU?>v+#mX1GVNa@U9R7FWZh!$)NiKA4B#?=aX@53ixtIM8v|HdkQuamF$D3i zCJOMy=2K4+1qh!mcsMfAo=OI?_*EguQxiR1aFS3!{l*1vfKL!D{Q9%vQ0WE8hP|NK zxne%q>UZ$VJIbf=xiX(1i_1LS1v~<`_Wduc{sb!$U z<|AbR*iE|vT{7YB-L=Yf%a&*by4wM|kN(tO?tgX`=+F%sR^>dEOlsvC03o?2SCIU=&bSA7$dF(x)ZDGEdo0F5P-3*clXXy7eKd1Z6FogbtT1a z*7g$=Q-XU9I==m+fKKNzhqq52pt5juX9UcjxX*MPd_}qy=}=uf#oWj1&!tN4)~_k4 zN`+-JzVBPYWxXODQxadf&i>?k*m@&Xr7wR{Uv#>sMx4>Sp_aR>zisn9zx$K2%UWZb zbauw*>0#TN%X+Sl)(LcTt&ajnssNZpOU@lx70i0qla>hZ8NcU@>dRJC7&^un8UxfO#6}2wej93F28$JiR7( z+A($xv_~wtwX`+;2`3{4uur{(J5B|At`pk=!|)j4`}c?KWgTh-oZ2P^EJ4-{C$4o z!Bu-5%`DLhxyzU65=;DVe*Mq<#J6TkT*(L?Ty>64x81S;sgTFeLyJh^%$rzw2K1!v zK*)t)vjvN+6yy_~MucsvkUK*jL+fR2s)oGo0o^KclRz@pZYkgqncbX^F;bHb;93Dm z#phh~7OTl)snadOzi=CrClkqIMxf$|i11c|0=a(LXj8530`RyDa`Qn7SLbZW5<|hC}G9&U?COo$0%V`iF{177)HZ6Ud_h4 zd57bS3j~b_O}yp0H$#$JT%n{CVJQc!y@EUj4Ttv|X9sPuIQK=0iRc1L-31~HGhY6V zl*|*=VKm2Heu>mD`ND=^pEudQ?E-r4E2w=4D4Pxdj2&+P)%&93%^+)C;5ak65I^4I zw#t26rDb#oFl!6xgzjW+#Xh#Q-MeCc-Z^o9Zs!0s>bxW4EYA<(8I<-oKXvE0zqr`) z{5alN0+7tKB5W|jB*O(O4u?LptgPT_NwJ6nyl$J_a;CdII5SANDl5y8@Wz#uWlOXw zE6bPY5@qFmU-N;#@ReCvQO&XT4|Fi+VF1HF0IkJZyCsu4`UgOe)()_z{ob^iY$-?o z09vVN2iPO1@%4z&KY*6==xa*Q-tFPZE*%McgSqJq#3qp%g4;$qszi@N^bc6+9Y)eS z_JAu~6VX3_5Q($#Va`{A(LVsdq?4T^9;MMwoVxH2;Ml->qvXih6#fCG%qhcv*GZ0l zF(#FjbmBcJ26BbkBON1!WLzD1IkY;_5nOX)C8TAPut6F#sWn@)`@xve*&ol+JfuO}1{&`3${*yAC`i)faW-BLAE}i5_yyBs>}4A{RQZ{-*Pem$O|cL>Oxr0S^!i-@Vny`;52D4$)51LfnVR&%wL+1QXrQd2+t^myCX7y zM4anj7b|wc$w1{IB4X4+&^DI!aj0Bq_w*2phE!Wcr~!od9eDr$hRKTd?fKw&VsX8o9b8}KR~4?2uZ&HQ4c6+D2o>qtK1)&+Mw7JjFgn5+RzC*`2Jr|wqcaRBBuCoGo95oL zTDvCLx8mFg_ALxx6tf9C0Nx9OQi3Pt4uwHn#Q{)M51C$JLNzgSYns{*E^&h}zqr}s z{=6l^{K5$cZhahY7mlAEfJ+Q|Ws@c^zg`|MO@D<=1SuZDAl6%WHHh!9LA+>*R&>4{ zbpEv09{h^$&*-c%5=Uo?n6Tk!qgaYc?RAix2C)mi88`%!5r3kypG@)&j|KcAhhRG1 zPsgVZiO77TI6fAej|FI;7)?a+`6~7?rz7rhbsi_;PBetOJAr5FG$TK>)`YID+|TDD z?G?_d+x$Al*(-^s7hwnXpm2RaMk!YtkWr!!0U2v&IH2vx7NW0w>p=R8pcn!FLKPvU z;1Y&_V0YFG^zvjV7yiKIP;U483gvef%9kzC3gx#0<$vT;zv}m`wdAzmm@)7jBiCAT zcz+`g05J-%o9wDEZeGv<*IIH}jS;nGzM!r+36zBB`G)e8f92Kd2a&0hQbDe>($$#_Ft_t^#CN62{mJhCN zk%%|R$uGcsij!Z0`2;0Dg83wSejLn4IDj>nTlU}>&7N@w2i|F#y|4m?V15FDqJsUX zYC#c0Y9&>P{my#+*>v|-Fwc$ghL-sndAJjihh<2yg86c!Si$^E7;9MCi3-TeFP+o_ zV?BqG?@r48rP6+7-M=ST67YxL@pV7&89BF43o*H6?iJ$J7P@N-UAq@N^5D0|}aA{l0ryZR)S`FTe^ht%n;N|DZ2l`N7mbtESk%GScxc>etR zUnB#pEp#udLVybv*^^4H%p3)&QE)j&!EWd?FL!S?vT6!XQBzp9M621qe2FeG`@ivX ze*IVd@lSl)+wQ&d8L>Kr`{{JUlnl^r&5;hexn-g$Nff{#hNW(K?=_T=IW%b37K!O) zG61^fo+No3jbpqBUK%kb10Xm`Mm~}O@TsJ_Yw=(|M%374KNtui{jL^_$pBr0;zmlI zN14Ft7-KR3N*b^^04ovUYzo0yE7^g}(dWU#hh+nKd|_^De#$I8-;wC^;2|*3K8$yA zPp}11qxSLBcrLjQJ@&W4)U%u1cgo%U?&m_5#0GX+V}1tq+0{ZWp7Npo5MA^QDkYz^ z_E4%!WeZEyB;T34%^_82IyGKsBHcMLOJb8#Sj0h6-i#XD@Yywog-)o(%MRo*LlVp8 z)bz~zz&(Kk%0Z4sxN$c|(WNBfP>*@{T-SHcb-H`->CD}8?RU@h_1xWao$eldy7jx~ zJrmKARc(4KwW+S<#4df4wU`=dv=_K0{e~gfTzm=wMV5(dXD(^eZWz2wX*CVXH5JrU z2$cJCJM7K0&$Q%@;{^u3&hFk7wvuqCn=Xvah9l<+5)EIBY4hdR-gs^E z@~f}C^u|lC-FxwsmtQUmN@vBCs%f$+lAt%Tos&zRh@l&!Vvukiscb!%yzqh0D`B{spKa+*;eoO^cka#+OLcEG%Ql^oNTz{8P4BIC zjg}Kwyeia2m#oUTT)GTnmzKNmsL{}x7gn={^DW}1aBf%gOzEy%qBs4jeD>Sk_O_q+ zC!hTv|LV*%QInzeXW=0Sd4n3@3F@UFuGto*rP>yH^rF!O!yjGOS@FayD6glMPdJ|R z?#L2U%yVne)mL7n`V&SZ*rySpi%6a54yl<6_IX6Uz>;9sqiF<2ggT2(z2%7VX7Z_z zLYq09PI{n?e#en9wB* zH3O~;LPK~2i~2`G?JajGkf+vsBFsTYYF~7AyekpJi=a-u!<#!?{rOc0o z#|6ii6@i&_RZ-G2F6-sV{u@bVUHvyDe=|X-MHKvYoPKAYN6RIhg>F33WAB%Yheg*b z*HDOL?|c9Iv(#BYmW!hL#94G--iRZ>se@@ghT=$vh{_!dPxT{A*1SY)@RX3eR85{> zr02)}udn@W|8;J2m@^5ew(A!D0#t-r32-uGw4V$ali(IiNOCYyV89IFEJ$Q@!6Si= zIEI?RMeXISA)^bPPAyrB4fZ@5;N=mCj4lXvBa0bg#Qhcp69`XPkgvWZxMeFR;8?$H z52fHD91|ST41tH!#fd}`=CFfIg(1_Mf<{j&N7C;~|9kK1w295#70trST%0cv4652i zsC`h>3J`ZmDPMqBO>*2nVerH8?t&Fa@Vlf|LkWd?aWZxR86TzgpWU$el~KHI{KZI3 z{b{@yIq#Z3AjxUrtvZoIfyZjzsM8 zlj++X!Xg}Tzelb8a_UGqLSA{yVbf|=s60eq}Jf;t?E9w%eNX)I#Be$E zi6cy3o((!1)n}tvZb#XpKA}oBy)|g^$uGoR!PU}WmahQ44#n}W;HH8$!q2i5eZQ6Q zEWgi0o^`ftj{9tRC>>>(q?aYQPv9?%;B93Ht6Mi(&K3L&h<-4AivXnfX`|`PnOC9`hz^Eor1VCjw&yQL{@KfgiENc@L0bnUB7>>UxGbo;cJe*VwOkvI| zY@*Up4xkEHrvNIp(yz-q``G=vV(}fuVtxC}EYXU^w}Zv+c>n+O70<5he~ID39W4wG z3#+2BA=Ln>T)MLVEp&rxq*jw%q?P^eO$5&blTC|oUz}U-zP+;lpCz&vG%mE`DYX!8 z2eqM6iVE)3JD)*IK}xeu66+(u2jV0x5Ur|BP`K#P!%Yl+#RUxmm&Gwxcl~nAT0_le z6reY!yZ*%w|Ce9!eZj;RZ*lFe?{|2P#9e>%R=ehyu~4(S!Vh3kO1$^5L$lk;6;2z= z1<~AZ56Gs|phH)_(q|ss^@qKfx-1~ndfx!`Xk+T=u18Nl@cAXAa2#~Q6B9o{65LP%lZM6sKLc9hByY6#`I~_#`^b3C@y1`=6phz!P!T zyIsz|VzcMWhxk+a*_`VSQGZVi`14K!`8g2A)ZSND{}b!{TcdqI{nb~$mim#nY~lqC z-}GzTeYf#<`x$t2YQ*XvSgvbblJobY-}}aA{GANs%2RP5cbXL(%&mmeJJfqqy0HCZ zK<yWL*;4 znATV7(J?~R2>a>MQa=La>i?IIBiwKKzDng6-@5>{C85dZ%ew{XoPqwWlZ7v+P-IVk zo_8jP-|>7T7O>*^4dOYhczMX-mQyPpSGU8x&)h0l@u2L%V{p0IZg=wxl&og^awxfR zvwhhTt!Te|i7r9=cf8}ve&M4T?bWPyw09%>0+yY0r=PFV+wWN+mqzx8BcL$b@j@^< z9wD`~@1xlc$~gt;2tZffN-&!3Cs_3waNiLo%Mqj5j@o)40LqAM%WSMj@GH#rJUBB{U!7{M6mEhaTV!r+e5RJ&lU|HbM8eKOgeEzcd{R zn|xpcPXNsk9|isGc3#o{4s!wY%{a3}EBfCK`v3U%fBuVKnz;a~iaGk5Vg~aW{RGdM z@WhuS=%5bx7?|+U);}JR_r(#RSP0QQW^+YbzeC-5v!mHZxU_i-Tfc3PXhxH_?|_D- zV6^p-tIb?&@kb1%j<)`!VLoc>cY6YHIdx&{Ph0TO)`!pjgiDTW>|>AK1J#%@dGCM( zI$TOfo_5fGD#^Gy7>RKWT%s+wiM3?0JPoqOMH1H6VD`8^2y8H^UOWxfwb^b4vIdF; z+8()*%*)#uxzihQttwsU#boWkv{AXb>NbKKZ5oJZ4uhTzMxG?$UmwRe8z+R zz$ZCu@_9|_1Y8aBpeI)Yu5PHzf*<21F0D{w^G9lQm1x3w8A-%hva%jUX#isOw{z{}z?cDE)4?22M8 zPd_Q(QCY=p?NQ?uaGyHh&Mnbu>@Q!UOI-aw*L~V^@5_Lzj8;Mq?MdUe!h7B@ zUJo2aml!SPYd=Adfa`*%mML{G{kp^6Ow=qW&cRfx?4a>_#I3u!1etgNlVFc_GZ+!v z0yAavhy>g;^&Wn9ImQmja{`Z9Pz(Vd5hefv;Xvk7zf&SA`X8V3@-fq6$fntZP2EeP;cc-^&?)PDT-F;Z*NW;_uD`BiOQntuR4bpu6(S<S1w6;e-`RVM=N08w;FM)$(V56`+o+8Q2DbJ0u=@aj6_L%nw}?!3 z@M8uSYK?3t_l<$h1cW29p)Q5GqQ{up*?WtV>00v6LFpbLYG>~)u<|Hz)-zGw?7f9# zgPJa4OpZl)W90aF+!^V8EXo@f=g>5CGBYB(HP)J6%0*@;Ar#$d)TqOUviOT5wnCjN~Ls6A( zFPz25tdLqWKIUw006nRu!&h53ife;l#re zNlhBfS84gJf9<#a_0+9@{c!BoA2#2SJMsED-ul<+*2kxK>o4xYqk}#iRg!JOC83Xr zjyw#^gL#@7yfAP-9q30my&wvLcvuvoM^hDA5kgRH_tInqc1kKl&ki!Npb?_=O%c0* zK(W7UhyBq(hOA?z8El$+&!Pnmo|ZtRXn3Q9`DHuokK^s*{^*cX5G7|i+6WIa3|BX} z!RZu4hq^%xyUIkOXmi-KxIVcMzcir<$1mG?!gjxDBT*EMXWD`p0)gWEWjpNOZra$< zr`>JZ;{03se&hV&3r_3Tq61G{|F+q*1yK|N<^JL#PWzV)e$aDA-hQtAV+*%m(*CiD ztC==YWuonaF>r;8+s0xLS6YW6)q~WF@0*MJzc9v>;9<})$2C*n`>5Pxb|`mAb(wVo zw1~kRLvM-BmK1;Ps#WyaFCa}>I;^Bneg19j%Jb6oix0*wZE$1p!meF;*p=s>e*B9s zubCxEZ-zogjmpz2*36PMv*f*2&*C_hSpp!Xq5?>kzo>4+-UYEB-q@OJ!zANNf^{{wH&WD~8*>g-N0 zX^c9cEI$Abhy<)?33~bAs&jNhW+ep^b21Ck!0-{ln?w<13hofm5+6Voq$QCA(W+V* zAKlJ~99fVyg$ttKGl`{A8XC@x@)+qKbV1Cg#UW6B0nW@)P|)9tF5{(cG*IWc_|%KN z59WJ-=Ye*7=>HqNUbX8X`@NQa;^5avpvdw*zW`ivQck|H}XQcf37C&GdPBWK^64 zyNHVDPo$k{4_$A5c}E^?!Cu}gx?dV<1)g=_#ewIsdkE{RwsDs{-+ z=EPU~i2<;110%2`0UO?zs1sJ*MG`9WG0{VVJu0bEg7i^KhFf@pNSWrtBDKhBbcNZ& zJ-opgApwZTx!YowQnLf-=QBcHGn;wvj`KE^Va#wBZ%%k@lt|oc4;rj;$;C?G1BRfu zj&cWGTia!P>-Q!;&D@(sAWDZB6x?_)7Koa>ug306es^}K>Ib_w-{Uvq;N~>_B&%9` zB$yUq42cW|RcR2Y0x_tFJIuHdG_VyWlsHh(MQ1Wn5!Nc>UWil_y4_sd3&$JdE{uCY z*~@Vs1hR^_iEL-xuy$SUTS?L0p&SUeouUI?2ZY!6xn)MW$- z5fw|D46Nenk6{34PA&~N7S1RXW!+eV zOs{QTe)YAN-gxP?e6iC-%vYO=^O98=mrDbVtqONBt~A$V4Kgvv^lRVySAP6M!ObM$ z7SCz&a7(wHs7fXZiIwL%XdzGl$$m$E0R2%ZfFt^d3*yNZWffJ*2UW?$&18a;Rmnsf zalsbalIR%Q=_a8^uhNY=XFF=%u_~G9BIy_{i5Tx8lt?d6MpO|KoGwa6Sn&%9*2F|n zGEow%93zQa4=AH#QLA125k9XQZ*K1-6)3D0Fr)k780qWq(2Os*)|-xxPqtCe0> z(M+t0jQ{HTOQ4NSsE?QP%I#jx7*RxHB95#cvhBU6k}f-J06(AAY^@_E_eiBk?M^=Pu3mw^WS%}1;9s^x zEAZb=XzaO%{h#PF@M}_shsJb^RjgodYc#1fuetpMtR(O!LF6=P*lCYM7y*ndNK4)Y z4?Qbv(PNK*mexDTf&_jSJRXrXA_h8AoE3euAc5ZnK~b^lB*@x{sV&rE_%d-pXb_D6 z{|T`b2*RJ6N2Dd61tH3*#{>!dE_m8@3X_L@+4zG~3-D*j7WxoRd*54i5}*4*K#icq z&jdW$()#x-ie^+3gw(Lwb*p$8a5T$}eX1vq+hg)$-*KN12y^e9{{;(0XeZfqtt^w^ zx)!A-xUPX9B}WtQjKWv0#$?WMsUfE-_jxIgWKc|8s%eG;mQ<{8z_Oho53vI9X2J<0 zc2W4sC72NR7fmtcXs9}vHwy>%6|NRSHJ6*tc7Lu2dUuO?FI%D&L2m~^-*xqyzVq$5 zn767kXHDmBySMnb={<&^w#CO^Q?k2V-_>R*5i|*E2TnZFAem?+JC9hH&YI*+`?VPu zx~1uyY;0zGmlknhI=7&CibZ^22d`34;)ls1hUeM@2U8cOv(!PM!(h(_XXS{c=?pah zbJKKgNpoN{Hyhcd=?t!^7_Zy?uo9TW740y)XyIW@*suvmIb(A6N zG)v4Qe+}dU%mGLxqdEd1B69^}b#3D@u$=<~Ri0(fY*B`VCuwr&Mg3N9ocs9`tM1YL_Ei1u7 zE9je@P!&=6#RA_p22`A=6`*>kcDVv+aMGa;6^MB%MB5g%vEwm58iopbU0SL$1K0E? z0lq=Z+2NRfW%^r7+Q5?>D>T5~LsmlsO{zQrG-=)z<|aV2pyo*^w%h^gXSOeqCecN6 z6QI)VoV~w&8H(^5jUfboL6MDGFl( z&G2h?Zv{;7xv2qom=!}MYc8fL*QfdjNv>KH*1^_8L zqcLKS($_koc!Yt4Sui`JLCD&j)OFnVDA+B4lm)Xh8iFuVg<_9-P({lL)^5tqXiN>J znt&#ZeT2*jae2h-jK+Hxt-B;-++*ar8dP>hhSgv63DnVsfqM#5zo37W9sYR@~Lk-A-E&`UdTY$0gi z&Vj3H6Z`TtyjFR=UaP$74f7?hUcZ`k1#Gh&w{MMVoy4z=b zUg>xmXVkrw>z8kS-S7CTACvP{$DIe3^o9p;hp@ANUADKQ)B4z+SoD#CLy}$eSs!*1 z$EHtU*n}j86wvlc6I;=Q#7aKqsbfgt2wPtR04)@l>KLQ*06vHlGWd9mNL{T23+Dmi z1FAOc8`G@v)G?%hK#R5v>2|xWba2dKtb?m$p%UQmL2(uz#{CEQp<=J=eqgR;EM4%) z_L}u0aK7w@9~;<58oJ_ndV##=VCsLV*GNeN4UcTl0gieiZVQy`sBbj2qFwT^qYH?V z@bOfu7}<&&+-i;HcA`s5z22fH+Ny4#!)u#2Xwp!=sVC+Imu%G}+2JM6K=*JFko7}=_FU%~~27*cr`;VOkYcw;sFC5ZGW?PO%RisGjB&6)e{e1+4o zo8jztI>~&o5u~>+x!G%po-qyURBf6w}?@%VXx;_(kI zSU4TjGu0X{ruJeh)ofuRDT=?MG360$nVDCLdl5OTS?#4-jI7k~h2E{MRP%Qni*FX* z!Zp4gt0^E>gXiK;oOM{c!Lt7wu*GR+Yb&Zw3yUKIw+5>lq+^Wh_-cuX!G z@KC9x4|Pp7#dDaA1*V$b|MbuQvj02x^3iGyPEfVl4foLsmRt`i9wdG6W$0xcs)}Y% zBDDSk3RS3%kOle5^ASxUW$B9@G?j8h>JlypQyG+4PK{6MNy;Nqm2kmRzqJmy+YSZO z%MqzbxM0_G?0`#dtY?Sh5eWk>2)`L9LL!K>DMnnR;uapFtY%OpSU@GE*pOM06%F}4 zIEwNw`I8^P*xxKQ{b^2{UCWtW7FSc`aN7 zIjG!$Bn^Az%q-DLCb=Cl$)|qo=l_j&<+zv{yfvA`3{WVda}-mAUVtyOetYXclP&7# z4?3b9!Jej`-6QS-44fg8^rxPYHg>N?mWCi!o;q05$d5P~nFLY3nh+ZO zK_|2Yk^mai?*SvT@CO}tjJc2o%VKMU-#m5nciiv2F(ApP1CK+bm|B?$C<%w{AR#vT zJ8(X2j}s@A1$^Cl_UNqx2bGoEg!EGRt>AHp%Y%$h=5gYT_MJth!Wk9ob7-{j3Wzjd z?#6SURTM-UP#$T{?*GLU;Kct_Jy9HU_>hnc^^2$06^` zp166d_pNGD(;-~1#|=$OFoc=l>M6-C;;;zzrbGgRy{R6IY@mYOa#1pe#itZZk5F$lU)w^NlH+&uGSK8af=2E4? z9)%giqS5s<>Irb()KJ8%KEL}k{Ndm~ZYZu~8z-a$dwvZvT|&0`z_0tbfAF)Jp{i5` zS1O#-(6>GN(jx|p+CORrXHElQD+%uKDiFcBR)Sl0T?1;NUtg~lcpj0U$5;TZ_z+4ATz(BPh+INk??U4et&1!SodPXWNgOBP(h^ zgnfE=0_@j;3?{7>e(5<^BQ8?J1@={3K(+(yi`to;2Xc8F z_EG#?9&l%uU<*oB@~cxt>R=hHO@miDiE4r?orIj**Iv2)!uP!J-Y?3r5m6njOqc74 zBU03d=t95x`A`1tHA6LJiAMJUGG5mV)!mw*`d;(}%i6}cJ0R)>YliA&e2Kc$2G#Nq zRFLXUA=yS;fv$wD8LIDp<@Hxyee01-m$_)xpu+706~5@x4*%*O%=W)7hTH$PG)T6Y zh!sS)^`sxZ@LEs#;iJ>KhxMzp!;wl4fuje9es5KwN4)ScsDKjAY6Y`0bxjbAS^?@l z!SbdLehdFc;V*ypb45_$w1?+i1h;0@+m)+GlrsT6*dopRtOch5xGIafZ%KvBp|yWN-(n#DLj#2#HpV>3lflB z@Yu9F6J#z6UHn;49P%#N9g)`{L&6`g1)72+0Xaz?j;J5S$r}_*uE*qy)g@Dth!d(? z4#teDgHq8<=f#*i&-?Y+7lFK^x}bRu#QWF&SAKqz<$Bh^cZdDe8~-d&{l>lVT{5$8 z#v5<9@eGEnFuxo_ZXD*9Ezt_|%a`a9nE&w4wqNm8pOl-C3TW0aZ>B0ClpMSg$0CrR zVJHdh1ZALUB^Y5Io?reoE&<4?==@M&4v znN^;G>%r54`A43XYZhY}dq(niK3?^-OeEG0`lm`i)ZiJDywX5mL9QYS;%8SHXr0J? z3_A=mNyT@WTuDp>CC1Qqv}x`=i_BEscp>+nGSZ2Bono9Wz;r#9RoJ`*S<& z4-!d{35!J6g10);Fje}=hT*FIsZ0ee_&i8NEe?lGM`L1dgNCVvXAT1O4)OTgR(hDG z>xX`*EnWus`az)FpWFF>+iufF&mh{Iw#E5FpxmF^VSj1xn%A#AY}&#z+VTw;)=#$c z{%ANGhC^blyawIIz!oq)xe~F7tC==&FSbnFbI;-xF75%=b^b!{R(DkLcN~jv7GAED znVB*!bIK8ok7QLQL3g6f$)y3un7IY7S2v)(59TqvzYoPiB(r zBkwJrdaB4g-#KYS)WJDlV?Gp7xj$WFKF0s1Ys^a=#}4yxYRpH~$7qA*ALaX5>!7Y+iMX+VT3h_Xs5yhpVTlW!v zY{alX^gE-|*cg~vJSr~OwA#EC+wP-GBZ@=C1Q}SA+@J${)l^f9Az^~>ywFR65*f(p zsgF_IDL$fl6j{atvBqjdbriZJTe?uwL798Y56)+!jzX8z>URv7BG;)NQ{sUp2~QHc z++p_Z&YP_3PjouP)j2jGImrn%4U(D4i!Se9U!LP0-ba;WH{MFJT%VpPS!^~3cTO!C z7YOB3aCnq#4}+>GlF8|_Bhw0=8Ijzf%y682k&DJ0G&z%)Xf3EeQMuMN4wt>joFbju za*2I{hc}d1qMB~&+P}60jlb}Z%apBw$-W8F|k_H|Y zPJ$h}w-Y!~;$l#OBRUBXC$JhAFq;*N^N7(&fG)DodN?8qhSUW`qLZLQ@le%jM%cvB zNq`!;m=tq zgyA&EzWAjOYxnld`(B&k!%TCTKTyGsjYU>H?Vhy95~CEa(QZ^X&) z$&+h(^DPg)^}{ReH!%Xl%y%O3O8dQj@ue3Zy!gWFF9?yR0Qt8j?RUgqzZT(GX}>Rx z)O%XqFoduFU-sp;oMz<;by;W3X%DL(zh(dG$ zYj3+W^$~4b#aDbleauR*@aFGY<12ENWGWydQZqIY~EVg~d z^Dfyv=A*~SYCSScKJCqCS1d$O=p}EFa2!v3);brHd!~wJ0q#|XuGrkxN=81PGtRS_ zE}ulU;1&8T{#TA{L2HkR#vcAc8G&w;lZtI7)=>Kmgxb|4m$I4i03*>4wMtPZQv&hH zU@te9n(OueWh<$$upA^-bA35T+gaHdD?ktBZ-@lG>6YENjaO5{TuSnGn7 zrzVd3)JOEQkt`Net{k*grAIsoBAHd9*mFH<52)0sQ$w<@hDjXv5s_J;aq%AAY*i`A z$0#`Nk|5$Sb%EsvhHY<)vY9y9ojUl(`pMF>na1=K3oH)W#S+KE?2K2q#DCDngNnPyzkeCP(t*0~z%&Rx?}YvtP!B z$%@3WD4)#}2}C>B0{riquY0rkx>r!1(4*{f54_#7GkCE=`f|LuaY$dbL@T5(U!qGO z{j>h@@A}TJhIBX0b!pJ=?F0-EiyPKz#4IP;CBbfsz71j~9?jympDf7jf+;cXa5xsd z-#H>Rdly7!3nSWX8*5jYN6e6pG%c{VpmxAMMq^7@sIZT-V1{%yuRCfZd*luxHeCwR zH20}t4q!%(I3k!KotFo#?&YjeZKS?D0n#C<<+^D`Hf>qnX74C5Ae?`Qi z(}R|k{1J3@`I9VY*=h$IbM2UZJ;PY{Y2)9sAX@#veFA`vn9pl~`vwEtta=6B{G$SB z$QfI0Vo88XIb=oOMvYBB;SjdHL1uKE6+mwXK!4#QfBi#!22k}OIe;dYYGFOub4bA13s*;2Qo1o-*548M@UG?u=B6}RPPKM`i6;Pht zr0i6=h$}Fjb}=1WbH4)ZSUFI+jgfk&L#`Fx8Abjy1d3cK*$zDAI#&$A>w@Qe+qq&m z-k3j+eiIipkeH;1Ju-sYEkE;mUQN*Dujd;#L6|wiA;u|WIdNq8>7RE5%kpW=^_WzZo5IoQ$)~7sJ$x)D#$sK!sI~be+@nZPv!H7laiXZRpsNX@ zEZzB{&T5~gxhJYR7bO=b%Q}}O7bojF$JLpx>s&6+R9)w|K6dV?nE|)DsY!aWd&b4KM3YKc;Q&;4Yd*@NdiZ&6Rl0+JfjJN7F?@!AC!k_&4u0{b^p?sLW?A zof7SJ-jkvH7_yXL-v)afwN);vje>3lk)g)gDC8F+U65X#d(X@?JVbpw1ZDI5;CEfr zCz%EMih6q>aTWE}q##{9VLs-CzoFQa%i|T%kkTd3dP?cS)h+9-#Z9^j9w~`hABEMp zf8*6JeqGJOyXGEWso&_MhW4==-~C)< zCEYw_?10wI%AM+umY}RtZ;SXcUZ?$D3#g&*@qn#HbhF*8)FRLg16sd^JYtS7gN8jt zLgOpRN1P;~@m-b#r$}fazRa_kV4)S1u@1oi;nbl{3M!>kM6kFxc9=NvZI7-Il_b>< zKTgzyL0uF$=SL-}ek4hc>cbIY4uAWJN=1(UT^dY-&hWBW860BZ4%of$DZ&;47!j>cS2+`5S9F!4mM*h*@30h}wb*C(|}& zi05O>>HuBqL!w6?^HcxMeTO+L_u(TQ6MF50W1J`;) zT;6~Iz}CKu1%ON8zf_>*3Y(8qg2nFVnb-LmeP8}Mzwzk%vL#v(cli=sVxa%Icm2q7 zKQ-5q2}Uws|Kkmmq6eBy57nEGDrPj-qBlP}ppOTzs|A`-_moy;6pa37v@p^t?DL%$ zPaVJv$2iw{5!5jVF6g|tJF?FU-r94W7q_S@rGmY4?6QwsaN* z=E?Bhk8>999Zva|D2-lB)8$%nvnCa{8mH*Bq_#3X>;o;%gp6<(nl{-F(E`V>g$*8$ z%|F{Q_Q3pn$k$X7d_dO*Y@3o<6Ud*qci1InIvVz7)=!qux0&**j zfs*g95c}F#U1D0=i65CIL-1?U?M@_TB3^K4PJ?@a`eZsz)h99LSPP|acW?T(K}sX< zuQADRcW+RZmxNe0TWY$QwoL5pCX(>!iRS5dBAkff-Qx|h@VMeB8%Tz`dxM$`=v^jb z9wg=n*MGW7;#lx=KcMgd&WK}j7wWx1GOkgNj7oX3!HGpCXeAlf=ydA%TESHs9OvcF z*7e-8h1N%SY%Of@B)9%`$_TN|WzQB4AwFB^Amz^%2J~miNA&IdSji`Q zwx-%WNqeX4G16{MLE%O_rb6Ma+chCx#6`*BF9_5-L>JRzw`=Ozo+%tK+BFs7FZ53; z=jV3zNuk{k+Ea!7(XOhX+e$y8U}2Q~iLUm1$n$X8-YE~K-JHVZKs%=5aO!qV=t%Tr z+clwOQXkHr?V5`7m;OuP{AD|wFpf7`Xz1pI7EJMkd4b~og9{d}-#ec<$g9xfjo6YD zG`%IpCgD#K z^w7_oTpDm#T@XhX>q_YcOoPE3=XOohChoend-{@>Qpc*iDztfqt2enS+=XQ_u{^x6 zTKa3*s!*B>k#^-0y(QW`ed9;&y<}eMI!7R1aX&R286LJK(0l+e~_Ipl?=-v*l?wjRg$-Y!>M^ z)C5Au*P!6YFQ)g8rOxnoC^(`p$mf*jn!ma@Psh!K__tC{&Tg94;?HpHErgeF&C$6m zuDIst=_k zo{n%0s5&rgiBuq{_iGr#lcxb|b)ww+9D+qP}nwrx#p+qN^A*v7=p z>HqmI&c%0Ey>|6lyVtI+T`!*Jhil0CwpN(?$OpwD}Im8b2jw9tx}3P%rY1Zb!QfD0tf!Kuc-lkOuA<{pt>@Z+qXsjTVA0RTFC zKhXx8jg5rsq-Tt*so;acW@I6IBY9Nt1PtSeV32lx(}1%-B}wIeO%0lfrR&S&JS(-y zlP=gtMA(>+kP-PYKqvO?VFXJ0(tg$NP9%_;dT%HL+~ zaPxHg^K`w6_l*Pa~(L#|D;>}Z%!a0#bc^kN3cKB=5 zXnw&V)kOSHJ&{0I~+OjBS{)R&NrLTlVi_t+@R}_|GG6 z#DL46?}Bd^!u*Fu(4t6S%kQ`Y@hKG#3F~7M1-|Ho8OifUEhcWKT5j~*S)Vp$I{e`< zM344z1FTF$hW<6Z|6GB2Xh>}MP7r`!y)Vv8BnrsiJI|3F_Qn{>DN;9IJ+Cg1Q}MsNxJuCQR_(1@a< zSI^=!5>duJ5PG6+6VQvY5l`xE|6{_9ww(g0VoK@hD|Ug`{hjcb*G*Gr%|%B(4j7W2gj9 zLMKA>+bM9JuW39xb}|r%iKIB;;b9{|24Gg-*IQy`LlK9zdsmn1Z9V(A!}{rcuZ|9p z9Q>UV4Qbb0z4t*KOpA2@2l#Axj{H>{hYqz)FPf=Y*n$@k!q2{|a?as(P(12x`?^DC zk(m4{C|ip#?vgvKpaJZ_0i!mHK*R1Zo;-&%IxM~~!{}xcVz5Q0P7E^lGGv8_ye`5n zzLs3)k+XAwYh0LQkzFj;lghXE2joRUnBzXF9IX9?jQsDED!OK0zJ-t0a#H=QkUgQn zbx;m{H6}2Q+7ADi0bieW#nk0e2Qe@v?2(I^3o0iMDS12IiGIG;) zCQtB$NdayYF$W)rC8V;D*I#a&2i}@G3J-ca3RSWwC;sM{=kprC^O9#eom*bbq?F{jlfLj$Eu-M z%J7`(2oypdyk|}NO(W=Vi=#EsIMdbyZfLvYdf*2r{;(=NoD9y*5&R16^+6OOQGu8Q z^cYB_n`%hq%bD2m{30!Ww{tw6>Z0{as=MAonqSq^y3vZu^_`<=%~ zU2LP3%bE!0;p-kFY8azQCOJ{IVLE67@%uxgnP*Frp-s(M2KDaijv$vg-4Hny{L}l* zH}4zwKK=k60du}+-|5Nn!Ry$par@JM%4mQaU$#H3BtdiAP*Ex>;}vo29U(z_VPIG}1L()w7LeYrtG6|-Yik1!q#kiGo1kd6)UCGaP7(B8qWw6;HG=tStq39kW zQtT);<*Ck99wc{QuLwexS>mX$QcCp`Ta0t&1bEPb3>FiF(b|?liR(RquyJVf3ckG( zE6P#fFM(;rP(2JeHY0yR4jeaW>IQMV8t`GoB~!P38pWr z?o+@^WDttz7W}x}V%C^PBV$?-&j=0-rDby}K<}DAt--Q{TpnZpEf;W3w7TVH#p{lq zmygf%XZ!Cf{C2VR#bw>NK0zDMua*F7KsGP|vU&AzN0v_-Q68xr`B9mIWbG7Qe9^PL z13~|cVh=S-dneJuv?2|21`PtiGvf(q{_74+`*gwSt7n8teuEA_+AH>f5O1}$%u`ws zYM|6#xkXo~@JW2`hh@<4jdb#IRdE~I(VFa-{+nmZGk0o7@|R3YSG)aq?-ORk z3WOM&gw2aO$$lV`GIlu|v;=E8cI7Iotmr1w4Q0SWI`rkz)Ul1q-H*MNyAWUU? zEM1TnC8_OKdOHEBAz%%#CwnP}ASwL>EIg2k#V(V5nGVt}g4~@YC*)6Hs43#d-~8dV zYWXwYflIxu+xNC-ae<@Ca9lCXAO&u%P;bte7&Hci=F4JWvN>I;RlXlbQ8frn-yXA4 zoupjUH^tJh&BkZhtDR{*@XRb0%i+OnRfPZK@%ppE+vq$RvLoM_Z+s-8#Xds<-s^pL z6asW%kgeW_h$xR|7k-&l4MW4r%+Cb2{)znYqYDYex(G(CRkR1YD^9``fDKQR$}~Qn zd||dB-SjspI7oatWqxbC?J$5Ej7_9a&$%zNo4Qigv!?nB?{m_NW;eB}=qnw5&_qrm z%?nU}o2DG}zB7ZU6*2d63EkZG-;Vd)ld?^87FEcExQA8b!wQhha5q4hWYD;OmA_HZlM3I2FgD!R#q=@ZtIx(uM%^7A2{wIroo z*^XCuRM~m)+kJG&coi*=`N=~fQq?#mAedT;(|i|IAcLL#5dFXh@i`de#j7O|eC7}@ zux%2HXUU9j8l+ z(=sx#jpBbAw*|_52TW^}{9I8?iUl}MiQfRji-?Jxb(=fJh9%K5qQ^(}6}7VA#p%wB z+xkEit2@w*iNxehI6#76K^9*!yRWej5tfLG=ru{e3*n>mqNwpfCzFMA906|+KlK2} zhX~2OZr!C^xn8r#eYJIoOn*|yFc6zdnnV$Mi_>HIp;8SE>&RM4!mqn3Ur7 z$s~OqN2AoLDWAVlZubiS3y`!hF$v4P)x#v2>#}DPJ zRg0Kf-Jqr{$QCX%&H({eYnjrdaa`h7QTtY8x9Hy5I4>K0mEyhn-{-ODmkkRxYK>3` z+h2<&!4${dvAz!esBd^(%;t8|uDPn4QmnXd*2*O)QA1fIs4YQf3}2EE^44ESRTtoH6F`cM(g-;IpaC=GetzsgzH=6}Sxov)xHXiCR@JKRj>S&%jrX z5A!ksC3a7HUgg68ZKqe>z!}%6u5R*!s?)~%c!?Yzc-{Se&CxC5 zH2-J+>8k!(EAVs}!0f87_+&pY2r8y40^f~69tp)nBe_cIW50mOJBpatkN-u7Hd6-- zRZM{rt4|^C6)?hU{0w(BKrG>o=>+u-vW0NGvccRSB6lMGMF%yq;6j?V^$x0Hyz`~| z3_HQR1P^YiVlGOYxea3Lyz`=nHU{3T0Tf(LujR*?6%M236+7q>lK)*O!F z|F^k98q22xKhBXeIBEiGU5H2#lnOO1v-$1D4S^Qv(d)B*E(4h@W;#^Hm@qz5{5Hg7 zL?w_-f(|sgEEHT2#g6*VQAjCc&#x{^)R>AA@<0_(Fia zhu8w39ts`&{rBhT^u>U{)|oow6v|r4JMyIDAR23|J7x2zQYPWgkvbnD5(s!U1}h9& z&UPBuD20a*6Cw~f+M_!VmxPurKPvCdsL9-WaD+)#iEfz$VGijgOA}4w^1u5?v;TLoiOADNT$cp2hk1z$vB$T%cG^9~Y+56y%&OiLDU%LX`qKRJw!;_D&fRX!tgU z7$Oo%S-Wl4(!WOlxf$Zv2)OVl=`nsN^ErWBgjX=Ca8Mucfr=YcvXfi9CjO{{u4;43 z!X&lYNL^3u0etG&PrUKk;H;=p4l#5=*#ni6SLfP;e)#rJkZb zz-f0}k+yK8Ad^m_v>+EvFQ6vXlF(JdsA15CtH23KZU#1~jXB$Yg)dvja|88)WZV%V zNl<;h!`Y&RCUsWva_U!9ZbV0D6fOjrAK<7FbX)UEK@L*nC1H+(UojZCCGNTQnW&`z zN_15rTk#0@vp+kh{Wzrg;XD-mSv`m3e2)pN}3_?Ro3k1Juq;i|M z0#%`}1q==^dI9czPG;%}g)p;qwlbNcC1p;h}`x9s4jwymZ&@8h*|s;31^OKVt1c*xKU zqW_X>N?I5nmr70M*9aajrXEGlp(XECaWq`~{-_P46>rC(52Q7AueqIYoN<;7GU4&r zzx!d}?;_7{*__%qZ7g|1jJk}v9_I?4Ei)S#L3rWUuhb?ts%-mdGR>`#Du4j zUD9hd8DaZ}kTW#C1L;?bj)y3L2!d3q>kH6}pMjUMK{#UZVpn z4#|8Y9Ri*YKF!Iee_hI!hO}xOoF7ApMIM(c{g8_A}n1zTY zuJrx0te{C8*LniTctF2!43hzpUoxShNuMpQh`_}k*ur^q=n<-g4}h%Y>FP2$Fat*L z)<6c-#;R~-o^WcJnbOfeN&yl(iY`QKiJq0zZrsmc?!*5sUZrh(KGvtr_~+X22Ug%Y zp`V`>?qal}v0ngWg^Yci?x3r@Z^Zv`g>lfoWlhNgXp#S9W|c_OFkro+g7@#aTsGCA z+K9hs871@q55y_Dvcy$HG6^MD!lA>+H|r!e^QWK#v9Z7(4*=Z4G9Uma*CgnRXdAg4 zSpsy^q&e6VItdFXAwupa6^|$`MalPj3!E7!88r5Q(G&XL1zsUNof7ZZJ{%dfv@uY) zhD@=^icI7>*vOl{M1fjM@cuC=&KHNJR-bM%w`)0bUz>3X?lkMFUf&r$_#688rt3X%7 z#h@l-5sF9IEZ8V-$%#aQZ3h0QdtcUVguC{HO<%_pQ5lx#ec9F>aCK|8Kh7Op|7?E% zYswpKnsJeB8f@@d5-GNWP^}Yq zXMjd%U_=8&4JD%Zo@0n2Bv7#cFn8|O^Ej`*$J<}u88dsulg1hC*;d;Httj+y>P;^v z!@%lR~o8ppGrdN9I8!LGodD;FsxGktwpesmZT0KTW-AmC!V1~%Qa;9=dQvnPm zm^V_8T+mYZpqV9%&XZt^V~-Fs*JH<`@vG@EOEF^bMpy76NFz@v6Er3GPDc%b|U7*+SC<9QC}7lLZ1F z13$Y|yn%M@v9J*d(c)5V`1hzqZ`lZ$0xhxcUOX2*Ui=Q*{kHoPa}ZN6_TPX={r5H^ z7(9XTC#K{Ye-b}f#VlqxmV$d~gE(25ekk5TMQ>ftsQHadf8_3=qjvg8%0zTeP*Hlf z7sh_>8$sin$le|zh`qasJtNTA1jC9#AOy{*&>`A$$L@F#@NIedV#!;*?>(mENYW#`bX78o%KH?1pMW2XjUjh$d(~F$4LG1zIaqF zut=Z=F9MT4C0=he^0XWzL>pc^va^GKfc6!Wyigj5j27^j?zb4mTTB6*Hkb+x4+%`) zb^T6@TtpE?@`S*V?5H<&a84o#XzV5wA_oSG!mu37GD*FCvF`9o=LuN{jpslR^GM(E zIpgEz3PJogEh|5bKrVsC^KoeKup*FXMp9|sw#xl^79`=2`RIJ)Z3VXpPi$)M(te)#LK?psj*kYtS(XN>-+Ay z5xYMhJ9i-lnK8f@T! z{^L8@=uJkllt4E#OkmerZWFW^=b`jH=)emqkWPC|MT}*rOa<7f z{v_AX!ar$%FAcaD5|W18)&kq{yC%sOh8Hg(Ey`+{Q`G_gjWqq&D!2o6C{UsoJ@u;( z`Uc#(p!mS#@}>IWk=USr_bU3CYjStoUtq_(sE5}QWk95)aCi_v*5dH8PppP{y-O;4 z3kg>C3ZQ?5&@#Ii?8gXE9FrFZ2oBoJgA*1LOnZV32YW5u&vGYf3B-yjaKm ztD||R*H#m_=IQ5_`BwwCD{w=^7|*2+s?{^Pg^>!=KVf$sV@d-a|FM91!Oq7tAWP(w zv8P8YHBD{$T3XqNV*i5mfPYlu4#e`|Mw>p&8{#a8$Q(f~N?@1(=gv-KORwQn9<&av z@jjpnVX*f6Y~6=B+Es&t*Q2Zaq6_*$x;=)DGtPdZBL!hV(ih0h>D$!E6l=YnGV072 zRSuZAL~q#A`^D2?*78B>Q`K=Lor^s*kI`$KhsC(}YxSWzYdkE@qtDy_SnQE>Dnq!T zi;@C6GdPZwvB~b=j&3z+0_|5^v~N?10JJN>W-P$5_{3-D3A*3oy6BcmA)?oot0WHc zf;uDq9<)>R#{;Q~d?_Uz^tDB{zqHI^r|-e@Zs+ITU_C^F9erR8N>!V;QC!>v z>4!BCj*I0V_6L)dl62h5K^YN@n@&QZxn&z6rw=Z!+JT&XiDn>j3A#3KbxdchI&C;h zdv%*T_=)x=*W)*YDCPV@OE57j1QNd@*_aWn?xLb;TSkGzT6teRiF+wN(+|j{*Y8&z z$4H?h80(apM}>~ht{Xp3EShmbVuu=bZYY>5iR&He4-L}$IoTu;?XwW=V`CAL18&mI z`0tuYYU$vRDn8y?1q3KSJTt~b1^K=q;XlPrK=I$75SZ2OCJqBi$LTE?7U~u3rV~5& z;87Jcmy9co7bEus|MZ_S)iwygMSq#`lyl#Op%Y5<5zPDc+;oOJP<9&F8m%c;VHM^M zJRYo~gMP3bC3Y&FcumU~JP&DP2F|BI)nF_9bgd(4yGN=2oVtG&^uI;zelmXVbN!q` zArmL{f=*9U&XEg7L&556fjWR!z!UG`z?b}_yg!WbR)H9N(C4DPWAJbara2(*qeD%6 z_IawJ)JZsy7t^8zFhwCsLS5v&5-lNH&^31~BzuXHVb5SHd|NVFUI%6jeZWoqBW{G@QUI;4!tZ zfc5z2k$W#OKe?GL7*bic@LK6OS;5D1@hSTs%#+^q!%?H@Odl8W3NdJN}f7jb-Ax7;(9_B)c2itwal5 z?5S}Ce{?ho_yj~1Mk4)a6x7>gAHj>c?vv7IcmNL=}qROnNJb2z1c8K3D(K zEMw1WTdo;u`*VIYw5OBhNVHp(LcmFu#rFwg|7rgZZB~2Y#u*s*v=RSi(HGo4PjPT3 za)eIoqRQ~x-SC>=r)=f}dQym`nEYL2tRQuuMm*;glD^DIVMtR!GUR73)w7yAsk{KnvyB!!Ot3>jpRK&Bd^=}&ALiEe7sE37555q)KTo&6~jtbxq~ z?fHlr>G!HX*VwZ7!yoCeLBRepiA>jlIur(l{2J(@nSw8vP9^M5%mMaUKZ$U_X!-*@ zSw3E^MRgSK>nkrCu7jnF@yQkOfSDDT>zp{2(lA+Ijr5iDCb2%;xjkv4ykGb5xn3+S ze&myo6#m*Q@VzH@n-`ZU= zJuNI#RT3O&f*x@iCsyXu|94vR5u^bg&UN=BnBO&Od+z1}RA8IspI)IKKkL%i{|nyB(-nN_(7 z8cO$XV^jGqj-x@KUSLNMUidy4p$gJuip&xLDHZg>HTp8df0}MFi&)5E+504g-HiE6 z0TYW6d+zQyOrA*m3U@+#Y>%VgpMK+Cop~?ydQ~L91I3}=05FFLdNNDrjgr4xO$W}s z!^Q&5t45vO2-AR2KwZeb9OfQX;91V#<$L3EIQ7*q)=|X?v?uBOQ^eXWcUs_T0Exmg zsM}~J3@>8*bGXsYZfmJA#9Row;h{6V*l_|}GMtcBUC9$*Dqf>pQ9kB!C2z+!P&pwG zSZS<+*yYYi7-VKBAag|M?Sy^ufLNh)4i&XM2O)mqAc>dWLa{`si3*=e=|Jx^ZG#6a z^cwR98L5|^(n29Q%6|?9SQVp17h5!XK2QD z#r_SJTS(Kia=@-$h7Vd=0W&2$ z+JPW26A|y2R3vr6A)qnht)=YP2W}CriF5_VD#2+xL617M|KotHiS!D*Zb+YcO63%- z@D@fC6xzNQ^jCGHrx=XP+%x!35)y1hb|F`w?S$qCBK%YY$px}Yg0+^!piBD|LWR-U zOUR<)s+2Uy5Iu1d$T|=qqKP$Qjv%5NLI1YOD3C=|`QV-a zt>TFcpS=rFXYqW-J5clW;M=2GYq}T3vE0DK5N_VsEG8!px z3xZfl+?^p$vJbIYLyUbtavA&Djy!EziK@*d-OfUYc#IkF-kwMF&wXCN5w`uneJgtS z_B{o$?`OEc3qrX>SREqm?v5gZKNNwft+1|r91MsaywFSJZeoX}eF$EOrO=chH_*JD zEjh2wh&9X=nBOkRoh4P|IlNWxB`=gJVeLuUeLaVhz zmoS@TkI4^DA)?btLSN|y-#j9%o09&zH;UN;RaX#jCkTwon5q?s`9U(MureZm(;8Sm zMb|TY^?(?-Y3=*`8|J_e+h=%-EcKNpo_fYTe$gbLdG(R@h9_-pTtk69LT`2!`B7n# zBkwsCsFEQW?ewvFcdwfA5K}C#tmuXO?c9~?_vc~y!p_ifDLF*MTKYcwjv&_3BemCY z%yiTP+{-_R1ESm(=z#kb&Rx!3l6~)9A09GxKUBNroSj~tssM1h z0i6Osc>AqPqUyK+&Vdi@tEU;)4FYo<=}?>7W%Sai^!TRuqaY~t=za2T3wW6bGqZ(oQ@5$9H_8EQQjrHl>nZ~gFn%68k z?rN5HEEZj~jEj74?#sjiuXQj|W4CXF2K>I_@-Wt;t0}?i0b)ZDwSnz(GHVOrfH53f*uQd)k@K>U-;;TN`Ho_M>Hlke$xethC3W(i zLHhc$Bf1jkt#Y3`a>^{yBA=87cIy{`re{XQpun=Vyln!z2(=!mVD&?yaJOCR$}t7- zETycKf>+}XUm_-}%jy%0kl9jh<_$I>BRgE4n=W+c)Q;AFwuzyaijdW_d?{p~ z*%O=NF${I@P1SDq(XR~-v4#_A(~r)W-J$~yUHoxs?^17(Aun@58DW-6!5+_z_ux19?=DX_;BwnJa>yq)MMk!zawaSXj==q?#E#qWioi|?XdMqIbuY1lK3tM^ z!}*;Qe#R!^oQg~{AYjfm=;bKl8$k8n6a59?g5eWjCAsAx(gBXu50V*57&NJW z%!Tr@%_Pew(p$y?2WPaRsB|V;F-xArUqSy%1k2#9%|uatdS?rZ2X9U}8PeaCHvWLe zp%P6c-`o(&g#zDvc2Xl0M)6B8U1eSpzvLLuY4XaFG>RyZunyT{cTF_DKBfM!#G+4B zQM$l0L>Zi~_tgt4Ga{fALELcgP{_dBA*{UYEOR8cz{7xvulM+5!C1Gb&X1h1gR?&) zu*k-r(198COr}#y&Zd1il#gV8QOF`)ShWwFx}h2d=ZX4iMn=VzJm##vv4QT%$> zHS+_0fOyj4j7Rk6q+>Vv5_tG)E!z%XtQZ8g5W_zig;YDTS$zQQAL?&7Ktb_=%p~Is zfB#o=)dI9yh{vOYV0EC4&{eo4E(#GiiNp`Zs@WJswfGg7+pwkN2cK^FJs#{&nLtsa z7XNHtCI2(s6pTIfIMgaw!tPgnkIllx&q)9{FMV|)sBfA$Py3K|^iv4lT|#ekjJNXO zPh7zGGxi6^UwP(~o8c%O%4T$1BxGfH+fZpuwVoYWd8Bpr$o$Bm&FI2S{fnM(?2!>EM#J&? z@>4tbkyb7d!8NS%6d>y)|90wv4JJ}c=Bd$RjwT~<#k<_pjRA3eStD4cv3(|NA*GA; zsKZYtIp&+5e3B6K%B3Jt?z3#>+;YHNye_j=eK_Pz^#}wgm}%6OlhtIG{awk>s?X_FhAru3vNQRk62|>DRqlsuZ!%&+;NonX+Ubw4rDteeA1@*%G%7Li3KnI$qRs+ zu&_W3UWRRXavEhB;K*yX{i+~|9dB9p{f2vh9V=9%Is8`EoZ9AB26QQY7D2>f{Cy!fW{c_X;Q`Yf%dy*Qw3wA8F^+T2FetWRdN1iewh4IoU=cSc1lsBN%T@86K|cPr ztWerXJ?UiJf-5f_k6N;g1U4`oeV7EoNsS;MD^E-EMv=$w`L1Qq&?|OCPaO#4AJs6f zIsyx`R~qeE3WS3TJpUJ>b~*TC|IByd-7A=H?wNSxlY+DM3K3a6wXZT&b>6F8TDD)D~a zvDz^eO3L-b7r27d1nXjy+e8dy9GSzisUI;+DNgQ-nN+@ko`UcGMnBRuF8JMK3?E51J-eoGRydXANtbm0Rwn0Q0hcYkCHiTor$l&NdrN2vwUhSmpU%Ht%vj z%AB6tKP!)HkN(N6Hx54>KlL2Gu5%^T5~r>94m(2**(Ab5L3xE7%RA$t+{chXu0fj`}GK=)-3S5{yN#Fe1xa7jL$z> zb|oZ#<9HvQOlEV2Eaok7X30#|)Q8Ff#ZVOu(LLQ>($2U?%#GOFD0_QdwS})^+LskQ z45bd}-J*W@{7n8c@h2uNN&m1RU=xf2#R`g5{2c>FD{OhBRPuFxevX=Bdoe}V(3#J` zfAVM(W~MxbcX=M|XDq?`n|9Nu<|i6a!oa0Vg;qcBysk=iY14^{;$wuMs#@C7Jx6Dh z(Or$}dkR5KO1t5e<;e#w86X#nF?ZJD8|ovNJ2Ur3SPgc5jj+go&Y?@GP36TXY?uoM z#eqFWCu1@n)6e)b$()iff-oFeNmVG}j^zmj-0kHulG$DwBGiCn+wmE+!K$7LZ>V@< zkOn_8I$H;XzY+LV?C#0;yoq=;bm)CuM5DHtFKO+!L9VTGIlbL%1G6OXAR%yp=&v&7 zziQ`p#euG29hHf6-Pic6kLjs)Z1u9y=_GdOCeWeT6 zm;_=%GqW{lLXR)smgBNOnE5AvLDT;GvzaHC2bDg=@W8f6 zK>r8v1c!Tdno&}^t?jTGz#N%|o8k>Swv}bz%;Yp_N-O+pwD?0~UiB^W*=~IjGEnw! zj7D@e$5>UcrGBE{yA)T#!nc?9C1(n3ws(CO{-%9XBr70Zsy) zMFpVvNDb$yw(UG>He;ja>?0-9jcY}l2|&precpzV@=^enRHkcxboEY2qbIgHcQuir zpPfe+3c#ZvOk2}F_%IwWlei=glB)^T#G{h9AmlDTDPU$Rbdm)WiuRTqaN!1%J-gej zH0?Xl#!)>fkT9w6CmU!-^dTPbB+Jz=V|P}ot(X)}U4ISPrJE(Jo~w0ScS-71AQMl| z?OH{)h{f2&wXEygyrhfS>`Xr>3HhrT^-?SWFs@&%0pHbx+&q!zDNe*W&DD=BTKGGTX9~Xbe7i^#~u9& zt4U0mFpJjh$1e~ay60uN5-L``XXHN&MQ-Z%(Pg=e2REJnmq%+={q$&`kFn?Kp1<6F(XJn!)G0EML`pOp(i8~Tyn})0Z z^_fN|g^`!Xj-}${GGi(>q;&XJG0ZYgvw`67L%PypyCU`PBa1YzT zkZ z;*gRhgmDB7P?)?)ubdvmAs)`~aO8?|bhb9^h$t_Ih_<%*7S*GL6I$`8(h7VP-DlXz zEqro#F}H!n`$|*tnf_>EifjeX^5_i&a5e?(^`ec{^Jf6m(Evx@(DU z)hl0${s37tmEA8eGb|#B>N_1Si0UhoM}_4+05oFKC~^#E5^*-e{xmQ+Y(l^p`CxYl zIW{mTT#Sec7K2E)xq%G`js*~2DKa(Ab{_nEb+81^aJfy=})eff<<5mgQ4jB#w59V?%+FqhnVR8Wn*J> z%yTo`+cfwKR}b@by}z2%L$hUukA*eH>1?>S{?%FVx=@Hwx^>z$4UE_rk@=*eP_fUr zg$wHKNiIpyI1S9u&6&M}bvMQVwK(mKA`7a}g-3^<27erM9=@r5$>Ru9(~=5*p7UDd zWO`EDKq7v);)j~7(12dlJACdBg<+`aVJvwt04K+isfR#{>U#$^F)mIsWd7CS=yPUs zoPu`CoOhgb5XdXDAaIERt0vG|Lg;a?c5sFywURluu6u|lml zD)W`|RXSyIviTq_XuNuEO#ZQiDDd3Qy)r6y!*kUdsaB{qdkeAp$y6`lzde^Rh`~y9 z-;?j0qN=u4Cn+KEv9?l9~6#WZ-_^Z6s%n9(GNHJ?q zq2u{_HTYTo$;+i%j$sCRK!rkL8M248tYnI0j@1*f9`m6ro}T}!BmUz!Odv_~1{-;t z&)S~~Pnv0x%@ma&y0TmuTE)>v9Q@YkaAynjj{g^-#z>W->c7@b~veEdK8hLOCD5JFW#zO7--clmM#LZ+BXcc<3;-|-t` zW2#Hc!(J;k;oY7g^CeHRvL>v3u6;4&dMBqFPd<-}2ma&PA%x+j)5+viI``99b)BC# zkFHuhjGwJrpr_LwryI9(4@@30HJRT2^QWJgbs7jx47U^lEI;pyj5~R!4^D&IofI>| zL*_bek3_)WtP`utY(@~SaM*q7!riKT;@_~5=qUaPsZ1i$vZ$7H<|3qEI*PaQ3~cQG zTBLJk>evQM6Hyjh(tk37Dd9s2OE9cjfoKBcqYFuj)ZCw+%mmu4)i1NyAq~tB_$KwpMNt&*T3)X@a)@FZhJZ94WQyr`W*|URMc|>&d_bjHLFe8G zv`}191-2Dg60^#x&<&v#K^-k=2(-g#aIr~oQAASq`;1!k zAOv!-+}Ta(vf&1;z~&C|+Q0S|MixxZnhY{ebSr$|$`}b3H%c3+O4-3qABw<5{G^zG zBX_A<4;Ql25!2yVlFdTq%o=&GYp4k6Vq%tWTROW!@-_UNg1aC=G9iNXb(=+=1o?6s zDD-k&ABW@V_g+~%@}x=t#XrA9?-hMqBz1*ji++kO_Hn^))20g2=AX3o!CP0V`Pt>* zZ70)`PZ4~xX#s9EF?MlKJAvGv-^U?C%9zd!fpteZ8`pc^DzkdIi;D4;+7a4!l=#aV z2Nb6h+R4&hDFW-6bLqQWT_nG|ddJ&057T#qoFMTDRw<~MKE8>Ioj>qrO69Tf>y10A{uR-r4mV-;C(28Ae;LTHJhbfnRFzBkPML7lkn?3@>xNjh-*}> za(e3wlV7!mPrzCza$K+MgQ0AMlL+H8{!T&9_&y7Z%u$#jB>p|ZcRH2aq|JyGWea)b*-cPsCVmc zu_lZzW2xf|=$R&ze-wtK!)z`AlFpcIP#!qKz5J8(0GJf=LsarBqBh!M(cU_ zrVBJ6J%?YBK<2qZjQVGcLIpQ<=)!|Xc#5mz%4`NJ(D)iO3ORZI%g6roD@`CrAl{cx zA4d=vK-BoYHl{u6-HO2Lo_OWnwYS(Gk*!O>JgQe2n#-6ydiHxH_mxbMK-0#t}?YzQ;vio7J@Ix;FIMeEMX`af)) zW02^~^S0NvZQC}^+O}=mw$9qdS=+X4+cw{Qe*drUmsDn^l2j$BnV#;e?}l+JLWNK) zK|L}s2^}@I7fnns1sS=DD$1h5GN>Re>>O(_Us;uyKbXBvn2Z4LK`H^YN=3&4k!sP@ z7B3EsqM%&P`BPSj<8lO2sebb_+_R>whG`00FB&o&+y9L&3YK1&-3KcZ&%AEw(hsN- z2?To)K~S%grvdn5KT?e(tR&cA-yc|wC{qf3CE)kyfBY2?Pf<1UbvE+nC1_*svv@ak zR=xNHC+5ZoxjTXk2JWTu2SrmReA0CkKiDnxC3XGAb!eYEdgxu`ch09q+kr#-SuORR z1ON6-Az6CND21k33vCDXTxhJGT}w0Zg7(LNMhL6sBqT9z%lmt6I^8{L@8%^0I{`{l zj%;}!DH^DS2hVbuDL9{{et8m31K|0Rw!zOD#KTj^fm!e;uoDQjd_*2ELp=*d&I{?; z{B)|`H@3n4G>w>}NPvP~%(-=I@y8UPLKo6-iSuck%XA5i8&MmNgo;B-~_n0=}KBG`7at6+M@Vruf!mh^AT z+F_cf$xX-ZrNKWAY*^CU#!h+?{_^NS_j+s`O&~euO9pN!INlV=*tR>8$w-D?BV8R} z1ii!Igh$cs9Kv%nLnSO((}^_fo0roc^a-7y`VNqsHKyZXxzW1`@G$F=GsWOb=gF8- zIXJgo_qV>p?r^=^>OOxsPA*9dG=hyeGY4IHRbn+{ZAT2ZaGgJj=nUPFy5wFcDtF!@ z!akgBMn^!SzNAyKsqMRv_Dcdy*a6>~gxV-Q#Ax@SFzT(bZG$g}frf9MOt1WhX;K}T zYPUTD3DtZmroV6Hy9M}r=X=8MVk!4KT%<{9x;3bWar7=tbN!i>v<>C#yo<^usIz6D zQKGLI+WARmCYT)+&y$i=j8HJJCzp79zml`zpc4C0_s(EHG^xr$k=&_ipnRDnu%~9# z!Xg7745t5lz+65lC2KZ>$^@}AW1&D2AN4p|3hwo;Cge{$rZ&OmMTY_<@5sabI1CLWg7W-T45)@f)||C24m7>OI-?%JQmGOb zKrF$QW(OAbWQI~CiRnzbbzqlhD&yulbELxFcBnH46v_4Ka=THP<4K{G3NSx9jM{>- z((g{KgB{mAU5F%rs3YRsOv;_sW2$@OPIfS3%mgOI*~Z4n2_#907I4<3B@&{wmDG9J zl|bUQC1hXrX(N%zr#?%uc^>YO)Kg{N=h{m4TqXZJhkvd}H~H^_&(t;IW5r=8--lgP zfyy)eUWRFWiv?nkk(N-Sh0@*3B z78QyO0niG9fHFsUGKEpsXL;<=zhX!bE(_2|5HL{idd&mhxF+)tcjXPbf4efMgyR1f zn@kj-7|@Lu$or>@r|0`a*+oVZ*NMZDlaW>#d_5lx#Z@%XS0~9Fj#Va5cN_W1V2WgO zQ$FtzZZGo2gLB(zVYCKwer!XCy>tJ9`jWb%#r4y?)2PGY>$TcAbP^`m=J)odm1WoY zZPQ{Ag(=BE@se6<$k)yDK2OFn05_SKwCd#_xn%3KT0FJF>o%K#&or>u+ONt42A!5^ zJ2@2?=kz0gC^;Z>%IRnxwF@HhEvGlrC$8ZGhEr){}5u?Bea=Ewl$&0EG0z+Cr_b@Ia5m9&p~Vn2+^AGNpQ~cG zuE&gLvy$1_YvS z#9M;35%u6AM325A#UP;#AbpMd)uN!%1Xgy;c)zHbAUltsh)};qxRi!;IxJ-17{U^( zg^CC~NNAjiD+T=2?gFfW_W~gNX=%xv$8b{fN76Is=Jc=L;QtwasR+ zV2uP_l~?J~2x3jaP$Z!E_WkS~zVaw8rN_a;1=kiE_5Z*mfTYta|Mk?Bam#>_0uS}%iV+5Rj*7nmk1)+H zRu}l2GAxBiJ7GpkE9p#wQDTwmEQErn+^CX2afIh4d~(RlqvXURqpEoB14%G%4lIz! zD4^Uru98SJ5R}O#vOou(KbFnf%byFajG4DY z*1AqWt)*%vN3Dgr&#A>exRbiaTlExQ4~FlI1+al`u8jVK+1cR&dOS)Md!QW;eRP*H z)lKm|kjxW#qnkm3ZR!+Q(h~E}Y52VS%di=o>hln!A(8jlS@T`twG(fpKCXO=m7;`2 z&>FE0(>0w9pY0|Yv|PK%pyrf;YmVw|ZZ;r(=s!-*@~6#EIMCPvBLYVQ(WXVLRQ` zUvhnizDleVDdt3O0H*0sx(#I_-Sh>-+^a=p!m!Lm=>uDvkKp__F5&WPkuvG!L4uA= zIr1JgLcW@AsBYi^=U1TvvDTu0O0IrgX~^HY`ERlkjaHVLSO!H42@FIMc{?Lyhl(}i z8tbr~%SG4r56yfnzXpkBQhRa6qLkj3njcXTO}3ryH6SI(Xl&Oq5U;0sb=n)LyAU2AuVFZ)X+nqKz$AUZUVcb4rrM^9IU(ucF)DM9 zh@)b!Etc&bI!b7R`tV4%ev+$_D|Y^4=5(M>#7upxMxY-ukH22ZWw`ukb#z*g_UFTTE&q z?UcI$wLg?7QEx7V@9=??Eagqy1MM!nyASMVN*mypqqgUv%S?o%#z;+Cl~+-2Jiak7 zk3LLMH{QnObB>37wRhAJ{Iu4-mbDq(T%^r^Mk{Mh?&m%K``yiiLupCP#V{|3sx-M0 zuKp@7`u#z;R9x3__T0Ft+sqJ_oF7MdC`R6uJbBqsxyhDO7_!K}2obUATNILdln#T; zRJmJ>0Yr;%xSn=1hQndTdtWo;j#yq(Zv>EsNYR+mHCBO%H!S!+mYC#-o~tg5;&@KJ zi6aLAn~ESK5Io?tzWJU3asi5ey|cbXqc7yUdRf=X?b#mt|49_C=(_+-;y6J4$=n=S zm4_Z#XB5xIT`2GUXK-0Hl9R*!*wdTJ`rO&Ky6{*pw~r6ll@AOwLz3^-G$w%B-z-ly z7-!O=Ew|w-`u~jq^FQ(Gz1^Q2-D!C;S~B15zKJNZKex{t{Vt_yPyvO<-;6f`;h;rdD=}D7Gif12H zLuyvL!op5f9d_qC#;$5wc1u`kozJ(E7VFZ&5d+GQ29`0(j!IJFajPb1AcaM`n^3q| zXx*){QK5pEWr>01xgA6H2YI(S zcqm&HT~lSE=75n3w%HjwFt@fMC3oj;oLkT1>9f;*|1b5+y_9$3t{)p4S6ev~JhKbr zUtq=+jr0|BJ%%^~MgMoC9ryPPXsm`~n7caEExpQxVuWqw{Q9CHRKtw`Y6wtvJI z7R%eg1#^oHjja!VH<-Ce5Sx_<0w7861}tb08?nVn>xxm5nXcVanj z6F3|9iyq!r5~wa=mL8@Jrf%r zUnJ9+9^rP1^>&s_Nu==2Z!QTd3}u!wEkKOI(fisOe=c7Dbxsq%GBRC?F7nI5rX z`9T3am{hN6p6Xp^=qR232;Y2PzIX!^y6)wMDK=Syqx@Bt0TXTaA_S$){sKgK zW7C8eG~EFN9q5=ivvu{;gHYEdH;eI2HAh5$E}g6L2lBUJ!MZ=#c})`$aH1T`-X1Ouaeo(x4|l64IPo6 zTyAEw&JW^6JXrJJ<^@6C#^dUUYKJ_WGWoO6|*OAOLCT zwAen!rHaMGm;=+odxD*JVcNkgDx~M2ut~k!TxOz0BWAJ4`QG^&$(@UzdN_)(wH$ht zX}N0p4PZ#M;^wfX(`LA`HB|k(%NeTP=&RQIh_89C;%7!*27=>QP5NsElUxqeDSpm- z1&7f|rm+h~yF!}8<>=`(8{FjPwecvDG3pij*GfE2!4#UOns*6PQuxj_rZ76ca{Umt z8bymY3Ke?X#m%@~onqy5o(h)u2rEfJr57aIm}!AF#|Xx%1CGhqGZuljT=j23^$GYb zAqSc54^8XJUm&9t9?@|sP*ePq#)D5)P1{UcfrH;iG0b^52)x=-gsN6)XlH++>BG8@ zfZ!Muql?kg&2$f`_g=< zOUJTe z?0cQ47HdYqg9Eh|Exs+HNOgD4!@34S{zsRq>Wd5W8_ew3s&$iBvl?xjAZ0Z35DDP+fk@WWU z#xsOiE8|@d^yRv@veJW}E_9m0Yg}btqhygE;;GcRL4bydCyMP&ko_1@o&}6Zg?iWW z5S+qD48qs=9$*^UywE}FoA{c2pmmt72lRrHAEHuqE!vtuH#W+(X2^pgwXOX+u9t9iNX z*>3pp#P@v-{&b!GzTnk+eae~nz8L!VdeOxZDzuVZ+ovo0?O9j(7nRTDXMh?13aQPG{g0>5 zYTjy87;5LWT^CAjAaxF@DI9tm9xJkGu!yRpfjP(B2ZZO?DKp%1%>>?_Ir+c4<^I&} z;1oogd9C9_&cFvb{@g#{+jrl+`bo)})Mz%U?QD4SFE=Y=GW`9}hp8Z6Nw^;;KhZ<^Xqn_`3XEQJxu%nA zd7bO(eZ&M2Y~kZ{C9~R}O8Eas{l)nS7vuPKWBMEF6SpG^{p7$w=o?=(JhZ$1jss*L(!&5L6({7PWT>vMrfx%YInpMD^N*)R**3NNi7qepA_2n3gj*<>YdMo}05Z$&>~ zLG`QjL4@AN=~d0azN2C#v`(UU7A#NE+wIv1r4Q0RN+GairE>;w--}oB9zl9gI9gD`&$Nw&!7&aCNgb1^1XMRneXkM zcgXxA8d%04-+Wgo7(<;FK{+Z$ik8*ckQUm>%)D7}*pO>wETqXKgP{8ojKCq5HTvLb zO^GZ5m5A$XN72Bx8u#_<)uoAi1+Cs+s++-0IE(0y0H8d)__Jo+^146E-g#(BL;&Hp z(o65Q&~ie68PjIdsNk(qVOzOv>3m{6-tO9%;FYE#o7AYa0({mOQ)FT)zF6;OTO$RB-%O-?=VD?zt(MXF>%3rQLxnT# zNc$utvQ5@UZ;ei%T3qK&*)=(O9*B(J=|tK{hpB6!br8ALfc5r) z{hn)QF#N~Z&TZ;e|fsx7Qf50#T@)XaQdMIn~m>2tW4EQHT1!#DB3@x$fV95T2EH=9H@Ac`$?fL7w zDtICI6*tDU9SAlg!l*}&9M~Yfq)y6Uv=a$z96_r7FTrt zyzYGv!1HAAGeqN7vM%vB#bRIB=#?Fk&wlLno9QiUOp|b}0V<<&)Wu*PPAb2B-6wm2 ztSbPdJpZS=t2{V4WCJ=HZm#0s0ubPCj#8ImPQHT&66pJ2&YbMfJtQwRsZ6I0W^fh! zP{Yxoc|RIXrx!O8Db8X~uah#VO+0oacZ02V{NFeA z{||aoIDXA|@%yyvXpi0l&t?wlgjH<1Z))YT|i#TC+q!>ryUG zVo}8tW_v?2)YX_X$VU`GGF2;#xL&AJR6j9I5<%i4YW^iY3Q1KM-5X9VNn#O-fhO2y zU6jB`okY1~PVshZ4AEM&x9ScFsQyF5NR(vZ%Jw-a6VuCL)^M078{zh34`RbdwUCj9 z5L1KHnUjtDb4jZy*}XR1uyNfNc{pc+V504Wwhh{#WXqBNb|l$gRDEe~GYAjH%aJ6{ zA36o})79sf>jS~B{mI?@v)bd3OVG;-^z@JJ$W%|{Lnt9eo_^bD*!3>qp=9tG@can< zIu=E|@iwS_9xRw54j%Bybzug?l6sMTBmq0@{nu~|dH^aUUF4Vt8_6yl?G1rv7x{k7HE8#Tb>f zv7`7i4aFtwvVj@z-n&y&XzybfK>dsmwJhKTL6f2k(G+sE()J%!Cd_G?rDvn=a)(Zk z$6%F9&cg@O8@5{C^5Sg{+rI^GbA#+2)Y+iY8KER%#0ED{ihZC%!dg)i-`vvt3(|jv zYa=DL<9&s*@oX}INwuK?6(e_y7ljGDu*V#-hfclwLQiSft1o2vfl@pX;OtJ`?&d9y zdbjVUHDiq)sloj%Jvi}#2#sEBQB-Y5r(QCCf(M%ZFMrb)lbNF~bYQ~45H^f{Q(8C! zdn=BcpXqMr%O>~70&{$+#*sn~R{1aXz}^@|3q)9HU1oUK z?C4}rJj%q-qoR-`YU6eNW~7x1e&BDLc(|5v(M z&RdD!ds5BENgJ=kkr*zEOf*V%pIF%-!vVC5C@IdGR*JBzaPXLUeh49v=>pAID2NRE zr56PMMdTq9qxr;t%_3{pxP1{138;??GMqR>1f~ zWgw4V_f0M^h)FFO?N}H!ebH^M_Y?~sl3=UFOfc&KD%Yjf?0T(fi%R*Ndq^l3~-Y9hwE4<|6Qu;YmN^n}YE{PXPHbj_x;CD-Bz#n7U;jhbRG^1_C z2&|vdJ|@HfRM{rb`e+iNLf<9Ue;#hK@0$2a&FBziaz#>c;;5?}q!~2^v^_~saNgmk zANzKEcP4i3NI8A-dQ#Vs1HCu;+fZeq^q3RA{e!(ir00;i1XC1Ob!Vs87{_3u5G}~T zwMFF29eYIwHCp+3SaMi5wime{@(anGPvtPYq~pVMU>iWkn-LO~?ey6n?J)4x0cT%$ z7`25plM|1oq*v_yAA5je^Eaz|IS=a}cILi$QbOWetRspz$V2a^ZA!^3wPpGLs76g} zQ;hKhi~G!OsFb3~cllM8hm7azTkc_zag7}*sKKR!3z)z5GEgWXZ^BI(C%#RTLw*Yk z1WP>1^TViZUGJu!yk*q+1!8{uHy3XHHx~kJ#C`AgY<7|hU%L-)iwyRzhgw#ZK+%{e zBd=;k{}z;QE*_>5p0mbae%9H*j=Jo9E}LgCz0B{eSf>!DT|EuyG@M+0nWas#WOamQ zdm+S@L|bkj=7RVGEOBS>$2cb{nzs{7VL^1(Ry;#38uMeJ`6#R}k|Qj@Tf-V`z?&uu zd*DZq83XDl(dTKKEjp{WPXn~KHf|rfolM=e?5PK+u2;%-;-aqEDqwn%jZ6zTMGJJ; zPkz?`)@`!OE)gdH?ZE*#Lu%PzZyial|o(}yI0NA1LG>r2YZlom^id5qN@jz zM^)}`=U;uDkPbsgwZBtnudE{dA-+>k87;sWe*40{7e9}fZNAlb(PlAIxdBwgjkNjJ z%qu}5=I{aaD zMb4~?4gH65+A`#G-_7(ZXRG>xf?`K1D#_D#`WjVmo z7V$eE3>a}E^SSxh|7%IIQhe6gs#&%^BtJ!VOdJ)|3iFmDYl49bUOVgb7;vLAEJyNx~reb+xrM{ zpgOP>DHE-M%5BNJ#U!$G2--5+7%(|o9`&*jYQWxSglwGm@W_ywxp`}{`&!kTkPSv7 z-Hf>9G6(MjdXLv?VJB&6u|(QNYouV!BHVMaXCI8{g*dc8+LjRI73{~3pEEpr3%6wp z_!TQP6?eadEaHKCtg-&3-72Ek3EfARr$I^eRQJfu2`a@C>!gnB8Rv zfy-hLvem7p;KiQ@}&ZPz6MFADH1bnbGGcz3M{Y7~5DF(dcRy~E1Sk0&N{i1~Bs*^kzO zn?PI3=s{qhN;0L<5>7WD{3cS5-0F1CPO%vtRG`S|q22UZs6uw=LI5=CdfK0QwNV1> zZfcTdVeq4w#TEL^b~t0?l67<=zL|>F)O#cB(T&iYiHNAiPI&2;x2(uRaFgpU@Wdnw z;j3vr6d)6ZZ?cMSbQ38XgxQWu>7=j|KwiU?^BD5 z>0SlXSvmt-KQg1~55Zb6vJ!Pm==Tloclm3hx%1NM7i_Lb0Z0aNG4!jswh_S6r{|za5#52;S`^n1(3eQW%GGbPM zkELk8zQs_&EEeuE;0uk0O!yoOlVFN>N$Va_?2LPy8$Pg@yUP`UjY1;r9RiFga5B@3gQI!Dy zbsD7&IKzbyjK5i4?Za6J7XkmGKJUH8qob-5c6Jy}=qnl7N)Dh2r1?oi57*glp^NYJ zNpxR8dRKwhv%cgH@u4EZ<01oe51j~pm@`*i_^5s9U~DEdXG95{1AKqcdouZ%^w)g5 zNDL?3f2+dyB~~qGoKD26sB-j2B>v|}?q@6a?E&*`3HITRyuC@-yvt~ljzfpVYfhA) zxpgleTrqqPL+Urty^iV1{J7M5|9+7!q<4cHnFD`?1Altj`n4j0zRdx`-1-~>_? zqA{v9Ih3=rgwVv%BHUI)RF9h))+)bHoy#HG3b4F-B)5MrOIFH zwR;|mHQ^h+QoTVYT|6u9ViO}#I{tT>d+25|53RKfn++$qz8|RPlGYw7^~OZ9+k^+w zFaFG9HAkpAmVL3i9>>N3(4v`(QR-{vDw7_d9~}VS4jnUjlPdsq-OL_`shr<6qgaln zM`Xnr!268-v#I7Yd9BUNMoymemV2!)Gzd_Or0g+0=Yux_1ONsGYANs!+uyYLkzm8e zGPoa*PtD7Jb_Sx5Z$3jhGX$7nf845p{B#p~Zd&9 zP`*)j#~f;`u^{;~+ALVl8-Q{;DeYOAt3~6IDPMd$J(CId__XYEEzZBVUM{gv@sAb` zAyOl95cVXAbt!(N@E|HuFgU|7>3(ahB{rK9Zf|7w1nNUn>AJfixO?1ZpZtgL1-1YO z>fKi5emvzS7wVBFFk&T=sT7tEv9$uq#1NcrHsDF~LkbeI1)UKjD3r|AU)#2Sg zl(=jr_;Q~|LaebgH?Z2rCNeltWk=7apn5Gpj)#H>@;iewEScXe<}Xz8h?W1AcNAmU zgf1uq?kc%se1AfXn>U>ij$>^>wXtWS4k5LVM;#|k{gWJPbXRjwVU+WUi`X_Xs@k;= zcd%E~>9lcl5J7TJb>yqV-aaqIJb1r?Nq|Oq7DkD`k=@;Yx)n0_-)cU$5is|&7uU5} zy>$qc1#k@XXT|nDl`&n~|8d$S3HyF{xmBg-sJ*_f*}{0xq?(+d`gTi5exgONsJ5?Y zZ|g|#s$T3XV@>C~tmkg>`d1&P;O<_^S;q9`yX9+94U8#OH#$o2%GyY~$E05s>p&W8 znT|eQ)^{3>q2RF`hZc_fpOK2Gs|~w+yp~cFV(}6aNQ@wD#dP*zuUzo8q|!me-VCuBHw~kW@cNrpo#+ z21?sIc%V2fBImb}k;u@Vun4q=Z#qCNvmLuWrX}T@j3}<;bPMpz`cl zrp9Ti_XA8O6Cw>ZDx+HRUqB(Kc4oyHQv%(fy=ue-YQ(2+tiC33z_jDNt2!wfR?AX$ zpALU`lzreiZZ{r6%{?bo%m(!$xiqg@q-v1tk9ix40&?gzGV+_C|3cbPYz-&|GOuJa zTIecq`VF|!V%hljKG_W2Vx$;>vy913h;sARICb#04#aOjXnFU|HSh%fbM@wJAUAGzehl~pJ)Sn$x)NtAtc;8(_Z`>z zM-%$=z;GV3skF^2f0Wy=)-5w_Ah$hV@)flc`9gQv!W~_(9DV2Wr&Ly^ihb!JFTSTr zcayR0az;S+Zi0_J+~X@Yz2D}FZ@(DN!(`VAuNYckODsi|WD7$NVuZK_IiDek^CjxK zCeC?iQW8H#7@4r0{53+G<^1w?e`H%Z1YmY;dIA{OGi*r(qKMDX!E>JG?ygh%pqp+2 z!S5x+La)-!17nDUAPVd}LJ-;ocH)isJz;f@e2s(owrjZ9&pb}DLVji7Il(1FJZ0o! zeupJQeDfdK#(8(3D)`Ofu=>QGSf~XnIF4AT>(> zngkA3I8B8i3avSQ_IRk&adk~S)tf<4nJR}Da#BB0-1Lw70Sqxj{>}6Gg9u)=?kgh_ z_SjM>y<6v6MQRn;1e?>?;t!a>cuCt}Et0Ld>=Kp;tZ607xQT=%u1YonbHM32{0U!Z zZ}I1+d$HTSYWgkwG;X|>h{-0c#q8jpS@9l$q)4K553#wwWx#fkuQy*zVneYz*_Ot3$+wZ6@qv}OKyKmQI*8)U@poErJjZ(l88yFT=+ z-SZOr+@9Xkff^JgaC`c9@&|!K4q;F%8>c4uoPkc@fjz;^nS|uQD7qT_d~<KS+d`Ga02{h9;qI7(oo<01v14F`gMwN+3K4AUQ9i4mpedejT*F~+MI1QWJwSN~ z!0MNO{npH~TSPR6%WSr9GmyVQ=;?9&Rpg1D%i3KXdH4lVd+duBAX~0VVj3d<6XoIK z|BWF`%KXpr+c4Tw$~Sm)tYBmjKgOVs2-v7R|sNVKP7(~^Z=-iwH?Gt|7Fzb*ZrIA(;zVc{4+ zuko3l77e5gYb0g`XDK~VRc?<>$zqCjvO`DX?yA~^PUY<&eG0a|b5YV=oV|rw#K7bF zuiTTMp9%-S+iOnldjS4;Iops$jp`ZN`!3_tOofWgUKj&;svvgN(+F_J<6Rja%SL}) z0Uyostm7C)94}}6fzp)AiF7J_!}E61XcoK z<&7`~SQ9$$ZDO=ee|w__?k0Onfwe16N$y#KHlU>-1owCh*4UCo`iWAZ;81;F+r?9p zQ;u|q6L>g`PsVt&E<0zL8Wc_b!B!`H3dfH4C4y}s%dEf{<2 z|M5%KhMOKF@N=V5aZe+p=_DToLOrG2So50W8?f)S8Ud7y65Ynv{fgct^c&cF<=8pp zRah#!#WPh7zYQ5Wdc1J(E}=kXiD1e?_xFLUTyS6YhZDu3B^ph_fd5C4o9G(Ie1=9f z?Tn*`bd0PeyAd6bH0y`Coh#lylT-M17zKZw8b13$LZ{H{C-wtv#?>jZ*@pw*6G5F# zSJSFE_Eg4GJ5q}OI$fu_ zj(zV$UHntzzWOg;FFyv`L$c);)4+>>e0B|!p;~SEg-69e^Sog(P<+>gL>zoYbgra& zT`yF#qCE#GJI3`1?5k>p!O~UpE`iC4GR**N7o&>gW~u!JSjt{(&e%bSmM2#nIb6t> zDcizY+hvX|yV$5YBxgp`5f`(D@nxKLm4Q}nOO5K^v zNs`;Bk*cx8xAfIiYsFco@Y)X<_`nH9QdJ0N1UG&AhIrG6>8DA^eC(1D6a zaV$@J*0EtmRoS=%E${V=7g1^3=)WjYHj(3qL2KF3Oml35|A9Ky!k4)pFO}O9b{y+JR={G?rpOY)!!N&_Oo# z;Aysw$OM+vx~^931UXuEo-A1k(mD`eM9V3VL(~Wx+wka=m?M$zDz-zXN!X9ASPw2q zTPJxD4|6h@O@z~lNTdsq5hahV*IGv2)0Ft_7Pw|!vwYQ* z$FW3Gq`H1^k(5c--O*5cg+cn{K zm8MgHNbl8;(p|%`r5MhP{cnww)Ns)f7ZcXyWz-X06?#XC5{v7;YF6|Z^#+ZT5+gw4QaEFH{VXW?Sd@E@~s z6jL~S5YMD0)k3Z}|EJ!DhVx;$)Fgtc)pL|=G1NGb`n`4Y0o^0dTs1mJxj@Ixd-NQR zSzVyZ&DP0QqC*z8GTbP&qR$jjq_c~-2y;(#1!S2xJG?uo`UP#`fp(#T7e?*Cf-i5^ zuLR3#;jVIUU_bOze+$jGuz0zyo+HCjGbd4Vw=XT{86#0QCQ(z@2T-(^ne}+?+wQb6 zW?c$@XhKi#>CwlY;$;E;^f4Y(!8Sw@UvK=;+~n~^sq&)(-r4nS3*)Yp@<6c57m+2l zM_g$^iR`Prpok3fN_yF5wFsd1TJQJB4=$4j9S8>Tk2S)1U63?39S3=%zVWY3U75E= ze2xVrJC7{nycE-x%dwo-C=`<(Ksk3Z@pxFu=^#wL5PTaES$phuR5~namu)CJy@Q{5 ze1(3=e(Of_6DQR3$4CiOf^oaR2%BSM?qPwnnzS$on8e*oXaJ%BvoaJ_ilM;0+%P(! zRuR~Ir<3myIL=3ARf(R%j{HL%9-}*J^$*n4Ks{9bIOZ%jj0rIz-_fmSa?1*oPz9#`3jfRcQI8;c^Y!2SJ=P9IWpt6Is7W3B3Qb z`==CMiE(-lYZPQ_Z^X&dM3KN)@Q?2~Sy;?>d}q(}Gwsd4w=p9Mf7i_cyjb>i!(;*t ztxW%l4;cTnbUr>(519TW$LcreJ4}Nl?N4KbOzvvn}PSFbI-zix40j9{UJQS8Ch08E<+dO;mPjtt~ z9r_8SDic3{QFkOw)E#<_ zzuRqv(QU(MQ8Q^aTDZ0n{82Cn1_k?%9gR<+Q^5W4rYOY2d0MAaNbE<%zGW9#RV*$Q zqC1Zq?fH-OZQ)L&9+9ooDE?^k(JVg7Im3SXrLd&#w*gTU!3}@4^XIHyc{11<2TTwB zi-0%QrYw^vCOsjMm@tfsV>e^h{A3 z-OXg>S{H_*o_)DU%uQ{bO!Cw9)durpq$-QB-|#`km;h zzh#l4cj&s!XqH`3_GnhHg-mrzsa}0 zFOA&h-Jh-CxY?_zutuIOJ4AluVYWK>%-E9J`{kbYyCmSTlZ?(x6R1%zJVgr{VkaYf zPrwst0XGCqNjS9v%>_gGXp`;69LnbFOA!n{JADiYHRwrf8_ZH|gJ7)D0=lknoS)@`XW_ zRpz{jo=}jsPU0W;zRY-Hhu54=4&`&GA;z~7Iu}7b!d$QyXx|XE*RPuBQ%X{{1VdV+ z5h8p93_@N<~||>cOIN}SgnG^H3Il|{b>SPEa_!`$4OenCWI}FQ&%3&S0G_D1IUW?p^PYb6`*<)((z@U6|Kn> zhw>5wh22&)jZ3Fo(Yg@=H_zhFYnnx*YNG7$TV`0lCJ?-gw#YI|S)iI6WxzlWz#A6~ zstrymBNUC@qoyP(k$d_BR&o`T?|y0Je;x@iKc4}i)(wN5E5g-Vv&;rVP;C>rC+u)- z|9OGi{>zDp{}{9TQuAFXH66u``;_1AdhouHaCJ?v$uTq=v3?-A;xNs$i*nHh{!3t} zkNxq1nS$1d#NzGPX{b^##gNZ|={^)xTTi#KZ@|07K^hSRL&93EOHKPx)*AUhyRW}X zwSOp3MAk$w*oT^+j#CG-@cT1~qh#ipgjrK^f<~xS7TKg$=tK+-zOibZ1D^~Kh`%YW zvU%U!*N*Z~)sy7IZwy8($u%%tiG8QgU;BQ;866v6C{3o24d1C>C=E{H(aLDLgEF^C z|FcZ9^gwpM^s-VCdQu}6^@6^$9+bv?7;L}j2Icjv7otWY5I-~QeaXAmP&RL}`w-$! z;=!a9jsZ+U{*o4@^QzCKBx|^X=vka$!6t#Ow?g845kcNQib=4n`22t{lAP_D{9~3Y zunmK%vIYmGzsA(#h;MXh_xpDa`6Q%1Ct7^DEq%8Wuj@@D=33Qu@*s8G>3jUDCj=e+ zw_@nO$?$(z*u8$kd}g$jJuL8^D(U|KtjWgHQ6?5An8s*hS7iJ@0JuO$zsSGRP$mc` znl;;aVt#s3r8DNIPg5$L1b)l4JUgkrAV6Kv< z6EtVRe0kTvbxNDFf=a<)s};-ZfX~@f-g#U>`v^YQwcJ&_gg#;n+QnzgNe6KZ^Co|! zDVhDc=cE;yI^EL!i!Ed{JWA(`>PHx=d`T%PwhBK9O)Gj0Ym?O4B=rP|)t~eIKk}X5 z_K`{21j9P;`*ZZxEtGh-nBhTC#`sjz?y$E2PyCU)p{a?%a1Q}8L8olUpT8S+UWNw0 z+9bWQAs-BGcm%&#O1zLA14NSOm<@^EZiqP`Z0kityh8+E84|tS@YKtU6B=^7TDc<8 z+YNin(E-$oq3CNtSLQ$_Syc_SqEEKGhQ9IRHR^IO~|CF?+`5G<>|MO78T1qE`5FUcztke zN}EH(YQMt(hUyc=3xt+%a_M<=T%p2pHWlEm#ntn9!jAA1xK;WO&p$ZV`Xl%!b2s?P zdbH?kU~@>awl}H@y8i2fqtUHxvnw{w&bQ{eL&mi)T)e{%FhypAvlwpm4LS#yp@N+J zp|w``aKXd9zAc+TSQh~kY`~DJ61W^U!hH5N{&*$%CVZn|*`F3hqbVm>nK5#qEZphns!VVRDEtGf!yP zR4+XoJ>2XYOj*=nLeM9+j?G{hZuSjY9H}FWn#_@NCd;3NP`~{X9gm>y$S1-Nd0-i@ zk;x!(&4YEVgrmo6WRGEdOD8HPmPf~Yne_13^hZ1d<>&}i>c@=evG{p{K-#5ca7ML9d6%A(TM21X***Lh2xiB`E&4Xb&QBjb?feMDq%rG5{ zyutLGTzceipMoKyGjYN&9^PoV^w#b+ZDhz`z)o98BEg^t3S>L%kMoU;R!os@3x-Tf ztP4SfZ0G&)g%zjMZrWH_#31IjD2%oeb>xrJ;(R0jw{13UA^ZS?^7!1&$3NhFF<%I9 zjo(>w@AYlBIpF<#!C+AAFWX`N{pJuACEF98GR6MY=RZiwK1ERnoNv7Thu!8-C`!Vh zJpSMd3m@lo&mH8+D7Pmxn6QQ0FGXS4#J8C?aW5W_h=4jPo*X-9G~vrV9OFOe-I@xs z`sMp(QF@)4&Waw@boM!DzpO2Q!- zN?ybbk$FTG0oo2DBc3I7WrkgkJS`IwhYl&}YDLM4m|>z}9}caRIqeI9lORbEGfZ?X z=uc4lx|~?eD9yh}llsE3w>u3i$J|Z%N%?|Y}xMh9IKCF1Tl0iwr=k&8B%cLRHa4rBDCnPd;sAUTMDnTAwvE(~XZjd+9^eq+$$|0X7r z&8h-wmtr${^YQnljO0zJk`%I-GGjMB^sAz8t$Tzgdr{TCZP}xGY@lR8^} zU^qLe$O-~Xsat{e*`i23BO@V|g|M7_J6;C8kBkCx$hnNVhFc5~p9-8?!>uPN8vMR5 zeC_-GyARLYR>{l_a1LsGV3aX+d2()RO5qh*(*~qXF>JtOETBO7|S#2Kshl`jHWus}5u zEa{*QviwV=#JgaE#eenJ`mTxWf_`a4u96E{1%bBDkO3-o@t8&v^MmABr03AkyfZ(Ldie{FLBh0(Z{BwbdP;YJ2y z3E6!0njqa({WMTxO=<8A+P`pbFkz04ut91)+{znxVx0Ye-=$E}OP5XX+3qgzo!Ul! z9S||8e@4n()w^p0-D~pLnb_Z(uvorDCA;fuf|062qM$`>xs_lk+*Ml>_b_>;@EGA^ zsqhRqGA8jb-YjT zGz;DoVUveUbY!svG}2HkA*s}j$$AuyX<|jT ze1_&E*2;N|S*e1(C>g87M_f6Nt`EcTM;il|F;Qtv@~IJ2GK3_-hy|`U%eZmQ|YK4d?H9>L;h;r5WUr$ zE5Q|KMtDKm1%_}aFv3gy?YttN8NMQl|Jr&rH^K`Oyi|k*ZSclD$Sd-Z>?@*82Q589 zJ)o;X^vZ_BDmOIu2p^_(_b3|%&Lh4^%;ggI2qV0PgSqJR_?j5Z&959eoH0uxms|Gm zq{Q7EJ$k%49o#2#q_(JQ#SgT|k+Reqosuk6E?Yq=#{C!h_mM;#{~fMWc9-NIRG0NU z7~_?^p!03hBycCd2StRrS;1RbVcnR|Lw{$4^r18}9bM`NLAKpc|yxd$$cD0*(%_M~6?b_541dhtS z)S+M#9hs+j{>tHX|LyPihMZql4w~oJjghuW1gsJPmIzn|FP_8|Q-XjxX;r5|U9~8kpsCMjd zKwys0xID?((AbmU67G&y$>psJjU`pUd#R68%miB}+DTH*ek3;hW1^J(Xef;HgAou4rLwI&f~aIj5|GOOE&&|E}?`^a+zH{%1e&@ZxXJ z3H3~I&TM3`l3S|r17ndcZxuS#kaM;&85xE3-4BkH&|s=xxlJtymhs~B{qZ1P zNVnZ13r<%cSjMY^$z~j*0x5EkQ&)7$VO*#O2kkWC(TY?cnhCw_(T#v zZnDoKNOZjmJ$>A7+L>50>o=cSzusN2TXzk~?`}xG>=LaZ`R#<{zwqzB`$nzGC0L3%~B1>=ALO2IEdR5N)E)Mlz^X7av&VJ;iQrS-GyX0rQ|?&!3`(%8R#y! z;iJeiIIcLJL4%>JDPJSXP@!J6Nt_xT$t8B-1RMb4x$$+vzVQKE)#13u6!H~f!<%EX zH>N&X4be?dsw@Pt;Ti3Os5CIGoj^~@uHcMz0zIi@IjNlx?p)63x~zyG)P%g|!z&`h zYa|!=T;D%e=pa;Au-eq+M`l8a9Z$qgVE+DrlEMXlDIe4Bp9u|wm6Y&kqy!}v8xQR1Ogb*DX z=9>k_Bh6My?dMrTntaei(n*G{5HM$#791A;RXTGW^t29oN;k>d@1zt3Gg&|Qr@rwI zep1e4Y38@C7DZ|(GBIV{ga~g5V@!pWPD!DL+6;Po3n?#o9$A59vi=mSMTbBQHKDJ( zqCBC}iW6m}SS^|?D;3ou4E>aJ4yd8Kx}p`UMLnuXlFdOy%W`A+9E)lZq_fc%DrL7* zRV@PLlKz)}lan>Ak{UW$tBO}=qE>~Y$IF9B9rz%~Pt8+9w|lBrkeIqVmL;{>Ocq@p z)1!Kjl3DVPr5o>JRPxqD9*gf6BRaD~B(LOsFM`QNk;!s*P!3~tFnNt#{Jp%QF6Ol` zUCp<$l{sQY3PsPc@D$-bJ7012!ye5KgC7xn0c?UCdVLDZesP(mLJC52^%UBl9uWX~{J8!JXbNn!b+p`IkrcG$9_RT@eP z%U5i7Xfff6`@PhX;);^OGDCa<>U~2Iuc2Z>`C;fM_}61IwPD!7qo@qUPjE{l^xze02$Okv>zR3tkvkRF_GExF4`ZG$XLRYwaJ{ zYZUYS z)eoo2i=0!k?D%66%Okp}sx`x7XQN*@KRYV-gY%5n=(OAN#}7-K#l!qo3Psj<`|-8h zypn|USN2;ur{4-*UHq+3mQ{Bwek)@`>*W?VTmP+`Z|%1-k(eB4t%5IAXoX55Ddwq= z2#bj+G#6bbj*3KBRD-2BDsN@ZX28n#o|CEX@qiLjB}Nt#VUgg)L|@S@1%ooN#_b|8 z2lxw#IjE}^1UE^<#p9QWInkaC%T-#ds+}4?4k0NlHxNgKxN4D@gh9PSJby@#LJT#Z zKgCh;bI9MHYLtTFf}cmbhlHs_n(6yfMbogq44IGn^Yg|NE)qQMUk|7$<+Iq%QRcI7m93}Ori@Y7lXGFW%$PS=E#y)|In?dcEGkiiJO zfo#Y}l&=V0xAnW9wk)p}hJ2R0Aw*J*rv`<5^)K>@d}z5L(rZds?=X@;U8`)!r;{7@ z$S8_;(ZOq+V%@>BVShLXWg{y_)*Tiy9$$Ad4=f+LVBLXBXB@)LS@pp(3$)_d+O}{I zad0@7C-s4XWn|rD0^?4`;uc?}P@Dae9}YBNUJZBt5p9k=MxIp&# z`L9!H#cY{h$v%B{%w*+}oT45v#w&S^{7P5z>>|$&@rLIRJ-6uFt4izZ*|1)?AWu-G zb-U&iS6bZLUp>G2&R5T`ya?5FDGakY{NAtkF7h`%|Es^{ugu~(>U##$GkCs5W^WOm z!$m+E07c3s z+mIsUjyk}_;F&QAk<9VV+;f;ym)ZAt@69+sz=RY`rLYqI%&%4n!6Yh4fCSB-FcSkZ z86}RACg(S9_FDb_yuZ(z1T#Db zpoZ*pRIHV{M3zO5%cH$`mhl`;B)vk_Fq9<@8J_oO0VQr%Xexy!b9H!bC@X(m(Yc~* zV57_Ye}b$t^=t`)Wl6+)9q8oXoseAqD|S7*y21JFR}ty@2IqG+IN#v>h4d7}qPUO! z@E`w(Z%S~k8M-AYOk2aUBe20khFWa#!E0W7Fwa9`FEUR6SR%N$>bbB9g5s+W>G1ub z4W#R+qtmLZBq*+W6Rev~O1`jeLB}{X;oPPk_AE04rnW~QU~!Cu^C$>nJD@Z>Adb0S z#ElrHMr~c_bq^-B5Y32FONcNC_C|1r<}$E<&!=)D*w|CuVJkSKe-{U-AwnDTFa)ys zy0LIxoLobMQL@#@KBE$B7Icb~uW z{By57r*K}0sY?uf?Y2G(PIV9STkEr%<5YK*J6odeO-s}bU(OB6owmgms8(8*7Xz*7 zPIn2{`_}qwYkelzJZAvU+s|q1vhfRz+$Z1Bmd$KQ@h>=A62@>&hlVoDEL(15E$7*C zqk0)>(CLg<2;{~y*He1js3ECvmHYjR^f<|MP5aaM&a8dphhhAb?I!uI?u2DM?XN{% zG5Jg{PfQQPD#+nd`q)|ncU@mCR=mFB<(JcknSRXlS#mMTdv_5!VwdMzB9iJDoy__; zCg+Xiuz?smW-Da)JylGr9i~IOY3V#2_Z8$z2X~_h+t%Hp&A`1L1NQ^J^xdEQSxaTE z16;{)IU_J!!KW7MCf`xuy~2!xjXO z=+>brRB$R1w#eAg)0TTv?7Vi!}(r_Kb28VSry%0kGwJVrVg?PNN{uEpDp46~5u zpQh%=&;;QWX1`R9h@7lqY6&%)Bsk)bH7}=)Ot(_fm${+_A~?q3%`VSdK8`kKs4XJV zY8~AC=`bbK93|VH40MzP17A72zdh)`G9Y|S$qLQd>F;)9`uo!!8bGTunEWLQ`o>08>U7Ed6^x?PmPU8)^^|4;uovk!Gh zUhk?dAiIsZh}VQM!NoBuDqLzRxh&7{R!l(d2&0pXKx~%877xSVStl&8TK1zvIaKyj z+E`%pM4I^)_@>U_loH8f^BA313M$1)!f3vziN*?!4`>{hV{~|0&wN%ljLv!7p9n^; zyG5Jre?7MUU;5eK{%gKv38Rr^O#_@s;ccj4Hy9|$+F@SW8)|8+`(Ep~Uxy5v<9_Z%g+2>(B6i&k!_AeoA@^g+D8C$=!vnk-)-I3fhIK((cZ)V`z8-A;AHVLwd)`+X z)>iPPY7>%KhK|-P+nO{XY8*C&pt=dR+KP;oVO8b^W=3{0toQ7h6)M9($Kq{K3MRuE zO%`D$O9&ho1+6_9trSd#H3ebR3z&h(&J(v#Fd5c386YV<`NXok+M0sN`3=Fto}4ih ztTJ~x1(Wj|gupNvFg4B^ZfMVQLP2L(%iN42ASkJ2>qv7;7t$Hlkc8`9&U;caMJ90K z6+I3_@rJqY`4y6&y4{_=VwD+BsP03#na#cy#iMbp0E}K60@)MQVeF^MFzsSi=!?<(Df0MXawzTn7wtH(!8{fECv_%K| zK>XqV{Xc(Kl@jFi+g@ThTYv-A09J=%*3pz;#aNf21^8-NoGe(LgKz;C`agLZ_Ir8U}gc^QrGRw?h`LR+Cnnf%J{WGn6BJ>cLpwnSySl%Jb zE@AW*74bgcF30I_4|u#=xcx;x2#r77m|oZFDmf$0-k=$V*CZD8g9yT!KX$&VO!R`a z-Ov;IkF*0pb4z$rX!jIsG7Zz`b-p5wZmL5XKlbC&q1MII&HT5&$a&kknO>GNC#n7} zwVp3+jHf>X#Ro1hmT%(y0#_>)p@(S=5gz!>4RCYa0PAki<_5SPH^8qv`=`F;`#vuUu+y zn2)p;uR5S915^u+2zu5W!wS{mSZnL@0iCe`pc#C7)gdIy!DjEP0_PV|QNX7RT+5GR z-?w3s{S_d}eclPKMsS$S*<#6toBCUbo?Yd>bKMbcLoxF7=ZGEb;h0DTRpQ!kNmVq6 zeWo_-)E*h+d_*#o$?RJev|Ym90&^V|a?ZX7>R)2t ziyr9?ht(7j^lsx~!TP`4*S+owy2YW_e?hM)4!z{U*g$UmExIHQ{oqso(8vB@0yzyl z7|2i{4~=~B;h*aYk%$eCaZcdEuju|vr)MvybX;ehUbYW5Du&nC#F5ov#! zAdEj1q-hC3KvELq7t93PVb8`YbR*aB66b=nk4^BgwD1lfHw7^En}`(7;Dk1Ubh8m% zkuYN~?I=q&2mk6kg|R16I>H&VE{(`R9MVn1bvLAY@<Un z(j`G6oe8#kZYRhNNWUxuMOP0M&@t`l*rGXLAxNZ)BWhWZluDznn;@H}ra2b{D-vkJ z#fm311eB8?qq-)DFfkLBF=A&_qe)OT_;8S542OeUPJ5wL`gmk@lz6}^IA!yr%u1yZ zQC9yh^pOyJcC$-ON|+sH(q5vvlYM^u?TND^rViDmnBCu>BRZmqANq(IHT5pr>{nv* z3Qh+u&~Jh7XWaC5bU zb+>5K60S!}_|bp-2k!iyWDhI5joHJ2>xN~zzMLM6sw35x zn*<3%CO8~XUL@8l%9+*kngoeLCWrzk?RGH?eVYreMIkc|1z?tat_fEJLPApsS$-lO zR|_nbe{bFHc1P!c9Cn%eQi5oyStA^Z8NnC4r}N%fVRuP2uEGcAyq$0%W+k%{nD*XU zQBTtTW%?An!xzzo9dqY0e8-nKdqqo(2P2mza%u=e$>pBb@PKZ}a&wVo-7VUX<$93i z1HbZ)fBM(UtYWi2HBQ0L{2l}uG@FJ)Xm}kq1!ReWXgdUJ1cGQ%t=32qB(j)bhvo>9 z1KhPRMa95Lf$ulb$|}Z~RnG)%mg|zHgtnp`<|AUSK=BIOFJW=A@m#m~X?6{P>g%@rf>#(9Ryz#a zU*xA0am}rd#G}w@Z1uXoT8TEpr)ELH+R*!Y(EGQ2#{c$7|5LIH^=6dl9dHaB8kO~S zGJx=T!~nblxS@#N9oi=Oj2xnqNAJF=H9Z))t)u3K9=$v04HEuD+r&V$nWpyWjW$*A zF2mhA1$Q33VZ5-9G|{ep^iIWQbo#%7dS@e`1=gKiRe1Axu6_pZDx@zhW{%GpAg z^YBJ9)7gPyR{AWT3My7^2WDw9u(lqn-B`L|rK-grFCPJayt%1}WK-D5?tEc4XJ)o! zr~3UR&Jaya2`0of(b!^=&l{hSk{=XoDHR1Xim0CM;Td6`D++cP#Ycm+x5C=Z+u6@F zNm}>a+){wne>blw1!$=S*jPga?oPK7=trd#q+;5|j^C5JXN9 z(pz~lhp;{tRAZx>Bh*-1o4m99EpNNdsw ziySA}ug%h%bg1m7bX+{ram_2Pvs$!3pwek8VCun>PooFFt>zUEeu8_sJmzSIB`a^a zU0tlfhaKU*ScTUBywjJXe|YT{tfme9*F(#dqyM^Fw4wj{TXYHf|FL)f!k_$z*FsuU zx9^G7%yy~+eMSOxkN(kA25OGFIC%6&5zLjRMZt%x1;kT*Au{w|ofb9hk+pVCNs%~Y z)IDs>$z&(5oLyJ4lK}M$Gll|rVX6yi?=^#IHYM7r3nB4oq&Ap3QvOY9!2D97B$#qw zf1xClbvP1F^-4lvVQUKrXHtW55vZ98g{0Gx(<)>=p(=?eGL!t$w#Sd!Awmj;((#jM zBMW5<%=_b4*tfRJQkRrYNBdlvlEC6&;J#`F?JejG>W>I60(O_Mt?NAk>i}od3DyJ7 zrW2e|FkhYBAHMW&z5N|um~?_;&DYI50=foITz{k~iDooa6$mN9CRp3FIin!9i?bU= zKf#Bc1PMAOh&B@RIHQUXEE{UZCP98QOb}J4p}W%lAU&XZ+k~lUWJJLlU@y=JnkSCv z1^Fd0!Pq9E1-mtque~630uw}%F#AcsBY>h>7A|=~dIU`H$ZwXUHCIZhfck#Z2KqMf9_Lr91~ zqy*|6TV&+3uMNJ7a*;VNN_9lmXX5a(J%rL9WV!{noI-9~QbWQ}u_INXTgXm*P*wV* z^_0p9*Na`xt}ZJmg;RnZq2~3Cf;o$X6Isk+A)XEVM*|3_AV6WcL_tuHcl$$Oxuk{E zL72ka{%|E^F$)M}ZW~#58c80sP<1RSC|H%^#Y@8y;W0mh8(Vve8_?cj-7VT26YKTF zOB@q_<#+z%XZ>^vOX$rQD zXc!?Qjx_-faeaq&nF89#@h(9-Q$o1%3REs3(q)E zwPNQjz-xudwo;Og36lh28QNY^a$lntRWYVlLck)z(l?HY4q&CES3=^Mqq|igDh<<> zN=R3O+Ed1at{5uJ!x=q<8sLG}u%Exl^4ZLUfc5g{)eypnNqL)h;@Ru)PFx<92oLuL z{x>&Se%&qF!2f!{zpG9^_e;wjh^q#FH6)fs<_hN__s2_qTWO6kaw zB)53(6{tv6o!N(2RM>RuA=DXBTgLR`^7BM$hWrk1M($n^wdPV!Msj(;jUnTXct+({ zQi?_et8*)-JW(ULH{@{oEMyyqK5VqHy6hnpQ%Ovw?qxBfk{0j zY8-a>U~W3li>Eu1g^DX}U7c-laH)+#Pky@l+)H=vo}RyxdpT|zb@`?P@fO`bd3O27 z*MqzJu0Qx+++B2lAm0!+Hli^5-l*B4h3`#luz|C`C;b|=P_ucI^&ks1go5yEpdPMN zL_EfV434zmoSM|8xg)?s?64nL-bbwpbZ&*9N7()VmUD4e)U0yPNAv)j{2CqNFomGk zfpArrmq{0dI%&W&7cWny1N9a1)Kn57kz&Y92f}By5)Toey9j(OVs^y7`OexD^Zx_{ zE6sqIOoR}Dg=j;<)OZvGT|bX4>u?$9roD?L?lVshSJui)8l?lL5wmGoq=4f1%;B#& z0<&{R|~ho-Wg_Ak{320Suy0D()l{}?_qDprOF@RqoYj#B;L zdYWHzc2e3T-_BSi!Fo!BUpBnm@RkZ0o3_65#nX$sd!|uf<&_st_umdR}?;Qr_XWbf3&Yt7JSn{W zg%ABtKKNY;Z?$g70uG^y=;;ntJPfw!Hrd2m6NEAz2;#J@l`9s{OZ?idW=%T^@(>DF z;0a^E_f5RD5mAG{SO)|_VOk0jZ=;}S?iB24gA+#6i2PKVAiVNSX5ffulvM~4Z%wdA zE`#U$N0`#quuQruWdUW(zw%88y|@^dh{4OZ=MfuL!162|4nGeoa4 z%gEHWdF-za3pm%I7IB^XIRo2XRQtPCLOgqux__Pf3U4_Cxpn>0>GR(9Lc*Ds?qmJA zx4)2#JB2|NGbo#o5(f1ZNr81=8(8A{&981N6$m`Ipy6O=uevAr7cAl!SAjIGwD^cF!(mDp`mATXxN_ z2&fGt%fEt^rOmwn)oF9F6mhzo#nvN47duX^avx`L8!X#j!1G4Ab6JX_cp#hs&Ue&V z+>*fPY7pcVKHvpIQuJ+2Z!~xK3S6Lj*ghN!xUkhAtjC2buR#dknT1=lLHGJwbV>T( z=Y0Ps{YT%Dpj+*@(i-nuG{K@Zenezg5hodZ-rnm_Qx9mGHrol^WaFo3D*nV>+yQ4x^VM79pl4LA0fh1ay3@&cYkUCppFnqq zkxNH_x5m+}n@u+1Erch(bOdD9IF5~ea!4LEpTWBfa%&u^hkD+JYDDTM&~29zoVQd( zNFdZ?txc;-W7~qBhZHz|$O1yaQUThKwKoN>vi-W*@(ik>e9?vH6ZAIdzC!3my`a3@ zyv%Tv-ewbTJdcr52*3`v(ncxt+6!HWkI)9*F2nNig|1)v;rIWJ-z8<%y)R1a4SeH_#D zB?<07@4J>poGDjIjb#^|l|X@u?v5(@q4HlswvO=eBX!n9_!!}9cfcrm$7ABc$q1`u z$zfbi)-0ma=p^d)N3z)^;cV@}lfuz_HKTD1gw^1+AuO&g37?I$51Drl6RZx8_QL0T zGmqEXURQ1&ue(K?dA$A>U1A>pv7dPF-~aT*1bNh7iPgK59G3FldvLa^KQ^XGiDx@x zbt6v9PN2*p>)2&8%heXQSP%qUqSl{+s9C3b*{7R{XWInfM-zJz20W_&b3yTJ2SE_x zv!@pw);P#QP(0glL}_O2CRc*ea!@zdbxWoqc~CSMmA#gWNUf zGq{?_ln;#t-0c1ak#b*@DlUXTK{(D!wF|-ZL>XwXuW+w<=&~Gg(z$%P&;u{~jMWVJ zd7{Ezrh|CYI>tr)6WWH3W?D$lILww0e{rk%AFa+(0Z>490AA*!jVOJ9_?IM*!OR8f zCd6k#8=fAgG4P>rDvnsmPGdm&x$h;;qPa}tIq(7T`-{#TRRmV-qfZ1q(q6d(OF-~Sm~?=wRs{!M13eDZHh?=!=igfUz1Gh_*}>-()|giy|bOF=M~ zLk*E88nd^>QokHo)-~4Jtkw0Ott+=y*WIEGS=Qg8ORUvj`J#{isn;cIRb2 z!d+AyLKm>$V+eUzVU3DqNUff59B2OE8vJk)9tQKAhF;T%-XEOx-qwg}5)|Fm+`QVj zMM-AW7)pYfKNwc8*lf!rvop?Z?+>1JZfE}BS?{)3t9B)4-P`K-3nvaHH=Yly?+GS+ zEm#SXXbn!^I?3oED%NVcM4D)0k|^?mwMq|ngB19S{MKT)scrZ`UC<8>>Ng(OKO3T} zu{S|WFW|1DfWuAJW+KF*6o^n}EZQvgS7)1YVi#IPXNF|@ontsB_89I*KIx5RmhZDe zePNwVCT*bvY%H@#LX!#}EyoTCqg9yYt|577}&)10w)2lq^QJQO=Yo z1zargFn)aA@@Dbx>!sQqEA(jJw5z8 z^#oy*`6uXYXCEOl&Lg~^oY!zS&$qavcinrtp=w+dU#E8+F{tD%7cv*`t(S;MYs; zfqehm1$8K*9pLWiLk2~Ql*M6JGZ~i&6>Nae$al+%53m-6(Ib(oCLC66sPWc1_kGp; zbVgTLR>$!C{d@QF>ToMuQw=bFf1{w4&n?Nts_${hxDFk4R4ScPraqeE+^$hYRecpM zrCHr{t_b~nm_%yV?QC%sN>5tlU=K(LP|jlOGFy8_J4)lo68CY1hCyfYnGIXD!WC94u)!qqG!oW}4-1t! zED_lX4WB!`eE*gEuSMFQU@=C(&irqtLJ>UQ@$$OtqmNCY zoPd3n7{oe^A~a%*ShNdCgm7WtWMG_Xd1V^W$EG^Cv!qr5hp;c>XIX3t5ht7koobR+ z=wm+cV9?WIr-Kp>dPNu=s)AQ7O`gT3@O|VprzEVn>&br=Yrk01%EhKhyvsT7a6Yj|D$Eg<^rYJuJM*4f{UB;Z;4Z7%6!w1y<$^4yW| zOsCIc_DQvmKh32l@$u)p(F6~6UwnkJBOQPIxo@<=gW(tVoi)YJo7+z$D{_eTJ1A_B6QW_Fc0No5E+9z>0n5EH%*LsGn5f}L8kr0$1pn0F14bHy|dTFJD9y90)rOX0IUUx$9e?f#n@U+a{ z@JJ^DXV~)uInS2`6o|M6XLYtSurA~2DC0zpG+BYS6Sne3gL_#OgJu;)H@(1b^iMxL z4X!@E8{XdFDu7kDXv5pcJ<--g zZ@W;D7B+?2eG@!34QmxC2r?Q0<|IhGHNpPaXv-9gSkTI-J#2!YozbxR)QH4e69nfC z>}5HbdPL%rU)eDdwT`h6c7Qz5lj#cbSAx;>UVa;$1n?ij=6s-108l+ix=zxHPyNRI#?=5m3|s9of*4`AaS2djx4YbU zrMyWQPsnh~5~kGDN(pDB=MxEIK4FR|nOkv+<9ADv+5)XhSvFgs6{PrEp!G@i8~t~F?i>Gs%VAcJz#}+* z4@@#5(9*`zlR!zG|bv5esu5n?T&jor>E)B zGso|ohgcG!>>xp;H{&Aqj^9}LkFI8@>7qFw27wllGp-*R^j(45`O#d&Vj(NtdTFw% zw>B@K=Wi*0IT^{JgkCn6USj!iOw%SiPtTd6v&lr(QO17ZBvF{o6CUCXoUag^H@@{> ztvOQRi?rbV*i7r|F|EJ$mp-HV-j9s_W7VS!y3NRp{5r@13W-W#Q}7>~U_Uh4aYZ)2YEkTL@-3%>9vZWuD9sYVOn2Sq^j8@UqYw-VK8?w>n9m!;H2x zp$2?FW0_*|EQcAZ@Gm zpaoFpITq9jj)l~Q1uLNu3zyV($I?vJ7We^dz|&-+Gz5aCz@?BJO_ay#g0`SiXOpv| zTEdZ5Xbi!O$IVn0SNltq%RSk8N7QI#P6+lV~5QQWZ7MN! zdKrZrMT9;^&zF0+cNkJz0+W_tly~o*?w#t6e6bG9VaVSX5YomsYuAIL0#70(8}!I6 zB;C_(Yy^-5Z)ZubrL^#N?%J`4@0J8{SP-t8a6Pr0-6Rj78J4SrJjC)#p)|EY66F(^ zRI>h$p&hat)88ZTc<>&vJ^&q)$}{r!2uut|sZKb8Ke@b~LRuT9!1Zic)i^cgx_9ej z?^Z^#Kz&u7^BYn#RA{>OsngGxf^SE{9-o?tm10MRWMt$d#E%d z*+&Ev^b7l4i*H=|0TP%zh8FeW+v@6Ke)QguOB*>iDQ$TZM%$K;iCqwcM zm5`E%iod-|+>-!i0*}w^+1!(xd-CpcFC?8~bR=Edg=5>A*tTtRl1yydHYc`i+qP}n zp4j>NdA~p1bys!wTD59b^*Q^#_CDKy*j|8Qh^goC&-v$?M*+V{4CA)@bz$3W+vi-( zmkcfdx&(t!N-%4=#&e!R$>j1*7n4s;*tN(=EQ)8#IU?le{yF!fila0ErMj@`apg}s zbTf7f$0rG!rO=SH0(fdq@I&|lYVn`7mjxzjbbRXJ!00tZ7f{?^c;Vr<k70v_y+MQCiQMV`_H4 zJAdwe9&l4LtRA6&fY8nY1c3OQYJ1v5m#bsWM0G@v00DIxcJb{O=df`2ccuMG{(2KXwiG{|PQ8UN$C6#9X+QyuhN7oFqhrRJdHPmYBNn2VgdRBX$gv<-P!uteDMJES z@(_FoQVp!X7M=Eyqt@7%T{c-sM*T28c?Q<0g_@-*NHFpDHR1v_ggpZYiL&J}J};jM zBbCQH=z`KU?hyaw*#AXvp}cl5df!~cf5G^~6>g4`;xEF`7o-(;4Zk11mmNMU=Jp?p zwG?+55wt8*!GH^E(95jHxlmkJkWzq(5Mpe5K@D}&IBZ~BqXZ#ZUC}>e-;}^F%=D?w zLCU!SN7{G{e!`|y-wCz?{7nJ2Th9{6wVzHu9|^wFjYBS{DHDT{TuP^9hpysk;s*Un zDE#rEg&wxYShaGJke_p(^M5EC**t$weJWc{#3m{Ja7$E>w^)jODXxwB~B1F zgw_%=&th7eCbO_o4=hKj}_eRX~AWlc^|KDUjaE8JeJcUDt7j1R3i{ z8Agndv-M-8b&f;ug*DR?{8xGX5a)+@VVW=@?({#hvmcV>R-Zir@(5`7U%2n1g3MQ@ zg2<7s2*68&C2_=f;519MWqZmlh_Y(JjLPUVE)+$`Z#s3zkaEaX|3Is}`ddiH5F?;l z%vY#N-qDOu<^^EXrr;oIh}CNeBRar9!TnDv4MdFt1}XQo>q+mH`4ols;?J$b-QHTU zj;^R*GN1erd2;O_hmCWOH~-2X_I%!n8*jlfMm9S0xIpn-fX_>#uqMsH{CK6sX-gnr!+Q^)wsRu|buGdd%&3^wlw%;Fr*xq{MBk8}G zfMjPk0kdzrh$BAhym7VIN%_x3*C95smC~q z(6!0D<=Zr7d6-9|6XI7z2_Yo-NE`IxNwZl}Es*(NVnOV2vgQANvG2c!>sTcW`ucC; zrg{rnM0z%78@oCw{v%xNs?|^wgw9LJzTi(t8LrQDHGlnxapcgtGm ztDupcIE)IxCU2L{WkMHjN`4UXQ8mRQB6UW+q4tbOxy|bnT)_zXAT|l%X-J^r{3Nqd zBNa&VVW7D>#4;<5XDCz@{f)1ob@pTq;cI_Cm=sfDKHsi%ZC)xTi5$5|u3t64C?CoX zV}_9aPF!N!6QoYC)Oh_YQ8`?tDQGGC`Q84moFajzPiGbbK?QmDaS8+L#q@6NrzT^3 zkwacZ@g%pBT_|**rIfiaY4kp8Q&o_%?+8!9JDeHn2`)gSaSmnV&Z#oumqp+UABiD1L1qT5FR{B$th@{)Gq?0iK-1B0m52dvrK(^;`yB2 z+zK8i-4dyB4hIBZcwLKNX71*Sx8CPtD(7?NfGbxd@&aDlRn`stQ4>zi%$tq7wyh1Y z%0;r*b>i23>_G~=z4%Tjr*V@T5>yU`gY`oS8~HxP!~20r(jsNN99p4EV)@+cS)+Ap zHjeol90WIkwO0g?WbSV~LrI9xOV+_2vWVgp%tBG8<~z?{(#gP6?L`7bs)%0K{1*%yb~U*=3}H zJjwY)6u0#!IbkEp0G{8PC|wwbEutxqh7H5)@2u*oJ_@r7ZeCam1aSXi_<g3g63J8-4S%6;q*cQDG%r_kjj>s^_rH)R%7JDx(Kso0J6At~AfT z8e1%V{oz%nIob3hO>>Mj)m#fpTPrLZzgdGuAe*yKl1WIHo>1!=Dx=>+HMp76u{;6O zTFsB>YBG9DG2bm6BXk?x>^3yKbGT8v+E{vkONZ5qk7Wl~IOFTaE0Qrrnl9*{z6FkQ z*YPw8Kig3yWLj0=%tstX78seQWnh^mI~GAe4VXd@;X(^{z!(NqCKqE#AAU#WmZFySKMaPoVd90&tr5YDR3^W#}aMoe}gArA$TT= zs?F&K@28woz#-B>x;fcy(~3BDXP*SV(4J2Q@A=+OFJp*bHYEBpgbCOC7{a=y~iGV#?6{h+YiW}5rLOR5yvFUyY&k#5lS4rGElG5K8J=Rb}%rQAtgBA6DlrI z4BYx!D~wow22f)KlM=ZU_FzT|u>EQHWq8m%lO8lKPG* z>8W}{iwcV|Fxl&Vv}7G!lRp5GEQ-+n#};jCW$PCG=-eH@HI}CnlQUq{#rT-P_&Df$ zE%4J5oUi$4goPvRFPKajz?x5SyKjQ3b3C+H-1nO8! z$*Ed!QOSx8nT$jemD?wWU4v(@%eX{;G}nT|{i_0DTouK7X`OOhnkNyiNE=E&Fr~07 zA%g`C0hRA+j2m1U;?WmZ_4K_HbTR6$W)=ByVD6VN*h}2_F-oV25BrO{KldzsLN58G zx$&gSDb}>RfeZw!L6LpR-55LVVH_hlffb(epVUOEnT+MA29ft#u1%efda(y|ZG}|{ z1Q%R&SoEe;BM)~rcG}+dKmWCB{`m6!`L?+A_;K@{LZN{`F_AKpRD(MrGbThr34>D< za-%e*|3g4KNNJcndVd47BZ*yq8*(+E!sSkDc2jM7sW#?BCnzxJh$K;-=(Y>JSr}$6 zg$FZ=mDSaE??a+mraNcEg)>l4PbTD7Yr^_pm9bKwSLDwh&K@zDXvFod88HA{JeHw8 zbP1?B)L?#*D+<_ihGK@4-D)t|%gnK0Kt*S=C^rS0jX#2p)mLHYJ%NVPP99F#A};jU zi!YH1h9^kzgI&NjP++!JVOYrAYw5>HLNw{W5_^dzD9ALJ)kaeSKx+>v3OIoX*2T;P zAPcFm33dpXo~AuHTz|6Od11W~ccP6rrz@G66s|!bcq%*3qr<-C>$p+7D2=^<8}{wJ zZva+;k}bwEz6yhRRD#PpPI;Btd%JGuvzlNF)I+Rj;DdaqHb>giFJspp6wlm$zg{<;uHyHK@dNm3 z0$!j5S;lV=IR}1k3RTu@xdBP}1)Y2$eVI zqE#op5r%8{Zp%U~Nmnm_JLu5xl&lFi~19(%@Jrc~83j?$QQFg@_%^(^P3Wv>iFr zv;^uTcj!|j@>_I7p#BZgDVV1gxM?CQajzPA`1F+q@To=Y#HpdEgS_ZCj*A>IS6u~- z0PcU4YwQ+S4u?10u}35b3Rxwe9m06?hZ2|-(&)z zE!5ZKz4093UsJFo_L?cs6y%!AtPWnLT-reP5`D>a6%g}cNDlwd zA3`B^}AcONhX-d8`r!FDs6 z4DM_+%zT^(@fXJX{ClKy$g2i`K zi*6uUPhRm2v_c#57GO#Bj;wnH+o1--6vz_Rl?;ytK-HA&?_)vll5;M34N05{aJm*I zA{z}hyj+0d3LJibmB>WX6(L7zcSi7gNe|2+zp{^ul}!WLW*jKjE2OtbE}L|&=>fL{ zdwQhxtew&BH<8h;$Eo-wE`6fBWQVQMt>3^TUIW!@rcBBDvNreOF#kgxB5vO^n1};- zWQ4A!;dcSOd)y&i#G#)3N#EmW8rmb0PT)mhamr4{D`r^i>s(+Wv?`#9cJMTfF|RFG zCZO2SIlcZVZj*jEEB`(5#nCwY*r-PDp($Z;FZ0)N3+bTOLZm6$qY5HIWqV#jTcX=! z!z-vmZIzovrW1^NL01-_Q?N~ETOy7rOQdn8?fUJ{=Pd(08{p z+dj2ghh=DwIJ(dI2wqgjg;z2STxL2#<*DkFn@O#AgF{CRR8ICn_j+LX$`MAVCS@~u z`Z+e%3g5Dn4VT-4G6To^yGvJ7k`@_N@)6x%OY{TnmaYPYG|@nUy+Jo!xJSTt(Si+R z^sO`LADN^Bp?e&nQV3%a5{N_?p~+uE2{jcj!zC48GC*VJ`#H2`oIT@$DmcnE%eSQa1G>eTC6{EUNkt(sAk*yB2_PJ{8lMAr-Z`qEVw5*RL@eB((H~c+* z5kFiy)!CNPO|hOU?+rOyU)FcG8_Q-s6tXyxIl#8*C3WY&+(fv_OohxH1kK_<$8HSs zdF8l$^6b3M=vw^%P(JA^|AEzOpSV3|xV{HZR3CC*c%R>qg_B#2wSm(A5We^Jx@$ng zAxq)qN4#o{?y&34f-C=;NX>cGk_@i?zVWM+coH^65vnmB=UO$v{?O8 zqDdH}yfUXF%n~mLnO@g6weI>RJMj{awpR|Tn?*PGL;m<@$3_#s&z6V~E?R^}qoxLy(^}F8ii8uL?F8M;XS1fP?CMJ+T!Sm(%~Lk_r~bAQ zAzzeUf@+-0VDP-YKXy@&bq^!B!C39CGZ;Z-9iKCb(S$b^@*^=pFLi*2Qx*mZHY{u3PzIvgwF^RvQktUw(9ccm1+xAk7%-TW*99hWOdAbOe{!0r&f#^|5U zEgHv-)4{n?Wlt6t?|_Ab@%nDp@aOu8IY!^2886X^+*i_=_^J{Aebtq--Vv4i`Xwoq zSMox8g8H$?PUF5ddRVsy*eUXt1O{d5;*0>|_9O1r6fz)u^%VE2UCI@>>SXegpY$_81MtZOt*be{?kef^TQ|f?~D=WgG6_&#bFH`fIjJ4&6m6UbzUu?9EHjS0#f?edq z`};C&0rit?U4EYG5%;?S+ftdj+&(*-x`H`klECig{42R$AFxs&oAkhkv9IvG--*54 z0rd;DTBN*j^@YE2=yjSaG2azIphC`rx3ff|9j{dTSJ%aZ<7EStarWRHkHYH>Q1cS? ztpmqi48bwMULe<=+X?$QVFK5{N1+Ol=S}4XVer3Sih=8l3kYY*n`^-|a z$ab%VDX*Cuc+$S)S54LEUWj;*2>a7GR&uKkp(mplJyR@MBDdgp*I44z4Rdy6>?CmTpSKR^EiVim2;bOxe zGHeW_`ZXr4W*Vs5QvC-}75@iOfz15uRzI))5S0H4+ldN;Fhf(#l^BD|Iz?ItV!6nc z9OQ@h#?RXHg?QoREJVT4ID;`2kHbNSLY&cQMGRz%VPAw@Daa9;UeXK6bxNKF;XS3U zM(LYob$xWo3FA&b<%DPh3&1n$*{Yb(GLd{rc8ZUH>;sla--RDZm@1~0QflYLBOWi- zM5%+mdwm-kzu=Ax7a_Z5_~Jk@fZ9|v4JOhT9M|q>xH%d9XBteE=hq!Pt*S$DZhuDL zKyP3irzFsoW-m&x4}X^M>tW_}=UO)FbSE1wP0LC)3;t||SN1T;Bk+OmWKAU=hCk?R z(3660+FZ_XdK+>b!)dxv&dPS4Oz=hEClRyft~3EX;~F~C84Ts4;uDK8-PF2WBR@tYu$KjP#OpG#p8MdXP^N7!) zp1*H}9KW|_n?|WFDU;J0atA2{vSPJ5WT5ZVBGqn56?Pc=hVaIfg++qo;Rvv+Cl#jO zm079XAEFV<%;gQMT>c=ex9K=R@lwH5n46#Gg+ zAS+(80|yI<3j?CQub><7MPv{uJ;3{1_zx!w zW$M(QZtxqYSe2MlcsXo{ZIJ52iqrJ+Q!8&dLKVC&adR=Y)CuizXSj@8cw|4Dlyj|( z0h5(qrq~2g#th~FJU9iE*!%a`>YYb~{Gr@DF&?Z6GtEmpUZXZYi_YXDRoaBc0wBwZ zYcW~jFAHDsVmObk#ngUm>a2fm?xn3C-tA5vad>=}Di?&;C~3byUIQMU$1^Du?&Af^D;Gh8|c`k&e~4iWVIz zN_9;OPbuMjl*{Ej&ngsHDW6Ws=iQN2dZ6m1b71x0sM;i}kY9a$?A&l?$yStUl~3@j zel-^vd!$?Uf$QJa554yyzsCnHkjEmmIx@jTby1&{(siiDwRuau%HDU@Ooiimf%Lrx znSMcz)q5~3Obm{~=7;%%1@X}6Z4>sdz-VpOxjc4zDR*^TTTWb^cNI?DUm)qx^q1q} z6y2tm*3PbZf|2P*iAe17W`~fj@{@xL2QS7&EE~wt&W@DOz15=K$bsS~ScSdi z*5m3VM4{8lCU>d$eLR{_rpuCdf|iprEp`z&g#|cNih12?XX4?-sT-$(H>h|<(C-|u zI$X7g>4)BJa7ptiAa$8ZAk@(57$iEhyk)2@*~)}mmbO2YO>;sF1=x;i5H4(doU7>D z);S+f5PQj9HvnmFT3pXBlD>ZaXQaCJHEZ|h9YDSH#y0sU!Obu~d17s70yXfdt$=Ww z(y*M4OaIkhNq9xQB9tsr4!o_SGA>FdVa682zP;3i}n_+09U$Wj4Mw3#G~z=0WZfO?T=|XLE`oIZ9*#2L;}AgXAEv} zp)5kkv|lN}NF!C=n(NCHDe#ftKdK0CYqhmWKl3>42Nf(bU|5OEG1+{3srstq$pz`z z@vs*@jTYt%kE*iGMQCEy&eO0l>iGIji{jNdpT^{%oK~XOwFS>mmHgY6q-qDH27}&DA`Oo>KuDtBT-{a z7`h%Sv%S^W1^dhLE&N78H7M^mB6g?dIzF@vaY=t#`7o1;>(DhTyr0!G`GS6KIZV!5 za{I6g7vYSYqE_3Q*(f3QIWDIm?9q8$#4`esDy4*AVR4O(Q~~GY36Y9C$5WEY`kD8l zrj-7};W4gfI!F2ef1NvGo-~qwHT5K$(gah*U8i9x3Y37NHt#qco6sE8ATtvQM(@N0 z1%jiuH%(?<&dFc+oJDDEnDv2Mh%i?#s<6#3`fwU;DrZS%#tJOJ+E2p`h8&r)dL>Nf z`f0mkJzsJeQR2*@RD}S5ix)lAzI48)UH7HZ?h<7pjc&euZR%v3lq>~9_V)gX|M!_` zsgCIT1L#8oU_8K2DNtKp|fZNb#6v$TWC#7Lg)1Q-RjN++Zmuw55?Y!pf&ye zAZt&n5jaLIoWLJ{askgcH;-}Z6c-16sxeTfS_)S=S9ScPBb{J-1Xz)^$=ZIX>`LNlodL%mwX!@r+#AM!&(C z;BZ%H@;_AdQ{TB;Qc?Ko`S}Qm{WdE<6S@8+^9i?t*h39D*LnB>v9hjMO~4ME^Y)xh z$ZuCAW-P#SWD(I54>qjN@uIF{MChOvojCz*FB-N1=U0n$ti;F~n|*M*&1+{a3lS0> z9qliC#*UR>zPOFtJ%2-@FG`nJDILRf{nHPg&a~uEvi2o59Usjx@{qFhv#Dh}rRuo@ zGJAWBPOvW}|0e;wfp>@Z?+Sf-Ad(_gfv#)Oq96uaFp!MHu7=ot7xSGcHUG*salv(B zo0z=ToY)!fKW2t4;$Z{wSqB6QjP|z`XPf+Tgn(Tzv&@SkN8u>iL~05!U)10a1uIF#QcmI}vb#*e64N)B0vJ^94%U={ zJ?%<7&17=UU8=C>r6l8dDhkdhS(I(a^SwMTaE94W30Oi55P7VhAs}$zICQEu2%EYd6QRKGr_* z%P(~r&M<~?>@k)v3((U`Xm7rkTfe&ydW~aG7V$mrr|N%w!$^3~dmdv*WRPZH*^*39 zXBK^r>QJ8cIX1>G)Ig_Vgy=}ik4XCGr2e6Mt4r)@t>YF9l-TXpCezq$Qnr@k5UGRd zHi`nxZtu~DZD%WBfiP}Ljz%;E+#GjheT}3f0~{m|UNX6ur>!YZM{eR-Q0wKk1F+-a zalaz2ezyu7Af@l(f~4Xp1h=7{dW5Vc!V&Mr>jk8EE_OM+@2gC zT(?itO-~Z*ve_Rnj(-7i214^zgYt4;It88tzT#1YrfaKPA*Q&i-1AV@C3msQlh> zJaq5FY04h@=U)_ZC^GoP1zDtFgu7Kcnm{v)22W5pt?&An5F0D>^YfLD_}NU*!`zlj z#x1>LZQRj+3Wt_U&V6qm%kOCX0m`D6+Sv{5HLgz{L9q5?lHRHKphvm3D!Dlour&Bp z1rp!24hs`(9D%ckFZ2b~76vg7pI>gPtjl~eprLaJnD6gdpS-8&Y1?zFK$U3kZ2_|t z7;;bE%SL9ACXrQKWYKRc_)TlCi9%DcB?G|>G(iv0tKJk0K&UA>~@-=o5^1Cw^fNcZc zup9Z}%YE#PyT29EO_}96HF1J?`b`10ojyXKE?u^2z+%0c-;kRi+!v75%HP*bVY#vA z8}tExQwjw$VsVbUwL3QgR{HF6`*SQRU-hJm0gt$>r_X0TUkSl((Rr&RBkr{ZGsr5j z4Q6&JX0esIXWdO1?S&eQnkTmQ+1K(=7x_?bu_e*pBWzHNcj){3kF;J7birMSoQ;n+ zpEZoVUEtZg@6tfKso#{9CZ@=$>47+B#KtJ&~XEI_SQB z&NS#}(1nWP7@T53oG`gSQ6W@O?go^L)@XDG3Za5LXyOCRGT00vK_wJY7;&M8kXCf} zWH9sQYd2C_!){xYqIs*p(Hh&L+i8FPr((kB9a2g(NLgS8aP`4r{S_i? z2UPjP2gwrtQ&DQl6P?3^K9nLky74VJMxsfLHvZ@{ z5(*py7%9YCQQ-o!i36qFm59BzC*RDpK2$VBF{`U#EVMpSIcdRsc!noz6HqRMV?G`^ z>hAWK{O!2ZH9tqXT+}rH5s$j2S1dK}K;ef*;5E?=mD&<(K@mV8?BrwO!m+M}E(4XC zMIcEurG1#|kF5VRA>n!cO@-oO)BOA*5K$%*k!Ksw?F~s9O zido*PLgpfk7|D9*Qho#sQ{)?;=%$XQY4>B*+e$_SmuGTc_KhMZ(qN6Q`74RT6uw*j z7CHv$?TTZ|%XYQc21`kEW~aY{9mh$lPAKBPPxVH&06#XAcj?o5V`))5KK&bP_MKy* z4hu6;i*I`jxk`V7rzUT3EwWb)ZcM@3j2n4t5*&~Ke0G;&XLbN4q>Pg=KtH;9$7<(( z+2C&bXZ;S~d*8o)+Pe<(-tzmY*Gs-r(*X%>JxS(W(dU_*XR?L?_zuf?B^$DwX3JlO zQA`73hL|fMsI6W_@icz$PWJ4my=tizAC5gjCbwn+N9i6#cI$+%{909%E1zQz znSx{~S33lnnSYmp=1NFcuh~&U2U`>K7i$m%=T$%9FK92rpRO^EdRd~G=L-@xh zZ9U63irad|ah;gyec+wCw-dt$z}Xo*Jn28wdi{LlXtjhS>jeU>6I!0bH!fh*jA_I4 zgJK=S_D^B(w*Wg{Rxm!zYz+9Mu*(LqHiqz&fx;-+n`5e&wbV6>+X-3RW|lb(O<~yX zWvhMVn_Vi8CM&HCTqENfzh_ZM3Oj1K3lexX+lPT`wF#xEDU{YYMSe*msmHM!lkmAO zuMMOWX@84JEttaEwbA67g)C20d=+KF>r(U(W?~vaZd7zkPwrAPa{&j`{UtU zq(7jQQ4T+sI2!(0io|;rtGp9c!iMdIDIwcq)^-)cmL_f=H43`c6p`rQ9(m~m zHjPe%2lPu)axuiY$1G|ck+wxRnh9aSiav{%f!p$#l--2w9{=~?Tk(`-6jOpL_F2VO znXcAVwml=|n(e;msj7t0?fljE_grfJ3ncIP&N0JiIvR17((;3ROWO@C?#pOtazC0Qn z@dVU?$htS7PCqkEAYUtoIF3(qh`ZLh4li)RpIX2eK;|wuhei^jUX(3vH%5E6uY>r2=r>=b(+)PSIkk5Ef zUt30|h-4!G_LD%^yTg7^&r{a;?S3XKkwZ#(A(|vgjwO#Pa)WCQ>w6<6NtVY~CT^{V zY!MLR;8Ee~J~6KoXiij!b)gk$Z2zmumy7Y#iUGo5<}uZ$(Bm!pupP~EP~ig>Z@a9Q z-iR7mm8HMoERkOHD{e^-a1Yr(o^#c(NL zKSCKO`scJ{2=T=he&Jns;PDlRgaC3LxQ@&zqV74luZrEUc<=fe?y+hyB^-iDm&v&c zaCIF#9PyU_^zNN8g`J4uu|Y-ZbFoXK&(E+$q5jU|5Jx?kULuM{Fh&tEX*tB=LY=_C z!m(C9vl|!;U@ylF-GO?aav?aI#?bi*=yBBgUuWDIkedW1B5*y*f75E6Z)~u(COpmv z2TuqN!e`+UxJr?xX7kD&(5glG#=QHKqE<~L<5iS$khFxXi@Ke*^wJrUaLd^`a0pBG zv$*?QSnKUn&<4dp^6DF;^=(NidkSK^F^Qsyx^q!8})dD{|aIZG@P2FT!O8(7(Zc$FB;onX{{dBI7F*EV# zDtbxvCYqcW$!Ok#z|hf``jxu__r^XNOZP_nQIzz@(@Y6!GL*O(aU7naHZuO@qhO)d zs@A}yw-rsph`R`zZ?zYLu6Qz`(}YOcrmppfRU?|`-8Y-r9;#xY^8N2Shsh_p;1?)* zX7~$xsJGVf=!>sv!J>y6-CMisQ{j^IUKe`fi^G)086$$$EEjyUZ#839CXS5K=@az! zLrp0tT66AGvz4`^w>Gox?j2lw>dum`ZprHVo4Ys3s$PMzAl(N*O-HiH3t34u zLjJX;240wGaz3G!OcD(>an{)1LT$s$^(G!60vZyyUa4F=-r8#1?3@({1_O7RAOuoj z8HEUNV;mht#q%U?`f(t6&V5s@6H9RC`Fn_e@Kd3#B>g5dxtVq^5 zAHk6PoO8CMUEomi`vUyzwPKGiG?NXp?VOwhYDX)lzr4i-d|XV`elmAI-)jnV*cB-EY~I(U$(N1_`qaS?)5-W91!_&6fcT&?xf&A6je?+lV~5c| z+69!M{S%(K_lw({C5@lp-*Z;v@#YNPFyJti_6kGhxC^36a5>&oxw50ncnM51dlfL= zgqffdQu#I5!RoHwZ0$-l3B6yM(xslSos*FJPS@vdagcc)J9npM;*DAnHTd9t;$+!! z6gsVdl=+{KwDq5m1P$N&BJ(|k=_eyyD-CcDzftTT;8P7WDTjf&Q#p=0o>b(}0K}Aw z^?S+VkK6t1)yAsO!<@1o; z9p1FzpEq-zvnuB}ezX4I5dO$UQo^%DN(Q&9M5trPd_q<+n_ZYjoB$|yFm}B!0F9tL zA1OIvMKja&c9AQa^)~66`*r}albD^ze&>rM_?cAfRZo`?=>Dz)w}lJ413cuj@nouH zw`T)~qqRARiSi0HK9Zpgm9c2rOUo(2KKbEEdyDuJ{KEV2L^W44ax_N6FJX{PdkYS8 zY3wmL`@h0Y6zMc;n@S2U&}rtz*n=U?kYds+0F6guhcmroA>j~X{$Yzj$9?$2Hgg{jHf3ayub#!>9RWTs7hgHHyilP$v&^oD>lN;_~dx!Xw!d~3 zsEeB32wdDb6$?ME8R;qYxLw;7+1=3>%7nqev^_4l&0L`1!=p*BtZoq@?}2l#URo;H z@b8B?Pi_qwzC_d4{F)@GVa^E!u2jlWSe&PCAC5gi-1Y+FLChhay8zglT!We#Krl)j z$~YR;$I0XQ)y~Vb5nD<2*ol~m-j+n)9Rj^VvY#AwHyCE7qNaUtayV&j*X#46bz96B zz&x1IV5q%h6U|sZ=NQN&`Y;QCl;>>&^&v0guOwEKJzw6y0nGy4bV8Kn@dELsk-ZSY z{yDJN@KKtsprtA_QjCl}|B{#lvv9|2q;v%pR2aEB$cI#jEv;7+7)?9hMcKMv&z!7= zABw2ZEZ=s%U9xqf$I$!F^mS#$k$MyJ2^`ZkX^{wOu^p^K&N9&BBw%l~1<<;eL(Y_q2?S<5o|6MU;rL(~aP zX1q_N^O|Aj{{aT<27s%&K>wQyIlR?=y>IG${~PD2iwi{z$8m^#6}|0A{g#8;yG0BT zVKG^a3_x-m{WuZCD<;hJ4Y#ey1lkANs>6-@khG*1e61sZerNrxx$NgaaOcGzpGl9E z>}y6QiHFfN12>i*r4$b~86bgHNCv43E1%B=8`gvh9J@SS0+MJtQOp#8Hnn(7%gpAY zpfsO3Qm}CMvVWN*#;Y<)2qVu!S9~six(}M{PkchM<|H!EUCGVL z@0LhuH`@e%dMd`v&_i_hO}*qFh-Af)Uhr6a(cB8bt=F*i3tmwK-;Yw8*wi4>Vo-kfN9w|0@K z^qUs_8I*7kiroAie5r)|o%*HjcZyg3~z~rF85$D~E+a{dQSe9$ZPxD72=@>H`p-U#ul`7UfwB zwG`!VfJ_-ZE46Fpa8zkUNTmZydn8rz=0aAwAW|um$zv8cDyBb<3!mQJ)Sutr5a>(0 zwDz;!vncrh$hpVtbz=UBTZmW?4(axk!FzTfIh#;JbtL@G(*=bTB=7vHed(oZW@bZn z|A}Cp=7sM+0%WEdFnLTH$bIaH{izk8c*{{er=(f<@GSA&${g6vUmsj5Z<*8X(Kn5qLC;Xg7*NS7*g?xVMtdr`J2U}8_vR&Cu~y$F%M^ZV z8D*uXV9g9sKt_e8*_P*-NQka5My687W(-;jjzhGyLfXwMc1H{S?;Wybs;e3ReRinPANtwjzLj_A8E-Lyq?ID@&K$K z7=RtF+9n})_Sgfq3Hs*vr2LchuvacFEya4EyjRN7_wNU{e>05bM`ygXz$99&43v&3 zaLP4jyuV9(ddJO2m(bb!?E^%<8Qy5Ed`e|j?)F9>Rq?=227Qr07sTjAz^j(?8ZY9L?w|)+873YBNz0JT zI-|kw$=g#caoC~Qg|een3dGO;2Jx!xf^e;|XKIm_x~k<&+Z48}f8tRiI5tD(opN+- zKR8wMx~1(lDNml$*C>CR~M)BQQ6t0!p5YB8E5XHm3%v47-W z(Vi~{0>5cxye!2uE_7)kwe9B#$RVVjX1TP5|Ul!K4LKy*t6B6R<*PZAnb?wP~TR`^nkMV)E*2+CsMWM%YX^9P>U z%@xxNI0G#PArc@j4XA<$>!KZW*t_=l4)vWk9GYxUc&C>2TDO(=&=_|dDY!69-$C=R zr(Nh-l-){L;nt!dherTgBp~N~#4WrSDbuvDV67aUM>%Rwz1ia9^E0db<#)F6@2;BH zs2{g}r{uSGtn$Cq-TQWe`x!DfpFF_;n7TRb4qWb*Fw!n|T9=6qGtc6JkOyH^f0Bwp zSRq7B&?|3r>rr@$Ze@q77a}10Ey$SW-~ruoPGJTZ#_+&HL(AIViegZKa_Sc_>B_)# z;uG~q1)M26kyER2%!`O+ISeN=Nid{8X?l6k==QGMR{a}BwREuZGy2W};TEclYwOPz zk+giYqL+2p_?G}rl|=*4yy=F5;GJbSPR$^ca{? z$*pE1FxpkaLI$>H9LowYy!s1-3f#5u$ncR_eusS_S`KEx2S2d_Ms8H+Djns|f9TSO zT>kRkqlRZPNKxxCh_9$IaLobxD_8XX6{e>q{tx`GsUoB)GTX+(Npe3lp#a2HJCS)&ug21`h z{}hk;10eRBr~s)~I(3`|O88UZ?ju`5nG0Z>zWS;3vO3p6>Ri?^RQlv=tKs5{8k;LF z_C6Fs^;CsN27OZDq)x~8uvF|O30G%;e9$^|^Z=VF8Kkr^3Pqml_oqJdf{;yhpaxg` z^@mUs;A-|gP^VA%8CUG#Fd@4)%>1e8v@YloV|Pi8K9^uQj;h5Iz}W)dJOSP8erMEv zR1+i<4~}NQ)Go7~<2>$wPQ*c(LY)>AsoD#J(ST~}czFUDpo2lT!Q+YsBr`+-3juEt zuC-n>5jLK%c>S-qfwURtxVAws4SCdJNog%$tm{t>+j3jHe3iaol_-%7+)gv?Vs7D7{KHr$D34`Q5z zn&0KVyLsN#XkkI2F=$i7RKUNqnN#*JoP^YGxkJu*2jHgkiylB2{^lZ^WDcScLdxY;-))0>vkfaQP*@=c!htxsZoe)@

    ^@`0q58C`5u1%FdB#8 z9P9vLrItO;ioo1NE#xV5D}2M(uJIzQlm+7#;WbVxpE<~L?h~}=yvh_P z&4^SbFCd?@-2wva-KzAbqbr=2w;r~yfop#ibTSz-?LUd-V-)}wXWjMQ%=#`ay6OG^ z*1AOk2bDD!4t)a?_8eJkB+cg6+EPk;%%>NLVrdM{oB3?q%9JSOK& z5c-k5BCt?}kPh?z;OIjeOUSbZ&_zpLwU+O%2+W?Gqjm~Tjqjk%DJatFni{+f)c`;g z1B<30UWNJgjTQ3GZ4V$xHs@h!%ISjy6g@*KtT}B>L(=w#y`r;-kUcvE#nOjtVGj<{ z8UL!V8%M5a_TDD2N{`}gTR})SQR=h6i$KG)n|6ir-2e>Bjgk#?)>0AN`V2UDjTBV8rLN;s{gbuiMZv?@qiT@}`+Uz|dhwL*3`?T4e90W-uhU$-sH0&?G$NyjnOHtTuEu zgP{yK`p%PR@a083JX=@+`_3>V@JUq1B_^=(Gu$^u*F8s#FZR5i!=GXl>ndrd7g;0o z%0v)P84PTwZ&}UPa56HMhhd*cuQ)XxB)fM*dg{0}Ued&y`e~U{TE>*;d}b>66{o>H zWs|F{o^%2^+7-!&&e3o1$#Y%}^PLN5guauEVca%vg-(dbb-^*6h|Y6N%I3RU)H(6@ zMrg^l2fIYXL3jv|pGKw(+6STu>Ab57>>=HnsYW?TUN~|`|N_X*smbRa2puN2E=1NN2%<}w9 z6c!X_4LtCcc45x`S#x;z7@7L^7+Eq{J`rG9`vmNtjJ(PDE#rzmwN@&TjaYo`zX`mt zYP39DjGdoJ&|y;|vl2p2bt#1zLZ9Aj8C(&A2V3j?0RPhAT;jbGT7T#~zgf{)I;f<& zjX0{lf~bCR@MN?yoR4TW0_ptk>Qk)U6%sGW#)@ry&pmb~#s31~YvaExQ`8g}w=eM4 zLd)1u*o`*J%j@b*mrkpVHW)cQej^N;QB5?6P8fB$v`RcK>YG;F_Pb2{TDJ9car$?^ zrTWnezpbR}=JS95!RL7m9@Q8B1b%it^xopBG;64$G3XGXV1n=e_NPS_^$(XBY}ka$Bi}re1WhLMe|XyH9-UpE zMPL>HB_xBC8a5i3CXwq~MuJ^% z83~trWs^cbrxES3gj+Of%FIk!eT)M)$1>TM2x|Eh$T(%EUkD=3s?N|9h;@K&2&X>l-!5 zvq)lCNPx{_$eJ3GOx!GR3D2&Em_vFa*3b_+dUoL>M}R)1FRry}!y|s?GrD1No(1_t ze7oyz5%F#F?N+ze+@ed0gudX9{P2%|`64FIb&BwqJez2kVKOQkG~a8Y3xmhx*+fH+ z$+L-u9+Lwl2P{iab(QKshRG;NhTcFya+2gZX&#fi{eXc)um+=9E?8jlT>A%~x;WGR zVHG9|Am$vE9(QH)vi)0tZ>T#up{zr;%pvl4w!+OUJ2US~N5MjxZEA@F&e!E`TwNO= zZ|xZFJZi9Y17x=I$dbTj>O8I*kpGAO<`chd*+`!2$m9Vz*}lg;D64X4$^cpOd!S>3 zJEFue56H)1Pu5Nv$%m0O?E(4fdpylTya(j(hsQ+K0U_8Oa*xDMP<)e*pP1lZy^WAIc(@N@s1M&9)gx z?99C2DsW1NO$dS#lTGkgH!O*R0opL-IFAu$pP z2(0`KDeao>?5w0m9MbN9gM->if>6T)3QM;?O04E<$VA^x{%_H$(<g@Uci z9p2$t-5lOGml1Q_E!rI3>+=LIad>~-XMf3i|9VPhwr~5^cIoc(|LV&~=KuV=ES!v4v}IK)80@E!xoQdeG~O|Fif1zzR#0Jr=|gWf@Cu z7I|A|J)iSoPb-g>C^|V5BW9K;+7zmt)!@HEFtbGGsFE{FbdD)Gvqa~Jl5 zEiX@QiFP%66yvm!il*N4q%TMcRaiy03ZbWlB_!F8E3;#v*lwhDXap4>SC4? zL%hm1Ci)^jG%1F}g0+k{8Q1b-Dg!oKTYfG)#$qj3E)OgEjHhht^qcH=?N+v-vsu^n z7k=V`?E;R@ea4C_^vcfd3cEA&vm%Z7B108^UD%?@PYcI17$uycD`aeLLRn9SE{IYO zo;nJZyBDQBGn3gLR$Bk*zw%3k z=k>Sf58fHI<_yhcW*61)2Ph|uO4kn@&T+jYT~100sem|0%! zfTr4KKrY2Np_bQ;a$dkh%fgH+Ku%ywS&?K>{e`b`7TyBs;Ktopc*V-3*>_1ctyrzj z>Z3#4c^QRR_i`^|Q2sVA<4w;--Rv~iy6Z7kd;Y*b{-Lk@>J$Ui_r+p>Av_Bvq>5O_ z%91K{jtrY%ekD5%%y2zBu8LsIXjp_Wk}M@VjZMX8*awrB5rT8BP zHEH9D0sDx2gHKYU~XI01G92_+k_D%K_`qj02#I7wCdo<%>^YvCkVD^tRdc3*wkpbo(oEX zj*S?*HC8ytLQoQPf?!|QEk;Ct6SQ|MFOMeZ*pP7bYJyHxh1B76+5*mY-ne|)VScDk z*NMsf1=0i^n|JLehrekF{wJmF_9J|*Rp4RP&Vdb@H1G}0m&nLraT_mQp7*y zw@z6RlNXo2q9b|9!fljC<{Fz`|Z-gn(&x!SbuY|e%&qF0;bm!F#Ws#@JD{^O&|W$Q@7su z3|(K!fn``97_kseI}88`G*+(;Ks5qS*c7nd1d(nLe93#behWd#=L;mg0Um|z7;(;g zL`mc@Hs3wykj1ng@G&R^iS=>nBU%v9mwW_szCvC)MoI0k5r;!-Y{zgfyw~57=t8omcV>LU;6>=4~n@3>uqw7J2Uh= zO^hs9Z<1isP~rxpOb2hfo1Iu6C9A#iY8(%BSDv}1TiO}f>}WPTZeZ;159qa&VB(0h zEY(SD_6zj~0q&7=Ug2Eh#t*>1DCp7N* z|L6Xt@#dF;4q?vu@-LEtg)G7)DWM16Y@l{T{s3Ien(RrRIG5EV>&d_U$m9=_cAE~E zNy1rHmY}$wUmliNFMB{1l}}0rnFMg%WsyMu4)_Xe-)}{y+FQ3}JsrO!ZMjvxuhCr( z9YS-sXs=Y*7gx7WIz+LG{AwBw7poNE@!nL5o2yc+yG5HyaXl)<55D0;-uxSvRSE&u zD^)4>^a`j-QKFlNEM!(Cl|pl{46@XH5Z0cCha$S8qE{)puEKWFJ*;hB8ZlQX zj*J!v!A;0=PJ&*g=(Pe@v>BXLuB4n-DawN^R0?QDD^&`(LF6#^Ej$jz>|Uif)~!|o zv4z6uIK9RP-3UGlUZVrFq;gDue~xgN;A%=+2J}MmvtOx7L3AeWKUl6XIt%lvPk37B z%*|j5giHhNB~6($mo&BxW)28h>ufDaZA!&$Q8JPiaIH$kZR!c#rY97w&|edc1;e*@ zL6zI|gzM20zU=S(#GiS63Ns*w8eV_P0njys_R*^#g!VxODPRyb1=oWK_5;}`#b7>! zCPqn+KxBeL)sj3BmNiUpg_k5q9EyTm2!bK~APLe;F~OGIa7C~>)C!kLka~g%f`Sn~ zc1(?`U5iF-X@|DtjRTc6r#>Nm>IyX)~9W*c~kA20j z{grQjU3AE6F|?s#D2;x)J@zDMB9-#K3Q=2SaR{gw1)GX4UkWxrJ=#)X69gsr9>^cy zZH7adf~Y&vob4pY51Wm6M37pnGg!KWrfftBzK0RfmX{13NKb3`A!v|BBr4j7;E(PU zkQrwvMkFelU{`}jLq=>Wn9+XfRbWT3x$_{fPGCVn3kxY?ZLkwW3(If{c;8% zGD$F|uwxX)Jk)_TJ8Z(_nwX#u#SX>Y;h{KMN~e>Q!~~P<&;m=8s^Jj&KRZeH={4P@Bm^CKW_$JwHI9MA5N4|$irHtQdtlRZEudw@>o9-vd{T1dBZ?ULN~(~1WO zbFFxQFw)rv=xt}8pe;`Eh;fR8_z;pVR)cdpt5P@=x?FwO)xP>1u?uEUCFKnQner#= z$t6-ZJABLCg!>&|Pq#ncAg4TH2PlV8+pCW|yijicdWYA>?_b1eutT;#j@N73b-Syx z>ie1q;O7^AYMdVz%nt`2Ol^^z7J;2%SF>;Y9&peia^1*h3prd&Q@f3|D16ja6Ar63 z)Oc&nd1FB7b}hHXl-`WZ_4)hv?oF4{;b2e*9zVZP@ZNLU3&PSD&&i#vu6(M(oNX=1myDQhUj1AXUvFP`qdT~1t1L{4D!U7^Oh=B`Z3&E6I6!lMrNL~qf$yTV<09&uNm z*{lApyy2;*o_ha(^-JIQQ^~)hY1gL7h9qos(;7%m2EDTyLViaoO`twtg6lb3ksKOE zWG@eXXw!()WNpO0KepyE8ui=Y=PU{;$*FjZf@>*_$nTa34m6!aXKC7AHIXF9FN+Bt z=XhoL%`rhJD(87+B@PrN4}0oMxRB@~QqC>5yh-A$)m=68)uf$0c5%M`nRT zf`?Z`n81=LBG9ZdML^b*BEmdSPdmf}mdp#ckZ>a1SJH5jKa%hrs}Ge{8Xo2ZCzJIF zn-^=ni{#3^SnF;P(RV8$x%v{4(|7%?&wN9|ehsvhX{CwFVBduriIffDvkdx*B_^8M z5u8&=Pz)E#?S!`r-~tdtwrH8!A@2Dg7|S-{!5(Eeh$&;4ko`X7c7jep{bFfqu~~v( z*PII>fbJoN@Z$_`_uzcmP89qJ>n#~5A>ouE8 zffAl|*E)Iadvv7x1dadWb8iU9cFA|0`e@N*Cu!7Ur>Zvi4C?_A!+!1dxpZC6p_G zgVlSb$*DTe=~|kr_;6%yNZ}tuuGU8gy=G2xQiytS57CWc^;sfsW(NntOr+ z{gQGE@usB1QOa9$C*Q{E2BY_1*)j{0ra;&Dl*2o@yU%;iB`hGm^E@swjs+0DgS%Q6 zp}53j$XprI`v!kC_mu;S`_2Xo9v_q5w|(M|f6R)a#;pP&Gb%N+(5%m`0zobBtpdT* zGKkI+ywvvAPv{9-S>qL!HHHd=6z&eeajSBa+=6JEYpQ{*X2H=M7E%}*tmW&>zRjV% z-t5~f^VgJ0@nK*1hkmj9sFao|L_6ltHZmRLv?27$M4bt$BS~%x{5*jn7lMar0JZ`L191HuClcriTg?bX6Ht1Uy&x@fI~m7`R13kA7o^!` zf?zme;U!Z?kJ5J43o@9U1i?E%!4;fH4R{Kfv9!#I)Q~iak_((jM-WwMO$91SLiVU$ zWhN>5yK_YFUOe=mMyf(CY?7qo#Lj5gcPRM48R3S9pnKTFF(qx*ZX}p9BtSmw_raNO zlB=Eh7w4|hU4Wee&jFSK(*c*ft6t?s{7ZKpoPrmEOG=i2r9hv+rHMg$^-f@`BW3dL z5nDd%{wOvCZKKpOO#9%4rqdu?%^kcxB+fvGg|-Qi9lOwwbPxFM2nsK`c^&veM-PO1 z!jZKq4+O?UUdM>c%RS<|u4UYbk0V~LQc?;o>^S?r;p0g63F14Vh^ONe@4HF1;Qf+J zSP*mxiPSW3_K;XtPalJ}Oe~<8bfzyQ7v)_l(DmSLTM?G2* z>q~n?hDkZ5zemTu;x`pzj%Hu~DqfleEU%d4=!PYG6;Bhd;%WRUp5m*BrSK}A;;V?I z(W`hm*{g`9loDgFpZ{CDac5VdUEM8+0^H^Ac3^W!f=wC z>W*L5)Bd<%5Ra$R9CnTOur|%E$vmtL-(o-9ao6}n-DbaQG7oFR7cbu-n-Is_x$|$@ zU6V1;G=+y%*3$vE)vob`E={*loa=}>UZfllxblB>}x7EzHiW#^u zPc+$AG@Bw^ML~1(Ey=|J$G$j?J8tQ~mAWCfbl~Ujzys?U-OoptXUN`T>UDhd=yXoG z*U8ZwCGBC(1*6xR>A;`(=Re_7{;fm{qcA?UplZ#cS+<}^$wF*dvY-w~E?Q+lH8pe* zfYFi#)uS3pG`ALnwbb0az2lS@)?}-_16EndZ}NgpcX_&RTXvwN1vRFhb*jtLJvdZE zxm~uP_C4u&X%{WA%7VK_RV-v#l?t8~Zv`6$s9cHS1WO9KYp&qs78E|vI{V)Gp(V9V z(t*S3(|vVRj-5%STBly(M8E|gD(gYm!7}yIn*bmZ-YeA%Y^_4EU#;L>)SH?r9c?S> zM@f0&uOmgy)Ho_}A}Ugu_LhDw)Ha#MvZ!>aIRBcM^Bq1<(4!J5$rs=S-dM zuJlz^)PULrQ;lkdj?j^4?!gKp+8QZ;Y^)er&hLXPrz9(@OO1^cMln3A3lUa0(uIZ; zmqU^ju+c#m1hg2HU(652fcOW~`*8n>;Im_oY729ze>M&PuUtgx!vNQ;?3AEEL;<>> zJuDp{E9o{~0g^jqV~t;U!;9vmb!51D4yjY5ScLL0 zX&o6?#x;oL!LJfhQKVxEG!9Y`Qf{maqJcnTf|LPKHNI3Ftw>24uw04(%h4WDDiue6 zMB_k-cmBp1=U)||#7;%&F}GpM!lHwco}--5*rGG>0u+AFq1X$X+XVz8;(;byfO>7x z`?HMR*~vJhHRn)QoSKBf=0)NvMM|u3Y=Q+Ss*XH=vCGj&CLUm8S1%#bU`GzsPDmGF9mKh|Lmo2|O~sE<6%|%boVqjZ=2RCwe_|`wGC{yj%RsM~ zWG<8Qwp;IXB2u1or;`I3Dq?_E3@g3yRNd)`qG#kzkDvvNW47S{S~WqtK$S0q4}#-? z-18AMBUvQ+&KI7wIC&I^-PDkb%`2kb&$4L9G%=l<=44h=$IneRom( zREm#2p-`oz>pI~?v7DoVp2%_&S>u`O3Z@!QFjbM2w zem)K?kddWfI>(+6bYRe+!}L=vaCT~7MY~$y&Ih81|B)egZebXG6~g(Rxa;sgi6|JbUQOAmzqS)5=6Sz(NpL%kBl)!BQr?s}ARKoteLN7R%^LKNAm zmP?bb0Rv&w^^G#JllpU_jmGpfcwe_4xg zO2Q(870@C4vA6`ZNQ#z7p{fk5LCox1;ExTgk>48+1Hb=QDInt3#|qNi_oUhH%y?t= zb2$>@XP|Vs$T0ysKdL>z6-44MMDcpW_iZXa0TiNA4ntaXP<-E(avx@B;6idxWM}vz%AO=Y5LwXujaWNk3)3CQ~_Bs3dk!i{mr}92nvX+M5qdg zRwI?d5->?9Akb-QCF!>&A5j2+6c9fWnjFLSz;cvBOU%h- zSG1#vE2xAJi6Jg-JtZJ6iTE>kq$XXsEa#FX%=ptv<-4dfc zqEssw{Sl4hmiWQR|5M!|L>Sz|ScbF$_%{k%K(Sw^F{>4na}}yf2tfuzIjKHGT0s@~ z0VnJ!k1>|FRx7AfQ3Z$x7OJ?1c%an^paMa&p-bmWOQMXq3NIFFFnskr{SD%3)K@I{ zqOzoRK6>r06NG2KncXbC;*-8VSZHD=M^SDL(;IV{eJ)0ZxVXKE*M}RdH@^1CafRh=|{=yH$syYMlUou>pvBmY5Op& zh{MqCI_-NhedN5w3#X4heEtz{2nGDOv{KeJg3}UveMV88Ju0#Hs-OK~vlJocz&kK! z*_SUMwG<&oK)GcRAt&~$EwPg#v#S2baus9oYLW=>C zQ3`v5alJ!a45|IPWbD`fxNo)hoxOVK7s3xi5pwcq=2S{GIEr1gT>4teM;cZa=~YJ2 z9X29?T1V}AGWo(l3s$d}YtC7XaazpP&4C#?tgbWWYgEe>eqbPFU2cDvk)yUUuwE_X zTo62m)(VMBll|&{47yc2_&c)VllN#vaTU;WH?UZh+CSNBGPzeiT!$0DH;c{7O&uf`#!1YI4W16n(be~4G3 zs{eMHd)vv8;Cg%Yhtq#G0pJ*f^3a3FKy=EzS%BTEuJnSA+7 zCzsFkqCv}NT9?oCXv-(jmmz-K^vHQ>;F?314`v!+`3&gH0B-_XuNrp+X9XB@ANGIj zBTvHq5l$Zq_Md#G)~L!%0u!iImzY6R#VP>kRR z=u{DDQyFv_P)iHJQTyV1z5e6;4foGj^=)qH*}Fp3*`906^i}C{>%L0A zEc+&Er{FJ%cfnJW9oYJI8EV6Dn|`Rglt#Z44 z(wUMXqvAwKb%@`G>vNnf#ByS+Ab5og%ki;-vMj@S7!}aBXW`PQBTon6zkLaS(H;>A zo2BuHoRF(KT}Pgl7p~D_>J@tQ-DSP8`!x^$`j$ zA4r|LHpYQ;5?=DPIn{cVU~7cKL!rOKK!y?t60QuS9LuB%Ix!}3cor2RJ`NZ~s4J%fDE<3RtSAVCTj94}E}_TYkZQ}o~o3r}2l+%qqyKn|cGs$8lk zESc!bo?Crm?~{X`;1WC(J*e4mOCqTeefiiiK1Na_o6R-_k)tn~S%`AMoKw&*pOW+h z{V^n>*}jIupN(8QBS&9k#(K3Vz{R{v0I&m}o=J^m3Q)l{Iy|Yd?1Ezmo}f=QX%xaf z_#j-2L6}rS%!|3%ccgtlHHX@qp-F>%LU7zV#|Ba=6D_w#(o(Gahl;|A!hobkugyqb zLe>#{J_ElSs;uxR&1m2^J^G5?mA{-6ZJ^P;ovlLTR<)V zT)SF=cO#yXT@`*LXK{i-)qFAUToq@ggL3cVscLVd{9G@Qaa((s26L=@CI9;IwyQmMdzl$H+rrf zJt3#8fJ?b5@FR&|WFHZ*6+nw{%%l?a-{4Up-j`oOBMQF(=Lcja@FAw;?061`6K@|z>8x_|JP(lb& zh{6Caa3UXxBIF0=P-2M(79h-6+=PLo2L^Nrfy*NILi%B+L*7l9<(jb?(KCfpzF&5Xc0hnxQ>2GBjz%dYu|**?~hP zB1=PO&j)Y<69XXD8t3+Ph*vmqI%5l@5Jl+s%1)Vl-EVw98hvlDy`P%`Fbox;paT`N z`vHiGxV;e?tlU|g0e}D&HV1b>gqm~Zg9GZ$Rh{rcq9+)FT$D&ivg&28M@u9KDZm7f z#cA#rIEQg?1yr>uD#8SuLj*HqbKYLR4-&!L4vR#E%FP9{kmEVNkdx(`3b|#s>CtG9 zC>3%`M##PMwC8U3z_4-!HRSC(+Z+9@lON%oEf*4IAlfOC!Cg6Z$ixE^G8$j}%gRs1|FSFoM|(u6_+K*O|NXD~{bf52 z75}dK?r>aUEr(dKgYp$5Ei{NCD_?L9%nV?(;(s9AFcSZ@0dPYr{s+Pht@s}Z542HX_B!pyQ8aKlXB2omSh8>dv5|};g zWOx;VS)5iYN;r=>^k4*I>y?_h*$d7`-(jKavN*i2!1RKF^% zSF~WhE6&ju#xPEizdvvF#)r}vIc2?~238s)cZ>jhAdQhDLZ6JgkK{36&EwxSMlNcS zsU(%g$kP~kqDE40;#(RcPh;d#Z7iyaz#08ohyZU)vi~S}&T$l>BRapm?N`t8N%_v& zSFoVi{y5!A1nq-=9MR&W*V|^!)jS5!BjWq}4{D_Xq2|aVL0yxrf7&JG>!pD@)Yb+y z?$%`E4Ay^u(oV=e;5dUO<%dgK|3M9|dkIKXds-V<{{iNs?0pI!P{rl=9C}U+~_aQ)*T!*e!kb7)X^)euvZX_yoC+9S4dn)wz zC>DC6at^mIb5`>}EbuNU092Bg)44kI>L_yI_DdlTY;pXHoSQ>O78$ilSkziA`HLJ_ zPDi4z8Rn#Of^jNQn2}+Io=XMP`QYD8glg-GMii! zEng)#O?-88`b(-jKTWea|jLgF; z*#TTyjQ2v{f?w_v-CBJLjL87qTGM`Op*Gkex5B3kk2dYjvY@DC4pUo0k zE$$6+gLU~W&j`=Nz-@|xj`CFckMk4uV=aA!v(4PIPfLzb zS^9&vxT%s~ZHRRv`W1AHVIAHEg;9-$F=t>H)hK~{GGED(NV?JbA?$QUgW#>;ZoBXJaIV2Q!MksW5P+GGQP@ zj7LN~qZ|8{qH(FaH|VcaRW(u= zXCWm@)u}!A5arv2K<7H$-3Q6zw~>a_KS!Aq4@Hv(aU3OQTale+PvxW$j8{PV#$VGu zcrz@fUUiL>wPn5H-J4JP#;-^3g9p#BvnEb|2n}r+izwOq_)Hh z4-*+GvJ+M<%TQP=?r(}L+YcFBX&=0_`A$zOHg=MXpx2O88BwpHp-V%rFrLQ_ktL8!VSEhq*irOU%W5}G=2 zU_m)DXmy~-LW(67*y^`6*hpylfyDs@A0pZa)1?qx&=y!nuo?mrk~V)B zA71gmTF^jvehC-z>lv%Sg*n12H=EXi6AeYrqvT&`C}{;a+O{Ujgs@o@CE@oW%Ho_< zK4`+8D4!Gt>^pCJ)&D4lr!f@j(}Iu`4u!GHqR1|oL9C>PecU``6g<(a8}_3;qEts& zGAUy_UUuwvhi8lr$^^59{lE~0HS7n5Fe0b>pb&;N><5N0tYJT}ioP}M2UgLyhCPzn z$)^B43R+E1Sb`$BKF+dKOW6+&HbjqS=3v86ErA557yLd0j>WMF2{=*hR0REo{$-KO z(zwR~&(x%9z{DBATzs7+l`)=F3iLgcgS43a>=&~iU;N9yOT~YhF>X7=jo!lfneL+a zpA-Lb)D0nH-05P9_!iA<&d4qbG*cmbHrh)LaZ$hm2IiQp`Z5~O#OA*>fvd$L4TNYd zxajI$sTds^Uc`3J+;^po&mUj#wwoXYRQLiI)wtFa603s4II(ose@(xR9oJ16T5JI zN?(iMoT%{{8~Us}`s*iqadm6(0?ZOqLCA8h%%NM`b);p z-{`To{ry8h(z{}`TL09&%Of6-Od}_5k&(b6LOy~hBUz#Y%SaY?xl6@dRP38{2RaRO zAWElG)B*`fC=c$0PMDDn0za^jr?fH}2)}sZ(sY98z5BW_a;S`BR4wc^|j~Yla9%RP zd8O=?FMD=C3OKiwn&Ij^_KDX+Qxj@AYA{CdIYh*C^KS@+UAXZdr7T{s_N{v?x5luP#d?YAh!m(G%V5|&`fc$* zg54)87})UdzzXqJFBn)M-s%NtX(ELqP_{gYrnht8Y!AVWoc1Z=o>IIc*UQ1CF zdy*inUQhzF(@lCVLF@+&uLdPpu?(sEXdS_2q*P?w8EU{pD7)2B{}(VS4HL;;@t>XaDG33W

    Dd`4Bbv00BC#egX6+BfbW1+eA26s_%q*=nK z(NZcp4G%?9dh|=PhNqx(i*5@AE}nBFy$!`WWW_~QJ@`>h&$QyEXKBSv4_R@z&Il_G zvkcCj98kU^1y&*k5;>g;38xdk4^E>5urk@;j;fJF;iH~xOq3h3SGm7^VX>S?T zk>7{wQ@IgazJqcwqw*aX5P#n(lXaMBC1{gWzQmUApmHkF@8@zQ_WCRcysnCJBanW< z=|$yKqVgRW5MQ6=L-;;JwESDRWq-)2>+cF1Gai(&bjrtr!ldOM=IOm zfn0~AH?_ty+t06_FnT7VJ(d3B{Di%!EpFGaHDNpJN6w(p`P6-9UXcBX(CFE;3O(Nf;oft)8&g`e4*5P}? zrJ+J0Y1IYURK-2$K&uN^&_Nj5H9|-z-NBfpQs8h zf2}Jk4Pwn=5DSSWyuDR`<6XWSTv>^?6!C%;F?QaG8cben_YJ4eNuNf%(t;B6dX)gu z8bk$fFL65eUrhbKyQ~+Kc=->meJss8ae*FqS*&L(%{!skShC2~P|ryeUUL39_)1tZ zKU4Eg`sfO2-pMS6z2>H!3g=Isu<*oZA{uox4UJ^)($LVJ>Eiz{*WNb$i%^RbUU<}> zR5Yr4M`%Ql1mEgwN*k}V-4EU zFw98Cybi2at2G$Pm=V2m9qJ?uBx7C&qTUqsNQS$#UZjc_oTW}bCO=7ZNv+(zfh84i z`qx{Ph!RPnBuP8GlZ=XBV-9|$6ZZQ%_?zKV`mV8JRaMo$cwiJE5w1-IXs*NR7_K>8 z$PdirT=|cBu}H0pqk-hv@B@p5Dx!Rp+(I=injj-cFUv zHG)U+NUmmrnjSK8s5Zh+B8uY>hlCm&7yVdHG-j+D9X;FWrTA1O*WAMPkY|cj! z1jaQP4?YBIgj0o|2!IlUbH#wjWzX+J$RQacBDhcF^5>X{yu4&6X-%hThW9aKu+rg55pOmXGeeGFy($@Zg#(&kD^9JKKkOr9&z|3B;@*$ zejzCeBp*YQ}ORs<1Z=W>;v*fYNLorK(0y=S= zX0}U(0>t4;WdR|=nZg!sV(=bws`--B6DlQie@cXitY>FnEXl@c2F8-&I86ZfJ}-PL`!r|dWsX-RCNS5gU8#?DY}M6V-vGZf^EiARAsi=PMR z0T;En+rQ?vL{cT-vjYtW~Mc6p8ghsgewBMs1{!Hi+A! zk+|RFc8%Idp*8_6Z}78LswQKC~|-pz%+Q950` zxrR26lG_@-xiC|2xHa4v-7iem0cH&qp-US{S+i^i5{qm21+_eFB$YOjGR>g8^4}Y> zB;DR*VY)YIBdNtxM;*6#!AT1ihmKmFi7688M3;;?ph2#;COy}ls@+Kz&hpQ_@W=%L ziRQAK)p@Pi`jXdA8dj<7v<&%3oY#J!E1HCXh2EMAWZ~#QAk~qzK{{KXnhT@`>OfQk zqCx=}2z4+n6mZaiqy_50aJbh(YRNecvgoLDYH-dB{-Q6WH zFofjL-JR0iDcxPtrF54xNH<8Q@4@H)d%tioGiUZW`;N8Ny<>JcjOBj9$eIR~rL6N7 zORSSH@z>ud1v;D4=7$FjH7v0VM8pVEWqqr+<=?w;qDc|KNsRDr_tY|+@E*3}`J9$C!TXZvSP$!* z_yw*_&VHRw_JNwLe+b!LMsh@Nj^`O!VcG{!!31%G(o9GnWKt53Al2EeKB`%~%ab;y z7vrf^f5A7}?$Q0hU*YfSlVzj6@JNR6=W%GY8<$Z&ZA+EEY*v2b4T$I|ZBP12L>ZE~ zE%Js1A0|5R$4;H2IaaMEJZ!`W57wx29Ybi*p}qPdTm<<+c&6BAN#A3Up5LI_?S}=* z@L!mdTo)?p&-C93q)g~qVp}+dbe`u*$b|pkV6+E3$b|WS5R^4k*}D>!fjZD9QLHL_zQ(X2pLW^6@xOR_xbk>{MTisPb za&v-l9vITNmW(T0g(AyX?f`6Ln9pi4?`AM#UFIot({rQHExl5ONQ#*f+L~le9C0~e zJNgdTzVdgSrKBpm_v&2K?tja90;Xes#fWyO)GfOW`5ZH%;G1HFen^Ct4I&Of@Fb!p z%nck|BBt0-ZGYH@wz+wvYN>Y`q?C1zog!7yV@FdDJee7apVh3pk6HH_2erXwtOqy7 zFPaIK@id6e>r@niGD}V|g3ZhF0)ylRR1^}SWBnvcNd~Z`lB($VPV{jg=;3rj zl8R|&kd(wCk>QI0ZzRqZ`f*Tv>hOeM6aO0-_dv1$*7TS+oROdE{7R@)iD;w}8^*#_ zo`Mk^5-JiA1~sV$lAQ|0S8Rt~4Eind7+j-K(;r=@df(uOL&-!7yt^k~KseXk8d1@n z5JNpskB;_{o@gZ^29^&xH~~waqKUE|ZbJ21>uYzu-)0W0R6_KjQejc8umr~zW)+h^ zI72_Vob5`JLRozB8~!m4cLlSkmFQn0Cw-1thVjh@@*S_Aaygu>26~`Tt4tj$)#;KY z)_>gtvPb-e>&@btG2N2C)yKnbXuqFUkG&-{HG#x~P3_27m|3egy6X$5#yWa%(0^cR zP%@88))BDaEuT&)ZAXq0?VN?9p~Ex8w0pOun|7WvgL%cI4p(GZSZT77UN&cknaDd) z`!u~UHxI2w9(gX+ZQp6a`}OqDKr=78mpRTG3_JD*fH0|YD8+Pp2YJf`fCJE=PG84> z+0VbMr&R~orGCqeK7?cFp*hwb^blcI|2kA;{jH4F>*L4ZVb*^WlpeJgGGdZib3u4! zYt;M7Y)w2aJeHTtj;JPF#L6-p6fO$gh%rnw-JKoDp@}TX9A*D?XC}s zZGT}>dPTx1VG9Aw>?`(y8NtI^5gI(JJ;|f~TbS9kW(2cZef;Jz1CMuWdGik^XaB$q znr>LGLCVa}t3S?P#B_1)fypP%ekq}BvsLuhwW}Eaf{*+qZI1cZ-c*uzdbB5=4C1?c znXh!x#r$PQQt-YMFoeH2f0pHMlwK9Z4IsIj4+sW9N0TVgM%m$T1)Wuby-HZ z+nLP_HS1jAI`fHS5l5XRTb7dYDMpOvibU=3xhQ8!PU9~9Hb@v_+_28qKZ%|vM%{wj zA?K29lYSog)XFSU9<>g9#P-d@QnA(rm50Ja;2`M$sa?&ye*&U26|A#C zW8_b#ZPsEJUV8*C6}1Lc2^Rv>ptne2#QMC^hj{`}l;7j@={|k8OJHEQ`mO$?FPU66 z6u}qRL%lXu`UZ0xho`KW#-b8t!8Av)FyN5oX$0xbAkEo~APL@WqU4aqU_oZ&+Me{E zoZvHYXITjp1C{Y=B$<`F77_De1cdO2b*ho(OJ{^>Y%J6dC?7YfEQE8Eom3U;R~jAC zaSGxt&k>|ZKy>Vp{ex6|b}r?n8`WE{fopS)LS(8pncU{+{HaL=J;%> zm2D5W8^M7oS}h+m+pWF+_Q3Djp~AK{AoTl9Y$RW2=1&S__#t}g7_fH~(}epNr}2Lz ztMO;?(6C%DC59zi*u2qdE$0~Gecvl87%kM}we9X-QCUA4#}yy;)|E3w0<-GQhC?Mt zXF|>=Bh`2~Syfo{LD3XljV`~GnH_tZQ8S1`=;3YzfTNqs{*O9pGi0FMSEd}wRZNLdfQlBH<1|jU< zCOS-yYf7PF|6)MOV%8^siw@yXs~uufogTb*Cb~rZ!*($|^qIcgS@Lr$#t6!WT{51eGT5NnHWh=$` zXd+SU;I){v^*Xj3&5Y0U5_Lb(`S=r^(p5Go1YZ0H+a}bTmISyOPKG!lMaZCW#cttg z<|sLnv)b#$F$6N4NoOr6NjH+L!N*LSRFi z4i1OTQYJFE-E!z^)LZj0pSyfou?PkbE#XvT{yXV-T86kxFT#Mn&-gf0(A~R(E=G#(z?`$yE+7i$-6k z`Z3mxEF-DCvo;uivEm1`!30N*_}Iagf9)9Wn{b@P3O_p|$y6I!V+w645)b0VipF+= z<{Eg+lxXXDDCLGhQ^tHx0mL63oJzq96p=2yrj>aG$dVLgzjV-l;Alggjt{wg-R+V1 zTvk)^jVpGntT0zC!}+TiPouV4MpEm$H!Gv7-^2viW?aaeXn@^>SIlld^T9prHX9{| zk-iyUAf;ox9a!Yne?8B?#jF~5O=QZHaFv@*_0~{P;Y?Np)!FAw?48Gt9m4O@ibnZA zv(;)x%T?K?z5BxB|9Qhhi_1N2rv+L3{(V^@s(((kV{ZcSpo1=4y1Z3OfPIV$*@%5s zZK6~qQ+lnkf#V{oF%J_=oSY){@5eobW!NJ&bOYp%)fyxF$KA4F88C1y30rI?#1=@) zBa~EHDFq30HLynn*{D*pN0V6|z%~!XM!l4))Ao?rot~(9F9s}rjU&+CIt{Bob(u-W z@0yqok3>ufd2ZC%OPLL#ghW(D!Ijd1IGmJu%kGuECl?nnST$iWYfKA($!sj zGwxxLV;A3W4}BG4Z?%+7WU>8LO4(h~Qzr<&)USv8DbcaSzDuQzc3U|n(9RM3fq!GH zR_eV)ciAP}Z7heKCX{6&OqAD!Y&y)d{PDN6U14Sg8Q(uVZ4AB|u~*~XdHA}g#2)7J ztnty7_Y~2*Wkl>VgMN4gp)h+qf3qo`4#L*^ zHk`BSfn#r-pr3NTNU*_O3DUSWCtS@HF)cBN9cJjHl~)J$o1~q*73iv;8*?X9!xqEo z9Ikef?0mpbQjw#6W{~wr-f2qs4M;Za^3q#x?c2`1Q2xBx$4=w+Grr8*UCS5(x)FNk zLrxU!?0l~I+B>8;)303w{N3XeMIQ4P-F^l?yD5_HO#MM$&u6IID;cUCYL)+J=1-dc znf{#Ir^jR@xnd;KMqi#AZsJ~uyZ|jX1gety1JY)~vqe}FgaN)F!XhRrCW*6wApLYG zoh*l$mZeTXeKLn zNRHhiNt6CP@XE@}=jQmgsbRFRNQZE>dLk z)B+_*_1A|gGPMkeL^wyVfUSEdCSDU0(u$z7s#Qx{NQEWxF==LW?`k7@#{7mKTCUXQ zscMvLe4Gj>5w38PB;SskAm{6*9z)UF{*eBH8R#!tJOf|>zm3eID2UxG=I1{ojNxfG z=!B~zvPfUY$c{YiVGGFYnmIuF(_&+x)h+8LZ|E2*mp<;T-JZwfU_0c216twIslmk4 zVIJ&pJX$p7SlXaJ?~&z~N_f$C7$IcX+8@ahXi20oX3-e1OFqG)h|`z%3$tlElcA4R zE#icSk%{qS$H~vLpo1HvS@M?BuI}Sw`kyOcreJ3Z(F}8)Lf^s21RM&FO1;;mt5e;F zIEi4W!+(C?lcW#ERUtGrMm&_t3uvl;wxr$3y5m$i!E&M~ZZe4-7XC)<>#ECF7(l>m zLxnvT&4V?k=zp!$3Zo%DM9#<$EZ`(Dn3T5t7Ke}`Njbogx@bzAd3-zyS^$exYByHK z9gl`sqnR(KwPARM{7qZ`d$eR9J{0NaACYpXkD9nUQWS|0E=6f>nLk}HzDQ;d;(n|1 z5y8haCQSD7!>tNLy|A=*e|mVOB!f-nwElPiU(tc{T(!~QW7%7Pnr0IO9w-Tl3zq6% z?su+LpVFivY7Mh~Pbg}A^1dR(9o1p+-VUJLBj)+re?R{B#F(S5pz`W7qK%GqeE}}{ zvr}JASbuZrG(_D4Cx80m%kg?6qieX3PWoN_`ua;@eKQ)XWw8sR7^~-p(u*)P3w;0_;B!>;fc+O-`MfA#8d5GGHP41!Rx*Q z=tE@8!HlWTDq7)uXw(EJ@!M|8@Z9Df_V@cIx5Q)4Q|!)6ElbCk zdKAuI>=!Lm@QuB$19RpF%sIfzbX@=7B814MRx2JRnpfhEqR;#;c-x}eKCXEVrQm@S zYEQy!(@&HfYA=|*D8NUZs-?`lC$k~}-%gJM-N%Cj0lgkGcyk)~*dLWiksUfEsYQwx zXUDR{P;^np>A<1m)YvpN&bSD*pICM5?8`d-fx)c*4xOU73+sZiBZoaC@UmBd4!K>F zm^OhD#+2~h#{BotoyBlR-6!TRJfxW(*C3QZ&KH62(8gX*cF3lLnxW-!m{U_Se4?8> zA!cUogufCOobIYGpq3F;##Q;lNQ{0X`&rKud(iomnSS$KZ4i$8UK-LnwC@QgQ)FZJ zobds2B1PdgAK#Eo;l!81+m0d+c^RTnAm;RC{a(M@0lX-3{Xxr8sEvEzz=7f}UMj86 zM&W&VG$HgjNH$GuBR*4uRjBb%wIbNBDV{cGwWvyRH|(gjD6Ftw2P@fE5C zt;SfZlH*z!!noLvL9OJ}{Ptan3b7+7xLpi?+8xu^ze_hHxy%byIz5kwPDfGwdZ?vc7UMC+^L`@y#3J% zzDzayVkXNp0+l299sNyLYiH7LrnD_;Eay0fq5>~in5o|J;aFZ& zg^)FD8MC@;UL=m_>5p-s%$9*)N4km0;5kuN#LhasJr9!jXbos&d5W%IjkF5&RKbKP zrsr;>*$6Hn7(2808Is8bQ08}w?~jpLoC~57Y>5Qw5?b2vT$0Vmct!f(VW&W<98s^` z6TD39i57o+J_MDHQo>6{X`|O8GqwJqc;bZ+hpNaeJytqsx37~>!`){l(`EcnHTjCq zZZXx?)&q9(QgOl)Y_NS#BP>>Z5fMFHrx<)+XUP`>n82{pILLkX>lv7hAIVagQN@xE z?f5hC@;FSZhR(9PL9@lbH>|DqmU1z^&ATvVFfd$@l;0nU4*rQgj8QvXq}A4{N~Dd^ zWz*K8`+B0ET*BU5pQ^n9N6FJZ9d@7-0f&QzxPEXOzUzOh{loeXbH8}DyyaRHOL{_2(?~3GHW)jCJ4Xc?4VlOXSz-{wRzo0><)p=NBm9 z6It89QnI3h$3U^ZQbzvACYGca*U9QdRv!}@=!;`-m2UuLAVGPzZDL`Ej#y|{bdl8@*@D{ z*dN=lXM;bz1u+>no}EVMp8VOw6+LwmJ7T}{)RLr+R5RhaDU7!zp+1AF*XesHg=$Nb zdH|;1(w8BX9=_gqHHq^tK|3Fml6wNSkZvCHIUkLz5*m zCtp+0tUrxdW*@`hpJ0s7wkKb)3un?-Q6bjR%vK*_`YnnuB6iaTh;C3*RpyEo3dcVQ zyC@$C@BJydQO2H)kM`8B2?W8-TT+Yo?;N_nb6Q@*JF3PZ$uWK#tIH8&4Ba4(YfhGZ+U~aQpo;bL(rJHZ3uwr3N_`?tZBMU z9jbH&(sD)|ResVA=PbE1rT2F{_V{Q$Aq*6$7C{1w;$BWH+u_+{v@z8avJ)&e1pgK60MrV{Zgk@1ayT#^dXvFCl=L) zOuf3^+uoux5Z+4$ipCkIU5+em14JTU<=pS{$ueUXQ;oIuqZcrp&v7TJO1!*!ahQ{Z z?B(oy*98&6XEg2FRvsm;=!+w{G+=S=S;`**g(Y>14SjDll0BxMp(CiYsu88LgY$7> zQT+afaqf3TjFu&!>z!qo{m3abmRGC6>4b=Es558Cm~7}3^c(v#xN)Jmiz~s?KOgz> zZ#_E(B%QK&TNEPoqMcCUrJ~5YRgrBP+#^g!XITeC!{vrQh_busLf09RTBROR+kfFe7~= zZ$I81V=;!#B9m;*Zb%fKu{w?nu)5*;Zkw#iX^tD$d7i%S&7tG$IN-K;`kp@VEf8z7 zIYf8>4z4W!?lL!k42PGihAK2TdQ4RU^}XHa78XQBklf4yVh{V4PO>!2hQ=uYVkr)| ze~cwi9%-TQ47p!(uGpgK7;A)A%_ZFXX17GC2sPiv8Ea{~jP~MA8i#6iz)$b5+=$br z&(r~Z;-Y9;RXVmcZ9j1smk+5izNN~yVVn_>N>KsacG2Q}4mQt~D}FAH*~!cJ7)rk~ za}%`;TPTV_TV9)o7K4eYHq4j|JvUe@W66w!x>yq%kE6TwiQUKkTft@-@6<6(`q>g# zsx${$e{6hC#0=)p%doKmRpM9@%jbUg?j2}~QhxOAJ!8|_#``jJzpn_?R)VJ&=o9AF zowAjOxw9;MZ`Ft@q%AM!rUHA$v`TT}5&PoCLhHkjl&+877+MR?wu!5W$r6_>c>d}u zZcmS!8eJ-5`hg{noek^U)TqgI+fi^ z_OBA~?-vKk(?6gwA`OYi=d6B)xZ`gjg0!^w0*_5e6$bzgphkexefGcAs z=~eOFWdbJ^-r#9uj&Pm5)BlCf*eaur93b*d!tVD#4YG!00As(jDEGHiNeAN~o8g{J zJ4*jL*=V3;{&*srdU3R3c#oehDP0~_y3U_yojhF1l-+nEndahHHo!+iyy0JXwW}#Z zhdnUzFL4+hHkI2EOnA>fdNUWjv#^S7LB&{^Dz=7VBu8YhSj&TKWcK1>R2$ok z_2g{RQ5|WptDL;K)xxgRGk}W{E{+r|f<)@&N=Cg%r1~Q%BC!{5q!-`l(7J)jq!;aX z*d^0<1m3O-@LaIg>BiUi;X~$cu~b)exhE|U!4vWH1Kqbp%8oywppSy%XC*lu)3F7q z6@~{32F4Xe!wBX`EV(tRe%EX`>r{NhQ1DWJb3r8eWnGPecr1*ajAy#y{3Gpv0cw;u zb~9d5XxaDOr?fwFdMaZb@MeQMf{B+q7{tnxp6_i%3RnBR(=nxU-rq2|fF`6LBQ3sW zd=mdsNS1X9ErfJ~KR3cDTi4HAmQGt8ny^-t=h6`@O5E6iaaeBS&x6*H_{8 zwE6(Ds_({W<*T5y{2Xj~yR6^RvZ|lTwIpn~{^zAPacZEh3sot^=m{=Ervy`vv&A9& zzLY{&Ayx;S{f91acCDw`Mrl8y7M<}PPJ|lOV*6RKa>j27{7zx|(Yvbiw?}Ps$;JEU z*XztUMdsRRNYfT|>t=*go)O`5rC)ydba7}zdUP5JKY4qQdMQb)R9}O!>D7J=x&}jC z+%76#Ex`93I~A^|*V@<=tEbZKoJ@S2`oJYrte#G{h;jYnLH%Qyb_B2(PaL;GKFMmL zP1U|kJ&Z}(b5t*OEp%PKOd+Z4CS*jdaR1gw`04rXHpQ;%=02rNjk=)(c>)dQ_t`DW z=*oDuXX5=QbtjZH!~6R(Q8y%;hi0vaopyVa@wbj6b{b?DNNG9M2F`yht~xjkKa%4BNqAL95sI>ErA=av4_!>x3RG8`xFQ_uQI{u(V=!)PVyk4 z?;J8mHVW?yf7^^XAPKi2(gH4fS-R74%4dIEs%RdIxx&P6W7%V2c!H7ip)=Nr{I_u3 zYJUJ^)P!!7mBzEA_HF7o&KYg+n{G)q{#VTOfS+QcuEqyJAEyab8}4}P0)4;^@6Tm z64Fulw*^E2ii0)vy$DSPt*lfi((NiFTf{Eu2feFdei-llqVP=mO`BeN`<9Zl_@@ZE zu$8>EL2ZP%L9cO|QL}~5OkPmLm+w^2gqSi6j-QS3gE5j65Y}mWNbU)LO_+5}(0{z+ zqf;MR@ap=c@%0HMIH=2i9o`p)$lbihtTSe~JFY84!29N*whIoK{UzXgw1CCbj802+ zW}u(WA09Iph~sShgjaggwwxP!_V^H`gV$SE;l%iFsOjnlT5Rq)G@U1rGtu~PRK3yJ zJb{7WUJ5NZQ#)~0Yh^fizAz2-@4rlaH!V#-#Cq1auqSFSIWgo}QxZ;(NC(mfcvSiK zR@391%cK78=wbp>p%spdU zW)w=c#!D$5@zOys8^vurX7=5oPGHtq-nu|bzK9(K=4}o;x}rFRX5Nt_o$xlBONs^A z``Df@9`duKV?L8_lPRwJFzs_(`C`SZ#y|bL5+3+DoE<2R9 zm(B(PO0i(z_^g@4ZWi&D{2m1Az~OFAc=AMKIwMr*H5hc+n**|B-Z$T{1W4d@LU>Z7 zwzjsJ!?Tr8U$Fn!&mw724aH5t5PbEhQ`#@h&2A4uv!j9esT^m^iR!~BjGX+^=?B}D zF^k)+k3%HsrL7{c;ym^`znj4{EoVM2f=5$l7$;!FV63&Td?+fO^ox~yoGbw z`I}UwsHL09-Q)<7&KZ%y6v?0QeX5_!56{tHWcm+;g+;+z0=ewe&L5Gf5Q|}?sycN) zZf|0SKFn12L8m?QQj$%_BWsY6lm5k<7jY_Z%H3a&Ah*b5L61b&(7$n;c;}FiiG%L* zNyo+Gx~DNm27W3IMlFnI9@wC;jX}CS+2%t41CeQw26kbMA`c5@3o2KCn(Hp5U`=s7pWTh{)4Ev;YcWTc@ zi*rJ+(;+Xl##b>D$Bw_5imtzT;=QGXGB#~fhm=BpHw(BB?8q> zIaDQDj{SF9ne=p|NbBabG><(##x;?w!Vj3C4}XNMy^8#_a73B#{I) z6L9=?EP95G>8gpSXiukh#MIb-5Xs;F5=O>I41n!kkCXoiEVGD)heLelH=TPEDH8B| zI&g`d05RMW?QjB5`@DYo0iDZf($+3B`I56m;d~@+BkO60sL44dhqw}}?VC%u#86UF zOr02Y2X3X}7iNbavRc2!{SF)hTDZp?LW2Aa25!Q=l2^P@%sU4sB~hQeneSJv*ts0h z+wdJC9p7#^y7-bmt2_@=Y;QzQNFi_w-=vPDiz1?NviSCFqA?GlhYD?pw6`4l!-_t< z$dUWg3gNtEAgdk-Beg4XIbY|yft4nG6POfM=*yJ!GSYfQ3Jixlx(@-s`)pyjD^?!5 zM7(jc2V!#N<`==P5Y;)Vb>yUSNUhWf9U@K zJ&&%j)Q)rH@2jb#VEMaE7T_Zm7b$1-L5Q5GOgHX(D!<7G*@#jAJ3kK8Zq61|#=n_S zZPBk>iWA3BgiCKA&O?bG;1AusBMwW2+oK`tr$|HIJ&dfLjC1C<*2C@&q)KTiKgy$w zfW`V|qEaDqz{_5&-FA2Kwsqp&ras29>D62DA0O`w<&U82u<7zbc~=))F|rR3s#lUE zwS-&d5s=J1Ja#3uLMK`Rc17=`z$C-2f6DP3EI1mY9XaUb45G>`avPkG+|=^m?sF>M(txi{HS7z&oC=4Njahf^r@&{}*0 zX?Esoq7<^UPtVH>#i8{tFAO?7jGY97e>%@5_0U?&I_)q_yo(f2U^AYV-YeB(G~YZZ z;+g~sUf{cFT!5vniGNFQRM_`eW@ChZA`H9VHLEkgqDAz>crQKTxE^9_s{diJrTi6E zeYR1KZXOLCEs+ay_Pt6b!s8lO`c+*a0;}t6JN*SSKj{rQwNqm8wC_rHv8a9=f%}y_ z@V2_u@8KOEZq60X9lCziA6EfRZ&j3nQBGqM;HX;>*rE5Netax8G(b2NrbMhNb)XL8 zKFNAhxohc(~fla+|CLo zQcHS==>#iBbki8(90#fn5;KiKYC^<)*!%%FVl#H+RDV|+IG(LE1i9z}>5xVE!bHtG zV!n2gy)9djlMXol3OARRH*qyJVA5^M$I^J{j`no(NY1hod0b%mZij+AZ)i*14?Lbn ztF`sBJG3!+BlNCj7F?(L{%G1Oof^C!tT-(sKQyhsmM3Z}L&kXSN0sG;%s3ZUQY#Xx@F#Z%^EBmK zd^-Po_M}kd>d=>+TEsp4DGsmxY5^PL8p5D~@qJ-OO^l5~JweuQ8HOz9s<)s&%mt2N zOP%6Xk>u987(Xa;HKOKR3u(^#8}TdZ{o0*=YWp#38Qp(`&$jDQ`8{aWgpnm@$g!W; z$Uod^$B3}j^R{mFvgUZK*mz&cmeR;uIJ>Ok#?D95%(iB3hJWs@T0S;kfKV?YCN@U| zt|F=T-4o>+`jT7i)o}FrSLyZx8LsX3Q3}YD2yg8ht9Ulv&VqsR# zVBK@RDpL(2VQWt2>JA~osko2@6<}`<(!F)?ry^Lfmw{Nkb?4C+<@tcI?Ojlcy?ssK z9BCL9b83Z%4|(fem`IPn-%wF_|M<=UZznN81|$B<+s}unNwIW3`^+PS%31#FABI04 z+2JS&%8-+{Vc5DKqq7vYeMW%WckWfUNnVpy#S>W<;WbtzIP{eMv66TlBL@61qisS>dLro2Ps2l# zJ=pOpbIA=7i@D{|ai(BPNUZAO1ShB_xTc4fO-_~>0cbH&mJKfgf-qULusiJRP zc>3EL8hK7e*(KEH)FBc%s`vL>WfJ6sdA%lytC)QaANQ-3-cf_7bV zk!C=le>?K!japfv4tg6#>rdCm*sVk5Tr<8xvT{1yF-<_OmqJz*@*}7EE8=PM{O*?u ziI6DE>X$G!M$e(K5pJhK;<_H|HpH29{jU3q)gVX|_Fh$?yk%AW1!$O~2x%sjNxsiD z#g=vk=QpUABU?>#>7^x^rP7o9C(=yo?U(inj!DvWh1BG<(2L%N8y%NLaN4}j!hxq^ ze}l^=nhwy7;&yu^6VTD=nawwO%dYKX#ze>%jQ)`(=#ssdzlgrlbNm84(ZK$4ij3~9e5{Cu9s@(R-iSH zR}ajuJT8R*M!dl#OklN6;^bB<;@rip&wg#fIR+ZIKv%%EzsjM=L{C{)p_%5jGYcp8 zeU4npMVvyle}4`)z)d-OVgMIHa+=cDv&$>b-vfQVmZxlGscf6MDBr&sZ7(ElqWSNt zbOCPcT=?26-RCKdB*@q> zykI%~zm|XyTd4jH;L;Ss*-0AQ%cqZFU3~>+X3tU2vX-aZ+$>T1K;Y?421Bx*J11QW zSvw|VkB;vgyQbOn@5}W6v*1KS-vqM!;}Nq^f&38$I871=U(>!keMr{dg-*#dAevvD zJw`dPs-Kqpy`;X&Y-uv-L%Cn-a?6HOwZpmP+{{-dAj)kfuK(T;CuOJQtZivTe|UA+ z0{aR5a%I(Wy+pNy($+#RhYzE?B{=3RoNPba_srD=Q>6Q~b?2S-3!G}vhW%?Q4^7uf z3Bm)H5nbn-5D!UC8wT3~`N8&WPr`?!`c1TlGW00QhjR2qPf{JRv`K-JXzV0Jz;(bg z2WXIkG_N$td8-lW#4pvjJ+Ucfes+{{JSD1-D5u3i(FfG!KW zKx+S)#Caz(h{t(nve9WLGlu8dpxAQA<>)l>B2Uyfrq9g~^tgk^yo|E9so+s#_P3$d z40KuLp1@kU`m&VB#;7LXA_WFwjy-(8Zk0{bcl(V7xt*V9{nvv{1K)o3lf}!r;f7=P z0qf0Icf8s^jdOU7;gH|6XXGmK%YTS6?yTckUveF_&@S=cBbj(Mb=`(NI~6)tJ^u2+ ztZbME&h&vc0c}-Z*8kI!{85*-Skz)C;)jAD$e1zmhtlG6viO-g;quhQ@L!@v0 z?pm+Uw(H_c^`_aGTm8a0AAI#S%xZSlD(WSH&&^$q8%o#XpNxRI);UM$85jPG@2%4y zam{}j`wQN&OuGTKih8;Jf?%|i{sd1~PLp6Y&}IVT=g7@AfF!4cubA@7^s>XL&?Lt5 zUhV@3lBLmgkT(Bo_j1j0>S13y8UiCL;RE47A&svQ}XRGRw&n zngQ*tbfwu$jB}@~PqPEr@!Gf6HbX@KvH4n(*-i{bOU;%}01%BD0Fvu3+Ex;TLodw* z7XZ{H&EpGM6VPqk0~97}rF}P|-kC*a+=U13%qvnfndQ4gYkpo1J^d4uE+L8R87j_9$Z2u1$&PHSI3#y1!k8MmT!x| zE|bQ zTLrqFzAz(zj=b&>zz{G1*stH3C--gB*95tCkmeKsAk``m#zbHFI5g1Vu>3OS`9aNw z@GU*yWZEbs(_x+@y>_-2x#*kdyYRy zx!0FC?p~XHoJp-(PS|f1Sof5yq4vFNLrMg04n*iHJv8gCRX2=2I}T_;eli?(J|7Y8 z%Wf@v!MU(BBIV*{HTqv6;wedeP6EHG+ng-HJd2z{ZAon3WSk74XMz!* zz)2xAUlfx`>; zkZ!qtnxs)QGHlqIt&-v6!MtjtvJtrNA*muPo|^1^#t0BQ@4(p(rxy_1sa5o5p}Cu8 zf?lV3t*igbHbG;t>P^?KhUp(D6&zbg#6_>4= z3Fz?x$)^Me#J~AyWg!#)C47{t?Y-Y2c+9K|aKafytBn#6)peI7p30gaPpB&D|4;eO zD}hxF5kj|O|6dsJY{!tNwz26cQ3x!Pt_^s8)@j!3tOSh7wO=<~=jzWyZ>`%=?!FDA zYb)tri&(&dK(~R%Z(a>tyC&7wuXt^|0Tt+I)I|2-b=7$E`h6Jy^urv$Gk|FHYpdS{ zDBdzZ0>W|kQNKRvUBK~x967YlnXJyone?4{Z`jNUrYM{!yCRddiz5YR&n7O<+g*=W zd%Wt0oCJp=XF=j|jw1U1v_eJ0ad2+LaL@m78?juqa#3TGkP913d)uYIp0;(iSB0xS zJ6HoX??~43Zw$^+8V=-6TvJ5&8#gv=`AMQmk;&Pbhr0r~-0K@1=_PkUd*0PgxNdDHlCj>m!ca(&~u6)7#m z`-R)5&}9AgCps<9hDg^mL1jmkMv*gnsC!c2LEUs})%0bts~`|1j~!B^>LQaAt^f2Z zXO~Y_Fk(BSe50g*{=!k{4#lMpY?~{H4 zoP?4$ax?m}-X_~BP&wRlB}gZAv!dgbOXZ2!P4_PNe_ftS780ZuU?;Rt0vWjAn(Q*7C^c?f{X(<7Y}?9WAiinUFR#&_zyLrFj)K<$&5tSkUf{?kcdM)B!|5{Lvk9l;`bO8^*w2nTJs zn|a(iZ~e(ydzI#N2wwr#yPiyWDW$LUzE0lT2bdnnXZjhzbIpl{)7BVymm*G*B~K$T zB#Lq2qRDJ)WAE+qW%~EE8>>$D88U=ut^K!9GaBgVV2$W`rk=>w^BM9xIe?a4E0}{e z3ITV;T7-X!g3X#Ta^b}N^E^@j&cA9T?PfSbV5ZLTj3f~9CvZ^G+NLo_Xy&dFulx!0 znV>5(D2eSZcG52*Tv_Ko##E@ zB-lUCe{wI#wAj&cC}wp+IDVD=YxHdW9L?4b6Z{!<<~s60+R#Mfvkss2F6R@@e<5OW z+LKx5z*hW45m3q|J3#c!cfQ#IYM$k_6M@?QP#Nc2Dej9C8w@|+-lwirUMbRjO^_ww zq)8hqxJl=T=ZL5f-g9q?MpK!rADWcI-d>~Lz6Hny=uOpfW&5yf*=94d+rpGb zP4mBs2Pk+qxvNXwB+U=3EzJY5@mk9iuAX;Wx~_(yrpp)U=tJ0)tV}P4MF?EhNeVVq za?3fW0Md5#KBzg1fU+qxOaYLI9)+FMx3if#6Y@E?Yf{I1d_0j;}yyE`TRT z^x#${Q-5kP`{y>J9>$O!*?1m;%S6vDd4AnDvP9`4&G2fjU|dx-R{!zPJo=;4zLqow zCqP#}Z`t9rwU9t`Jf_+=fUk4w<>);Dn}>u6xXt|UU8k6Hn}i-h-Vwe@JNhDgp!Kg6 zOR4HwcCaSEABd~Bx;Iv)@82pNx_lLG{C9l~{*aBde`%%(UVE>A$2lubOoiBafVud9SDD z7lVlk&lFcF_SfwDUVL+4doyfyGu`Ep%luynV`_TzKWXfm{ru%ES@8QP*s2~_*bwkJWsdf6P^j# zib7g$sMnllQg4@{oIFkS{@S0lLWJ&%qOKx``R(tNp79&U-^G-@>v1x99Z<^Jnn0<_ z2vxkUBK#-Kzz}`ViHg84cEYaX;^79a*Pz!dngWJgjz}-mzz_u>5dM{84#oPA6WcYe zMOjP1r}ee^rNtX7TV-}|6U~f?Cs&cnDbhzXj~w<&=LeAB`IPr28tF6;v%o!*AifVp zw-u&>K3jzzMU7#v;l@&V(N-P8ei9zqaTM}@qbh6O0)WYAP>BK|k_1iMUDg6gAy1$J zazI%F0vmKI^;~H9r~&-h+P+<{UQW=D;MjgG`YYuh=g0I2T=e}c4{i#mm<>T@F=Qx& zd*c`K8vu3m-TbXQ1G7d&R3p)w1!A|;e{fs_uVK%$KLmFzoXiXS#X}=I$bQV~D*3tg zCWHY#@W$(_Fb$G#_NHW2QH7aKSx|F zpP{zqM!&;tIk$Pg+cMcPR$N|TXXHb(Ns~#P=RH9Z>?!k>+q(yb8(#KKmff+xWboxw zo2k1*%~h)d1oRL9pULuCJdYe&xsJP4rSpuPC|zm)Z~W<5-%Ar1;d-*r{KsLh76r?t zxxfP@igb`3sypFyO8&!O9ByXZR+^773^6`GYox5ZieyyXCt+H(*VQmy5*pkLw zQXg}zv~%QDig!z`-R_Y~y>bq7h8Nt{$i3C>+4SF) zdZj&yknAtFT#gPo1hb9d-hDEmL&;F1400!&mJ8NQi*3Fgoi<9SMqz12Vx~kt<4^E|N5}QnkHA^W)mL=l5&zh| zxfn6cL~);#&GLmmX!MT6*wf|a7{AAe>7cnKvZ87)t@LRN{E28fQZta0-}Thf~eHeAl)skbb}yWN+S(R zcT2l;gLE#<(tP(Ve*fUQxG(OVIdjgLc`KC=PJQ*x*S-&#R9EWa@PXw~Po93mUn(-o zquBYf-HDRZksI_ae>I&GK+*T2aQ&TY_qWT%vTUba=`>8m`E;??C)st~x2CugkbW7Z zd+y75!vmaoR+|>XN*Q|okg#mxy2wv3nsScXW557;!vUp_UAmC}4h^Wc6D6+zg_??( z*?&)nF&0{9oa~PwdfHM_(}}3vVK1=$@^-37aQRJlB~*0u=?jUy za|iHK*E9L}g@i-;maCjXNE;PrXpYL@SlR5rCs;D8lWOsfX6W&(>GFw?5Ki*HRIMYl zS(8dN@qaYSua~}4`YGLxrRB4_5wGU4P<{V&urpppDe>&|N4!Dg9fNUHyZ?pqz4~4C zYesF?wuG&M+hw;+4jk}-PJ*}szqirz zOyeW2Opf4uI+@>U`>q!6_SWBgA3Eg8Ipt)ieu!DY_S0r5??THW8MiQ>?PqE4Aa~O3 z%$@@ctPDm1zkGwiMBJk^M7Oh&bD2mgz%j5=Ka1u?r?I~|wi1o30Fle~b+yWR+S8lhb}cnc_J4qk8YQrw|Hrv-u2VcAQNmTWw4dT89A847ozhi$tEdA_nv0f*nCFFqFw5#2eDg3QnvPZg41Rn z1~fD4QQ63LY`(z*gkAckTX3J${L@f$&H@{+vD|dNFH2_CAf91>!~7}tC1pEfRv)OoPet7+Kzgx$R<~;%3zX)jVj<$>lZC#v*2d+ zcy9suI<-iAx_SslPyzG6bU*X7X|k-UpA^%fOyNq+>A{xYZH4r@lvsxYSN_ToFCC+D z?KeavMY~8}X(ON`H;gIWVjXqTm1N-kaTBat=jvmcN%$!uXumJkzA1SoOQz&&C}e>1 zH2yl?ESSp6PdcQ?ynK7W0{Ir8{3cZAINUFbs{Wb&|0c>(ba760PUd-Mj;qQ;Ap2;m z^5KMv+YDk$MM^UIM5UpQlRzwF+Iv2VLGf>`t-Ae$*K!2+u@uoUr@3Ts<~g?CQC*c< zS1Yr?>ILpT#N3xk`Kmu|jk6^{cy8wpv9H&CZBai0uXH(3c~fPf#z%S4rl-69im<~Z zh39J-b_+SRxb^2_g5c*)GW};NxB2$^9TKW3P1=cK+OF&pYzl2IPGb~wb>uOeOET5_Q}| zU%THe>?Ai+%my6lp6}kP)8&YWPB;=F_xUOYrjmGlirHdIkcWEO9RK8Y`3t2*`=0vK z21y?@+$)VYGk(5QUP@Jxy;W~ok7Cwv(q9{KYLrXb4xi~GP;KYMETmIB(mMWw$92w=P6&;Wd@0ApdjvRI&2X$)mQrXIVXn@rH z-r_0R`z#hBOBSikm?%-@%g+Gu3VeZ)%}Sfs9GeMtPU=dq_^V(uId5kaN~^JIcR!E* zzhWni^u+}xgG1%{AODXby_&dt{Uzrq+%@jGt~>0)2dpKH-%+71s5b4W4%3T@3vdYKWAcfvgN3Zs3aks;g^28Mkg=M31gqP`=0}%~oBtA8`oCY9 zwSO;@mXy%_Ri%t`29#W6QcrW8Ykofb?mx`oWEX(-;okW|x&1tJt%K4jNb-OUa&8GR zG%s4P&ky10ML@L;d|I+EuRO6YuueFwsU8Ha6M&4?a>3D>_rFh!XrSoOh6&^!!%Wm> zIc?&}G%pCjdsp2?9Jj{&Dj`)9^ZIpQ+gQotVT>*Hxo(#qm&-Yc{^==LR|v5xH*iR9 zRb7i6jvN2e&VHrd@~Ur_{bVS&xDA;naI{QST09i`5%@^!pNS(|*E&LAQj6^RxkT;# zhr5%9DP*j60c(qN?d-GcJ9FX?6j)YC7M#03u z2}mpGXVuo{mGUZ~iA;6tYT&D)4b0)X*>MHJKmF=wvks7t0w4qk1Q$(-TJm6V&1#qu zED(yXd>K^>RaUIx0$1H_Yr~cVtwebLiV)~{_A$OQ$4iqz#nU^kj@2HOP`>TCN~y73 z7EFc#nh`u`#Zi}e33>}tfww-RFSNZ z-<0w2wLv=S`0lsEUf<7wU#>b>CR3URM522)X7&~@{vG;sx4AZHnE&tWrQ#LTX~Pav zh)-!u6AOqnR%0c)=Los~8>m`7Yih@;!o8eq$Ks%>9c{;gVN)G4NUkf2B@>og&@2I% zvVz0*;pPVG%h``sE>?If@c)r`cO?u_R0;{r5?JjqKgT6W5EX^^HUOJAFt5Q139PU# zg^!aX(m5T*DR5Q#&YV<}dncJ)+g}Y&7|40sE{&M2dDiDG=WTk{kL8%3?DgI!gkHs5L>nLT7snZi0rmGh;*uFJmw#E*=< zFLy*lXL@|YB9vjE7!_4RfaXu@Qq`>UvBR)E!LLRSyhk|A2*EhO@R2}UU?<|_P$9`^ z6W0gaF$J|wl8_t!mfZT8-a*HiQIAC;aAKGD+iR>RoaCkjsY~d$YGbAPhRv=Mka0iz zJaso_biQ}{>5sPS4yX4s?g2{}fKZR(!``}bktb!xQc$OmTk2hg>%(~kInK9tU^X{= z%FbJTU2r}-2W!gu{Ab)NG^C0#CFr&l8+AUdJ$<;LvGb)It1Y;&yqEd3dlDJE>%uje z#2B%-L^Y2LCvmG@%Vp&3Ki}%8bAm;!FbF*(lf@8SKg)UkBfWNJ%T=G{pKcincAYG7 zM0E;#jXbWBf_#1sl-pFh5LxxcvG)HP3B%@ff1J(Z+sX05f?EdU(+$@1DQXCcOU?zR zZ+F-#@cZR=Zr1Rc6*$Ey@W%mGMG~2M?sMQW7HgjkkFD}Sn?|6uHug^Yq0?ZFf*iU| z0E$C7HY!L>7MUl+^!17p>ZkXsuRq8lV8Ft0%0UkWlmZ%LQh%{gmktMcQKB5Oq^Eiu z6yEkN{_IscJ$eixAC6hSdGD~zpDZaAGD^^61Bac)Y@<|{LylGbP}v5QWcbJ(g(+$& z_}==-S30f&O=b+mDECJXH$d=1Tx*^Dz=OgrUHsa^6qN3`5M3zg+QrJGf^9mte?DNB zcImEWntkwYVv8NuZ{t_+6s5A`)(0QH%%6M@G8A+xfxM#ynKTUJgFX}#`#SSF3o_uu-lg~}-R-vvnB-qdh<^X6j!Pj=Y*U-5X-i;!}=8v+3cERwk{N7Q>Fh zanumkWl3QtMl>m4>e65l3#*M1X>j~C`NZG!$|uz)sUnOHsA=_Pz?C3#~eh}vY{2Nck zo^exLBq1k-T?qlbv72XT`QUA}!?yr%ZgA+aQyy&@>C2L*q3mzfd|QG$H^heUM5JL} z$>LmYM2IH3N?gCz2pcNAlJn|Wwwof-_n1Wj4bPZT&gZ|3-h+OrYeRK3*R1K??QKg>GQbc0>K?Z~18tsY)C2mhp2>zKgB?Gl*mo`NAQ zfqDG8g}veVMP^)Sp;D>XFpZfzJ4?;HL0;VxunS5KsaxN_3Z*%$HptFoBPF)p<8!E* z)=Vk-EvB(5HM}q@d+BFLley$gKd!Ckp50_e0N)6L^jwVj!*r>OZ+6I4_I zQGSHGZDy=fE-U9h-SoWv+W5EzW84qa@Tk`|P$-!bYD9lFTg=qQeth+Z`%8l z@JOK3K}p(~`6YRz+6lLLvj5dh%_dnreH`LEt)fhAWm#OEAxSjY=y4mIO#ZE9O3MRh z(yoMst;xkiaQ~)`27L7-x9s;MoVfx%<)`2)q0Sl(N~69C!7ivf1(~f%x#nm<{^>U# zfoBc5-n>rF6v)5_DVR(@m!)OhjO$Hzdo!%0kVnP`m3vE?mId4>vl&vJ_*c3fy@%gt z928gmy%%4lK6k)OUD(j6hcKacMs4)ZKPlx}VjuDcs|Ck-)BygZ}ZPS_eOxBZ`Hz?&g3GyTAJAPy^ zsn@v}QoXUXIC?Z|5IlOdj)g}Ne%0Z7lhu!rQU!ZfN@u5cTGaK+*2@PxhAELE`)a=% z%-x;^eicIgEy&_g%9X&<78rbq_03|7!lq-7uOafejg%h6Xlx)>x4757i{@L3{QX)7 zkYgacI}|@mY)vlf1?jLvHG_mSJG8U^w z^CNEip6qj2+3|wtkHxB=rKy@78@DXiYL2h7pR;Ng=K`m2oK}6XxuQb;rk=yj)r1LT z5jDWW3D&j-%4D`h#jE8M3lvH4uV5tQLE-<~xV&xWzy-{3)pmE3m-D#K5WYh!MF_|$ zZ1U0Oaf4wWLqZMF$yR`X`B6M;E`CI1MxJAA)cMFaYGO9T8CqJrWR#lO5S{}(wjVs5 zvXhaf8Xcw3g$d)kjUEyB=_}rCDE32dq(gsx(wypTx{LS4|CNw83Yl zVM*Dkeysd<+OGbsr-JbZRtWXYMefk8>`(|{-&J%s39fRr(YL6`q=WL8iFu8yC{`9@ zY>H}$24>&IUBnARWZ!w+3B^T{BzMFZnhAkVt2od6m3gomFJb0YC@>I_dHaS;a!7~;12QLj-Bm@I+ivn)e_>&OD!CnrYhxw1B;YJ;|E|~G z{h5_jpXqz{^J*G`Kz7JT?kCKj|HtRcc9%hnv7RY~4`*f~mgFGswB0Uv6FrNW6=o&44z0dlnWiy)_|z6nMQOq2wzw@L)hkP~y2)#1 zQO%X@HTk-*?cp3y%QdV{4C0#QdgFGg&3oG>%-aqM{)%Is=yJD!g)=tVPZY>NRDY7e zcCOxfAU*HkZ@6QMa*P%795JrRPoe9XS{8@%86L+5lxfQP#f)-ugkNWib$>n?0WlUU zInL8c73r3KzPHTFjIkyOQP0dcQY9>g_?XA+=0fB446Zm2S(4<5!1;VurrqHu^gE^< zp5ON5)`FCOT;)*uX7nw|4(9UIpAO^uN)|>In(JgveVm1GmoDyzOx5`#n=`8q80FnV zLjF1FdY#;!K9ybEsjoN|<19|Bdybxs;JumTRLv*h8Sh(+%lNG-oIPs#|BN zyk3#r^gA1|G-pd|{{|~-%BAw?`UlOo=OWSaV`rnDobTQy{wY~%Us0KgND}7~?4AnE zAP`+b@+>jHmV`Tx{8gUbm^J7K-6?^Qx=Kv#b=YH>n`Ppynm8noG&0-ZQ>#++^qnS9 zb@_$WaZ%|$5DCOO%caI}l@P-Utyt0ZdzbSdpHO<@u&K2X>FA5I@i*w_=QzxS4|o+m_2i|zLgbne@dQLJ#STr*T~exu}Pfr3tWsLzId^fxRBN3NG{i_ENi<+Y)R!t)b-Z@ zKR9{FdM!^iJ&GXN`Zg0i(~uSIOApz5sI0}&6IW@&HJ@beS{Zb~OJ|;PFn;}Ft3Pa3 z4V6fG|4;RxYcX%lfDLt&Zh_zf<_As51#RiEMiH&b>to(ImPYz@R^Og!kS&v>t~35% zzH5X374Wm7DD$sVF@h{oCzTInn0@D-1_u&V_jQ@J7R4%uP%H_vri=xqvc%QjSz=|{ z*pM52Ea5b^&*HfkWOZq^5@i(b!`BzHrQp*slG!lj(dbK+heT7IsEF0BDpn;D{nO$M z;ss<^Tx}34nE4$<=k&<%T1WaA|McH7+j&#(?|Y;nu$a^&rnQu`iCeb3 znzXux$+&L%(1n-ijR?en`u--ZGFMJTIN~7YFs%c@YD@DRHj8O>erGkMdA%+ac&1?4 z@|YO{tg-yiwSFn};f(RDSr{O+OndpOP2JyI&gR!C-L2`K==O5dq4vh)Z?YRYNS$xN7D}iudw4k~wc* z^k#38w8KyDmu%aZwj)7)pgfM;JbGpqwiCmE1fH+WBRK z#lN9vK(p|A<`gHG##6-U=TBZ}`7z41+f}WwxUx3KyG+*5DJnKE!l(-?Zm5-JLKAVY zY*9q{R>^>fxy3`v$SLU(vfDM=O1%3U_Gyx{VoO<5u;?eVB1Sj&&?_~jo{!lhH>08| zKSfl+U{C0&Y7a>5;So3Fz&A;w)x2QNW9!|F)^D!{`~L&t1l0871JQ+r`6cE*YXQ)| z5G&Ek_<6>`-<$aC>EkYZUdlB&OSrOUf{TmkDZ^S4csBoMI9nVN$vsd?&n++@@eODL zuv819?M3`1KDOhjjQ^qa+a{HIyg(Hw>8Fw-PtY>RpwISN6%RY$nE5HCY0*J6TBf8!&ypsgj6w1&p7k6oZToJA}N0jXJ)R;AM_Q&q!82 z0XyQ@Y<15(zFt6<{y){`I4h6nu6bI&fNkpIq~0(ie49{=uUBWpOWg*xFmIVFJnz1v&2B+ z-PW88ew>zQ&yU3Ga3Im^LWvuF7&UteQwM~RHXnIhU{e$%rFf$EfVD>qUXiCMvGZQ` ziN}ITeb8KAj59kvEo6=BtWMAXD|Qx z^BR7q4-;g8S|oZ)c_c1T?DoQ239}ReM`^I5e_9b#Ns^MsP zQO~w9iH9TzS^}sXaaPMCx+h1%TB(-me7e(+dsSNQ``D9@`fk6+XLkGbp8%rO%#Agd zjZY|#ND*Uooc7zI7Iac3=9xgHlp8EU@5HgYxFr&<5O<7X>y&_Ixd!zEMru<8|5Bk0 z`>cfhi9aQ^>d^wI`QTqou<{CyYKYKGnFR={9DTQOQburzUdu03gahjnCWuV7hz5h^A1}yjdSO zpmanV+9i@_`c@;u%J>VlL3dbwrAHB|9~heTSSv%vw3DmaP}c8T$)4KqMXZ1MMf z>zHV3*3|IRGY*E$%KhgXg%&RGy|p(f}s)pCp_X z&=qU-O$WAs<(T**csw_yX{$?DcZzG8d*z?J={s@eTJRh1dASr-sSK8cOK_=8Jftl&Hkwd*VAG3z-vpM*uZBD;|CXse(*%BoTeiJpbZoGb~J`t(~Ip~G8jA5i z5xFlH1ojY35l)5=MooOVS*`xAjWrd=N^PENZZ64wLCIm+8ghg<&-U+VzI8ny<74=( zCWZ|>AEEr#4H9*SgJ1#tmKLCU0u8O!Mcs3pGw6q6QouUV{|63Gw%+&pUV1t4=Wt$? zBZ~yQB8RiDE~Jn;3^5X-tdjLqt)Alc8Z-v(BB@QRkotBI=d4xwwZxT}9A`iQx(9v3 zDub!w?5ly>3o!(e2rX>ZMD`65;F|=9L3HrXDY$jDOJy`&d=v(3dSIoC(|p$lz!tPM(xB z=u0z;XcEYZX*JN|WpJzQzg>YhA-|I zQoY1cUA;N@M?%Rhgt-QuI}gxA9PVO~^Jauc(j?b%*5P;NKf~o_p5;?+V_u?Qn%MOi zXc<&P8-5OEEj#ml??kWD7-e6%Px?W9ePOW|{M2$o;-=Ct@WmnE3o&wRGir2akvz`I zJ|$T@go+vPYEguieMhZ>au_YZu?JVyE(rX+wyi&PtdD?mNsK5)l5m-*#5mtd*K7=U zB((O1-2OEVl_#O-ZiFiLN=%eN0uigKUo*~XET+N-6vXh9P~8dQ0jkR(19O_L32od} zab6n{g0h_Mm3=wsdGUcc4+jLguuWFOzE@qxK3o{_A8i6z3RFmmr{H=_lsdlh9RJIZ zv>sQWOpnvSy`!sG*#U-fT(%27sF?!zpd^&gyM~0(#_5<3vj$vRTWL!30kG;_%g&RWnn+e%y3cUL;EMNqMt;d;_;+;02;>BY4#7CFzEPvs*DR#$#F@_^IuQJb z68X)tU@-d`NbYot(uI+7n6OAVzkv7|VVCp zS83ucvLTv4r1VUEbc4h}Ezq@ZAyR4-6%<_?asp3N6WVY_<}rSJ_sl^lYyVhkTF625 zqXQ?1dX|(;X{D?Mxe-DsG3=3QWMZ70vn_XT&;+&`@iRiR?5$}dlq9pSdhxB(qz)zG ze`Sa{ues`pvC$YiGfk3-%tQ&8<-qrn)_Ca(*{NW&=5X7zWH`&;O2m@_ss_nQb&(7@ zSK-fU%zz5fTNS~Z1aIXqRuH~A>r^()joC$xV|Tzf&>{7t4|}(%?%4}{I1rL?lngucq@`1 zO-UsLR!>|Y%)V5F$j5fJ%`VBk`=i53TTgu3l(tf>gqRs@8a^(%GZ5hRg|zl zsVYBam=y1kMq^V1b&Rw(zdpr$tE4fJ|D%F2f9w*&4539l<*Wbb&GOVeE~He~g^DF- z*g~5#{!6dSs?-<8{4I7tz}?kzK3JHz``CrkffEk^B7BW#gN2S(GEphau+d9p9d)v12% zsyV{MOmfLF9KM=aE;l?D2Gwu;o+$G#3_rHFBYK%$!!38{E#*`f*z1|Vr9eT0k2HTY z_OwG^2Y}$1jOm%i?SvVb0yVE5O|(W~<}-OJCcWgYtMISqG)01mXj&hk7HLz%w4b9H zzo?9<=oGXY5=u2|{hO2#K2H-jwpsxjKTIyr2-l_Jq)Y1Rr>xuOD~11P#WB5jf=>20 zY08)IAG=+J{|>5nMwTMZtAPpw6bxiqK-qz{THeFRhZ?ilRRfYoxvhhuPdTwJk={-7 zmXkdfqrI-?N-(kcDdVZ9KXtV5g9F|4+V0_w1?vj(@Lk8Ygs!|4F7wyQw$eGe+h_G; z-@;B#@JWfTn=kA0rD4_LP!eXlWFdZP)>bY(;LxXf{(T#~&{pA-&MOF>2!k(ji6~jExB4pr z0eu7wP-bT;y31QaGNOR`$K<3(o@CSZk8np54zKo>QEjxtMayXxwDPIj@!DI3Hn*Ml zPxm;95quBu5#iL4c-CGMf>hpP5fxB*FJaYt84_uSxe7#*7$*QgTkW7QT8eSIxGG>b zgSFz>q46Sr6X8zy(0USn$E3q@JRPq`?bypg%n>z($85H5hSU`VoP6bX(5;qNlWqAh z*ftH1`@O54qR0qlIfg{F(%(@W=x8v~T4~y|<|&JJ(p{7j09WW#Lt2V|yG#`H)b%Bu zurAP?&3YSz)h!wLZy&2L2MebXi1z)ev=}@=%(xhf*p{ngr>l>WW47FP8s-ZIt}C#w zZR3Ac7)Wj1M=fj(`hS^D2i6^%+BOAEBl88UXgkp;>tm4ns>g2c_JE!;*|<5U75q=q z0X>cjXe?{9(0CaPGyaN-pxmaB1zsXGgD!zR87u@mI@PwKTNO6^AcWhq{zZ%DHc&q%ZnzmY%(Usb)rN z4!)SrUx+%n$8FaP54so_-Wx@s-U}>BriS?>GM5|MyVY!kAa3qjod?#`Vlgp0IkK2@a6_$V8rb-%L$?ot`k-yS z0el3MoY-E4uu?2avUggO+~EH^6AmAa{a_8^0+h^9ssvT8IexJ~bAesnJSyxb!7YfF zSLvTsHyL<%j5`_)HE%%~_fo8wYd0O|lo!{9Og4P8dia;uvPu5$vp$`ZKA2)hPbeHu zD@F)g3lE1c&Dh~001N?AV%LXB)zT(;qC9XqoAi%hwa9Krx4GL(=yL;_3U0IbH2kk$ zcikrqT^NBU9*x^6o~cXPKi;_TZojyfr6D#jU5|KH`(7Y(+3Z^4%FPx~+$sWw=|0@i z!;QZMjYs%^zi!y&(naW~8f2&cBNz7bv`j}@ZtZl1@T?8a(P&_2L5)2y%<<&;moKMNXDWE_ob6-2V-0=G-*Z;ZJf?Mut%wr6-%<_(!1$i8r zHkWbq@Sgen4cBKMp{^dd@X&IxU-ZvT|7cycQ^lR1H6+p=dZY`BK!t)O#e0U*H%-UM zW}qoN08tiuz%7g2M))cr%G4fkXL`PTWbAU?r}sLaUip>P8PWm+2F;|L4gC0+DW6|E zW=At3Gb@8Z*kes$zv}7#=Ft7}Ib7JhaOZYH#9l?{d$&iGMX~N8cRtRAJ5UpJK}msB zS&m95C1MBF%rsAYSKiUIXpB=>3>)hTqmdUCwpF_rrb`)D+q^H2|J~u1(%rZM|u17R$hjvn^K40mvUicq0-w4)^2hyq#+K{u2ufsU;g4c?NMo*m(aaaaO`KIrgDngsJ@}_t49hwEO;Ux#fu~`h;ilJ$bCMa~H|)mZgd0nT!I(57N?_$sZL!0)cS| zY3HqFO|GuSt?-Y18U=kZ^96l{d$M~Y26*VK-|46M9qNr~_LOM>E1wDjVTyWE7gizp z#!tVhN=UK@{zFmzF<~WZN*cKHboS_*&fA+MD>onTjCq^%Li$k&d?PyMTX$xUG@ZCr zoK8UM;@3$TvVUeJoSMb$L3R!?BIQLcqUKjiRk2*Nrb5aaKL2Q>Ok<}J;?;>=qTaR7xb+=(@HsK)i|u^-XL z-MpoqdwH`-+RaZxWpb-L;-5Ev(|sqR4^#8g`|enYxz-+3dGkOQft%l?QofM&9>&C+ z8*%zw&C+>cy#GP|^kG5B2WxFrcLJ~2 zMY)d6=nH+LSjFF&vA?)Ujf9zne_P$-H|AqO8pT~$`7aHqmG4b~v;y7XxunAtb3Xa| z!O92>0A5gsIWDjOZ}L`zTcxt6>JkS&n-Xe+?UuO1o1Jv!!s=eaf<7AHg4LUvpkqe|B&Atqd)CL zG<7#cwuCKXu{x9_Z_<;Qza+g0-x#oasItbo%D5?oxXLWi#8sbQ-s@;43;gNGdR0lD zqi%+Wb?mqk#&|v7(zZ689ljkoZv_>sUxCHGJh3 z3eDKNE83@SBy}ZOWUE?V0YRC965nSm9LZ0rcA%!%KiEO?+ZkyiN!++^Nn&rNF!Ry1 zs{#8THXb1|h+t=)Sm6M!g&vvulTgK-(-^t2=0PEV1-F4@HC3bnefEYST00rtD-nB+ zWaFv|lEAGH2dj^E7|yME)dYHy*>RmI{tisdYc`SWWxeE1tDcvtwfbhYzrxL@Yfg{T zPO@j%q?o`Sx@6lxvbWz=DPkmi)q#-iF1-kH+)1kV&F3`kn(0SW>acYm(R~}qyHdA2 zDqQ!eHu1E^PhZ#`WXWM4$(|^RCYi|n)QG~1obHr5S)Wy?CO^bTzBP~Eg8CCM0Hi6c z`U-m;D?U*_LtvGXKs~Pp zWR(Pum3mBc+fjBDRE82s9JpG>!+-fcPCGAtrQMZf+4#`(Z~BNW>Oj9m53HgUEGb&< zW?oflUhw(K4b#6MJ^({mjd_I_e!~BA!j@tnCeWFKSX$cN#cMDu7J=(ai#D^QrH3O$ zf8z^VMd9-A-ZqcO)RZ)SDzNt1lxV_&61+50Fn)1su59Ip8f?@Ik+J38X>^}slQ43?{|h9q2{*g2 zPk$MXWmuwX4!y@MJCP>yk6W+m`o}myaMFRmc5cqrD~%WJH`v8pOM)gP0u8kz`$tjm z#Q>KAXwV1Hk{xln*`%FR*NXz@@Y_q1O%d+YCztbdH`p_FO3to>dCnshvB0DgrsYLN zgc60dra5;ePA`j!QcfwQu z&?Cz1q=nI+uMZ$P9f;NVYyOWCk19y~DdrDRWZ<<7ii$)$>ax*-#wkv zvZV)RI2a{s*KiTJ1M|2)wDke-bMTH>U@@; znkF%|aw#27m*arf=H_^q@nmGBQIoI=nZY*??D3S|WXPbIVjiI3mURsr+;~gytn@h{ zqL}yXs4=qK$kAXa!yle(@hwiM`avyk#S4V+^IO@Jsu?$K9nOABRQ?h_rps11L8!E# zCJo4yM>mY)m|yfnAnxG4^~DuN?i<)Kzu9{401Q0|HF3cc^2vb*lVuGBbZB2ndV-bT zeeVN_+NT7y4Hi~K;7IBYUTe1tTC*9&7bv_0Q&fPt%6v;w7o;?Z?!Q(3^EI$8+SJ;! zLdkUZMxLM&Qf@fQpy^q9^?9E6;kfj>w05*Ij;qbZ3K5x@!Pz!5-nEi*o@dz5jU%9H zhlPU!)HIB-Z4TqV5#Kw&(i9L!GbKlq92Rx|NOGg7vo!QZ)Zvs2RW6Z=zlI zfPY&L+v8mfJV|gDZZu}wAOT;ciBf({*i4=Ju9#ASIy_)CEnaizW2lPYk;B^qHfS=f zO&wJiK(RM_QP8;}hKGk9R%@Hd_HN&20R<5~AGtC=olZvQJ9EI$1_=kiC$TY^BnwFu z)!%Cs3T(s+kUHF?bEeKV40X*7sWN!KIrExfi80Pdlf2e-V>{N384TQkt1UyEcl|QF zQ3J-oAl(X4&Q}!CAUDuGo5RU3f{p{4Z4Tq8G#wtKz^Y|}dcdkm8y7leD-4_lK3L?W zds{d>P01_YI0-FV+aNhSCmcbCPv7&M zeJK0%Ub>?z~2gnY9>`?A{{YhFCGLqCYj_Z=j@2m9=@Ou@%c2;unx=!8q^O~v{fr=#}XvFv%_?OgYH`q5Vain;xLmK|9;DI zeoL@=H(E8;lwObGUoFSBP(Z}2zjzD6ZjrKygT98o$x0tgasrNAq4Nq%$e1}lP_(?C ze2ODh5@}E49=YLmSMOR!)p!NyHs_FeCS|4+eG;g^J^9F{~W}nd&~aH6g}_P=2NSBh+lo~ibrF=BmgQ3YM~4JQ!;ye{rE)st;3R` z*Q}YzL{q^Z@1hwZ%y!X*sz}mTC< zBl0D0?`)c|@;gp&LF+NY8Lj>T_@7WD_aK@joJw(tS&XMYc2gKz6rCYsly3|BV)kpw z{@>iG4Ad>`d&smkDh)hakT~Kd_Sf3DO01={<4cw{y1kuB1ylTb>ng6R_!V}fgRfg~ z?%EiP1ap{-kxbcL31Jmz`ybegZaklb3gZ1s*R>gH&BWb z$DPd!4DPJ_=--uT;-qH?Qi(Rcc~{GENRnD#;G3S_ernR|;ehJrKKB88Y~~$drD{7_ z!QphkhOTeg^fTO3)0R|8h(9FeYo*1clWZkR>{P@c%#PA$Jt0-``_$*)tw-H29pdfm zV=%o6_E-j^TaV^9iZcC&t_9bP+iuSDa)}V11SNZhDmaYRaK=4B7UPQT6KR%Fz8&p1 zscZWA@yauKUdR0_dwzhFaMX)A%X5tLOrP_YEfb)k=Z#j0LYWHuH%bf+k2t~WlGq{q zsXupHg3LYjAEC!+#mT_c(rXq7+(^M60T!SiQPRijRfeGP(Ey28zJ5_MWM8{7n^Kk+zHRkWt?UlVkH}(~M`*`e=K4UP!xUU_ zfsd$&C>-A0T9o>+5~BGh@llWzu@ZWOZISopL^*W@w@}ia(tr3+E*csPwT0MQS2PAR zTos)%&5$9nzPivGYWhd=D{Zl0P!5X7F4RfTel6-xFlYW5-Tymr+r^CE7O`+hErWr_ z37(J1F+8Vy5k)&beP<+r9W^ zYran)Z9^=gy-7kaQc7DudMtbJ*mzodt1Lg>^)-h?CO?Z359M{jnWmBYA&k#0Pf% z!aeZ1kxV>P3DHC=#jJn|(nt(W0CXCdSIboOTIIj*j(t!Umqt5fLNj(V&yYsRES2!| zTr9PAt%Wlv9a@E&`H|%vAi|(2{Nbf13umbp&3nI(yKvLq&g=(>#t8MakQJ%6K&@~c zqhp?Ha=ztY;M&CjkE0wKeg`C{%f35Fw9RTsR@8NP$7OXFAeJYb!3E_oUZCuWSab1*=2aD&hsoE;6D0*^K) z@0j|AA;rMPAx+(|2l`m^VAv{<6=*YQ@Cp%rW2#z=>!OAwwXM3(BtfEHlsPXA(rNVE zt@4et{{HHBNC*0pw4b3yw>LWe}pfLU~)%!RXDzdVODJi~0~FG?4L5bzW1 z=)87IBe?U`t3HPRgmSR7s4BuStejIwC4AS3n#|I-aQFB-6<$cha-1x#p+ zRe^Ut^W^d0Q1?e~49nDgA8Xr6-`o;LRoV>Sck!`Jf)NeAStYPi^y~Wowy81od?=uV zU||~sGBp5a=ZpzcHw14$8s|6-Ou4&OT3mIp&o=%xvV3*pFid2ir~a*2;8ucdmBdEl zy2DY}m%E0VCW@XW$&KS|#|Ml|S@R?#x@LxM3|p@}#Pe%2B{l{RKLFZp_oEm7_$^~d zvwptNCM(pC1Ga_xa<{hEH>4o<<42I`EY4Mz_QJDI1$w*%{g(L1c}R~M=iEq>K#G{V zLIcicrvc}uWNhxHE&ArgWmv|{DTk5g9s(t?z-2vc*GC53;lr{hqX_Zb241E-;Aw_g zxVM{9km^t?45IZ;QMk7~jupbHw9&9|*d=kfXjA)y;zf5;DpRsZCtnQMLJ!eIB5F!U z`UZ-n2=2~>dIa+3t;nFzI$yrYtGTr#a5HHLsRSu$_arstZ4#I8S>x8UBmdFsxK6Ua zvKtLXZP=tbJz4JIN$P=dd6mj&e$jgU-+$Yc)VyzUU){WYEWx1VRzG`i6tj-eA$+nc z!ScsxjVuQEvL)*z%_T1bxi5xG`R&+(0~F=Q#3vsyDBO3?59J)xKzZ~bN2&G8(jJ<2 z-IRuArmP$79dDc;HX93u3{?bPsT&WUI#VsBY7HRj#o=DSVjG>k1y}Q1b_o&`gPtn^ z&nP&sH2;(@(bdrQl}MFhXTTjW$T*?&zcRa?TQ4erX&q7y&<`$4R^A_fRuB3}e6D}& zr*zORF-q+ux9?@m{)ieOGia6E1Rwh!P1hYrRr|-^hA0UM*-xgnd3o4xnmd#~Sfu73Z#saM_Oob!C2@AtDFWd6fDiuJpzDSG&5QbOIj zJ)elwHoCToh$}sYTV?u8f5?Mzr3DlOa81UY-pmZ@B1ZltF8@LJb)(6)lJH~TN(p{> ze)R+lP0JcY!{UGboxK10s$aO6RldoVUWvW)_wiS#7KxQuE92+lta~?{k33K6ld=A7 zWsNGFxvMGN0dVCapw%%-^xf&ob!l$20|VV6d#MAB{{nH7!$W8iXBhFD5M1}5e4!Ld zjb@HuWA2n=>{UO6?|p!FuADT%m2vi?^UC@$c9JbFqP}fArEYIn{;rkB_Mfr|cd@WV zPrkEhIi}3ic4QQoW6@2_=psg`KT+*3f?}hcdRNf4UE!h_PFrm$*V}JRIKrX~j&*fh zI*gQomY^5k@Xp#Cs^Cjj+OXvL&;N|jaztz*MOYg4)>i5lxfMXPLc(IA2YGKr z#(U5DjMKWnV}*VK3r@Ldubv{0fvt0pNlei-prSWu zzqMh*owL$;kDdRt=o(mmufa~1$VY&Eu$4V1o^w@TV~5Y^s%(4<6kq8}qFcw#{8QqQ z)*p*|jBD@T^OprpzUOx;w+eO$hJ;WK(#WGtB0LM^=FS5G&`P(9hPm#<2sa&Ujbd4X zA_m&g&dyI*ygl0l~%c`FU zBbd+bFE7na&%c&fbn$!rcOfv`)TBFRlBT^564C9%t^L{sn~Pu4d$hO=OmoC*%XO8+ zYXFus91u~Gv!2|)c%UJq7P1tomboHnSv(XaZMhzjow(J|KNK~0H~Ryx)8B!4`lU>*H0)x+XuF?&pLWKJsp|y zL(LLS@ZnT@8Z0l2%$g9DD4sXt?3r6^Y(FIvk4vVab;=%9obzp0=LVpim=y!pmcB-F zXn4EEIyWr#cHjnw$zf;2X;mF?P!K;(BGOshHAzowuMIG6+eJRd7u9DZ=5nDU*!b+y zJl;%w8%&oFEYHE88ysRXOtBSK5d{6B>qP$`)7wU}Bk^ij9;quo#m?aP9dQ3jhlMR9 zaJ@RWH{37vg%6~>C@nef@y=VltGNHlRxhf$h} z7S~XT$~*x?x9PjH#Utb%)~?mISf_Ku+SRXyUX+s=;Y>dnaj2Ip!MznC_Q+ z7+G>Ghl~j5o{qGD2z?e~DEJG)erMSd>gx$%cKRxPJLQ*VCS8y0%D;Z4dVT3pIIRHy z@ym;GdTLNs0G^W9Th3d)MKi+-3=f6Ba@Avxmk-}vak*#d$$eRPu!@Ge@={lvIn23~ zMikr30V#VmBbUkYRx431w}o`lesG+Qdxq`lRn8oW?M$Sp_Y{TT?*vnUX}?;t%2_MCMIeQEabkK|xM zLE`<&kifK2|vs)8g!oF7zB%;wTk{N*nfxZE#TKUl_~m)%xA+Y%~CMuZLUb~qtD z#j+v`1C~rhuh`MOK4^3dlEm(_S)S}xgIfaxu<9c|o7A^s;(1MPja~Jh?T$Vt5{rCA z&)W{pP^>WWV{*3gx}S_^BzDlVND$opCDB$>eoy6k6TyVYq{`L$7=r^N!BO`*_f=VC z82OTyxe^e{V#9xBaTO!mBl@z@W4TFG(UADdtLWzL@NHH6o5ZpQ2Djr}pm!xj=tNy~ z5Z(gf7{E&wJFm)iO@;fGaE&Kr0HBbd&0u1+MxnVR+1n*52u;4xsN2V!{`!Gjrw*P_ zzACmH{HKoB;O36Tkw1Rqf=06l73mn-&i2>wz9ce@};wD!!=bU!8L+r#n`h&0LVm^=EJF=?xcYU8xyvD8URTR3 zbKEojFo+#&pkNpl9`nJvZ~Ft~>buGwjaCXEt$BbX%JKP2@J^#uXB2_HX+D!$!6NUk zfVT=;oUse@TbLAIH@aGSJVypo>9{UTzv&dREPFrsa8md3wFn>gHpcT|0*EQme%2aI z1S9j*9yl17&Ln-0ZdyAu+{N%BEdvR!p-}-a{@_UkB9N2G2Dh)|*LAVk7IIcE?^GJB zQ4=T1hZ(og6Dhsg&c(4teHdA;v1<07eajhnu<3R1DSbbH$M;INBiN0Z;=>NT<@it| zV!W5YhQ9?jRlAOU^oA?^`2=-p#L0q>K>P=IdO*+(Vmd}Ix7we+vq^dta^a`X&7f(| zO8xyL-wo|?&W zV(x%X(L0lxTQ`DUHDdg~iTA}EG`n<|K>P@#^Cx22Jq*e?uXzRZ&giN+O*ZPr^ zoIiWvD0$8Ymf2oj$9lpo1~jid?17c5Vtwd4sqI-R!mFan$V=L9dO_+ZnZd%h-p(0fKKTr?I3pD~PH@=L({RQY4QQq&AEjXALAK|k49w>);-zw{zcvXz-|gWz zptaC`nZfUlfC;z{gn0?2A`B6=X`JQV#bmgB*P~0U(yWv?THwnyMrX@1Lwbuw&ukWr z9OR&whT19MjAO@zy$ay>$nZBrBH>wBr>TvCt~1IvbEe6dUl8JZ(ncNYmM*JdDeqQG zGsH~VMr|+;6Sf@LzMTQ8QNroVS+=ag*TL~olC(O8C+l)2_%~eC-Hr5aAf0obdiG~d zW@Qe9D62JUIbP8B_uKBkFmVPad~ayB<)&2BhF4aRffr6nvdQ*HYsM0YR5PC_jpz0H zs7i^?hW`G&5gVpU6;Y`=;x5VHO9p?eiX)T|O0hdP`E(Gw?>T`J(R9n=~jU>jkW#1I(wE*1VqAB~ZNy{PlT{95-;wt2_hHIrb1u+k|b zlHMaVKx8ldo-km+`R!L#D?n@ypF{&-BTLyX2KLKhazKVBxI}gNl1K$I zA0l=`nV0(TtHX+=>I(5<`b+lW3dt83z+$bd;p1JD!2vGm4fuBv+z^l6&*Sk~E0)Q$3O zUxh;MB#!v=Fsvow*u5`&($4er-l zBzK(&h$nbMp6LDJg^w9{tZGE3`B)UZD45azNmf5W6u1DeHbN;)dltFXrw!CK%W1I47B%Yw1u8^Yx9(HQ%)6Vh<+ zm#}i3XB#AWu+OD>6Ll*y`AYqQo$2ZQ_PTN)#|Yr4rKx!ptGL1g>pCqVCu01H`P-3!B4 z?m)UbYp88zBu)V653-xEz?Ny z3{)wyA-2PzE>iOs)>g|XHWIL2D35Kx1(h4LH}{j0PxxT(EE1ZvsBHiP3dDD9rt#tI zm)e!UO9&J~fHmq^Ac_`jC~rAog(XpJ9i6#MCoc4tW|r!LO^D_BoPQ|qwT!tQql^61 zD{)u~r#Y}IJIyOi5`KYDG%>R|D@XrP^2(c#WD@Mq_iO#6WV?6O|CAyfVk7aIoG=ONn$rW!_=g$TIOdHK;*!Cm!=|Y6Lu@-B~l?H z3uIJu13VmsTer5H4?n{d1?(CapX+agc&A~|@}KKSjm}=Y(j!)*JU{Ifwu@DFPku$0 zh4=niqAW=e1`kxan@!5cRdvMdC<4AVa6_YOY{TXV4)57sbE*3*+6QeNF;+iMi);Q&8gV&i5P zYSL&2?3<5H0Z~!x6^G9*beq+FrBBcsi6Md{mv+`5p%6e>5cIBmq|T;q zLU%&Cuiqv%s4E@V1=kcKn*+RC-%~#fr?MA5&i4+b9S5458tbN;tf$ZrjJtxXHoi`B zPeCshz@%u@0b!1v=uSZJvf}++zD$T63^eXuSa{g$8Juv3thXa^^=;O}CoL)OSb2<} zFc3yGWN=nIGxjkWam;+{hz=)m@JEyUz;U;xO=34F3u1+iK6eWI8e=($> zBi>fkR`LleWCTUKYO~v5f&{b06E>^@$hP@1C9D-teZ?xAw$`*&*o`(CVid zxkTBRTv)_XwUbBP<2&YoT}*M^EMJbV#Gs6_bk8U+d5rt&S)>{qg9( z!!N1hb|_*Axw7xizPtw|QA1g&2dyLa6Cc;5_F*osoce;x%8 zl^~OD?8E6GXD(>+FOlANs917Q+;6mFZs`94-HnyR(aE~Cm`2R5LDo(@2(1q?*ZmELK%PKYe?Gm6bhkY55C27SS95b`$^&YtAB5qAysrxL~UI*WoR9gg$CN>z3*BuPR3(0)pS_TWce3Fyvfg)j-jZtBQ==C$IHV$!PFwLi@q{0e5ix__1JYBZai(&*?5JI zciU|68?47rF4ib;zd`?_H`zz~rCz%SC1Fc4G_z>>>2ua~(P1g0ts=H5Dt3x?8aA=yyPQXU5;P z{LNZDHI$EQ3OIziJq~H>L;nbU`7F3%^HjvYVpQ+%|c zNchs?^9`5%(X-%PL8SUt@-L6o+y@x7#0gE>7Np zVGsQPQsP3zZ&xkbk2U>&Xt4LdZZVz7F(g#>q;wr4(O2Z_a%k;iT{&u2B}(JZd5<}^ zR>QOSut}ih;kU>{Z)x)iJG_%!_CZ!q3Jt}HebSl~PV_(u6{K!5jtB8IlaCP1vp>Fw z*E)NstMe6bWZQSN<$IKZ>o36%*D9s zJogg3ho3)-?NWdSE3o58iE9Q7|J7u7_zdhL(57bS_~7O3s+cN{(BZe2%HtJLT#W0X z!a8gDnD@KuBghAb!<;^+i0zJ9Xug|`9a8AF@quoi7^4G&C_84}3;1<7(QQ!L#gG?D z{s?l7yVRm05E4eCzB4F7?r~3fLQ7S{(B&PD&WOEC6CZS^U?l*$-^QS@QkH5@oHGCe z!;8s!4w3=9echLn8^-=0&wQ%s=q%JnnbVy-& zNi}}U;09P6kzhZGssaA+>FodfD4;h9ESUNZJwJtUt1z&@{S5;EGkX@~*5L_{s^@Ka z-y-1Z#J8ireDt_mjKSGW)|n9II=K!rtu!>GViy!X6iPR7J_+SZ7bD}o{6UR&jjNCB z6v%Uh4Y(2R>lz*py2I3ZH}zxNx!%KKm_Jfb`^^ru+|}MXjdtm5tom-61d_D$9Pr1) zGhF>9gwl7v^RQDUs1g}918F7ru>?N`7YM}jkdF(2tekFvClrGZVuq4e0$LldCV@1$ zs?A8O$^2!XKd&xie{$%LgE*EWZsYiY)^n}|!DVj$v?h233g8+H?B}A^>uNp*?|TDo z{G>J7u;;%2M^v|F%^OykJkgKEn__1hh?q#wC^-t*_sB_0YmoQ|G7~l=1^kSs)5lVL z2!0ta^UxRhBe*tiboa25bSpb`->H4VQH;22DC8C91?hJX0iQsy8@9yRNq80g-T|xL@obvu(q&-yxBrR{UE%K8-b_O?t1V4qvt?&> z7lm;U#dFZ&IpTg+6*_c!&@}QqrsB86THQR*a4as=AYl({qL8DG6RT#*n1VChZ2o~* zKwU8PhwePOxX6A3IhxWjZsBk~Zn4}ZF||=v5{O)tX^uDcsYSx#e4bVqa=Mq3av3$) zvYaqA+QZMit5AELPiyBQ13N5F!@o$%ng?ARx4pu#nWnIFpaKk?;z8C!_MwdT?`HVk z5=4ED1woA46d`7>UnI4>SO;{-&WPq7N-m_Lv~YsoQH)V|L16y@g11iN*&SKwrdMyR z!>!tVmIUB8lEv3pq+4Pvp-qi2_?~zGa^A&2uBcaQJ~hSQo+{WOdhX>D+F{3DYHe)9 zG`Oz#{;o-nN=>~dkGR{FH~4-rcqkaShu4bBvYv^<(sP zOoI$$-$2-+YV7%YA#f2GotrA4J%IF`DX5y4=^WX}%3aydx6xtj7SFVq? z-Fl?4^y9Jn#w`291faq}l?EA)DOIeZ8OT14#)M-{eiD}7Y(CHb4SooSy5blU$Sb6F{Vyx*c*;)G51-T0e~(Rg({Ae- z%N)sfDYv<~h65Cc_W{yFU1plOQ7Wd1{Tl~34SJk~R={enn@@A*NK9kXOL*oW^g`fT zZvzo>+{%)(v6;Xp0;+%Vq_xmQzdF4eq$cv3J9SGZr0|&Cc5ctK@pnJ3G38Bg%@wSv ztlO;Wyn(pR3Zu8hT3W$d4P^~s+J0@Q zQWFIybQoig!&i!)T2E#{vl8%GQ=6BO;PVR;&sa*@@_V8RkKUR~KLa1t5+1nH~xd#j7ajfvx(K>isq+{p^7 z<dbQxBXsBKJ)Sy}~d}je+o^orZiKOnBfq7x5k(86`2x zZrU#E5L&P-&p6c`gSUv$t%^tMmvB$h&W&fPI9xTs{(=I>u=qDXtpo*{9M7g-082PX zqy_$}ewm7emho8q&=JaD1rw+qnVQU>9k)zX!j_nfAe6bO$5Y$Q=7ROibO11l9S$JS z1wjNtWAST-2dWFNhkrQLBo{B-_0`8l>jg0nzJ)GozSRKMz~Vfjqx$jg{2 zD~T1OIojCjn)~m#7ZToNzJ%0Kz*quruF+i~vK&}$pi5)A_%V5uxjd1U6}RddN4(o`r^H#Z zX4gVHyg(R4tT8(PzsEb|eG6@H>}K}|l}9RXn96+p? zyhAx-9`Bh91@`E7*(zM|YXK(0SfeLbgF+2))(@kgV=EOqZiNiH$7^VDDlj4Ksx$pg z%?hTxqQU^L*f8las3@np|6>^Rw4jmxqCBntBelWHh!7ESPbk5w!_;2Itis6pK=Ffe zgi2L|;O=-7M}O#8Dz${bPr#x=E$ujaF^h?NTQ!Rb3-}L-rsl2FaCx#?781FG({LZF z)z!)D(^1?@W}NPsmVs9#h2wVsw@N^MZ26P6C4P0BT|LOr6?%1d;wmn`=h7Z)f$U2} zjOxflRjePQ9h}n$fQ#H7)2~8iFB#0G*%vz-t_xJos+hapysX5<-ZJk04%p|wN*C@Y zb4M){$d*L^?6@@wq+!$SH+!+LUwf=j(iHUUTv{w$z4)I4*1v-SDX(-0^zawIKET%e zE*+j1(L*9=CK#y)374HkoV+T?;f9cZMAyg!2yQ8vSHT#&J=0ubJpVC?v}%dHWHwN$ zGV-gxp~isNcPr}&7XMxz8~PYk=w3+T3}4`;61o;`Jlw-X7Z!BHTCBy|MB0YrZE5fm z`8Ov4ahjY%66&iW5Ir}g1twD@Q4D4mrn;I~WBy_3+!wRKo6vOQ{KGQapZ`FCDcHqv zIhyNC)BL9midoA=)}gwRBg@Gh78yyOckh!69onQETiFenrKGpd>pCK8gjIW54>6@s zkVz^fq^8OEdE+5wai9Dfz>nrlSrnV7;z!S)pRcJ++5|(IPQ!uo{joPc`JX!CPLHJwrPjYWrTGy63SLV*DV;AgWSkPZYsgQL@MJi6=$zrhjwQX{QAu4YT z_k+bbzS_Mj>?U629>TDz@CqXVhM>;xg(X`FEN{)OR{wg`4Yj&vMw=dx-*b!JB4$T@XqM)>+91}3f=-?AN_(Y zd>|QZ)o0(f$>bW8#v4yWwOD^UOECy;@hS$L1@)z@>h?^D(~N(PeI4Z$akWrH<=-ov zD*sa!E#+BB)G3!p`eH8@QSX@AgKBxNPwO;G@&BZ%=_s5yV7TI9>$s#cv$`(P-*(w>{HTA z#gl|mZ8Nun?aK*mxx)(=?SjR9^36p@)Vh9N^`N%PdMU_UAS2PJnpD>+^urlA%PpqA z8VD|R?V{vH9eV7+#sul3ICeWDT5Uylci(fPa{|Ri9ltQLNAJhkp>hpTNqos2kJjXG z;8f`Y16z_eAQGK$fr@}X<++NK6erV8()e8|>{WnZs-}^~&tP{+PSz*Ox?-tj67JhN?MA>QTlGfhLE?TT zt#cGu^--*ym(P!XRs}mIb4m5Gd?(!1^S+R@=rp9KwS)?oeqAJaf0M-mLVKq#8OPU<6)6k&6n<+Py+>u zfyxwOlHu@M6mNh_?mdtb0|XLygm;Rgu{x5^qCtuvwDzQcBkDx;_ z(Slx-w*hLNai2NmI1o|#uDi$JHiVV1{drLNFk#g^?SimhFa{0CNMD1ua$Ky*!ZEm@ z4%VM2ge9maYl!7j&olI6>AXC%iliMHymTqnj>I8Ho)EtwRd1x<6BcWE z3f;Y9nQo%Nu?9<&%Quh<1@i;_bcr*(QxKuF368rU?iK(A)(JJ^2+Z_Wivwc~-ePzn zq6s($tm~oJHW;DsKiQa=dttQL%Md7SrrljkE8vSTx4_Z03DeYKgqruHmYqW>P)Iw}Ypad$r}0vPWwzl8 zz044Yn@sR{V^6(I8FLdi5vyHRTqD_puBHm-~Vi}A2O!hW02nhpJ+9YE8L)T<-!fXFnYOyJ6+;%Yo+s-VJ!;)M9^GbvBFMW&x|E3KJ6TZ;l$v*#s5U=ejazK z(QWEzXdi-Eoaihm)N$9hZoEU^-c78LU!HN&$7&*2?#kUu>@@0Q$Ku|2+xu;B)00@V z#QZhY`Rt;mi2oFlOpiBx?{SwcK&LvCyppy=?cJ z?Y9}+_vo1XDgZ_PGv4~7voO98)v}pA4g@^6kG%f6J!)hWDwKnvzys6-?@(pD~S`yqC^M>B`?{GJe2bsH_<;ULrg zWEpdrMFkkSwAGf5VaZXFw%m_#HNQas3!w38+X{N_?(1I!Z|=VXxA&o#CM<7g$FZTdbF?&wu=dk+bZ4eE(;%3M`H3{ zmS4sHcXnuddF|@86ujkvlK((!hFwLo@nsjMQUe4`fEPxLtc)Z^$wdK4^3PAf?l);; zqq4fZkvVlqKBRzH5H7h+@yVjDVd&@zarhP5TzdQ#{<~MJ6QIRlTk|-t=Ha2z(WU^B zaPa1|Tiqe${A6s{)BwL?wZYgtug4VV`LO{r2TgO+#KM^@lYS;~JGQ6DW9KZJFTrHN z&nJkmCR`7~k5DSHUugz+>73rd4*phhytfagAQmO&`!9rJOxzk(VfXXJ)%A;FYfs)h zyepgUPc|0=E~mf8bYf#B-{^Fn2tK^@u_9w~(Fv{L2hYZQ^zfL%7o6R5s#z#ej0f3# za$L0c1c3BHuRThs1RaJf$}V+>Mir+jH##3m+5@Y~k!j>GCa_%(woC!1yc2MhC%B8M zWz+`)7!!;lSN)WT=B#iyxK;f*deV*Ja424!&gO|E1-uIQL7Lo<;9vntLGK29^u!f= zId|jreP8Zh-!#Ji=8V<{9IT?Sl&h6iMosNibemckU!Exf*t+3Mgz4c zx92f!AMLwiM&b;G*!^T#R>|=_aC7`a3ZG&eEo-Bn@NB@J7!r4;2I4^AJy6e9k#llh z&=v&Oy6H3+-dB4@w6}6)3+VA_?EWptTtUnFA#GO}8SCQU^0=5(Zrm@M((0&`hnBeP z>D}(4yqbF(>Yo*CyJsaCZ)ri*11zxR{I`x$j{SqvLmmBt6Lu{XCw#x0ymLyQ9%7XZ zouv-~A#XH%oJhmx%o??)-UH^B7;UMMW`l9b36%?m2E6tebZ5<3a-GEqd4+2@< zpkA(Z?RVO*n@~!<5wue)93?Q)CzeO^Rg)#LIMxLy?k!>y@{TetFh!&3MNHFz@xD~oKhm2MLJdSrpbh0S@?G2 z*L?R}xGh1FFS!)a%aZ3hwiYD-_;n}TSe~!OJ3lxdK1}!yGMu4Xg{YzZ%k>5bu?C)j zE~0azYEPmRc%}e;5OG>9V@xUdkYh-!c&h6Wp%OQ+A}TE_Dm+J@3|&E3*}`pELQ;e zN}`O`v&e+R$_ET%b8o&^kV}oRpVWU7vEfCi#vb}H`s@g0`gd3qmZ(_PzFzSrjY=Kff3EOimNR%4%g`U>FGHt(kH$H4(aMryb*i2w(E-za)<` zL_>1#Fkp2yk~V?2%1z}z=zTERL+u@Ac%&Q2<$j`+S$y^osK(0X(8@7?V?)=NAVETC zBtFNTr?_CJEhK9~sZXHIcPWDGScnCWDk}bkt52F@$qUKF5C$f-sM%Wco!TAvd;H)1 zB?P)$4{Y#j&hO$2+2PkcW~Ld6E69v`=B=ApXY1M1YZK1h%6^k1;xC5g%yJ=AGN#KT9E@(13x z8)cTZg4AOn4^DNyn}@&PugCvo_zqUYhH3?y9Tnx+-~{E^1XqI$9tDJ9|tq^mYc}<@UV#-q7kWKrHNL>+2&U`KutgA=4t1g5W zL?wX$3hZB3Il62tgz~7$6%+AVT{KT})R{lTu?P)RTnqn^uOx~cvI)K}%Cq5Y&|%ER-qM|& z_i=z-dFz|~4x4(w|JvslBQevgo@hyFR??!5!Aeok^4_erBlA@HXSE27`YqP53`Uox zp6GV-hX9>josIhK9h?WlOlohuyRr92;LiR{)h6 z52iq@Ne(1+Io2c-c_90&UfNe4c1u$3m*>%!8*oCU5_41#=H!I0KIa545{-KS#CXXZ zRN^wD<_0phBoY9Uh#~JyBYsa!k6d!sXW-3x_>eoc6|}0~#1t0u-gJw%E-m?$REc%@ zZ7|Mg+F?xPjsW@gzT}m;xrxsHE8yQ*Fwuol*?QY?F_fZcWuq`&4?0wV7!|zXvrP@k%TP>O{Y3qo;AF? zsQ6u@{9+@9#mxkHhfwA~^MG5K+@Ko;F}Y)lwinyPxK;7O==HuJoMesPdC%#g%)}MU zVV_XTeF7_IqA3>`A^be~o^y=-0?r6d1~{E#pt3=2rA2(Dnw7z9YozQH5Ae7^<$tI) zCdq{k2moP?uu}P%>O|*MZ%~wKU(S~FCIC+dRIOqtgsFvz5+j4GDs!>wIk7cQK1x&l z0P$3dS|=l9fqM=Ehy%%s2nXriUoAEP8KBteCrXxZNkC2VLDF~{@;-#GLIOQQ`n&bw zl6!-QF}9!ETV;hDyQ{^89PCe~K|Qv^vFwbuQ!LT#IE}_a6I}j8uu81DJh*xerUMPI zse*sQqQwftHy2n5DS>eo0z*14XW(Nur{(_i9xuUQ-%9;W%_ZJ_O){vOhmPk_Dp@dK zH-ufE0cvL}Ppl-h&!zOjt7=cK$dILo4+8cirPOU}GT7>t21 z#p{cOPX9L?S8D>0+byA9Y4}KOg9t;V_BQ?ubuZ+017WuKb?$L%F9NU@8at3;+o-JZ zl$bVfQXJbMa-62Oah+(`)3W^Ocr|-Pt-0k5Wc8UrWU}8Ok*$(o=zjB0QsI1``+Mfx zb{3Ob$9?0{UPZ?Fz=H~4WAV6RFzz*4EbJ`g0yLm$+|z8#&~oqVXomsw2JqB%x>k+) z;Azyf$&?r?IV|KZz2Fl`&vvp$c}AlfAHE3J9eUbZQz-&bKp@X z5SYY}toKHCnAVotH#^QGy=TL1i=6dIbCztS6k{^!2czni zG(7aJ7S||o_^E7E?A19NwWd`hH{C$4pQ&A=)&ecOH0AJ(9*Dwqo6lDcVkY^LLzpTT z`&gAT%IXD}3jjg@j;_iGG5Hn&PIfs1o#x?7(Bp8N0Bh?Y0F%0pKr?ue0=0zO#1U^7 zt!|b5$tw`EKX?Kx_dLW}-#w2*bq|6NEGMTkRAdE2;1`*SHvZ&#BSJi zhNh`=MAxdMx-(V!-UUiUh<52*bEJ3fluHP@m2;KCV7S!A(?(XJN}dTRFrXH;t-v~* zn@LcxJmQy4+Hq^+NBWJXnjgm-oKXSmk(&OGQVd2<`JAdBzWF|rrUGJg(OpANb%B+j z$mVK8*2EyA=H{yI7I*8h-ym{gFkPxAN<);NF753>!2HwAAR#Ho9NK!^Q5i93BkOUF z$Xp{A+=^qk7IjCWfspMo2y;*eP(~nQnM6F%gc`^Kgtiz^wgWfT5W8$d}u;- z$uAS@Dk(e;e09D^=fQyHnb$6H(1Qoc`d;xK=CzECPq2A#@dK|>pi=8?aoADRF|40-ww5Prk zPBoo~FSx26o(RI%m^YSDGGAP1`*)K>-h&ek`Xy}&emz#LzJJW>KCtpHih}Pa8PH6B zCH85AEml2N^_8^p;K6n61W6^uV{ERr)>=wGBnBtH|f& z2478VS;soboY?s8j@|+Y>)I3mE)31m?t|m)CQ}g>M3jdX*bE6SNzPC2yyLdXO8VM> z3#Y%rJT3<|ejpGNkXbq{wh)6|=+&6P%9?dCTCWfyZ_)lf5T@Qk4zF&40&hW4`Sfk* z{jLNjTxJMrOT|j`?@z~l>^EJmJ5D~!C*>2zP`VFl$2aesw1h(2pf5z)&&vxfUD1ac zP+$@lAf=67Z6?q;^a%>0%X08#?gG+~34R*B2WA$qXHcs9@=K&h2p#|5;!(s$@HM%r{)DPu-d9>ie7U zT-neX)r&M8qYYeu1ijYMAS!St#Gu~}d7r6ffZhtIpL;yUp03^+!{!4~!uZiS^S{_A z%^HsCpBWdMgQpE76TCz!XHIgJZsN$AC;v_bDp@K*bz3|FhyS9Ui^tGP3Y!Y@)Os)t z_(H}CfVIsGJWTamsLuO$WCezfmM<&ASw_FviX~K87)HX1bxo!d$))1Vk2k+o1sJL{ zp+U0z6a!}qHP_2*0Y34j@}RB)pvwUiIfHts%b0j`;bx*21OS0gC>ygk|KQD-SbRlP z`y)im_rDd^;Fan4RO`-d_q5q+NDky=aUGfRz0 zs|aU}No0dXZ%alzP)jdr-db7u`sQ_BqXy}BYyxy<-c)JT;q`=>-YmiezJ(v}7!MXk zDsFI-_mky~qcz3%eXIK3C?4_Mj9|5~p<0*`a(_Jd1ZMp49w{%V7a+n*KgTu1tVy~c z$_}Z_M1D$~el(TQ_0aCjbacGwDM8iyFSxf1YLuCtMjxxQvgd5yyW594Tj8PuH--{S z)NXmv6u-#lBW+gHe_9(dl!n(RuPH{UAEQd&M}ZIKds5gwFdxnAzVh*IpJ5`SE%xA` zScu@nHpiE-kR?l8s=D!JKV~YSS z(qiJp8hzmlu1Q#X-Yw2AlrNL|=Nz3LRI;LxZ4=BztGo>p_nZ<5IiSn~$Fj{%b)MV& z=~xi%PGAGL8EB{9aK4%hu%7%o5e;4(h?AW;EBy>EC*R$UX?M~JpPD^0AlMmNCEtH`L&q1AdkN?bS_(O@Uy;GP z+c3tKw*5=|scmt2hnI2LBJES#U1GPp-JXmQjGLT6+9^R_wd83Q zMv7)v51GDlNCJ_@8!V1FVC)0KArovYoY<$PZb;*29tzzy92bW+i8VOf<2hWrz&oiJ zkpge1;Gw~uO()mf78MUMwxivO6}>4iYM~WxJncT@2T_XPCO{1wDF~TXr<)Gzf<(Td zpblBC^uBK4xa^RKohza7uNn}yCWG;R;_0lVp60`Mjj~R_G9>JDy6C0{QR^e|`&@f< zdN-{`S9yZ2c$=7=#rI-U9y>z~eE3&>rGwIeJspuAOk8IB51enCefN=NLWxHd`1loN@6Y9F z%hcT)A1sT<mX;`W=v5mc zxxiI3MG(p0yvpK}c1&Lz{EqhW^dK6m2=*lq^TA{W!f=uJM1%Xy)rz_N;i&5J@lsh_ zo*c3+gOLVK=1o35#%GNVRl|oJnGk~Di1YO^rp^Z&8e$5s%CbgP_4149fyiX4d6cn1 z(By^~IP0-ru$PRHj7*QlzlKy^n*KU~v;ZK{WS9N80_TJxbfORHL}+3uoF3L$Jm0AP z`v2SB@}TKiILb#9D3TkF4n27ivpQ%W24zt0C7u=mBM=oB{n z+?eMoemWZ@2sR*BryjYUIobZFASy*g3P}?O1wCCf2kFQZ5BqxrAVLUeASg(Ktt2OC zQ?{MO(a5~erSVC{tJLM)P*D7}D<>(utps!DyZy*dh~Et8IF2Z;ecCNHzt}0RqIVN# zf@yTu$0&u(PZwPSQeK;gU|gf0!i(3RU^xy~QFis+aYTS@nNpw9pJd z;~8`CqEuS{!R}rCNS(=4A%s+P2V<>%*&unjEmZu3KKC6t3bf z4-TK&)y7gz6&rl)T}Z6utIG*$8ntL4jQ4sjm|TWq|40}c@^9abVt;NfbW@WU$NdB@2MU&W(pZ#UIA@xQvdix7Keh^b9zC) zahlMIyK5cu6bF4Q-SG(M0PF$QFG8ssz`d{kz#}6_9)vAgb~toMe&e#?aPOl5F+rLS zh^vWINKQ_TK&qrOLB9Sy8$!Kf)3H~P`7ihV&0dGSX%Y>*tP>ZnV9yFh4>CX`AgNP- z|EzehHXQ*DQw9oew;3DuurC3%rrZiG#i#o4Wd}Y=RB3ch9^A3?V}(uHtGp(FDj7J?&l;WsTfGo~Y_%X|tHgL( z$(YNmhH^U{j54!N!My!-Lpe18XJTIRLd6e{Lg=CvDZD-O177XQW4vbhZmUZ*gy+x1 z3A5!p|AEWkQtgxf9sp_fUWns!=ZL`6TinfS5a$e>?MZ}i5aI_vMTKyk-vTE-r>^Tn zVXFD;g>V6ogglU9J#*rfHOjb)cBmCBGl=!9aFY`jUHcXf&}^_P>nnB(R1`O8D&HxN zqeU0ml%z?~QoEAXMI(TD1Mdxh@U^vWO6@YA+*~$**dwrtN{g4_Wp*fYrcRAWVx;iI z&KFQtO%s81r(6zHEU81!dtkjSYyX|-s|26k7TOwlb>nP;G4Nn#QwJ*lj0c^UAK68* z@03Pg9gxACGTbg(7fmE*92IA56VPuhXSGbnWQRt0IaaG5xc!f(tB#9m`@YX72m&IA zbO=a^gh;oN;t(Pr-O^Ih{pb=3{ekZzzL~jm?>YPI zz4qE`u^4N)(}OP(lYqU^vpv_bn!T98a?=2H;Tw%aPY45QvyN4vX8`W{4#Fb4uf)k& z>^ro3z+>Ab@A>}xmFG->qM!-!9EVah<*wy0)^hJFn>WGL1W%422LhFMQRuN z07UM*7`s5IA9;4>k{NI-0FoYTX{Y>2VPf~D%J=dpI^s-+@$IadzOy?U8R%=@ika_s z;Gherw@B$MiAtHXqzlQzo+sjh$UjwJ0VGg^roQzK_*N?Yw5(mljJ4z1*8}I+pbgO83G8(yuQ#d%I$S z1jII^^NMZB@DXIcgZ=&2OKi=vQ$NU@M_vBQW#~acuTq8qhz9ie3M{MSc$oBpF{>0?XdoS#};IX1lk(v-Vh;&3FopqD|_r~dwRA)I$x5tjx1dk=_gx+g2R6cd8psAlAI6>SpdRHaZ z6uS6ubJ>lDpO8`nUeT&8ZU2zn%>ikq_)A0(6)?NKT;DVK|4WQ^1FrYpA~&JNDO5++ zYdk15bDV#nIth*8fgVPaN>E0dXTJHHzOjPYjA%BC4O#C{mMH2nxk7)T z4M>=n!S@R7$J#p5c^95Uyk-YDBN&24TsD0h%@_YBl#oIWxeckqD0Q<#S}pXpJ@mi; zIy35QO)E?ObC1qk&^R8g6%2w!mWmy1CqCt7H_X)7;tI~SqH%9p|8~3@`gq>*D31z@ z(fjYbnOh?v9&x54kHLTK771PrA$KHVD+x#$g|P3VY-rB(pK0DiUXwR-W1MKtt>032 zU*kEGSxJn%rWVgOqYlQqFov?@d+Bjj52UH(#~Z!al#V(=%AyhB}P-j;wC7w9z%+` z&pTx~lwmOb`$nK@5Id_lu)wHLUfusxcZ5!hR=Sy3!otJ&BoaxXZwIt1T!O*6QLlU( z0KO2&pVv}WRo;olF7bJsDS7{=h3&I0Xs$>pCeX&4b-C$0AQ9K|#zJ`DM{}uALBuvF(?Wf3^HHZi5=<1NAujgV+*mTSG6GJJ_)1wHp+#b4vPRJTF;L9b0{^a^ZX?a)-O@EvjS-*U+B+Jbw`%%ATZbR!l z(jrO+@Ax3K$0I(7iV)q?bP^+CcDVFNT`dF+KZsIxw24f1qAwN}HtEgP^`W-v(l>b+@m9qFOE_*CJl;}+1i zhQ(Iu0mK7^ZU7p-I~M~KFp|XXRMz?>gPHtk~b!Q7yOMH8K>iB z-`~-SU2{#OfYJb5ZM@|sjaPza7TZWagnI%sD_+$2>`s+I)-)6J<}}-Z!oq30o$ujr zC5pC7V`zQ#~Ch`-kc@^=0IRrNwU8vTnrrlN|7}ft z6@G}O4v`x|T7e!;nxHm!iV?VY>d)RMOa3Jz(czrhf%HPc%(IZRizqVT(=kBFl1`q1 z5d`oswZ_6Iz|2I^wVLKU8jqRm=lSg?T6e0rLFkGW6+4>1i4(2u-X{fE7ZlghTFfS+ zI+|w6gB`3c$f!6Xs2#W$wF9W0hhMOm-)&0rP_5k8h8 zOs00jFLXE@>uIh-TTGUJ;{dCwZOQpBg%p>QWquBhIemzl90Tkcb|L0eZ9=^L_u7O~ zWr_`7`+E^$aiW)NfUp{pXReTHnQu&?FOmf$?L%n?S^CZkZbx!s6TM55^qX@Sdp zfXR1ps;vGOz1nwi2Ld!dWaiPhDj~bh1q>F^zY$cXNu~Gk!HWh!slp}M*p0#Zw8h8I z>wtTdnj%{zJWg5UM{zod{E@ZY=tPX7Qq;HOtz1^v%$^2MOj<(E!P27hwM$-s(xfZ! zU762As=`YW?Pwo++uM+6;@`n~0rza5;as)xjv>Aw9-gsV3jE-A8V@P50uPmbv3s}Q zQOQPP-`Z+*BGzj!;v(EB9WdQNRoIiIzsnFUJqcS@ybB?EiFHbo{rP17jeR)y)!kD$ zU>cyd*Z6+pr%Z9uOlR2$%k9)!%ow8KE-Em+?2=A&Ne|CCVRep8qbbt~SB5HS!Up|C z=hzE1N&?h;GiVCbyHGOjhPT^(Hu#E8HU+*u2*Ryh7vq#gkqu#0!gQti%7j|-(c8yu$~{w$p(t_YX$%||Tg-phvo zXOwf1pIWuhEQmf(N}0fZc#|V;|K&xDcEC0#byV5g9S0>BS6_&T?Np)5Z9C*Esw+-% zZ+P^Hnm7qDFuv487HSIYf+i>AZ~1CQ;N6wG^m4_3Z!$z1lU^?&I5ckHFVL}afdX6^ z{QI$i!QCELwhG@#?Kk&hOi7q^|Alk-qL|{HM`@qUuRIxu6@sTfs-p1m-l_pdte=@` zRd+y23{h`SD-bs|kB;?k0TXM~FXC-+j9l8g+#gj$vNY7tW52mfj(}XvAn=EgKR_?O zy)VwJ7>>}CJRk}Wa&826JP^f@XZ(O3Ff8lDh5q42B|*E)>P>xfDlkQ55Go;EtNlWO zn{q8l=b@vur;}QHg`7zln=e7aK&0Nww9&DWLbHpPCjEd^T$^;}Xe_um2J!|0P{rY5 zl6h2ltv=X}5Jt2aD#_Bv4YFTYH#&c5v@R+iLOi}QOsOuFJm!kZ9I14A3o#D1)>wAUqh@hW8grIz%}F3#RTAM{^0& zo^Rv!EXjMM7nKU6Gtfq19cv2wxL?65%n8^NfVl)>Qt9-Py;XZyA>@KYLO==&k0tT- zxW!!F1_Y+?-;C?x^#1EUWbhR-eqBqkKQ7`~2w^IarnA3j`hrYQ#nYE7r2gVELSbA2 zW>DNoLV<+=7*x6X0MU`64T#krw5p&gfSzai01QJCNK}nf_V**J9i17cbiEV4wP+}=4W>4&k(1;ARk*MqB zEG9YSfT}oY9`T;fWa%57f|1I-R<`t|mP$Gi)F{tjLiX`-LqDbMhEv(=qIG*sL3CN= zIAs2ouB(|#Df{nPr2j+g{mIm`^T_TXbYPFuqFkby#j2>iUtiF?U#RaCoKg? z7`G1s!ZW08?{~T0B?Jd#EKJC)=1(Vkc*Y&t82eJJ>|I?+ak%tb7Lt4oF#UMR149i1?c~ zwDx>t_+U#Ba%F(Nk*=@xF!FZOZ|X*WMA=&)^{<7&aLW=IRe%|KKhf*!eYcOz*_XAM zyqOk63YN5W&7H;M+5W(p6us%t><9Z-wSw~no)Fo%z_Sn+Yvoj@# zK%Z$l8WIx%YMDUtmm=Rr9`T`i6_X&5GU!rj5;=qSK-pLo(bnvt!_J8+5>#nCXz zSmaEkzMN|E=*zTARQ1;)lTcBL{HLV7kgD^m8WK~b@gu7*5+Jn!haRt06_@odtq)-M z5iMnn4Wye3|I0XanzD;2KQh$ND!#M@j6$O4uSDt}Gw9jzjkST*0KilV zm#4iZy~^?!vn@9CJ06I?R$APW-N2obBR_gv%D|nOzaAzuwp~ZrP>?fvU(mB3fx$|Q zyy_yX3+0wQF+JG_Lb#8oBKH(gqe90?KS>C^cifkNqRxvc#+cSTJbR_<+J*hOl$s8L z-n8w>Fxt8_ypCHJhgb=V@ED$9hS-7-^C5W|&;yz0nR`kYKzdXqKM!|QyX!#S-=`+J zw4Nbu$bFo)xDLkUP+>A$Z)#@sXPfxy^j)Zt2Vi+Z_NkgXz}RW8l^%V#vmy1hsKG!y zFR|{KP~s@JkT(S@aeDA{qiNys)_*^RJkF*sLiXd1iZVML*~vZl4S?VxI6NdGzdg5g zDQ)r{3kFF6SZC5aDgvj9$=EXBae|wJVu~Ad9}*z92k{u!(FxLO_mLzM z>{atDE1`DmrJ4M?hbWWZ^#^E~=Q$hDw^bfTUef<(p1C9ft`V@KIntNZdR)_*@2U5` z&PiFx$u!R;@!WaL_Y&Ha(mdKLhHU8gD74+>aEjF)P-MU<-g6(=xcEiM*LH^mQ zc(SC(f+(vU8uoA&?y?l1A&9i~AMJlYVsM1l zO&)m0#bH3y8=1M%9ap)cK<${f5jp!8Y;fs_1Nk**;cv;cIMHjZFt#{>@k9N)fF1?$ zRnw&By&I(nkoGDos@R z?6q`^p3d={E5DbGUbsLO(9OZ^Rt7`*FF2JhG}zfKU2ozq$x6n~7>xH_O$1{xBdLD$1wfClSWd0!$G9xKDZ4Cu zx!5DUr7T1Ksx~)_3s8O111&`utO#2|<-S!90y&Bx4MpAJeHgFgWt=!1=d~pIz!#QQ z7ALV5!8f@T1gM4f#06Wi$vnLGqt5s$(gSx(?>?NQ=Bx!11xnlDlZ@P+1QXm77lhq$ z=PEw-)v)2Oty{03%#(uTvgzny=d4%pkkfN?ma~zxAnep|)kzQBQ%M6DZd< z9=C&G{22CD@_pCWdv3&=l?%WS&Yi!*roOe3IirNJ?K+j6_F})N@H^3Sje@&X=(E$J}z(bqisMbsz4k z&J?_2LSMYC?qb$;o&Rs|Ph^%fG6u*+3vm1brb!^Yr1g?3IrY#ae+*dI|4Od~K>K;8 zLd0_KyL{K2&VC0QzI)p>c!AAJi~58U5M>{Zi&ro?F5(3R4 zgE1rHF}E8pvo_|4WU@W9P-A3p^J~VH3ft+eGdLO$GP0N|LCCY#?Ywnt4X4ozefrmA z;PF6R@=`w-69rXrKUgHL)w&5v`>a@3F)t~)-5r(t8Wu5fGoNscr95j+h;4whJ+pd`_zXacv=mv z%K6QFCP<=+K4dK4G58@0(&D*N1S(Up%@(WvrxzTA>{O`-`1g)KoShLMRblQ}q2|x` z_3PAo8&!dG?DjL8v_N~pw}M?~mMp*&D2BvV93J-(?Kl1W)9 zXAby-ejaWnh^h)fzg?lDY;0${Omv=2y>6$Z}cSJ~+@rlET2|zV_R?3D%q)Gp^Dq-JNYmclh=9ha7iF@>rFC>OH2_Z0bZ96LVzbwmt z9GGUt4t@xI#UIiKoJULI%-I)-tOBSt2?F5l+X;X6+OChNYZgdoAy}-u z?xteNspJ-N^M2y=7E`nG)ub5y+xYLKbI;z4Flh#bm}gEGen2S~t~TM%ZXfpajk0eg z=x8%R$-QymHGO|D^$JkOzJ?SKrNSGhUDh^8rp8vh(3u5$!Rga4-_E0IS1G7WO8Gv# zdE;XC%S_4F-2V9UWPy*dc(A!1l8D6e1p!+ENS>jEU8Sw+a(SCI!TN&ZH9sLp+QBMp zcxXEx@ZzKGdNAmMphlVwC>a=vuW9OENdx^#X#H>a`^+(^jOUu!$X@$RI(-V<>Cy3H z!+>1IjVxx9)ws(OK*E~g-(&aoH#LQ4D<*SwN*J2ExD=g(EoR-)zztF+2;G7sJjvAu zxw95^pf3SUQ${L~7#U+?r1sWh7V|-Y3|#2A{kL8L*5qwK<;d9Ay^eyK9!Lh1@>0oJU%pWfF5gaaN5gPjIoTm!B3o8Bg}vrluAj9i2m4{9Q~ket<&0M`=KHs>lG{C&~jHR{9ZAEtM3FV`jS;7zo03Ju*G1V^casyja7V)dQnsp!38JxqB?a7t1twtJ4 zal}1f(2m1{VAcHm7vBBJz)f4E>qvu5rw-2I^*)xV(*6jCj^!`t8%0{IzRtea4xAAV z46#ZZzDl@x4bf9uw;nxvq}uo?5+5cnEi{JD5jev);h;-mCFvB{%+R!TQyGWYmi=z8 zU({LJ>)wMxyxz2u{ZD~UtZMw-S$J8!Z#aiH@^duH=@ofIO*I40%8Evxvt??g}2(glkf5&k47w}#I9Il6Y+ey)Pp$FFX?*mNks zU5!<~`Gp#LHG~gc(osx_A{~ zlW*6OuLUA;T5E;U&(JzvxWlS4ro3n0o_5@V;Am+ctL{d2UuUIB4|Jy(DAEy*+=$xR zN*%{{MYyoe@p!Bd1yD8AJrC)h8^8N-0Xz5lAfgca%3=N@G}f%feoCagI<64g?-MB? z$)M7F`1OQ<(snc#60T(P5tK&mU{vXB@=0b(5n%uQyrD8UDPYU-60r7mtqBj!bLEBD zFT9OywpJt7q9k*?^Q;KpKN+4WS7-!&uR=bxg|?n)de|V zWulr}!Xz$B?$&xOWBpgf{|3K?VuKTXwg~-vuLMk_DU3G3kp@2_p=$H`59ALC1?2tv zQob)$YbpMJW}RfKx$Xy&|DQu+bXLCT&7$T_d+Oxs-sd3iH~!7Xdq4{mm*7F|a%17- zWMtSTnH3T}Uu!)zhvn_9r6`Z!n8iYmagjezLCaA8sh%F0peHoAL0mAOTTAgiK={JK z(gKi-0h(1_RFtQh?-l-dn}I8`{2gu03{F0Fn!cFKZ{qRvdv7p$eSa+1hb-x9LK-Ee z`k1PRaYN%ie!i-)>sFH*H4WW4!x6uCR_J4yl zPJ!6aDKp4$wcIOMmL=x0&%XnQs@;m^CJ;a&60UrS@Y5>heY`D;6ohxdtqSLkC;{$n zG;8lbP1#ZNIQ(*_p!F}-j5!%h`poy`pk5xzXa#&3WWMmLBHyl**$dd@hTI!xcnrzc zm5`4QwY2fTa1bzUT1y$7svcOnKcFRLEmW1D0i{-I@Y@wto@1oEz|#@bb%0(LUhj=b z7cA)b*hb6*)fN^eIp&CWr{>BGRBQc?o=glO=^`KJ>5pp_X^LAC_a>v0tzoTX(CwRq z%GEp%XA2NKrM4gPz72t96noSBA3n)EbxP-lBggmddxoT;!Q(nua=-9Fk#TwK!F zQ^g4lyaEbFN4r8tRXmKJ3DzzI&SdU;dy$B3q5Ii8r6H|7tQ1}{Ql(9wA!iM5;k$qJ zECL+Cy5uf(p=xs#P$}mBwPsO!M!!yot=5J%%_JrI}(4pzBWP2Z&fwFDpGLt zjjZgQEJJHDDcf{N2Jn}TcmH+j+KQ{m(waHI<{C;gC|kpeB*KGS55&}+0JsEkDD*wP zV>0Bd8$~%%2i0>sJDqscBZGm1H6&)dxL^_B2+uiNP$A0(tUF1a)}&(R=M!{B?#@XC z#`q3Uieui?X&jEc8hTp6&gan0q{BpfeV}WCl!z;xFnQhkm^Uvwqb1mRZtSO>q{F)s zu2`<%N}G~N2Z~#k*Cpe{oaBVS+W|bz=^W$kumxRUf(>RIKq=1{8OFz?v%Z5qp3g^@ zH+dMIaMt#7WR)56*pry@s~kB?Z8Xesjl|-SMoKOVd5rQCAUC`zfn(wOQOi4GP1O`> z%ilHkaHPV;udqrf(fz3J3&PUyx1{5tknxGM697Vi1SxPSwFb{mkG(*1&y5i2V@X9a z(0d?MNu6|8Ecrhejy$qzB=%rh2?4mE_DV(V>Ez>V+%9xCC`jTxk;-;POp{K}hEZ6h zrG-@(u$(BYY?uTY6f{6Kre;=EW*AO(Odp6^#_XG!5^>lYk9Bo+_gDkyBqWMg)Ak6OAxYeoeN9hDspV)y7L%`=Xx8#fvTJ21s(L&F9 zTsgyO4T9N6PYPH6rC3o6->TOAY*+q>j)D>pi~^$fTwC+ZkALf!DS_sC<{9&(_`nRe znp~TXe~;>KgY(Qlk1@Y?UrZ3*pa5G+yoUe=5HoL4=Fc(UUTp?{V!*32k1&3UYLx(t zf}LWcm8mU%tVJAH`?9>o-Mng)ODx&_WbGUF$UzYQ?WviK9Z;o$-jSq5t|3$-!7cNb z1UlveW}s!^Ge&O&4{+ZC`yEJC5oez`m@W-z^xa3?Pirxj0nh60uuGa~vK@8*&m`=P zv><`qS>(7sO(;6Tx10+-RNSX|9MHuIt)GykT02%Izi)%I_r_TFN$ox$mA6EZ zyM)&yvp?M;(e(M57kO2TKKwG;l)SbenavJeSNk0{`p((FcbE<3L9r*3Q^dQ zAJit5=BbzbsrqS@yB0{*Z=I%^sP0Y%4~RZ=j~V?834fFH)@r^RCrT(m&&ZkwfQonx`x7q79|c0EN_+ZI@5NdUF^Q}JOl%{V8x2w{Z<*g`TkCf zbQg+zd!)e@enIK|Jok6K&%LzIjdiM#TUN7lIq1O2wkliBDwT)}=27vIPRtCznV5<)xE9SrW_M z4)lKgCfh&lB73@3=x?H|alm|#gROYUZG0OXM(nzNTcX~^gn*dqA^a^N0UCQRgR9~4*LU^#Z=M{agZ)>$^&Kz0hAd7Kx@^{W=s@F!5R7raS&CnzC6e&RyvYS#o11M z$qoF`yf3F_#qWC#^yYJcECmtyd?lY@C5L~s7B(=$VQO;*7k{b;#O+_rNb8u$9`U4Xk+jo zu?98~0d$d@2fYf;1Ia7EyaA{3XTgfkt0mdQS~ zejU%Y>k?KP7YI>Z65VZ?H*_pMeGHIfFnB)FE)|k*=(H{maqtG9m(Xhs&~gsB_bzv? zJ?FV|`-R9JO-Eecw0_IM0q2+EnZ1Rd24~_2n&4}L3`a0hQ2G7$+cE41`JE*0zzqb* zk0B7;tx4JaYrJbVSF%M_Tv-;vK%4&2vIK^Acl)Hbb|iAh&PU&ob{8l}FBvpS2NaJC zeIV@uJ$cxm{wb&4tLIpH!r95|C~fk>7>7TT{hKY+cYywf;x3TWtKkYPnThDQ_Qz*{ zRPw?XPonDW%c`{PR@XJV-t66M*Sa|7a5Nq`m?O*fk6eEhV#To?C86Wt><}CE(xsjO z6!X>kt>Y<8WW?QL5k%zBy5CDY>9Mp7tW$Yt=N^mla&~>0d%k!T(mX9DyVCo{-XqBZj zYxY1PH`@VLD*i|)eNltE)0j4y&!kN3DVvUA{CFcD@9~ktv@q@Tw)(M1-TknkzEZ;% zK-$-7{wx0l-51$0rTsTR#0)yJdJ~p^zauNx{d4!P+0oTbTe6wwa;G4e&;vwe(B*85 znsI;Q)2m&n+q1^$)lq3yKn8?aEML)(Uya~NTRp$#PV&g?YXKRs%Ie&=-8#Vai|;K^ zW`?G80FCHn%Z^Jflng^CeyjiF$~Uw4t!~jMJi` zs%MfR3;zheM=9Jtoeyf+mPs8v*(%HeGyznKvh+#&WBp#|7k_Bx5TqaLO&9;)tL!thg7L+dmB?GNtb*@Y|Vv>j^h>Za9Fyb0Q z!aCBeBs>%bqTXvc2*_KCiqy#dZwKaJUae32qh5J%U9)N4@rnSLbgo^d{Y}Hendm0} zFvUss2UuS2^GxvB1bn4;BO#b9)mI;{}v|0dfHufRr}FDIW6Vkq5d0lW2Vo*<`z~mL-Z;U+4qNngKcsNADXtk#6hyB=AtHh? zentauUEcW6gTecnxK|ZL+NKA#*FG8vwLV-i%DZvoKnazeVxNi~37DbC2dgN? z8fbDEIcOzgn_EAkaHV*^8z$#XdwD%uC0YzGZb^N?$sH>WX6AdAGfg+_<_LQXES?Ad zFqQA-K5KGLL+hyfs3^H$6`t;Q(LiN6z$$_I*LQ*#5_a;PAfTa(>dlSrdI+!ji6+^3 zM*fb7?U9kyFH-zZVj9pQO(_{b+3J%MMmc-!(D4D7GIhKkQ8`bWEuVh4s!s>39>M*n zGOHxPwwd4OY$e|WuK`HzV={W=ErnNZ96I6`$~5N z(QKO#@A$~kkEsrXbfaYr_`Hx=3LE`SsaCh-Xjvz2IR02B_*=*?w2z8?LHTJ}7}MDK zA|7$WeFa6!5Nu_~PG{nV1wkZc>VYSiH}UC@BPtI(ms;%p1tu_nG&{YNK6RHsHJGK4 zGohP1e{9@!o9Rv?`rqo?W1KaywQcU3@-Kn&&;EgJ)4LCXOAR$`?I5Jlam36k6+|(7 z=fCWj@Kf^H#tj+qFU@^;g6GTx7CG-dU`64=`I_IGQoWW6+5zF`7!~IsBlG$)Gj?eG zxuxq~n$yB;g#iC$)UjdSJL7?GNwrw7MxpyPZhZr6@KVwO|BS%R&jDZ@oaBO=bc=-d znkJYBtx0?GhV=IBKHaa8j^p7K-wALeE*$v36BDB=NMc@Pf_sx{UWMH@F(_Imu70Xt z#D|@7?fPHO6i~-(OOaOyS4Zc*gAJhvd`mzhL3~jz|IWP6(DwtDBsf8atYJ%6R;!Uv zd&W(tH32)%GZP?5J@{ig;Dd6P(+2=xWklT!4(@KsDLJq=u(jR;eHt&S5n+x~YpwBT z_0K2w&0IRV3Oz`2D9>BfDknQgBl9Co6kSi#1x7p22bF;5)Mfn3I*7UQvd(|k_-fF1 zvMVK=ateaIzywHsAz<8>2!8~4H#oDT!mX&J!u?M&YKhROVhKnNsQprcl@q2f@NPhcnR$S8>p<$-9681mkj2hNK+5Zdu z{!M=fgeeZkU;yn$)oET8Sj^heZ{CAGhu#Fa9_Hs z67xbe8kq6rZ|ZA&Z)Ha%fX4S4|J63MXt-#N%7Z{rkjPBT-~M1RyUV%Amx&1rnk5S_ zsKrHf((=ric~)~{Vp6c_K@`gvTMuN`4=`qPHomFa3)(#o3)9N-z&ud#Y}wr=kd;FN zGA9d{=0#>_gUx;ksLmtLz7&^Z7;g9ga+3_^Dr3Qxed!YR?Nhp&xW!s_%#ofO&*`yV zn+PFB3-^Nuk#pR=`gd>>A5g5B{wgF!burK6XQ0=YD8VnLn6t&? zH(75)kDcP^Mc4N`V*d4cDYoG2PAZ3O`2oe9QmK;*uzx{UOcq3ZeeRx=rHafI@AjUj zpj8I)F?tHwz33)>&gxNauO%VRX=Ko9sR$^UCKeY3F_{f73y(Y%TF^dfrf(J-geWsz zeB#2ZGhBSq94gWziyj?!Yf?x&fmBDZo!_ic6p`YVsk<11TFBm>zeq}s;c`waSQ2=B zK$;=HvmV|T-) z{|34&*AXX_t1q6E?gz9IAsK*a$X}9Ck}nN4Zh6u}4WV_iLwU6T0zrK@qI05>9x|JF z;NNz&%t!SfPFR6#@fvdSBHonvqL%u)@5cjZ1tH)CZbIc=Ip;k`_c%Nha%p-+EAMaEWBA#e~`umZOih*(4S*I=M3Lew>d_+m4M?oE=KG& zZ1&5*$BQ2M9G}UYk)%VV$q?k|%8$U2%aX5@1`b0-A0NcT6n-}2fd1syKaj>Ir15<4 zH2`fOk|T8LsxD?`kt5^=&b>kK*D)Yz3~b!KL8t~Y?~Fz9tt<1_4t6U-RiE2idubsangn?HVD?p(HQ%WY3bO&J?X<4q)by2xY%(@Tox!6(D%RaNEt&CO zLokOxn@0_PoFc0zmU8TDL)v=!KsQ)2*&bN0^fxYG*M^ZC$#=7cnU^~*`LE4BY&QgQ zZdljNg8>bK3Qs%|?k>$V3ZPpQGc#T*4-E^~LdI@i*CZo!9wE3QS*&h3;Kh<&YfSb#%5Rye9^*mqpl8;Pt`uk3o*QIf5kle&n_NCw z{G1(Ger)43*gFcO5NfFl_s5Z)FD=1%!~{1J=))EgzU=r+dxTND*Ln|P6@YrRu>Uw= z?KZ{cR5m553;~q;7I9^V6K|y1P|jat=FwoWw)EubMh@K}5Z2Uq0Ep(Dp~|^3DhfdW zUNYCck==bvb$*bwCp4cUeTO2w-pgG!(JhDznZjTH-xha*w|MmuC7M~kyOf4)a~a%8 zy9_9bpph4N=-m=WvUs_C<9{r0z`1QGxE^Cygui2BpRy+}@7lF0ZG=i?0EdMZB;M(Yl<}AY@T54d(k`=w1Tg{(Dz9NY2SbOI_FCV zuk@#n=Fa^;@ECJ=R{Fl(CVwrLMDPiZkkGAXYI|zhq2SthaKC2iTI;%O>n#7=BjtC6 zSNEt{s@D-oZ*;;wZGwg4-V@Zvf!&>3g(K{T*!qtdoK6ri4eqFj-P?y-$RZCnUndXI z!f?gnBLN`%KKkfXGMa^y`)z)h4P)g0UQ8fc`6 z%`vSkx~u;?k6St1Z`^hDVpjg?nbV!VfBbsR8Y@jus%7jnLpOMDA#K(8*ZIOKyDgfX z6wj;4wsd|wojn{dhAO*7{+3c9+Iy6?+JVgmXb7xP{lWgHkunnx;3Yde3rvKNN7tB> zhFum)q;YO18;{9Sc8k>PCj|gU3zSNG)>B`u91q=fvFA3`X5T*nRr|V6+j%TqHra(M znbr#|bUv(fNT`Zulk$OT7(L1ZTYC^RYvEq;=$-3WUA1S_*iO2N(;)}}AZ+;|`E`rF zf1fnE0@vHwe9`|pBXV$-TP!GLBr&Ot9OcUUe<{{9Rl7=t%`77s&>NqZYp;gxC z$Mhckj;)y_nza%h+vH-*Zqp%!IGFD(CaWmwy785k1cS^D)|9Wr=FcSUWD`Mw1Iowf zRV1C3!1alk1~!Dh4EC|sN6wN@9dxO%Caf2f%Oz`r07ow_l1_wM09-?`gR&|wHL0#B z(1`U}xjqpw`#QJ-u+HXwznGgb>pSpuBGnaWS|0$pGP*CbQ%%F89u%PtbwDC$T232z zhbX|xy#bx#phpkWsrVzbppUp8PV(?#M^${Yp5a!OkDAP&y>MB0DzG$xXmE(>SCK^f zqK9;0G#6AHvW_qPAYunNn6fVSxR>AutvQ^z078ZG6jR}%U!j!+o>Nl)TZh6~qgmRn zy)Rm>DJWic3sLOCe>7pXi9l5J;o11*NLsctyq7E^(|jj2UVwTCWfEmy36LU_j1bE` z38bCe$Sr^K)na~e^mGv*(F%uKtLn`DQy+x zb$4cHc5*c(@mtAPL%cB-H}~`Ygg!oqm5v<7lz%&_0Ftaw765&&SV9A7y|VGUvGGvF zR=N7m9DmDRa#pS4Ofib&0A_*0v;rq!kY^^}QkJl>)(-p`+eeG&dG0$^VScAu5)uJ) z!P-^IWQ1GMr!Yt}9bmtmtj~C~>jcY{gAn)-rxJGdtyj(tR`D-ovWNg>6L*SgXK)Z2 z7F-!k?0F6A|EVEu`sg8LBo0f-be@n$1__VHJy%5|?m*aTp?@1_}FSia~9OfqiWc9LkhcfO%y z2h5eI)d}_Nbflh`-L<~c+5QC&G!!LuDx~|1vNO6Z_qM7)nPG)8J;SJ;W^5}fuAZt@ z{NKc}@S%-{;+~Nv!z5SiETCyD_uk81v7(G+&rh>`Rr9Im0i_G`OLg#Vfz`I4%k}$F z9(y|H&Pb+02UR9vp}3!f{0{x!6+Yp#K!yz6%KkkKtI@h+&vrF@MfG99QJvRmWE7R^dHo(;SH?J>E>HEP*#~NBUGx-%XvKNh zN)9w0xegrr0Af|{lhGxtIh#+Fn;mH(&CVWP@vRsB%D*@IX35#A2KC!mqtm_-fY=O< zJLlMQ`C3l5pmPpE-MJpsUK>ZCda-N!r)j!;%j>rO{yDwY*ko-H7$qIPPvc!pjKods zr=SWsA$t(n)=ZN!SJd7NvuWZbC(>Ujx-!YV1NZ~u1!K=zZfHC4%43Q8eM>_J%SP%7 zBiDr4E%%=^?O-bdyh`DC@K#?bt5he5lg7Y4#O-7FCS%&2nkEaqg)LcrqEhUBI9aqyXssa3Wc_C+LS6{GGn~I+0`BtZkI}WJmc}yXxG~33wDTL|jlUid zD~;`4ck*lR>=0HR^Bm+ieNJ(5?E{6J&*MC1pUiwPKM83`&SyRk z_%8()*l=vB`baKBF%vSp1!#(opx%t7`EZvk|67m6&nrPFd6*{afk2Hr{zOwX+Uk;$ zI?F5|-~c;lUibsjraOc%sJ{;^RBHw_L@y5Fv2Yyd8Xr;`MZTmNpK0pNN?DlK4gS)4 z+qDka;ZM%}r>FO#HL}< zo$xL@?oKAU^Mq6r1eoEY5YNll++mcQckpWeW01^APBFO&3rc9(Vhfs;=@FV((UeXH z%8MRC9mkA=wo>hX?9Bc4ypCF3lnA;|9U9mTKP0VVO~o>c!?lCSF{n!%I~fDC$T0XeVM_`nXrmi3#q7>gL}^Fw;#_j z={vx*q%jHX(t^H`MkFC%Cr8o?7*^bKd39a0DmJAF!p#tJee)3hnODODD z>Z3IBGa%1@ck=uvQf|5^;3(&!E`;QPitQ(@F+Ga`O6Q`;TEmm!@izN1wJ;-FGF|TR zWyI2`0f(2X!0SJsTO&UDb)$+1`z8Ux2+Gz_^9i^jaG7)lUAsK17g^66o0iYhER#L` zD;Hwr{VN}Ne6KQfUu&5%_F1$NNNo`0ohf0~E^j*R61MeO#Cw-L@6s*lghT_L9>5K0 z9M^NIE>C!qA8Jf_1owG!=Z1SwoJkfgv7MlvL5&zk1x?%IGXX znOniAWRK=t6~QY`t7Fd02lzikMnTa1+dy0do{h^YB!Q-B#Yc`Nm_ z6E~PgLSAgT6L&S>foF2qaPZ_&JVk>q-i8q zdlKcHDb!W5;J3kEtMlsWq9HFV5MEE{GujnWAHKHSE3nnCJpUv#kyhXk)i?MDyc@`x zMzb5K^rxpxIo^`bJ(b;U)okiK7-y*I(ph4;LJEn*7RX+(UggTrsKtSKH@hcO*D_RZ zn-hoVS7P~qQ7?I~FsYjvuM%maj_GWEtCOjkAH=%?(VLq?YGAyYATz@O_SQ9Xvj(@p z=0k|-Z^SWZ-0Mp}jFprs6`!8L6;C-JFW3*Ep*V){y!{n^Jzz|^6SI-0#k5R=!qu}t{ajO6lV;iP zA(>41f1&R6;VskruZ z^6U2y*XB$%viJQeLkyUOIp}{p7^LQ7m;I1jd8Qn%B~-pQo$XByue%`!I>H!BLKAQJ z4k*{wwc+P4f+>M1FUV2Y^A7{2Uv0Zy%27l1%fOs9f%?5rE>-|%v zPv8cw2LY$27~AyEj!PCVCd%3csMfiMXMs!#rCz(4unWgIkJQ7$CU6YK^Y$l zejkkI^$V4$1P7(fP6#M#;WmkU`fw6V%An8aUCp|;=0Zza3?I=r>gLy6h2sfdTEn-& zv+nP8a;`#!WCIUP=iJ}d5mkG66(3jQ3m(33q61Hy5NONypR-*FxNLKSCv~jZKlt(N zXS*dh(C4Sw(ircU!T-d0irBt0%z1hAO23YC!#-3gY~AtZdpf_?yT1ZoL|u?&3C;#A zzEFF*8Ksfo(X@hG6dvgF%lS=}LF6HnDYiDtjY^)kY`t>(g1L+N7kci)V1@5dsVPD4 zoZ6O`)0P5^df8oTu?GhQtn zidaIZvkL4m;{)tVdT@oGhQBG2USaaI*GJ1p05Q*`#L@v`@J2r~3Nuv$ODU-N?sQwq z>jZ=8KIGuY^S8W$?JjyKohoTcRa5cWly`Mk_c99U(Y3R#J24v)zpk`68h2N5xC)ju zSnPxg@(GZut-V)e4PHwat(E|$&g|ND!dIn_6|?)*r>6=j?Vw_BJ!xozz^9*26i)%; zUl2pVh0CW4B2qRA#nbVgqBUFCABEdF@?`uH=Tu041hj6I0yZyxQBw!D)2SNKEB+wG3)LQM6p{)r`wo=Pv`Fjp*P$ z0&5n;5m&$fO9x|7jm{vffa4D|K49FTb?uu)_siWgZGAoj($=2dRUHPY!{e{wqfkh$EojT5r0f$is{V7JLATKlk&8Ejq9ZO5HH!)&o_ z;!@~s0i)mG!b*v6ZKgMIlOH~@LE}hLxD{W2C6Ro|-*&+`)$zD(Ya9NJUcB>GQmPZ( zH@RC|jRb zN5%lH>m?D8AGE~kqAc-7vW|cbqD!7X%`Nh1f7>kNtz`r-Eg<^Rm8_4z08rI33f#m-J&=l~oZ*r~6n+1SZ^{#jFef{HS;O0ws( zmF2pIP22*@m>OE{u}VOF&4I!`d1PDT-@D?8cK3i+mS`zE&PxSx9sZeFw}=vUBUF9q zxA}iOU3Way?f-6&N_NN&N#P)S%Qz$}$~qj9viIJe$PQ5*o9s>Y3Rxj5JM$Q2@4fx* z&pCa6|5UH%;W$3$zTe}zuJ`q(e5reTP>k?@XKeF8{(~*4w+}z(TOQ1dSInN(o3ssZ z-F8LnHf!bw?AMB1mk;bc4`Qyc9U8hWKkg-Qc&TT3_GO?*-qMY%lx)Q5_R<41WrX)_ zkB-d`hV4>sz@r!uw#qnBa?&sJa@DkjuHSCGNM3L4!5i0Y(5Tba07p#g znp=NQd==B0_M<8y;na_hpXuU|TXkoVyx>bSkbBnG` z)|?(-c48Ga^O7y!uex!UryqxmugLNoRO42Sh!*a3JijhjF!5!B|9|Lh-Jch=> zoOZl9ldalA9W7ONd97Zth~NE^lqsGJlA-8U$T%_7bU*JZ@v;J8{cnb15`d%^Hp4!$ z_6qOdRudCl_)P>mx(cEz&a?MS-`tt_g>SXeT|r}#^EbWd^pD@WPfF|#FT{SZbm#7j zemO!@Z`=&@37-fKsv;DeWs|;dC%Cp!uP8V;H)#@beM>L7YNZ~(n#_Kvd`g4sp={Y=P(aWO>p`XLhYwhXko4|WqInVMgIsfFPTP=rfF!SW zgCm15DD6Tv01(a8J_s!3Gs01W zB*8R(0>%%(=cA3&|1%RLwiC~$Y`8C7jubu zve#un6D)=Oa&~~<$#va%6PPBCuT7^(U7>7pwwzxn!D42aN?O{8UGL7fB4U`Y=i3=m zX{nv%Es9Uah_paOc{1TuK&Z-l)YC+r7E|BtX+AI;YKK9y$+Q%8cPUjuOUms?p%jZ0 z-x#yO*X$LIpT-db_yJIIm;ITFw{v4W+fMp_QX)I3$S_7v2!|Ep?qP1$yZShrRboD= zY2B37rAFl@Y59|lys(q^7_y04@G*%Ae=Th6xzVTWVI+&A?k8HUoT|bkC{2OpVAkR* zG0us;gxbH!u>NxfBi+~Qp~T_XHC_mE|IWxVQ=OPj!}SqI=QJr({g!4|OgWHUD`8qm%A#MbtgB5OMdq6p{`VgDW=f zwU6e@rVs1sG=L!(uws!_yuW^^co4Ofs%SkR49t=sINbE;^x|NM*Y&{B^1I(kcq@r6 zPue~3cB*$s!g~(N+NY|orO-Q!INvVC!v0;3F2`v!n=(9EaBOF7+`FFzSLkDXB!tl~P^*d>zc^ir95=xz+pn#C4(!Go&KhIn_s4)GuGKc@{>mQDWGqkwNGEW&xqum++atOBtaOj>|Did z93N9v@18OJ62F!WKtRoDbZ2YT~Sgor}x?U@zR1)jlvLk#_N zXfxEmSj$JM=;VQsH5>N}Xd|}w?m_>*8Q>umTAIC!)eJLPxM_-jIdeWD^+tI9osl3F ztr2S)X^gq*dFVb|vcZ>xN}9CD`!O)%8!?#R4PZdI5q7 zlMvHJ?E@sxf3($cHS@CRlFY1>MR^#&*~?Ew$zg?L-~T?@n9_@JphE};4#c!aD$2ppU-F7Wc5(H&qR-_*b%D-mhZtdOTly|?m-4+9VDhH$iL&l@lbeJGJEF*`|kaO&l=yrAO&h_gFRlGoAMhJT0gc zoIJ|ZMhwoyztB>xhwGx!UApm~){Ga4WKFJHw}zNH_gJ(q2TLsb>)K#&+_+JfZw-5z zgI>`g&dDcAU@ALH2c3tRhdEi*o9Ox*|BWebEv7nl{O1jgg^Tm~KWiHP!N&BP8PmgJ`x;b(H z^|l#CD7dtY+5JV>+gsRFP+6wv*OV*ZuUgSK#d|jRxvqbxRPni9-XkXgLz>o6QR=^6 zR_Th%#NX`2s5z)veb+C$w$})O2Jo^~WcuQnk|xolnmW+&H1E*04fPvaZa-XsCV^Kr zPxJ2B^ZKeWkW)~HED!B?k1-AqSHCIrhL)Init^*6QGz>AFHGW%(IxemB`4rW!V0*L z-OHhIGte-PEfjAcx5i&zN@JSn?Ev;z3tNWF2z~hEW7j!J0Tq~&T zOA3=l+pVPa=F2Sq2$&nto8WW=IWS^gov=5n_s8b@vB~kL@K7uj*`FI|=z71>+b#m* zM^u=~LitMmC2L|IaUQF(1F6>O$PlmCoRX~YtPCa7gw+Ur*aLaJ9~vRRif18G*x?m3 zSln`-RY1?_en1wL0QnFxc#th|21*H*_98*#QGcS#7olLUW7k;a604e zcHc#NmcHCO?XF?|x>65kdW6YF0>yN)_z~Rgf{NJW^Rl~~7wDA-lX{S z16F84R z1=bKleS}s@WduC))p2#6$pa>w7HBzTQ{TExYjb7F2`9lGF=Uwi_qSm3p$sx;v-mmd ziYjrVn8?1GJ3;>ZsIB62{) zs#p>wKjppI9oar^0%6=W#Gp|P-mtnz>rq=`F*wVO)W(V=UJrilM+}M4?(fqk{*GOt zh3mn`8>st5=hP(xnK6u-;>pN))J2Akn!Pmh>&x&kR%uAz;Xq!{yW+BBUZp$eTXn0~ za*!z`o(Zf3GrAj>1z{?ArsH1HiocJ0l7L?!l<7-ZWG3A41B!fTp#TqZRw>zeZkmU} z^HMcKkB-IOJ3Zzi3%2iyK*5R@DdcP^lKFLqWhEa(Rh7;f;sY-nN~XjuVgv#Yy0$=_ zBwF~cw#&@0Vdn!`CkAt7DK}>9zI%G)`KN^kB6vcE|Fni`01YoQCFP&|%q*C+>IcVR zmg@%Cx93(dt$-%_xpYnJ__)R%U=NrsYJQlk7*-PPDD7g51!#O#+6;9+{UF$Q@$Ytb zrCR+~%+#g0T>;}+i(~Rk7@7P*1Mv)pPj_@J%WQ|cmtk;v$wTSI#4YHF@zbS zNHu=2Q7(mO?{(-`7G3*5_u24uuWrk9+mD33$aODZKJG)unQ=|g2iB#d%)szpp6`|e zT4QKvV1E&zEPnduy`-%Mw?Q;BC(k96@mN=reiSCpch=Ct4yNsi!Cu%uQ|-%Co*Lww zcu>m_QXyD)^O}!Ki?FeJngkF!-%@p`nd1@Lu^Kf>s-aN}-HqbiX z=xOy*k^M4ttsMI38a~9E;Cj`bcb1JN(gu9cP>lRp#EAcZZciokpD;t}z~TcTb$7v4 z?03Vg22UuLq=~tXTD4f)<;xc9E!6B-EM4Al89v3lz~6BL9`9)yhWbK5bLLa%9YXuz z&h_4N+^tRBUjc0f5)zcCg%6zJ7W(KV?iKNOAVZI)vJw>ozi@Gdbd=oGBTulKD@gsCoEfA>QfK z%oppVky?IyXJ%#k%MflrQM4+6UBXv!`(}UCMaGj%^5Z%ncpkcNRm3dQhM1ZeY4tuk zNZJs594BQj<(XfYgn326UU#~$mj#(UmEj{F2x&HLi;Hq%2Awxnq&Jg13^Y~G378Pu zc^i|cTk+wwit`o9Znv!ld_J5M*-VWG%yjg=GCR%3zg)+RGS2*4sPdisl5E+7=ZW8y z%tEqpB||$KvCZ)ed*RrBRkWE}>&rMDXZ2%`Hy^{5R!{Yw3^Eb**F zh-@4~gSu_~lma(=^@_oC5HyDLPDRcuB3L}Ogv2EhLrIWCb)K22)mUAY7VLr`_~x(% zMGn@A^~&JC+?1UF`h*Fcs2w7=!U^2orIn(1ZK$lbsoga@Q%uTf-6=X14AwsEa7R}o zROYz_y%)r*h)?r-*f3I>9~oC#?GJfb%o{=dioVOV`dOrKD^arfsO&0(^fz{i-AFbz z-?}r0mRid_Wub(yX#S>1Drnc&&ANgjuG>eA=IH{sEgCq$xbvbQ2(VdTx84wF(zU~L z+%JcBrMk;A-S36y&JgD_R_)0sJUPmW8u&n>AZHf+mIbfcgdetF|1z78&wn#=jSmpr zozB$dZ4+L6nw5$hup%;kAgO~IFP(+PqxZ>N4t_N_*ny{;fJ)8~P#(7hI35Wl&m0R9>kwEsT%%k_%h~_{ z@V6W;5&ggMZl>$n;qldKA4iBZFi{J96VDANS9KJK{!o>w)knA&8@L}NV(^#`D?zes z%bV=y*Wj~1e4RwtVtOv9n6+}VWpnMh1aiRgjI^3;#-Z}=6nSs`kyY4C;EOHw{!5ri zAYoYIuiGpN%?lDT0^s35X1==hHO7wBC!83>8$6wJf*NYDAQyxnP$Q6Zcfo>%yT9?* zeC7M^jTZ^*U3li{T-DZnPx})vb=2qa#I*n^AMC-Ni5%%vOsB; zq@5P}HSf3Mr$Wgcll2|OuA!nZ(!Q><^D@(-7c@ciwN)rioQ@-#wD5_xy!r?*L%66kv%vX7>qR4xLjj1Die22Lc~b z_ce-l);}xdamfMB2$_*l4W1z;pKUn5RSaD436_3Wxm#G6nh|d?BMa1RU?hV^jn%|q z2T;2e~}5%)1+y^_Udlw>uPgSqTvpiQ}Y@OX*qlP3LXgg*KUWkFC% z3|xryk>b-m7!k9s%OUzZ|E;P+{g$dXO5p=`{B?hj?B439S|FLNBIsc`(gAOiP}N&-Q~ zFQ|=h%xH*>FTy9`5G{y8AcQiA;s~*ofgK=~FJzLfy$wzacjY#uU!ORR*Ya?-L~Z=a z8XwgD9GLNjb$`JdT>4CtM6e%{)!AKB(PCp+%%aCUQ=ps$Ov)7~pUqobpVW2-a65?2 zkU}IrPV#wxNd>q&#R+vh#qu@`5$z_YM`=|*+rXSMCliiQ);Aty+3Djv9(i#0*&GIj z3GW0mb9_B)ps=q#_7)y9!w>PbJj*5RAMDOl6#%KN6H_Q_oW)I{c;h7I$N!kZwH*TD}n5= zTo6-1tqXqkz#SIasM<+r#=SwYQkZ%2gmf3=_Ew~v42 zI{aaOOK@QN(ydBQ=8Q}unq)usMh~AHd@!K~`In+<7V`o^O={Y+ZdC?Vi6nzpd02nj z^Xs9g>{DheW#!@5OkY#*6^C@kkVMZTI8Sd`MiB3O`XE4*L3;82>G<9?bw!#v_iG)S zN(MCqdyQ2lAGG7F&aTX7+b(AI$D~0W6xi9p3t|u_c>(%bGLs5ABXw`nD|8l7V3*G@ zxjY5>b@U`av;|72huAlLHEgY!50QN-yxd~3ogfe_l=+zqYnt%uW-;9@bQnw45VW|> zRK0pLfhK?G{;(5@v~j_WS=g@0n;jmIFE{0hOXXq8O^=hx1NvF=gXj#!iQQyOeE9LtKF1p@*Lpu zlZu$*k=Fjha~lk=gB2OFiaW{;VtrW#KZd-HGcp{NNxH+wJC~wo+VRe+4WG|N?5*s# z6xSzC;w%Il(N_e#5lC&WMfTkQtvM9BVb%Fp33We?pBY-Xew4HfTR3bU7INI^T&8+W zsH^PM0Q*T_aSh4d+~1X_j9*sPW^SkwdLl%mCsVA!{=K=IIlQGbc0OkY!cjv{b=&4r|lzpW0#}G{Jme;-}laGi477mi^wLsyK04-t6(w&`+eO zh1$;E(E}?Cq27bS#tJ8z`S=^c2MKL32jPU*DwM1G*=oUCk*r4}{s5UY_SA3?wfd&2 zky=-_o-<_o2Yu1-=v0O?Favjhs7b${ctjFHczwzWk}M!a^&8FJ!;@F0pJOUqsiNgD zA0gk){I9dVD359tkEe4+YV-4 z2Qk6YH7Vq(HJK3Rm$u_0>!j>G+>R-bddzk_=cZk?;CzHr1uJOP^;+3?n=5fuzk7Od z3j#(^|CLgo@?WJ{-gMi@mx~s%F+c{1IWYQm%NvFBXmPaASz&m(x8g@)Vy9m!4>r3? z@n-=44QjU3H+h$1cvx=ISa*u5~n_ zpCK`54QwG8@x-2&u9qgo;f1tb03@(ldcW}XawVOQtNOwNC|~56QYHiqaeHnBG-)>5 z{u;=ZjXs~CbSaxV56?5rqXk?XgG3Fz!|h<^ai)2&)MnwEroNWU_~Apxcc451aLVd? zCgN_whZ{CMpz;ErSLTIW5NcviG(lrtq?k;rkF8hs1NX6;vY}MdA~3)&526=qsU?o4 zmW6c{gw06*(Uor0{C113WY&-2aT8{H1XNH^O(fL51GFV<{Pn=TAm-=rhOkdMTZTP@b#e&%2x_B$ddOFO<6VtYaN^XI>(I3ze_S^I}Eiv1C@` z{0G5cYg8C|u53+P#FSOB*Zytjt#M9ZjuYMKJX_XguO?TQyg8(f;XC>ja0zf z0?)JweO4Ylo#Pdu2|ZB|Zyb~T?ABOD^-I7_$7&U%{LW4HZNM77iW(~CB)Gk79! zkQV?C0N8wbo`*ptJegAdp(`k-OmA#19H^00WJ-6OuOfx}w9?5eE6%)^PgJZ7;QCiuyws)O!&2{tz#Y zR(cw?=nqX}KvVp`97y(~)QVJ3{s(^VKRv4d+AOAxuw4kflhm=Z0oumT8z(cPIaikb zTK^JCdvTXejo{&OZ57#09O$>mOCe1hJ!VzG z*nQd0jiLmw%2zDUJiUP~dJ zG^!`1(`tS$X%%#0#YBAp%x*K-+?dAbZ8H9nEf>2~y|_$nbtZa!kNckz2Eo>&qZsHG zE(}xV*xnrAik>}ZhHA4Qvv;O%V(~7_0$6+HwQ=otBtvn zKAn1dh7+BOmtkPTRz*Z{*iD9wV5{3$T*FZr1`v5~#8a{8M zk*psB2`+Y~N~AK&rr~O;hLaUnizsu1Zqyj6)+*;0V$qc#;|0CY{G`QJ zW1?jMQ$u>_;XllSVz+dY0)9Pviov7cbaG5mEO(*>S`*~b!p<)Y`uXQRSKj5j?Q9+< z49giMR&eR~)fh9d-OUi%BWWQ?4qNxUOzH)c*mHcpTgD*0 z?EEI0@?o<7-Nc&f?X(>%PK5HYZ@h>7$icxDU)i+W zSMTwidSqA5$5szRM|N!l3_S22et-uCLXv=0(Z;!Eh1$|LN-rrJj6{pXdHlbDMr%fS z`$B{C-tuf$e0vxW^#IQ-;^8WB6R2Hhj8~>}5ho3--coS+vUJHI5zN`zite)j_vXUZBBKkJOJM*q5bmqneUNE-t>m3QtBIh@2o?L}IbSX)qe$sJ!HW_(^vqRQ6 z+A1&F{*Hzy5`Si2chJLF^!6co;U9j9@rXpsWL{__t`JYYVDP6s(iyk}9Adafg57s< z%JR4k^j;`c3U5W>(hS-wtw^4$cis?0eYc%6DW(N}Q{e6LhIvp9SnzZ(v`Rkjys

    8v`|gREx(_c?Mwine@rba=;?|I3aIf4`nOPoB=g?16 zDC+@&666bf9pG&X;HsdPE>i(d%myn@E+smE4jaH`H!3dPKQSB2Y`@zj3>mbbpT7M^x@Cb$0?q?$`s$ zIbdS-aPm9XmR3)5a0Meq=4mW%-9*aJt^JOf7u&@zea{FRioJCXlG+!8?XyT?*r6jw z>di(^K#$cs>cK^g9eT2*mGopC+zf))?rC*Nyewu zdNj4hXJ4xuxon`m0B=&Q+rhSx&1?Ic*w2dB9No@Bmz#MfiD!K^1~<50aNOvXq${^> zIz#H3#Qs*!{Of2NW3j>k#HT9qK860gRGd`TOroj*TJ=}Z4&O{?GIa$lqa-nIFCy{X zD>?{eB}}KcI%c?{A2Ft%XF3FRaEBckiv_5%`k@2OPK%<0^2TnRo!!bOSA#a33!Gc} zXA4GBGgQW0lM@6bz`GlzVKA)pC>~Lyxz(m20cjjVO$8k0ngiBrTUdaJHMpsrQ-|C` z6X2Eif8c>SQGatU<4#g9A$wPU*PRhgdFO$?0HR_m=xLAme`%_$7HuK}^39&C(dlEa z_OmwE&XXWEEOf9XzBl7}jkTxce?O_BZNye$O@}!WnBIY~6@;2{zaL=IU#n)A3a5KI zdzYDW694F>bEh%RS?b^BNTP}?rKT$F^_3qpU+sbnS|ycb`gk$hO_E}jnjDeqN==7& z(FzYz{T;=1&I+g6ymL`MMn1yJ$`V1oM(k%=IX;PP((K*L{rvkbUNS{%0)?OhE&kiN zS7u9j-RmvOqu{u>rzz62PcGsrkzFJdOPXE_||OTi`RmN>W? zU?Q|4UzYv9T>8f^L)QdM{dBmza+N!~Z=|B=!=Pq+n@R6f!44_jT$IcF2(y|{wo{JL zH>o-eSgw_AI#DJPgW)KT>!8u6gZyusD%C_3L<2bsbt#)aCcnAbg8}|g&hDQRlyPp^ zB@XZ?7DyQUo3We6|Rcz50~J%%s%=;7W_D$S-hEb?)bW#NB_UzkwUqZaBlM>@VBn>L>MsS3~mu!Rib zw&She{B(}tH=#HSdI>JwBUv;97bl14*s0qV$#VX+4z)mwUtEQ5KS^vE1(M#=({ANb zNqn?z+D@geDJ}p?Y!q2twI^Uv`$j*%6i!JDcBOQnvj)HFAG(9s64+Cb+6{H0i>n8* zOmSw*^@_5kMO6oHsxk;9j6((6TC7oY|7Bjnj;nbZl+uaF zkBazsK?YsWt)$=*y+oJ4YPDYdVa80$rlq1?W$F@TQ$8384b2iMOg(#!PwrkSD1qUV zyZnr$RV_pNAD%t4L_zSbY-sW*p8U%WR5aHJXx*TTM7PJ!$rY2L!GIl_0Q+k8FTZnd zijDY7vfQDx+7YK{`=POy`Xei*NAn#jvFe6Ow->69bGh)21Swjj;Him0UuQ%s#5Nx=hw z4CJ2=nkRH4`mS>vfEW(3Es1bx<>JuKVT$oP*Ure1$IKqcTP?jOaB^%v+ZnPa1zKK! z1zQ%Q&jQ@fqHKojfm)Xt+J(l6+m~8Gc~)e4Tko#S7fb5ngOwEXyOO_B?$T}EzQ6Bi zMyIq2@gwHKX#VvutDd6``Y&W2Agb_!B-gav{WO>1bC<<`_0rH6ZO2=dl4K0p`=*?s zpZ%{bm7?O~EJmXaXv{Iiy>$kmzp0^pJf(8$5m%1<{?S;~%Qu={@7l4(o2a5T`nus^ z%G)*5kD#Ai29#M8*9aaJ2O3qTcG6=K6~)8>pV+?RlFi~iWP}a|87oD2u68T%<9FUF zqZAJe7Jr~gr*_>VHt(>Yc_&wq*gpvIhjZ94u*UZe&@#QEo1dC$cP3Q1X{P|Z+^I{v;!;HbjOEK&RQi!kh4 z3Vwizmhe)v@b1kOp7}EXspc_ROV3AvjsqvwiUg3aJl!!}Mf|9xWwTBzoq{~f z&cYyLfk7F4ubKDpT@@YWUP5(B9|Beg`f|3Jq>Iwd$gSG`<&ox% zS&Z5@khP9EwG}OoKeTa^E!r1NK2&eaJYP08GA%3Wwaj~nJmv7F#LV5K*75#4K{^p8 zW}zq~`pG>y9LGn6`g$nP(+d|IzHt?$U%wvQ&kvZHKKV+`qt(d>ECl5p(om(kDi7_7 z6D(ECHhSxOHKuR9%^>w|`ZMcSDX`-8I2k)t|^NxFag3&lLa%cuJC zkEEY3RgTFXFhEkPGomPx_bIHy{C%c2(rywV1O0+uwvx({cLhyMYWw0L18-AVk6Lr= zAESg)DwN$dhoF&n2pLblII!k0<2pcs@w)neP`oO1W)G{=J2l0XHGsXZ%1;&!55ee; zC-`xLDGJAlIN#>j-_W}PB`qh{`PvkHyK6sJa0#560izzeC?Ipy}4DRkPgVg*Wjg59Ukf}`Kcm@K44;gsgb_^_EDg(5wm+AsMhA<&2cbw6;7wr9MB;lNQU`2ZZCofzviJCbEg)ew&iqS0JgiTDn9 zH|UX!O7M&9D*X9!jXf8L>M>eR*-(km5HOTtUDB(6A9JUS^M8Tm=~1up!rd01h??t^ZYV;PmONd+A^>bd9p(NiVyx05pa3 zF_}^oZeYRu`OT@ncMI{s>a`>{ImXrCfoD!)oEjA1L_*`?aYwHVWEofG9HN0!2!;z| zJ0O3x-6zQfEu6U?~t{|O;Xy)&ZnwyP2Q+)zDqySL7bU!0Pi@9@wNjj|PYUJ*q(E?@TSqu1L zAVj9|rhTf_s=@SU1|!_cGu;?G^pQLaVmSF}19mgY2#Hjo!3ou01;JwfWS@i_Ceh7M z42Vnxy?`v`9^XzwE{SWCVOT+O1{c@9sIUEBz|Mu5g6GqHDn7zc%zt>I2u(3S8_jU> zGMyYZsHTL*h*Zn@wntiQ_kXj)3ecB00}FgUs)mejysn}RUW*v(JDTFbw>o$wlI5?Q zNLTMRzvWNbtT~7*(C#11Apii3|DY`-Yq%-CN`Yr!gDQ$}LtB$IJ1U ziO|H9kS<`X3hWEpL%tRDJ&f|Z3uZXV&~k}~X$IHh@}=*mq!i+nFt_h#zX3OMJWXJ7 znz?vOjhtu@bR1BHS=!qBU4Tt!k0t>utcQ-rD1G^i?7{eJ`44Mq;5WiIco62$A>_L5 z>kFDcf1Yd(laayZ0P#h#FC}`m#?L<$@}xOX-kwbruW?VB#Lvj{H90@kJ>#>m`JL&Z ziaj8+mQpGH)%Z7Kx9aanPb^%r{Jr*wv9Kfk^MnoPQY^USrBdqDbvVa16lg!CU(I9M z`2ewYB4Wul2ylZY&F6@<`gr(nrBUz`|9vtpDE|rLf3Z;Zkl+~&>)|>RAIWtLO{-Y@ zrnV@obg%yoL{x&Y+l;l(=Mfb}>{X0pU)D~=1y<)Tw?Q|L+6Db_CYIbv6?x?Q$|#bK z4(Z58is|<>%A(fpBE||}O_P^FR|FzvW`8s>Y`xDc2&0_^gpAg=Fnk@elgI^1KCzEB z)G4nNe*3(wEX&JHd!-=c%51NfcE3~qfRbT2)z;>lXmjQ$Kt&B>!nvZ3+f&!-dio7qji_frsM<1RSY&n;)c z96(Fre6jwNE@@tHe0-Ho9{~<8LwjlYxrA^yBg9>ZYvEP>zD#xDB%wzLfdXKYMxpyAA6-)H z{VRYp>Et5`qWo5@NO|S?{U(f#KN@{o*_AFYt1heY+2mI!yaRck$@m8W;sDhzhu1Ka zHi|$xv(_8Nah)oHCZO!?7f0UYA>O&m=@xNF!Mj#>(E|^7t9VP?`x{grmn%W>o80P~ zWZ2EPssWa*fi82Gnsofeg4luV+B36HiL}k(b$|xjIZv|EglApnbf4jWq*Z(hON%$P(t=YOVCjS-TvDu+RpR_kmW#tWizE zo+g}bg}D+^g05_K=_fRJJ~c!cD;73x-jZ{X3*-=8R0yJ=U&+PE>)bLAEOKHDB6R-T z%+HFd0%x=4;MPdm}V_V!TxZw=>|Ws%OrP`}cG{ zCBHOVQt+WPHrxM5n6)fT%utT25fjsyVEj`Q=mHM;AM|~262|o6-f=pI!9@bL2)Y+E zFR^q(f*Jw-+X8B28tCXT=d$mw2AGRkFT=r#%E7pUxpKVl*|&@WFVeu)MpiJOqtKW2 zjD!XKK;AKbJkCsXgoh!`k_THvxb9q$6^@DzZ2)x|6enR;Yso?fWfp}Ac+q}P7J!dG z|0E;0`ls~V;?MqR8wqttb3u^3tjxw8C^Za`rUIF z0IuM72cGg~MiUiJfGFh(w@+pes^Ai>*leX*UuAWrS|fR^)r-smZSUsi^E9M_u6gMO z;!8S43cDPr9~0O?HEX;9 z%N&Hat=QrpC+WhqWH4Oz%!P||sPI>IQbdzcGABn03j#r>7Mk9Z5(E4wG064lPOQpu zzHw(ecXY5Wa0n!p;gPRi>1coP{y^L& zrUw|U0)?Z)i{nL`uVudRmiM_V+w^$~o?UJg_g#Rf>p42DzIn}RwMuv`-6!IaA?^WR z*3&hrbQS*{gWP<8BY<9k&e}_H`pdnCqO<1Y-NYloi6GNiFZnm4cavd@Z?TC7t}8dQ zDX1qZ5V5Or^p+1En`y&5NQTxOmWP2bW(Xxm^wb6iIZRg^gnm>3J@Md$n-5)*+CZRM z0tRmI{#dKBSP2*Ht-}n1>45ytGioAmpT!+r2%(uEyT#H-$lV)$VXHPOJzuuCI`n{< zOk9uFTT8nc44?*zfG>DEbWNsA33%54(BAsHB%Qt@yp+{o9po;ki8sTS^v91Bm|XA% zzXv92*qv+(Jy0^CWz#)QOIip&@J-U5GCSpoyZqFqr%7 z6^1>j$JZ#U>R{uO!;GtxpYuqZj7jY2D3Sw@ZA|!Q_w*C)&ab)wp>k+xiNtECU(3wu!Ms{EoV^P|Vau93$Oa4;znbgrcy7 zx3lPjl-@xrwf!$Zo@Y#b;zwF~?{T6ACSDe7&ctrjcG2(yN-Mb)bf3jw*Mwr|I)|k0 z8RvLCFJ})F1v*_~p87QD{KfR95Q`CZq4|7x30imtbht>SCjF)B$uGX)JG@XYqYQ`_ zz0yqkx&G_TW|%?e4Vt9)spj*$qgBU{s;iLcwIWh^3-q5k>;PJ^G>dz38ao&FL(*}+isdoeh_owGTDTvk!ap-XD8I4Jc^6T`@Zw?csTcI85aC$I2BbOKo zFMBXbIXY5tA=HAwKHz+Um0zme#Im~DL?*y$dtRq1IFO|P&F3q#3P2WvCsU6Y3#<1i zu@b)X=+yD7q=~IBHu;1(QYhg>JZjytG~O%iqZ3V}WAuh$A)N*Zz4vYhfvF>QMP2Kg zmG2uj@s!#OIUz`buvhAQ*`VsT;OKj7H*_EB4@M|{lSsr?Tt!i=uBbG>c@fID%@{5=`|a#6-PrdaS#OV zyByw~Y2H`ctBuJ+!@)UUh-fy8%;CEtT--I}4^FhEE%VExw`H)W_dVZd!^H}2%Hsb3 z*Udj!2;evkVU|~k8hnfD0O|~`m13zER20|c?akCgzlLsu_w%Z1fE1NfZ+QKirlrsq z{gq4+c1-AY{*M{0oa-HUk$w2xe8EsRo&MY>N8si1E%;F8pSVFZ5-63x+w?^ltpG_# zx+qXy2rO~dZ#=%uadS+w_3SC8{pud9yQGGHGId%AehAdiAWlamp49M?AGDExd4AAH zjzt(Cvr}VLO(>o1B+l~g^^5u~cz|NRru*?*>m9F!ZhwGMsZeV60G0e3#hW#kA8NK98f zwdhBF%_L{a+nUQd0^%nK#LcSH5OK*41hNJJ*5N7FtRgpU$N1iQ(D6c3pNlRREp`eE4YW^duwhv6+>CkxHqC_m9n6KHl^Wab`{u z^JSiiD) zpIBdA!>zc2Bmo2TzcZfb5MlP1D)MrnsB#>}tc8mKMIF;AO}vrdJ402-p{@?>AV7hZ zIADE3k&^UvU85)`g9=nMfbfnI)jWCD+hHUE+s z`J>mrpF6!03<3%$5&Qb;?Y;(g4nGHQ0GKF+pBz4+oe#JgH{lPtse#u~H1_VhlDDuJ z>|dT;(HZ#tZ%`7OuP}^ilz}tQ%TqFe^KZN)MfWkyQesD`a&Z`#hX==UmaFhkrkBO9 zQsm_H5mxqD*J2ktFdJOS?DHNAq|cv)G)3WpXytr1V|1P&%Cr<-U6=&W8ILrgW4JM_ zn;6*M?a!%!w0$D(`vS<$AUbb99PyhZohW%&1D>0_8 zuyJdl{#~8P@fNMmmHK__hJ$ZE65oUG?Zb0${s>S5yJ#w%>q-j_(-U#E*8e4A6X_QE z>9a`5=e{ABS3%hO_3l)%Ge{*#VgcsW&!6`2a}D>DYKEG^qOu1F!Em0pm0jAs*om7D zSM(g8NXvk1$)=t@o;{{}-bc2%SWRC=YY0{UPOkdP=bCVj7C>@RkYP{|DT1~)u468= zlnbbTAn!sxQ}vK3@!{*JgKZNScbl9yE~Bm8|NJKN9<> z9(pE?^%Bg0t3LiC@Myi((N)t9n3Bc9EPQ^=P{CXpqykJFwUuA9{lKdQ#!@>V!PW-%v7_ zKPKGv$V#;6bFpiiNU9o31}5i<_`Qx`uHc4T)_|i*S_?TCInGN)DVW}%kO&Pu+M2ju z6@VEb22oc9{)svJIjs{f=JIiLvj{M!v<~Z?MPczL;Q8QH|HtfGb$Rl@_Nd3Tg{1d& zS#(u6_+Wd4)w?++;0YoxT%^d`%NR#hmY;2%=6jhXWEo6*0S2Ub=RfAV2<@E`wL8!f zE)e~;XDzwLnjWTU0C!pHtvWVDto{>T?u&`{QCXP5r5-c4eRo9~{kQ(#3nwYT&%ksw zTQLHg15Krxbf-5lR;xlbb)#hauIV0W`p|40s|wV`}ku8mGMKLH+vm_BAy{_9bNaZiGm7ydgW0g?fxB+HqUdH>HzX>|e&@SP2Z#;8uEJx*L9${QxU(M!pQ6SNVXLJnbK~wMpvRoDsTUZ^Joip3- zAK7{GUt~95LCMyM-)ZAb@s%5*;+@jpH`=9NR&d6pv#y#oU+0wPUZQc72(yNT5!A+> zpS$l39O^vJf(j}?zqW{Ii>JxRMf45vN*v(pH9zv91k(5P22O6aE0UC-rbb=a+~?(b zJ%iF~!NkgUJ$QR*uNt2XBVu3H&jz0326bh0)<}_ATHbu_3{ly;g?WsCV!3xj5*xC0 z?6C11h>f8MSk~op@OyXH^Y{}~rd?}pR+X#6@4Nv;z#)HAWPU+Fkqdi}te%YcXy!GU zqLzZn#Xf~9QwD5A%rtWVLeYjPNY4k($;eE_g}Y^FlZha3kJXtKktd<-v&>5kI-=Br zvppqzTS{GU*c!TDH3z(wHOi7y9lIY=Z_%d0(celKG@B!g8=FnAbXvAET@Z!A;HxkH z(4y*P93fOrQ<=n6!C7;E;}WUMFn@WnjbGz;NsiH57TKrCy#KB0u55plF4Dbx>I- z>+6~dH{Ee5q|`}HRqdyV+Dn}8 zs(VvIUeu$EhtC4MKWzm`WivthB>_^_ndl#cPr`Rl?gic`@Xd8MfY(j}=@~>QkgrXi zaQy;mzw-L86Ym$x)qg8qo6!`?+d54?mFno_XGHLr_36*17NQ14uum5$HSTnM@14m#i9s2?vqJZ9fZV%0nwkmxLMChLqV}!` zBkVoTEMM!JynmiKD}=m$1zTUZ9x>!6Eg=&ra{&SeRl1utlU7g2VpI3E-PvQuucJ_i zkw?$Y{{uA!I~^G3Uw5$XEqCV`@xMD~e@~n<=Sv>I`-oWQu}@xP1SN%W3d^1=W>ceIkF~j*m6#jLFW*xb2 zga4=Ca^}3TP%$0jGcm805YtvE(DXk)dyAoJR0ygLaR+q$C0h8(Tf$HJ71{UUQU4hA z$(?%ie-|ao`67r)99pXuSA_E(yO6ip~yquCTqddAh~vU%%Z4ne@ZJ4;`M{_ zOeIk*!go&Uem~ag`sh$7rjB<7>=5H!$N+z2y)XxZAC-#WE|-jFq_My}R|Xfop9n+u zWvKuDZNnz|L>~cxVmSz=3^iRp9a|JE_0Xb91N0VTr!Zn#745*N{VcMdMD?O zxbl(9BX>D=bk+)4uJB#QTvH~*ak<5ULm?sw#O#KGkOA9(HDQx1c)xMc?D##WU*7jCN^fhmfGrLzw^Y!BuNb_G zS5NB>q;!66E;P+UOFzq2_I+X?=~p`gegBG7mw2)-lkTtbFEXc^t2zIwzC z2(kWP-^7xySS-nAhxrXkURVNGDSKq_9D9ey^~IB{C_oF zcRZE-8}5B6B0EQR5ne=D2_a>?I%d?7Ju;5Xv5!$?WcDK2imdD$dzG22j$`kUy>smK z`#w&;fBN_!=bYzxzW2E9>%Oja55H9rCs&a26USv}*OBYCZ|K4kpfx~vY3Ab_bHz~r znGV#pU*iqaoC~R*ToYKswrR|fwyM0!ob$mC__pXAmkka~=C&7bK_6H?44wIuJOzEa zn#V$j7Wz_JbBpUy6Q<`sCKnFgs=kOLm+HDcT**#Z7~W?1hN~*4qN(+Gs)iWud=LuT zNe=fd^-y?ZJ8E){Zq1_FwK2L?4v7%O&DV%~wfy`0ASls;wXdZzoi>$nfs+-@-5Qa- z@FEX-_x;2e-C0Vbc}Xu?U<7!UwZ5E+q+J0vOTcG1H@n4k-7P1Z_3XDUIDF?BPQ5%1 z$EPIfH_F5cA|B{26+}zFWNnD^4?0IsLYo@kVH}q74v>{P?w3Ytd^*@Wt8NmnJd+Gh zZD*MK>CvgLp!eRH-tL!gC7`D~47*V%B!K&ATI56KsVi#D+5I=vxFN8IY_F;;?|*W? z0;vOlgqIOkY}e-NDYL#QMtI=oQ`?SH@guikZgX#09VHU+GiMW#1GzWX{kiL`hDh;0 zgXZSzKJSQ@@(pFFddZc|mefi5&J){uxz3&9>2_m&q>mBYq~eYfPF0=MYfk7J_KzMO zii>5frTD@XlH%)cuQMj^Fv0v{l5mh9oysJT?K?zYA)XG|@hiiOJNKY)l5!$0mt zw%BD19d;Ul<;42iaj>U3ffH8x3V5tR1Rhi$-oNO*JXZ4Jk zBk)fzMZr7FnBC;bRm(fV=T4JVopoT=Yv4#{-oWa!2*TBpr|jys6P>0XY`Fuz06IwYp{$2cF3hWn4E#RN}A3H8D??S zJ5b4lR;y)SXS|XpK?dG1D1$-no~E~QiW*!C0~ZZ^!xXtYnNnOQ$>wNpk{%c}=%1M& zNg)&=OjN;RhO^CMbwOJu`bjkP0O^bf^I>cN0b4RYo==Ww>qOnGn67I$ z(4%x1Yf58<5+OJnTZ_;Cm9R|^JNF(0-Pjar=sP>bwP1TYC4I_*E@|DlBx}}4U?;#-N3k&eN(;;}D6m<8vDB(NcDMpeHocWzuIO3v?Y@C@%T8?b0 zlAxNUL=>^hqmuEZ;2Ai_oz<4dt3gjC_f+_N4+Z849bw{0B@ryF=~SsUL+IR8vkbHN zq0Z?=bLOm&mn5MkjCKa?b$i%x_!PT<0B$(`_&z;};8v;NxjhJ|bsQ8{?@)OrW>_xS z{sJoR&@m|pnHH$3;1Fh@`JzXD;90R^#z-^9IcTEWOz;#0c7yVL`FREp`tbZxkNppj zrG+|bwYThM-Z>1>-9C~N2u@QV_JsjoUWJ?u<~IQx4HP`51LCw&BSD-T^4os?T$|hW zVINLY)YxW6y*Lg;H}DV7;)lJMqSeJ`D8>CG*f#0u1vT zAzw?emzPfdQ{@kToYP%;JtEHo8>n#LmJWEpN@AzEA$&9*@W8HqJ<1E=ZO3$J|EqO1)n!&``P79$ zR;Dy|@3E!J^}y@|k8jc0C$)C{+DHO|F$e)3bMeVOr(X{+r-G@MzqQ*T6;I}Ml;bF7^f89%hM zG4Sst>=ezj9hN3PyHP3q;I|;aCI#(?&;l)@ZDWpC6xAO=I8EHHQ%MD8y`Z?DmG-=X zOfZbVl9}^)OFsvTZRcYj$^OLU2h`d}t$MmL=?Q-^zs*)i8qD|?2xfy{NEs#$u3Z!E zx&e@`^j8kXgL*FAjEnUagr`Mr&kTR%^kmM10Oyrqs@o2@%84pCvm`)e2%;kDJ%h94;S5~OD%D|L0<-V|(L`TM2Uv=)pF zdTG!5m@Pl5TNx|S?fBM~|I30FIaCMFBLyYe+>Pi4;}t=`uVg1eNSZ}out zSy-bHrTp2o^ZRO1ok;TNTuXev)@bK-T~me*(2To*{Ag#0_*wVpJYBUIv)|q;0-!hOfECE|<&{?^PFl%&-T?Pd$WHMGC<8W9(buo1nq9vm71^wa zMiqTCsfB7&Yh>^tQrjzg)Q8{@LDTirDC$|xuNM9^1k^}^i#O`#f`~wF9k&M>tkU}V zf%v{v@qWKBwQa>@9l2ZC;lAVjQ+A>2Gay$9pi4g&oN(S%8Y<&4g9;(=1m5jW3vct& z`pj}H4icv|^2nvJ-Q!!+?dcb_V6FwFn#)*Dkm||dmi%^#qc5QiI0T$O?NpCfDcGmi;f>Xj zYObR?c%=>;O61dz>0PT?{yYLm=_XV$6~J%M!a$Ad)LW{%sjGcc5PF-Xs@W#^PQemz z48Oo_%SY6QnwcnMDWyvYx&Ftxj%jI>eH|Sr?jaU4j50ASZpR95zL$6IEShJlhPOYW@nqUm5FuM;u#qfw$(k+~JeA?AC(BGOuV|Bxb*CwLYD zy|C3|??yj7mih&31?FrBJ7^ux?5k*#GMqb}n$p}rf2EOScv4^91vA~@@!)7(raI^J zQ+Ih&u7nRGX;39B^BgX}PhZj}P6yYW>Sse5q+rqgMuKL>7mNM!-=f(7fdjciClc)~ zC44+JN0(B~jG|Zt;FzE8$#BXQ+0W6#u_I^H&HqSA)#V}N;c5;MblNjTdtap=Pd6ye zdOasphca%&BNw90>Hm8O)n1Dv}R0T4d9eVh>$>Qq^X?7INBfbN$yvIwJO z?7rd3_7`NrN`t@(=7bGo{c82hxB;k6;Ql@1$S@f)XOH!2gd;xm(Y@1qIdOTAqpn7t z2%Vb5s-I$6GJM0g5^kOX2ZSx~E;Z|uSQYoXDD876SEo+r#HE_M-IuP`58t30GAP9x z(k49GD$SyXCU;?0?de{(t-B^y#qCQA;lhL1x0T*aokjjjz()=t!bbw#Zbrb-k?O<7g6SFz)iJNta#L6 zz}mQib<{iHtJ=9AGO=ZMb4h1=c#~yLjSwUsSaVV2HlCqOrGW&;GCyMrWkLJls3qT&NB^xs?qyX zE$s5rzn*qP`!yN!dXz0DRlpeG`w+$X<)42n=AWs6@C`nRK99|bD^FNSUXqmUebd}N z|Awy154L#bOD$$$WK0xmQQ4-C;_8fr`0~#2l}tOUQRQ)wnpw`9g+ifj{#Im~>$?v9 z(g9ROa)S|o&j}83dijZFWTd}Z5jdac6S3Vfu40T;<3n3RXoUb2Iu`vJ&jmcFJc=zT z#@dr=kGP<7-CFxKetx~?S{)1&!I1Ne$;Wg8y7|*M?mRq5BBya7tqpQxIA7P-?4*l82QkM8 zpAZUjAG)yT(&Pu0Ez_I!b`=_@5oUk0HI%Rqp==wtO%wA7p_GBK*h@V61Y z0GrP1?xy|y=TG~38+n@?bN@mC3<%T@e_p~*OMVsvrTH+>6TKAI3z9jmR5}FEc&Wq* zAgN5R(%u_v9K+HbaRq!MF;g^V=a1TMn_&tN;{5J@oz&j%GQuLb%a2qH2*W~k*362O z*>zGsJkeiNg5ZvRqawb4EjRNdwZ14NRPA5KrC@8m3?{6XC-PS6hDit`r2!@~2UWZn72O9yz++7f#?QU(5OuSGTY@}FEOUAUzhfxTqBkh!)P{ZJkeXhlRC<=0>FVWCPl zR(t3Tj%a2r%@}d6YgN6lWcGGislc!8w2ffF)sYViH2P%-Ss4V5AOA<*4xhXlH_gKk z(fq*JfB_!>KvuKD^#n^5a=-Z3+q+%)3zUizAn!v#>TbP*tk+B*!ySL8q z3G0%sJwRF;TA#gdKzU+Z=)QFS@SAYE_3>kO;1?H`xmDUK>ZK%4UVjDE%@rV7wGh1( z%YePs*%O`ryA&w=a;9^!o6kpAe431Y(eJW@YX9VNC_wXZ(*S8bf}|E{p)Y;`vs;R~ z?CP?usFI@^MH8TSyy(+jGo!rZfa@Z6`4Or^d3Kk6t$_~9%1Q~Sb7a#&;g8&1Jcewj1tfMXR!zeO z>|R?PsO79F<-MIA?fCHlEboD5WPSH6UW4c8SE@#vjWFG^@kPa^oW+GkQ*Dh3fh+~A zP|6(vG8^$`%sFb=-KI-f3oNWVO7R`AXH9Ie!`*2lF zOTV&!GjS&R5T$YRMPUXQv|?zLpJhDhF#`$h4y~$FrUH-JWZ&*9kxfr{ z(C}aroTN1(Eud_-H|E9iB*b`JBu2ED2Ow? zqQm#l3QQYo&Gh$Fs|J^zcSU*a*7$8wj8XMklEy}8gKc2M8kFyaJ>=8aF73G(8NMN^ zBaA6dD?o%z$Lr~Cq!a4G{eW1w3i-n6%!&b&bF7hECC&2_MnM4_swp+a?Xl20bqVI} zIvLGg=K#br9A@%$94}hja4z_<{*y@tVi!~|82hm*#=69(gK^j3Reyj7Xb(?X?o$o; zs*wPBS0LCZ4`-8QK`FaC9yMO_FMcB1EINo+YZgWQ+E`2}e!uZRC9F`Yc`v^=MV=O- zh}4XsM6IVxm~f4uXL9VmP;?Va-)f+TlP6|R(n1e5lx_|{xSdzl>O&sBVzFB)L~~A9X@x7kz=o(O9DHa;D^WgLJ<=*Se1mO ze|F?;LhH~^-ss7r;Ia=qC2G$8OC#r{RSc-fSmBl^X8cUup8lhlrQ&QY=V9}E!8XZ^ zqkH4%9B`k3Fc)pc%xOxAw8Z`giEgmn1Sw*q_b)Z{U+d>MNdoJ-;LamDJ~|-7m*bql zuQLHHyg9s0&|16Z9yU;{B~Yw>+dy)b-wcGoXlGW6w10shYifpx?QU>Qg4xmZTX0t- zn)}CPmV>h@_p1<&K_9`y3aYiZ)`XZwtW%tPWl*l-pquVpvPzC)OnKgCv4`dn#w9OS zY?tsyq`5v+B^-OCs(1$XS*Jz=@Zlqpayn^!Zuyan=4WXoGcbb$snj-%Xxe^vD(Wr} z8iwj~QYSj2(CLM7H}R8KNVXX-qtGfg*)URo1a6i_+OI`+dyYDLxUG-I1vs^ty3ATa zW%Yv|CX_IOJqR!0RI%D0d@MloEwu*5cu#htobwNh6yxu+BweXqIrYRA29=)(?6dla0nVi1K1N!GJrltJoK3dLjyoN%3bPOwj$c9>7})%nx>YMx)WL6Tc? zYLMjFw}zqsVgVoc1i+4M?u*-7-uT#&fY1_R@Ml2sksi46N>Vaw#Q2YXQcD_p*t$7l z_Qn{JAd5{u5t2mH!8z+E>2r{ z67))M861c5(H^#JxVUh1;sPS$D&2%DFO1t?Db6Ht;jZv;OAKt7*y5x(% z8&3pikp$YHIiko&-tX}DQ5=(%G0!4$?EW+QSWbZ|(x~y}s(r|+ps+aTytCVkjOzsP zbmhSv0TnX{j_DBQ?2JHX4S#7lrE*^ES82Vct6?9vTe!8f^|6&8aIMzfE*Lx@AT-Eh4G(e~GJf z>4XU~;qqF=6HAmt2JLa-DN>HVQth?&f~-NpJqI9`8Wb2*?;W|?#?Lh5rW?HVh0Buz zYrC<{O?<`Dn4>dP4xa+K5Xa8ZO6L)2w1Elgk^BC=@KmR~tq|n=+gQR7wS%u*8{XkI zerNF2b)2i&XWuA(*Tw=0Y!QJtGK?E(>Ydr)$rw#ICvS>$xgU<7yjvjbFA9O}q${l9 zc~s+%80g(Nz4fbU9t*7pIxV_U_DsBCd?nz3T}v(kIGMpb!f)9xk`d6?B0MPJVGC!a znF@4unF{E-i*UHaEdft~GL2RDUEMe)Oh8hEjl{lDl09^Ygb!+L%k5aH|jp=WN8vw-kNz8*}Yr#5&W+4 zHYy-aUfd#&M+c$1zXEIq+))l)m(JXOC8A#e=Cnv|-WR&`s?@IQiMjC*ZpvNJ(xaaS z$HaRGVhd9Z^*TDR$XqT!ApnVSIhFHvkX6>H9;aAU1TBLYK4T6VKbh}gB6MLK zy44KKB7c_-)=mR37OHw-XS;VTh_{ld94CMp2c)LX^(CNbV&uY(kd%vLv|fd?RP^ZL z(z}1{x9hJ?ZQ@N;RV5^!eUr@Kf=UT^hdvp#Pu$Uy3*hr=v>B06-;%jX&Pad&%H11T zH_;|Ua$~k0kH*j9T^cA1#HTge?rQIar=E7EHO4MTnmO+L1ujz7!r^5pCvcDf8%i=m zFAja(7Q7^;LipJ(@qe~aDb0wRYUPLO@&i@3n#pi#3greaRL`o# z>l~zFO@8U@{$$z5Z-U{R=%71R$aLxNqaw8f3CDPy(VS^K3=qR4(>VltAG`qXJB!6bF0d^8vl=I+t4((l% zjYz~WJfQ@S1ISNtp*N`E!MZoj|Y(->Ui?evYCaW-xgDw;pST2y5G0_)n zJRe#_3bL)ZXBO1_mHBZ$-0dEW+iQhU-^CPh7RsmOV(--+lK)Z!`ahX1cA*7Z+!A|(L_O}PdY4y}?jn^Q8 zM1OChgM^%!Zh0!CXV=*;?XXYxp7b?|c$=CY{5&h^ZePAesvDb;B;=}Z7)D3864K+KM zGn%>e#qCi?7WVTwLpeNEak4j-6GzoLNsG1JD}9?CSdiC{_5ana9$zJ!n3J8^zx9&T z#@XBL99`zTB)vw;w493E?`%|qujf}%*K@L0a?qEUBR5g!lvkQA*X{Ks3-sQLb3vifo-iS9je67RCi%a~AWJG%l3s>J;J!q-9OJ%ML$%Z=TmPjgYBut!x(p9+ ztK9?oo>F!(nSiZeZpc#qv}64IFN{o<-K|RUvC-O89vQirZXPpgWw`$9?&qbLQQF~J z>f}BWBDvyRsUPFulnA|#hjqvIJvY+@Ck3h{_reeR9;L#%Z2~)z2yt_`osI?<-8$+R zeg%4-ICPm-yq#?(Q~+Tc!nNT1|HWHOq=cEh&`)_fbjWZ^1w>B@t-^Fw$=OdAM^t?d z7^PhBSHLYCu2c9{8$u$$f6XP;TE_u3jKsxHb&HRMSzgWYAqt6q$C-aZYQqAYw-(kzrN((;TPDZ=81$t0k@`$ZyLd zfzK0>l4L?ZeD|}9qCi6c0>QUhRSETUv%(A$3w8IOJA7kXG!^^-F^k|wAO*f?)*8a4 z+_H|8@rGD2K;N@S?zAt9Z?F3$)KCD$MqRu;{mneNQhZRozv+lS+ysgJil3si+qilD z%AJX3>b+Mvq#zgrw6;#Qe@n?U-YqhF1Uo;9unkXgQ{Cfd0Y{>LM!8N0y&*{Zj(z0+ z_pk0=bNmlRAG7}cC@|WOg<_7R7p+{cCcJs&J&QKPM*7qJZL@zxs zUWiii35N(mzmpmz42r9-V)Pdmq6r;vgX`s|#2H|hz-5&UotEYN`?js}MAQ z@i&|I-j*V(wCY|Lh{2Jm4}8MYxWIyB1QH(^0vnde#K_FsCq+t+Pu~u>u6t+mbA+{o zT$bI-H1d*U4;u;M-Qtb3Vla;S8$30o%ai$xM}k739+VxIXVvKY$H(y4#W&`lcSX1# zZL;X7d9OX~>T`YwHLF1XaIYa?E}pY+BmK{`N)6-2c&n}Nv4f+aJmwSLHu={3zWaZT z4=T?o_xFwK7tG)LJbp_#Nzo-S1{vr)-pfr5w4KS!*~gf9I=CF7wolAJKMRVQ^}-@7 z8GV`zl|p0eZ2k97>aLiFRqZxlknXi$ANU1vaEV@zg+p<;wEOlg#o?Jp)Aknl_R89J zilLAfV)s8cG)znP>~>FK`|kzvN;q*-uAC2#vBGO9V~_cE1Y?jJ;koa$1qyzfQwt#1 zMx|86{ER;3O^-^!vsiq75nZFmFnOdIc^5)k!q7oc8=Y0U&0zF3y5P{!6>MeFCGz9O zbHd6(y5u%9lgb7BF?+Xj@)IYrMH{U^ zo<*HJF`TkmRP$vOSZPPA9~H8lOQDF;rG>}C^oviSNC!PYY(H)P8gsukR!gSXzUezXM zFsO9I28I~(X1?tvj(B15<0etR>Y~E(bxMqgK^5QrKmJqb#R(po<`kX#Zzy!RH)9;T z-329-FPdwx-N57T+cyS%`9K?@g^(O-v25;1m%LWp(iU}P^|2KBS2A1Me};EgJCYSG z3()i*v0WFdzh~y&A0b&`NKNB={B-Q^+)&oZQqqOqE!)OtqUC$S$qCgp7nP;L?X%s5)q)}v8yJF#?x{6e^|6fMxy!gJzI=btOCFI zBgrnjXno^FC;gRgRdALS?mVN_xkz84ih&bn=-b|^o4OzTma(tF*SnF3+k=KxuJNF$ zeL>vuGe1r#e?jK4e>`pP0qOycSywi~3PYd}G9b@IOueqQ8-HyrO NC-p9q#gjny;D2^SpH~0? diff --git a/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.cuda_io_sim_cache.31um_tt_1200mv_85c_slow.hsd b/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.cuda_io_sim_cache.31um_tt_1200mv_85c_slow.hsd deleted file mode 100644 index a84d8e928a3ce01746cc6d5ef53bdd0ae75e4fd5..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 382423 zcmV)zK#{)`000233jqKC0001L0BQg;00011WpZ4R5F*Y(F zF)%MOGcPhQF*6`jN+4HdVjx9iX>@6CZo3L40000008`Wj000000GI**0000000000 z0N2$8000000C)lHy?xLoYg!*PC9*8+qEf-Ak&sD(iDvdm`uk&1NjRG&5I~)Cf(W|9 zoO5Q*4!h?$Z_PPub|aEV7J?fTF$CGG*0cR$_z%)IZ+duDsL<~&co&(-(c-FJ8Yu8-e!U2nZ{wW z^uL?<_ZIy7e?RTUjW7P1uljF(`K|cdyPhi##jUn2isC)x%@>}p!d7u>D95&YPhH|m zSC{WORD%i@5UibGTQ($E-x`~t9x}nk365>kmG7ymTVq`f`G~C(Y>R4yAV%zls?A31 zoM7LLtq3+nU1x&56CC@xs(I>mn4HYu1iPW_MxMIu`XU=~bb>?Il`>-Aj9n&J7EZD& zi=yHSSr5ZRGA;lXq8eHrbEvwi$;b4IGZtmh()sj#G3HmOOjbv(Wpit2%PPOUJD>_O7TW=kAvXH$@A{ z>ekTXhRd%~x<*yk$QgCm#oxluKll2B<16pKcjw;m<$EvxHotM_<-2d(edjC3m&3nT zU;Kvq7xg>&qq)$}WBPMMO|JeDBUN?A0 zIfU2CY;OI7Ozt+0EjD5IEBAMifF8v!>Yf8rLE?VGo&@=)Q` zFwoD3`sSBc^`XM^+>i8_*2T@|D!xmLl5U`;!Vc}B!hI5VC=OMd?7%lxvO{reEXHPh zPg7w3{!n#(|K?Ej$^Lv3x&3L|?LX40yZO6AH75J>4deEw?YMu9`@INrPaknZ3+FW-Cr-47jbD2MW3ujxZrHkQ3VBl_OgUyo9+ zzIJ@=U{bX4#%sq1FJZ|0_wYWue{U>qvPhWJ%N$AONHXbxz47qw@%0C9Jb3MRhkuYm zWNG_47V#L8Bc0!uk4E;TV~YE}diR4Lh*tz#cRuji``@_x%Dn>)oPG=Emi+Ya_{xj# zi~BvqYvIMaFTF4BET<)6HpGk+U!V<3RBm4)5`7v=MDx;G^6f-lv9|L!({zbwUtSlw z73r(r_tghSzeEp?@hacs-|YHg!~L{niOS;Uh9xSCcR&aFna}-~Kl-6H{ihoTyn{&p zsfRv?8IQ0-1>_V(sc3WL@&Ma6^&q-MQ%pwm_!!2c-Cc}Te z3N0{T#)u*zszVT`EZG@q8f^6Ci;n6!!WcQ4`l-Qtg(0vh40M> z*rLKjgf9m|e7^(VPRR}lq~{t=^@KGX94}r{QA2@-GbG2)&$l~%+Kw6uE|}k}`0|*B zavPh_mv$W_)n9fUM3qUe0$#3~_^j(7@BNXl`S|!5!3Q(cWKx+AMz&xXv<;c$fbFql z@EQRRBxpXEC|GyE!{%1o)QVkEkaQ3e1Z-C-*pwB6aTFvS!~}=Bf&YViFz_^x`s1f2 zh%>=wcz(8nLa8tPb1=ZsGyzTbK@p>->FcFyQ_h&WL?Vp6i?o-~5-?l`AWlv*?o^=fU(*OCN{`tQU zF|=jJS!Hny-Xin8R;PMh!L?67CV~XE{Gh5}4`;D>-vJV2$9qAx<5jR5>j7?MIH1-1 z^MY*0+tf`@_ACUewkIpq3$mGLf>kq!;XCy3f~SIPC8}UcF%-6t$EwN&`5kG;*p+}z znz}2?{A372^%~%_Dqx{Du+CwhXH$zEZwFZp4QmAbSaA5ppN-h@c9eBjz?Q`u6|kF6 z&hJW_U3~+P)JQ@ca}}2v0>!<4QHq%Gpy~N2M?@kh8jy@hZ)uZ+mjF=!oDZTVsz$gm>HTbyUERaCPiKy3NotD2CGMA} z2f09v_8PGUzu>FNfgvx$aZn3*%SG^c8)mpk`_G8f8aa<3PP;8f?xSoN=Awa8AQNWV zfz2wzs?;$=?6a}rChZ419v6~*N9F^!&zrX=W;vVLUQ=M*GHMDFI~s6zmL2`LNRQ}# z5^V?4Jbc3^kv{Dj;6md8S&_qpvxd^)*{|x%V_2smpq3!U0 zHSqtQfAH_U{hxR7c-F-xcDw{g*_fUfm5CkC%sn!F8!~NEW18O|YNkemziHsYP6fRk z4?HEt8F>hW?-s4p7oPWad{^`(_=pe$Gcljq+wnsKWkyCUfUxP*-j44(CeYD{hQQH# z;qCZt7(vCOvxbX5cXK3md(4`k{huksf7Y$AdIUE_?WZ ztD&CE?pLP;XAf!$Bw5qS#`McGbOq5R$T!fyjgm?F^=T_mG(`pPJVaL3g0b&UQy}%SnJh&9l7adBh!B$65CL zgj0BK#2pS3A1$b$btKV>il1qxWzcq}7Pw7*UZa+Pczpi|-hO?oS0ISI?oY#&ynFkJ zSh+h1UcQr3^PV7U@4f%Q=lsDhiCRJjM2r!0*@73agiXs%f)V66fW~l3e^PJ12@b>9 zK(GY+c2NFW6eJijK?=dMH=!NiFUUq@Um+g|4BQF^E1_kF06{KDZx9<1Is|<8ELah> zH#tVq5=?L?3kv_!F@i&v3DR4~1p5wZ0-tq{gUJL*OEAH1EG1zA&Xi6f=K2#Z4{Hfg zvLMDF%=8idf^10E5`rXx8*HZI7?22(o(^jXCW#CLz%$0gHCH!^o1L@-lk5=P;MpNL zx#;X92$J;(9s^xW@DL}n`}Jvug0f05ds#H;)!eEj1ic`56ifriKUsUYx;%h735YV#bB-4nb9pGs0e*`ZWk@%nf6*)A z>^uN{M0Snh`i330Ez$0GxEjC1Pyg8u|K&dr!w#a}o%TDd@drRjXAmA%_yc{}Ks8}g zUFhT9o#5@-zV49KNER@X775n9p!Yj~stQ0b~G) zcnn3&d60fY?{@%y00{+{dYwPeS470XLC$$7m|&%j8BINfB=mrkH?b9|83@(iUM2p0 z{za*G7f8D^=(JCU$kSPS(f!VXa0JMw$J{7mgU)?MspW69ucPakd*43c=0|)SexJyG zgBLvUnzO>>40!mplYlyuG|=z7#n1OlG=SL=?kwJnV^490B&m)$<$glCW8(f32Le;r z-Ta(2=l17qw?B^8(+$aia1Nn>>5FkVxjfN;=OBb51h6#_2m$WbodF;zV$TL0emCx) zqH+IkzWOcy!Y5Imz)BMEVoab|qU#(2&=7ZYhI=P-U%>${z}bSTm*S!KF(WP95SH*R z74%RX-Rm>J>7kg6f63#T0ZtFa$g-z|cZS0W@y0s5G7un> zvs1V%HI+LG(z`4OB4vz6gnyQ!)~9H2D0w5K^0{<00>Ln11=E$V4bJmP1{Z;#33gpW zzGD#U5Q@(Eas+}VNQv-FgPY4TBkRHh!QrQhGnl$8YDr+%pUlH;lJM4(cb$l6U<%+u z#?xNKRR**?YRrG!2Cxy<_#30228-u@vVt(#Zv=@vX?ucE76;$VCNr}#j~Bzt+_S>Y zi*s}A!yznds1afJtgxBNFwcZ3sc)DS$NTQpy&A9X@RQ&9MIZUhU`mRM-B8rRhGW^+ z5?U=Qg$eyBj}wEQ4GJ`3uqDVvj1p0hJwqD)jd9`;B=Jnp$055Bwa_?X*;n|I#!G#i zxDy^b1(eH)Afc$8OkV)>ctnaAXCwMJaa-18oFW7YOF9qgCUIgbgV*6Q35v$EPU6HP zDqrc@G)mHTl592T1;R;?nt{^++~$+>yVB0b#k~%hby!OeMetky7kwqN>hcBlPun}jocRk%ZbUA0@^XxMXl4T?L`CS43Kz>Gk ziTVn;2r}kOG~KUJYt?d4w+4aj^giMQ=P)~Hd^jVDd{>iYXWwd&6y=hl=?$2S*_cH5 zH_5gK%~Hn1DJ7Dt+EdV5f`eXFC;nLB+_B73WSs=IGw)bB$>x)Ta2gf=ffT54+$$Aq zWK8Uk>1({X>c=2DT0(*cy>6Mr&Wy9@|0jN3l09FsJ1KfTcsnX4RO8NjP7sYgtHxc8 zI(0t3uNtXto%4i1Aj!|;Z8txT*XQ@43?80SzdvhE@%U@nj{D<+C7bNGGd&A6_UPvj zYR49?W(c)so1hkgn!1PDpFxVhzPNU!%&{S;9A9wTTxrR@pZxeoe$%U$=h|5EFVN#| zHvXc2f!-5A5CL{^2B1h^nrkK$e-j*f5C=t%$ScXb@Vs|zC>@a83nk|(MEAlA&wJNK zkK8#t&>3&^{x$+A*7N#a8je{pM=GuAS-3zAT3qDMFw4dHfe?*JUZg3 zDNHT0F;`)naj}>L&;v?pa6#ZRO|qK<#^|ij=zJ)O8>IzVeXKps<^kH!ntnA2E;W}A z^{61d$K0b<)1Qr}7Q+bwNW@ZC^H6;fME-ELc=-5IidiOwl?JABdK{G7iQ zN%OK8<_yuLxphWJfxPr&Zm)(Ev^wl+NMWhMoewFXXMog4Z5yf$o}y%eyCbUW3P6{r z5t|Bn-0e{5mMz%1nt2{~7i;DvxQmcJl_&t_BfmeNJHcHbxHL^bKAaZD*VUjfh0m;C zEX2po5L?E@0((hjJxG10E9`Ok7#nlB#GYTEg%W!>qhy5&*lxT+B?x}m3URWT&E7W! zl^o&_jV5W>RobeZu=eq|euhkI@br1sNOFaR|7by*d7Z^H6VpyEARfL?{1KZO{HMb= zd2T1*zR@&hujWlzeVbnI48{xUJ4}Ax&2X$;2gIG+z?;|y8V&9 zpAaM(Fy!-86{5ih0^U)OoC+ogiajX~aB(80kPEW&QSy2a7FZ~zPuOWALc>s6{!B4_f=&}$ ztfkLhUY%O=Ai*wdY9%+sli~NC3XTX}FtGwz3pgu!#YRC^ZftH81CqEE${uP=$Vu|s zQOE47N_-?khsI0!nCuL;*{v@Dd>g9OxiQ)8Ymz10BeXgLx+ICxV3MnmcNNNGKatd=PX1Z3*Zk zJskkd0wQ7%6=GDI-XvGynLzR)#u0ckA~bKRflc{(ysc?>W;7EJW>86Di!1<^XDN^g z3?0UNwtGnb3PSqPLC)A5GYI!I`bk&Z zXCn7uuaSp#%ulUG-aq-lpL^@QXh@%`XhV4i@L!`6>vBh&%dcp&l$g&RaRbh!#C-OM zn_#GWJCm57K=D#yK6}JITz+^Sb}U8+>QLYOa&mkp9D3;-A3XuQ9SwUX zg1AkNS4GD)qwOO&uI2XKP2cNl`tEr9H1YP={f%G#&UMH2)|#~F=|*K-*K5))7O(Y= z>mJQmq&h0H-*Tt0cU<>~jZ1PKcxky{; zpv=dhq%xW-?i;`HRF6~+c6VH#SF@h!mkyuVuW$IgJFcmf>{y)8Gv0W3_gSqJusg1w zCVKzk|MlIU_sy%;^3&@di$r;peMe9gmFKxgL9G0i_MHiVY_Pc|&0i90*(&(VwS`Tr zZ_Dam<-F2(Z9lz0$)495IqTHuABU z$rT6SZ#GvrqLW$YJi}$+{LbgT4t#FCjZc`XFW-Cr-47k4DD2*<&CbFW)U$+~*wv(8nsst?7kK_}r+1B?ar+ zu|XHsOwzl>yP8xIIv=!%pSaqS)d7n@ncwIZpNzSR<%ElOE;8;n{*bc2@dv=Np)9se z;(9Qu?_7B9H?|bl>6>SH`yD3UbUiw=;B|8j>m>rpctN^0GCA*=L_>8-`4@j`ou6<24sfUQzcSvhEAVouyInWO{zh!;x z!b9KVVWtPBs|zlS?Puq3xg95>+Vq|vNfeUG&K=eB-0XM?rLrb6JMvnc!f2D~mWOs2 zN%f{N<;o|syO+-aW`XoyMlB8QsvIS^Jut`ofjQ<6%rSXjj$0m>WAeZp!vlkF^AF7N zIRC(4ZgqL_Lx9OM519OU?t#IO%MZ+pC!ZKyg72S0z)92#HdvMCQulBx-Tbbs282i$ar1_orfeocL7lJkc^x_^59 z4UQMt;c@@=&?HT;Adv1)+i`weFt_=x=Nh)yC0qICZEWH83%MuQ#MKO&xEC9|nL|g% z+qk$b-a7FQa<^K5kbcLp*k)2d;^hbT@3V(4XxPyJp_I}9DnZaH{;kP{0q1;vH1zQv z=^<+BPyp}dWR6q22CgfObDws7l}bB=DX|p|gqz%DIR2JYD&+m_lxB7dKP7w7fpXW1 zII3$~QOK!dU=h~ z;H*4EL3%}*ATmH3*2Pc^3Yt8<527Hw+f5KIFe2%2Jqa#6;X8WJOUneIYEUh5yfs6c z$2cOrolLMDi;A`Pv2M96wjYt+QzodzrKqGN^n4tn7o>NN38MHz&szRCV(?6mtr3$% zHA|Fg0k|L_y8cED$zN8zeMCrPTX`ELb0debalWhU-3@d zx@l-Y=cp(cnXisB;#%5%*J zsO4d5!cY@Le2n0m!gw86IO#F6t{={tor%-|84i*}a24D27) zQo*4_8zDx+p<)-aKSp+InIM``3BwdlX~C{|j0AFaGC)ljlEm0Rhaj>4OmHj6d0@%E zGvu8N>oB4Py2cy;vBXN^BF!oDWDaQ_P)0c6evVTr(m~ZBzir4^465F3yt=(t7Us&{ zh1k1|8TlNv!QbSqyURg3y)}2F3mgk^X|P1SAe*cz*jF7@0YGfCgFiJBWRukd zQDBy9@lyL9;bg^QWRq0|(VUkW`BJo$sW!>fY_gglk|!}@1;1>ieSG7H%%@Pnu0{?B z2@XYx`U~mFuq)0kWN5jIs`|*t$Rycz(J=>*z?ct;w36wV%#2Y?`et6HkZc(`()Nrv3%6}O~%}0turT+03BbX?X5T1^@oj|Op!+M zKmDSW4Rq^G$IEm#_W>kxv?9QQbSOe4%t*H&?(RNlD{n}SFnp2)0oT*r-iJUW9?+;d ze-4;!sZ$&V^zi1}c0|}^GP}FM4?{ar>Jr@!9jY{^w-~c6ZOpc+sKq2P3~KHF9M6c^ zmL`et3029$%?R>wKD!hvk{cXcPY$F&vKnw0+3fBHKeXuL&4sCn-;lpmm~CmZgKgOf z9U=70bjRt}C|plaAvlcV-&B=fBT&Jxh&cDLfO{=JPQONN*$u+Sty-l~+w9vOFJf@= z18_X`cx`5I$}skLz3Ew;%-W2kJRxa%QYHC|91AgLVS+bDEBNGCnCO+A^sWXAGhq>e z7MUI}ZAbqX(Jm7fC0M8lZIx+;{)7qQ;{I9BDq(HL>n9{lcR9eaOb#b!VS;G*WLcPC zLMF6RurQPJXIiB@f8LJg-{5%hW{E6LusV}S8wAo5rfs)>;(vVCb1iM78vvZUumZ8= z+jwCUl-I!G1mm|wt)@uY#Kmp#ijRMQ1SSuGJGuC_nS|{EaWc5!VmxkVah~c@y|Xx9 zpHz{3R#}`s{?niMGoSf1I+@lbn;Vzd$+U#h0F+wqWLk}!e9|^+olHwc(oeDX2=^L^$O+p5two%wAZAI-KbiCnK|c*ZX;ihul1O&& zPz$2~4M*LC+@I6$pCycBB~D=gR4_~e)WaM(tG8E$wjao28bRZ&NT`GR^I88C(Yv5`%ZcYQiM7gnxTfG7|4h*(EZ{Rzi|JnR_Jj zHuQv~M;hrJNn)vZ5}lqx3Z(;fQUly3`v@b>KVlEiZ3Rho^6hrM%jJ`A<<)rtAx$&e zDM{Ox=p0JYul}n)^VNGXR^jC;mMce&s?^jHObmH7`V$1%zo~Ry#838OtS?RKRS=jH zoSsbSWR$-&z(tQs?~)cOk+X9pR2%UJreo=22*ep)w8YsmcLdoZ%GX&)Q8eytP#JM zR%G}kbwR;}LD{D?%jAXU**g~mQMOcaYfyNNUCD7oR@hAtJO(BT45-g0e(Wg79y}GK zCM6KWi+in)*cE_riL4rOclTqFWeRO|Vh4zm)?kcwGwy3MYweRUFK-XVe_U%o5)I=3 zo)=A)SYxx$XC{AglVn{gsoJv$IIwa~%-p?V!g=s~H5C)|DOxd>c6)Zav2^M7?6xJ^ z?b+>1bdEjyJATs-zqD5!s`1(elSX{gA-r2t1$8PBv{xNcW0hc!L7QB^9yjefgEIYi z5;@}!ilK&`Gd|%RL$3pd>hrK;==Dug-L^zKhF%SZ{^Hx;_Q_YG87eUpGeb3^f=FjU zTyR)!DElh~8T2Q>4p$u#zdkjaINdyi%upL~v3UrYp(Z$jFVEz#e(beTRh*j4P!sHN zY+N09XwjjI?bRqqW~d3q>{HO0@{{rL-JXjIGXLJ1c*haRCu}1w27;OM6(kq?cM#{x zBp;`L2cz6d$s<9+G!mNtVUfci!{emr5&v}|5-anZKwQRC8DSN66>-f<%|G`JU02ef zD>ALOGOF|j-VyiuBJQ>&+7b6^5ck)=|0}=ZM?Ncb?hqG(A+9LK=yU$Bh{ak;2cMcpQRWuwILTXnq%qGD}6 zwRilYj4wH-F(Q)6gq5u4nMGki0EcsyN+2QkGo5=*-5Fb;Di3{Vl=HPa6|M((G7j(8ecYx*Hdni0IA09tw0n z5j8B{6cWFcTl|3T6uoG6^}vnOliA&^iw+SLJvF$0P|GrXYPR1$lrYGPdIX70zf5a# z=np8UW#5j$sSuZL!#CMI+&?otAM*Rgwl@sUB6|NUbat48$2r{X!qbRXr@ZYvo(;;@ zS@CQT!|}=r@oX^x}*2XY>H1L{>h<4oPWvYr5;lIfU+Xs1t=J>Vv$FSGd~&0?7sE?KnSmqVPn0 zO5#iico0bUr){@Cav(jQfO0&O^Iz#~fs{*R?ZxYd#u;bjWa5JP!@=!^luRQa+{V=k ztsIDtfRszeN1y~u6YkIkg1cxK@1HkM|0=1TfoZt+eDM7slI{(A&xhk3rtb^OBar+l z+_v|8pq>w3@T>mp7yq8OU6vlZsGfQ~wnHTbj%*A=kF>#5YjMf|QjBXfbz@ZoMOQLG zug7W+c;FFQz~?%P*JIImPKpws0yg|%>C}vn#&ocDadTBf6Eo}>-(m}BA6aD|Pim=Efb+<4Yo{`U!=`B(NC>hh;!%*eBQmeRE+>|bnbT{zFp$3K`nLTOS?@g=I!FLd~ThlLgZys0g&7<9$N4qzV(|dEd?OJw+ z&E1psw@mcprrw(i+ILP4g|53o?##7csGDbLzj-4!Xuk;?mNP4zx~iF$BPv%l;0H+J_Y8;X>~`0xT)LSrbXWI%A1i$0FHj16eCGirM}0v{eTejLgn$T$3^V z`hb4cX}Ge4yU;J>s(ug@0SQ5B0P;lm+B5`)Z^WNz=M49A*W5%;VC7*--{L*!D5=w!6#vuLCQYt8DujZJuW z&Q}!lLD&_A>#HbiTcTZ2xEe*_Gydp@KmD_!fiCQo36Tp$>Va<*Tq?LazxTH@6lu%| zK6GN^kl>V`C-pa-QC10x!q{KnYy6iY% zRvjJiv3?klj#)|3bIoyr;JKDCOK?@En~85at@&qFi74`K%^G)5yvCrYv0L*%Nsl3O zwcBd$pm;T)_)TB=fzSP_2#Vs$FzeY|s4eId%x*>_aqa4%KV@F)u3ad*OrU5ZE|y&; zP&C2Cs>_Mjda3Ag;1=wv%v;*wb0PMH_y4!EKx>1tLWmczVc5P4C9nqJKF$qo7xNqHP8K^*F0$3Zd zM+S%_b|8wIr`Hm|20{2~0bY0&^k^f;HlqH75eZ;Tumd@g%~KesqofZ-K>}D49Ggx@ z?9f~*8<7Au3T`aOJT9i;62RJ!mym{QI5zib0PBBaSWCf`w}cUQJUau|#+JFVOx!pb z#eXwI%{FPE9blgrz%qpTUPoJPRK0h12)(`#x^0Pe2)!Bz{d52APk+xI;gw)1KzWbw zk_7`V_#WX^8k(B=tT80iF;+x6i{wWAfd4+&-&IjCDwux4S~Od6kMKTTzUdy}UFobN zg_$W@@Mf>kEN|%*U2aztu0~P#_V@qb7rrx6!Nl>AJF`1!)J_y^YMV|$QT32y&TMo9 zlnC#f*V{X@LD$;Y5YZ|sk03gequ5WKIJ1$u$eghj4s{CLde?L2%!bhp>%B!E!_=Xi z6_`Y^XYF%{sBku7;>?EMfE@>Oof8uZ_RtTAkn`L#Zf^?p>2S%7@2w?S|H6@=QWRBuP9ORrMBZHaazV*3)EQ>FUvef>Z8uYO5X zB05w$DBfdAglxh16dH7eY)SHp6H@3;szjLJ0E}clIgz`B)Exy0rA!b2j(NZ*YX{2X zPDdn=GQpwhiJ^vI9Z2oDASn?h2qu@LNOfI>_;flVDG?^9C8*H29h7b1bn8#*Z7{*U z1DBnTvBy=(MkFP|1iP_p`52L##UTKHd02^vlEo+y@e$ac4at1+AX&Af!8AC^5|u;K z)8WvkNorX#k{q~sL^3-m5hmH8SSimA$;m}$K6#L=M{2Kut6qVFp3m;rryUCFQH|L_ zs!OltRwaUPmcd#ITFvmuO=Dmw<&v`oAR0()xtt-p7J4|g$^++pEXdCri3(xUk8D6U4MXq&hEwU2yesJtYLk!uuV*~2(RJ{f!jwInp_s^uC5YK_9| zCc%aaDtUC9*C<95^vod3BUU$dB~t+4b- zrIg?oFL|O-I1LHvS9U(2PQ?=fi2`a2t8viNa!J2DAV*NOAWpMaXM>yFua9QEK{Z5S ztX$dTxD8+(Yij`Xeu%K`!Sr`%c&6*MN6_n{2^9xBSGr<9#DkvP78WtWYWqxWVmFU!M$!;EG1GZ&W zb8r)qnzw+FDVE~qO|6(?BKFAu6>u{;4CcPEtobZ50YBaaoE)}opHW;!nYt*V1nuMa zlZJ=(3C{eU4ES`C0k?W4^v+*-!|t(;?Qhsik9BNYq8$XcFVQ)%jvxQm|L}Kxe*{6% zNG6^M6tjgxo5BOr5QevX5$d4i1QtY@mef}Brmv=(lht2M!UNv&g-wSF&t&xvW#&tl z!UnbYLK{dr|hqALAx$V-O2gqQQ#)WC_imnC*x%yJFL*#o=tyCQ;ZP>#5_?Eed2jB4gOTRFp%-UTAD2lQ zet)`0qJ1@?CpL#NsgKK^55GU%5pI7RukY>vmkRvi&fFBQdaUQd+i`#Lfy6DjIA-mU zl7Q9~y8*Wwa7L$n^|j+`v6L(}-gxc!;3W_r*{Z#N4;afL$9s1l-nn;t`3`NF%#keL zBgv#y58ilq_n6jNCCns2W>iWGgcFL;{JwM`x-TDC(0gB{dbjc5(l!*QYvDdTzVhPx z;(iYwVEgV%@4FMCCiv|f?E1v-`=M|Ag|*CeNA_E(x7yXHxk7&10#0zog-8-3?9weF z+G(7==rsl&1?jzHf++Axo?!Ctq5xVpVnX&4iU0&_v=_((=}l!L>eN&dcHnkNo)t(2 zoC%`Xow(mo>a`H(ZahYMTbUpNgDvot&Kd}tP0cSdlN?dKhOZA=DQFd&I3#=3O>$^T zs?kQRtYJrDOiyxTuewQsKPcX6>PJRbD3K)1!X$y&I1h~37ASs`AE#d)G##bN`XotZ z-3Z_9I9KtVkvpgG5w!CU&I`=jk7CI(tS@?hGqu1J3z_j!>{(nH3I~_^>1qDJJsAA~ z#sPE7I0~PB(v}H0T>%QCpO&5e4tRMxLM8n7NN{%(BH=yp)3Sr#?=LCrp|E}Km_|XF zq^%M=V*UPftGM~0cz8vJ{M3o!F>!2XiU&Q$aX3*kBJy+D{_~Mv@7EJAYb%JdTdmto zqD!}0w=L0bwQgUcbCCb_Pka04^bz^Rg z;|7&131z_#xr(R(JY#gyk=ZuDD8nJ6rcmLIOJURuJLBed6pj1LeWP)lM|cK7VYw!N z21|6=63!tP?~J6iiL?!Jre_8@4ABlj8POa9ub8eA1P5lFh2S{eD=S&^$Qku|C+`Rv zY^$3K{QiY(5pev|LpI6(`Wr-k&z~KY0dAeRccWXhAN=LojX*N5=N$! z{-lgF6GUa0Z8g)|wihq$Ts?9|P+_Wq7FMA-HgPVh9+qr6H6y4BcFjPo%piyc!eSW4 zV`K!i5i3MJS#YKbI|n~iaIsuFBWM^Aokw`YnR4xdpmvZ;_1f8Xwkru!1wI8jotc8| zY&)A|SCGj}i&Mj!o?RjFx7u;y%CO~*Wz-CWc!|=Q>5H}9{rW7|cJ~W3_61P};T`U4 zv?EJbQVb?NPSnw*+l}hQPb=>WjM*72X8tE@^cc0>Svx5Ls|uX3pv|0c1@Io4&d1+p ziTRmg!OBhU5{NSVvvKly!@q3`*sfBQ3j$+;7FF&{( z`?X2hzYLZ9DIOW^x17kAJ2v)M0zcEylf$uvs2Z@N$8GZSYMKJ|*a0Jb2mfb~MX*gj z+rj^8!2iY{Km6Li6|?>%9U^t7hU3L?91k=FHGA$btBm@ThXOQK=e{31Cfa!Im zf%k}l9{k&)qHJ=E*dYR+jhKLcH%iJqz#p-K6fG0*?`!g{U}{j&b6EA@4<$iT?r`!< zERP8I!t);dLx-CYK^KX+$CF9GU+dqqa)czk^dM7Di1=%Wd5_GmT+)O82#tgN8)zdp zh4f@~(zp3pp<BV)+6trl_lCWob5|=4qM{27r*B%KeOiE7?!%(dJKjAe7g6nhtS1PhSzWwBN<-9 zX_o>S34Shhv-R+)Rh*y@%rvw08qRXOgEHIoHH4tqQZHNY-dOHsn`k(TF%-W#3o(>O zL3u;<{F9+~Hn?#q$evGU+cNEAR~?$6ZR80ET*lC|DEK~%wmVd-ggA&W8iuVxB{sWED6LCwi_q zD^PWap6?LWz!o?yA*=!6-Iej+jR(6cV^4^<)P#uV|Jc2c|5yZi;i#G`Lm8_mA+wO@ zKramwL_wYw-gmeO2Fejg$@v8ICb*cDKraFlT+B+K7pw_(=)1=@^<4S_0lf*fpcKHB z0kVHn;$X?0pg(Eh!XP*n$|u1|N!EQ7q*t{GE+;P#(3|9P@`A5^+`}=$k%SiYbJCOq zP%R1Q!Dt?oZ zjEfNePVz3+FazJrvW#d3gjvZS%vqDjUpyz_@3C2vkDK>^mV7wr{ryFWqe4wnV$xzI}<#G24ImFWmjb zk3}>W`_j-nTAN!bngCz8f48s_76_HzY;TtcmELR*es2UOGwxdR}h6c#e&q5ea-fU--y+&MvCyV^M;eLsR`)xAK9|g?ydEUW%rx@N?P%t0W{`Re`Cw|$yK~N&Hv8} z{lNM|`k(VVOFl{TJA=2DSgLS$ESD7Eln`f{=8krl_D|H&&ZUT=Yk?f?aEZAJ2dweM z6K00LJAQg@-6lVsW@+EX)q>sr2r+NDeRsUQ#(2AFiFV`pYK-SUap%ALh9Tmucrwg* zHg9W3o@@4S&vfHUT;Zrv5968K%(YgO*D+whdRKUlCVZS^h}MB1q^JI9?+QoKp46zv z%t%Wl+=(N4S2*hJtK)j6%bjp$Jfh zA&ofG-p{+jmDLByvPZ`uf7+`kYJeC3GuDf>pBP#f3+gdGF7xCCLX}~*0;M*w|I85i z5J z15C9a5QQZ{56a|!)*BK%{|uB@qR9?IDNyZ!5s}{nLJR6ELuXS~=@lL_V4CsK^Y7tB2K-mMJc$3%MWKk%~A9 ze;;>Ax|BZTei)(KNEe=}&@`Q6bR^#!t%Htj+nLz5?a9QpZQHhui8b-Wb~3STTepAz zd+(Pzb*gKvTB}#%ocG=P*%EOble3pxuCscgWb?FQjUo1AzeuGzykBO6!pft66=4_Z z%Sm-)V~<28#rxQEfKz{GmUKoVom{ZqTo^Aqp&9!-H_q9NRQkw_V+ZnN<#%?*BiX7a zN|p}ELzOb+Z=ME)BT_Nq-W+Vqbn?f4J@JLDqisL@-$BEErg$@N1g-6uc_Z)TC^Pt$ z<4H^Ji(iJ`U$y3XDEawVkGl|;@P{_B{b?`i)B zd>;psv7xr968?b+q^oU3S&R|bG_h;wA@QIcUwZE@N-P;<#dECvd8bF?e$B7m6pBjM!an3!GK$P=zuBMsJ@0**e_oD^#7)*_6kyc<+->$NN)wp!%r1x*RD!W7#7+o7 zjWH!uV_sUz*y~};SF{18VjFu^vkY3vSq#6`O1D~R$!jPoO@C^U(pY1b<+OL*wjI1N zq=@bh!DF$m{?Iw+vT|ye(Z_&A{M_U1{jPpOR~ti7*($r$2A(m5x0Dxn4aHm_s-Yal zE0&_GsWSw2X)w_$lC4fS@+)xH@1k;;+y*2ZBtC;6TdYm$6-AlkaaSd)ngN}}z4^h?OTXAP!|riLr^yN+#5aom!*uR*emEcC_82aVJmKhX$>QVM}0M0Bkv7j1-~ zh&ZqU#tnSZE6NoCdK6}#6&5ujYe$K5(3yges!GU|3^*lT!}uxkl9QRWhb`<-;HQb* zV2|uICQ(AgCRG&hbLJM4e8nMJ#^0CgpNX**2kb_h!ez2U6fnZ~_-8DvvIwUW_4V|rXm(5wZ&k>OH@J0dpt7eEo+lfh9! zT-c;H�>u)Z9CNkFYzn{tc%+b1MUXoAWv<3g(rP-o{3w*zwB1_8Zam=OvNu51v&d z40gv5OO>Tiv18*c@<4HyqXAehXBC|8braJ zI0Cm#l{y6I&f+F$;EI=4QD(u01OQFqO(mB7D)`;S1d?EPW$3 zDT(oB3XrbUCR!2qCX5jw)RK!v1T>OG z;*}~EbM)p4$zUunU)Y(@lBl0)wuC+Fu@-8Jb@adLdi*qil}+W^uy0zgEKqL`q%DG!?}a!t1$6s zK}SxhRyqp{i>O3z9#nVmimVc;2J&<2FB=v2fg&^#M^3&lv_PRa;r5hxwkGySKu%)j zK)_Z6cwZma_p8GVqYT4qxna&#AxMQHaL+t) zRNZ&9eM6ytlmB&s%Ta7+Jk-w3-*dR-d~HLR@QavOuQdndtRWm;P1go+1l2fIDXu%S ziPvQiG&K(%Eu>>b?%9W)P1BOX|FPF`6GWH|bx;*`-j(>JqBm>IDkCaYZbLq3Wed;m z^GLKZ=Hl?n0UgZS0bE|tC}_%fp4t4;7S>N5E99-sQ z)xeuKok2WA31YzO>_@qeroKK^XYQpAi6X=AZ!G3t9!xHBbd6dvTE}yM_b4sLWVd=9T$y#d#K?HLA(J!bf|=h-AIXL%@aOD2 zAq4Zf;ZdkVeN`TYIR8<)h1@k(JIeA`N!Oh~eNuN47n&(GbsfuN>6^d_NfHHqAEe zkafK#z1LAMInJLbc&Ls(xBVYC72T>isy+N8ZCY)bs^BnZXF~=GK3c`E&>=G~$*2D`@-Gy$UVM=W6Qh z&!X1|&9N{x`70L2w}xDeN}2*`aG}TP!~{+0V|Y27&CG=P^I>-__uE(RzOZ`N-@Zx2 z4P^7nt;YrAKY38Ve`P3;hwZnm zL{FPM$Q(u1PQT-lVGGm?$|C=TFgl?|yjPle z*2?A%gHgwO&7P9mdtsFOvfyy#0LaYAGLiFNR`fmiQb^w8bG$?INWWJ|?f@2yw7@YD(AaSISzNf8TRp_7~J}7`5qVo zD*p^E_z9$)tEKC;%lL{Vc$|xutMdQq%RP!vPwNz8O5+a5_m8mq%+g`6C^9=;4vcJS z_KVAtO{23**W>Fjl$jz0;$uoa>>49C;lfCf4bvY0w`;?#c3V+}=j~aPd3PJ?=oNyg ze-0KBXXo;?pimRr)i~0#b9G2KynnVq7@;MIIg{`DW*$&B<-{7?Y7}qq*~?ewdt5wi z<8+If_rNcex63LUT;a`Znp{1M*<4mh=8lwo=4ktl+^sK0Z!KGt4<3h%Lk2F^K<*JJ>+yeluBNNRX?A>s9M#Tq-mUPsc0L=o8*Vt& zd6se;R1VuaM<2@z+~800jYM#KmTKiOY4b81W7?LDw(7;c2J~*6)y;Gie{g;aet&8A zFdq@aV9QsS$_Eyu?zp#z_pY4RozD`-M;g>`529;$1f%gpn!p+V9*n5iO@y%WCFd<+ zDP~B=oQ@%*OuSggedYKSXJXVag*ho<|9i?epBd{_G1<-&<=;`y6oRdPc5?nZ-q?VE zT0&8^3~rb)4-%9;UEhx4yxFMg4z^U11p0zaf@w0Fz_E}QZT^aiXAJg?l2Ml9DRi&D zSr)%t8cG~iPGklJK+s)fK_)7{osR6TTTD9vpUyG5F7~#@&ROy8UNz()jlXdG+HIG; z9F}fMJkdyw(ci?C|2~#5@k$KsWz(&GwTsP-JTuQ zh{tJ&RA^n$=Ob68No)DJ{&(;s3@;hdWSHKF-a)3!IUrI%FJs$inZb#OznKseh>9JT ztY6!8QMHF_!Yqr3*D9dcI6;Bne*SlSHotkIgnfvfD0l8A1VaU4c%j8zsViOb3Y~Hg zD4%6npk-XdA+fm@kQxA0y_^)Y)x15?$dS8vs+;){IyR)bzZ;Q+96*$< z{tP|Vw{BoMW4kuJ(Crd`0~9MJ`UBh4$?Fe41z+@go>m)(Tj?b10(cJm=Mjo#;{)IH z(LVY$rs$Rcub`jMYa+<8-K9azTfgdkRS)Wvpt8A^S#wKJ59;Q?Mas%}(rB_h317{B zpTfWX82{||_8iWcPUtPUI`IIHALTl#aO2Abyt4adk!#bd9+Imb=v$ej5`UX=HhLW; z=%e@#|JzRdW=SN9v-BF5e5xq$_Unci* zm&-54`Y4V6%8dV5$&xgfn^jCOw~ksW`jzJaNSk!3!*T%;H}fUgmEz?P^N@Q0dw5go z3!Bi&ANLH4@7}9Qp;nAc(ih{3CiChxSoM15o|*W^+tA|ziQHsB)}W$N#PPE%rNm2E zRq7{`iDdkI&LE<5vSi-WK}mTC=LBQ38HMZ=g|vBChu5Kb?2}ycGdtY^cd1k9Bu=&F z@aH~fUPaq9%I!7VR?2wj4;zrCa?QRQ!Ez|baI~CV1x3pOC(s^>4k1vKAbSAS8ua!m zWonI`JKOdgA77ke#n?&H)%JHs@5g2D-BPs$qL0b&V-Dw5@vCM!`nL<E~Yu&VA8h3Q|026$}bpR^g+dwXX^$b>%w#Fr`213){jNgg&0IAYzyHD&6&UUq=oGW!CGW7SG6&zW2pPtg=0Td9 zB92peIg2TjOGT(Zwcay{{{hx(i%AVf)kW0wJ_dnm=b@S`m+%83OtD;+$Sq0XpcN+4 z9bHL)EM0b1a2k)%a;jPvU?ifjlvfl#k@%m4*sGOHmxxP40ja_17*pR!oMXW>3Rh-( z)G~YnhsipI+8Z2-2G?!rkVH;4!KrYgxIIE5{+t|Xu1GgS=b(>RD2v~<-TdVfFOMdqq?4kdjC&y7QnQy8i1HX^+AIrbSy~3PzRj&aBx|ZE& zbnG0qf1q714IYvVq6baDa;T+dYqTRQ*;Sy?Q=8{DX)sETw*Ye}t2{q`Sx0h_3cI80 zoctD>1=?I85C}jby0nP#YVuYW!*`4VFKQ(&v{}N-ZHurwY_Do zrHUTuWJz&1Riw7{rNiu!@f{<@%xQQ_DbEz4zXlE>%@KVge|t;{jD-q79pJ2{YqNSK z#Fw=N@%l?yJ=}^}u}1Ul_7}n_Uv_JadHQf&jh<}m{Wg*mSkK$_@%ik-n<*;S*5~6* z<8?hBV|RV)?!7%?{GMGGQKgFX5a7wLsZr`p=4)qHUhAgfl&?6ePU;Z&yMS=*prCr6 zXQy^~f?c_DY9_~fdK!iR3zsfoQ|%*m4HO}fa*fddZ%El;Sj9v72^#M~Ye%5F=UPor zMdt+1_ULF`pImvujo1jNH(RFKZFnsdtH=XqnnuTFLK0w$DH(j#6CG%ngCaX ziYlg~E!EbJ0cB)!7+lfKDRJ7ASoPe^;9ztQkqL3FCTG|t1M6(WM4@{s4zqZ^uSUF{wp(1^gV5#0<8W>_mc@|zZ*WPxQ54z zjLJ>41G+kSbaV-=@>ewx!aE*laFGL+S+8c+fl2{J_;@CNU3>$|4z$|S+oyL~^6;SF z2#HiDnQjB@P+gvz4x6BEu|#osKLzoD*pkA3kA(_vy-OD@t|LGfXg}mgbU78FzrV$e zx14gI5DN*=idrqYuc~%-J4=4NZF2m-hu9w<*^i4RGpXac6^`s514)$f&#D`M*OJJd z)^%5JiI8psmZu^jRl9IpxpYrX?mTO4SDoByi>Mt==Gs216UjgUcW zT^Pz=c_=pBmX}(F0MUju^L%Q)Pycg`hRHznGYr*U2*atC!IVUcTPR}P9dBOPG@ytw z`xM{<(K|e5=6!`(9x_y9TA?X61!wJ*&ulsoOnzN!2d?XlwD(Sif7@lxEvQ@!E!F?d z!9y7@?=9A9L1?;_Qg|)Y!9vXY9Vz;~QrY>K&F&N`HQnPO%Q0eY1@V?kI_-JiJQ2`Z z>I0Z^{Yz=4fKxM22Zd=f@0;Ii3>h+R}{2FbQy#W>~x7awHHe$$%Tx^_A z6gn2CzhsH05F10fWni~g9>%*OMP-QPDGXT?w&R?CE;>0T`8lc`7Fi=g(ByB{DM*0~ zv(QQ|#b(kea?kUSPDlC>D^KT?=o7hCgW)7Hv2nZi3jNt2OVK?c_O1EE-^lO_RYFd} z=4Ug5@V9W||3oEuhwjlyvLVivo&Jp?9W}zXm6=UPs!k%UELJ0~()Mx=rX1n_lRv3h zYD>fW2n?3kmPizvrON3e)_-!rcAt?4{xp$}I|9}lzo^j)x8!@0lDoZft%N4|Eiyaq zYh+O6iV)8gO_?Frjz!wv)OO)gDJQ)SRu>JY($4>2MnKsVeI(kOirTm&hH@Occ|r_l z-?scSq4td96_g|OPW_~9pKTytbmX{z)w2Do{<`SQMT_5y_7E4i0Ig&I@)UEvOx6}6vn@Nsng?9% zi*J(cJ)hlsE@KWhWPeOF2mvT7)Z<|YJw04BDL^JTo|lp5vvx?0S9n>ma0Wt}IQB@5 zGYtL#fRjj8)|bj7YT)S1-4MQ2!tw58gj6X)*)GROsZK2=0NI^EqE9T4cDN&`aL#t0 zk8#WC!l7kE*C69S|7Y0ySKR$#4!tQizHVgR;SMVb##nRMAcE64M3B|DS9ZQf;h7Bk_B!&q>c!Q5N z+_b1?ghjo`AeKX@lmbPmEF|9@Pdg{EV(+MFise|% ziUF6`d&#O~_`75Cye|~#|8REYhMZ6$rEB23Sb2z)4PK<(Z#1mO)LV*BVxUbk#n^}w zu=~0$f9qj;3KR*OmZ6e))tq3)VKG!1grr9>QJ|0@;&lLjd$&;ADk&!j<7$pca%XLo-fK!7!-EpvmjgGBJ_!7!{$ z7V906x@-j}71tQLK@dzvo#g{zC|5G~ZEvhr%7i3vUm;BEGrK238;|1Dl;4{vMM%G* z^j61W#HC?cm7v~?_AH*iti^~UZUOlofxq)?tgd^)L~~ZC7RjZvU|%bfkq4Lp3I`c( zTk{8nlaRry{E^o)ay~|fq$%}>)8NsqnA-iX9DFO2QXgS^zoNPOeQCKCcG&NE=S+z*$v$Cbj1%y`acl;KzYa80>?xa zOMb6iZ)P(bg+l$HuL{}@HB2GKAOC-WucSO_>`eBFB7qV z>d&N?*}qb0hP$}-#Z+lh0-|X~K4$u;!vi@hg>!ak=UBe2aZfe`m#aKs=5X5&tK*{+Uzm#a&N%oc zhaSD>`4|7aaE_fv@6&l_ZXMZ}!yF+TqDi6~(0In~Vq{64qVC{;7Mj^s90sdGn<*-6 z2r23jx-a|Z=;>N@oQ}G_0E8E=u&i^K=07m4c~nz25&r&QU#kd?nS?7ogE?~W4xB_i zFTRpj@&IT9Cb~YSAoYN>x|iXW1YCIAX2|41?0YcE205aH*=xuB&wq8wwb{F~9^-5o z-SSRf$Wlldt(zZmj&AqCEVl4n?gH*bRv zZjQ&D7Dqd4Z(>|d7hd$oQGIkPvv%vhR-asG62uzKgxIgIo0+eC#xKuf#e?GoQYVcF zNS9euSkT#mAj5vE3zqC@(xxjO6!ivsO>`jpE9NFBOGa&LPR`lw zGrpGi@d6vZ#lAYfXSPtEV7867%;GZH5yw{%9Y>>PwdYyzd;U=2ReNtha&zfj^HS)W z6^%C(?kI4{E*q_LinQwxf^RgzeqXo-3-fe+)E?FlyF$ zZZ9E7&L4Hf!xTD?Rc3V1VByYta8iIJmE+BG3HH_0)>22~^+1)RNfjvPBHSQxbApcJ zCd4ZMsFt8}sGuOaYmPqUq(P2H3k@pkL(FuelyHkhow2PQTowMH!wf%W2pfX&q3z6Q z;M};Z_lQ`63*;9qkTdY!s$)|P8ha?nyx_RqG2X}lD!Hc>LewCStu^kyN@g5J?qrAV zx{tb7!br9?>4yt=DGNBv-+0eIr*im=5w~8^fE)R#+pT^Rf%4!f?XAaw-b-(zyBM(m z`V)&T?2H6JrNNq-#Wxt-T$3hh5>gOCea!KykWEVX;(C||GcsMLv=ff)DPjA}rl9rnpszC}ACVzKE*e?B|j zAthJA7g78Q@kLT>Mv_lL@5B}YAiTM_OV1cqxdlqh)tS>5SGX(Js; zRYs0HnoLb4Ri}g#WUUtsVs1hB@8ipxINY2Z+4rgDG(J+}28F0$vALI6_1tRd=N7DT zD~3OubR-yjWL!u^`nnO~)9*|qS7t+IJF#37Ug`6lb|SThdsGMBRFI@xgIH6aBMMk% zWhvQ2E!Igw08&|L;-I$u54p*xY$wmjcaYf7ytjm_X!_Y^I>%#<5R}6;Pi0=l@x0z- z3=OWc{zr9%bZf)zg!7q_Uk0l3vk-U(KZvQiz%`ns)^yOK6;lL8}G$YxYI+nVgF-IVtzJh#>_=hw`CfK)gZzKzDZK>xu%?g*rgBAmoQ7 zIT|@pPpJ(<9GF98N>BG3ZE3!TSQ7ie$TJj-k63nIctfh34dYYprB+nbtSd^9Q%G*% z9#}zC)9(UuWF8l8^A(K47G}NCginn?6*(Ubi`j=Fqr4|uK3Eu%My#dU7#tsbe52?o zCavjvOrolua)4p^L^(8&FVO&*^{l!@QE**^Mr|hB%==O|-1jZY=(ig#fV=-du(!AP zQ&c8;40DUc6bX~?2J_MuL^vc4=z$-jFbE=+Q9)pFSrC!-z9kS7c7n+;fJ^7np4(J< zNRmc}fUz$&h%IybOMqT^90%fO)vt|qVUXNNu)3>xZ%p0=Y>6BQaC6~Mu9s1XdRPY$ zb3}0xp4Mx%$@usGYI6LG1;;D(>W6PkLeu(%4YcTq7TrM-kV_ZwIJlAE*vmJvPC+2T z%kxAfJLhbXYd?^Hgc-}Bxs& z+@V!kTkn>YouB_^?S~^!of@;%BX<1f(tr8;v+cWbCd$q`vJhKBTTBxa9P#2-dbvxB z&h#+&Cc5-@67h%1y>`C^@A;65h5$5@J!^Yr;!DVHePKO<;GA0lXu%@6QWJqf9-dRC z9v&X!X8Es}r7jk=Hgrf?gZjmS_CaFEorE9w4elC928NFvf`KIv&e55PcI(7vmlSnR zNk5UUm6BEO#(HkoZu?{n7VV$=ufpDss!Tdn9N1<0p8YQNzn27~!a5C9YSEju-49zQH)4m( zMhG93f}gNF)hrAUld8gX=rJcpKjt2|E1qRQ=baMc;mGhz%u1mK7Zg&02(gsr;djkO zlbKf?kbYK_|Les@%gm$8q{fA@M|}Ws!&6Ese&N^vLRB47R0Mz17vz#JTO_j*pMZ$8 zE;kQz#|Dt!`=dNk4eazdwS=#Z*57^rJ0eO6dwh~954m<+8TOanomY)xp;9c+cM_Z3O!WWh@KWIkQnI}pY zJG&CExLiCVb&NFsIrNfp9x(H~p#uN+aJ#F*US2g0RvsISDm8 zfcJ~Om;uuFEH5U=%7A|x^j{d}Z&Z*Bp(y3LJYu@wGnr9jXgoUu~ab{

    `PN8iE zm~G5et?s))`8XV#eBrUg%M$psPNJ;dJr+Y3OZhwP3Kf=SPjReMEznK_5fv%Lgjbf% z^osK5X*`A~2Wt`0vGveS!8@p{^oBFV`zIpqKW6guuj~$2?i$5^Hb`kEzTpD1%GX64F^Fr zz4Kx6#Vw}~2xHXHlDs*>(B*?GBDt63I?s2mA3!Z>o}gC>`7hpIn)_}kbotY_b_Tzy z0`7sP$Mu>2$QtjjNA4f?+mG6$8PRvv2DMc;^jNC;)xGTrpx2k?XNW_D<|X4luIaTp zxBMVCZM8qACKPS-C+VM`?)OX5SpS7C(r>{snA_VzYQL1s;VC=4;u)WWxE&qu6$kvX z@z2Inl*nuiDez5;9@vqVa3N2rDb`{nwjNZNQ}G$O?Q|v|TIV@6<*%d^eU2AR%2ApY zI;1jDpOKeZxBJG=n4w77_b3z`LX+sg9FC{r8?=o*`D16z=S z;XL+${)T#Ol@8myLoq3f!fFDxI{V}ZTZJg#2_zfQ$1I-y{hX8-8p5#9f?OA;`Uhe?(xW%9ls*>_7)go*DLOY5iu6V|mPN?m>zk~H}J*|0U+75i4{dQ_4BeiblpObkg;}1%&6W@ja z9St;;?dOr{dOEWvWNG4vXM~{n?2NwK#Ri0ytfQ1UCpwXF^FLE9%)uA)B02WcsVdBg zpRF147rcGY4;}fwh53;MvfQ9RBIEpSz5x@V2+tXh=GX`3(vM)@GVRVXp@PWogsEYN z_T;@6N2A?{zty;^iPX)2_&PnPIhLGk5W?${FMhxs0qUZ!&bP1Z*Bh5$J0dD4+|Qa@ zsGN>pv~JOVKIfQ!(3B$uKD=1+S|Q~8yXJVk6XS%+q5Qo1_OD;gWbT;MGY^~RFhj+q z@ivxSGybLI`{sYBEqL3*7;V*`z5HE=0-^M*ltFR4nw(|O7c->N{_u~vZzv+@`I|9q zT;A!-=!pQ=Oi}9gA*gLEoKXE9`$M@kZSpk)U9lEE8DOekwciF2wOqejC#WouZ_Zi7 z$%z3S`;SyAXiG>B6O2EDyKmVOZ|X6bBR zp7tRe6~k6mo&p%HLrCOl=7aR+wdRY&5c0V55;%KuY;}I__ZGkLjn<1T4KY8rk+o&v z?|ifIgSIWo%bpBZhPD4x@h^Q4J=);4niGQhpv>8ov=TPN*dO*jl*`sWtKeE(+HC^fbbwCHuJ99aTbf8mDd8G546P*TC3);1&h42AK)Dl%0)j7 z?W&iM0*e;YC76ObK9iLSn=DpCFy<<%Wgrb3K$PTsCx_aXomh=fsD{Y7BS$V>8IiPM zhZpOjMXQt&d-~HnWI|Y>B8MC3RiOWauj#-xPg6?ok>hI}610;-!hHhMRqD&c`R-q^L;L+ri(etFfu|1nocEM60X zXV|hf4Cc{ZnV_f0@87O@jOaDQJk8-LSKEcB@l4L64F$!pG;X$JtWgG6f9+=3O2+g^>rR3O3?I(k&+B zlQKe;nwb=kh@78}WOBhNZ3``xbaIr9kcmF8P4}FRJ}=s7YK0twDx6}K5ih-B-{el8 z$my6vpM+19(04nhFTjVEh zOs_$StYD050TMWNOLL0(7zjH};J{nJyUm>#8D)%Q=PxERU0D}D-F46n2T9HOFJrlfl`UrR58+ATolKu>&y@N&Yuk;QK?60Ha46;va z@3ej)ACxO7O@WiQElD9KxSI8sQU7{MHTQWSf9r_&0SQW)OutqrR|;E6yg81>NfHBX zgZPv9{~#HztNadAqF1=&#z+KVR`ttB*p|SziWz*HmfI`|QEkxi<=Rz~>W11Al!K!x zqkyTw(bq{b^qWM$mE*S09>U|H~hJ;J8+6d;Bx z*4W-e==N{mwq+FFA++E*&G%S|M(`tc`(w42QbJ%YP-YhQT)5TXIM@+r5EzAbEsX*D zgdv`S6ub^Au|>y3|0k+V+$F>?NIi;K3X_H)j=@xE@Z%i@{fvGw z=|Ck(a1jgh#X}`QU(^i~HKDHXGNc?MROXdIw>YwpiLXbaq4IO8|9<_Q902^X{>6(1SZRe&E*+^I=I8U79* z(BQ6L@0PYfkC60wliP}7FfVPZzoRRNR#Kevm92o>@=k1wjAn$5d-|6|HyFwyR88!i zs5|-t=`~;Q^F)=mu&`^qqHJ#KW*`!;3)mhCsI=8#M&N9@07HgX!IjtX`HzzBAI$_)hAO!G zX%=B5WwG*$3LD02GX|{%Kpic53_*7-WcSzX_Z`Nzr$XzF7F3Tg-7+^8*Q(MHyq?YW zKL2`j=od_Pe}pW*OP9HKLwMY?4OyB`hpW)us-6`=f=BB}gJ_(PwClz8_2(g!o|IvNkACVeL114xqosdi z5A1Zk9yCIj@~9(v1V?=7$r^l=>l?v>*emDook8B>U7k0GWMaSMF?tYs+ehb~e;gH= zYQ7P(eiv=?t!{iCb#D7SXX}f=1Z}?)F#6dr3hPYpN>Ui0-%ok1+6Hs0zsE{ffO;>%r?qv`5xJrw&w5Yhu#16ADNbGjQH7#R0@7mqd~wyE zdl|3LmZ*~#vvCq40|ezdp2Bf*mXj^^K!aLeRHcUAEL3)%<%P*0ux!%)%Mf|Ev(%eNq^Ek!;$7Y&X;(}&e<5# zm?dKhVv!Hhzqf;yJPMUVj_C+iI8joR#|Hfes+`{Fw{$et6Ku&HjNQS9?T%ei1pBU! zDT(Y$jBhl~UPA6ZYgvC!fpo|~dB$x9P>vt9<1@{wX9g84#}dI5!A6g+w3D4+n6S@l z;jG+M4uJ2_@APr5rm5TrPyNY2GMVS|m69|A^ax$$_uzg%+}7xSe)IQ6MTx8qWrL(H zsQ5_HXiBk_pU?vOa>mD`2r-mBF)6e{poV^(5oYnxx{2$!V22?AEC#>DF+f%gfBN^p-GNp|B;Gp*~`28}O*Hmy;V4__%rUua) zTgJDGHGcWXAkuv}86cYx03zxBmpTKC$=&kb1P2)iBLY+W@lw-aMJTv-4{>-9;;=aTO!&eVUt=;i-Bmwm7H{@+yoXYZsPGSq(kx*Z?$46={v zeD0PFJyFLD^#4R|H%f<8s6%Rp!?b?ei=0>mO&rRfq~eleO=QRTF4RgZF-5qm#^f^I zWtEZx!RQ1Xb&wsshc})2>xH~(aqES6^~WS^{T65QW+1-KLeFwJ?%`Ji9oL(_9^pKu zO1%l3db|Q2FesW$F#@T{u+&EJcwSqwtEe#ppwIas>V3-~Y z0B`Td`PMU_E0bipQ%7L!@$9e^Z}duk`tW4`I{u0P(XR){SR^)H-Ro+7x3+gB zzBNzGwm(RW1iyf(X?D-HP~XmcUEeRA{x{n%R~FCGMyZ}2^cZUI+QoV!i;KiW-@mKVnE}A@N z?i@bv5E>pvIk!WMWz8|>!LRyquy3pyITgupJpYqK8l*!AC2_~t#FiT zeV21;Z{k?>rEH)5<-K&px??RjGVz-Z3IJuML4aAxh!gvn$8_Y)#iDs20q|2kp?{Qev>U^?&a6_&Fv!3O zHp^%*k{A>iRS|L6sED0fRNh? zP_crrP;ghpNfau1Q9^r^P$E9U2|e3mXlb4Z_vV2VruijiUeacb)%1pa198N~th<^~Q>Xpy5LpB_6IsqldXVxGLQ;eKV`woGorp z{dl`sFQe~CtnHu`g&U7~kGHY+oR4D6WoyybVnwN@yS!a0TG~4E-e2T@6!!!L`RlI- zyR3Z*nkv{R8}&Y7_BO#YV!7lNgRSF|2gKC~Fam*W5+UKjl{#-|y9}NR@~Br1GO-e# zFgng-if&0;D_2=zxLhhgZPG;9RYVCl+M22oEi3s1>=YXdkn=?1`kP++GV9dr`5ata zq{CPAR`{uj$hq3@uZK_7--v(~)F#XbE%?>)HG#uXh5-V@`+K{e@{3yaFVAjn7h&q2C_ z5#@(1^v`H4GeQVe`JM1Nu?YA^~AP@URcg40e2$5PR!d{94j~J_CT;$wm z3DU+wOg%A)D5H-hA+A`*F!If$ay%L!(yujF^k@OQM)8rF!uV(m&3buDW;-GxktLn& zjuLdzn6O+(uNPNGt*gSK*9mJ0xxBoL7hIBjp+$k|>=z{$F(0@s7&85;k;Ex`*(qUl z!0Cx+7%QoCv*pytgLTMw&|0dS6Mq}OmZW!c+>-^tXR#}MJZ8@+n!|rXuE+l_D)MQK z+*s^pBlt8+p1%MHYp@ipk1)moJCv|Pw`X@sRFA;w7eZ%DP=^3A5$A;x0u&!Y+)r>| zBE}4T@w}4t#r|vjM@B3^=x;DJYt}~?3eCo6lxS( z*9dh}NBmI}S+BYM@PD&4d=8htb{Rhvjb2nU_AtNHwz$yCszMY3IQ!A;ZFuQ0D2~z# zN_~K%S+i2#P|@IrmYT49`OvSKhw8)UeAreC)d>!X_~Djh8?-PD-q9eDrhxfXw-$I( zjw2OuliGaqXwimW6$SBXsF`#i>KSUfL5+j{IV>TsGxtmRnvdn%@gzmV_oQt z7$&V1u~V^B#d2iXR@N)l0Itw)3VE=n<`jN2(4v!GVig86N4qE`WfMp5)R56UQOs5~$BtGQdYHta3}{hv zF+}Wd?1d?^6thPmvekN5IG6jV0^R`a^ZJMFylXvAD`hlUSRw8+eZeHKC9|}F|eje2GfYR zQdk?FOTwu*NCPh9o*lkR7;hXmw!(_sF_z27YSs-zpXXdXI4uZ};k8*nZ%r%KFjP>p z`@O%AX?dHB+pKbj4QabzPJqQR1TxKT0nuJuIYyk*X#b+9HSd9_mrreX{d8fQqS?{G zGn{2jhG=>9B-$6b5)cs01aN1Er7ollwx#r&UhHqi`ab}NKzP4DVdSlCb`^;}4ZCWG z@y$!L!}!&}_!oWM$G>dPo1JYOyGWDqrth9NOD^eIDvU+zpLX8tj^$4T%VRWoD?o2E z;&y=E{`SB0!WP?>Xb0%+OLR_Qi|_jnzxE4nd}g4=N&!&wO=~$&)GtEzrj#z8RwQN$ zkrbu8)uFZ?xOw30)U*dVh;etSv<*c zM7FbSY7EFO=Mg>egzy#x+0Ir$M0x*z_TDz;mMkj|8w5r(32Wb@V^|yWusQQN zB4`2r5e>`7%@l-ZInVW;>>os94v;bD2ZY;A>@va@N02qP=b4|-IVhI5sK}~sJYu_m zEctovjwgv*ob~`Ww2}kQ28YGpkSkra?% zg93RzVqaje_3&j87Z(1hPz?16dOV-XLUUGPZb zM|8a@WWSk-c=lon&DXQHZVJtNM2jgj{}Ekd3cdYTp8NKfBITep;EtiQig3I#=2D2D zoLF-crff4k2TdU#kw`JAPX=JW$2G#Yq6?nKxJIaHU2v2cgzrTc9AyTfo-KmjKq8QX z_7&_pzA0T0l?){DxIhfTcVdworTgIf&?Rqy?n89%rPRNCd4ab}w5uf%LQ~48PchF2 z!s;-y3hZ2YKa#RjTQ8K|rY-qJC*(@ILZH&FvLEUUwKV9Tm?i`vXQf@G*e00+vLDBj zV+&A^IAP@PD`38;&vblWouWly9FO$=Rs9sDB0Ww=Z;xwdwwHwNzh2?m`dt+6!t;^w z^z%DgQPCUHT{qukxVT^Xvak7#Pm8kL;T->Hj|A~<9h5!R`aoh2j>+y$;H;u^%bz@) z#+;ECH>3z|Pw>GZctqW8Bbamir6_P{M0s`Eh+xYyT|dwxp~~?q6&Dloh?F!_i>u-z z&Tgh~0Cxzk2JcA(NZPB>*n?m9e$AE-kld{WYnN|Oo#Q_v(4%8&L?I+6y=r%-Q3<;# zd|cSsiD!(Bs(RL4*!C|~F-l(5kCuvA`^2cOi_hFv&4xA~+R{ND?whU(d3KxUaG|L} zGb)dqD4b1;d4+0c17z&C2FVOCi0UVOSSzcnz)IQed(B2PRAZ6|cWF)d=}5lvdfjV# zA(J$ViwocB-O}u7L9YO6VbuZxTDzU};)(@;QsA_rSI0AvpbY%uH-l`7p5uG5;{;ys||7U$u+Ro=2xl9qbM z02eV1SK_Tj&`VXO#M7mUU~J!554$mRFamP73mJK9si*dt)rn77!Wn>?(1J#G;*B129f*x8LpTF|euSs5KfN5eFflVdp z@0rlbOO?(DCCHrO<7-l8yD$GeAD(30FTKJ~1DP=DXHWE4hjfGp1~OqeWyXHz8kjq< z_Y6Hh8w2Sm>gO`zdba^O1%Zsi{-{BR5X>nv0GGhL1;HWed+KK&@x1RC8`A_=%j2I@ zX6OADOuiQcbIJ^1IU=0ky#eW@L5w&@K@a)d9T;+Pl9b#a@=#y4MDU8_?!d0=kOVlW zCrSP6l4k&D^j2;U!oQnuWzD+ZbtJvu>}Xq5%|1($i{36dxK>LSJ>O7ML})^kb0MaX zy}g2H9;JCs%-4kYWMIZMWTTr5aB!f0W4nTxK{f8ex_^s}&mSzT`!C#l@a5NDe&yzQ zQFSojp$Fd-EEV=nT6B3zn7(}Tp_NB{>b|PCt;>J&5Bz%{_Y2WHwL|QG{ha&Sx`#m4 zc7u!%qWA}7ew2p6zJlhZE_XrX1*lNL0Jqso_2?@-F16u$C?GH%ZuP?t@(ovZPnXzq%L;z6gZL!$DwJ)1UzXN zoPZ;#g5W)%3a!8h;zAcxxDVJXS9MZFf(kg72x3!JSNw_)sDj9{pXSH;RzMrzXsRT{ z1nxzwyEGg_EG1O@05=P$nCo#TwlpVRqtqHpQR@2MoY&~B13l+GB09KF;t{1#vgswcex6eSbe#e)h#N#bc{8I5Sf zfg`7UU`0l(Ah=!rGZZYxke)? z`9zllql9|GXz)^B_-tSFV+wcTD!Qk#@d(ml2JQsrnnW!QTP%d;5v0|;BKx`^KM*mo z7)-2`8h4@r4y^kV(xB~_X+5tFWckvC&t=%mo)+}#bjJDdJkLV+-$sOjYc5p&O2FFEoM(+Y*{<0il8gTge*5}7QOQw$%d4*2 zo^443^3_V_X9QTx8WuC|CoJK5_W6tdV+wb2arHmw)g>*s6Zw&ciN4@Y@FNWy9k7x+ zz@6;qe*#KkxD($6l!W~6urJp9w!fR*g#~Exq2RQuLnT7hTB0Z~d~I}bx;K(6DXi!P zw~=Ao3xB$W9p4oE>F@aM-}IMe@TZrOE?`a?6`eHneIqNS%|R=<*80hax_>NA#(ocb zrWVXD0p06K-3~!8sn=G7wvTovBlyz? zFzd;FV*RSREy$&zBE6nkLLENjX}v|II_xK8{2KGUbqV%pbSamEPC`Ab0HRHn;=*7zrZ@VU51|u5ru@rBE zwFTuGpjoxDV?x;vdZ4sw1cRX%+xkeZU>N-9ZoYEnrWUJI4f%UR*A zQS}6a0NlFjfULuMI{3<*)nn^moUd4y@JUy@d+$Vw>#AC9sUHlLthdL@BOYKE8vS}5 zYRi1$1-<0F=D(nCJ?Ay=5iL4&{v)~usrkd-`_>o#z*`H?n?4LjcZ8ByqgN=r%rojK z6*g#J8M?y-58aN)33e=HGgg8&LXZw_5kzMZNsdAAsDyAKNC(#iM{6_qMsz{;D#3_o z1cG#uf;M7AI=C))-kkt_gp&ymQXIm3j?56aUfdWC}WQ(cA z!7Y;OHQSo-{&c7I{z%TtB~O5K3I+x4EgH7cX-;F(eR0VSu>_snk`DQLG-xH7ru8@U^&IuCLAzneiXb`c22LN zm%s9uzonRw+v9e^v(E_MTWLj#Jp>|331+hklZmLZ(u%Yy!W^zGprzoyyv3+Uc*Liy zv6;Q{CLYmZRNReG@jc)Dao_PHpHz$r4P-fEng@GFgW*-wd@LBAqvoT*@G5FP77Wi( z^U+{1I$@@|4nP4Qk4m z$49zePb!<7M?`@aI%*Bg5DSVB6j)&HcslPiqp;ugI72eXDB)I+WgW{XtVm5PCJ!3- z^s+eWx7zH5_3peS>bc2$q@}w;xCXT|OwRz~)Mkb%)C|xyNXtmMjj|6vD!Yh0j2mA- zq!{ss>3>b)b{5dhj0JQvg>Tj~y18s2-N4#{WyQzu)GUv69^IK4tapPwL?vvyeZg)NO)9&N(8hqE=+_6c3s$ZFMkV; zmyG||NiNncL&5LqQ!x+Nu81RX3fC07RQBZ0LrsiclHkF*etEJPz#=dHLA zUub~nJSkKTiAH|tKl<=@eKb-`iqH4(n4$IO7eAdhU@g?*r!CBqx~7TdfRAXF>~NJ7 zEm;@r&fP{Vp$_pj4b<3Ih|JNFErMvvCx#Fp-#tOTw_NbJ-fMUa0V+YJ=5i{&SzHi3 ze_P{th!uIn)$EtKVkab_jSw$-3%P7}u&No2d1;|hCP zwnV5h&iP42Q79jX+DQ3>v9K3Q>i5MX$m2m=r$~chyFb>3~PrKrE zx{^j1i$8MWJJ-*x(N+PuyhJR9OdvvL*T=1Fp@&)@k`lTZ4SY3qWlznLVXjY5)Wo<@WDv%z!8!57v8CNUOBIjDl3jCERhX#K{&DsVvUpu%!;c17v;oNibaD& zm3-DM& z+UKI$u!nnDGBmi8OKtO;lf`3EZ8z_GzNtTX{a8G1e&Zd#=a0U_rH5qxX8;3#b+RhtWr0wefdD}wtCXtNkGJa4SL)V_kArX%ZumLs4b zJLc6;i;VLaM_Od850R1B^j$y46C-n+F;s*Vd)Nb7!O&uVLd1eem)blaarRvj%@aZAhG!1k zikUO<%th-KNf>WZ(0=pYtSl1xi@bvPkz!P!CaB3PITiIdjws$-?FN*)mBsTY#K zH+^=*ER?ebK@=dXXrHCo(<)vauy$cY9&kl_8MBg1%NUXlm#8Hp=aW z*`<#|j5&XGdZDndiTqdA0FpTm`vC|2%2(%2e{~Kq(%V7Ttj-ImBp+$U>KsWWwHTbG z&Ea)z4%^I-W_pr=+9SztY3z{-x+;|;wD)1rg4G`Bl{}&3Ou{0U97@=*ntw^hg9pCy z03>^pQc1F(j+fL`Iv%X}6=(}I_f@n=_T%_EGlpc5)-PFj2z$9NBzEz(Dke1|Q~TVe z>H%&pDD@+J^gyel+(PH~DSxyijB@_rGR$cy7?yIdpvm_P&QJ!B0W4z!Vz^Ox3f~k3 z!{4<1(7*crNEoSBZ|&?(lQBq!gD9jmXev8Se(&Y^ecUakjHZD~OVX0>gzAx?*QX29edn0X?(gE5(J&@7wB%^MmJ7oakO z_Kq06JP4MK{IPV7Y(EVIwU>ilZ4^txQb}p{Soqqw)6y<7)Aj*=ll5?=SQoUhDTESp z6>g3KBWYj&m(}nSq3yGm%tT^s$=cja*5=QC>Yx5ye>g&0!)Rmlc4Q2Jv=(SmB3jDO zq?WaDSn}xvzCKluq!Ob#3qh*fBDmgaeCc%BNG(qmq{?-{!I zVWbnIgzQ~#tP!JlGA?+z4`YR>MbVU%Fr9|@G$ev=L!JSXQ1ok$jPEK%>I#*AsSFX# zvR=!!HxxaH5K?TZ_&`jnCsKhV^1OzO@QE9sgilamBkaRt^9wk?xc5+&bMuKjYmM8pBpYDQB@EK|9n9ry^_Yo`V2V|F_vK1FhWwDNbq zqQ_gLH`O8?4spdQh%H$zL!qsn$nYY?ym^sg;-N*_HeR$@Cl=`v=t_YWsfLR{4KTdG z04JMw33Tyi7J;%m4RkRwwTG9yBa`kCGlrL}|Z0E#qfGBed! zPNzvjUN)zfsMZqI0u1_}e%` zkx;B-Z#gr1A1_JmS$}}t*voh3r*p*3v`E<*Rd&sJ=Eno<*jj+yht0{_U&LJ(yV0-6 zD3lqebIhDePTFHMV&-xOxl!MS;y4D{VT-XP+c_y7CRlv$sYVg*nPg=eq|eLtVC|zE zUvl9(T0i$_-h#(IJS6A)VB~8fYYR(&IL={*2x~ytaeYKvQvM4|04<`k? z@q~{wLH_P{NwmWS*JrJSI`0VNrhW@SiQAdr5!w}D5jq@j02@Jh{rHHmVx$NQ%?G4Y zV;)iBb|%=Ju^}U(Y)P|pF||ZGd_++C=+v;4R9lCj1Uh^~^l`-0xIH^W7n^fbSaKOs z+GMdE3EEn4WvW?bUZb-mR;YS9M>H(xY&{;wE3UE)39JE)e zGc#L7r_Pu^IsRIE#qAXT3wuTElN3e~(xMbb=@I%0zh4|cY99RX0%CvHtVGh^A?8Q5 z7K+{T{IW`ty}|vkH&`5>A@eXt@LtKs%g;L<&;2-FK=HAwx2MD|$kB98h@C2WF_Jp8 zMLwb5XbLP0!cVB<*Strxqz>;Ub@;ts@V|b_k4_R5fkGEC17YnqIEp6|w6~@0p?5NZ zk1B|?1C&S32&RM!aLnBaNt|KU{+v2QvgdM+Q8b*UTM*|Q$uY{S%LT_0ee#Yn!N<+> zQIiOS-8K~df=@2+%)AanMRq1_>SVzG2NZrHY0~=WMfX*CRrJl;aqR$(ImuMyl#9nn zrXt6B9e4X)DqHO{OTwK;Q-Bf>H_7o7U|PEqNw~ClSC5;7lgCAvxoy}AdXh{ZC&apnxErHI0#O&}QchOU94I*;P=_l-9fZ3YPV*d) zQWd#ihq5%w(X~+RcfX6atP8@X(#pI8YV_J<9&K3{L}=-9LM`X$PCJYw0Xl@hdigZ0 zw=yI;c!8Fim%Y~Zq4~0FCT~>ZY2FXWEe*WIbyqn$Ye`Dh?SXt+emcgT%GvRtBuwRF z&I(h}j)7t2A*W=M%QvYDhwLL#rGM)P*2Rn(g?n+TNUlA>KJ+cKg5=dwn5NfcqX}fO zHC9D>D1n=VhiL;jEnBz5iPD63&DMRFG(`_cM07|ThL(i0vNXoP{9BL~*1MG&83|$8 zvJOqMa25&7$Mt9pj9<|2ufA~e!HPd4*$TRetVl6Ss>Rf|G?KBPoB3FQMin!qK~%kd zS+pN|?-%{#F9c_i#-duT?bB|@dUFJvc3Yvg%aN%R2S9RYZ#={UE#^}gAO)NN-)#wt zQxpJ@+>wUxIfMliy|&ptp4UA*eGXxvy*0YP6Hkw-IOb^b#pDncz$Ua0&}4g$tEmJh zwWR2~b;;*=_9Xvx)tEnvSd!>K4O!d_Q}~I515M&U*~(+;JPqDAv?+rT&C$&+2kW!< zC3+()>#F^t^sY)uN{O-~dC@kAgp#bd?|Ow{Tse{5fZ70`Q6yVfXjWBo_p~tANje^U zN|}k6g=tl?MN#AFe0#~3ik^w#mTQ!{Cjbi9b!iNra2tEwpwDs=)> z;xq_#M_!d_5Gr_LP(6cK{{aqcTZ7Fvn4cqjN9D5t2=&;qzhnpZgyzM9X*mqI%$0)+ zM_9d4xTUo}sQCz=8en-Jkr>{&YlAh-F~;h^MTWhzF$hSXoCPs zBr3*y>Y=9N z0ZOUt67g@7voHH$2%y11)tU>my;p%q#B7P-G_(+Q1sZBD-Kt)D`IVdJMVTpvwN$|@ z_2UuPk7)hn;D3bvAjuxC=E-GE3)E{$E>i_ezf9!YOpVYBq z-J`RPHH`?De4u-DPEL<@kFI>fv0l$v#}=ZLAx5^WV|v)&3Na#GC>QMD{0OfpSThm8uP;XIgd({;Fo%v73hRy0GsTeNM-|B(*&BG>18w*u zPFW;3$ert$oiv$=&bPBN&Zw&luo_iuRcL7+7_1v#fw#y$GiWzH8{{t%r(8uH#b$eD zutG$TWewuZI?7Bh;1TknzeE22&}Te0Ma=zO;(wXTXROHX> zlAuU#0Kn(d0`y_8a6$WuV=`LglIK195b?PJ```YQ1W8aN!I%n3ddDYW6OQMKG1H1h zo1s17kL<*V3ea}_F<|6lmNr8;%U-)kNgBK4`FuiWW;Yf|{A7A($Q9B(>zc)qm5j{#+y~ z3s#6*ealwA(6`!W6RZEGTKyM^Ty?M;U;U^7y`$AXQano30lB6b5nJucDYdH`Tbf}nJ2VFZ zm@2McnM@;eC;M^2`#ot;pHX@y+$)fPDY<(hMmb50=#`^a+9bg1H~_@2J7c zE}9c@zcl#79+qE;6=HXHj9nmT_Sq$PutR61jB;Vw2qyPPB)?C)Riv@h7#1vpBQiC2 zRWw8qbkk}|t_;`*N9fQ3rtlnDsi&O4TzW>$l4}|>TxeX2KM+rau#^7N8+|H@R9Q+y zOTd+W!k_q}@A<*gR2FWu@-t`QM(Z^Bit)hOXq{%x!i|<+@;%@qMsp(gDr&0PS1{H1 zhH$~rGR>TYJB?LoQvdmg=pJEE+w}lFDt^-;38q!`C^pBY$>XF|lMQ4V6{$kgzmks! zDl@}oro=?sArY}b3W->ZAX`4+(BDS%;x3Qvm!QBdki^|ua!7U0X%sG2Mu5`Eg%a!) zk>D3!nK^e{M8bqyRWu{u&!lxH5^gZ6>n7i@!YZq2qB3+8=JJ3*mL(;(fJH-XUL=U+sZ?vYU2@#X*L>wY>?a!TLkp*ACP16S!8fuT91rJFqk@uJ&5^5_$ zstUb8Zz^u3UpGo>|`WbCI zfidHu3YmXAqen4b#8^qiFK$b?5yO4izB?jRJ%v>KqI25^I(gc;IrK_lC^NLlRBbO>f*|>@&$=!jU#PT=h=pkP3{a8}EX`Sxobut5sIzs0!v1EI(o$!8`*pUOusGa9 z!4QAg0ezNanWrNFQT0_Gusnqb-rK^h${h=>okKVY4p-hxc+#{#VqeHDVLhD<7!JzS)Zc?@?QXif*=i(4oJL=*3+g+fPhn?Yh+8J`ITpTe^z| zO|80~vI^UIk7&`Lchkz`fBScS@YjFj?F9p@9$xFVk9y;P2a_c*=-;7aF{x{*oTwmy&Q-GJAx&ojNaE*jMd9gJ z)=3Ol+}69rM|~Kt9dyCR&2#w7DW)E0T;k`LWHyGr3EyaLk+<14uRz-lYBG)bnFyaLr4m%Q+?^oYzQhvTywRF^q6w6$wzPiuMA z9RdB|m>^TzsUezXPm6hV?si6czS;F+P?Ezbv#%K_g7J3PDE6gVdTBYYx-|g&a_u{& zlIle&@PsnvraQqH<(OnjZzNL`YDKadWt!U_1bGv)&tJ}L>XH{y-j+or$lUyCEaw|v z&Nuz#JcP^D@#bJ#eCDC$jFBxJ^!&~I;4`z-yY;b|;C_>VO1meb7Vs0;r1b2iIQuom_J8uzf)1MK2%mR<1X0TCRzwg)>voV)yiGV29O zS8l%VR#CD7zAf<02!AmURbKf;a6#!Vja*t*cdnF@O7k6*){in0k(9lxx`wd*vM(iR zIbVbIQCy;zK~rnZ48V_ufapiODTN z#-JLl#*xUIz~C<35&WzwhyYR}C_2VbIa{Du6to1Jwh@%yvk9U+iQRPZ^4YI@Q`7qK zb1Z0+^FiM770!poj|-w65h*_zu@733*Ro@Gx*d!3=tQioOwPuDg-FxKN3;qpkd$;= zGgCrPVqq=`vs~HrPUySW10!XK(Fyfdk|sPMRj2J{(wL&X>@=<35z)fk$v6O$WPUnF zP}vIrY_czMfP7%`wvVTV7^yKw;}{lV^B@%!>yO+sr2X#EvyHi4_AQ%j+@@LO!~n8w z5T6Li@zb6$=bp(_=1mSh)saS>((E{$Gwl>pZpe_+;UFES$BP&%IAmRW#YeBu;G~+{ zCNZ?#a>dU=bcvbmt~*A5HPuy8>T?8ERt2nOl9UcE96 z3l}`CQCmYZ044AM4ar-elxowka6woB1QiX})zh$W!6-onu|PfIBPh65v$fjIzYoZ|c=0U@gTr$GHxo@lRB zr}ji)tkjh+$goweyIM2hNy~n5T^~SOqS7awuk<*}=PR~lI-dLKc${ygeL=NXMFJEu zosOpo8pqSlj6F3bU3oqGjOhAO{fa%@%i>5w_>p?U*m_=6+tZur0By>|<_#oDrmn`JyrAqyiR| zSCA&Mr=3(>&&o#^aGjzH^&kK{tXEp(?^@&h6#*` zWw4EERb82Nn=HSin_6WEWm=^Lv{I-#pi*Ct%RAdtwLo`XRmKWexatK5-}$PZFJfyX zZ7jFPwebT$n8D|+>Q}IwVwa+w0x@F`5VS7ec6DRx5iF;a$2E^gUF?GA{hl33@uopDbk)W770!{m zI0V5lrh*u}nVP!T1v><#;WHy)ifUD@uZa;w7Z=Gbo6U*jws#{1iQ=QCTL8}e~lNaLFVKIU&}wy#-I^(t@82E z%!tay3Cn(HTIAy^FP!DARTMxH_WAfG3P4pH!>P|7id#s`BI8`8kVllWt>8sDKfTI% z-XmI+^W7-t@9chh^ZroI8j$xGu!ZMA(>jtfuF{udsbDe1OXAju2ZmC?Ik`NVxXsCB z;N{T7lRmm>$RH?C3Mjvr(FAW!E|2DSb8>k+y(_^|yX^7wZcZ+bq<3ej+@f|LU*Cob zzNYxmwQM;Nf*4zqHd6ti13F&&OYi7@6c=8!oEFMGyB8cc|&d~#)tdWlGz_V3h6-= zk+-vgxzGAdE=am>Xn)8($aTQ?eU?=~kaVeRZbi|rTQC=;ty(i;AE1!tE$Y;r$kKm5&> zjp^#Rb&KDJF22V7MeMo8jKLkRNShT=9TzP7asF*iTy9Ue&j9yl!1m%k(+&`nE#4K5 z9QNfnjvBs<44$JXz~?-pjR&M=JRE9pNY^|Si_t~nc z?sxmOYF_kT5bnHOokm^mf@qt9nn|cXK%@*AWnWory9n;qtmLBL7Ma(&K8w2C1xLx7 z`HpZwAb(*!Kt;2cs)CtgYU*+qG|~mKC;=edOif+xf~auo#5y?acg7PLBT|>U;9<8$ zzjK*-Z!oVA6kT2<*Q`d9%U)Zjl_W{`*(H~bY;VSnZ2p4wzpxY9bhnrR*-ns%PEwm~ zej1wb8k%KgX^b#C^V3)9`L-K}l);z+QF32T%{NdHJgt??xdoYsygCWT8D<^X(P z#0~Zp?vI2AToNow%>E0$9rj8+xunZo5+MoJ?z7F-)@UKqnEB~|>WTczG^X&J+A#&3 zq=5JPsc+rc3fR(^`T2C`t=e5k>Ko&ClFSdN16WVOGTj;`YCC)Ngi0Sil&7^fUf|Jw z?N;MQD85^LY4-e#3ezRRR>qMXl2EJhG`YsbHtp76Sg6kP_Jb%A=SXcdWZxQiJp?3Q z!W=D-P(Ivg-d2GOgE2UXUbd2KnruEMQ3> z*|XYiTa%^$6)*aN%AX31D4(Q!itNv-BWMyFJaBHEx*Y2H6P+=ji2iwuFGF`TAc?N= z2XZO`K@3F-yKTHQpa&_0=B_laSZO&{!6g;Jh1S$S%H=vpp_nFd!pzZJlM_Mcq{;~~ zc2gA=PQ+S-8zC-7D<>jWB7Ib^*Zj7W7tR0lLK5daqDAxHjpqNxPyPr0?!WoA!d$6l zm}`DB%HR(#0g%cQR(wRcJxc%t;iM%1@`6Av#k?pckL>lkVz|vAU%zPrAbpr7;#ykOlp57d-9`M+vwi zCt?WD5R@_wFX!KGKn)0Y90h`sL5OmGt+DhGk+3-kQ6Crr3@REUGFnmu(HdJaB1iCo zE1We187*-^@FyTtz^RR=FHbEIWhW;c>|X z`dfhTTB8ow7(qwsa5tuT$&!6D2&K&D)NV(-V0??mrCJJ)8Tel;2ZoXCR z^&Ox*usZXtmTa0!9+5>vwvo``y){~irzc^gA_-9`kp;h0Z{5uI)x9X^JF&7CC{4=&f~#y+MJo@z*5djnvkW) z8|*A(rcy!pC1J=Y=UiIg9J_r42eZ|pL$zUH_6 zUHtq@m~SUk0}kC<9>NC!I5}^uw(Y%FPR5`7r9;iY z)I<4*-tbR_)Yb3%%8`{Nw_v-|BAS)%b0b;~NP(~Xc~eG3(VLlg zpCOaYhaUPnBtP^Sk4-jwKhU)pVUK5o!HCF)0Cs_XzCLzkzmL#6m6p!BX#qSxA;9yz zM?|ImBpy++EZnZRu$cPB1rK=IV^{y=cmB=K`?FI>AB2rAS3Ff%5*9ECk_Fw{)M2-D zE}|M4N-_%Ip^;#RA+|LF;GvP=f};Q)8VN2q3gD@bK4Y+)jPw~tVUPLCPHuf2bhsssFk8hJ-l>a%Mh~Uu1FQ2yik#;?qD4W^&;MNo#r?U@`|3Y4 znOr(_C(ZB_Ue0hSvlri;$k@S%`myV|``L>}`zdO25LJV^r1g+1FJ7x?5Er<$8AMa)^Ih&r7RUg1JRIbF5Tu3taH=_P-J`L8_fd5NWX z@}oH=9dX9`xt(^YOKjuuVjD|>X-P0W|KiR2p6`bYmITwy%kPyePU*tAB$#fJ2OvFF zJ)c!O2O}(c%!J*3Z`Ad>f8X!@$aD*{jup|voylmLI%@g^np=QUh6QPw7C{y&(G)-d zx3xUAuVCBIG<89+wv$$eh3K6%QV&7KjzSQiEE)o+ahBXxE^TM*$OSvl^Ozu*ZecNm z;4F)QiaHqOTBCq?l>C`*N8Dq4i=&T0Ph|ESh<_P%9sDjm%ioKBJ-gSqgUxPy8)-> zDMWh-e`#n2&3w2DIU=Ybl&BrpM_OW*ti8~@M1i09?OU&K8v|-CYcGw^h(7EKX67oyJHI;{UM)7lmf<>=t>cei^F#?k>hHtAP2pAV)0vPeMXvQ`G*IiM)oR52joOTT?2%o*% zpaxrKN8{5<@)0$>Xx)JVXVu2aX~LG=vJc>>Yklqm`Ov7|W>*W(do4$nC>Qun}1c|IvA8>(RtQ5H&a5u}ko z?c#zUj8&C>L}5cKNYB*;twv%GG<1ikdp#m`zY88vOCbvQc<9i~EDKI*A<-l2N{yt- z2iGp`-_R?fLbi!cYd@ib;?Q=`ydP5ITRdjew>++LziD>MPDpo255?OSh6Or42eKXN zsp1>ev_&OfxngYtQ21gMU6*1o)A3vu$(3t~)UR!>-K{eD7TcOt?o)G#Dwo z4%mZRU3eV;y?dmFB8yvyoNKM2myqxpg>&%k7Pk-;bD#ga|Em}N?@-FT z4=!&i?}7FoRNgMwL6eAaEd)hhPT7 zTP_uXV0&r#F*6wcu!Sqia3@CW(L`o2JlMgRo#lvZlTx2!2E!wZ3oIV%pNGgSsl3b8 zfS+5+`ryF`^ekVtcxEmMN&)o9=2?OTyzP%7%G)K8LMAmks;AFKk<8s*#9MPoG+JXD zF`>OQ5_kKGVP@J^E~y5!%#H_8UHYUmUg`NK4zL$%V-EzOY+T9&iz&e`WrLkVhYXJR z%sloH<6wyjFWi??Ax9Qpm%@6h=Jq9ZZzAN#V@qYd)m)WhMa7ru@uyq;+bd@xyt4T2 zcjLSN)GvSgpP0@F-#Yi@XityIcOUENQD=nNrh@6tZas=;ij44SeQcA_RR8Wik9G;E zGQwkBLM~;5(W#>ef;1UnPe%_mz#yL7PjkEhMvknEHo#~y!nfG~gUV9Xuw{JrmI1ax zIWiH+_X9xz(Z^3^M%Z7r?Oy~27Ty0e=>Em^UtIqeto8Pi5e_`Hn2jXMdEu)+`2PPg zTz_pLT{6PpR9QcV%pr>B#Pvtrl9jhxuW8Q+n;?Ko>`#HZrj0W$hM;7GU2p@s92F#1 zSrWbamAU?Q*nKE;5Y=GB-v#lPBciAYI5SH|ml5guyI^--Yh$MqSopL` z%f7x*5ee zqNUacz_w~F#hja7BqbupUMXb zBOTwwmJd1fH>Ae5cr5g->(}-#^lb|#6L?rcuZe!ic&@g=Ht=H=F{^e(O8B!H@`avH zRSzaQ3O9N^s7_3IYATfpzwue&0~mF@=Vf}3s!lCX)>(c9x} zuryzwy%&A^%FXiBO0?6j%T zL3DI?1l&#Z$^ImjKhTavQ1q?tAy^GQR1w^fmqXM^5fpuEg2xT<*wj#au!1y#qHj$Q zydHFMsq{Len(Glo-};D3UOx=X7JX}iR#FT>0JF_;)vw?*i@q&_kB;DWB_#T996#ow z{#P`VC_GEwYR(ySsXetguFPa^riy*!_V4)N@hy)V_d@YAu9S>u$*dKHF(xUw7FCVc zUe#GpsmZDXDle|psz6u0u38o63tpwtQ<+*7=XX5AenofXd(TXCb`%>dX+^m`p%taY z^do3RUAPmkTxyub3w=UNsSC23LHx?q9O1mUZ7=jQ{^~dW%g+g|sHyrMIIP=jpu+$T zTOXnIYM2zgPzMzq_G>s-DrlKk`wEIAqmNJ~d{#WwX)Poc8PPjBOl{Knpf*%Vg(p$`dAgm6u-SDUu77q$8HmfFsHM2jp`whU%s^jB}L8oSh?J&m_NOPIqHgMF>jp)`tw**259) zm+B$Kad1gws7V=1CtAC&#N^kg;;j9+Ez0)-kCiE5`O~_mp>ZwXTNuL|of^9_U89Bl zoMa*bnd6v?TRbKOZ!K90jp6!Y3En;x!CPCti@tq&_3gYzwCLNr(YOEg*L}wi{bqFi zQsAHK+k%r_pIA@>wyMq6ieKz2)3+{o8mlX&zIDOF4#i92&z^TyniL~a-?|`Lk1`yL z#LaOHr;!>e1gUR};27GO`ql+e!-R&T&}1EGo?0L=^{opc!o~)vghitXRx>sAtqbB3 zHWD{R>>B1qeU24~IbpXq$N@K!xd1s4U=6^XX$<-78%@^dDG^k^O9%8vmKwMIV!y`H5zD3o9b|8$pt~3+sLA&2=wl|9NeRu1 zQKH6*g2IdKBOiJ*S=qT5kMKzz8ig38A6C*j*atJU%hC73E}ArikfTKsIKtN`PM!c! zbwog?1CUj&XiTx;f`*D1e)K-jHiPvKnUdr`>2V32XA@uYbLh~NcVhG)h zA@toJ{cGR$g>Qe$TkbvkjQl<&(&vb7>s)dFxIeQ78BRTjEEVGwO)Vtw;LR{KXio3n zQBff%fd>=pwrfJa@xs7Qyd6;j4=(8OdT2ZYti_1(Ms&f`*#K*Z60{>q;K2nEMiKi4 zZN{2@7K-Tht3E&gx)WJ!7(%pfa$iviJlKebBTBOcQ;n2?rsU%sHSpk)9ZIuJ($W+z zIa|p-{}9~RuE(&WNo#DFp5<2XV^T2xqk5~`ve+l4@QRj&ki{6dmE-%N1!F5u(Qb#- z_!f^F3oZc39!qqyLZSz^5M~{a6GlAe_tG%$w!1>l9T2%va*s;?=0OU2$|bw~nPD#K zF>%X#I8^eK)?om6Z=iSBTQPt=pA#y>ES$~M3K#EW>0H) zW#~*w9-5v$xOP$WcAnk#jHHybyjAwsyoeRp=8{HYDe-&6~V7+3ZDD*G*ZQ z*qT_IRm&GW`lh!wZ_3)l*6X-7-{X<4e&nk~0m5RLK=BC>J{e4i98~}fAwf+BQ$vcn zJ<>o-$HT&`02&ae3@+JE2G^MeVmclMXa#$O1y>)>{WzWmW6ndtzTDya0BB4YjFxCR zLl5jxX0hASUX0V(?N|6EHKlN$9z26tyBi{ zt}&QpKaSt8SG&wRxI3=))%8Q5nqT(Q@i^aXFz?Q*ePuB38-rQ)<9OV#{5W7g?~$q7 z(al4qZns?eF4Di?O|zJMFtKz51b7S1-Nt>Wd$G@zrP+U#J3t z&5)=U|9ym@gWsp*%7A^YRE2C7+5^rbL_D)P*HgN%9_Y^bjo-O`Y4=i9S-kMIsmh}2 z1vvtJxtn$`|MJ-%`Rq@R$f8;ntw9}?kGrj5GsAYt2KA`roegS);uy7~iS8wmF=Ay< zqxT_%|)E&`5-sx)NLh;8Rt1^hS6Ml*cNEgtd=iE;&AeP zpH-h94^b=1=P3gz`>%iPNZ$xsdhR`5&pmY=T=PG`E=FekCJIYnLCLs`y4_9G?ZY4Ud;k8=1+%_Bj7K&SMip?@LZb>e8wm##UWyVL3VmfGVN|PD zM#7i^%tpd3=)X1qmG@QC8LLpzcoCWsWmadMnlE2}P3JFC{|hAMx+c9x4PoUxs_hfr zGOUpbHHw-?*kR$UtF=T_{cu*HNl-l!&N@t zarUX`t6;@Mjiwll>2$=k4m~FooQs}&6V^yBdhSV9gZ%pUeBfVvQaHnkF?N`ZmpcF! z!MX^5P*Ezco|%1RFdG*{-!_0|AUISuLOoXm6}2gXy|NMNITtj*2caSCHzxtnog-?g z=Ufm`eirdVaCbBsKKlyiNImC*@V<#w1!3;4&j3t4=YlAFfe*|PkyC4@rZen<2-z{u z!HenFm&kj~CC|vZ!5zhoMA@bK*Y*`oQWSzqf}t1GL-fSY8^QSGa+!)M_;`YfS4muv zxXo!yI>SC@w@00+TscyEiX~2x`SBoLfP2V$1eQnzy13-+xwxX}%||6&U^W8WB@U=b zXn5HY8{o+~xbE26Uj%v!zIGuBye>=9+96_-hT@kTik7z=kOH?9iM|0IXokg$U#d8G z&C{DbTK_#nD132#pSJ!1_O_XLM2qu#H_q>W^YPF8p_igWR9P(C`E~vwG>T<58aDqF zQIW<=Avi%)bi_y+SY_Wh(PY6EM5Dl`K5Wj!-Xd1pq5Pu}q*36403Hw@jHyc$KStz> z#s$y&ZjY(Su+XO!qEQfnTSmx-1!)wxASy|T)MQrmV>wYlb0K2YGm&7%+ks^Y)1VwbFp7p9^qj6Nk3b=JI-0}aTP4wvJ zx#0X_7qsCK*AZQDtZ>uDfICWs>mvea$-+%koWL)o!o5`NGFlx%h3g}Z7Kc#by5MNV z2NkXhcIPd4f?*U47JLxtQzUn2pRSSv1s_znE*TBvAA_-fQZ>7?3uK75K|c+SvOC7^F#A5@<4wF<1K2s>E%}ZV@NOg2Q0^5ROmm~v0XafWT80b< zLxlP&zM{5Z1Oe>{{9vtHfj2}%J|g7ABUbo1t*D6em;DqHXM`s0%I$GSd1Y#wP-3P?iY}bKqOrX|vI%v!hCtqL zL@)00n11EP;wdL>{8Z3F%yYvCl3v&gpAar<-XmH(<-75e|Lt%8hMQ~h)ogUbIH{*E zm4?aoklAGln3>znW}~J5A(#`Qzy!0NpMogY5(hX0X^8uX2uKsW%~Uz!zRlD*Uwz(z zbzZW|dluN#iS3-PJ|WPqY3Th1iR)T0=c_xUe>G{lM{D0ku*z5O)|TDf?~N8BE~d#> z?@w}n2Akm0Q}*k&mH_Z)KpLgI{Ao-&LM{m!$Sr~nkOT%mS&x%s&Q~MofCe~_M56;K z@62?J0*CT3kIs910MK2@9(>G6?^|5%7LqL=YKYq_<8ob`eBDqphPSP5JZj=ZtB*9h zk3%YggRJ1Wt+Pdfd`Qd7RF6V~pl)~QLB)Y(H(V03$t!K$XjI9;FAbpD1nuu*yV1ha z5GPDGx&q2c&-ny&ll}0UQKVNj6JQzOHC25}zWReNzxHwsL@SkFAFEEdy=@jJ{E0Z> z|N2LM;Ttw@D-;R(Fg$$jIwFDCri_A<7HUl!1v@1)m^2E&*duA^DWl-j9ksg+NT{S@ zK5M+nDA*%(uhzrXXsTS{DMf-`^$Fz1qHR%E4^oBNddNmWw`2D_LcUO+TB}e%(-=N4 zL!wKN)M*_+I?>|dDiGTN1yWGp7!w)$*1T#!Y@3Z<&!LarlcZ#seFu&xF&~Bujcl_4 z!bVOE%ntGgO2~=8Dlp zg{dM2N=p;qBmspYK%_hlqBcz?50IgAHwXE^*{fyeXt)A7_<$YRph&W|d@oKZsgh8*qeDjs>dEvcZ6}AO< zd>}Q$HltScm(9c@S~4l~AJH}4h=1~j|Hn^xe<*&1k$BL=0dVK-7TxMeuw4S{_7!wx zg*ntLg$N4VJtG9ES$st6*MLk4D)w3^Va6PSsfGZ0vRof5AQ4kDlj0*D*KoB(5a}HC zibF7C4vpuJBlf4xm_sCmm>_}Ral6(+xfqd(-={VUfz^p?=|-HdS`@!a9@hs@_HoEH z&<^6{$B?4;qH^ayqH9#{mwfo|eAhdo*j^t-t8&q07p3L1vXZsvs+s zyAe8NngxBemsKu08`IFhRUefFH*3;IQ@I^-zCsmzI0K!~+{jAh0-ebu2}=hys6ec5 zx%k>eIin?|j0tb{U@L2q-h|UeO+j&!!#Ju%>1l12}H9iP6CW`vNsma7lFjXBl~7y+>F8<@(GO_ z2J!8*iO@Dn23^f)@j%NKj5HA1MP!(RFw`Vm1osonK-h&7OhL$a^YQ#GXP5%_)A23& zFxpjI15-friK^OxsfvmZXrfz%B(vgl01HV3v{r{tvRd}GV;w&3W(n0dyEv8wLr6ek z&2G9m{vnM7x3U*|A|=%?^Qg}06TH|H7Z%eSGj{*-i<|pD8!o&yEOUFp+Lxi~UfAuy z+>L~}szLk8TzD4*BMpAd&_ic*QdFQ}2-1ajK@{2%XecZ;)~G53r7B6^3s6)1$&)mE zhe|rpS0PAy!bdFdN~Z{jCXO1rcR?!^Kw1z{Z#9l$M0rKo)IkxjX9G>XfI^V=L>Uo= zi69TqIRjT!3yKTxlE>qIBLN8z_#WD;5qqLY0`?#XiBormVbP39Fr-VKyB%#55EOt2 zyuBW=CyL|--~>LM6B>Bd7c{B+vqq&JMj$?al(*&U6bKNt{RYwco?7$2s4)cA59ynV zl&(^O0`5pkm@Iaga-T(t*Ra_2tOlsQ*VSsk`DPYB0v4_TM+z(^X?^*TrW!-BMkV}0 zt&h9gh1REq)IEZlw-tWj&AdeF>+Jm#QSUAE^NX5)@r76UV|e~+Ub%VYwO4LlelHMP z^I={LIDE`kF)V8SNmB9tt)KZVZ~rSnIX|2ocq5PiflP=^R~XRlw=~Y#0X8xg+CjrDDj7$D7w$nlIV@qzC;bnQ;7XSsd<-h=b1@( zq6QTaJ6LlnmKlvIRPs6Q+;f@1TVKVU#YN06GkwD3GPZ$s@kmqLTLR1Zc&>GdO6;)d{NoPSu5LPsp`fA5cyt)T4w}CJf^fA ze&8S8)F^Tt>H@Hw$Bc9GEJ*U}a^4cnPs*Ra*JzqbzCRCFPf^J)%CJQ~vP>rtE~JQh&5kf7Iv^hsP}n(E47sdL(1*lH;~VWhac<9@$P9 zw>`2MH*R}WcHC%HeKzDqs_G|W3!>{a=^dQB@?oD6NbLf(MBEbazhPL2Auwr!h?G~q z$HB5C^RS3bCv0pFpHW8WSG_l5#qO{T0t?b}yzs8;gtBXA|Hxh6=c%E^QkbuXZXHlR?-4DQ!u&^cO@ZEzeDT+R zAT_?b(Di+{W7iz^v-9qA*2CBV^(X3}&Hdx5PoH z-=pO$scS-z?yv2kB8IFnWTZKwnQJ2`ZxkExbi&CATKs$f+ipFgI4~xNc6VS%=EW2; zoS0hPIxcwJo}_^Nh~BmB)ZzoWAn5L-nC^(8Iu@zri_v_npJd0ZKd%O*{_ROBpxz{r zI${1@71IG#sAmSPjfM)fR7cP04ItyPlr$N;t{Qi7E-bdAFOm0$e$&;QY=U{lhj zUi+LQh+RZSO?H~ynlc+8m7~ISdNYvXLXAM{4Ux)G;kqFHqS%TmYJdWc3fBd@6S;6j zaE;n8YAE{(x}L927d&luq_yFQ{U*0mxGsp|TJXkR5ai3$1wm3n&Ah)4#vEzuo~IUt z>yp;8SDV+i6nUa>i{$ROkqS2U!|h2jf?Fhy9VKx+pw3m>#lFIY3)ajf!DeuTO#&qj ztVTWNTqRzV=tV-gF=Zb5(-gVCIIJB6Euy+ig~)*^@!p;n_wyPKBLH7iCf~T#W8Y0 zZG|^n->%NH&%FjktLWYR&RAn&NOhh~^3;K+-zvw!u~VO=u-o{7 zOpqn#m`d1@{69W|ZD4DEu??PH+hE=!T5N;6u?_y*+rH}?|8n^G8gy|#-$F3=i{6E6 zY(BJo<+gzbj`whmB_IIeHtoU^f;q5$=sFUyK%t$_r#fz|4eovFL$^UEJPM*jK|2P< zh&iwh58K>b#t@()BNA;)H68}{8io^wZsX;3U>$_?qMq06EmMz}1M7#=cCCJX2lksr zunMdnI$_;|r)_#XpJW|aKWtc>K*{r_7lIe0mWSYv8xZb@oxTCSA1=*_)q z;M`n~()pig==l^hLy9*((@8Ic;Qc%s6n`AuY*9WT_+4rH-=)X>I~mrmst+Hh$35wM zz-ANuVn9FxUA~2#``kw8Xv(+q(R21QUsU%~th)6TH1UWQ)qOXr`{&;JGk^CZ(`D&M zcGC%GNw;#NSwW_$ZYMiE9hoy1!9AMi(Zviws%{rV13aMMp^>|8ccT8xg7Uk^P#~Yg z0gOO|FSD^=!dO}=VS zUX5;dcU$ewBsS#X6)VS4t! zBq(hoqFEUnQ%+sdr!jSQJV7O@JxUQpJ-0ru1f~5PQSqul+*2QQRym%hv$n4t@=$BX zbG=hU*OcRlsu}v*NHCw*K(y7qY~y&Yfg+>B-5sQ>eZD~&l(y?(njCH~TvQ7+hvcL- z7Cn2RkjwR?{~glFq95xra{1_K@97TC6%fUi8YYb)XE2s5!9X9kRbJ$fxkk64_iRNr z&Y~~CnN!gh=?fCvOq$gu^cQGKE7BJfLS0ofhV$*x1>c3+c|B{);#!hU>#6QNI`0uJ zuI1ghmfza_-9L7mQqH3~H!J58Xk#3gZAhe0rIm8tb*j%!C$POVS}H4Nz>e9pOTx$7 z7RsEJv*EI##Rr1DSvezyi~KJ}JPxSRtej8i|9(-ER?0czvYN9E^$7fxymnoYc01Ct zNmTft_$$9ZlZuo|QWRtr!L3xMDmxZ#lB5A;KQv%Z1B24^b=AN)UuHj8iM-m~dne%8R}q(s z$(Alhewb1AEX`0B4f-bJU0XEhljIEj@o)a}cmMvtTxs=~W3Ie@^$I=}lB2;HJ#04C zufxVb6qg#*M=bq14x6o{OTkdN<)X@A;FeEBbF+vHUz0bT@X=W_{mU= zJV1l9AxI7Cg69qiAG(-0qDPZL4eEj&dXL~@IN}cdK^Z`f5vf645EsL4pA_6930w<` z1}&27H58}ZpFWkFxVJ7jpHBr3=cKc5*4Aq@PE)juj|t!$VQDzeHL>Q+dFID+TH{SD z_h@r6Uez>aem=)NLFh8(>8uDgmz=Z-#2%P%u0_Ubt7N+gdW-6>9qfymTV%buN_GZg z-!hFEA+n7_+*XLObuhuA0gQp0varbk%{o3Eo+Y0JjM<_$Xm?NS_$CG;r#`dq+T z>DvzZ-fDM7(`kmI{Z~H`j$KN!=6ig%UXo>RpovGcIOX#n(KRJmKk%Rb>KA;)+u!n* zd(S=-OrotxfvVvnJY!f2>*KanuC)DKX`xM*#(58Ww9aglR@PZZpo&zlBVyiax2&?x zh?J{I0nnBf9J$JR_q(!50oXXwys#7i{xa`91hYwj@@#w$B?u#>Qv_BBW|QK0f?KYF z(#sIwuMo^81&Xtcmv+6ElB{fdR3-)bh??=S*$R{`BqtRxwG%bh^A8Oj?P@OnH38^d z-)hvMerzp0pMO~O`nK=PH^-OItM*wLu&|AU+LWz)676;)dU2P>jiI9v;fOW5ULjxa zJ<<+ajc~;C5f9aNSH}(N`NZzobW(92w;f}q1-wG*I>6S&-rS<>|FYG!LYI21a7d!6 zT|oYMb!0-Bl~wFf@&MQb(aHQJ`HsA8|k_XY8mqDZnIVHc9uRe2CJeXXka zAyDUGxSx&}0=kF-0UnRFnZjOM2|Y&QNcQ9Soiw>k$DdZaDh~vKYJS;|<8j0C>Fl;E z*7cO2)Na4x&nwbOI|(zz>Fl}{=b z>KP?2dvwIxuU9+#mSg_oYF}MH1ghg@Kjz=#d~+0TcV6wQ_JI(nkMG^EbUDvHvnPVL z*w5I*@+)0>v5UJ|c2Q-y2Z*Y4>3x8ky9fL#K7a%!FUGpweBZ6?@Atj@(o3(s{53BE z{b5~sqKzL->+%yRf-lXKD+BgBw&K=iyov6>IPJ}G>CnP&%tK##5r-mq^`W?iCY41H zLphzVdHLq~1aP=q7zx1-t^8{1qj;k1UX(^ny^L}>4rt!x)OuEDe`f@mA8Mna6pm!=D1Mx`m} z&`7rxq;@HSo4uhb0jg9(Dn{gM)CG^*JprBUa=)>L2`)Ix>Y&j`BNZ*~%jS$SgNsHe z$8sx!HpWFk`9fwUPj74U`o0c#0dv`m&13p`s$yxNz+k<~`UW;G(pE`9D&{5>B>UNB zWC&P$s`juwS|GY;p`Uuih=RMw^ELYQma|-J&x<5$(XWUmUDcjfT(5LFqD@_cJ;2QZ zY9$n2_42%=&Xzv))^_iy#(CHaBvhO{PS>7poKW3736A8XAzeRSIMcpYtw`3(lkQFdLVA!Fi}J zQ(m!^BI&=L)s^J$Q=A`hX3DXn?&W0{a?b1d){`>!b_v~5UHGCwYvnrU5nZD}KkyHa z`}={E)M#;=l(_=uG17=HCuI&mPH38E3g_X~?o&9AQNnp@Pz!`ZXP>l`;DDNoHXlR{ zS_H><+*36B{$10!yMhv>g$T6oU!$->lZnC{1UPU!oulzG<6zm+5iU5I93**`{z~${ z@GN0X;wFcyC5*D!)stvoaxL2??0EH6v1>_zq}a9WCugjMQa}|~v1@@2q%&Te`#MMrhQwK|-H-V>2rR!B>R-5K{D0Z&jX}^GpS28uwlW=M{ z@5g-c-@Hde$L&cxBF<}(S>4ROW2N8wh7Av}(5!CeW1kYg^ZS1D@VEb71X;Bun6u94 z9@?_5H>4%SPrvZ5*jHA%?t&JLrQfNHbl_Q)ZX?vg)S5*w2;u=P6jv<->36!|0nk6> zY*{V`#APE$ztaU#`UY?%1yS>^zHyAm*Psg?L0Bhw?c<(ZXX!+TAho6o9@g}o;Tyv> zM&39JPCALAd?tW@S^4Gd&`cXqVxG|zWwpP`vCG5e?M4k=+~qMz1-5D2CAj)j1Xpdr zE=q1zc5#m1aqF((yhlVWu_(ED4d||M4L|eiKlI0b^%h;j*(CroT|*G2F&s(2K4B6y zgo#S7IC9`+m6Ri(f>IKm5lq=N1k7r!;4SdDw3x!|8X}XZ!PNsm4DHm}H3Wmy2(TZa zn{tw1r6pXS+^|3mir%#IhY=tyK)D=86~e&iou!1)iX>oIS^}`{cd^f4wEGEH*msJn z*6Rj^L$SaH^zhYPe>DA0Z7GUBrBY?z@K+;sf1=wrt!|f3?O=y)IdJQBfNi;_+x~^x zZ%g^41#0$uxfqip+N*vCimO8XNVR)?K+dYF_m@1^YpdUZ^2(koVP{oV7d=($6Xt%n zssNEyo-<);mFGTzI%|`>a(g1HDwwqEv#R#%SQP)$tN70~;q3OR-6ocIP24QP{D?VnG50QO(h8bY~Ey}1JQ zi=YChS!UlKQC=pVRegfZ%Is<`XkZ6`<$wZ3+}t9KgY-;Da7LvciJ>F6~d`N0!CkyYou^ z>gRbz9fI6Xl>DkC?N>B^@q2NBtfm`Z{5NIsV{2mZ-}vIcqRL>#;>W~Oiys9G7m_p0 z`25)*P&HO)(AEuz&6P~nUeo&)=noU}Dxg1r>Nz`vT1+7I(}cSQMj-oPasoTfwOo=l zr~}}yUX}lUMfR_@-{6S2rMa0QqzplfMV_KU@j)2iU%< zYyi-|stgh2esUQM$zKW$_5|z4^`kBTSp9Uu`{w#(Kdyf-g$?O=VErqT9|G0=ll?ee z>L_9t%WL296}me;hsxX?${tY0>$`h=i>eBQuGjpwzl)iQyRfgkhbk3Tfcyo~=CA_= zR}^hf1Y3aLCAl(SKhL3ulth+hwU(-aS645+^6HBpdhyi&@|T+!PlcxcoToA@R|Xt< zDm-MVDp(^2hr}BbajYu%3!n4bKJh2sHsKPSN_uLF?b7=o4fSJ4Mijd8j`U-wfR@LR zUI)p9Vv3Et6f{j*BFRbPzzktcP{9ffC?j^FcThG)^L8>|VGifLDb~jUwVemf+l}bO zT^=)*qpEsNtWM}jq4~r;BA$&onXSnaT2e@;^@#4!t=*Z8$rEf@F;LN4vNs}8ZH}Q` z8IuUps9+yy=9a*y8)ap`DVUqk((p$n$~9UH&Bt~ZXT&}0z^Jr-Ojc4auql)xal;u2t?EBv=T>Hs?1vr1 z`qx~KgL2!e>R;P}SCrQ>)sW2M26Tl3Dzg~po9lmJbzfyxOQ1L|2c9wl!3s+{!mNYQ z=8YOgp{XzPp%qOTp;-o?lEm7W}%O!s_4GUb%Vsy#g1n zOuIk#M}Fbg|5-4-X*)AV09$i2{8YEB+Jt9`qD;nAa_343kXcViRA4fo13}QTDDRhz zVOS;~@r1x6f|(H90J__ZD5jl_2-*n>!ifi-NE41RqL_9jcs@vzNxas;Xwi-+&YzFC zwtVmzk?Hmv5WQoN2SqMHG6(Kg~|2|@>|6|$Gy~-s?WaOFcprf z{^Q#C+mdAUeDrQ2C<)HK-g)K+gm$XR!~^56_arrZX|sbc&$^@U!9v^KrTmCsW-=E5 zo3C37#ZGnY`56_c8`{4%JxFI+hh`fltKXu69us6lkS@YQ7ZHa8;P>DC!jfhDF!Ofyi0!Ovrxl!tEFV~4jv}C;RCgc56 zZ~gI~{I)5m+i1-awXDOgM!9Jj-g3cF1RHg%3!cUhY*eu>IErAS4djBO2sSEM7aYZ< zQNOxiA-fxdr7@yYBu8Os%(#Ny!!2S|zk zRwP<;UeC8)%;j0y@kg|HxOd~>zV+9>=68SmH2M05@$PBzbs&*Zd8C4C)<<%xvsX%=&OLj$1y_66AJJ2$o;rKDfGhydiUh-9-B~&y z&M|wqN6=~u6ydPJbu}Yq4;K`e8{v;Utijz~2~I|kJs>Qw5&D1FP*-yhJ7N+I*@u1# zTxqzLGq&ugQOXaTL}&40SDnqsJ_@9oZ)BH80Z-CG4a$!l=;#yzm>^V7A%N9Eq3j6o z6aCz*AW!(SW3# zSq1q?z<;h52d+J>;1!VB+JL@Keh$gBgjeVN5nXnW+(6?3bm+-zeg1+YmPH=kjW0OD znf)pIUy~SGa0D_BJ@Sj6=~y@83l5a*GZx&<4Ko)*GueV0!7jJFEv#OHB@&p2UiF+9 zA1Sy2&_;zFR+xD*ZMdkymg%K7K+RHNUlpg2j^_eO$3HH~I?gv^e@U`l1!pEeCb(hw zbXv@bVo1{clUzlD5-W>(+&_X$D(vtCcDe_a2A2EvBv}V3I)1-#{y-apbUk0N0hL-*>A_ zP(djVWP_M&DKF(B=w@Q1f`;YFfJ5ktDvzT(3!Hg@Gk^7KYSE7PBO{ElT%xy2T~9dk zKl$JP`NL10%J+cDoJ=;bT?bkWCUXMNgCm;4P)(zmN^6qld=FA2Ct(CaVG_C=Wqn?E zH!OJDA%1~mOTg;hliL-9#;(Xz!}Tf^v0tb+Z1iP;*4 zX~e1VfXf*f|KcCNk0{b9A92q(q<)qa^ZW3QR`~4|nyLSF-)UzEh7(ej&6@OuSZF9E^<6UgS zI~KJ{%NY&s*1)aO+JenPsO#CE4|xJ;>DfbAA+$sIEF}?^Y9-TxUY!A~)&;#mK(l&W z7B})SJMDAB-w1kNwvuT{BNhehuq-Q-*Vjt`W2Q9?Mgg=Gl*Nzcm}-%xWtA2+8wL{C z&7X4D>)Ru~sh=mVoSau!)QI%9S0ve?BDu%>Dv38rb47xTbjbstQeu^&%&%$P^X_*^ zSjHvc5om60Dk_d(I7_)N&p1-(r)ELl+aiSc}>N}mKd6LM7-T-&Ar)A zg&sk1>&oNnKb2v*@|cGrn>=Kp1ZXG$e)1Du{@&01tw9MOzft!T49Cu0^u_K7yPZ~R)Emhw*Ghv+;!a;+9itV333IYe#+OaQ6{?{lys6g*&rio( zA4pi(LTPk#*xK_8kR9naPC{lw8+7PhU~R1vy4IEYd>j#X04;iwbcgLg zT#>})vCO)zKPC$UToQC2;H$^AqXC=6+|%r7k*`3354RqYh(Mw9X#EpYrc*o5;9)}- z^|s_ule{JgCxnHe2$F-Vx4oaro#m34RJ^7WT%F#S`59TAD_%o*!L@G}P4W79t~;`E zu-tCfdtqtEZI|4F-AyF%QmAL2|6_alHgfio) zepunmTvv1rU{t7D-l*l9j^_eP$0HM-Ti!4;e@!Nw$!+{LOSS{u&97=#F3IgU9dMLY zq-Nk814&uBe|z~I$K{X-3`~J#ezKTVNCI&y(*2YDG$GD6w`+%2v#*d8dwCV63ArD~ zACS$@bRFOxJK+0(o>IjT9eTj;aV@?(+g=|=81(J+VYyLzUBL&m zpR79`jrZUa^Erl0eI6?G2!1FTu-@>E!51&;<|*VvArj%o|HFUy8($dg8ydxQFUn$? z_d#e1r3{~^Tc3=K!M;M=nZG_4JfXGSMZpxG2Fi9MF+*KaMpWDYvm+qE5Thujrj6-> z;Av14E%GgVb5uzWY8Mw|+jsaUyqJDpcfLkl@YunFqdj&wB0bcMm_pOARX57}1^8~) zCT6O9%V-Lx&bQ!YDu&x&4o6<6i1$)mE2P%iXoDqrEajCY7f{-Q!-0+4~ymSh7c z^+V`z*$*Aw;~2ZP`GRngg$+T6xJispB5XH)<%!UyFhpqdF^eq66rwza{?-4Bkj=KSH{Lg>pkH3Et01mCXYMVDe zsUR!OP{$TqP_3DuUCeo{r$gq2j8YT?-#w!|Sy1ABE?6jC_j}M*)q>)znxG*)Fye-d z0&77DTDjmJMMfIDSW99&Pc5$=pIQUGh;kecJ%Uj2(@d~Cowe!k=>W?8W@?F8x!`)u zIw(*Q0-ixzCQq$lSwG3K>RS!Vn&e@R$|kuSREo5dYgpDKt#FBpB$7ajqrdNHGwck`v#G z&EV0;2TPZS4*{z1f>G+c{4|EWmSE)5_*58-{Mj#i@Ja8AvZ!I-0nD+iB!U5tD(lvO z&%T3I>Wh;hwbR)=N%j_^U2A$Hun!2{Y%H^6ZXs@FBmqE^1B_amaM^bVOTR-yf<`bI zTN2e2qcD23n|0OD>>zr^X?zj$Pdnq%(+)mFnCL3?rDq6&3i;kWbSSvb3gRlpZWWr9H~C7bMsW6RlT7R zH=Jc^*E9TYEitjLEY;_Nhi->vkq|tr!Ak=@lM8yOU5j8z^{s($Y6PiWU9h0UhPZmD zU0o1ohEyOtf5fzmN!`A}Ia0g2prs=rxH}pSG6d-XxS*vYQ89(`E(Mc?AhoLtqPqb5 z5OC^^#K!Vfi*|L%6G&bZ28lbVdN_uZ$Xt=!Z&=sGLS~eVh{jHm3}m>Zg?lI|=m^9G zlO$~)mjvnyr-v~QK%Xg=EJ^0ab36i{s*=P*=I1zR1yE53Exqh%oNvGukZ-MQt5wyZ zoJI9-Q#3+8Xl()c&~tNfux$C*I$s|K8R9xhKe!PRW)@g74iFb*o%g>>NA-77Rad~U z35uCH9o3Urz#nrVFhPDV6<^FX3vAgh3A;p``ohsC9isPI$$A7NMl_jyWoCg3T7l>^0;pr}KhcfSq`Jlw(Jb&0I{>yt z-NL2rRfSgwAYgWwv0-iY9yPM{kTn8m2NO%Kd%H36wYZ$7;(EthNnDRp61wE13a5$_ zRjH1=YtQnrX>1W`%B)3y4pXzqSFP3#y((Y#5)?AW!ARL;r)6lq-EmWa6L5iGA1@zdtI z+Y2R5elWVS=;*uA(d)l?^WVNBTz7pKt&T1b_( zM$Uyk43s~8TNQxuNKqtpbYHO2(R(`LROTSZZIwB#{W9XCpmg``#Z)?aHcEG#KZTVJ zewO3d+lnUimqaBtE= z5KXL<6tY=7{A?@aZIJ@@R{v^Gx+|jnJnROhhW@p~ZMb}QR zkedhy_48L=2oK=#CRz({W>h#F-Ewcn>et%5-Q7Q_*k*?X#1Jd}F?eNF`c>&yPQr~?I_ zli`Lc7!T7wg7G`nvTHCN;Kq9HDivUuMuzQ?ldmKv^|Y&CQM=oyg4vrUZo0#|xc?0` zDT=qQkcls4%T;sparsVYP31h?o%dP%ZxKah%rL)tjLl@;%%gb+R3H{{Su_Xn7V8w74=&&lf@R{#+U{7tWx^oMy;T zwkmc*M)FZ7ml4TLA|svvyc3-q7b9_amqdC3Ep&Jz2WoMu!Wl#=z%K(me?)ux7Ry%^ z&RCTLBu`tEP}CSbdFT4xc&KPqZ*D$)*ol`01T*#z`E6Qx6I!&E!S0esbHH%_r_| zo=@!3bUgP%TlC!fYg>=td~+W&y7v|Pmd3Qx{I&9`b(h|pqPwt*Si$wW%hvg#yPjU% zHSZBEy6bLoU< z+p5qdraeJdB{oH}0hX9H^N*79R2Z7%Gu_0i`;PUKm~R@~+Pt*GqLc4NCx5|L{G(UC zIAYrRFx;PX?UYK+P*yF0OZ%!VIYVC|_>+L6Z8nCo4nh9jeMInRE71}d4~=&^1nDcd z;ArQ}oM$#>aHhEXoilTud9-t8*2xEFyvB&;%Eme7JTrV^0O=)jjVD-C< zbACSPI&K~BQEk^NW6KW+nR6DiGjon-Ro$gYKVCfaIgx4+yimg?_Ja=Mk?Puj7-yIa zS=o}A_6SSsludj(hZY_oPVGv-td3IGhUD%-GWj%+Oxh1+@%5ixUw_^sTI`Cuu`9m& zpRRu2=4~ZTtq?^S9Zm?tx`Rp z%U#ATSMFBLxUG^O(TJ^rG4nG5+(Byr(9hFaL)mmNjU_4Sv%~yRMQ19h6O;hh7&b-yZE-Irv~EQ@{#!One+? z7F!&3l=lZghl)g7NwHHZLYQk3QV^upD2R{T1)+Y|py#)M0_M&iZK8{zI^kQ?|I?9 zUlrvA(p82ed%x{(efxiQp2Gf*a`9yBf4AFeUE2^LP92lkpC!i+L95OWRhE7JQR1Ae znnwZnwe2)Quu~~!rBfc}*U5T$oL(o}PLD&c<2sLjMb$+cbpJ{|ZZLD9-bDA+NPhXK zt<0AXT?xnGvgI@RU!5^{bwXT6xq?q;AnUQv>|IwZDEQOR-eNe1y2UQ)0TwTw}M?&(YGL4t?9-`(Ix8{7D@;n@Ud^^58WOu+L>3I?6tmb?B&8u5{>bL@VE| z4h5W^O)d~s*c=+-xhDQ_+@cguWBXl0<2Tp0b?ue^iY6Q3w+YSGY>A?4b!f=Q*OeFR zv+9hhJ7s$U4yYsbH7dtT6>*CmeE>K6|7Y)AV`f>iv#5+19bJ_Br4PC$qihT*f3kqah{`u1X*fh=mm}3UNU5!-Nnn214Xu z4~R<=k#I@O-NrU3!3eMoN+20K&$BM?yWY2|tE#{KeS7cOt|RT!U0<(ytGcVYpLKcG zv(TiL!&Z)WD#F&8j=B{`wcpuGLn}Yv(c9;@9(h~hn#aGNJpR{x>3{lrS4rAY&fY3X z%Ub>_Nt^u&c{UIIAgjj&w3hTk0=VvNM;W2edqJUOUu2B6`6^_bncv7UXPqJ^^j_19 z{?v0O4`kjGE{QNtl4U#vvh&G66 z$7(oD8|(>|9zFiPdbVTYO%7sKll#BH@4-s*V1OY$@wgx{rS9#wlN z(Z@RP8?VO{HQL&#w8!#32KrToMR^}XusE2Iw8|OU;B+ZImiNKwR1E;i0jthm{)CG1 zKGc7qZY#vS5pqGyejK*|9ZeV?KMq%pI7ddOA`hXo*Vs6Gw5O3w8rr_ zS!1Wlz)Re2%DD18D%w|oF0Xs;T{fN~b)buR!a!H^t$bYbAw1Tz^g*Nnu9|n(Rqe&6 z$}SOnu2}WPCTjX?8vZ4ev9q6*)lUeOl4mDl_bp%bH^1!@*-tnC$vDpu)F^)cWD8B^ zrz5Akp`o_{@mzq=Ub6NAH-r`og2LzP6Rh)!^b_0=!+9|p2f6T5i;38kA^ik5Jar9I;qW{#`9`UDc}4lv@prxDgcy0( zfZ%FH`U$=w`~-D+Ts9`2qxcDKd1?a5BvV7{!4JC^4;>w6HG3EI%hNl&M1{$g#^JAwPufgoGa?~p&# zRSWr}dmq_DkUt-Dkw2ZyHO#wuxq^ddK8X7sBPEPgGUqzxU#&4HJU^EmfT$n8^qo`f zu!ST~qT1D)TapH^cUbHzF@uIoFgy?OmNl_~#Ky8qv?ez0C$aHE-|`E-?~`-9fdgdb zF6Ju=?%h-xB1X=T+1tXsU@l-MlW=2pp$MEY^AEsHmE42q(nZ6V*ys*BmCYGz9*BvJ z9{rNmy$DG@aT+`nf8+JYy~}Jq3>{EOylfZ~8))ytJUvMm4Bt$V*ucnKOMz^MEtZAu zu1y3Y7hxo|5EC0c#u`~_0f~#^{X8YS6LW72pKlJTdw*j(_&1k{0s_@ja(Dv6V)cym zgJMEqowIYDh`;o;goJ{4tpUvW?M}RTR8Uaz$zWb?vYvCe1(+`jZC5Z~jufXwENxgV z=yf1MuT=_iG@hG?{>Ojw|M>TQIAG~5?^s5#5JK-z#hX#Xr0p>s~%=Be6kq=EUPlns02H_p%*lG458xqXj5Sj&S1f)(M zfMB@lrm5qf^0o!@g>JN_z75&y~I%+bU0kTe20V*%C5SFYJH@ziTG$q5%*Mh+%)|_ZPDcV1R63 zpm%UPyBNh) zfqRlXp=uNR;=6u*TEo5wYg!{D`CqUR!pknvn$}qEtX|Vnc>JEf^|gO0r!_Rk_9fTGUP9%zw7DhiX?Nr60$qducLf_ zV^T-?*gCqvEKl2(5)Z6|Y{44g4|%YRMY02iL`dQXmT<@_%XoE^lgXn)W2=1UOT7UB z*U=J(ykadG4$bnnTdE6WV9w73xawou`-zypp`ThuTB@h*h z9tB}pL}sSkiW!OFg%!+3Bn6)Bm?3E&4A3o59!_{5e!v?gU^ULS&@zCS0(xt+ZZr}X zrR$+-jT7p%E%X3HvLi1C8D>~J^grZW#oOdH>>IG6`HPF@%P!H1=J$ihuT zOX#vUR)ua6axo2up|jHlPM9Qw|Acn!Dwx-E)i}#tUJ1 z(ZG^uo-F$#D@b@h`$NxsK|D=iRk$TOFPYIn!@%w&o>5^LFAq8|H3tD9B7CaVn(_Kz znga(Ta!ssSSk8I~1W^IxntCaJ4EX{@ZKYtkr{IqjLK_J}(7LT_T@0M zI*f8aUCBufx|DC_Gm>ZKD!6Ms2Fr`T9gZFFIghM z4NvGq(0qHh7xa!R#*#>fgn|`1fZo+PmL(C?YqS#7qnuJ(l8~7Wz5^9i3LWSlsn1df znQn=~4$h9B(0+&dKzVV*b#TiAra8lPK&fHdn=ZAz^k5d|1y+|RE<2>l4po;$MqLy4 z6U-f-?vMdkfw|7?&(8$RE9B!+da(MtY#P2huG?d%0<5WkyG#Xu+$jF1X1yLf#MZ9M zRRAUmx;5?p(5kBk9^1!{%g zUIIYI#BxsiLm;rO4-oBrMN2&}2QlRL^M}9<510Wc0W-QPYzSaJv?LQfPmZa<0;H#A zFY|?Co;;@gG1>t2cc3HSbkL^})Bb4FP;sME(_(6JnNN>t|Gr@kA6XB~Za6C5=5rLZ z_jl8G5KNL|w_C&TU>VylPJP1woJ}G~(pLvB+Aq+b!kNx^KSFCPPqS#hIKm^+HT!nh z(h)DuX^Zw5$>a1}bH>7X7S~5VO{QIT$d*(`j~57yIiz&3kkJpWqzW2%aRls9(TYL_ z#AZ&0qsL2h*rOjv*6g;%Q3;mu8sYTdEb{0rnGo?juk>{27>Fw~6jqi$Tj@ido3|s+ z@1gu5>kcv-dStjY=}ZUm%3}@>cRL>oZ^(S0%Q+4zBV!UvCI(2gAyx;ZK$XiOltRY6 zl6P2{5V;%zEoE`|oo8}K)Cc=U%mD040$mQUcTNIbc8OLWX!#|&hWhXs@BhSC|6tB| zC~4Y#Afr&ff*b<90q_jBy#ZbBZJ-b2hFym?2tjTX8Z>pD4GFStXtYvFMq;+3KvFg& z#=0Q}Dz}21=y1e%CSq4MB*Z4eZI98*2rm*A>pj$$i1I`ED4!VCu zETXU^vbbfBxzCalf)1t8FvHQ~)xov1X;h%eD)qrFXZ?VLrvX}XYp1~O2>5d^{;M!o z;C8m>Lv|9=qNI_|gtHRThBtQl5oJGGzv+;3Wva%Dfh0r-(6E{;je|6PMneg(lOec* z!WcMq(qRw{B?IDz1_4Xl1VrS9tN9!yyf(v5OD#AwoS*v5NOWz62efG-vj74FdPSHZ ziig$=h@a$^9}7H>{$ww*-#^=nt|2vPH!7yyh&X z6A*9eDu(%eOcjpWFHPlg*rDIU=p0Jqw(PB()5v!3md&wT$#Cm<#3R zf<8fp=Kd?P;`ob;?Je4?i4^GCe7(k$n85tvL6b0A6;KmDRL=feT6ELNgc@~Tcn51m^a6H~7 zVgDMF5kOvp$?Q$QHz6hC$yhAf0;PpCoz(mPyCrk{lc1xP& zR}g&r@keB!!Ph?icpeG&09zl+6ZMLhK7#piAHqxs+izr^-1+UhPi3o9zJg%zQ=Xlg z;{KQI{^4)^v2!5UvT>g=YND2B$ciA?DKSn!u&I$Kg5dE4Y8)X85h(P1OUNof5dG%} z>c%6=0t9=a=@JmsqBcRWMTlM=x&T3_83U*Rg6+|I6Z~DzMv0C#d?e01x~Y_e@rMZ@ zd~|cx5ED<9fFaB#;LuLMQ@`=t_+0Q#ZSn-&41(1&?lFalDoAY~)W$pxMDJ)yB)=m^ z+BWaWElpn&mj#r{`i@Yfupf%k5&98w@9ec-vshE=$7X2?Pq=wZ0;{kLH}1y7qv=9H zaJoLvaOZ9)mx22XcSX}$ql4cSct_#Z-Sj&&mZ-PIKFj#tufKoJ_5E|6ynoJq|D2cI zKj+!|2gkG0!R7l0t6ZoQLUC2|wplI2Y?d;Yy?S{X*X@AfVScA){5*U>Gmu_v-ZTNl4`(t2q z@%~{@?q9mKjf}ev`yVz

    _d-a(`~;^RK39BN5dcU+EhN{=T2t7YxHjgOqPJcojZB z)hGDsa1%#LE^Q+@Pv0yuH*Y<8=bd+-eC^xW-SW9paFUU~N#BQL=wp88?2`ZX?nfS< zKlWh@Afq8sq&2FJLxt4v+?UEiTIDDGrBWaVnokIAn+IWKcVA?_4B)M785 zD5q0~Rl~fKt-dvJQj?{BY;maNRt6*Ee|Q6nuL|=pORmbeUHTZtK3eX=v$kuZmuT5l z;jVliX;)sNPy4IFd7EGIdGGmyfn}kzUuRi(LjsBdtYM%FWCyA#KsI(*5aHc$vDDV2 zf)?@JSL~-0wD=31!vhE$<(r{v>V}h=T>Q;( zL;MD_Xv7tVnp{$6am#4|EeXX0)&GaWh{!DrB?abNMilDG2Qgf{>1ANZ|*|D(CjL@&kw z{r4Oop{kFA9?*y{$K$EJXjs6Dj^(C0B=SQQ1V{9zc%)<@SbeDtBl@EwL8*qA_Jt7@ zC8#qHabyb!%=XgKFrt4y)dCvPAFK}T9s$R3(0*E=|7o&UG@^fpxJh~~FhyhVzRRvs zBnzd`g<29?a?1Bpc9rhffrFTl4#Pm|GG3kzav^ebSPm|aWMBN#OwBmxX&pFSQYUds za8cObL-|aocNXWlC{H7hojeNJ8d5=s%L(hJ;*n)L#hxL0uFY%nk*!qewTX++*-S=u?Jp9qEynZeUMIk#3k# znA(emR8ALgc4(1qfI*QiknM1MVWNcn;Sd$+h8c0qis+&rkLL(L1>sjciCba|CAK7P zS#4rpWK>si%eBjuEkMv#ejv#a_fcZXLtHY=*j&t?ET0$qvU?x>H~sgAugl(tw%fS( zVa+#Jbh#=m5;@k{F4vY&w4lrNfO41AKw6CX5X`c(LP|k?zPvSZdt!453T@4B)|RA2 z&9G}*MI5<(2 zCkaW)QeF}@72$aLSz-j5z8&pKE!j26&%}8-y7R&{Ly6L(B7Z~J=I5vL;_pWpa=eDP88rhYu` zvOL7*t-KJs&o6|n9$>Rb@jL?TqEce%`E(1-1lZj7rO1ISyF~N`p2sD6*}af&{Bz&; zSzngD5N-Q$FT|RFUIFcepo9qOgh5^akl6Id9EclEHlz~fl3@$|YOaXRRYQ1t3C;yk z&J8C!R|#@%IN7;MjB~@u&Q<;UWi*lfs1n3JG|}AvJmzX-nMul zZkY-?pfL$%$B$fcAZ}?F2MxBEF01Gs){IvN*(6ATz_-90RZR2X?{lz>muI?nHC~^m z>0K>72HDzc)g&i3@4>Y3P1r#19&#zK*ex`9>J)RmlwB3rlD>{>NB zuSq9sp=~WUhip`=-e~CD;cs$nXR4nhQxO2v@{jSaU zeXCQk{1ROwUh&0$;7|YAKDV!`cB;3%xJ2{`#R_m2!JOQBH;cUlEF^5XAqc+=Ur7yy zIJkHp*^sD}44YPGXd;R=%*=)aEjL89fD^|VWDj+@=~TF378y@1ws}Q56>bP6FlvX7 zYiRQqC>zSK^KnBu6>bPMa*9HLw6@d98HIv23ZKDp&-{y6N@^op-XduCXgLM&0AEGL z%ouPR0X_rYjEfrapIh1OY`dQkcvo;-ZTg~PkCo}gP3{}QayUBt-A8su9y$6!+Glc< ztlcspEZ4M6E({E~4EW6`GWqx152K}yaKhL2sN#HapC{rY)A>dJkj%k~VL5YQISvsU8NgPO7S|UC>?WW2d0r88`Oov6Bj~bAv?A#8OLPr_e%5dP;E(%Bvtc=gNeM8{ zhvf&N)3{DljQc<$WbJ!NSk6J6FvN6+fuK|omILl!NI@x1A1`Ch7?vZDgqRZ$6!{=4 zrsv?a2+Q|J)nFJCl!{?F)GAfn5p5xs_RcF7VL4y|Z$jSoY*^k<%?e5P*|5BALB)_@ zyEOstaP%0KgJ)pl#4*WBsR_X{UY9^Ko_#cA>ze z@)G6*A|Q`(_Bv5(cA{2?O#FpxfJ9Uttgj!}=7rbDRlJ5cAPJC= zArL-ED%>Mkf2$!G%fin!L$DlJ)(pV~NAlCNdErm}*?;!UAw!@70iI(wxd7z1pPNJ^ z^awC+mpOL)7Sv)@!cH@#M2vY-f#@++EBP@oLnugI){s9N513&67qa;V?yOC__6#^kC{^u*<23O zIh8N}JwM|b!#cv6A;@)vrxcJFE!tn7A+X#2!VmjO?<=(uR_(2US_Lx`ri!3X@vHAK z!GjqFCU-Dd1brI!eL-3rzwP&RzwlGJjxgThXw*4m{YU!k7=wBn+hTzOOphRepDS{v zHnaY=hSB?cMaUMYq*e!qzi!K;myisnlo048xFM!Qa~>vI?LhRaSEQGa47Dc@x&_Bp z(jD;}=_R<~sl`+XM)Ms6r0NyLOK?kc_hA$nEswoX@$4n1_qkgcQ^Buj{RTH9o2LoDni zzN2`7qGSW|P1Tz53Sq{Xo+p}Bho5J>M2V`2e3Xsn3P+FEh|(PRl-S;hu^YwF?=I(2 zdKS6ZA)ba+D{j#LDE@`INAPT9E0#Uv4}lgT+t0fA;XiW2;>S8a3-U{a`UKRi;9+M@ zh-~MKhcGC@rug`t0I~U5soAocpH*$5EfwGN>-`}6R$PFt)em|B{Ger*h>pbC=CFOR z#8th9Px1SH%fmnS>vN?<%T~8@^_D`k_J0*b9{dBRFkDhrI;kj(m#APJ_s&n4G&Mevlt~x_y8Ivt;=cqpygY7?a_s z93SPSiLL>O^aXblKFikaCS>T*W}60bdO?o0uwlS~+87K=K=AGvGC94#Mfo0Idq> z4$vGsf=0`r3C>S155NkqF4qLN+k3_1mAMcF5?1j8cC1o(@o;+b{xAOQ8BOqBhDVdR z(C#rzDXJTn1Fj6hV7MF3t&$%@Pvh@;hMpuu>lEjhawi^p9zEePCT?J!MS19=dXY*9 zhi0HM9;+8nM^piV9)MieLt^!!Ln1`nT8F;*`iPe9%vei`@2p`5kEEM95A zoN_G5`T;0z#genpF=3T>1vT+J)={*^?XN}(z@OTjY>!}^S8&B>Dvs;k=Q=Qk%(#Wd zd={C189wu~`Y>@jTTMUXKFIYu8_;pqA3by9sb-XFIE00Asj7!IszDGBVaq?a%l~p*CwRE^_XYY+t;$N`9XNREwWWGD6&tJX3N42 z%j#Efned%<*{oME^L|35OMXE8;Cnd!bQecey2M>{%f9ElA_OsT?P_(jLQTxV9j-}# zES~4A%S6{E&z6n;GM~$RbQ$=X~lrXUE1i4T&zmi=<7FQvo5nfb>v%gg%5My{ZUX`+96QxZ)I$ zqTvY|*i{-L4@q&@VjlxekPQ3!2 z67=$m@=T!VLNkjWgO7R~D;fCr!UcpbjvT%HAwgG{J`J+Ip3bc zR_*%oE|%0J=~M=c7PpkUy@aGUkM?)f=8=6uSK(=j#&lwFFwz?rwbWn358aKVohP9XQ zt{Jwvp|LISt|88;nf`1jziV!JYFp;o0mtY)(M*4^YVWotV&^bbiTEnuOu?4hY=6X)?Qsn9yEUaq^SMxP0DQogIAiN&Nd5L?P zPZ&junBqon3HT}b{E=h6YWl|gM6GtO=3MiNBNf+!CiIhGx?s-!&v%_-KPkk64=?kj@wVaiPa)>37u;#gwhH$W1t z8M~vkC*&20<-Vc~38!w;(WZ^L;ZlqgGu|b+MBIF5?aQXQZG7k9o_`XS*1b?ouoCRWntf6pvIzG|B;n*Jq zP)oT)`O~rrYlYb9*oP|5TpW!rRy&Sua-ZpZ^V*d#$GlTKMLY5PTS`%vLGNf+!kTe@ z3CAw38Rzp`k4mQ61c~jgI{|;%Z}^v+pPUsD)cYAksq4Ta6%g>?Q!~!C^~z)uqGX)i zavgZ|=^R;QrWO%hLG+&z$&#$}TPqs2Ff9TXLE%j;f^mW@FcTMpq4 zf^6LJz$1@|{1L!cN6``$$0%C7z#K&n^3mWO6_jNdlwoff=PD;Y=LxRPN7t13Yey?e zm>-T0%6vf?pP2?-w`0o3lWWs5!{~ZX!M>t1ao<{-ULN<|d2M>xC0axC<(KH1+Vq1z z`N<#s*WR0Y6!l^ZJcXRko*RwWpb?7s>@7weAfSwC>LQiwWN zU9A}N*~jAvQyXcB=CK;ri+r{on!_57(r_8ik-s_#)|(>|<8tWTmT`16jQMPEnm~9`^_Ne8%(&%F&4JP7CA6<9C|7utnGd#~{ns1fd$q4V2I!;AE|o!IJTpTXtyX zLxzQUcW}F_Hzc8%TcQ?EN*gWPY6in>Vu1LHK1uZeq@mLOlGPL0Mybj|+E8f*#>w`` z-5>SU*j72>0gSd(+MezlRJ)by-`LP(|0^H!4!+WmkDYC}$4rl9sMMe*kV8Rndm*1? zO61|Xg#+_W9hIoWN^vX`;mvG63li*9+sw?&IJd)y55y%6s1 zjdd1CSqDSa%ddgt-hItO2lZ09Yj(|G@Ta&hZi#+l_JZdbRWX&g>eEV)q^@vt2mk^zr5x8n7LlqoW z^eh$xjzLponag5NBk9J{Y~DP0jZKzCoec(s!!FyoFom8*^i+oZTlP$b2muD={@f1x z<9y>Ra`tRq(_>k+oYpOuY-23qk3q|1D{YVY{iDYcAe0F(t>of!gd^Me{M&BRBJ-T~ zM`vVP1Pm}J-oI>z{g0bA5@_1PrY+uoTSZv19rnlh#_Qjr$Fv|2wUT}h=g;l1KY=}M zzVFq8Zu53P;uBlA{j|=A8LZgErweYqKdLb^blj5++{g3>MeMIXq(yY z)w{w>JXP;7*N5>)jB!?7w5k$0;!&L!>Y^e3BA&n@mPak9t-5H_+8@_fAOjtUK1-$p zt-5FfkVtwDD;?qU%5owf~yi?GDv`>@0CI?d$wQ@GFF4BRH$jnH> z14?TH0Vs*yoRZ^G3MU9_)!J7QrkEizD9ZCimS8|Il6b^d#PD}%W9^~TRZ@2hkDXU_ z+%>&qP{-O+OFB@4Up{6gj@`ksNBMm#&D4*++d>+P#G$s9m|K*?$l?r4Bg`{+#R{ng zrU5+BQB7Q>3C6EgV4FAP_4}T->Nq`u+hEZatX7oEifqAvm3u7vL&*Cr!dpq_Mcyy( zqZE%mL}m{CFgd(Np71IfE&EYodVw?5ufbi^BMUuN);EjviuA*T^RN12Bp;pMj($8I z06)Ygx@E4f2QH(fto6X<#il#22QIrrYdvuJCAy{__`M(g1K;u`v&^Af54^MfmWJtb zHp1Ldf6D>gpD2YO&5j8vQ}sX;U(kBPTEyWX3YM`N5M$*I340Yp$DEG37!YG+h-PXf z;((e&UEL^R-xiBM15vn%+vG4wh&Dt_Mo_=3jW;LMC zh@78k#6DyN7#F~QiAG{=1P9<-q{{NzGAlHl7;6``YxWq&)PbgYa;zPiVh;}hs+wSD zVFCpS%@cTKyQoZyc0`ipbv-?zic`{7Uhbkg_LBCHJCDPi+2r4|a#E;Y%{30cpE&&c z{?Y57T03|~K{Jgl85<)O)@u4S8T&uFd*j!9O|GWv2v+alv4O0}8t<8w z1?Lnqq_y?KUP4KmIbk5Xx1^Z6hVz9k=LXklYz(pdcoA<8@Zk zli}2?a#qum;nb{hR@2>Za;`Wt_HH;i0-T;gGMt;cbsi>t-C4ET3Xp zcS2R2M#fG5cbf!wj;ZCD1lE>AFGoCS$gSD*^Pr8^PoaLSmcO{k{Z@I67-=JTzW1S@ z*Jrft4qk)v7dJRxc8S*D{C$P4NU7qq43(QX}HQ1n~f!SI4W)M;X-HR&{-+0L#YqvZ)xntXb zI7Y!8>%jvv)rp#qb~{E`aucl2onwy$ttWciO+5l5xifmpGlB3r7M4FQ=xm?wYhTpW z?g_*C5h_x`5)YMZA%%w?Yf*nF3%e!j8$4+QoVh7`rV{+rtZ(U<$|p?VEtga9D51aDwxHrQrMv|BzFAs`Gv^M8P^^a1@qugAY^005< zS_yb@D*?+c(VFtOpOnWJe#iH2zbjM%sMmpnBP8GOU9=J4h%ojPl0-KxYVWGGXD?at z-3`0riCu6owE2ohUjv3x36S+sV@7Yh^mLyZ>?_EfSCmSC8A1$`wFR_|p(jnN^x2Te z>4)x*4LiDF*x(1bT2Z1XUlD_wGw^`s}MG*G9Fu88@D{6J6@+M217XKMKTK_ClUlWV)QQ5JWGBGs~X>=x5`c;3(XN z@~GNWuSd-d_SGU`rQ7iF>xopttP8lm&UP%bAktBpabG_k$9-fm_zed=#|ZfNbi0tn zfX~z2B8y>n`3m(fF4Ql(L@U(a57ht6pa0eG{m#!wO4-W5aHx0E*I~ay*E9RrPX~ih zd&!{Q4XwlgLf#gVF9IgnkiQ0Qh!VpQIR~r=5nyeo&W7?spsYJ4;)&o;#en{;%2j7W zLVa2hEIlcMbjMw*?npKy)VpEV06ggvbo-s<2eKidJ{j&%f{;URJCloc!==bbP!bSI zLysM%{81rl*y%k6RTuvzV0qsvFvca{jd04>l7A8Z-a*NKrMHzLo-akd-hMr1oRJmj z?g#1q@-O_U-?-NCM|;oKIzBtf);j*1Z`QoQKx`ku{k4w2*74sSDI_l!1tqU=?KIOL z<<&0;Ns1@uk0lkBa^U0=*??>6_<#GSeg41u?K#=qO9skm@4Cf_5eF!lNj4X0QUtcA zSL`K=fZdQ%q9XYQB~zolXG2E7ZfN5bkw`vaE>X22BVaegBSU4ThdJP-JTxOHTub1Hwlp7|6L#B+ig4p6f0MMc>sCmT>WL2XpQlgU!rTU{Qvq}U-7x$bwxHC zs_ZjM;2Z zn|Wv?sUd`3ceWSV?Dj|=`grGd%w`{sP-&M#4|?Tq4}B4U9Nucplng&hpFLBs^R%{u z9_Z<7I(toLf9>0O-o?1c$&dK3bxmhe;pNFWi-SIx7|nLqVe3!$U0?GXe=vuwN|^J) zt#gbIpdM+Nb!2jJBsh1NjRAT!Mj=2HTLU;-!#G-LMJ)v*U)uDQ61EDYxMAm#B542K zD$3>+33bVE+k+p4uysuMj8Nx>lfq|&Iyao0>J{740RJlMjWJ<1mn5H~gsr}0-?tn$ zBIAhhK{3D$mJD0n5=!O_g<%Og)u4ebN}5lP6pL2}Q>QuBmPzWXWzP~UtLTaZtIa@n zC0SkMXbE@=GTS&3d44TJOAddqQDU-DH>2yaMfbViUKK^(>oh8t6=Yj6BOSA^M_Y>%T=r4T!U-@Wm zK2ulB(cc`iS5Eu=Rv6kG=v9lE6@e~zTNe$BKo%d`|vf@a9;{`gQ(NEty+@(QjKVG2& zJWXV1P}<)ihgHA#c!|1wuPp_~21%^ivbaWYr{JS;O{`j2-ro12D{&L(0$;i~Nc_nM}pxln;|Md21#Wj-!7HIRP@`@=c9#u;I&JH(>W%pG*r!=nh^+f1u_gY%8^ap9n#xjpO;1GHjh z?@zX~_XFoGIz#XcVeQTkht#k*dG%~J`x>tP&5P^bEW1Rje{(~ue5L{iRP`X1S2_d{J&}Yk zf!h(1VFb_Ytc@`JB6gZ&?gfnJ&tCEdjz@FC(qMXfiJ6ds5(uS3Bl_)R1a0<3X7O0y zPGR)sQaVB*(VFovhSjqJ{>ax0_Fl%s2*Y9)1Zr}+AV2dZ#~!oQ^fT@|BC0PZ@8uwB*$cFRXVzBFD35E(1E|Yhng}O!LPxH6T4o>`utZJrfkIKuyrnI0gBo z=Y*k-o&E?61q4F6C__@a*+EYzLz2{L0wfXhkTJ+R;8&xNXOr?uxkC){fO){&usmxO#c;W@EfoG)@sd4I&f*I=;ZneyrA$CF}KY$D{pK4x83 zZeB5T`49A+W9YI=v|{M;OLPr}e&4VC)F=PJ9EPHP&BQorrJuXu@gpe89fhoBBK`hV_d4jr0{!Ed-}g z-ZjKws&kAOdh8og5s|S2AyC6*TZ55k#Ly%NdphlepMQ}L*rR4Ib^a5&_Xca0d_d#; zA3zAG-;ex^`Wx!IowgBx4+!br_@U1tID=iR4ZMT zmqOLXew1da2`lX15A6T3FZg-i`K=lD$A`9|aJ)mk6^Z4Pw6&Q+R*JVRRBlhC?ZFTr ztwrf<$W(PQ+_kLr(r`H4jj->AM%rgi`yf+VPW}=OEk7qh-a&)~>YOm_k9ek)-h61L zs(r;CKR{YICtpBv+SyP-BU{mQXK`qBLDX+X@{nc-iW^vcEL@}6u3k}K-;a%;h`@`a z_Gv4gM0__Y)#__P%T?bFIxDouoX=81BwzCYo&bC@gp8>h-z{gsT;O>osqGnNhjQO` z^!J3eKzhlr%fMYd6WdYwRbO3?mQ(1}0fwa7vYYebvYmk!17naw$Zwc=@*)WV1AIfm{@l*{OP)V$$~QE} zx?UB-ZeKIY``j_`d8CtvJ5?5?vF%|I}~$_`mk4 zOsP|Tqo=?V7dz{)4%y`ep?`Vjx+tkr*>$>e9nF3$(t^;BQi;aUc2csJ6I5k0?IPQiRx5d^wo?~nB==snEq2E&AusrrAdLArUa`rVt z+W~qSs<$IJ?v|j(q0@m`1~xy`mhtL9`Gy)n9Gi#hR9hB=exxBJyV8MsUw@baa(?t~ za@IQ?Ew{{yx;vz7>Z8Xigr)~Q&u-rgKhJoHQnTmi{P=i{x~G^QFFwUKr!CZ3xl#3; zNU1BG5Ub~p44n}NMBOLM(37pHJcbyAy1s1RcQ(Vlp!#uT)4g`5Y&x4XlUfTfS_XxR z)LKw&l6rTWGKPH#S3l^*rQR*OM5`ZkKYq|B{)4~%pa0moe8yy&LLwoH!l58Monv$) z?HBE%i8-;2iIa(KduC$WHafO#+qP}nw#`m%-v7Ppe&}A$(^a3UR;@aH&i?J~!YPY# zk3C#vkObh7RXqB=vki?+nB^aL%d5_Ck8So1H@OVphm`uIOmnbEq>hD=rhYFOcf|5` zu;Ftln+5~ER6hW>Hq&TWbi6)NQhA+~V0_ClR|CHhM?u@ViANa{I%4pUuQ|w}`pRVx z(b6sKcM2u%sz-f~OCRrV*gw7v7(21i7KKwK5M_|eb~9YbK_e!#LuV;}&WTWnj0Pii zo*CJ69YA|Yj3-^RTfULD-aNH{?-=UQdEdPc-Ui;hj;5zqMuBp4 zN45>M%NH$TVtdRZbD#eC@T9!faelhJ6h0KYx{=#b!NM}riM{474uzbSUb_bG{&M&l z3$~{dfWbg~o&s(6L-bz2da3D;`2+f0_u7iJJfjm^<$IYUXK)L@eQDp!aiLV zwDM;eOCI`b=kx&e;QU0KoC++@#fK>m^aE2)VaNUKv8s^{kYwm>#lOhrz;}BF;2>ft zl&IXYbXhQxq%#pD(M<0?jI$l?F>y9FS#QPdn3W*Aq^L&18~buTX4lunom;RUn%=*q zNy&|fFAnBb)k|aP8#XJ(nD+?i?YfIEt>BT_6QmuuiiGbtS|DM~Tc707-kdjvMntso z=UbfM|B+q4um2kr!v_p+-)?`vU3Uss``3({zi7GP7aIp-rE5doVKzo13tqae`3q15 zap!J{-b88-@6Onu1J^QbQSCf|Y>(?)8NXXVUSL z3<~(JycP~u5vP@~phxY)1v$cwgpW&ZxZ0e{)EKz}UAAZ?SjfSK-8(IDXSOx3+A(+7 zh|}PwVUN`h_%+7+IRBp38v%~0dR7dY(g4b>KL|u#NL9m}NOM|Xh?}a@PZw=QMnRBy zW)KLSQ_eR$)RT7SrJ$sW_4&LHsAmX~r*){!h*NtnGbWIiSuCXzC)C0u#BQXsHo?Bz zN>$VQ^#OI$Ybq~4qb9XB{f^Zhil+%&jA=8L+24LPH;-}$id)oetYmi zd3OsJk|hnxk=@NjlA5Ch?Lw}=-!|pJ|D<- zH_=c10*8R1NJF|I_`_m~N~-y*S`ucG$Mjgg4(zl61XZCEwZGA1gz9%gpT;;6FEH)8 z?Z58~*%Ax`vJI7gSmMHs_!s^)2JWn5WV3kwY%upBRRzSY>Fy)}l})&JP}zrnRbW>m zrg6Grw^CG_Xx@)G3LsQO-F&q3KnaMa!#9E*8{rB?-mI!-jgIF}LfezwQyy^MK>`-c zV{7IHgCGoWcBrZ5dkB|@`r55%8`^}AJ)^kGxL0JmjX|_G+WNoi6l0JpO7NTJt@llS z<3j8LMIV~UosXq;8(>B>AE)=SjMsT5||OZTAzf^I84TaQ)Wg;?Sq##ZZi$LK*a(ftPYC)T2ER<*_ti z7P8RXl(>o`_WK5%X_{?t*B?zF;v$;+V3S;Q>$*agz8Z`4-8wi+B&d=SdH-C2L+t6K z$bcI^?g~wadT*UwJ37{@xllQ}!=eU^x*u!Rz!C%-@d9^-7>Y?&QRFFp6f6<3#?^}p z3VEJhK_+DcbfDb-HSn*Gu3_|ajk|Cen1%TDvqvI_4}Aa5ovBV|HYJysomY)9oNuW6 zq^s|R)Lh+^_rwu;Trg9a%E4@h*z@z!X>$CJI7<%o#@&>87y!vF(={tF;yr*^i1c$v zim^qmxjRk-ZO+)-%%_{U%1eqN0Rp_k6;n9iqS$Lhkx|b*=dfijnJzfzT@vn?`ZW7 zU7p5vrehQlEF2p?*dT>K-%!G$hX)|FBLBS%NB=Onb5D6ikX*CtW3=neEv^G5v12-rADY+rj-WP5v!4nig zmIJd9$kCuj&P*geP4OuKM8G)=H_h=5Jtq{>D0R!^hyHtC#ZI^17wo6O&KEo1ZDsd9 zlGo7pwb=2hJ__QR=5?jPV|f~h91fug88V$QXTUD+L5L1NjLNAAN1t5_4(h0Dy^36h z`RpP&*j#1l6%#$6fuO(7h558z;gr{tc@5gR4hkj>06CcPXa5an3BwPY(CPL0lTGn^q{n=t zw_Jjo$eG6RHsBG<@z#)AVd6}LZ{QPdXiY#OhFx-{o5AUIXH1iw0qPiTn=O-X_Z_Fw z`^|6HQi4%d?@HKH8QQSAcwiO3-ETP@PW!)}E=PCvz$-i8OXrh!JC#)B8zj3~dnAgc z17n!-M(@V}xPBAmg1eQP8~J?^4- zd%EqNu@80>uo@j%q~n$V5uo)=dOs8H7GJ@5S&+c!bM(u&D=u~RWNMkg!X(acX2%3q z)G7>-7{2N3yG_GxX5PCBL}_;3qZGHJi}jDWA6dsxDd;9F@ID_W1Ri8(#%gn;;GK3} zp4q0D)=(yq^_N1v=bggpf+vH7>N^H6|27DD=+X~%yS8OsLEIj(eOl=qVq8Rs#=;6m zFLbM_HW^1g-L$R4&62nd!U~OgebTfG<~#$-efqh9LDGqvH<$r_uxfB+-fZm(2Z8=D zX80S5?MGwWA9@Aj-{wP%mKQd4(^SbB;&b_bIXBF)wRXXLruvH@I*5r9Uf3EG%)s~{ zdYmA_bFNdxYUH_Z(U0M@1)_YIZW}{hOx(8yK;emNW=MsmvFfE;P2jVwXB-DjTBq_n zzUS19CKEVZ1dM9!cDE@ht>~FpXG0~DOCf4MEXAbSyY26n0mtvm^)d#(f@PyCRxYo{lmXoAWU3 zOC)xIj}a#0g*P$q*>HiDgSo^SfI{!GA0!dryKE@)G;P-MIw29rO6Z{hGmKc7eLbD& z@mKb8vlYbX=1BPze9eH%b%c*$s;ClA%}DB>R+4J(Ix4kUG&tGeTCnN-SewdWLQ(IdBM7W;uxg4 zLO8gk-%!;MGQ5AUJ-P*IXcl&RmtpiLqS+f~Gc8y*mB|I_2hB!Z`&F;ftHyUD-Sa)o zCYpZu)#_uc+TB$*%xek=$aR0q0S76|R5OBGuG~ju^-UX@jrz6APsc&<#V9(&_scL+3=1mcG@4ZX3K=7t6swy7UDeuxii7fxn8d;Rqr*tyf zjOA&mjN^z5^f`l^5_vEK8% zh9nw}VIJ^@Jk!sx?sryJ^#WTU`44;Zx1TOKTf`_?-Aj2}mgovThyo}wrHApkqR8AE zl4(`GAG7to-HQ<0SPbK+=Lab^L)*)s;wnArw8fUsl3UaN?PI9_jj`2@Gjozxjp#%e zu4Mcr1VUTQzu{qPQVQ3M6`EdED%goaE?b-awz)MH`D*n14PO!RXM301i?r{HJN zEHaO+QN$?lIfH*bEpGp49lAu1#*Gz}Zmh{8J-SFKO+GSfZ?k`XNv?@U%tI+HVV;{| z*YonGd~)qJsn#QZ>$#T`4rlB3Vvg@BEuv$;W?!&v&fJON(T$ZlUR(O0O*q(mXli7# zI5^lMf71N~(aK+C*wjv5uZV@&RR>>{>m$(J`;>*%{r)a6#v`SLJ6-nCnF#J9R%r`jgklZXpLkcTWM$d zZh=zz2lZ>%A1N!xUma~sr3(~|F8nOeo?zz&Wmk7_)KugY>`~`V>MN*c(F6~VG!%zU!NYQ~Gn1r3XAiK*9`eZF z?F~BDV^WXsHKqo zW?#}^@VWljYyd8twV${1w7H;-(Ysl^s-y{?{qg&L;S16-(X}@Ho$K22!IjCsV%>K3 zlhSXbpz=B8ZadAGgaIY%XuRV|)G)R1OU=K`$9gifN`37dkJxw^rP)pDdIk_7!U*Z} zz18-oV=~}xRWn=nr`_TRc1s=$=9WU14!CC31ME9?51jd?y%eX|0fhUho9iZDc^SZP zdjf#s)M>b%iJAEKejf8Mql?Owt?&bRy7P@sbEAP_49fg2;(glIK-7@3CAKdg9`GCO z6_&kW)e0v-X7&1lt);mik+H-j1Yj|5=^9)NOur1ORV%9P%h||YUsbe1#Et8``+P^R zFSGM*RkM#rbf$U=&L#vN6>YKvZf}e%^IS|{dV1=W9!(y#n7!F@(QQqYa^Syi9UZO# zi@rk`inbemL}Ee?z9(OL_B3ra#15@ru~`Z32MV_GH}`*T7DWcmH?1}dzT1>PGpy@* zXV`RnUh2(buxu#MI#Jau;+x1P<%FIlX>G(h-1idCqdAQOHaH5(u2@bXyfSTbBJbLi?e9h=Z=93}OyP0xXu z0Mug%%JBvTy%Z033>|a|`ee;SyLQJu1425N*o<0jqDgXoRmXoV<&zCZR{aR@fCOe6 zu7l$3f4O1@?0}`@&-m)}aK5G8Kj$~UA~|?mTXH@_1V~T#_2H^!TBHr^f+!eKBmw{g zmgu|Uhc7Ex`PKJE3T%QHZ8vJ)t~QOcrfyvD2^-Dav3Zqf<+YLIiAG@$kD$%@e1y<0uSx zKWF6$v`xLs{#u%lBDda7u|FAc>b%uugfoo09gX+h2xHQB(f)&GelluBc34phI<<W zm#b~)z3=1>K8kDzW9Zk*utKs*-6g?hC^m}lZz5?5T?#nnIT0jN*g|}YN#fxmfSTjH zVZ@%(xY#s_7Hv>bN4iRfKsgAg z()?g=+*De%o1@FGXD!I_IAy_CNCGd98sgq>LNJqHK>`@klm+;2X!xK2Og4u>L#9YTSi`8sKU_^?^4ZRa3heWCZ)$l z?O%P-r$Pl!wVRefTxw;f&`9?BEswlTN}mnvs~mtmqdXhEEnb+x*(# z1FGksc(AzMZ(`zy`qS=Z=b5di&<+ZJ)^E`12`?wJ)4?>>>Ue8?BaZ4r~j5o z@nIu@7mAermbMSV=WoG!vfoB`#>npSh)?(bnEG{lN&>cmv&8 zrAHY^HMXuX?;59=FS`O+l5Z?RS{^-6=<+0(eUcJ%Lzt#?ESUO6+yyyJMzFAfR%lQC zpo*ysu-|{(p2T-~G3uTvF`o86JM6%Gn|7U7bRP2WUgK455l{q9NZmMrt{n^ST`G#p z;?XI_mV`V57%PpXF`D0WyDfB;%h<(Iw@*G3<_!;Wx5ORROYyrE^+r`h>ayNox3EtX26r z)MwG#UKt8rwHC-U!@|i##s463bqYS0Vj-mINa!+YLB$)-((Uk^R2_8;cMdl4{p^bZ z*Yty-JJ0|k1Pn|kDRER-o>Fj=@8iw_YsHtIv7EB6FBhJ_uq>tE5r!!fj`!gV*ezjo zCVjmxZGa>D_u@KoTBnWOiS{Hv2(PgzRQXK*DQiq($t+{IYz2Np z(XuY>x-6o~&Lb1b4%gRqSiAj48ID%21xspUL|O`lRLu{I8US)qD}*x&l|88M%WLFu zw6nw%8?CQfV9ao~T#XdBiH&prJ5X^lkMgG=KrL%9@cj6HAUHe1(4wmDfJHk=S3In& z1Uym$t`EDYbZlL!gMe!C%DInf0g65Zor}au_Bu8&i;F0!caS(o)VOmbz~b4EudBDb zS!B^6_HaBETs?K&mUm-qv%T-KY#h(cH8oJBPId@&g5kHpPWtDBj(Y=S147;W^|reG z+6R9Kb`@wPhSP8OCD&g)ylber5*HKg9YO$Qy%Px~*G#J#$c8Ei&-U!=#*g+CwpPf7 zXVe+K52x<;dj6!=clGe+gxY^cI=(TmIQXLt{DKt)Bq5?p%eMhyL(;$DPJb7p6RX2> zp(|nkRmY}_Icqze#KpUaNU6blWC%;@het5PzwfsU9Ogq;cK66_gQ4qE`JJY=GN2=VJsgFhs%|iWX&(RoZynSybwXt{Xwo+$;y6f01 znr_x<+`)robCMLJQbk8fS#5DgB@~-Y-{OA@h989x%yxg`RZi>8KV3-7#iYjQC2&h9 z`uU4q9n#(I2W^|qm`FKNvRuRl^L7jQ*Myr1DZwyT^bO)M3P~WnA<}WS9vtgif>1SE zYG-sCg>*XD1%{6B2PXT#x3E?j>HvTjgY-Gi@SeL|2cEZE$xTFXp`AM#5#)VK(_^Nx z(!lDoD#D?IvS)eF@h8L8yG#S9?|?(~89+w{rx?Dp`onEdwVqD^Q%!odM3N?)4|gcd zDfo>$2S322bRhZgPPP6jhn3PblB=HSw8*?ej6e@N8b6~B9`OhP46q(OD7%w2 zW^F>+RUGi1btuotLhHa5GzN+LoQ!c|R}^-#YH@F29!xv+te_>tyyrDOi66s9y%sKO ze9+B)I!~IZ41p=Pdu8Jv&N$U9GG^T@4bJcAX}2HB&3k~2Fb3erF>t2(C1Sy%1T){6 zh9c9)50?E9LS!RtU>RCNA|Yos%X$zV{mOQ29tH%x%1U&Y*5Szbc2ZARz=+K_YN<6N zb9YFj%l?1|IFXF&k+Jo=d(2Ci*aPb)A-m!#YL#4XU6sYyzaawtK~V(Njx^N=`u1Fj zy{NjZk>v@rNjH-N95#u)cB*rjWm6T$N+i_-M~41Sj%`1*s5~Gmt7}`58|$m3(hrTX z`(@0UWZ=*(CD5(ms^avKwX@0}CmWaay}JAQo$t8Wq-$lqZ)U+W2P`NJU#S-d*)L2v zCSe@42JIQvmKJxd2d)>jzjr6QbjKmUXEpvq_+ zVj`QkcjC_yaZyFBQVqjhrz@sdMWy{Z#Bkm}96E(ZM3gDWdVk`ysO5U&R#yX4Yq|`7Y(FgZcF-eV-5|uOynpJV&;7TjI!F6(yY!adfYlE62hW2}<;%zJ zJ!!6p8N2md%e&(hK>J9jQg06!5c;0|;qCd}Y~;E0#Cq)DL3k4?EQwXF=U!dtb4gB? z_{!?sPf8?YKUh*P1?PZJ+@Y$4FfgJ(@7><$@-UvHD&*<|`?~gGapAq?xDj9o4>( zc@xvz311jYugO>QF9Pbt%_U$q4_AJ9$iy>2Mm=|XWc3h1NGh(Y~ z&Uz^&9<%DleZ&b)f-stBOglnAlL`DG;%+~(M(Zy2Y62`lj1zLxlIC^eD<`{k<>a)* z{r&xD&`h9fYU>{1$L!Z?^$Yv&gy`Tk6|^|YwgQ8a%%h`8jJRUIXOac$(!L?;UjgUl zzROtV*<3dbEMqq5Tw~FJhB7ki(t~a(!b?jPXcv6(M<(EZ+*FmEBYPRMk6+lqPhIWR z1zDml#@^q`>~WI&t~`Aj-(TBY)#LViEn3E}j)O-KiMVw3cUcLXG5lpZ?0119L(_jM z2FS{DAb0N@cf+!OlB<8+L3N*fy&m9&2-To+l^w`MQZ*zS{Yg*wP0^w4LnSs=b|NOc z5-GW)BnZ)05wSaxxki#ei$EIU>@flL4|x;eVSzN{X>v;dt#a`(Y@aBMy)d_>w`jH( zUnHL5JMTD?O~|C5L}!yQnmbfWUIJ=iqmD!;l83_0%5~d7|BOjz(*LTQ$1C57dCm@(M+64WjRvaj=P42?i?GH>xYC^+!T% z7qg<~2GH9^En&n+1vk5an7Ce7MXRkDcj+D?8!)>JRpq>0rP|ztGPvW6pvh|sjB?p} z^SC}@Bf*C|Q~j1qGu1pw=+WQs;5_|8?YsL>fUL6qj(E?G3!2IPt1uDt(P`h`wO;oe zc(HM*`wdu|dJtpO&E1r4Te0>{()>L49JCG|E2>&a&og2pTWZ}k+g8j%B|{(DUrZ-n zYSn{+k^QUnT9s?2hCLRYnrR?qMEIOhuMXh(+42^L1=c>iE+&d+*JPY^FL@@PRo%)h z7#;rOqNz41TX(dFwKCM%a@5$Plv^9mjMRR-%f?9#ZefP8x{_;p@9yGx4a@05&VSs$ zg_IBoqVi)4;idD0eR-+CHtQB_HL>7u$~}Mc?iaY_u+uZ{!)*m|>);SO7CVJ&m7kGY zi&&788z;nS9j_E@yh>D&xbs9`_J3o;YJT9v2MkhU=Fg*OWUxvQ^;C`7+!7FHfl08& zb87RX5(guZ;w07G*ohD|gA}a2`I>H-L1;>z-&XK+{DCR#VrsfwsvA08OTIWmmph6# zXTlM@u(_yHfMrfTy4clIdds)M$7$RlD+$+{fxkCT{}8LH z;w;m*v(#6C)xOR~%I9|bGXIKQ{8Xnn)Prn^*0nOG@QDM}_~KJQ@OIp9+x5iwgW#s( zX8fLBt}A|PZNv}C?`o=lREK$o)MfZRa6t8~da`w~*xq5$g~;i);ZpNKF{dVabo2$Y;QZawqg(h|r?y&aO2-h0bvBhtZwgV;1%a3t{_gL6S~Z&) zB+Rj;H=WS`zy98^EUt&y`u}B2JH1!`N3A~Eyvu4F$gWdY#9!8U$Fh5EmqS?>*Fb*b zrAj|g(qCBSDXs>=&wfJv%DZlZLb}~0AmKmB-Kr#Dxpnfy=u$J~w7c>8)t){~P?MAb zwrY4%5zpB!!i$Z{OXdF034F1omx=x|O_T_!V2X>U(9PdV}aIRaP301By)%z&R|%e-<5 z(<<5LNvqzrP+h1T#s~g3nqi1p#g^KEZP^&XB2EZ`x@bnA%*1ti+P==t z8mV9x@-~^LEezHwwtB%AWF5j|1E1#?th|sxa6~~}W~?dWIf!FoUwq588WhL&2@H{* zJNlzC*v^eJ8KiB6a97Mq@?q%BDM@5DBvdW}8<@#0sV58uW;y&#ur0dZ3ZE(z6hfL0 znx@VcQ~UPK7ckc5D}!?;V*$so<>1C{z{lekH$hKs>1!PdORwm^S3@64j`pAPg?3;l zEV8Yss;*cMaUwICd}%gFt^7f^A!-76)JXV(^-x*328#~RQGrG-u;xv$X_N&7sU59> zXi8x6R;?W{mFbA-j|O7mf_+{fa<9TvjYjjeCJkL?<)(ZDvdJ_gkF`z*HXAl0>%BJZ zhVlY!ns)c}cK5^`@X#U$SPr6Md2V6fmT^J3 z!e284S;31S+0nNlne+~Bpj~#8A77xZL=tR>odB#HF=p5Wk4vFZyUNikv2a%2br@gO zRc2HiZ4hBuortaY_PDmc%d(6XX+W2ngHMCKQ*q;ioxL0L8g6vr!M$;_;%t3SLiMZ{ z(~v{n+b$a(xZ2OqNzk#7*E)*0##!#_bd$5YtdkZ&^CBn>PR8&28qfjyPV*Q)DF4gy z^@%(CoPeXsOaPZ^IuYa&%1c0=UH|7Tag2t=-Q*?x5EUe1!!Dya+tt6aIu>(s8MIld z=icgNBr_QDy(!T#&;_X*8rek@i3r(RRnSCX!ZXD}>5M1diiGGH#y|U9m-D{5Ia~LfASzQrpt8p87!yvQ9V?PxQ;DHXx6|TPMu!hZ zH_q3k4+3!o)1_(Ev66v7HzG$5=CR#$_hYb86vC&%zlDTNT?$);ooOw5JSb&_x9h46 zkZUqgbWq-t0Q4up%2l#YpanOR{_v2;+g5kzwVpv2($T#7Prog60{oWnJ@S8qe-?jH z36~%SIz!8XPc5h3kxd-dUY*Olf=uRb;$!=gUM)@kr-k>|h}N-K5$#ettIL(6F?5cHNVp3>Pr^SsBZ(SLYxgSTW5Sh2g(vr! z)WY707}pUJRBI}qf)Cyf*B#Hypv!96yBmfRY$QP6ts|%kD*?K;Rj6goAGCxQGa){| z6#Q{(HC7X()ZN*Ixd35uTgkF z_RQ1J{gD2H!wQ!NB zyPrA9t3dcM2PCtqz&{$d-gWyc4O?I`PDjor(k0|b&zw3wHg0Ve@tdVzK*~>?ftHjG zaTFk1AS{1daO(FlRrq^;8R8Hg78Asq$`4wxUG?|R{fi}{NCcGXLb=-s+OLlX9MbiFgDz z)O3dQx~C7RU>30Mmfq?ZzOKZkCTjBbV?gaIb+dM51FJ>k`$+sf6#vc3B+_9(EF;0{ zkH^KYO&8#X)e}uaz@C~1O$mq#Zst9BrjA0_7&B|^IKr%u+#@K9f>31(J?MP3ZGS-# zBReNF>eXMU6hz2YgIXcEl{<9e>6{AlkY7Y2^auvKxW4?IAb+u#&IB^aG{EU)X+58L zAL<8Y(Bk%TzmHa4E}2A;i2$p@`<@M*v_D|Ixr>eS&gdH&4LQ}Eqg71uE)aFdwX>|d zDS^n@v!7HfZ!b%Q(<9LU(-^TiCBB#2!C}60I61!OaV*$tL>oy%BiPwxe~&L+qNQuzBh}G_A2dtwdaG znt6jRs}FQmvqa1u!_u66SP zOU^w)|0o+3hhUW4+E#guU~T|yF6 zWPr_+sF0d=YfpK62*+ZN5e;n9-`c7UK+g2UcVs=GOz2{ z2dOOY2R1;tjy`Quc1o(@FplK5JQ=W@@98lcdv490se|OICx&Kka;t0-5gr}mod_%f z{HM0&Kw8Kk6@r63k^t^S1I{rCh6@R$+yjZDoGz37bO7hyr>08~eK=Z3Cru;-bzQF$ zuyA60(v8mjq@Tux1}L>yedkhA*ST!MwW%*vG_;WYS~V{{W)g{oC%Cv>giUzP=Q?IR!K7D_Z8ftA=$+LPZp*;orHM4}4=WLpx_?5u+ z(Ic`=bU%I*eq>(ZGV{tpnYu=<4w?B_F4>Pk592wTVv_#hkzb4HQ9Vq!Q2|LI()U3A z2nzxmvTot^b(KA_47zuQh?uN_D%be?S1uzG~zInvH zswmt~=;s3S0{^h_2tHR)4bs~4)VU=_5T+>O-j2a?NFx0NcvE-ZC&)EzrZfmhiI^W+ zxYogcdAk?fP`3~&gpaZqiX}<$Ji+ZNUZ#k8d$Ih*t0;R{*{{GZs{8DWFhAoO7>$EV zQp>L+f6veim4x*)_ zwjm51+=z>=qGM#130Qe(;1;C(?)^lgC3=-bETeN+jSt8*c8nhWrV##uL@RwqB!??y zi1-H{#>^F@T^kzB`eF4?teL`%U$($V!B;nKdkAxQe!-HKw1%soRzx`Rpb9VEtND|1(q2u6W2>+qHzYlqPhxHa^2J)f=wz2TM}Ff;F(Q zs3wkpppx}RCJaAW=v--?+tC{uu~nHQ>^{G&5`zQ!bPetfAz;YG+m3%30i=}M{&q<6 zvlh2!Y>{yTAv7@77n)NyecLZ|&q)LyJ4DjyToF|&N)~3 z5mzaGZr?##r*Tb(vG=(e%lO_f>wpdc|CZN30K#py0sm&a{Kqo?%PO`Yv00dAEhd;F zoJ>Z6IaHy`;7^ayx6=(|Jm=yX!9Y=D65OAH@p{3rTWhLGMGd35lF&qcZF<`*T;>lU zAsX{fZlWY5xI+1It3C?bjmlk?5)e+pBU+_pTHDUSZ+M|O*3cMRlIF-K2mVtuyY$r( z5R*ZUmW@wz}r*6~k#~rS+wL0H-3xjc_E2?I66e@Jo`5fiY zdEeNjE2pGdvk}v$yy=_X3%x#!8fQJcMf$Y8|0J9!DWUtC`X_DvOXDP;9vjZ#qPfD| z0RW$t4@zct0i!spa)E?!sL1K&`JM6=8a9e-wK}K0L`?8kskIa0g^apQPhZynFriz- zt~iUJ_-L#-TFVHPT8%RuTXGT<>{ZQNpCaR~kmSzC@_jrW9`|i-_NPvlMJUZ?9IAyv z&d_l^@6|bZA`tq_kihFpfMQpo6-D*s9re?*#|x>6CSQhle}} zC+N)qWr{KdH-&+471qHX_E{OpElwm&l(-zRIA!&PTegL5$H2G0Wm4@lF4ij(4X2bh zh3P`i-F&~+mXh4z#JWAEyg`}sC9&F0&`dpc4GJ$>#WL6Co2B@Prt6LFu4K)6H^NES zXv?mva5vJLxtrAS7_vpSW#A_uU^5E)n^>k_T& zcp0<_4hVUgndY)EtC0dMIgg^OY)CKN27j32n7_{`E!ymN=JG#3{nE2Ucy!W*+03EQ zIE7we54BCs0jwxc29aI`e{80mF>i$vBD2~aAW6^N=(|oFaH)9@z{PfB0;Kue0Y&Al z{LI&Z6^S;VkfID?UAw*EyUw=UFP&5AM(p_ShcQ^~TX416k&AF{cAYWbvv zcNS6%hCeq&wT5F|e{PcJIF65sy`GR3u}sq89R47KbEJfL;}2XK#}0%Qt1c^tyTQ28 zByggyRKRa{fu8oxhPrR#t3fQxl#`U`B=c`I#1aw&jeH75pUCbA=-*dn^v*it;Rlv~ z_Vd32u_v7HD%ASN1pCE+Xv??!JdB>=XU_3V9{`1fUMh7Xnf9V_qH{i^E9?ei~V8h-Bhp=t-%e|x@L5Pj`I z-hJznaYnY~iN4YSm)jqE+v(#l?o8$v&80U151AtaqC{aQLQMq%Z?sQ@@4N@MN412M zhNLp$F>O777(~2M+1%wTT31w*ceS5({h$SyE77B;Wl7$)08ecu{jXA++L#u6ZblGl znZmB*OL0Xm4yc9zeIVJ>v*{S7Q5UUE43f4kKibv!m!r>y5m!vOahp>u&a+JB*A+DKu{50p#W{!(nLZF zHmEqf_HjWqN-Iyzo|Hhi+0J!(dKT@-+e9u%U7U&P9$IWTLcXY z86uT=>3P>MRF&c?s3LWnguaI`J+(OP<0k#pa_!%kY7Gs8g}De!shBn`HF4a1Pk!DpGB`r-{twPZ>v2# z*#3dyH4K0$oukj8UBS*^<#GU^oclcm2m1G`*v)_op2;YoPQeht;zy__%Xl6rr;)Z; zv&b_+%Rl!2PO{@*=(ZSZoc&NXB$0ryerFCUx){s>dkB>oj+~728G*28B2qP z4(gLDSayO;p@~9~gT?Z+{l_dNI%I?B2ZLW(maxAA)|#zDaQyQ~-$rA9F-*t0o(H*3 z)9SEP<2iF|j>Wj9!#{K57X`-<0wgu<%t$g_6Aw=e%O(FgLj8Jm7#z(GDy>!dfg=vC zL?9lm=(PWD`~s?#-5p%P*lNTT`x8!KSBqj9@M%Ib51sWIiuLQ3;bqMiPga^mTeupX)ZUpe3MYMpyus}Bvk&1U;hi9gAQQ)MtdSE1 zAke?{*>RNl1{%n(EA_zsBM(kUK6ZbQXkZlf6oVa8`n7k6VED%S!X{CkF;Ar)WB$4; zg}@W+ty^tDo9lUl(sg9OwYd%YSUiq*ao~*G<{nDIz9NoM)(syf>yP`>AY#R(in$Yt zALjD~%hgxm_3P%B3DL~?V2M_MFuU&uN!QT<|ln*2V0#AnC=JB#(s$Kj234)1~%R2t`8 zFrl*#wY=iV=4>at*K1wozMjams1a^t_;iyj;ju;6?heCq#vEw@Osj+?m+ z*!ebv!OnxfjUV;5HBe42qp}5Iiy)PMxf8-AXZWBXsFWUTT|Tt@=N0vQO}X`D@d@%D~}#B4O5%%jd|cKlQh8e za7Mt(aC|(&8Rn??NP--!A|#Im+vau#Wnwld2%@VaRW4{;q@QGq-`AWX8>vM{jI;h; z99FO{_w-U1gO{0d0zTpZ8J3YhE8(0yO_)lv5(C_WHQ1-<{S09=x{(n7hg;zfl63_m zNNdb%N)_Uh>(=U?vy_jnZl;ZGU?H$>z4=H^1mS32FqTr zqVs)=fv*md&qi1Qd1Hjz{{zxMEx#YD_Il)@$%n#>#{>Qqw6qbMm8-^2>1V&^J@5Iv zfBUcftk-kxT-BbPT5sCVuiU-FvHrUf%t1v5G%H^$uP9-f&2pO*ilGT&nILPRmMU7qN-FrTLDZ1JhV2!y$cJ>8h zrY*ZfYs`H=G55cE-u%#Sn?u*!xc1D4#2z7PD@^d*7?rUwqU#ZAu+k3>ycTiWh}Vp{ z6BfD(41`{hn=CUkh|ao1WCed#K>5+I(J7Vs1Zn9@sKA`c;}= z4B8J3vXSC`fSOmIrRw0hrRwFPd8@4*mD|O~0@yi??$9ZJ9!Z}YZVQ4MYRiMA(8rKf zUcc|;xhKmFxAEb6m)4p*zsuzLL*~Pw)_f4w$gX>^V)IKA`P%Q+jQg}=^Zj7+H~-bI zIetyRW|188;b|)H!0C3*lZ$c9ooW#C^sh2CfIC-hwUDn$_%*u<(ax!oIa_%mW&@;4ip`k21Dgy~}We7|tKZ~YY ztXIn&nAzE=FBkP*xIE8xs0$zxB!ygHN24^LhkZQbj?thKl(l2@$NeT zawWzZB%Ak;Qj@?Cq^>$YE0AlU88AmH%K$4=3Cn?@{NR~kr>S8kn<2RdM2Rt}K(4Qd z=41gW&KlK5t-N9khY>bgtMy3mT7<*LJu1(D+_B&_hQr6*k#$&RrMFU9j^|i}!<~$J z!LJ{R+DatP=U9Zp2avtNw5R0>QGWTh6>{x; z7k*U#Hd|{Q4utZP55s1YBi|3sF!GSEC`sJ}bi*<3ij6FhaxK z_E3g!R^6?mLPX7jL&q35X`7t--BvkYIU}8b7u#Gtw9oRYBguj?#@)7LhcUn&nS?^lm#>LNH4G~eOZ=%m zdXa(H@7cMbHf~COuOgZIvX1Q!Wi)tuD^hY=6x{QrKS+ve)zQ{Q(oMyTS{M#Z&Z5zWLVW>)U zR{Z%zpP_oeT!=b7o?>>~I^q7_q}~Xmkp|zGIL2nvwcu6rcEnY-o`?KRP@1`gp_rKn zPm-2U8XBZr!Y#gGrbUuh$oCAAGuqj0YeH%VQ;D%AvQvG1JF32zSz6|dx1$pa^La{x zw{3245Aqi0nvG}!#@i8v>GWmTU@$RqYp?`+Q5^ZgGTh=D)A57L4|e$6rLW>SeHHP{zD4KsRm8KwSMfajDxT9< z5zl;)^i@2Md=<}U|0?3ykiY3)Lq1mDxQm0E5#_H#1MLu}&HOq;kD z4;ZzY3W5*u;T~dz@S)zV-hRW^@m_qhs2{%d5676y* z;io;RLc!!y9!Hu@2FJLxYofh!S7myVb(0QRwY0KdGQalZ{Adwd=W8#wS@J|Lb4#NV zVzTs)EfQ6?%HNeYu=uJlQd)9V#_iI_F!s@M7n5b=2dl!24;Eb&T1%6$UQT5uKbUMZ z{xrBMy)IP>sy(1%(lL5q~- zR1#EvMD5Us$tW4cU#)&Xq!qL=Lr7S2paWeej=m_{7Qeyr*)Vvbiy}$K%PG!_E{p6}cv$tSH!K^*KUQn$3KvR? z<`Mbth&e{UAV;8;!?SXDW@UU8xcU7}7@nbNM#fi>JMAmjk#4_9+*tXw0BL-1;xd)K z+Yr~k_JGwZ#3-@Dy?Uc`%AS+(%RoG9)^i zVGliIkbU6qH#;oNG=LdyP6H&7Mh_tNn8Tr}*O)`2fkX#sKhg!pDIoLY5EE6krOV zW!vqK^YsBZa*Jo_PvqGF{ctQ`yu>ENDN?|h#VYwPP>Kqnz!>h!^rMksf;X*-439~X zVcR9*&;R4NL_BT?NcEvdpzLOvN4^k|a$iXq#9s%A?PDyAEuRv2bm;YOzxjLq;1AT; z662WAf~JZLA%+9*c7b41V@qPSAndJ=E$usJf2+9CTTmvuK5?bcAEMb|(M}fsGxl{# z_ejhgqw8(uS(11;@$6Ih{UZO?=kS;Lw?2u#z`r$~NOv>f5x;BD_P zQK48;d=Rrlt1VfWw8RLA(o%RoIC~7bLF#|6Q>0-TIG}d)Rm3*v%i-FP(*6pB-Y`tt zvFxuNGcoxR^ah0X{N41TJmMP58c|iK`8?-BI$aaiE7{w?IS4i5ZUn87gO{#HnK|6V zCnCOD2gvDak0pJu?Gjb!z8UELzIXk^XE-Gay%-bd#*82Ew^*EBsbX6bD@f=;_1IuU z=NE=8pkYU8Mbw-Ry9s{!Dt5m|->hQ%v^edn*!^KgfeEhI32V(e10K537es*xaW8^| zb2>*4-2>WmwA{Uem~(-i3^eS`3@!W*h1nqtI!r6((A_muu9i}J5Vvraf=@S_&hNvDdf1AHfwjf1XB;vQ0KGPwq-NPV}2aesLH(t z!EoCpst|fJ5c=s~`LBNQYu6xjvEj*=RX0QEqUw`}(8bDg4xtMTPaZ-c!Fsyq$V2GE zv>Xv11l<&_LZ9}DF71~ZPkW}*Xm{ZoaTWYLak^-vEjyD?oyFv&)VQoteyKQFCOpfL zJu>*t?=N?T+n?JGT*^UzAbw}XQB-@g;;L-B{c*l%>WhuTBz|X&+fqICMDx6wDwzzo zoP0W#zr94^0kvtz1(R$>aDtMruhq z5m{oQj<)wgqOWZ4^{|KmcT{^pz&K(qJW`OJ!Q>-LXeLr$mxfcZKM#w;;fUTQ=`4nB zaIvC?#bFqsFNi$p+?*T_i)l7Mhsk}#iXImGJp`tdlGGF$hpS ze=LEZ=DH^p&CO&assn~lixax&768d*X{8cL#1R?!5+E6AE+WD6v!3Cet%bu{IP_9gRdK@R zrT!~l_eGz5H)TC_jJ6@04b|>JtpQ+xaN0D16dPU&iWAYW1=Ujx_ae&V=ZO>9%n%|+ zB+NjR@Ce-piLug(M7CtuDq*JY+Av-w84}sd5K8!9-T*5Ri3UDyG9#-slrQkfrG%jnY<$9!6k?w+ETt&m(r4`>%K3B|PToE) zrC5%JIai7TK;-*nC`EBjh7%1Uklt`_80{#_{Wg^HaOcmQr`wc|$12+1DsWRWle=D2 zm5z7AO93^_kiC$a!rVhHNx(1}5;e_`y{X8a;v0S(Ll0y!CoO6^WW=cpN*$ILul@Oq#Dq)HK5&I)%h7lBeaN#l@EotHFC{(XzwD zZ5$Ju4lQ*dTN2?i2AeZ;J5YWqKejZdM9X$0d+3BEe>%-_X!q#zWqujs+1SS7KOs>= zGD{qiml2Jp;3;csaCqs?D!L13XJj9Frqzn*4Btmyp6_T$h%Vr(Yg~1wWuwLHr0C)` zBfZ7Qj`vdnw*aw6ddbc^;4YCCNPQO4N&zrO+Dyb)u+q5}0XvVF7SXXiq-b=8WO6)Cjmnz|TMREEN!* zyL#@$=dSL(9g@S_laj1z#(4ulQ!qMSD?)-Vn~G?SfO-EsF0IF3_{jHv;GL@xaJNU1 zQQ}pb?P;Nt$}@y51YtICpfM6pGV~EJr2g6CiJ-B8NBd3J%Eq{k`5 zkStT3*4;d8yo^ud&m|iwatf=&tv*gI!nTRHaUC|E#_?Q&pp2-w#;MO;Jtau2!vx}p zpD(n1=>x z=qt7Takm#%)3NJhq$yf3VnFLFwcs2R9Z@9SG4D;~q+}?F8#XzP0LfljHls`pN|x7( zql$A&1l$C6lNL_}Q62S}&mK$|Y?wLsH(4z|;=Zn`TViSL@q7#DO8awi%{SBI8E)Q{ zA81B^wa4=@O(@uQi7JNP42FKhul&)AXA*{#Can^=fY6xL19IlA|&-#zC4n9l&?q(wH2XU z1kphTqaa9?D-uJaAz%)k!+d)TF*F)tRI#WhjgSn~$U3})k4G@nEYahmmWQqtva$bs zBx;S8`-TIX@pjOYsx?+y^TE*8qn$#mqU2n!i=e2g17B&_n+^U=(D;bqwuIRjGt`ik zrP*wtu@2yNrCHYcU1^m)Xl_%!oT;?>fFWzRMJwFi-qPx}OH|?ZX5jWqf8b9ZUiF$d z&Q5)wNyyrcnj}6R5$_3<1t+NtSye*_EacrNsm&CUY1>TL4GiEN;!0p141*A0S}_Y* z2Skn%EgyEhf@3oDA?vUkF~yG2V28Xj&e0#*hpYz-(8G!dS-TOG>Ds!XYa+MRh_aQJniIl-137_l*a_6e&2+@r|v`ZhlI3&?h!+#KWF|WA1GbDI3 zOG+!_W}_uodByE3L^87+Iv|c%f*Chi)8$+)#s-QTntV{gTe{|WNA3>3z)S?*n7EC= zd@JuaP=;dG_T|U)^zvq#jzXVgKeJz$}gi3;iMwEGJPtGdsx6_Jqi`L*2zzkcZhKVIOM+V3FlUbchx2HW9+ z?9RA<+g`Low!cJPZhvkkZ?ZjHw4V3Z_7`o|76*gug3GqsALonsEFFKmXfxhpE8&+r zKDXWedBXs1uMRv{2^9M~m-w_gkZr@}o8Q!_XRLIeOKe4BXsB@0jJYXyYV?yk`QU9c zYc7B0-aFnQ<&HRoFzH}3-xOn)XlTkDYj$zL=_n;FtwbV(vLY~wYI2NIyT+=Fk|MC{ zVmW4MM)2t|&N7_Q-fdp5=ZTU50y4+bQ$C1ighb1@kRy)lbQ~ndL*ChZRp|3>xhnH^ z@r36-TJ93&T=IieuFAHnLhCT*Qg`JgddXjvH$3sg6aVCMzVUaCDfOhav`NbV1}sbY z20Vw*7MG|4jA6qToQ)2wW&Uie5GFux2mOJWmG&^<^(g9Lr7s{v;;DMxEw49t3~u%n;MBkZ0qM^{&C(kNg}n z!o;v|kNA9OxevZpSY$J4pN;_h7oSPuV|m)CUC+IK<$LnD1JpU?Pp5xom97gvL^jLC z{q~&w+;iN=4V|#>y#1}kn_E)63HN9EQO<~b2_|dt=GlwKRJ_@CiHKp3qrT=~*Fg8g z%`^pVhr9X^zozjQLOhs=__+(S;N5JQ{Be#5%Txcx_y4sYNeHUa4wg+affLMEV88hM zpeG`z8TQ?g+A2sA9m?rMxd=-T7z3vXb0suW6V^a}iU?{e21RO;p9Z9C7&j+FB4{+! znhXqqr36NANCY)Q^xENw2yU<<#xv!HL{KyAca&YDAzI|hLlZ&GaA2>xWEO--nXf1a z8ZE8NgZYq?L0FP2F-vH6v^Yy7$Btc_kCi~Sg5ic)_B+rvX$jrY$tVxWm6+wQM_x=V zf%VxtU(G=f@Emx{L&e|wuH#OgK0#!hgHd@-K)gh6dGZ96QI5hPk9xQw^)|VF#6JDrr&8@`3tn9Npy_Rwh?rO*eVf;H4y6C3kNbkp z{{3#yv`lIM>Cno@2$n&{8}b3DJ*k)AEG4;TYc@xn zJ_Y7R(35kPg!K4+Fw_!2&GLt)4g6;=kZzXa$O+G4iKmJ;q%zmx=;MCRsUlbtZ4c$; zQMng8&vt~e0xi2kn62r~(_f%)C26UtgLIw`=ujAV+h0L=r)MYIL7MJ9%3uQWr)ehY z11L-73W}_<9hS?vo$|^dSRSU-Q9B|2J?Y65FVJ>5Nl*xdBg?$poX_red=q0<8(kA; zQ8v&Vk19yopJkLRtH07szn6Y_w?C>ZSymqgSyo=QQ&yd0r@c@S$5@{;b{bV2pQIOc zyQp@@A`!iQ@S4G(YKA;*dn%F65fOUhSC1mO4Y$ps*mjAkM{zThlb`&Gi{JXwYf7zw zJ&D-=h@!nfQSbwUM`2+orbcg6YDMFL&@AV&2|h^xEI%#j>XQWhlBBCo5-du(`Xs@_ zNV*bpP}L_)$U`NNoG9kAlrL5YiVchkyjk89gnLw~u9oJqzetGJ86H{vS+OhCpJh8C zrTCa`GpiDZ86M{&-L}AtMZ(7snDMx=@cc^cz~6R>D$?By(zXBb2Yu-qQ#xE(W)?dK z&e>hp54b|E1kDY3b0l$FYQ54s4Ql`r9XIVR_=uzM-Qed01@UA^q>G2%AE??k&zU6B znc>*(sRawZ8Mt<3Qy!7d3^9ZmuVY1s#)3)5@^3mcki{- zCZ9At>>wGVhaSRMk#PEmi#@Yy98gpiJ{c6}%0o*iX>a?8$y>@i8z8PzoMgtV8rma{ z$+v^ju7;=S;5oAjQmxXU2;G`u0NAGR6diwxxOm{Y1PzYyY@kl@QOfEPgYgVdc!I3@ z5*q4bFp56DiGoc5y(n*2VlMP(ptT~?GMZe#*a!VbLAOYZN9qsn_Ern{jp4m-r3dIw-w zcWLSF=!;l1$GtLPA4)OOj^2Ysfi-dOH$93L#nIh8ebIv`ASHWzoq`Bby8k2`wl#4_ zYvTeY9Rw1Bvt&j%S{@H9*^_q(W?^x3Y2!D`{@7B?ALrSkF`%^c*9a|1{F3q=aiY0@ zN89fH`HsbSw_U}%ACHQ=f4*^1ZojMS?!Vf0_b)Usy7Sp`_p^y{U;58n1K#XH{~`ZT#E^&i~zO2$O+iriHZ`QwuhQo|$fCj^Se{QG!@qzixNjssm2l~^IU@Uzc=(0n< zUwZ%OA4E@{+d_4IVsb{;)K9^!FO{{Ehpsu z!@Qitv{TV%GYpLtFodXvdd%UaP;!cfn2Mz2$`)Klf%jx6zb!@_w2?wb^f{CSP|1~< z;Q`XibgRJc2rhV9QGOwU;Q^FNctHF)-OGq~|7Ijt77UN*NJKyZZsoX}urLMxf+47v zEQaa(UqBtS|ZCM(kgb6%|RWU5p9v0 zV@mHvk>1us76^nDyd6wXE)Oml!LTDd8wyv^vKt}b?ceyB_$~OnO88`A!Tt+42Mq*y zoMU&9^h=v!douYa=dnS(X-&JyzNa(TEgY2;$pT(hW(}8|6U>sxq<@3L%r^YIy?Xv6kmvh1QU! zoebHtz&!sk_Tit2(w_M3^`@02x~+Zh+B+=657NpdA`(81OQh(1R4J*z>9rq-mjjSL z`LloS+kQC#x#rd_WDlA4yNeY&muSZ?#X`l7KyE88R_x?wKnj-tO2?*2qN``8lV8V9UG0Oq_VQ2N!e{*hydifG6)E# z%qIYzhaJeOrKJ~5K?=yTxeB@P$z`4V|?a>yn+IE zULzE!_cBSz3n(6mrO7ME#;k`R2SMLtJek=Ni7sYk5nau;(s8+lqx=L%!IN7{uB!L} zE@cn$XqGac06oAj%ki&~OCJ{KAGVuP$h6K;KsRNUiA-7(9&2N6<`xE-BewBssLCxy!5kM)a zYlvVY`evjUUJ7Bn86I}+9>~g|8yWe+knm!LM+{X&?1^MJ9jUy)(F8G@}7;^Ub^6aAwhKwZcM zV+Te;v7(^ASwc_>GbxcWhX~U!u_XE@O9{?TSQ7oCI|%VwB=s*KVhg~YmED4%MXE+CmtPp*IfGYZ_U@gg+dKk zxqn4iVKHBS$&7se02%pk7gs31y}}0DE>VT@n}PDb^Qpho_jh2Bu{&*;dD6ppP*9I;h_Ios#N@98{;_IOt)1^?G7egDb6>dTJ zB_7JdEDic4D+8CiBs9DN3x5BP~sj_av zoCGJVATOfOZ3yfC3W!dEXnEFSt$?yTYhiSPX?bL8>ah~R(VkD{y2>EhI=p1dr@BF)e`D6dq zt7B7)mN6`Lwkqo(mcZ9$MQURxFseiFEYVq517IfKXsZSHgDMFnz)gk(Ts!oz)bE*< zz*WQ$!6u+LKlCPqLW76v4dL%QQ2@`TmQDkT@~^;xT4!AN^-hokDDtRW*l!o6CpWpz z5;AESA$+_Sq11s-4OdQs`E(r|AK(JG&zYSt#1v4?I3z>I2e^o{!N#_m*6tl2-~yhH z&Z!#FE2Q2>TGI0YE<)E44vyhz4^ZWQD(igUCnQ&EZcLN^$oW`4XSL3*?RuX>afQl- z{dQq`a+CWkw>1Do8+`j>mx1#1e9B(Fg^8t(6n<74r=Ue909fYuW!vF&F$aodf~+lK zMOI1YM!6HlSg5QmLRw>e$~|w3KwJ;Ce&rk}^r4_l#O)7*ti~eSZhx5@<=(%HS@Qb}F*Y3^gQl|Mi(q8h zpKU4`H)5r&MA9hfbU>9rVnq23BgQhJX~MV>*u<*{A(9tIIs{&KPYBf_HZJh>+Q$&5 zCf&PoI?@YF#)WAGal%q;3*cm?7oWSj_x20214QkM9RbpjAXA%+;+GrBP^mhL>6xdB zK@qoPLKgcNj{nyizxTB%m!jBOx^~2UCF;+3Y#F<8hi|o{NV*QvSWLVpw0@7g8OBFTuwp{2$ed4nAi9$XRm3a!Ybb9CMeWU0q61`1??-0fL)774<1 z2of_uwx({PJU!Pmd=lU1Uf?Rr(;m0XdR$`*mMlR_Xy7-y>VBN#&q%4v;UT@1glF(- zL5Of%K_SaTuAqCBT)}L!as`>CiL(p?xjf#d0*49IvXKi5Q2AJW;bP^$ml(nz2!h!1h(dH0j+(s> zorS(=;Y$pQwFttZo#SFH!m|Ye2LR_7oq@0h@;n(^0Fgxi`F2W@$Vv>0^$j8Y$I~=D z%MnSWlKqge1<+|h(g#A27Lp+uTL9;oU7p3FiN8L_#iEI)b1W21aCCo#!XQU{o)}p% z;Z*ob)Po-h7l(xysKjLN=)pY~@lSbitl^lzxPW& zmXte{4E*4&4VygYR`DQxXC^KZ>x6*=0 z=hdB!57nDIHSY7%&;n$~fP~F59MI>`eSW&% zV+f=~c?e7MjQ6G}&yYueE@h@=bD3o)sJ5U-Er2CPw}NF?lhauUw^D4szU1`Q@NQeG zvxaxue_^jZyxVq(DhO`BMCX9upL+ej{3Xw<6=~5C&Dp>}qBRMcj_SPvS=K<7(9(n8 z*mN8TL~Jv{dorZ!9=4b`4fJ$0Tv5KxLzV}@IPwpb*N~J=D|!$NO>Q{!jIxXeLFmaq zybZ95jy!a`<%f2ZGn^}w;Rvhi5;Wr;4R{3L8A$h(Go)8V5)YQ>Og|HW1!grpnu^2* z$^2_LtbeCWbivoz{Av*|is7U0FDt9Xs1jG+*+cQp3f>bop-^*v%o)CMHRim%{bk!O zQH9%^f!pRIf97BO2dgnBCg%cA*5MYD+tD^fIKiVFByzg@2nvYkpd8p#UO<_LTS%5D z+|EInhg)R+bb9=pn3{*%17`m!%L+pR%R_s(?a>2xw#J zLNfuf*hih7PmdJpu4WUc{x}g|Hz=c|)zc&HkHo7L3TL3M#+=t3b5@|M!;UDN!qFfn zImd}{EGCKTs?iRyJ$~c3i^{I%ee{AzwD^ zcP%wgIrRiw-D1V8Y={v@0(6*QBmyt#(3^6m0#LJMLwV&y+B5;j@}o8Q(qp{POlpZ$XGOPNq8g@czeML`MSt%n ze#0;RzbPxKB(ajCd+hd9uh<|165E#>E951%swaUWQqqZK=t_ph6*G>>kmwb*ASTvQ zg$jp;7FNkXC3=}5N|8EHohjUs*h%z?hV9W5z0ek09NH5=ftOv-2x~%OGM%I6=mN5t zK1CEeqZcBTAUpOrc8qsXzntUKlILfZM+_9f`-Ua@x62PG9sQLMI`>}?IHqM2 z49oMK5`kuibtKdDNRHi|0DK-@R_#~uURDgCZ^3H#>p)*Mz8!z3N_k4!CH2KV5-HDc zW7qub?aj|_yF?ZEZwC0k@2`I3cl~N=&d~`r7Pi{Rs8E{&AF!DYf)Y+pm>7jbCg)ml z0M-HHO&N`*IZ#p#wb4>GsucYqL8m$y$`6IDxZA5{1xA6Qvm{?p^0UEk9F9G*sXX-Z z?5#LY_1L4rTC6Df*{~v{LE#v1#U6a4!cfYg!7%nN9mg)r(@k$ifZsky^u%!b6+Xxo z8SG+7Er;6s-lJlw&V-7h`cG0PasZ>ny`#2g2A!6>mh`S{O@E2veAvT?8?Lm> zuMvjD=sVIjp0wtwE8|@g@gh!pF!FLpy36BHCDz2Zh@OmW`5CJ6MCr-MlScZIjg0in zXYPA{MxL-iCbBUGZ%1~fXCn`2B2J8K(Iz=b=~3`-EJauTwQkwu;jq1Cc}R#%=5RZJ z=X;LWw@!?8`=e%?QJP_pDR;Uk?%I?qCru*iI^fmwl>Q6FVBb9S??535R`wsd!VtANNjv~mJVQ3|qpRGlZ zGeg9fr)O&sX$VS_ zp#=HS60<{v^9*_yvLOsQoTYgAVM^KZWG%)Aw&uf3)(Ti`*e9FwElTpzNy?a@q=574 zub6T%;rnLb`-}eg$G!2G;QP>$xMw+f-9p~0ZMAt8xm}q^jZ~Ky?f_)W z(MQ8QT8tR~0mo(BmN(@0+YE=HBaXF5;p36uSXzFUe@A#G9-MP;bA|r{|avgBfm6NoD2!yW{B2fWsdh4 zrKwr}WGL`$mWPqePgwGJ9K{l%Md5>74SO?y(+QT@Q%jsS0lAQ(&57CaN|_x_=vX}B z0lCM0CVcJa{;w`~BJDe~mO-mQJ1LCgS0htpf)7ww(5AmUw-v7*P9jxV(vw<#Zw zWr5k&g|zSaA#k`uD~8@)4Bd8#Du&(+hJNP$&;FOS&K*2=opTk7(zVWA>)cPj_;lth zhzIcstaDG9e5wka0Ke9`S0-fCI``98FWh_aUfyCR4Nsi-@Jb!oUF+Oeli?NjuHJJI z$9-heMz+Yaol^q%wtL_6%qweTci@JR?Y5r~;u#Z$niM!UXw+uFk73|V$%khS!3P%{yj$tGJf zoPBvdD5BhVE#Q3q$`28hCv=^w`6|9xfH0?jedoDPUzCrFjK#@K?zf!EKqeuJ>}67y z&n%RgiELwT*{KTatB)=&E3pZugm+1I>MSq>Pwo$|&o1|y6uuq<{_4v^$N3*b#7 z6B|yI0~QMmz8o-+Ai$FP5jl75)k}x=<$%5)chXtccTH0k7<@Tkl!iK~MD#7o0sb6) zIbi4;)aIqO(H^v0ARRg@2Xv@{Xl;WXi1~_HIRGh>UWD7Aw-&1TX-T2%hfP!odT{!2 zmUGn&N&A{5niN9`X2*#l#nFASCNvD7zJY10N}6{^_tl!iuIG88TGK#5tz6SzAG8u` zfdk`C`n+bc)jc3WC#5ynnlcLHX;k^;?}~>&n=8+VPcSYoh25{TEwywmhFVQi2bv<<4iulRmFgJ_{r_=&YM<}1tOT5meVHC1mogl zoX=YbH*m$~+l$THE>Yzz+zfBwtAFH!KmH#kY}O)*1^ZUPA4jxkNn8280dJ1+WOylX z|I9D|r1YOlX4o4No6QgsHbi?EBI+$$u;rIA^lC?v;Bi0g_?LWXFoe$PUes|QUb>YI^C|S${rsXqBO8Lqq-B$@=sAc7!?}0`o(MN-*H#Vmx=_cOM>fVz*#<%ty@qSzcl4*xJ!TDQaU?=pm^S80pPy@B~m zOkOkh=Z(e`^mbq_UsMBg(ERxgkFDs~g69x}aON+D2cRg1FH(j2+Y9yEE>VU0n}Pb* zfAf=X`{Xn7`bdgP7@iWsK68wgZOYamufH2bw$A6wed0K^^XHlZ&CqZ7;XnYjO^tnfX6{AZcRpAW{LI(ybT_G2hKrxbbocw%il?p zLBH$5$W6DVzdRUm22z6L_4}!%zdqw0=ch*>;A;7*!kO-a_yn=?Z;&m|h7JaKTyzQw z^w0F|Cm>Ic#P+ndO@2uABJ_~SqbL`%_CQ&By;*Q3B_Q?wEW%!n@F(^An%PJ5Fz7UI z+jnRObjkYu4xEab+25UTe&%TgqQ9;*gLgc8bo*kE=X)Ta{`B)tJ!P=GKRhTSog_04iCsAph$Iw7F=s*$@V@+F*=M*^>_ z0ssoakB`b%v-{VW-LHNBuT;){_^GMr?Q%AcjH9o6AWfn1;a?|0;( z1LmRjyPW%n7@p?-+cr23>CO1u|9ET}lOq=~jG^y88T#D+2$x2*Wangov)q3WImVJ; z+Nq0?mdtYh;|>$ev6<>dOkXhgq2KF`V;F#nW zJk#b41rj7KkPMo1oCj&TOdz|Lc{$T z2BEzElBijfSq9ricw96OXfnaq<4GEE1O(LQAr0Wt6NsD1B0;dG5NZlRXy3bh)@v;Qy!%Gm{{P~Kf5#90U@8EpD>X?WTswVmkrn7u z2#c&hAEAyA)0L6};`j-3F$++YM8`0`fv9jE%K8+-A}i3R5QY}X2nO*sSucgWPrfxv zA>0IgFit*13I&l+{(kZlLIZ6>rX@f##Lz%*`2eB0VK@J-#p7n1aGrahpHKeWj$%PT zcvNBZcgX&VZf))Rhuo!W1(1AGl2Amb??dlJ_TVM?fqjj{3;CayMKQld;*YcM|HFUi zLw?U|QaM1G6^7-Bf_D9V|2wqOlO8Z5EbRGbNbUomfImG(@G4RzPMhZk9*E&;*c@}B z+LLef{6LKGB_SSsI#k|Ejvlex-t}=9bY2~Y{+5T%_<@Vv{7fmvB|+C$w&RSbao+cj zRtXhU-c4l;vcCV|?T{Zhm0lJsx1)nUz@x(w69Y?Tq(oX~iF`fg9Mf{5C2%I{3(Bi# zu{?Brf3h8@e+KsUS1^92_b;&pLWbZ9nf&ymk^hpG|}C z`z$N|ptT*{l`$m^${GZ591u$Hx=%?X5ezx#v;%VLKt_k0WzwG8iD=K9yU&4BJeZzp zYQkG-;zQ0I{#`siiw5T)H>v#K0jK>@Q#eNp0NC!|j($8b2aiou2wX2ezstuE_Fd}u zK5HYW&HJn=yezze@JqB(LaF)ro5|0=?)^Xe8E;GxzFv%n@Cp_rc^Cym*jbX~@m|5A zB#-wB#wAG}?-hX9cN%ZKSFi}T-YbYj?OE*{?-g8wc8(#L|7-ZFe@{#1By1}l2^f|* zD>m}VW0cFO^8wD2`w@pRc?_E2Nf2A-1I%daRv5Kjfak8Bd-1uedvAw0p?oN89JoW( z8`m%3Y^nwhKP775eBnR3{BJ(y8ex^kr;mGFR+})5vyhTFGwOR$GW9%TftYOV9r@$w>V!Py0SeC=jTG-<tr*hBVu;zTtaFB!z|UgnX@+A5hBWic!_Wsc*ks7iGa4G98~o8g ze@=$POfy6_mTYpiq3uC^&ks$^jD{o9v2y4`6NF^aio{Ga9MK|&ca7{iRAS0ElXaK5 zhPCOWTKRRfHPNT9ynM8yfhwM#rQk}mY>?cR_p=*9bGe=7^(But!M4D0c|z5D3_Lrtd@$ERqWWnsSm{03Hvgss zx26mV#@U?jkan49-QDlpz+5?-!95X=msV9jrV> z3~2?e!od*2%Wp(5M5#dm5R+IZhQxC_$JkzVM6Ez`B49Odi=S$9=JUQjI%hS&L zNQVt61{&NS-Nsdq4P9-TVbJBW=eeR2$+vQa{jGvCZ>fSaq4_#W$Ik1{zT$n5@bi)! zz*?9_u48{b9b%WrKB3v|d`Y+Pm00=tu2KH>5c1k3-L_q#8s%@lMCWAff6Ets_wW7A z4}Rha3}r~7tgWm$Xe=ANhH5n*k`Me-aGHX1IG=X}d-m62DFcX(@Kv@JJ%MtZ?d& zqsIvOO?#nORaeNen#qFuxcugnPgT+Dy3h-5A;Aq^m}E6XsvMh#29=ZniDXD^!&w72 z5-Esgdq35-AI^$x+kZGKww(y_r-^Oiu}o3p{S|~)qUS5w&6pL>dDo9H^ZD%;AMwlY zO4U~lk}WO^A@kj#9XbAiyy&$7pbZB{f+V#%0Ca~urW!5|0F9Nnz8gS-A`*qODl?z$ zUWrPaeO>ByWtmT%j{%-i-;5=9+h;yQ7a5q=Em%;+q4UgVn5=~YBv@zpIf|2RA7mg} zH~4&327qGTDTkr6>O9T(&QY?NpxuMil_zK={;{Kvz;Z!#q;h43h)?Balx)!7IqC#! z9y*x-mRb7DbhtGr1%@kBzIQqw>FA($bIpEN64zIK3PEzMir&vcVtL`Qh=%Er;W)?x z7EaB>@PGN@VpTOc8}g{|IPBNL{sIV#zAnt5SZ;})$bUgF3wM?4ftIf36mo|AsExqj z$PM3lcDDSNNOPu$og+nt?D$fmcgN>;3UVpog_|fgt#hPErk4f-5Fy%p4qgYg@M$Km15#-Z5>ba+{sd51mr?aP9;4T>?Gn|9diy0hC!&7;Z~MXj z?_R36s+VqFNATJ2ZqEis#&tg;V)oH$J|lDl>qrA-bSanoXdhAcO@p3nU=@0a)W+N0+tqw>cq8Y0#gJOwwpSL6*Z z!7~!CBU-jc9p^pUo1N0}_+dQkIED@H8%^i=S*~I>Hk%2VC3g4P7Dk=$}R5Zl_tn%6t zKtbdrS4BY*Izu#-xXgCQ5ba@AQ6S*y5fumtflznCfcP9yxt$;s4nn42$}w2ycobz$ zURaqai!J^}jr5@bLg4(&JBsVW;h(!&MbyINeTEBiMeLT>7-^Tv$4G)G1m-{bySWM##>GdB`v9+ z0e&Pqwkq=+l|k%R6wI|HLl+p?(^$NCH^Q?v%Q#jPIrpLbfF#mSmJNb&I2)LqBHA+9 z(f!pydpeoU-CfAh94AN5E{}DBLDPGBbbo#Dp|fWU?+Ci+%$sCK_ZKJ-;h}O3#qr5I z@>eJ_wV1`Z=D7cm+}9O}0*C59GCZKSf*x4gAslEv|w* z)s>EgJZr>k*?0(7MHe#%MOU+}iMwJ6gW#?Jz~v6cNJ~u^BzHyg154;%Bmk%%j>M2l zAP9gbccqxzK?<}NJU=@D0QY1p5&&7%K|*++0ML*=CNMvH*y8>USeUQRL_)f22VNDTi$HHqbOl-SE)m_ot<4)#KY;M-ZQc-m!PF1H;edKVd1#*^08|55nIah3P?evf zPZ41L#$MW!;7~PM!AJqUPZ1!?fg#*Hbh8u8PVagZ3yB-|cc}#_Vj<&P|6dFX{7W#i z^^w98f08@iQ$rEZMUMNA&UodW;LVV8EirQd$U>Y2_O zLhTIeCb2xRFM$IEN(w8hW4~EeGV6~n>Dx7o;s-mI9er7lv*|*CW6;XU)D4}049NSi@%+}zG z;47{ukzX)GSd8STM5z6aYAW7P0zX@^K_fB`J$8en!@Z%zeZdfg@}t1)ICLl<=POER z9u3+3%M~YvtDtC@B$zipjmk{QzXC{tQ86HtEfp2JnuLS}fTc}2wpRn2JyNni`H1^X zMZjDHs3dC#id6=@V3{LE&QP5&=ulA#<}m_CGyjE&jrKZVLsO=MN6|-2p8;}xbERM#sP+N`Xn8o59i{6Z!+u^_jwLf$oi(^8x7=n|Y$KtCYwm?zI z;Fc$++E}xZFKw@4j4g;KPi>E|%Vqs`fuJWhLvU?n)fo`nUDSlLPk0x_RY^d*Sb8SX zj_hm>EPJ4Ya}BNe3!25xHMHKTXK}^pl)|iw%~$iCTQn5zU2sXPxTHIqH0%7nx4rA@ z2Y&MHZ+v%3IIG8D{A&v`Lp_pRUsS*(E`*l?$eCfk2XYV>FytaAFeXESoEbvS*@j$j zR%PBOMUabzOG7RQa%Ol~nsGspi-vprD9AbP8pw0!hxVcMh~6j3BljR|mwjaXF^emX zEk|J>5QXfg;%j&bA5YfxwLIX0$wgZe`24T~EgB@<;m3(O>HEqwAUVBP=+a;{Y`$r@}uA-EX3wz_1 z*BKWy(Z@h#&gf%nol@v^_H-b+L>G#4D$WC?e>>> z*=~POxidN%xY_ys+)n$KU$UZpNkf`qzEj@A4iitfMFUG_kjTf=gqw!)y z?9mt#t`RXy8hmY#!~sQPFNT4r4V}+V+pDPZBw}t!&`Ydgs=Bq^qT-P zVr+^`1xQ`WT((^doGjBLJK)^kWVQT=`#P=C8F-c6rxXlotCNp@i(qup%mf!ciyozU z$%V_;+{{#VDkB<2H11AVXxi@hvYnz=1m|epa&H)oz~@BolJ`l=iEK%%P1iXREQ@+2 zl5Aknv@BWywoZS(hUw40_$~jzH?IRM<_t<28)T^<-<9II3}Dg48WBP+OU!_B50NeJMEQpjd>dis!BpJ>EEPm;P@a04DEZp6- zA>j<^(6Yqs!(Erdrjm*eO^a|B4aPeSVjC!mWR|Z~fHh#9p?+0>tpNM%)6YNkEF+NT zuAY1GxvR>5e&@3wQIQXIXG4;KNn-QvS5p2ppL{d<iQIM-?ojC#hU#w59OYGtB+tQWQ~}Zv~PAA<+N`f!7kFieFVEm z`}Ps+utfXz0J}*0&NyJlBlSg~yA5TMppu=!Y*Bx*5FY|!-1wX<9_3|&+;*|$>jXW@pB!NJuD>U;fooHe1RSfciSZ6feKE^A2 zM^{3^N-W{gQiJNI!xp*l!75D%AfAaU(FDIR-{vr3}u2C z>vouGSsa>9MKnCNL5`XVWpt5H z3kV~(!b@!ZA`2{9ju?<7#PS`x#qv*5oC>py4#0Ta$^0_^nQQG)FTve@kWnJgnFL2q z9W-}ze|a$BjLr`&kD+1PS!UN~Yz}Z~XbF0Kd7l0P9q{I*j1Q^!W^(lG3hiXjDb_?u zM4Jih=&Qv80z70&<&PHG?=S1JiDe;IDqeyvg3j^eMg%ULyzDCV$-q38!m*HJc!@SW zDBKWVxYuRzqjTd_>BS-2}9?SY%f6uT+viJDJhfeR~rsSaMy&I!#z!?oOSX#$o z^dQa`D>B|R!^NSUj2O&tacC!F2Q!3n+D<>75%au?LrX@$ERW4`(CW&7LQ84MjDT4V zi^Di6Ep3($GmKLr(}#_pQ$RcuJr_}I+LW+&lIAZX>SA z&Nqv;ksdfF+Lw_gX*vCPELsk2V;GPZ+r}{9#K8Iz#%M@CKb8)+c#WlRZ&&xWOH|SO zX3+b$y{i9--}34woS;y!1rCNIFd3=pc|*zP#}%6%#R(V!lFN6k`FuOcre_(0 zOKQ=$n|Fv%T}gywj!yMyeE_bFptx{VHjlIlWZq< zM-+VAW;Wa9&2MVOb+<|kw(x02@2A*=Uxu&I`OZ+d;j1%rd!3mS{| zE;$tH-I+rXd_6?t2SlU{;L$ly2C?!BWKsDD0eH;`9KeyxV{M;ZIU@Iuk_X~31m&d8 z`80#it_(}L10THiOQ{1NyJOnwUfM)P=a^I-_dF=f`PgHm*D>NR2z-`=MoPR>w6_#d7?}`$dkrU zlnu*1NK(Si!vCe!{W0(qbJoo0G`yW0gu`!eyWBCH3>m5M|K;#VO zW3vyc0O2J-DI-!dJd8U^HqfwxBm?8jWXLGP3^7_(yDR%69u@&$HqWM()DNXVvvuHx2YzQ8QU0rCz)bj45} zQLk?nM=`WAtd>%ti#jWYD&w!yq_}KAj?l51Wd`JEQ4ahu5u4LGu zpjBFCR|sPYah_NcIg|2(7s{f&cQ8}+9SwVEfRt?d& zcf_QLzdttRN+cuE7;i(iTHWfj@NvH@SQJi&So-gyf+9{3WwH!QRZmwb`!bo?m;7H6B1CoRAE zk+O;{l#gQaq}aqq%ipB4=Msf4!b$?aDpG7u;9t8U#kNaSYb@I@(K+3qU-+i0ullOg ze*(t@Uv^WZ&@Gf!z_F0B1V#hwR3ad}6nv&=2>oHf?*kMKh<2D!FDuduBPg3;D0HxX zf#OJENK7_Eut+J?3`4j=<%)#lXm|vDmWCK|EJRL!Xd<#19=km%CipcOd+1^mhvpAz zGHj?wA%;SF^eYmN%@EoV7{WwVhFv3moi`MpDO&E^BR)u4?k69l_)KPrUs+Oo@xC#x zp?u#$+K86Tz|oDcgg>jA5aFde4}kH$9$}A(yZ0Ti+w&>GAjllST(&yJ`vo{pyQfFC z^xWTMwfu^+h05_p(rV{^DmD>mO=LgltgRI&MHu=(fyiy!~g z?@QRMvKfZWK}5r0^O{-|hyaLL*VUrN2JIDUXdoUA1`rqiLr^#h8bj=e=w;-A*FqvT z$8%(tsX!D`1q`gcp_EijGYP0DeFjIgLollMh7#-q!{LBvSzeC9}#-(%xfhw&;qNw=?v})8uEFsYRisgwa2>m7v^|S*~KUpst3? z_sbBxgvV0|El8Y;K+01K;tulqqYAPu*tWl5C{%2|er(pLTBZw1zH5)#Aw=RXb#QTv zx%15pa{w4O+n2n;`0a)9@H@P6i7JfW42=IDf9L1F`fq=5Y!cOc$D}L)m_igcFUa5% z-v{U@A~2jc%<|q4c92fZVb~*-^toS!@t7n=GbIkql>fdjQ|Wou8+<=8+&AbJ%~#Bl z-iMZH0H&QsOnCB!tFT9C6F7^QSAt!%D0Bpuqr$mY73+L|pFftI1%(0qlf^Q+!nU%z zft>xlb9ub*J#~oxn~Ynh?n&a-QSt!JR?NqOyq6K~wOuu{_gpx1p5}x0FbFB*!f9)& z+n->O(zz2oWSO=S*Fc(OAE(HZ*k%FcfcAc=szNNdh^z7t6##^~IFqB} zFwrUYA?k2A>f8me%0p&09omPefN7}tGAn!pV?GP%0u?X3nE^F^@YIoz?vau%46_gw zb!Xr&g2X)pfD6McM1{gqizQ(xeJMWh+>EPF-A6QO5Q+`0=i))A=<-pj>3Zl(JB23v zr|v_qmk5XlB_AG7h14$}d5A3#R5r`Q`0Y9Sx#zf#OZyti+F#@@w2474&jorMFjSG( z5jSOdd3+Fm0UZTxVu13(^!m8__X0C4`f#@$!1VCf2S;}|@CB(Na&v(3(Uchx#bGuz3N(2D2=@Gn?Nmd;x3e{Eru1M$YIY zWtrZvY^VK&eUUc7)#yw!qrY?6wig|KNaXi|d81AuOUoN!D;mSQ=B62QGZW{y?Bs(t zM?K3bJ#+6J?~r-ak$al-x#?8LuSYa|N0{5WW)~Nnx>fjCeQT?3z%88|Wr&! zR~>ow^n2gqMxH(W-Zb*-&b#kj`Pc4U`PaCgy`@~3TXu^tWqa{NPY+q!Y1b}?e#=`D z%easmcj{-hZN4hZ5pB6D^LC3@g}cb8a{XYn`*_<`;jUqx7_SL8z=6OA>Oc9H+*NtQ z6Hh$x=1=(-{8M?#n!UGL_Tclwe(5PFSfTAqH zAeEA}T&g3R*?VA{^pc41r9WN)tm4EbBtq6F7y6VIPQQNvtVNoLsUM#uW7;Z{s#3Sr zo&QW?$(@eCsKM7IJ}mfY2^d9~YA|3_FV50V*{|v;oU0X-Fo`?=eLjthb!5f!PhY)o z??u;F>!4E~B5Pp32Y2MgGY4Sm(>UxjSzh=uNI)|kA|9thgHm^xS z5Ssn43W~`bIc2G}S)2ok5`fF=o5k6)UjQqv&Emu`EGBT;yM2d73m`S}|8WupQPAED zW?N~$2BtR&#lRc#_sd`gQ!&=TCz-L2_?*fWCt)< z6cr@86X7Mxp+w7WzlSB~W=;<-Ih1I*YnkJs+q`n^zdzybpP%MaDX2LAjz)XV}xQ>RjYkFip zz}(+twftz^C#XUY*C+(RQKcBA<-7uZm(%DB_i7!cX>l`ub7BbXAZICRyJcQM__;|Z zpJA=#Cc_reRw>SRcMy+hR16*&5EI-NsyrY}ve;8X5@*q49u8D2rvn?!FZAUyL5 zP*i~XAYK8Wyg*yrIuVyqSyzE3h+@g$OYdk%2x+)n^(Iwhs`MLVd!~&-oPwOBIfw40 z?;zVZP1Fq81jNA7#)BI||JLc;Z(;jqRxnuU=A5!&klwev0tR@LE(5qRmPok7i+&Rs z4|b!U-EpxEub4u89C)Rf_~;f$E;!zAD|n@;__(hmgX6x7iQwu|xPpOv$_rWgm%B^x zAAR7XKH&qY<3hhL3yFp`m!f5#J`xSP5pn@JxH8>th{^PUB=BJv23UocLdS&}9?|eG zJqmpX7%o<1TGb50d@tZScm~SAOe^|CLpU^oXiTZjgJ`9**oqh-4pjn4T{Jr-f2I|^ zOR?-Ic$eacz7b8E^dR)$-7F*;8qV!P8v2NK$kLMaMmx>%fC(mQ$-y4Qnt7sOkM|)d z2}olWpJkS47)J~{QA>`&@YnId5)BN`#T%H(GR%ej!9$Qg6NM*v*0ouR?;uYuR3G9Q zgsB()sLA=zRcxw7dOkhkeoLgAsyIm^N5-;6mqk|Bmu**X;Mdq~^2-|Cgpg>c$p73` zox=%F9PwODCOiwxsps!qz4LRQe!Ca$qImfotwdOrV#bOo7Z%!I_^q$~kTD_ue4%|@ zYN7On_QL=)4HfxGXQVH*BXLa@qEzG|B9)i!vqF1+z`#l|gxo-3m=)S1k#(jsQhrR7 z1PCtePFI}kjP!+eP>et)1oF={MiTi0hu|(s5f~#S^i)-l_B>xHF+|Hj6ro6DJs_*# z-}Wk^M(9d3RuBaZzzA9Qm&^AFAfsYIda4A{1a-xu{D4bUfnvvEGa#r1E9GAV+ETAi zL@zDR`+B(Cd9I#a=Jw&WXMw7+Mzb9<$a%;DJQS#M&1j^*v3_El2Y}2buH--KKmz7HD6hNe08A&PUL2>z>GM9amTiNv4gP~%MNq-WU4%?SGXGah zW^&a6UJ@2+=Lfj;vZY-lSDlj|mgjFpt-Au~Z2-`4=T-o{y*dHgE>Q*0?LN*qA?V%j z{hxmOkH0qdQffx?A(GEM!D&nKdE@-VAf!w3+FJ6tCpK+JJ_8n&6$WkGGE41QZb+X~ z3Lm-i$3=QSAd@W)pTDQSeUf93Fy(!sXRA4 zP|U`IG=rJ#o=R=wBW0-JQ3!+iXd2oQ+0oT$%c9Y)h-rgCT*Rnv*eN&!d^F#9=e>~f zadDWFo7`_HD~)DM=2k+@0BxJdnegsHBSlcHOr;G8mZPOo4mtUX9v!_dXX)xHXz*mo zhijsHbW+=J_x8mRG)M6w;pjqlE5Emet8Pa>B4MMJ4No|?l%U!ydz_zsv6?36Q>XKk za5-9PBPzetP!=iIbd?orS>+E3V)@dNzI$9#kB;^`D36pi7#Y}PmS{5x1XA!~Cr9_! zsNXjSX)o;|J)+v&?j5<(*G0eIv+ueGEfl2F@;v=D8t{Q4Uqu3G`FU>pYF*h^i+!td z(_i_oR?)W_4D4HRb@Ho~^{^HT-q%5PsV9NL!T7W`NSp>hB5Xo1a+-5hm53Eq9D zPEb0wHhkK_cO6MMbX1{;QoL`~K{3m5chsXp2t^%0x29lpu!R4|%P7t-9{3M^lVcU! zsvrj9qX4=(R^F4xaC-df$y?&9DLX08%TuQdeDd<_kXAWT2!!M@Vi!AVI%0aHD@}Pb zYjFvswDZyzc%K;*PfB-ac17qIeIFJFGwfVxcGZSew?CMr=hWI%rX=l;DCE2$@S-8- z_Qw>E%y(It?<#Rz!hZdDQms!0uslGmPw(S|4;lN^W60wuBxRr1ewoJ1lWS|p;M|7oWSj_jZ&rq>v%Hm9O+R)NlBozu-?C z685VjR)*KZlIWBVuLlS-YndAY(Pin}hu2WTCb*DgLvMj;+u#GjoABZFVleE(>&0L= z3$K@yqLkuB< zP}xo?;R%GlEV@y)#b(SDKaSX}W~ia+x$P3w@cCxQw14#1zxU_A?saQq+7?rm8TB0@ zte{O43Gh6GLZ5(~vMprV9r``ha8b!&!01RRrXp|;9gykJ9zw(HZ4&ebnd;y{IfKx4 z&kz=dEwXb3dS?)-&8dWWvTAdf_Yexs9ShjhhCqB=7)tmYP8rF7hM_x`+{?6Tf0Bhb zl#F;mP=n9BlO21nm|dYMye>lpLUF$sQntP#A!r z%|dQX@8Y1zRwt46JAClvd-oS;!~`06P56{{rF*q0BnQXVn6$jbV4S=3}M&Z?4Y+w>y>a8CWPILTsw3qFblf@JovK0laXtWNI>Dn#MffqAQ6>+ z(Xt}Y*_s$%Z{*r`@^b`=XL?7FgvD=$5O%d< z=6^hd9BFHU3(u*){6QiIC;vQ!R%(_#^kP7j780=hy!ti4NOebtK#pqI?(uE`5E$N475|$j3`xUmPG>UNI$?&S~yZ z`1&r8fMZde0)|9!bJ=2LvfMBgVA+^1IBNY^SgR zv{8&>ar=Yhnh|4G#;bs}%Peht!(jD-h!OOiJ zaBg!_E=avu*t9~*1@#pqTz9~cL|J!0<;JG-m+f@^%A|GsA3@B|_AfG@ftO2J>~06@ zt&ot@{@~vB_|-|7Qy64#Shmyg3yw2xuO5@wA}P4P==-<{QZRqxqFyhyqA^*(*fe8q z%AFcHM!kISwpmHRP6l51M5eux7c}d58%cL2hO&K3Q$CPVh+m%LZT`xC_vLT+2Px61 zq%KQz28tI;a(nn3;QB(3Uc->YJC$F7Ksy~8mILXWbez~n_aGU{w>B8!cM`#w7&bv2 zFB!`B*;a%AKAZ#6jL}V0tjOOCJ4ZMq^h{VkY!G4OhoCsS?WSY0@jsmD>+fze<|{*lwib3 zXVp9xDZyYf@%xS0tRkLv$pWbf_XFF(ABLuHB@Dj|<@Lhv*Gkd3rekj=9s9(4e(CEf zVK|QZap{jr7*?RXaWw#=0U=7}kH4n{Re_-Mx_-n1OtH2k<71m_B@Dk(dvYxKYTvYc z^qg_JE5zOm#QxWRuQJ&58WcaL=4s{Y)4+8S!rHgK;_D@ffO#A5tx&KHH~C5gR5?T2f1aoh&&v`DcN{^6I2CFa;UiP4_f|DVGAcE3R7P770I%QfD{In~T?_3@(yjl@>Oj%vHC<`Oh!L;;LhlC(QR>st1cq)UIp%L^AkeQEVU~r)x z?J#wmuPx_et=pd8L3SZN|@pDqN&5f(4t&}hoMDa%U}rYBucFUT$TJ$ z$LG5{K>al|o-FIY4lQM&NC2B@W#O-rK_!}3b!G_$txu8OE>8mOqw2N0XUUDLQR=dtlQ_yPjZ^4L#AFJN0B43Hvj$t*{keMF}?F z%=lD!TcG6==_%MZug12wx1}`vJgr=!>Qvl}Q_=sQU-lK>@0eD?gtY9ijpYixCS)-& zsmlN}ykzo=vKtgq`+>a~Fzog+HPjml)5;9@z(O_bAd8f*NT(tmTGPl_vFU>bcRI8% zt-^{2X1Lq2 z3kZqno{mj^Xz7QE?`F4GI{%3Dutw@>NpUL75?cD`JmT8{`@B532AARJA^L}9OtjmY z*8=Mnb1THILb@RAT6nK8>`S|L6#J`3n@c<=(AHI^Dd>RXhTk)Z=Q8>h{Rc-P7Qe}{ zrh!xlk6pOlKH_H6ht-R~`Fbg9>P2L%X=x>KZ&mrGuk$>8sUQITS3kF&1wrnRafL=tF#DECqIbH-S2;Pf=(}JHCfBy0f zcV5hl+u=?}S}4s6LDqv``_w=Nrv&^_$Z8;KGB%m)-nP3#h06=;?)Gw* zGKPa~-D(n*qrFX)#N?;M@loIW>#zHX4b$aiX#Bbyc8KdGmo5c*Pg}DABSRIByqkJ2-pEax3~;q&@dn@Gq!Y z-VfMEy995Iqz@Jt?gE03P7u>-3I3Ga6ox2i2)*yd-1bOX2 z{8VyxB6NV7w zEDZ^uW;pH;I?9R=j?s)oT9E*1hS0}rg|0V18MA!X;_b_a#U0pZCg<3Ov_V=?0MwQ| zHZ7)Sh-DWvx1A-WR?HG_g6spl9dIeigG=GSEcq_rIp8zj9fE3&Taz)FS;7aQ_8vZT z7;56cS^CR^#<^aO-a~A2Vwqi^5v~AT0=N!nSIDop1o?J!+!9O&&=Q5H(lWb3AS&ty zziUG0gFDac67BebbIqm=s)x=pyGCGM;2qI&Ke?C^>c;cL{7(JkA^xh_qO_*yIGSZo zzKgDjx0Jo3bKD_ud-f(^BU?e$lViBdQ8MR<+w%Cjsv=zMD$6eDDS#!JA3Hpu2X`A$ z6fj8w>Y8WqEM4P0>kFXW#O?^q9Qa6G@`Wx^FH-|ZEu57akP?DBAW{Qa_lE@cJ{Q7U zE9C-ombu$sMt{2#7HLVhzihkxB^8qPhh`ZLc_ay#u`W?JOqNvR1D4aD!d z1fG}JigXR6CW=iA*5sF@r@$3RBX_nkG{SeHI$F1vX}RqZRY&V)9IX%gcYowB{7mX1 z)Qhp;XrYrlLzE}q>Y@Aq+8<(e0sWA#50@7nnMZOoI3|%_Ge-*|dO$t#$okSZ@Gw5KEIQB-Lfz$x8HyiTxH#e&K(aeK^n>H5VVC_C*qUpd zpUb76d`^Mw6mwCJ;ee9|FV@-ujh0rJp)Rs5F#!b}L9`({pwL250tya(_Kk)}`e%cp zw6v4idtiYB*Lb;FgyAcLr+KF&V44so&z#c1B8B$^ze`{?FCf8eA3_`APvdsjib4>B!ATvx0MThMzsjtJOE#NWxN zi<4ai4Fq1*aM~;&#l^sxKOjc{WWtJ3&m|q2zhHJ~r1v5I4rDqUY*J)0B-WW>tco9@ zrAi3%=SZwG!{LBzfgBnUKJnNaGO92`#OTVi8;3E>q)3NW=77DMrqyu*<8ddF`hH0T zgkagXXs?pDgJem0mRVN;Iuz+P;J3fS9EE!oNUTg-#F6atAu7Eogwm)~p^4@Wkzq?clLh zX@kxe5SE=g;G}@higg0R39Ar6a29mJAUnQnyZviZ0e)iLpu+2|+_EMibzWRGd3fBN z2(IU~!R2gpUl9q9cdE#*r?T?X@AVk*^n2fvs?ym@k7d?$_{BT%#;w(}hO9RevOe=S z{@#E3g9*m9P-D%3Rueuz*c>TsVyQ};r<$THOJK*5+Yfg4}dKDOtGv%jEj*Od21~*Y`rwjmT08$RKCuFWc$( z(p%{^#obOJxwIObACiaLcm*hGoqsz})H?r(n`!E9(fGa*5eAv zzy0I>(Xaiplzvvn%COvGP6T^el}B8RkUI}fh20whNff22O~)TTAZlSK-+zlukH{-C z2MidTG|~HzJgNs_#PlRC_8~ci=LFt~y{@ndcwEedxONBI zUtnELKA(fs;@vrI_YY{CJytj#=J6)V<=t~r|;EG@<5$#;)>m%AIWE zvDpkErl-6ovf-6!oD7N0W_TP1QoMQS4wQ6qvyvgxg=PqjC&rq~yPj&s3pSf&Xr#sm zIU^b`*ld>IpNC24yQWcgRyw#~bF|#GAfUt7!07hCS$CGqADAUBqxR3C&Mebm{2P+r zU$evv7b*pE%~G-V!SJ4VDbVlo(z5*^p}L@cnVFU+7XtQp27Rh`n+K3!URSYp?(}?m zR3N{_>Gl`#ddc3BinB`kY;Fi%R#z&ezSU5mFgJ-giUHJ6mp((T!5>`6Z-w+x~< zO6Vy!P9pg^E#{J|cgQd4q=fv!GCv>r!>zmmD>W+L9`ap#ZhG4#s!{p&OLPwMzy6)C z{pv4C$bTX?jV82EXI>MbMOjz^*;NtRj%uukc!9%Oa1Gg+fc#+#E!jN@R>&lesL^H# z;wRtgbJL+u4u(v37luAJ9rEWm0G&W$zjQ1}r$hVPG`ik6^bFnJsIo87#n6WiAjLVZ zi_ng+m3ISQLR-?)u`{|DD*Eh{V+Oq~C}UV8K` z-!p+W2vNAAsT3@wVTYa*vbEHT-40-LXKhO*KFhYlr?Qj`ygw&Ft&%V9_~(|AalS~z z#5WB0;VcH35D?tkk-|}eTX6pr=_L!ox6V34r!%GKQFxx2%8~`)r-!0x zg51uLJ=21Q2$)0#-^Z*z2**TI$f!ujIt}ZyARKjPo+LsX)EuE8;7dU{Ek~4uw#=+#4>aUXq+~ zZ$}s$315W>R>RqsVIn*Hh^)9d6+>?ZLtp%x|KaO@I#I1wJAKzARd#G@+90W-nxyNJ zD*Ybu98m|TI}y0xFJNe%Lo3pbO04r5B*aiN#5$eir$Ow7;Aq}E%y2duHhU_N;Ls2e z&DBCy0Z&kEmjp3m>DHjl{)*u#8Ld-dm~Xi+bQMT_>Ef80}a z`-D^lT~afI00q*pgd6a$R8%{>6dHug5Sh?HaS2@D97VKb8x6IKl}NX&X#piCu#*Mp znlNuO9oi$E6Wf}~U1dlIzgq}ebCPL{7VR97(IWepkT@fD#rj&bbb1<+xb@;{lDLhQ z4T`$D=71(*kH@PpOK1`VP4Z8MZt`>p4)3FQDcdtZE0`H3eHOqcFU`i6^2$vEt_77f zd3%Yzof}WyR>*xB2AAPSX2mP2kb5(b`wO4by zP+~LG7MBIDF=_*-Cx(lH*F(#;S?P6cWFClrGZ}+9XjY=gwL{Z#@osVkGo=4fb?)(z zVj4+lNhJk)<41f%T1{>!vYCHESx;^c;nC3NTXyfB1LFeId4@Pc^pm1k0?8U?U+I*L zs}l@ov0R}%MaQC?(=9tQNvx}E?Nc%=w*;~Mtv$JKsXe*7JNZ=bY11ad_E)^VM)*yt zSnlfKk4`4*W3T?5pL$&yu%%gh3!km*=ort?BNn)@8lmcIm?1Z~C9?{e+pAVQ+!efSzVymUaC*N8yo*ViHEX!gd zM)8qnjOQ@kgO(zg3)Kv_rZ0sfAXnBEWHvBqGyg1e#xpz!DGK*8Y13P-qCOGye%av^ zf%oOYcwRvM%6Ps;#xsUmX+b;`;IRdMnl&X~f{g~1?ek;&@C{i3otjqP9zb4uT7BCk zsxkidOLR_J{onebzx&^gDXp$fnrU^!FcKjtqTMY+tqwsYtLuU^WmqGm)on!(O%(PH z?XvC``axKdMxebq)M{&t-*pt^Way6Z9D=y%9O)O>Il|9~L#-ys0Qv>d5V~aA_q;aL zss~v+MA~@?=*kQ^~U%!t03iu(fkZ33y#)Lr2j9 zzE%p>ZJ=Op@jrjV`&SWEyK0do-%WGcK1&XzDfYF( za80>#L_nyRyn>*h5KD|Vr!z^P+h8onMY9EGD&N7#+6<3Smz0?Gfbde&1mPv1yhqRh z`i=^Wh?mQhwnxyU*Eu6`nPtf_VS*k8{eczb$}nK_gr(1tqp&|p3HyNbfBAm$EIA4Y z$Wl-dggRaiir+-Xq*ENnVrWh#G5> z{2k9d|Ll97eZKf4yw->P#IAT-70Pc0%75{ze&5G_eoB(}GRDl3)*k*FfxZ*C*yuwR(*M#zD z2(Fs?C6JC*{g7lRP;QpJ4OBdY=3xJBv^m&5paEk!c$VgHWR@~IAXo;v9())i{k4qX zj_$9{h_M{-O}OSDBGlQM{_-4+6maNu)JJCNuTPI$zBng`zQIU~{Q9Ukv%MqqmCKdH zd16YZw_HuU$H-d)`s7HM<6wWyfrvYVF^+{_;9H%=Q7Acc^5irnAWt7s^dApnMRz&= z7}~XT<%P<-E|*p0xgx0R=1_#cxZeCHZCXXwly*V6uBB4on$>E2&r+Kc^2)fUo$83( zO-^-$+o`WfTY=O5XRC&Y=Cs=%jZs;fm}J3yo0Vq8sRGoYFKsVvU2cD5RgXh^{G{)&vgU=w0OQ{B*L0C*R z_zc2gVj#;PsH7;O{q@-w;-H+!3s4!^@*J4?VG}Lz#sJb8caOl3EcZv`SgKO!+e7zS zKyE|o@-I>XRVlP8h1QfnO$j`US!4A5IMxE;q5V?axh1nKE`ROszwz0W-B(BH!BYY% zDT;7=e>|4Kv5z^&J-|o8?V*u5ls@M4A!kUjGsysb%Pf!#dSMnBw?TW<+ycL%PYHy) zIg0%=0lZHM3~h^f9CS2xN^SQm`jo(Opf56y78WlC`ZCnO-j4R=%?0}B1kl7O|6f8@ z#J~PIdn^HJsS+knhDnj~xD1|Cuw6XjvU<1>A=`26uP&Db#KjV6ll!Y?ZJ(Y6IzH5T3;Xw_IaVP>WwK5au#kiFe_`#qog3Ge&b zgtyuNXn1Qq$HxXTnW|H=myuAuJDEvdGepJ~nRg_4G4g5BYt3)88OF@*aM%T&dUy%x zZQ^Y*Y@v!Rf+nprr};xO7B)lF$T4Y}hsL=oFsBuXw`S%*!Yb%CMGPZeZ7n?svNx_@txbJw9CmOnjo<>Hvgc%ovmAq+ViBh;t-XNHy3`5C4j65iT>h;w z@mrWp&s5eRs-0)Exm|#=+Z4w*Ve|t|&j@$xiJw&~*H(;vj2OM`64iY5_Dgh5zWP`H z@^}33uOy6C0>5E&p!1{as?{{~+^h?Jjm9aW?%^wyzl$TtP5ha87_*85~Iy8g1s0Et!k}ZpYGG86nJS_%_{Wa=m3diRjt;2t9 zD7(gnGHDqb9w0;yH*JOg+e>)gc8Mzd-wgc!m9O};U;K=uISQp}{O-q=G1+QJK~Z8^ z8l9|$^b-g$VT)*?7>ubC|37>00(9G!m4#i2n4%j>V}+DRl!NlVyEdWv1)_ovp2f;-HRNnYn_>=Ez8KySN_UbP` z)9YS9ArPwM`zXIE$rj(rN&UDGJvrn)uGZ(+#O!vhV?PbM-gBSCxu2**&@B#786sB- z@RU`AR*GIGpBZPR#~Bt=X55LM;iyWb$5mQdq~%$XJ8Q6X5OdG1&#|)x+Z{B?c=QZ7 zXG|;QC7feX$0OPfuHj!t>uxxLw_7aD*GCBNAR-#FxImkh z3@INP^}$wD2Laa-rs`04q2Xbe1L!9&op6l9v87j){OeX~e)$=Fuyr$;axUhNbfNkO%cJbNLEbFEXJE;FX>FP`JBbLfew|S?qdp7$6*a5m~sg zC51uxmP~a^lBvRTyn*@c1@m>cXan=>0rOw~13&pcer5u5wb@HAv8y@G+B-g^JA(j6ufmFs5 zImsvCOdzs!zKXPLxxt*|t-Q!>C@&Ho(hWv$FO06cMH`G>4~)L)nXmefe=vDlHS%pR z8sgw+Jb2{WpN*Rq2vObI4o`E9n+T(}^lalM!l(((Hf|z}M!`pD+(hgWjEcFj9?k6g z*(f#zZ#RKoh~bJ^h)huWZk=~ie6=o*RV{tz-7LJZaA7`HXKdMi7~a?{Z*{5tFpf9N z+l4ba^Ic0~t9K?--%9>lDphHu7suSWZ+S+tgh8?kNyTV&jIaYL)--Q#VQ-_;Fdj~5 zlgQIPtndFD9_J0&ZZEQ}yG0waT@SMTvHnxO{Xbc%whFurdr9;vC6We|u-w1;B z*e#rSdk-}`4MOlRF8m-NrQmXH8nk?(@dcME&^7mZdT(zGVWQ2%ytfzRS@rfJbEfnk zdv9;2*{tyPwq5A|8=M)i`@FZeLqkWYIDv{F=!KCVqrT?C*`P*cgL)S%c5n>EtJ9R3 zw-;40wI22WP%-h?ysIsARj?0W_crUCzAVmn~JCcgJ{ZKmXr6hGYn5R)vEELn6 z@-_SW6I|jaDtKf!s1Dsadfd{{>fRq84eoITkF{oWP(Pw3a+=2^k`ukHQiM7l;UtI3d){T zmr+sHIY>9A^LqT-vXM^cyRXk|q`&z4p1=RW(R?2AMshw+FAKvu#mtvzXDl-I3#jN+ZnMZL7dAOH0#MiA!5c4lvTBWtC4a7BeN} z4C53~HcO5XNnpj&;+%+6A_gx7KHKgPiiW1O#W`V;v)R&F9y02HF}{yW$qcG;bt1pb z2|}H6)tegOky4V#Z;}HVeMoIJ!oA)!d^GSvjY_K`5ibqM?)o8={oV z?oezoeyF5Qxxet@0Jr08_dPSF*IJ@+>ri=Likh%I64nWjgoJyM%L=F{V{o zkLVULEF+__Ya~-dLWZ}9hSH^?e3W{S{Bf=ZiwLaOgk(X8^I+tArZ?$i*4jiyosE)4 z78Ie@+>NmYGqOHFA(L_`3uU@q420=g!ZM

    R-i&cQ;5$vF;+3ULrmsE0oU zP6v0u5Xc-XGESOHh2sU+G!pXR(ZHM2?=KpDI$`XPIcTWXr|C2`JSsvM;-|hvX|^Wd z79oA<<%^eh_n&{|-iuWAFnI0diwEyStud)Hb{}=e?uf|6ixV=^t@VO3OEv1KfKf0M zF_RtRulxxp$nwG8@+JS#`;#K1TB#{QW@T?tnk`Bbo&^#Uy^Cs#(p-oVF>=o&T|vHy zB5^&r1ok>Aa|*U7&9he;rMU}zd8?5A;I#)&pc1lqW2i+vxbP0M$Jf35-~PonzxdrL z4x?tSDIsR`UIPhIv)PutG5tVy0Ig_*XiF>F8~Y)|0l|qnbD6C_$G`O6m|6a%xAf6M z0$~mD#*p7Z<1bt}%O$W2iNbB5+EB7bu6-#Af+Hy1?iU=|cDNFv+?)GGeipeum7i;1UBx*yS_Gcpdek!0%%C_~6`eLZNsayorrq z!9vb^!O~!o{NQc!vVTg+QV>>0vgzT30tv#|^q_rYJ^>kLMtny4Ab@7H)P(|YaGHQd zn%1XNrOwuuxLxuoU0*2 z|L6a5Yj`3|ekw79bL%9sH9WcROTHOym!AT!F%FQhSN>kFGPu)D<>Y>xq~^bIA6I!N znA#qj0aaj(ME5tqWucsnRGD!*AcG_G*Gt6bbjky;6j<)|m)2n(n+t!vM0*k#mH`St z|9P#_aJ(6z61u`U*eYZv0r!C1UQqpWf1JpxKsEHsi$}n6y4ke4|S*P zyU=8FK-``gSOiG%CkH{W^zc`NwtKt_v?*5-6w<#5?hh;%OMA##t|vj^{0Bh<>S?@F zutSA8y6}=9u{n%VYQlgjIOGXaRXp?d`Cr#~mn8Kl8GqTVFHJj7l9dtfrNj;GS_c1>q&C0OVyJG|M z9oML-_9IDue?p!KB- zEyyb=WI>!mY7jc4QxfFonv?{gJe+U9hhNgBB-~)4S{CseRT4rlI}_Vhc{b4MqX73|2_zAu?S-a2+K`r{8XeP6skezxyR=8r#A z==`nz_)vey{M@0okNM7_L@>3L{`eX-^YZJHu_N2n{`hp5XoEhQGxNuzS*xf=Og70` zoQr@?z!Qv)XfC61p}r_M!TC#YDmd+n^rcNFzsWwKHlHlsxb%jHEZZK}wpQf}b7iRy z1*A{d{hLpI^T{uf$mnkn=9tpRgijW=!UYl;_Z3Q;?n`1@LPexFycaVY!|hflJZwJs zn+&o4_K*H=|K!sN(zWQ5h1f$0sHe{;*Vn5#kDBhGEoqno<~$+YW}IykL%Y%hXWPUO z(xYI-`VTNwr|SCA?l-|6UCqU!Zs4TQkaXA-eDYE7&}-QSRN)IL6iJYfZi1*Xk9awk z-diGp2`)mVU{h)P${!P25^N$`1*EjUodm6u8w43MYP-#q)(G%u8;ZGfh71pr1ov^q z?gaS^+BvRW@`y``B5&-9S0e>)N8xR}`mz*%^$}+~WYONzx<0oEQ5h{4y z94G6P9{DT;0P_^vXKEtQL!b5+RnHCO@+4zd5(>0zQFG@l&%l4rokLM0_2yeqqx-MC z_m$mz?`gt{J=h6PGAyz%DMjD)>Z?iWF;TC%?uR^Th8Ik__>;G2GlZ|l5dQIZeAp*F zmrzq3N2Rws)MkaZygmv$%sXVgKNfj&G=w1tCwJ-F_TF-ojVJF)T-IVS`x(7A791)x zRiR*qjJ3=g8`^`LBU;NRVd)76ulJTC=?`7nV2q+)ix`f6Y40ru6Anp#Sh{OEmQFkA zEk`aL`kvAYjjH-1-?9WL!$CIa=YlEeujXsbPq~P%5!0N(cRK&f0M9-J>0-QDM&1sU zKa~0R2?~pEHtE!7A$oGiediPHxB9l{xxyuR)S;{VFcZ2;c_N3d;v#`N3N`wX%;&bQ zuMoJonQwm*{^n-BLE87f^gBQDM}95EqSZlQHmP|>8?=ED&};@KeCOU#@5t;|*S_77 z@W;vRp7wpSN%-@r_0)ds(U?!I&*K1l)=@l>Fz<=^^QrY&Bw#MJUWn9ooR5-PPu1h0 zcC3@HM|HTLR&7LT!p$x^%$X{V>|+6Q8JzSdP+`%fuQaiK>{cj4;)>1Q$}ZdrqsoCG zp=WM|>#iIKVqI1$5i$IXgfF%K9MMn4NmF5BzIQ9265&~i`6VYmb1S^%8A-#B#gUoC zk1C!5iztp<4B=(ivhbhG1i0|7+WZWT6Y=;G;J)M!{f(EtddbhwR~1QGu;GvNBY5+; zVCA8}kNO$vqZF|7HoW&UKw|>ej5F5ti1FtA41Lq~u*|1D7oL^Z?~kmYL(j!wL}T-Q zhGuBgmySX@p)YK(mx6abjF6urIcU?K3vtMrivtbJg~K-GkjPkhu{Ryq_~;CnU&xG= z*HrZocyY)9EG6Yl8de{g4h`{m;;6`@U1{xn7JV0jn~xA15M1ZC=HqQJ)KHT+i<~KjZ=BF?Qfvcml)yN_3 z;!RII_0-?~{#X9Dw|~S_Pa*1-%;ZP#Q(QG24)tmefogWdm)u3-&EU3W#eXu*fVrih zw}NAjfR?_1Vn%NSqsbn8`ZTQ3E1>kw5S`5iPJ}eD21jM^7zHp%z~>BcF5Lj^F-x2y zI@_G{9u4nBnlCHp*^2XIyv$G0v7#O?(!LH|bHjl_*O8=TJI?k{vkfpMTPPm+ofI;( zNum#;(e@5#{7^_{w+MA1b@jz!fyV>lS6uyY9NkPwAG6g1Q3MYX+A)^J2E^brNvK8P zj1D-Z>^RrzMbWizn|vRl9o3FMk*P~EFutKENu(vp7iv_ZMahUVg-#{I5dSek?ttOO$Wj@^5#ih*GInkd94{h+kxl}) z2<#De!d2n-k#X8zR4X@>%VY5$xIy?5NNx}Wd=S};ZjgM4EC&8aww6&B_k@jL%tRVl zQYUXCDQ?9Gg^(fqojb2we9=4J9cwkd@&Lo<-}&xTYDoC4RJ0y``lr3&A1616V#uWx zt>G|}px}`_@G{t5ah#x#0r?Z9Xw9oSUeTJbi|iGxS;atFMqQy|ASfGC52BhdlSpW8 z41OjM_JDl8&qR*mq$Xf179f8P_y-3HF0--yMoh`A5KyV@aGcOyn4@keF3SY|5&??f zj}a;xMDS;spmsz1C$Z{Zco%I!j~fYk{NHc=-j^OMq5T;>7{sk2z72F3%nf3X_8oj6 z@aa>qk$M2WP@YHo*^UX`tpbKOvmrR+xMPBMtIWC~yv;t#fymq{v)u)}TV=KrK$d0r zD0GDg!b-z5^oxH6i86jKG8_aFLlk2QEl=|=6-+OjA#LjlHlqb&T2sGsT&$7Qf#q`l z6Np^&Usi;6)~S|TNapyc6ae^~nK>@r9%l}hsql}QtnjF%{cybA9EW@8tnxvk3pzv= z{5C{pWC)yx&BESF^O?^3m~u{-Nbw|>`~TEm{mtEXCCt@YOqS4KMe2sG(O$x6mn(kY z!Rpt+UoCzzF+|v6`N%v4G7{hj2IhJ}{-WcIXu2nv{oT+3Dsw?%t_k9kL<5A;K@iX| zdf0@eiMb|-e$Ytj6Tv;w{PG!zxhBZQ(k3YVcl%r(Il9hSvS zj&efcr1vul(ZD3pwHY}SOr}7vpge8C+$d?ilqMxOUcyX-r)vwO=t_Z8BZm4UY31J1HBP&5hbqT=oS0fvyUFDn2sH-Imx4$QGon_px zCrNN0m$+zFwSA&W&z91E8&dkiQ@voEZOMu2GvBsSekUHL*JtXcb>24NrN!mTTOSQ!Xcoa zW+ax|SwlNuNgbRuI07YA(vt>JUcXY(AWZji#+)>`r=CG9pDNHtZ@DdwUJ^uooDS#~ zWgS6DLyMA7G;o+e=;na@1W!aY-6{vPq7G-&+c`4F9*}|(d7(sHm;**14edB%TX*8zh6P`1 zuiGR@Lpuu2mC>Q0ZGy99bO_E-5N&t`oZ++#?efyn1ZNZM1~j^rli9}?HwX7^aBzpmc;ThK8O7^g>Q`d)Y;jW%;_wsjH>lgIvzdeLRGpzR{%=- zpmOuNXeBor`WBU>)o-B1NEo1Zi!WiD6mx&xZ0-iTjfIdAHo}TK~>%KpzG0tzWz)9(9eF}Z-_aIFwKE`797yg0k1+7 z8YJAn3m)3jgiX*fB$O<>Q4qGcI=@lzR~*$zPy+oyusaL{FyzkEt)#jkHm;j6qXhcn zj4XjjK@ihIpg#zngI^KD#!m0kcpify}Q7n2EOv;IEd~&B^1LbD{soVL+YQrvT z3nyU?$0NfJ2p*G|7KNI%Syq*nGsxsKVsvTNm0Q^B zZqbG;>u=FH7WQYo>97CH{p8tJnnpyHzzkbYAp>h0+-XwC4(MN=^SC^PY?f;#$YM*+ zam_r1Y?c|uH%nlkFfH^nGmI~tC^%H?!R~|YVN>vIn;@J7BypV}hLN@%C1>eg3_F|T z70|s1hN&}~j1sQOFu1r4^6XPkbj013zmBj~{(38TUM2PkmU-;r^XI{*EHJ-uw&CwW z-=Mc2Yl+NXinAS_-wkkG5)RwC+_#!6Syz`E2_>()MH}E=58!^`Kl$1J>SL2jTjL9t z4<$=rn4an@Yu&=WZA85Rfn8=^L8FiHTpvnqnhx&@*nAC&hLpi+0&dvtX%sg>R~f)# zgBlB3G$hSPz%{`Z^=HJ2oN5N+L&;r@2)fP)N|-o+(~Mat8705bD^;$~J``4Qk_22^ z9L-|1Pz_EyaMhh8Iol@L)^!Nq@5ilZ#KMY_hdt`;@Dd%sGB1ylcw~~`=JPmljSgtV zT1rwOGRe@NfNg7#@s>%hHMIr%PqEBoV+dhCM6#8PgM0U(Izbw1nHMC9Jzeo0f1rTEch#{pM4@CYj}W zGfFK1#sX*aS<~!%!#<0vM_RFU5==h0vyb z0J1@_>d+4+Upm(kjtqPQuF$!(6wI}R1B%v)maq>Q-{}~=mN0;Tt_n(n&N^7yD=1z| zKm(tn(CnbewAz3s&6sHk9qQW(Z+t+STB-A7gfoZztR@WW2Pydq%pEZHP+~$@Lbc5MiFgHU&HONY3%9LpQq0%|Zv1`f{ zvb8{E^GQoeOtX`jO~MkZz-C0PQ!!;z7&^=m+~zjp8QEI!h6_0h{qDwjU0JpkkRF7u ztINUiXlH=y|7PC>K~IyW3NTc>^)*hCfS-{DxQ*>IN$MXK>4P}c;A6L?CrMPR-U!Ky{$ZJeP6GwjN)iVag+~m z0LT{&&jf$+O)zj&=2MX|agvLjWM~skeNM?w{f+z1 z+p`ENqq0sUbZNq~k4p2|bM9AAq_Rp}@IIWyp@K&imB-wI2!m&gGYI4ha-3LKYzksiyG0v@UJr(T z%n$wiZ~uf8l~%U5VW?%(Ank^EH>j+QY^E~rhVL;G><1wjPYa4lj3V9pw{r)n?7>=_ zcw-3IhB*Sk*k(kF6B3MBMX)_+3^@rBL!)5NrW_PRX|l5C2K~(@h$0o4s(GIU_276oT|Oo8W+pB4FFL$F`hN{LLoW!kiUfH;RwJF`ut3{$`U5abaG` ziDn~=N}D7|-3JNBw|kT{Dd+Te2lj|b_M@aV092Y2VFR29uhH>PkIslXPFx)YX(V)y z9`?b_A2!`t!19CDIZC1#z-`K8M5^K+)H+|T7YSsgF?ymOb?P0sei&kt{B6jX<@SncmTBTA5anU`fqV7orn zbfpq)5qv(YYB!EIGwxx#pJQuNd34xCFH0m~qd6fr$2Jxi;aZyS_%5#G5!%<*v}$Ww zB_W;tovozyi|}zw7GpaH*?#23cYoe*N`^41Kf+2hWYZCTh8;{nA{)}2z(pdmg-tM9 z#i1v=;RibO%!h(tMTGQ`3;qlz>2D7znIi5CeZm6J>Gmv|w}R`@>0LsvY@IP{6Zi_W$Ew@%clnc}aV6oj zd87pBigRYIPMg`V@~4GzW5JwdemQ?MKFVA=wZ%#86$5!7Q(p7P3*DUQg+_bH44L7D z#si)-k)u2@Zb6O{7NaL5vY(PB!=fT6T<7+er^D^fvD;s~?rwjyoNTiF%?8aT{LzcQJz6l2ikD?7K^-2I0})jNaSJ`C502Hlz1?jNTvq@!#@S z-nAHK)~4LkRBM7yilcU@y`kw-!3P{R6`br)c$=JTbFC&pzBX*e(D)MXtpT2T;Ik)2 zXL07as4!nOamK2)lAUk>7c){dFu@K-ChlJlsL_)=Uz)0c2_m_QIn{WV_H|%#g-tkX zQ4LHIY&2*DygvJ;E~)4lCmRI>P;*2it6mitn{yGIJsb-#rVMG@B!4cP%?W4)7+0XO z$eGhQ?JnPJC4TB^0iA7w>^$x{T}+dP+;2WCz0tnTQwSuj&jGT)zX9^48(f9Qbc5X6 z3%ToV(FVEK1G(?{jeqH9e%%{m-7CErW{rni^E6_VtWq`2*z%Ce%x1Amr}Cxvi?$hO z8CxE5+v?b9f-h2>)xHmN0M0VVt(Y!!0_{EI!gf-d6!}+uNk7XVcW4fpfpuuw5+6O} z!nS3qmLv=psamVxk>GRhVJIYmFA8?}G7Xj3P=KXQa4{+2$y_Dbf%X9XLc9RU7aMtkx3C}0>7L$Q zhx|A^y||ADJjj^S7h0FFz0KKKu~{fiQjIN>bk8r2^FXdXe>yO3%Q@WxjMbTKE~^iC z#ca+ zFw@EB?H$2G0{@oU@b-zaD@7wvIv(H{aE=Fw5;(^JJPUBNCB7X(-dPOgC?2nD2k&V> zdu(_%(*AIaoaL!F!rS2M+tG89#ZVvs-<(^YZYs2shFm#>K%Wk@%kNy9UgMOL*ePc4u1Wr-7(uF?q5~ADkCsJ zAUl5!bezByjRkPu7cX!z=;fg$MWVzxLpz7tyaX zRLV(hXGpY&KanVC6?K;6;)LVAU^qJI5K6;S{?~S)cSdWR+Eoh8+OGFs1j_-fV&0q1 zA#WV>(t``X?ZL&pReG=NW@n3m+lvP(fuDGiK3#8*1Hq|DH<~CJCvtgx`(3J-yZWwB z=UsDGrsd)RPhDE>68g6C262EG&Z@gYY2Ju%J2}9vulKw1rl+2I>ihoOKYaT~Cij!( zoRletF+7B}r=gK*O1={$uq?fy(h~Q5LwY0Mnn_Szc|owRN!8>#22@2&oJfMyCBg{X zYc_}@m@r!}pHW_dVMg?Vg+qse!%?c@_@xCcOt1o%Q3RoTl*cHq#UL0WQ<$-aFDP4j zwaRg_Ql2TC1piXim{K?A|HfHPD;c^*;r%Hl)ETnqOp*v1t^F=bMFR@PJ}=QK`3Vm0 zM2&TDtqBVW-=Lpl@Ff>?z>evj1MW$Ebb*qqnS{UU&f} zFqGSAIBV(&f$3eZzM7;q!p4;lHooQQKmLn9ny83cP1s;D)D}i9yI#?*14Uo$@vtcn zHcYSwwFAt2yfXHr=o7?}AYm~I)<_EwL6l-=(7_857EKU^`Pi`>#bJ?gP|iqLG(iMJ zSpAMwWZM9=X=%cu3BtUlfsJD86|yFZr3s5B$j;}IHjkQdsBhzsaS;{;i6U!3M(X&P zFvATY?Ni`LU`h#(7dKv=y~IJo#|;m!0S}WwxEAEX1DoEBlW)+CZ@=Eje&u}ob+>2( zx%Ic`99H7L_1nJS09KOhDEgdjoA*zqY~0>`(K4HJ!?AZLQ; z4|0@XM2mVd8iG%P1i2`PV7@lbLQB(9kRWG*D4xdtqhRo(oJ_eiLCyqW@WF@_!K2h} z^Lcz!=1maI$kBEbf=#_&NY67#6vG~6{D}z==S1-wB`FNY&?Pl&x=h-eplmhDd^1?J zPZ2|dEsm4rCwLoxHvM(}o9xpkZ?>&qpSsd?nVK}S&SKtf?z?dhybTPOdX~};r|4N^ z#OvW6gy(d_+*Ll<8!6Pj?iOvBdp(%@<^SNv-uRb3YJqzYSZbjA0RZO9${ZN3pC%~~ zb3=@j=maxS1xH0)UF;TAbm_H1JZnQHfW=vJ?g3J>wMi*V-w~yNm}^TXrXeg^}pQ{R@f4lp^~lfHwNs`;S^7=-9YxEZ9Ox<`H2Y6*X4eoSya+_MfYMXx`zp1pg- z+mhy#Kxi25hISxZ7Qfu%0mT>dUxn1eF-iE{L+gYC54e!bcqHt&By-BC6_TDuf%;}!>9oD3iYnn$Q*$Q?>s76WW6(|ZQl2MYB zg)3l^1JZ~BUj zqPt#Ol)`TiWI{cBJm@HD0UdvYaQpbY}q z{xWv^<9I!<1k?*qEByXN22-79FyVq_hg0urPjji;U7aN))%-cT>sRA=JujuMc6G)} zfk3%~U$DXPdLmBU?HX)PL?#Gi`^z|;e{e8wd=-<2~SGAePuY17kKLK&E6I6 zvhiXZTZz1wzwo_p{o3b}Z&ahP763GIN{8%Ws4{?%1zt>#N@J2=4Z2GR*M&KtOYj|F zGa`4GeX_`6L2WL;zGtz>%QTQvP>`Bw)8xf0l;ZAN(u;A7?IC1arKJU}Y{rhIx}if& zRoIr-au95K>R5bJp>`P+IDd?SUnU4IDvl9=6LO}#px9}4+JS5@lHwapl8f4osWdQB z;@4$&Cq+#>C^k@QsD)TuQFImg!|7b;LZ7ior#=hOlSA%1TMY%qXkH&gnp-#w6dTlm z?uadeAN=EF%fL#x^~FCGWrb}+#7=A*AwnAlXBfKCYXT=ShP`L`kQ=>5RUc7#@@HqP z?>I=-uXtO=%eT@EGzxwmP`pdtN&{cbf8oFAu zVw4zZVStl0V-OcM8NEi-AyUVWmBR3`4Sts-NOX-eHl&7PMz~TWF$MG*odYuxT}=?x zP*|=T=!#q{&7VnvLazx+12PGk5QObt3KCu8j2iu;AnSPwYbGvDbTz>NRZhZ;kpdJ2 ziLNHt?JF&~370KWc=8zqU8AJ9NqHfwV_P1ictK5as8QyGIshusNHo)5An}4G$s-e~ zPe}3|YLe}-V{jH{UPYEvJR$Ldnq+gtjTC+1(2E}>t-h!Q5UAntFi1b$-^#FAc9_U1 z%QAcf{#BW{7&WO*5H4a_PMOS3uVlWQ`vW(m8RVNz1DWFQGNioI3j+5D=BZpuWL1n{ zek+Gkcps3JX_B2OrobmD;<ra$+!zvp{? zB6-1-m}zy00!{Pjt8b4p+fjy!ge^VWP=I%YVXez}{9B4{iGn153a#zrdl}u5 zKc1l5`86r`uQCR92XUXyX6n4mIFRyv2g{EQ;9R60l61*G*^Iw#{K37O8XPb(p_b+>5qd0h|d|4;3H|H~WF zE4%{0H>AIK;l&p|! zp3!sVPq!86C*oHd(r-v_W!ikfu~cqsi8iqz{f6|Rwog{jZl>1VRY$kJ@GHOV?|);m z>6PGU%od|Nc8D-3v!w=OMW`EzlgaRa3HD9fOI8}Xu+G*~gB+M0Bw5fBC}yO}Z!2$_R4o%477r z(bg>Wu0b)H;$+08w<*KffH!*VQF1n?q6)JmO2SP5z6u$pC>Bsk3hUoaTr;jX=Rx}S zygs?8q9k|*ifIb=De3_eYNp~Q#oxG(OTV80Zy}*3t5wM;&T1C3)LE@ahs#T_p@dtO z2?7B;I;p~_jFXxB$fVLo?d2mS=h6w&ZE%j6FT|&GI(m7`k4~=#jDB<22dh1iQr*@z zckkv1=`C;j8E!`U?M=-OFRO)Hv>EBwWTe0Qvp(l@QjR&RlzD1CGVV2O zut!Etd7S?C;0jr{qD~}z|08*A$8)bMCQD;=bZsc_xR4D^Qp;XZa*unJt-crx5L{P7 zKaU3};6GIFKuGDGOXw})0txX|G0=&!MBceH-iMZgKbwFb6L{B$1}q?1euD5?s1T|W zc%4I(%A`WjmF}}YN%73GKOvCm95PNI{mA|M;9iGX8Dkj?6=bmt$!T{wkBr^=I9~kJ z(*Do`vh3r9s`!NprGb#7bD*0c!f~adIid$Gv^d>6|#lBH`@Jjzv?Os`0-j+XR_P{;>X z8zeO)Cma`4xlFHs(x*-nAp58xQ?6IwVhYKCt#3wJO{Q1u@nV;2bm+wgB(pR{Bu7g#7F zt(5@O*=&@gf^VYK4{QuQK_~+WHd(!W*3~eg006hMDjU~L0eIBzIcVMMt7K4bIY-aH z1l%M!SWYKI&1$zkGRGeY> zjxYJVt#iC11Pf88zG4Ego9=$xt*zx<+7=?7#i$bDz& zhpi;kc^0~OcN~BU=f*7DyMR~31`?_1S0qFGrX!Y@L`jtPE08~8) zWrTe;9`17IQJZNd9-g^c=i=d6gncd^o_WIO;^E#CJ{u4B5%yVUbmj>kSQZLMJJed1 zI*UgWK!aljRE4>Cw5x0oTDx5?9&KPIIGW1CTX|_KE`2Hzosdt^G=gpVd-|q$JKZ=& zmS2oP%Un9mBJph+b$!95&1}6wvlV`2{sb7yZhxalwc?ACuR4DL12b{R{uf zjoNj$Xv5_7x9A+B_A|cuPygUIrB0{nVK7V%{s#Oid(x%Q1nzJQ)TXd0u-;6t@AhQ9 zQLvVt**?LWm~4Vzl!2!X$kB335+#b>Tw<~bqP9C{WXra$Ez`D%$tD;}>7WK=rL4EG z3CBoGHo?$V0!xohX3?-DC1YMt|*i=Qzprb$l#TAM&+6nRWvsn^)Tm=1-=ZI0I%@GZU*J;H7M8Jq7A&R z2fY4`Py3}W+N$(x;u26!h#Hp0v{mVU=d`J4=s85aV{~m@u&x{1wrx8rwpVQ1wr$(C zZQFLTV%t9X_P+O=KfTSG7=PwyqxY)!t*4&a(K6d_)<`d+2d7CrpXk(65kxc@NrkPI z-*cs7=2H-y6LAXp?$CYSO!<80wYgPQS351|Cz7&X)?VPZIiR6zk874i_Ys8QyO=`9 zIxU4Hbc^QmdZp7(4!4_yf-wr{ru$51@Sd+XdJHG%W9r4W_Y$D4wwtHxOv^pgIK+?W zHkmwJY5%6MENxsO9@D1GgiW4`_u+*|Lsb-q>Jx#~GD$&77-Z~ZA+I1P!x7JUUfF(1 zpHj707d@Bsmf9w{QjVIGZk(V!dZFyDeC|Ltf*Al=i8xFH zQM2rYeB92`RK;zdsi*eQyrG~?(2I{uQvDai%xrm2H7>c+=rNqL{!1Ps>Dm{VZdVo&c05m1Ff^-njPWfJx~p)Xo!5O~N@m!$7Nmf?!S2VZ6jN(?QzHgKwc^ zo%eR{se?RP*E9m1Z2~i^E8slj%JVbhx*Iv;>+Yn+@sa5}%ii_A*%GB;WO~1S!PU#ymYq~bwMkU z(!#oXmT4bdF!n;m0;TM1Bkp!GJG{Vw7(ST&seE{pr9_7 zUS=L}nzut-74Ef`c8kf@??7siK~N!7Xn?ez%X@Dyi5Z?j7Ur;M21y!V)(aOU1)8z@ zjHAE|^&F_OB5YbF#7V5M2$Jbj%k1(GBlW3`w;akztszByz=QtwJQa<-sJ@mU(R+IfX!J_d@=6s?2~+MyQe11irv*ZwV|PdOyNCb2))8)^vDF8RiNKl-!W z3MLt#;q{ojxkC1t-3M)-JTwV6v4UvEhH$qM_DzRtmX&9mT*ss5^f4rlJt*(O1`)W3 z-9C~fft%nu09eoK1rqw)XA-;TU;2$Rgk<2}Rf^)`7{ujpel4jo7`@MuG#MqO6_7AQ zK!#pulhW~0o`Ybe402)~K^dflwMAS)%wV1|`fq54a2YcOn;a2)CD6A?PYYoYFjj<^ zN>wINXovy=8<3v{Y9Y=xCPynPim((@U$Lecf5Zs)_i5nwt5_iOf%S-7O0h@@lIT+8 zg%DUIA7~w6aV>&`uzbZz32@v6=|<6#NJ}_*iBZQ%eL6h3fgAI@4pEa2^GYdg?*PgE zNsLNoa}!A}SttKm{hFCtVYrUun4K}9aZsmnEMJliMVwFqR-WR}CxPSo$FF0Kl*kjz zf%7u^asJq6zu|kr#u`j&x{AFClr~YFJ)aiLidbp;R8eBkf|ks>l7xc006$__bUiFQ z+<4j+u=D=(pajEQkB(X){paz>)0NAa7yH_#_d1m}s3tNXv!_v8Vl^`&m@@&hT0;Tg zgR|_N>cR^6r|a}K&a(Ur@LUelhI>#859sOtG`ct*3(dYGmpiSX>!^G z%Pzh*B+1)c5L%vJ$VMZ{6YGcL>~~l=9D#~*ezMrgd0U$9BOAvTzjZJ1ucmU!y2mT zaFmkXzdf~q@bE-*`aO{#JbEBJ?veW*r+VKJ8CRa`odXKQdn;N>3?WoQLSTt99Kw|a zLG+iWRl^UnJ$(ag%7ge*x*x+ME}2+q7CnwF@Di5|bv8A@4?p`E8DlP6*JUT>{RYd3 zJLUky3uz`o_5DIKbkgACq>|x$ww^H%7Ncu8@MhW&&H&7k@Iv$yWc3m)Px&c4C17NX zyu0Zng%)I(N>OJ~v9s~&E^X%C0B#VD1{ob&Gf zZz^$@7ysN`9Zg=u@L^5FSj`UAvf_IM%xadT+yJN&mHMSmdQ?0KI!ccvnHKJ2Q)?`e z>$F)y7n=W+%8uPfCRaOYtnG0vLk9BTit1-%O4#HwOt)T3s_tr!-wnMD%C4&{c#aEh zV#|3QgxiiQtM>hP?0xv`v46?`fR(#p1jRP5 zbepik--t+#99KT`m?naA1fc9*-w{lfPsETEG2caAv@(}2TZ1-z9m6VJKm=7Nl>$Jm z0VQmFGki4hTz%S@EU#ULzXARI4Gx&JFn-XZ`wV?71#Zi;U$OI*$K?+KUzbFS5IWJT zJW5&e+px$PgTyJPQo$HrpM6Tg`u5p5u#?z3q5NA>Qjx5gV~fym7`GRJGI!46Zf<_X zx(~KvL9feMS$s?k+limz0=K&!`{jPrC$2>w+>rf1J0NNc%?Iq9^Qb$IgR|D#+7=g$ zSTJ>`*s{MiJ14n0xX+VFa%hBK8Ab(HUO{@)@e?j0CDWep-P}mhcsLPMs8zaaewa8~ zd>pB$MeJuYj8u_G8)-BbHfgh14{FCO2Mr*;(2kkHdE{#nV>l`&z4v;(`K)ZL5BMJy z=1&JF7prW=K-|okvr9LWFG5a{KGjj%iQ3uG;o92sQ2@mfQJj*2yLX&+!`{jU9M1o# zqXv@#Da@8E{>fmZ@h7AP%E{)z%XywIZ{SpJIlL?9X>0Y~%6+Gv)Q7Yl84LTsNZ}12 z8bdgB5K|Gjqxc&{I)yw3j3-t0^tygMzo6k9O6a5}4RQR0O+idE7hqTw)A&BU%w7bc z+)!HAXeRFem(B?>+$huVlV}3UhLU5To&l2(;*UQiPA)nuffy8KGF>px!$O_b zR&3(ZXRBY{F)aljd&v%33IHXKEf#OhtN^uco312CA`|{I#o0^>G*9;pa=b*3?D+Zs z(kgPw>%jKU_h?F`F8+F6IF*%EUnZ3lvOsl%Q*H8O38?w+GuqKFU0e@@EfVs4(g;~V z2H}_|-J{AU+G4rSh+Z6oRlp4b1JR$LPxI?QA2~{7BbN-K=7Zpw$7+`=*B){BbpxFw zvZVkV{(}xF*q#HPTu~Eri79gKxK&Qul&U7@cu}1$exg~qcc((P7s3gunX3fwR0!{v z*y&J z6$=ip)9(%~9gt8sKDJlpCxO?+^{*mmViT?EW*>w3mMg%xx=kH_0`rVJ+O(P?wD<$d z=NgCo?1M?bEmA!hCAE|<dW?*FQ0Sk{Z0?OnaX~AZi8M)>^Z?;L! zN$kuJm&h%o8r*KCq&RobpyLtpY-3QSPcC|(jPe*rM=(1ZQ`{Z$0x;ilN`Q_ZgPPDW zk(K-^9f_PSJIXk=$G9P$To=`Z*@<1Qsl69Xz4CNh@?P`D*&->;AYv@pdo$WmcC550 zG9iC>)=xfc29bOGV447Ii2%(TdEmJ{LFu-B58}1pT|cvtrQg%KlHRE-`m+X$gx>J9 zaGQZ6CB&v&RzLywVm1K~#u~Ct&hop~NQXC@AlPc&8_pamZL$IP1`zJ!v>mX6!ogB5 zMD7{?teJ_7HQa5)3qpVdTJ1C9|5*o!7yrEl3kl`-L?Uq$6KKmtBog`8$Qy!C4oO!~ zAcoUFWdEn>)4hPJxi15V3Mh?SKyt`NWOet8PKfl3V^3a0arbXr!;s9KM%@92o|5Vs|RGk=X5+CfrW8{aq22<1c;H%XUn8- zq$M;H@Ph=a&d@^vawrq96SHoCOW<=HCeS8-ar-M(*Zr=WzUQwNBsHCo@D7X`+PK$Q zfAFNt=vZ;JX{L?<=ap+|*oYBnt7G3sZEL5kI?cfLF9hyoz}$l)h~HH5HXqP3ogcKbS`(6JAx9B z8`2b0$7yy-9W4ybVbF>U#C{jjZo! zdVLHE>!C_{;Eu%m=HedP`PF(Ms#D85)uwioqiTSWRnOu8dO6V$&XjBY=F!Le`t5z_ zA`0a?&%Mzv;s84?Y%xJ!PX{cUtq}7%3Oc1^z0wXSe3_~GIwQ8 zrs7KSW(1zqxkD^Hljixwp+Wgt;P>k~FL4W%GahNRL-2PL3<%Ik_iBV=mq($4}bj-D`| zWp+ybSE#Y}H6UfDH~J&%NO?#MZ~={|{NcYa1TR4OaeElW0yWHS7zXP(eBtH*CpIkg z=7*ZTD#dX!zcz`Q1~=lhqh&r=?~{3zf2=E1$acwm$t5+P_9ER(+E+=*m5o_-*2oPH zbNWXqE7bxF7+MRCOqkvpU`v|q_aJ1{sr5Ruen8>ZaYv&S+o@M^!#ViPp+!T!+kl0$ z!~LT(q<|}$?J)S{jVLe-aTN>SbsMt=eiH84EZL&x(fuNVTg4g7q5sduWYVD%d-l%F zcOmCBtV%YvtVDZY7Cc1T1*($r1(Q6eHfccE`)sE)CgM^}5J4X+FcvKp_!qR5hQRgo zpUEt^V&Az6R=LXrDd zT)SieP&*qrglQGBE0Jj`-8Bj1YfnO!w!lc@Fe6O$q@2JMq-^?UHyZIv!O%HK(QvDS z10S8R99;Ws7r2L^sxD!t#_OW^!={C<)>fjEp3KuWlWQy9T;cVM5nt8K)$5+_x3TWK zVmM#*8<+{4rjJaS&3IM`Rx|BsIBX`6?*_OwxFL|`g}MprxuUPc$>igRrNP+&5C&+XGvlET4A6$ zdxgZ(NuahH7rW5oGgiT`m&_zgCzkLXclUi=_h&_E?5`mym5S`s3pFT^$RYOKdDz_Lsme+)+lO5(dNmg7_9*x(M*$Ie7pU(6Q*_+A6(mJx;Lnh$ z&S(B;u??>3Qv5{jd6QTP1XS54Oeq8o)Fu+`F5QB7`9HFk$qDr-P^;g!U%o5VWw3?2G zk$NdT2*_@2aA%g@s6>e$aPEC+8gG>i1Gi7+B#SG-@1I?SG#cx>fLl9}Y81?YG`JV6s2?~%GK6^60o#br1#^`|@YIkouU+aU-be3b~@gXW7Yu_ zLE~9Q9U$>zN)Qp$^eErw;3=&^-Z4J1g-qJQHktM2p!@v;74LBk*)a-R-oLK2=EDUP z9QhdeAB#rf_-B0T5; z(N%VPm2al(L@NfX+;JD$9?092Jv)&Db4xBdtM;*$An)@iJO2xs$b9?jlPL2K%Jmb` zIiKeggr+C(rd!e7siUWswdBmnJ=R9MNH$0JFIuiqt?#~8pPAX86%_Q7A-BcIqLU|-+9A##aW3lJ^9^(<2=aABQC*(iD5{#4|6Jv%UHd1|FAZy8i@KEzh9(P`~W8bR>zB~G#X!bu(C}w0VFr^1u7vqi_a=`Xm zP+znFn18&k4z?O$*aGCkn*3gP2lXE8uYF?rj}Nwldcn^H`2c050Fm&MXZE)^K>N)x zzVZ_dIVu8pR$)9TxSXJrqMOEVnN1Gc-T!KI0FKRj2>;#a4uyZ2f3q&B17u+1`hz73 zN-SgLKy=?7ny<;&W7dVOYm%Y+ic21DuC=bP*!GCRNy`a3pip(GVkO$)%~T=~JhAU$ z#y9<~Bd!(NQ6#C+QCuLOPGUoH3M%iDA;jvDz&mfZ%8GhGQe<^Gzs}=({9lX+EWEKB7IT(!jN6f7amP7_tEqglh1ovpzWU#PoU_mp&*Z$W zc=@B5+op{NU zan@LTvK{l{_i4>^sw>qry#M8^an>`u7OZ8j_A)omx=n`*IPjz#Ia?ez4vy`KYnh9( zN=7fzB-3WUZZFnoWUfY+zH)12JufaFNW(#@J=gbpTcvkkmc`og`kN5JZmwY~U(9N1 zXc>Gb7N2$NpThm??^Q_~d-N$e@Cr+7qg1fz`AltVT>CNQ>hSS+%s>ScFy;^; z2Q@JBTkdCXFWv0Q>BD7Jve@vY5X*La+{NMB`z2LnwD3ts0b3Cm-Ou;IONu)Ov+}b0 zl;K8M6v#=)@yKf4s%0NF?bM47cTCd%nQQ}$yXvs$IvdYxoK$nxFTQKK&dN)3 zDs*4#e|xDMo+&S}*vb5EF@r%)sE|E*eNq53&+Z&aqq_0o`yX+y+Z@1vqrKPk@;(%Ye|@

    2WO*N`!fB=`k`Ccj{_CXZK5s$WD z;KhLiUOqKKOQbm)xU$+-!_M=TT|Y8~U}&r}?X$zdM%W`7$Tj8*FoGGxNov`qAs(hNrIDEgK+cGKdcoBH zn)kv1aZG|ni&L>C$}Tw$lQ3xSQG{$l4dL;l5nTDpc_X?s_g7i;f1>2{q zCLnQq()l31SvW2i;O2g1jlO6e8#@RSZ3cok`Z0S4J>~s;sSDNpU-60N*B@93PsLUD z+u{ufnTm?z;qx;92nC<5i;q49pKm$vGny*(<8rJE?{qUvnC1WY?C;ufvT}zYWvM?e z(X|E+ebZd7qveI4{s79uVEx6D{BXrfMfVWlT^gjM#9SlEx)UstTGOX`4h<)uNE#<`_x(-mSQ80)&D=D(K$iU#dibk=8f=H?2}(numosA*@;3S!xbzgI~p>z|P&XiG@I zkIRiI1663iS9?IM$w6b?i9|+k?juo1YYB`1L zgH}huJ@Em6uSj}iH{)p1`%plF!#Nu5T>iqfi1#UTEO?9TD9S486}c5s=nPUkwF9V} z!apf^M_LYQ?ua}?xo;oqe(8wvs+H;{leP!ENq_)hKWOI06rzEv;icBnWcwD5j1PWy zSRf^N!+;x1&*oC-gJY*n@ETj-Dkac5OCqeKCdLd$9*B2>Dg}|ycr`D65_r#WJ`YPI ze^U!uJ_*^EkM@CLc>5i~$=8L3cOQg^*+U{WTvD~A=4Sn?Tnt!VAd}2M)_yI;ey-wo zZV45`%8bnQ&=e(*Kk6kBMWtQKhyf)h*Q*@N*X1r+Ffn8;TJWIlBgk5^Vxr8Lv*JOT zK7rO&`86>Y(#2_-ubQSQ19de71c3(`K@5Hfg-I1l3{fCykzXvkF8dS1puL$PHNMCnNIIIMVODb#U7xPZ$mFNxOzc?!hFSLya26RfS$ z;zBl+#se$S(Eg^fD-;&UQ|4-uZ;ViE;Qe6av^9{oWP-;&8X9$+Py_b#%z_LUJsoH7 znbi=F<&-!M-f?HhdUqrqsMr*A#TvXw?>E7p<9ke0`=*zHOCaqulS1j#aH!a5xXxt| zKW98q_j~pXf62;#QyR-&on2vv?@TNJ%pf^>l2VAs%Ed$~9Iju>Yuob?Jdvm(`h6iI zm2+ocQEeHiYC1%)sdV6}zW07kEOoGus4O@vl1!P<-=EWA#)OwGiXb*ZL>CDAKw*ff zI?A}26!t8G!#ybyt=V&(eDM904f8+@O^pu`kCJ34oJYlGq8$@rfh$MERE@R-VX?do;=kjmhcVtUNz zl=A185oJ8O^vn=X$n^hPFhf+FOHoPTKICm_c>?;}WAhu!yc{v8>R7e=q}i%T10cs_ zR^=2vGsP6Nsq;(o&qE4&`Ucj&w=aYh4jC;t!BUPk1L@#!gOh*OhQU7V%t&rQZ81LX z6ITH}2iGDIy+7~f`+Cm4Y`?{3Nuzk<+tlFg!WKNa6~wiY_To@5A|eG4yv})6<*t;Z zpsZp&L-g{Kgrak#w4$7+rwZpT1Ze{Bi_S8S*OLJS3rLCU4c3p3q4iB|DwuGQJ1R>RWB+BEVq@y!4ka@U9(+TbqD~)-y&+y7z z7V!`pA{fz?zYIKykFBNv>XJ-59X5PPtK#O=`ZIQ{`DBEVHcnaJU{AdC?9Ev6Ws}_H zgp!%aK3=d{s~WO@xMh7KGYmx*xQ;=Hb29#t{qi5-;NjUwF9gb5B^(rL5wpn}Itx;m ze|b|3ZU~2-w_}s8c4h6B`g^*P!+T!n-42bTUt-S2s+0GGmP#A?x^)xATw#wgFfr$)H8qxjND&H!XCV&cw#NkM}YG27p_mBeX@a2QrMj%&*l>X6jCSFt4aQ@IA)2xBX$^_qOK&KF1FJ{~Z4*F3wS z2s><7NA5$1@NzAw?;Bd zzXlCmrxqtG(Ulg@a)Ll4vyBAiR|IJUSc$TuI>s|RNJX!7Qh}cHf`3|-ih^b+moF7? z`2vz*&ZU^)d1PTxE@ePkSiznsXNh@coMhoJbVdr}0xqnJJ)Z&*DafSQX3|N*%6P&o zP!bh+Wb6Lju-*`r_;7^STh(+a1y2msb79f4h#V&JpBuC7VUJ)5`Dk*ISSKNEjBbQ@ zY`;{9fn>sNpLYzuzDlu=pf1H-lxTd5DLi>waE2$&=AWhAwi}EYfAJJ6&}q8Xn_%_h zIcpRVd<-%W<0jblByNyF?sgqof()a%BVLe#|KG9wFdD3hN zU`z%himdxkVE{WmP+=(P`!PQa4M5HJrN(f~As1941pnH16`!N`fkK~@XAk-|xT!!t z*iswmV0bJ+s~XLsR)zjWs>;x+{h}Ag`@dsee6M1^gd6UcsZCUTZsU2ko%0MVX$)}+ z#tbp-P$LPvriyEKxF-!i0sy;trAAv~jf1GnqmY#nBD1{aGEPuq5r@^5is5r~+nc(~ zrV4G(cJ=r`J~*cUd;MLLLb(_yEEWsw!ZC&==03(ZD-7V+UJ(g(H}DP7Ce7dikNO!u z1-Q9o(npz|SvT3{9%_Rfm?ZGc27(0+Xlr7avX1mk>ZA7j#sX*Dh3ooid(4g^?Q`B9 zx|L})O{KjOWgxJnJ>Sv_S10j^p4!gKF?u%1%`7s*eS^vVaQN?!Fw;U27vW;m?G^*) zbq4_xe8#YW_Z`og(vx32Bz5o{8H0`<`IhSkjYz(u+FrlHjY+;p-(Yi5+v`#uqQ8hR zwr$E|T{ri>>Uyn5SWpwdQzl_D(kFitEQBIj7J?e=AU1*Mh1brC7R3f+po`w?QTI5| zkD>cR!r@w^+nvGfCW)k`lqAl9j87&CU-i^KA4$ZC+Xh(2ZZHapMS+Zxh!?T<_bvA^ zs)$LErLH2Q5)yf%fQ-w_V?rU8%*<-U?T`fC_cc9$p)Ny>S8YVN{4tnkMuLNuAnKkD z_elbl)gsZPk~#aE6TTa;nz6;Eu)RFj@ri;FV>JjgY3ba#3)GVDI%B)6GUIstyP^Q^ zPkQbR%gy#9E3~k78OjpmpjK{H-CooW_+Hg|-(v43RoOX*r=jBEkjNE=L9{!EMG=cv z_8-jI`I|7f>g|A?>udbh z-KA#PV9D9U`o&H$Ws8udmm(++Fj@riAy7FF0MU@~YF^1cvaI-uDnWoUXtk#*n2nYi zN&3ByC*$@~qomL|wQrHFKvnO{o-jdG^%kharoEQiI;w)L%x%Kr@agpzLdZS$vb#8I zS9=rLPoBa<&z{T|diE^&o(6w@jb4%r3~Mk>!17BSP;A&71>g*K`mF9I$>ai0uxZMI zsTT14oY=nsMb+FtjO5bs&B(BAQi+mJf)nRpIzCnW(TN51j)pk+25% z2Ku(aDN?4^5>ZT!F^80pdSL5S&}* z-)$aAMK=|SWaw@L?78T|K~Ng4x3k0`0=m4gFl45QRem1TC|Gw6^hhRP&;-e5hF`F} zLFJ-MEKAlu)x?XpCJ4+tz^6@jC8H@^qn|T59u;leZ>H(V--F?Vp(XAH&7#a#O^acj zP+y0Q)g*zH)_a&hW165H8*x75ZMRO1XY#w#$!e}5_!$Lq0bm8XR(@<2oY+A++-{M=aCcu|1s-3I_v$jjCqYar$g)Y z*oM#t%cX1HR!CU(+KKKsd93xTJmxxsx(#-xJAxX8d}LAgVP6jd@~#X+&*ztU*srAT z#k2t$K1uswmsTEye4KAJ9M*I2Pg1JB*q}DyFt-XQ9_56Il#ydVdF0+tQa4$qw0ZH- zdwStT7+3!D5hq(*E}8OLH=sNqH(hRLisb9-9>&h+oDaPeTEl+3gc>&$q~E-s{Pnwo zq-1SgerD2ezJ&H(<^IG+i`U>dmf;3H@=J(d;+a!)AdctyUbzQ9z(W$b_c`P;wCKN~ z8oY^;{K&KJtlEFEAAEU4z1+N|$Nmt%B;M6b^{-(`U!m*m;F%EO%&Vy%nDIDEfUn<#;ZzS; z!jO}+t=PyP&WOoUxh?4@>J-{hTGhV<0?fZ{I1$4Olc>fHHazBrrLe)~)?_W-l5h5N zO*oLU|JIe65ZRijt3o0?)#;^Lw8p8*wOv>13Y@mqi*@a~+<1sCVvBVVs3eouM2k%s>>OJo>ET7ioegOC0)n{uKb8nODsM zv|>L4UY0s^@%;N802ws5+RwXNA>jab{WcJtpbGX9(8it#OBN~*KI;Mbt}xxk^lw-2 zR25%v*Y5}NvD~)LH}TIC-|MW;ZR+<7<`yT5BBCREd<_pbG^6$0{1}lCkDA1>#9U${ zmJ_jZ!uB4A84oX{$2HESG@Nwol z0MfSkwN|7bmz8UaaJAqg_w;GIqqes&CkEmA69sVQY?}SaztXubhb6(_X9aPj*FmVV z(8Y!+*l^Fmu(nkDllO{w0$#egvZqWG(|S%~eE8=Qh8HAaIG3JY-h2``b(aI#%D>u+ z$4*{d4IS9WkL(mlxup2Dfpn@_o-U!!9Oj%aLiAsb4F^RwCY6aB^pp_)l-VQ^Au?y4 z#HtkKh0h(FjoUARiN7Y-;=hc%wn5FN7!`a9VxcKCU(HE7wckBGJG13V9hLG9EmRzE zNsVSJ<~@?As%!+7%4RhN1qpQH4h}In3dpQa;4w%q8&~QO#{Sn~|CED?<~wO|i1&v4 zLOxZ$$tSmyc-eJW)`tLks+iv%p=t}kQ`dK>Ox1g|YhI9(5hT^BY(&H5f_Qyx5vqb_m*pi;;Ja@g}- zenWxir#LjdfYg8e*$wgdU_e>ca@V)byC@et{oBV(+@JdCTPo7k%vp8|jtS~MA9aDE zi1~1ca3mGnWys0X-EXXpyjOlDhh`UvS{oXG^sHj>_JR=#*6jB2A7ACS?@t^qAE|_Z z+v-DPJ!kHeeBxKqAStD;srAna}Y&w`*)vkS4BZy z`{fbxQcRwPo+9B`MYdd#Qg@95?05R~_n3fd+ z==mYBo#))WkRH<~!`yfis?&B@US?2n#8`uz)KX5SqOprhQ01D>Qd6+{)<@~S6)wGA z?NwntbF#HY_@bt2c{z+g)Os4z)i?`Nd4-9+;`Lv*eOjl2{)cgOi&<5UA6Ci0eE zm5I$#u!>Wnz_-ejzD)g`2wK?*MaD8zn2@RVM22mX&BM9}H}&9UZ0rXzN0c z9x(Ga9~tmoZ+sdKg>0k1(rjQpp`uilp2YO*!m1q#m?O&&cL9W4Q*WBxgj^T4Pa&sO zz024IvHP~Di8i?v?jjCJgt^`k|1NfpI{NpM2ltPiyn)u`FQOh{FKWOq{@m62Ol0b6 zH2`?};UZb;)edLn7-<`$=|z9b5n*HskqA`W=|4KTmH}pDAqIC#4$f%$5zORGGEFmJ zSpA}V0p@$#1MVaQ5VE2F`^cIvhzy%zPhsYiwhyhCJ2(m027!aUU4~K#vXPRIK+2W2 zLjyIS;Cj>iMIJS&GP*#QSfU=pN_Gi;Fj?3@!qHFVAG$6JAMo6SSk;~WzX`c@Br5m! z-c7b5JcUC+*B*@Ypm8sh`rrZW4h^P1*24}d^=IiMZL@6-rL#aO8k>{`<%Ht+Oi81& z!8DT?2PrO2pypzG0=rg|(=A&(H8(xzxj<*8|4U~<=6eYI`Q#IKtMsCTb^v=`dM`oI z>&`=A;fI%<<}ZVE1c|MjhLFD8n>Ny#b7PW-bNj8gX!OS&I#o;US3`o~)8V*N&}*R8 zk?e@}SE!6o9Rlr?6oFfBvUEp!Gq2 zk{3}nA_!6T5lEsLNV{g7qnwL(cWCDm7qhp5TVRHh(Ubv5YulU4%>X4_!`&O0om&q( ze@yK@H~p{w{^k0b55L0u&ri=|O3%Z@FnagFcGzohbS+Qc>znKQ3rC^9z*I?EoWbS* zH}&UTlf@Nk)334){OSSo&-bMI#rJDeTc1n5*5zus7&w10-Y>wIfVnLsu55f){{#UKb%#4 zNIjs04v6Le#R0VGIGmwuEq*=|H2mv3;#bq<--rE@Ch@(h;GPwv=V1G@Px}kb`1aD< zdJoh42;)SKY=C$cB#J{3mRKargB{g~Adt$KE1~4^uerZ9SZ4t(ovMd#GB+@T@-AU= zm0gU!`OM<`9WUcP3s_VLnW7;9xtKE)zV$rbz^S_!afu)##23|T$5aRR@@pvcxJ?;%DMF@NOnC( zRH(JlIiX3=)G>i=LH#yq^QP*bivt&2HyeX*mt43fab4Pr1BAUm$}@$j z(W0$)Gof_^)DqJ5YsirGvjJ@U&wK-^nOXbEmUId=do!@YywbKU8%==EECm45iI z3$V}orndWbozET&9-6Fh&BS$#y*O4~JWmsrHV*U2modrRVOg#Mq66 zy~xpJlGai1zb2FgZTJQ63&-C3E!8eUG#mNmLKfOiP6uTZjhXn$F7x$P+DwFqdMqq# zuxRkLGnq-eYS7`~jhC?i+9@_$jRJxqFs)E;ZYGs(^L3>#r*QXGw!euJnZ3UVPiHX| zmTK-Gv&Lfd_!)xh9ibo-Na5E4vrzi+VT(32l7D*5wy}QhFL*j2O9!p(hd7!!xesa? zEq_-yl_v>kZE_nsT;=)XZ;{`@p z_pztRVvIFa8mhqrLMdb_Dw`EC+!*9^6?0eOgAyXD1b+C+f47aYlv*ox=8Mq_k*eyG zkCuPQE5F7A-_CbNhGJ3ltQF^k`AdOl%g zqRV{S%1wc3=WLf1gs{|B!8*Hyz`2^ELimW~huUU<2{bf61A!ke#S+F*ZPotC@i`U30hgV&8j)QZchR`I8lnDHu+qBHwH~3<=QvBW2r5lz5tCdolvEObm8$2 zfrHfFJ43%W^C7bq#QO9M+ioPdq(^S%GONt?MLDD*k>p4?lK^KtqdJjJn49RCg(B$x zQon)}Q&T#FtZa9XyG1Upp^Dw{_Hyh1BbYo94QDn9Q*AR+~d*BwBRNOaAWQ=;oI=7lGOKjILt;PYUw-DqM0MkLqL=~!R_a~g0nhNBWX(K+I?)PM5X_m@qIksvkxS z#GoaQIe@57e#1TaWlH5ZUo%DplL53=`gayqvdd>bA5ryh+el~2UZNZ^_R(w75fYoi z0`CTQn^e58phQutMKU4zSkEWXUU2AZ<%4f@t{r#v&DqKAwXH=1Bm#f>I!V?_5TMC>=bTF8@*m)@Pj(x`U!e4eEQgw>ew3uK8l#s#r|M3_pJuvK89}aBVIdXWI9-3>KIC4ZBJPK{^y*lfDx$f*1!<>ml z1z+4#j+Jqg7}v39TIU+(^DrZeaMmMBe{=@boQg9 z#l1*JZ;FT*W3YTuItOe<4w1eo7LNpY!FP(GmM{=6)HMNra!5@39{iyzu~G5!CQ^`~ z(j@x=23r%<3~Ep!9RR`9({U`HXc$IB3YDi{pu{nN;RqHQfh5!iBmiH}W?*TN9#j)= zLaJn!DXsSj9PY#*g1zu!Q-57AqL4p!eTXk`?S8jNNbp-$!k_}pmmO^)Q6opJ=F#Bz z9nh8E4X+Hp*P%I-w=T0qRD-?m^^S^{`$|2FS8{iC1V=bms{7Sb&_9G=h{v2gZ?j^Q zojaJ>^V;Y8YTD}nDWE7*TP#e<0vz-Njgd+5lSN3u6KyA;V5jxXX_z>j?E4H6v83t2 z_y)xl7CLvJ9g;W7_Q)@K71yQqu$l7!0R_bN5NjWWj46XBFe|PUk++4fz%@*4CY$bo z;ibX1UQ}XnH5<^(^gs2`p+67i!^i^ES3oSRWhfVvD)WX5i0VUxQ4%6*MNQgiq2!QbOgdCQ70GS5vJg1JWk-%f9SMo7% zcGvnnX5#*h?}_O%JY5`egYoxC|MbY^M$TXO5-_>8A{N%;5Zxf(U;V)tWJ>so;DLw0 zwv1fIuY(j3pF;tTRDl}q?2k5HG_<#0ZYW)*@hb*=bCNr}utFxN#;UpnY=D2PN+u{> zf^@Z@bqdW0alAln%AI!VBgI0GcT}iME_3r=vl$=X9-zhV1s)REWY(M}VbXAJ_?RYj zm&IfnyZe$xj9KT#KE#82M!b=&h6gbO8pTTEOIeIj=nERKW_~R2`OREA!~;Z!)=j?1 zyWc4~ApZxWKwQ7cczN;P+fl&}BiAs6Lj>evjXkLJ2%sgUmu;#MaB_c8e_7O$_2S!b zi}P%8o)_+oT}H+wckFOF2tU;0Jb(Io{^^%K{SlGJrU|H~35Rr4{BnEdjxlNf7;3wP zP0(ei37g;<+5O0D6Ab~XLYZPuRl;WMno3okwj-C0uko}rHHj#Q+(_uw$nJ;JNcDpx zNOUkkROnN^RkiVaM!ptJFlxf+)Gbspzck-}CWuo&NnI}8H3wB<($b>pnIv3p^x{#H zp`m>3tlejS*i*5W#;Jjp=jNoU7w2qQae-z^f0SfTf0&}`nPg;6q5NLVy_MmDaqt5F-61h!2OzhxwN2nvY z?h9Qi3)hX5MVfd?cM^}I8w#7sw41j)Bl(8RxD!I~7A*TE1%<;kO>zHMMXF zllB@o5sukndwFKxn!wSO*F*|$tA$&{;Mf-1vr^G9COA3DDc zlF$3xZ%(m2y&0v}6CuRJWzs#hMBUk_SSH>>*{2-bLdgSJs#^=@xWHz)E7vCZ z1-AI!NPEf2&a?47BNzxpXhZKOF!~qXLK}L!Qe2O(gz%sL?9cf2 z<$mkeQ0Gay|G=+$Y!>P~4OXhB75mo{ZfH^)K?PmbTX<2(%o*48p+#+i4KnN`R@X1p zdD_>=?IY#o{DyZ#AVHslejN2 zzxB|{#+6pt_^RWoGC6bCd4HmS z0ndqPs!AKd!gY?Wtht~g6+jWDgBdd}w&1b)bs*0O&ibW^is3+>M*1+RvY@)aLsrrh z=w>#-774EcpK#w7ZRrY5OpW$GIwR6eij(oA5Bf<1A7{A*4>EK)=ZY3Qb?7~WoZmeA z9F!B!SPGOA=F*~PKzW@0_JF9w<0KuZ4<(M%ee`+RFk=v+5hBT6ivgy~zUVg>q%JLL z`D4-^Wau(k6x|=HlHm+q{@wT)@_o7$k8BF0TV~_R__~?1W=WS+jjjF8vyWKlPkF-T zCcjI zI+cKWa>rr==b7)Pv*m@}hP=@5=xzw=jJVU)7H%YVyzUll2zosT`v1P?AAZbtrPwiC zv!HTV>{v&DivGwNY6QM&uavT|DIll`wx}%y7=s`(MU;P)1c{(g5X2`jc8978<=c`V z?Q9e5hKdORu(R7v8rb!=2}_IjS;;3j#)>@wV3^j(01+cH%_!dIAlM&JwoPV)v8h&Z z5+s7!(xGJ55j9=eTb{mvBq)sIFk{G%fh&EFst$#qAgE0lTOsstfoplYG^I9H33Co8 z{~+AF9@Y3pbCPjqa}LP5fkuGU5oaIGDSRE9^U&b#;S#X|nYJ9V)vpM@4OZoIB8N1g zs2I5FlbU-+;6E1#dRTwN1}<-t*bf&+V&p`T9i;U!J?d zGp8&NSD*?WZYfupT&;N?DKb+5J20E+J6B8#M-{N#j&W$)kVjhABUiZhnFI6b<(>9M zd!KnT>ivG!}NTEjx_G>W-^wI~r?z`_zEpzu(hZJ#w<3BPmEK(MaUMDp2&W(lLQ4+&NssgX5SpMNk-l{MdXIDJ3=jM&m-Zg#fU$IT z<0y-h@gC=P4~;^+;uA}Ik2A8l8kp*=d30RxIPaM(LP?Zl*E&k+LW;d?S2DOLa3!l_ zoBO5_ZRV>oN~%WzFH3N~%bO!{X_Lg7p)q}p8Un?c3zya;2UL&}T?tA-JCdY7BTDu? zedsu&NV^N0@MuWszbFay3)VU$tAj-E{VkVb1SZ+yAqtWxv|S#jzeNoK641cl>p%3M z2KX?;DxF1?l9Es-e+<>CtyVQFRjUT0v21IAX9-@^Jh*+7RhD#?+OhCN^oGOZj%`=g zdD5)1Is07F%$z}wqKW4i)T|hgWuXDyA7>UC%W~tV6*KUWg*M-~t|&__DUHb?pze$4 z5Wo;QZRa}#!t=i=E4No!S$B&zW#xL5mB0C$zUgn>PYwaS8Ktr^pslO;!$Gb>DMF74 znoe&p)5Crj9b`(Y@yZIz2!~+ySgXmwutNuv6IzW|Rv33sJpiptw2w+SDxjuUR*=1- zZB*c39|?LSjB$l2eIZj;ES;XvK2j{`5U}e5F8~woPe408wkFv?i_?%hhy?kZi!dyx zx{{n`_PzfMs9Sgfn5E_$X3yP9;duW8LZS=c#RFjx_=rqK<5U`vm54g;&giPP75; z^#JaNz4e=ZeB+}rUqpA& z^tMxcKoJQgYvg;V(>hXTia)GLlM_X#4^jL2)e!7&@FgBx>j#}1Tu>~nnZD_y*+$Oh zO0^m^+2@_Rhzg@FyNfxC$?ZHALV$A~i&2?>_{_6*Ptp`T-@%*s3~E18ng619HG}q9 z%s_(TetkYf$w|*ruXu)1kR4_7u|2tHq_nHszbN%uCIOK25`F$C)heY4#W#nl_pA#G zOF}w+qFFJnCEhXTM+CwzV!_?K`7_oV%UABtSa*vyf5!S-bdEpc+u!m1|Ln7q4y2{_ zOb4>^pTm(nIH)$LARaQ!!lu9%G{L@y8~v0ZLDmGxu$Rbs(<5vzkd$Vm4rGF?(k>cH zi!AX{kPvHvKucz*gH+re!O0`Yr5ULMnV_o`&%TPeAOY3{JJiO<(#S;Ukh)d~^3`a9 zC_BgU{HXd;?}c6Gmlhq!BwcM*RPrgN%qrTqc<%~lt{KMVa772QIgyIMDmttbj~-Oz zoYa9#5?Ts7G*S}HDN9Lzd)niXJ-S44bbCYxvN@xo*n&zrTHW8Err%TdWTki%zt2`* z)IuV(m)t&XnEL0n4gq&{#av%?csmGP*vC<1`PRzE%II`4*)lC5sT-)#lIOeuv@-NA zbM}<>CNLt-8R3k8PL3rh?NK;Gb@QaY_SULN+672`IO|IZE{b&zPq| zVS?ShI>24P8JRzrQy#ODHytt*^g>Rh6?jhHP`9->lO|IDd;-EyAA#ghXC@`7P}p&{ z$Bs1(aGaH<_WKhOr;16UCpPmNh1h?{ulgeR3#9Ioc^@m~$_7X5&oDT+AN=2Ds`MmR zK=DoSy2SH`bUgT}ADYj>{x~^J=3qz?+{d{ZN*w@vxRUE2x`2aXbs;6TV3_~_m2IJg zVcwNxq&o<|-Yq!tZSVm~u7XF_9sYmz-UjB9G%F7q1p!%>h`+>HgMoJB#1XyMy7$)a z1;??OwPnHrrgtPSjy#>7o$lTCu(z9@$z;Jc#!f(1WE^E7mWVA#mLEqlHh-~_7!(Lb z{6tn*0uugV0SAO5R!fW_2{Q>e5{=WXcnW zDPg}lV#8#DD90>FCRo}U#CB;0^I^4*R-q5azJ1P#gJ63WS!#p71*@3OhMq&s5ex!# zAFH;I;*;EZ+abCxR?LzKD)`C|Go4I;I*_W=Bc2ahx8bbdO=QUgGzf%nejlWOlVO%j zh`j{Op$;RZouy<`k+;C$tDBI}!^h?4-tV9Zkf%JdWC98kQU;+Z({_OX=%iVbAkx&JW8bPW8% z#z$NzM(ncUu5vk2hzW*y*7AmsbegZv#DNRRN{*m3l(`5~nHKJNyh+^tWdof^!ukD$ z_u}^Fw%Z@)oAnzY#LniPO8VFpvx{_cUK-ag#{$f^JuAuPIH`=ydPtgBEgQxN##d;2 z9d@^^&~}x4zAyXH@4WK`sg|umL>7LUEE>|f%x;3Pc7T*QA{?)@#%GinHqc5HW?QrE z0=p}`g@}#eXEdz07@Wd7@wN+f;$+CDmKnB)PNnD#op*^-{hbJ9?4AFCm z!qSR-j+tQ)@}Z%HpUIG^=V*vo>JlRN_}DDd`a|5R_qe!3;S~KN|vrrO%XhMD?x>>c7n)@XnkitC41j+VsRkIDd8edJo@7 z@P9Z8FY_saE+V}TDCA_G0?yO>6fG2j!K`V5<3u$!RtUy1oRF*03YlUp?Y6(%_P%u40V$^zZ2v z*KnBxgIW6v#@i|fbY3Pz(r7M|z@KJpuDkWPazM)-&};kL^4Rmj+vk>DqBT9R{1Tnh zKKG`7{QY4BM&gLcIM8mm4`oeP(@C^A zdh;VHcFNJ8tf-H;lS$ziuC6#5nd1z*S^IQj;a;#qO@Dogi2@Rtw2{}<<2Y0VxL zTq(4V^hDF)4fG348r@;xCPL23^w=*z8I@9 zq)X9C0l}qckJn%~qxO?%hRbD<+X?;BAg~@#{R&MzsB+%`1=Y9AD19W`?RX#KQU#JKAr4P8g6o z@I=DCq7fMPeJ@k1@xa)+eI;qvPyWI8|B;(-din(HrBH_{-JrW6wro3AwR23&pbla% z+9cI(t5P4uL)|2JdZ?QOPd>a16Aw1@r0p0x=I1COqgjIY#x8Dtnw<<*^-W~*$TLf) z3j@)aa!pp0%@T9jAVovMAJu6}OYexZ$VW>Gn|<5^OW(uhlwr`NR<6mA-j3e(5PV}0 zjFz*Y;TPV5b0d%wEvu@RbT*N3ENZ!f@Lzd!e~Bm^BV4|A%+g<@Ne4#; zZrOQ0iHAeSLR_1j{)rxr{NqH4I_?+=L|DJ)frvBz5ZSG3X) z@+P-No3WiAG-%8!r?&z6Ge-tAx4gEUJ2FZ=a|cB4Rz~}SLB2n?lOsf7Iq$eZ*ySu? zIcmj0gCNHI(6NK>?>?|pmz^Uf+v)hy%A!;Ftf} zANszz1i@j~!4Z+T9|PG681uM{zG%|n7bFOF6$ZQ$Y+I`P=8i^=%0Y=1t?KBx&JDfS z1SAeRb*KfDP@7>g$y;*h-8M+@8hXzryCb+oRI~ux|5Y@)+_p76Mj)Nr!HWcf;2w2a zi+`6*?z8r-VFdEw`5LTmJ~iq2BPc?EBhVwOz0Db zw-DHgPb#|sv@mU4Vz3@0=+cluWn3}Jjvt^FUaUy;GD9e=go&t8>nt5woPRrXw`WKR z2N;U$<)MjQwqoC+?TYAy7B!)R`41!EKv)qXFNmS33*dumJ|CU~HRXbjRb#_28wpC7GUB2KHK}t1a!k4}2 z;hZ2PfU8o~gBwE9A!do5J@sceu$~Et^lc+~AQWc3E*tRu_EMEc_uf{=(5G8Ms-$sE zQMBQGTR|tdT+`jS8%%fC@dF*w9p&Al+4gXBNbfRjgS!G=(%|Us*4-ejLwY}NG$gFD zqo05O9LxLX$U*UT3H@KWl1ICLj?3ytoW>41%oWhBHM2NcEjIO z%#u_{Rb*M7s>t%lw%cE_GH(B7Q)PO*l|28G;(~0a{Ur&MHsQ!tee3|Z#heY)BjxAM zUdNinDM(wB)^g((wxTf_0=Q|$><k7kyh9yn-AeR4YedEU97^ilPqmDF}!aB>H_xV!Bo%bcr!Xo8v z@vW>l!V^7R*q(D7hEm03ZHHLyZ8q1~v413q$Xz zqB=rpdK%V&!k@ei2lvxXviDTsz9`opQuiWu?>!ywsY0}u!njNzL-Cr^I4raln5wm0fwg7q{4Y4w8Rcyb{~27E=0J7a_1~&d>;R zq+o)ejE3>{4$KE?L?w3v+>fl}0eH*6Shf@TmFq zy&#_f=VQSoB0es>L^QpMOQe7wsUqUTL`NgLnTBqegMWRAhkD$1(*JsT-F-jxDgW%n z&rQMwI%Uba`@+nnn=KT1geZymf0 zBb-d6!*B9IHP5|+PDC^`3M!}Ml!kO7%rIyga`eqMC@O}x$k$|=Gg*pEzgQx7k)NbE z5oQS~AuPq5#l8t;9Ji+M)#OpR!|cKX8K^9z>~WR+nvVhF9xCm%V0MuQNZ={p8?yki zRVxygeUtLuM191L$G>{a1m(r?B8M{ldwRwFCkZ8Rn6kEzH5o7s5r0JnkEw61nUWt>An);QUj+)_?aeC2&@9phftBDtOcNOacn< z{P+D2{27~J2#Pt$W;o-QKX5bbF&YQS|8Yb9^v$sC4`{vwl(sF3j>Vw~&e5>lX%-wv zD#PLYIf~zJb8J8+5l%web%NsFkl<`9P7eAZIGf?*pdSHevz(j;EZ}UGu9ahC$S=X! zET`x4_^b0Ib9n?T%cOop(U}$rLtVj7f#|@Lk=NBI8zy0`7-e~VIho6to@dE@%fs1U zM2F?50SV20a$nL-sc_B4%4`+_9D;KiE167({$#AQGd#`u5k$qyii(Kc4YbA;mJfooibP#oWGoBAPOjNXy+L-FvFSkd0~ zB%UtTUr8vw>=LbLe>rIX=rexoWB&Uy(0({IKEso#ZqN+vZE!#fXjXBaa33Ig^=RKg zg%554(Y~p*LXZqS+D~?adbFSH2F=hOX9hm+%S z(Q-=1L3om8`6O+Q0-H&_NH&v-gKP$(qP#vCjwcuo&`tAW8H!HCcPp_TZ_J%RDQ#8@2RFhGQ-xoRwwL?Ie-IfP@3TpWVLG;BSg8=whv1P`@;2 zoE`61Yg^|0c)tns&L9-5GDW9~B(#Oue&^@O!_7PcL2Fff zIRt^xezCmwWtV965|&@0a}@di!9V;fzvjFI?GrJ|n)OJ5`%G#zifq+Bkhj8HfwE?X zT@BW`7y^OIPB+3(G~CsMA*_f%yEG&UnPI!%k}U`77Ak6`p%fxx7g@)MoPBAHNDrNA zU<2tJ3yC4y>q+rSABJ9n9U3Y6Hmo@8#-o>DhM{tg4}*@l@*I`-ZYSAdRJV9-8CFTu{UX}8R7h-Db4oIbho-ottJ zAV0v{!_g*)Z#X zv)uK9(^-n2+&Qy+lF_yil6TS!Cg^;A9A5;~vmh<@Vs+V3yy0>AcP*yB{X!evLoq$h ztu2b_#pdJI*25jYg8B6Y^JSN41@p@R^I!R`pZ^mM%(bi23In0X6BL&{N9Lh19n!#M zhqeTjp+!0bzc7UI+*GSOve_EZ9zdom`?Dpa3@bL)bbSB@mn%xh9}GK?_JlEypgNHC z!|B71Aeh^SX?FB80F%&lluoget?pa2;nOqex~=r)c|!^L?Ne(70U`fZRdBqahWz$v z_N+xnC_U1^E+K!g3@rt0U~lo=(}_tRM?-$I>^is_%${JLL-|!=cxn3NLAjZ0LhvtJ z^UUzvXUU^Ou!uw_7(QLxAM*`HbN1qUpjZ&k08zZ1S+R)oABMAaxsU5=8$te+1%H7o z_*>+;KMqkY`~X%kzrJ9;>=LbDemP+N_dezSeA6~n`L)H+^7oMwBy4==!j10kN);zWBW5yxtS` zwK2XtO969R^8kq%{owmX$anrU1`#nT@$Njma`5*1mT@#>=omo2`nh>^7o3`%a5vAN$7SI;bC4<^lR$O$~Yq)D44DA88_2-%7&#P$O#(Ao0RLs z-bzC}g7Io!D5WXKJ~)&}L0EWSgMn78=<@*4y*WU(rVN~Y9-!OSOlmL>fV6$FqLlu_ zIfe-VQExo^Fj*d8%h6^0de8uu$JZ$6GpTQ%20&{fz^yPI{P&}!e~a#?k`P%Qpqb1q z_^UIWTkw~s!-N32jz(t4&eP{UlzfG_BiWk%3cl;~I*&6VelhAd(7X%d-yUA0~Pe6jCNI2~A9s>0knOa8G3i;q9@oLoUwjhNJ5a75wgAEy(-+TYCN2w` zS7zdJ?7MJg;<8J$x+lvo(K*b-um17>_b2{b8eyn4BXduztPRB&ispcJzuSYcmKXjB zfM$q(e2V7Ga}ExNmZCY3fI6X7cb)N}eXDHfMkr+ z;%lYnHoTu~oF5|Z=%ZEJ8_%kDl-YZn81%;Dx0@-wC<)T-PtVBVgy`HSoI!R5VlEC? z)$4Kl^FDC<<9u-gC8Zk_88Vy`dYi+!g6>8XORLFuV<>e?M0n1Jp>WHtQS$YTl9yeg z6^1SchW^>tf6w>2PIToVT7o^W67k?)I^kt%aEpXtfq{+ThG5z=dCecZpi4MdfFV0{ zgJw2OA9qNI7lslg+lmOO)No`)iNTDdJ~iD?9BDJ0nrha*V=WN-xa5{Ex{Xq<&# zR~BbU?$+a1j#!A5pbY1Zf9@C;!E3iaLa}p{;>0~j0IE!hh@jYnj@)&L=r+jowYbk?BJ`>H5WiEV}aUB^# zXf(ud6RD$*$-_vZ*(^Z~t8nabeVD8h9dWA;UTe0+{InQhdA8YQB(M6FXMXo^JEb@i{Y8`HWZYQW^pBHZOxIa_US>z3aeE&T1rfe#H zY-xXB^*I@43Cz>!Xq=spU-Hjx0Gp7u0of5vzxLq>ci#c4o>sKe?`Z@{W z!8CJ;RwvW)DU#o`1k{H zG%9)iQ7&As6#uX^2)rgoOc-=5dA8?A4$WSWw)=j)C(o8 zz&LViGLL4KAxDnSgA}q3JR0hK$kEaH%=kBbMYBPuz^K+yBdQMNKH^)`z%Hmg zrNx!te;nZDY^;|@Hrk@LD*Mr*Tq^sg^d`@Il9Dt4Uup31;LW?ygYP;4D}#IadJ^0V z`!~WpQ@Jjk3eRGlfHjDMUl;n{;n_6fk*#olIdK02&wbsu|LHe9^UMwS+Yv)bxIgTG zjLRZfw#F`rWT*TBAIY{**OU%f44cLa4^gVw6;V%fx#B$% zYw4^pFOESTT1!ozTu80)6Dhe1_1PM^iSp?F`b_#nQcsI(PC7;Y3Qapj{t`_)MgAH= zo}7*-9Iag?danNal(J?#&;4#IHFTtH27aRqm5i1ui$CsYNvYE;pTyCME>z5(h<`KR zwiI^^v)J<=3jpi<7KDr)4i?e@p{`kYO*+u(WP_vcUEoqxscw7-4gD$eC#y-d|xV zPJBY3M(`>o>)d&C`|ed%41Vd~`uhLo``#S=ETtL_2;xyeeQy#Yfs+_224M@61|gV2 zB!_bVu;LRb845=xaH;{2lsu(jje2u#C_jBOL>U^s0hr_+G)W3WiPVB&Nc{-qJm{sQ zLledA99x|$jzc$9kZMVW@{Bd!_azX-yH+`CeO<|n7ytc;pJiv+?{{qWru<8(VZJB@ za{J*!g;cyyWW$SnR>UB{;U5EAjIUDhf%s-Pef#0jmV!pP?sfDH#R(R}o1r%uI~qVI z6M1FJkNqu7Pi}JGDVkYuN5dDo=~2y+{2W3CB$x6#PU{(Z-8aE;h4>9_V&!tC8$^4o zf@Lgcb#)cgbDgETjW->HY_uVwtwowIyYTKl-gGck0>*wnxR15u9?x^%;1l6S#@s*F z@v=4DoxJI4N(cdtJGjMVJBE}q;7YpZk|C7safO{b2mdg0 z=VUwiZ*>2_mW>rec&l(P-TpAh_Lpt9zck^e{o$>i<&NSD^WJ4u(;IcmwHw^J{&eKm znkt$V&eyx+@K&qL9fv`F{@hOIU*mkeJ6?60I&;TiknJzqZvXwJ_U?Ff*wmRjUKj4T zY`gt&zTO?LcbhtM$Lqo!m+iDazA*oB;GNgd4U^w~bK_RkY-$@M+#p3$^9k0XqypB| zja%4?#*jebrWtcn{DA1$*O{Y#@6oG|HuoMpy8G(gM>k%)fA5}58;ow!i+8{L&R4>n zQkN?FQqj;x*Us6+1&22Nh$9e&vFhGUJq_m1vPWC_J7hc0r4=+BADK!AQcaC_ze*&xyk z+1FzYcVr|> zYM-Wo8-*+>EURr(e(cP9Zw@`^1|ihV$N3&ehv`Kh_xl*NQ;;bpVjY9_zX`trwD;!l=(L^ z>{QZrynjQAQ0CvLTkHFF7s4f{=~y4$?x<(HGyNf1d8avG5m9_3g+{v?mU9MB-%LfM&) z?;DZ%wLF%1*(D-cUd1JHD6KE?09|^CxWjc%m%Zh?zW>kumveyIBT0r}UjlQXuXsf9 zfP3)+2YZd*ap-*$`aBZqdBE-4Ek*bdlq0d0BUG3^VDM-veFqExDDx&BaD!8TLyyc6 z%m5ei%z#mZ6Kyx}M>4?ecNIMs<}F6X2uc8HXIWt`i+miETg$UlT^+O3E?7Ww4XwcQ zpjlv9b73J9ak|Y#Y(w_oA#w*9Y@P<`F$fi;eOiKi_G`7j>lmSn9V2uLt_@N*D>gqk z-WJT(fP2Mes|2|i{9@gw*E=@9?E_!@wV#x*Sv!%8G8FofK)Ri1tt2*^VE}PbO{iNh z+0Y8%3VN#Z9!zB48SB9iOk$?tU!Yy>cK9I?oEcoW;5&{_<$8fRY9bKz1knK*$gq#kctj4#K4_g7I0DE>QQb) zO5fft|0dKEtn_qdbx>cY@VXEXIAOqgMQ+@ZH5Ew??rp2vpA_ z1%U%@F7i=X8X5*!R#>)Om{&%<=QhSXY1E7J^=WiQy;)X!MuNcb=8S9TG z0-7bxk?C;?6M-<3Sw0Thj$z%ylMOBbY30vUi;Ea6D1OuK;mPh6U1b(`1LRupxh9Hl zfv=mX9$t?`akzt5$Xx}2nAx}L^735%>pd9#tZ)B!zv&A;A@VAfhit*9(eJ}t69_CI z8C$kp5OU41htLmbS>uMR%$gzkKRKs`-6nesv>}{@w?Z&#hHZx>#jwNRw!)B*8x291 z(-je7mMi-1hz5bMAZfcbc|kk$A}}LDoF*$8wgsz`s8)nqoEsXp0|5_lMa;4> z)nqg)3D?!%4&sWKWw(PbBKHGmw&i8^eLb6`xKV-2Sll^MmW*rGI6+;rlM;M7`tm#C zBUsa zynfrWtNMwM&f^XCMxhy63^)G4&Eq06uLYZnFW7wIpZSXCSM`$~QHanjJkqb~C#(92 z(s9Bg3RyJa)<-XNRX@@G5l@3rXD_6#Wa9$WPli=G_#FAbj8*+ac;CtGT-8s|$mcRI zZ`5{<)z7+0^^51jKZs+hx|IkfT*D|Nv8dR^ufIDCRUf1;LGO_b zr5wPv!R@)<4rR6^tTRhA_UM`@a7_w_L4l076Y}&JDp20?l99JI?^X_~H=d{h`(KeJ z>%-B(_&VU|a*K)(e`e`!<_%Q9l=F;k;i1HsyPG#E+re+C<}WJ$ePqI6ZB^-L0n`AC zZ)uSiSl*!VwiHz_w{_M{dW<(IUJdlSB69+#+7rVJml(EgHff@PU?0*{V1b<41{JGU^x0mDHMj(O(vvq*+OAb?{eo4 z;Vqn>)15_wD845sR6h?1END-0+nv99fCo^~YK%LDDs3iXtHNJ?xiS$WN?_^u6fVoA z$_pRHAD;k{jz16z1AXYJ3WW(>$ellg!ZM*XD1T+&pKQDH=d&(t;`XA>SjE(Php)2| z*)ZFL$QV?__|#~h?;+(So9b`FSR}|2*GCn`R<6&O!0R>9kje)DM`Bf^=J;4=<@!XK zv`nS#;`P?Z_4%rQ-v8mZT;SCC22+lNF^q8qz;WLWf4<0nqkGvvPipS!SxMFg^$l*;spY*0NRa(LPNt3lgLn zfmmh=-XLKl=CFE5ji{w4j3ZWYmVAJkCG^f9L5?*+(hfXnXUS)2w3M+2wA}6CK<1xE zxIShXWn|~4&bQQWm7^oP$kv?ovgn!wA=N|-l7Aeg+sqr2w}bM?$SC-!K5g!5>Z#OD zmF>$GF)95i^Rtk6TW8Ln=NC$Bk^BOcQ!_BXh0Qoso&uoaB&Ix^dl!nIcrzL=m+lY0 zjx`InwwSFgW-lelSZJi}wsaA`?rYxkcRn&(ylN}Rd!0ajU%bLJ!qb4By9hEm5x9n& z8Ixkp$kLrBDB@MlWfSCp?49QikM6IgXDOrpyFKw2oX2Pg-D0g zK$9hN5jyH~p713y7oo2);89(K5of}?2q?vAD&&A(yi&eJTB#E7!#9v`2%{5e>A%X{ zML=#xzoKos&p-Jr@LNh_UQkrvzDTeLZs&SsDW4&4o)%|O%rDa`@qB_vyB;+uq^0H4 zD_!Yj?{LVQTx+VsZsh|bpuadiFx@C*mo(xR+}C7?)!*E^#QkhDYxa?f+Gv<*{_~3a zEAFqjKZg51_8rwvymJ=!rviD8`=N7&D9vu&9D_XWql`xg5}mUGg0%W(sMerdh138Y zgtpGHN=GA_AyN?>41yJ#tqcb7@aJ(K&F`lp{tWjITgZ%K#RHrf9@@w89`~oic%K?T zYd${hXc)f;c_Z5C@ErlWT4&iktTimQxg}#$O9}|g6}^i$f!u zC+PP{`Ey3LSU=StW(X?eoUkHI2$Y5+3{J`N??O>==P%po_~H<{O({=UoQ=R*)AI(f z_;#U&D-}NgEWXRflKEakYmyH0IUJ`m@=MgXR>8Cr{@PH9our)WF7y3+{^XZ@;o50C z+JCcl+G1Yg-4}1ac;}TnX+XzLTQ2eedj_x?;Es}41+QNVowgyf4m9Hb(3Q1D))yLC zubsAQ2T1s3jk1kbD(mG?Szr93+n>F5fQ(9`t{osDNG?KR!R#4O=)rd_PoIBA{u z7cXxLA#qHlW?dKA=u!oMwP!nY*Vdd+Nv`SvTA9phhqoXXz?zjAVvIG~G{mrj#Ai&zmhreM`3bfH7R9B_Eyf=r%|bEij?0HN1syNRqT>2`aGGvztR5NN3KHB?7aA zj5$VuBUg^rmOu?~mj3!+R(2@+$t*8Ifc9)=9AkWO2y`r1 zm@1B$;_{D+b+go`7^{?962T7i@B&1=WmFs816e;dd++B*hTXDDG?heJ> z-KDrgaW4?u9m1RY_g~L?zf5w@WLCZ;v(D_Z_q9WbVraPcbFrbtnn?z{XhZBgDGbBT z!6^p~^Ear8Wu+EB(IfZLdqLa3Ixi5fg*q=HU{~j$q1*aW-RQzoTYV&^deV@-E;L%( zePm9hrFk7m`Q%bMi-2e!SnULj9zK&9KKClU-nYOB5w@E4uIWP49&{sYm@odee(|32 zKL-QL4sv1xfx6I!w!0ouN4zX#@eNS|)nC!#{}94okr{5qJQXq*(&td#I$fFiUqyfJ zjE*4EtS9uAt&@_N*z+Bun{!5eT^;GRwsyHyI2JQU3{SQ}kD)k-QnNuvrkG}nqKlo* zwum4@>C%LEd(?}&p2%J$*v~e`&qMi+_IzmG))fl5H$*;j$b;LwzLFAt%gAyRI^xOl z%kUcl6<#$A4F_{d=4ibPNFjI}5n}0}K+^AA#32kz;ryh1GeFjL54RDYpiMS#UNV_s zJi)swl{u~Go5b(Tv~Sy^hZE<1_guS46m1~R4zs1CKS~tN18iLf#LU(*uf;~HeYyDZ zCRkIPqdgXjkeKvzqgNuW?pG|1jYw@ELMsEq#s~1`7FB3=11#44}y7;jEbVgur7&LRybP=am3(AZH8m<=u*ZL4q3^ z!d>Kx{P;OqINw3BXtF199doLeLzx$|fh%yishsC!v34#4BL&p~kN30U;A9baFo)Xf zXdKuSx{ciXW}@J%0?uZLrxBC5@=w;Ou8j>~p1iVobPe2}*-h(c;_ z*>i|6BUrk!A%x1X9mBD>F6Of5m#QR_g?&}N5Px#@{4(zY_ptFH$}oqY7b?2M8{u8< zSm;fsaVu{An|?8h^t7vTkla?}qfnB!Rr_1WYptNw1GsQQT!EiCQ`}GMTVX6$!#}E} zQAqXYAJhv^25P7eW2C^WpCr1ooAW)i-%k$9)eW7<*hF)7XmZnkqOUpIdK*?>z5I&4 zTR^ETX0Tt)TK@w8=MPOEBj2fQ9#c`>E;ec+w#?E%gnRe9S+~Fku#*jJ(GJh1jFT+6 ze@g6a1p;8=rKTvLhXPoA@pm?v9p+B{+?vb^-OoGk2N4$_j-#xx{gM%E_9>gYWW<|Z zAQRXbR|ovrp?@6Bpou{1(g(P?bZo*Jk;JY!0JvM7^8E$BtpzCvU}~`*58Sj~4-7=6 z^wJB74^0kz=S8xz@WC-12ix!HX z8}3C=(l@4>-e&j(#MzG%{iUM0rzJ(a+q2vT3i++3Nqau3@>PhR(o0_!NbsniV zL(iB|)=A+VI=>fu{1y}k7o#3^mrT4D(<*Yqz*2xb9H}YVZQUG&o2p_{gP~Zlj=W3K!+CSLpkFSgFVXu|MJ5K7`H24OOtQdw- zXZzfdX0Uc|SDRr`UhE||6iI`-_f6su*v6s*rB2?TP)beviAGVraO3o`e3A?;Y^@VL z&zz=<6A?!(gq3u)aRUgsTiy{0&^YVexS!xuk(a*pUm?BJ2jN{@I^!6pwjoy)hv!UI;Qu#e5@V?M>mGwtz&b_!~(Rj$a2TUQvArL4f4un29eY3 zJ(T0|OwfW7&t?_{eZNycj{nwz4$yl@ya4V8vi%YJS>h`{UTpU!;k22cP<+^mIBe|U z77$B_AZ2~@YX=5Yt&{h;!3QRF#i4yTo>}$P{06@r+WDIy+q(HWD*YQVd(vNz2ljJA zHP%jV&R?#z$UgqF)qt(?ba(WZEm|QgLin%=QCK#|9vnuLJ1RH)?*q+P{=o6^$@COb zGa9YlkUAC<2R_H$cHxpzj>^-if(N9gdA&YixIRnS4Ysk$?8e-QAoZ+2v)m+P6Uzh| zIB+ju5gGh!f0zB>uJ*DIHeGV;MFlyzDco3k_|hp@F0bkOyH@Zqf)^scx++xITWq&u;pf54r>9m+qDT4> zCgxVBH%V($yZw!r>~=gNvYE}n^o{2=P6k`jx2$KO-Y;JO?AzBV@*E*BZxx#n;Xm+Y zhWDJi@I{a{h&X}hP|1?y#4-E=U@l*UE?r^tpKq(b*ewdhiIw0lofm|cKF%p|7)Z-L zfYIsJ1|v;%2O5R!M>{WhWS>ipIg|e$yRsDjdTuY{*=NJSe2sO^64jjVAP8hAgoRYA zG}hh~5Ea0!#^q0XbBiNa9ZVv!AhqU8-mte_UKc2ktGFM|A&Ri(K#%}7!fs9?P0oB7 z4q^Ud>}TjL<`vT))g;I^c86UFOeWZThX2+*|Pw$Pz9W#nT;IAf!No2tk4p zW>g!Z?%7D&n~DPLYYe8$(ak*HB$0D!Gwc9|;UQ}0R1oEBWuw9?=Rx<>m6(e54-c#^s8L3ax_p}0p+8atD~!)hXXgDuHEHGS1A=% zE}6LOCLKk8%b@I;l7Ag9m`7H^JPBErmQuwbi#Mp2sa~`LSeSI?jw;Am@F-0yE zUKk@G6yOnlw-P)tCtEyP_Jcq>H_xnm4Y3IZOa8QyL?G-oT0Ji_w*;ZEUl?lCk*!lp zqa)aSkyKkwoZTnhtAB*M7)QHF0tDfJ1ZZ3co9T0_>0h6x;H)L<2}k#_KB-eO@@{yu zqrKF5{ccGDYjRx!JjSI9R37LY) zl(rpN=Mm|Fc}#|3^C`j6<%LE`8Wgf7crPnDxe{M;E9}TO5H(W~(k4ikV8$mDb(h@U z7P~y&(ghk^aa7q}rdGTj@vpN|e>PX2#Lez_^7XdEI;i#L{i7BUF7e5s3fg|GN_UD~ z14gsVpHl0`rC%rZeI%lNl2v@@9$GNT7$s>Hnj)r-kkmsI?3p(|<9`#cEI>qR#qTU! zd%wmFOf?YWT(W}-TXcsOFG?Wz6D1yPd|X0Gm``pIL0Rd$n&Cv)6Kd67wYDJnQ2#yV zFS6qv@ypV;AqOSQPJ9yw&BqE$$T>F2YX@H{KxRt7mtvXhU|I9PoNX2Za8)Wv`q@S+ z3pWwkWaH-Od=fK$Yu{K#9m%RrtRdhpZGEymz(JbN`+TzUx^0)`tEj)fG_k`b&Ur2C zDsz_vFi*WgZKRdM@XVjamL9eq}?QILEc5$7wPe z2@tIlng%MT9^i18^QDW^<-89mDB7puc0=Z5!b!0$K{uF~lMRNaEetg*P{H$yGkORg zN6b0cM9RCWM^Vl(gvtoX3%20ux<*?|?&lB`ZII91>rL{}io^Y~Se5ZgJJ!Cyh{ixq zrf{A?M&sf$f_D`lR<*zFF z{_iz8Wy!Hw;sEWmLmR7_TeCa)P&EOEoCNOI?JTWLZE&@5b7O!0d&pn=_?Ewtcz{$1 zX~EUVIaOTC^ht2eu?y0HIi@+Q%AT@1r2>b(V!R@n0MEBzNu%^91#DX4PRlD0iep=n zg^e-8aBMJ-x`u0PKkBkc?rp~0*}1n}@T*s@&Zcxxbt|`<@^4$xgi>4&ke8}fUtWr& zq#`bTdU%Rlaha<{1DnCul0SIL7u*eSX)E0I$nhDOa?MbehY*)~m943quP-=)yTMKpw`s%Ch3r|H z_kl!zl;-Awcg%p09++nw{THYk5RW9@#2omN07_Tu8`l*qE7lgxrkrq}E7U?AXQ)ep zr%^6eM(|2RXKYx;+lIc@uz zr||o&5Mj1jLb6Wg_S(kAi5yk*lh-}D@I!JhxA|u8J&@~!@q58({FV0Mt<--GjQG#~ z3pz{(56DP)2K6WwzKb{4LFYm)PNSY? zunl8TsFuBSM}%ug1}PC1j>NxPte$5Mg#+f6-U`SPHSdYfaI+wdCA%3kv3J|3(GuRErmX?- z)AHi}c90+|M0zCisx}@zrVlNae#f*A(ikh==?g`CC(s?j_yiOGTtn(lQflZyz4jPP z>rCoiii2Qr|&J7ED+Ql6aA6o_IEnZHA`U*5A+!OC}nYIJG}Ijmx5xD}E3U zw@f)jMJOmo1M$=5%wDhh1f}Y(!%%`6Nxy^*j_ZT5n!lf zhp7V82#mhS7ZG243Wlx786pZO%5DLj|KLxY;CD_;Lf5j-+B2J5j{Q;yx2WdejAPvi zI0T(F?C9ica>PwWMWu&C?Y!T7j$4A19OIIbPmAP-_kGA^N%1jpLM(^MH8co`=rU6v z8{$VO`fIZ2MCe3`$wVv~3|g+xd)UcI315}#Ds0e`m+R@FO~|6jL{!A(dA4)UO`YAe z8xrvmW!-2Q8<>0*`^(}-=23rnSDNBR|DKg;>HKEQOJ`q7-K0%SfMDao?!r|l+>{e$ zRzws^Iy!E?H;rBwUh_@+Z<~BpTx0y6p%|lz*y6qh8xib@lR$ZT#!es>i9M}s^tyMG zlVF5?qOnu%UV?*Jn-DAAJudv#5!kiD$t=NrxUr)V!s3(PvMN#G=EVN5Y|qgwF#|c> zez6e6Fo#M1?JHi;om(5b1gTFdo1~U^LVJTPnw$r#BVlnR)fbpB<&ONunAomNZsTX3 ztnBsDh1+<&#jR-~w)afdBI-unk$4iEkZ0RbS|}{ZK58p+^EI5QU1OPXA)n~KV!n@X z9(ODV?CbOCThpTzT`yK4YyT8^g#^5~Eybd31d0_(iIfarn-)Dd;w~>Oi2b%7y8Q&) zX|Imxbw*4I+9pzbib5qwBg+RQ!X3*^O^$LTepDQcA#M_+sz}%fGzEL8-jZoN%~PvN z(9GwuAva1yf$b0HI~<}^2IGWdsavlnjts-qZ{iCo)C$7mtvcmFvY+{m_$@iHa)0&ZR?uro=>j?e0Z zQ@&7Oojj#ye9skjBl`g1T$Q=;(T$2~sH$m9$Lc+|k`r2IJNkUL5)1FfrKX;4?{SXK zwwO}rP-CWb|KgqaOls$vs;*CsW7sW-jczi{If1qY$DjoLZCb4h?tx+}bx^v_t58ms z0kpZ|dn5drld`62#_abDNAkFv7n44oa|KOM5XqkTv$;1O{?zxSz%E>N#~DM9OIV(KM7nKgCo&yphk8QH#*r z&^vi2MW5Y8d6SC~oG||sEal7!p?JK=Ylfi>wrm|ltqcCgO1SyO8(HW092Ea~mhTrU zL+2d&ir?93H!v+T5dr^y2V4#si1Voql$-Suqym_wGpbEE4lTGP%yfpp==zjUOe#o> zlEB)cmh#Y5p0}Ygrv~Mv&?ez0MP}ivISo50Vaz4G*swtfLZTE^$__p z8T`V8=D!MEFL}_i`N>3eI1l82Wx`5`iRLZYKfi;Nu|MRw0|9=kp^w;W_49V_V&8~d z{_$H!-%%|TE-+pm|3d>d1zkf_a}*QxclI}Xe2Lo4x}Tf6(O&jfosv(RF|GJu3MpJx z(?%7?Q`Gv;9j#>iLd^%LeI1$poepwfNTjzOBovPo!+`N!(=ge}jI^bYX%(5sFw2lu zokA|dr@>^C6bIsYh zbm)NKZq_4-nqLO@Lh?gX6vjS^^<;?XhO(S6=)Xx$%BIvbac%i^pD?6Y914Z+Mr&qn zWnKRG(@yG%*gU)oM_*!Y+sW^>c%^+J9*5L3SHN@FCVR(1cuo1B$f z{IZA+1hEO@S^=+z!v5-Ui9QMsNtxk^6&kPyiWJWl&WZW0vZQM zi@f;tC%$pq-d^V$LRV$mO=+Vhayhg2HHQt-Qc5#p_l$fjL(RC|z>L3xS1gmNk;Ku^ z)W15KZ1C=N{@&ul?Jm&;o~(ecvZJvi7Z@h8vlzq7e{;^W%xJ@Y$|XMYI`*q8g;e#} zKBl9KkGt~UE8)DooAVD<2bb-5FT&d&krJ`* zxxmg%`CapTCvlolql0Ai|J(9veW;&+{i3W=yEPo6C8wYE1pX(_J(VV zC*!S?!9Ec4QS1Hd;TLQ7%~4zZ*g+!%O)J>1rO0Rt|e4IjzuZRo$6)HDL z*NY}~yx5%+M}QUnQTFc;z*qfTAhM*mYunMe$yXC5$NrL)mm7c0Q?Pqb%IQD%V0Jgd z%&2}7Zw!gFI|$hK0ZaBg#o?*Pff8*$l3B_=j&s`C!4pTz-;@*r6^maK$;4f3N@#O` z&8@$Vp4RM!|kr=nK%<)(Xw$N7-cxN7j?}1B_vIWwn6=InCVb`zj7=?#0ZbI4urx{ z?0zx2)trz06Tng1)}2SUGx4TrkQ@u?IH}LS6Z(BSxHLKwtF&rO^Y*emPfetrHv2=; zyOhebuDWIrEiu!6Jf3bGh$&0>44#nkFsK`Z+q2H@4&e%V*nX2rH|-9PCm2o;hyl$> zKPI4_CJ`SfzK5c{50-uWg-^;ah>B0^XK&B}ZiO-}xqw%=mKqVg`S(Pl|7qHy^B0LCY*k$R!jyjod^& zD&U)tZ4a)nT)fV^7*?r6Q!y9YGV^!#iOq{r&e~l2y~;_X5qrvweY-3tK5?AV5mI(T zOo>lhpO1Jk9Spm@vNB2UbJDtZls$3cr;39boyK>#Rs4zW6=#fCN;>kY@+Uw|d@`MAR;v-pgAstt9(*%twed>Llbg99&8N@8;bi}NQhmTMi#CmAr z#*1a-So|w=a>+`R8XQN2m$#9?&m-3B&r)qZCx^f;5JZn1(hGIJsun4ZL~#h+gMRL? z9H=h<5-1pGsy6gN{!+7Ise_Bi1}$U4j*!li)L*jj2Y&ow%&|I@Wpv56ew^g<1q#%d z7B15cYh3!uqE!z84C+pTuE%(TOf_dH%xWTRodYCJX%*2nz0Vv$uRd7&HnpWo@&nzZ zGd;ft$K?r(<9}d(l~oJEgwDC9x6dzgI3qbjA!e=zu=qOw7nhXfH!22&_@r(h_w_kS z994u8aLydq5c<R*zmi3l;^%ULX!FsK$5H@&tZ@iQdqFD z_O}Hb*#2XKZ`I%)^ZrN1&q(R^_H*uWN0a@L!Y{cg$WcUpi0e~pI}2EkGmu9Yi-Mn6DQqIm!QP#o`o;bkLS# z;bXh*#c>DJN405Rjuhlh2MmJ>a{CgLbrkVU*Ur#hLz}Z3R($Vx+(BBB{tI@vh)m4{ zt@wUz&8o>#e!;)O%3wBUcB;!P<1!gb5g}|foPy*+?AO6U{@n@@!ok}pg7xjc{k)hS z_9Hxq_=FXOp?R9%PwyFj8Ns3_aT{Ltl`KSFE8Bkh9@-w3oIoV2yPX!AEDHa_2EN7{ z<773s%K|aX6hEOpOr<}B>tlr14QDwn(foY(B1P&+3x2Dk-Mg_gPDt8tUX=-tN>H#v zE9lYfh%cz4;LN~S00DneI99By+x3^uS&%_i$|i}c!UP%%Vhq!-@9=1%|Ly}xGo_P* zXM*aH8s`?RU1-3xyAl@W_OQ&Ua$tQY7K@usqWWloSbEEkEKp8$7q-K~32-IZ#A4rppc z{$L%XN+zx}nfroI2z{=+d@SSOp_=eGI%5Jf7)NR*M#Y#a_x!+BQiz=d+?Kx(_j%i@ zcMI{*KH^N9@IpI_+Q7Pa>5Tl5TgyS1hK*4|%pK4EjW2|eH+tthcyW-^F&MzZyzVek ze#`polA-eDlTp(wRk$tKYkx~lW~-1pV9-G==Mriv`N)%e<6BgLXQhZAw$d~=hwPK* z0mZ;3xIX%_F8O}@ZTERM6@K*XO6)G|UP@Vi?}z_Y%;=tISWqqC+zJhDYc1>hpQoP7 zCc`H{#S)ilyb^7Lc5ZA_D2U) z5)`F)9wW=b6=Hgv6`}fiit8`8?OoUM-p4P+ecw*14F*nesttnDK?SP_M0fu8h95*b zx8n3f1^3~Q00n}>;!$xi%!sp&g}jB_P@;p?&EfeXE%a7p9~uD3^{QxWw|*iT3dgXa z0#Tq8Yll++Fvclh^|T$6k-<}3NXAaGzTH{E$kgy5M0}BvZHP^kxn%Dn>K>_xq7qeA z1^&Y){cgHnev-#7@#1f@_(a!nJxPHoMF|?+>?4B~0V+UD@<;pVK^qRtl}Aki*1NUr z_x_o?dRzV*q-v35rgRg(VNHdJ-yw=Qff&{40ceG;KOy$|tN3~8(W z$A|E6n1;;rmizjYZZK=x|tOnmk0tC}B@h)me3 zH#wi|S6IZ*R7S5Rk{6ktT<(?LAzxA-lHHhA?RoC-kQS&fe!PsnPj2x*tgstl4vz%O zs0P|zalO)HKz9}M?~HGjlHT&HL9T&yv^?;jA#6!+D{UE3!TM_sIWaG$1*LB=FcZ@* zo!3`&Gj4l3hNSW;1Dv{PcLRKM6&Sb0t-nKtrJf+t5?WX~Fm!WKcHTHM`wa_eDX=_6 z@ElEmb{Ub~7v8&O{K}A|!9d!XFbjq#1D+d{fA;AX2^UqTfTs6gaBR7V9LZ{L2e5Vj z&2QCE{jo6E)xez03(@eyXnQY*CxERV*9#y&3=j8$=*mwGH%AIj6Edk*AmXGb{8J_+ zp^g@pa*i1QVPTFdz_Xn;h1g4C-MW9k+#M=r(*0o|cbdGXlBQ@NRB(8~%+bt8o_-Mc zPP8%W{pnb&A6%L-4cQRtx@Z)K=-jk+L8bi&d&30SGSN&oo0Eb|wZMTxHk`xcXTL~3 z_f27fK}y|n>KtU_m5q&Ocw=y=^Of|Pz>KoK= zCLgX728qRrei?n3pq$9@g)K)+;tMK3u^bPy%iCn+l91KMr^F7nBr+p>Xio)y&BCkB zJA|Xj2=HzW@Ck;>)B*lr5W+?M8#4%Nfz1}JF^T-1CPUlbX8Q}q26UM1WTs-yL-sLL z=LDy#X|U&FrQ-vmxG7(!;6H!|nm7FH5q@!2@gZC+An&mR*6Cf}c=(9TU()oGK$jv6 z?A6Rs8ifn~aUE1BpkUt%qnO`8&h8oB<)$ED|1b(~ z*g)HYPe7TTO$3sm+z`M7>0Ljx>cMUhI59&e_He?dCIhH-<)I z#W>mZJ@_u|-I%2=Lv5e#&3ca4r5IOJ0^07t6VTbkn?I%sg}>w zc)Hj8uV|b>*nNnfRMQFkIIqNMZwbzMT{qG5>n&l6lTUnrTCb?fm&Bp;#`D^YyqLue zC00Z-kpONe5Tnm$cV(}#h`xiEe_dMkZfMZxL=`~KeOgH0Pc z54wQ?Wg)R&qeW8uTkewW4`8XwquD2}td)0o>*fOkJu1C_HNmpVtFRF>1@@72+K>1A zra-VLDV(kH`1AMowND<4)&+@PgOr~^)S-3*3ko6#EY^?39v8mZ<6kAuBL>rr+Z8mkbyQvwx|; zr@D4Tk0Wd^AA3{@2KBftD02ImjB+)W4-J0+Ur1*zeg!RAt10(}y1k5I*?W4cBOH!L zt6{cw+SLyh59GQsEetF&=9SrwHF<)-TlkM0u)qqx z(C7R)Q}-N=xS$kc9c~hbe#kZ@ zvcH|y^*O{CH8;NXzDWf0!EWD*(rUU(>y$I#7s9)PL(5)H5TOoJwev*``u1VD`t=a( zd29oM+9YcXqOW>ancMOi-P28h%DJ-D>XKMx6^a(!hA_htUCgTFe zSX3@h75^G4ir2S2-Af_+s2ImqlEtj7XFX7^_v1~2sl7Pcn!R=^Kjh4v2hn^-_Rpe6 zCRygAM$$t@gx_XnU+zAAPP5dq%EDHH?!E4i%$;dqA0tNG8Z zfYL_$QSlP0A9Yhs+WHNim6#2Dz91Nq3l#vu{G~*GO^YgX(l91G!@WK~jjnc$^up&w zx1aNT(teAUJPzG}p8_+L90>>>#WJ+~+ZbT0D%6=}UxIbe%i_bvgivjI%wl}5e`~?; zIQ)Z`%+8oD*Nda=cDiIn;TffNX8Pfm1NgqO+|faNe02Od?AZ5tnPs&aBqoyXpuma1 zWAG#~gr2n`F+@#8ICF)qjayNh3pGi0gtrad2KI4!cKrvUrN5rf2ZijsfI{0U0qT_} z5A+Sf9KEFNVK!`-Z_eGT_%$I_MFvxW3%LWWE^Jf1IRNE8rLOb|e&#ry>0;|>jCo^Q z!W|<-bL7VP3sxYJ@ADsrxVDF^7(Ktj4S|1HNHgisGdnM^I}aO*r*GbE+HI;V(|66Hi*Vv!Jl)F+qZnUK>fwxJPrZM9Y+pvuaZ23z7z1_g?HBSw?0k&r zG(7a?sU8OI$&`mnPDznz$8Ru^H=_9E(>3}EkYc*-jf+nm7=wjr$^QKFV-p66fG?b=8OeM!ngtvS4qLB@+_YOn{;f1VnDXzQ(U54P8WMPDJ6Im0mPTIe8_ z|9`!wN!LeA_X!(29a$q(y8+u2R_zG2~lezs8O2ar7;;_}^ z>#So}Ketl2j!JtC8z>iW$*aPW?sLbrSN|2R#fa2b=kSqwPkgy*i8*{5nWpnOif!zf z_#Vjvx=dvA!NjrGD!zkL8{wOCljM``O+{0Y-wMTq)?}wfX_w!*4jWE6pNiRcZ7d>@ z-}Y~P^r)#?!FHk-_UAQavr$AcnjutaVdKW*=sm>fA9(?{ zReg7(wZSi%qI0oUT^oS@wK<8di6PvSYBqY!8y~#V8LA;<2?}L2adHCeU0Kykc$H>5 zih8*3-_gexEN+^M;OAS{DjJExe;a#~gshkWMVqTAgsK?D413t7M6e<6Pu3aE`EehdehS0 zlgtJ6mrVTaR&Ep73RyhZAED*pviZ)7XyP6#u_edQt-R5bPL7!Mj~coC44vJt@?bk( z9owM&mHtdg$mi&AOj-N*FqEQ!7*0q0uLge7j2w9F>V=pPbM=1B(@U~uft}LkL64Yi zUu(yqdCrS|&QR;4MwgSZ|`*eXSJu6atfsIE$gSC6ie+X2eIM)%){3Xk`y(Y&KX=6Dvvt;s(=7zDHH?lUD=2NCyX-~vSuBP+Qfq@d=( zBcl!W13b#j4AL3PUNd4@e!EsR^TA(I#RQje#S@$$9?YofGaCKHC3(}!$lLtayU3xo zLj3GC|8n@RUkj$h?l|{ptGAc;8f<99eY{c<%AX!&pKVw`{==&pW)e4!!;x#^}Bt2E&;(N z9N!+!h2R=>>Oz@J5nwT96TW01-k5XDlOjeLoMpVy`o1)_=n+8_tu&pmjI4+J5=z0} z(za`QY#1L}Z9$M>pp98`2rc&r$TE+X$PYc8hP>E1%=#B9IVpH=h|hLl2Vl1YopN@C zKPyb`dC=$R>)jRjdi!Q(05tvG3eU2rPv7~d@h0p=8NYXjF|wF%SapaG{EXiY|JtdO zcOV#1Rd5}@cV>z|6M$9K@lwXgmzR-4b0v6T=0#T$Z{326<6s{6X4dgfS--Kn*fZp- zf1Gl3R86}X7q~+Vp^CyIHnPGY0J~vU#@#iTffH_jl^FROziluBO|JzqjlG$fV+TK34MNrMe@JY#w_>Wl%Xy$17Uc;^qkWWI%z8%f z(%d&26#0nk*G`XBDe59Fb>?Fgy$cgk(AC4^@b0R$&>OEDQ;E60|BLkQr8uq|)R&F! zo$<{8e&qc7BYN)5t7iJXi++^w!;9ff*I!)j3ylzHa@e4jkqdJeEJOcv=aK&x2-4XW zVe=45HL`|XqJVLhI(@mlTB#bbhk01RZM#z?*mvdI&Rx1-N_G0e%RhFWt71YyaG@ryL;3uV%H5~&c;G8`Uwck%lze#Zxp6VRw%zexCLDPy|eh^S7#+gKDmVi)XILU$t~>^=%KtlenoFnE&7s z+r!wBczy^z46S4Qlt`V_bQm;atgS#92Awd-cHRJSA{H8H!00}%^bha&$1}n8x+h>j z&*<~nNY(Y3pd#phlX=e%qzaR#-wj?2uWooA&PHx7xFfMLULQ=>tUBIT-Ui3>uWBmS zcQ0iR+bh?u339N@81_a>UQL(n8CWqiF1R(m1EJtDB~tBZS-be`81!$oKdaUiQc*es z|HIoaf*u^y4h$5*?sGm{++fkxzW+f^di`}AxqoR2*GQ&Q`x*T&u|BC-w!LKVwc3IE z7Y&By7o{C$z}>~>%gS=;jBb`~em6wElfU5*kW<$Ons!*+Ej);uWgX+<=L%t+Vg+neiOL0{V`LMxUE6ahZ2E3A zp^!N@tRd>n<4-GYa+*3!qAPoA6=!t!eDl2FXm@ z7S&kPy;R-)waoK6S_I|ifB4xBB`^GD-Xa`Z02=5Lsw+mzTEnycQwkagXW`RNtO zSL9Xx!p{zM9h3iR@Z~nc05{9Qom0QMu4ZlEL%~c_4BB2Bx?Bo=U31v*wv!@!i8$Cz zioH15A>1BK#)hl;=*VnrZVuT8x%T_eoLDL{0dywCY7XB%;Y1F!I2^zNe{5P{v{1WU zxpc}!m06!JX1bAIU0!aVF!lDJO+I9lln_ zBGz+ zHcu`-BtT8KdwV<_=;-B`(=yVQke4VtAep$*a-cKPY$HDzF}`TxKp>P7P`DGsGbr`M z0K6!&9XII&b{4xPFXkLNQr%=+?H*jwmbymoO3O+m%;c7EP!$5>XWwXE@O)J0Jl+fk zi)-(;Qm?;A$4MW-RA0*GakOK4n6FWrDEs4(mdG&x<@)14)*a2b7w3L2Nd!|--Iwfm zu-6QwDBaUFZB8f2xhZ!&J=s7iGUq(k zO*FX+ml)c;C|a|z4rrZ0f$WApZEnQ*OLqsY7Q30WfqEQ~SHpNVOS7r-5;B4oe7za~ z6>WK2gsIZu$>k35);4(mkLF?pbdD@~ldy{>#`jc3hVBj#*S{^STP$3X_7Vn4a==R1nWM(Ode0^PskVQ&CdVn_-9H zBjU~3+D@#6!qL2OgA|Tj`gtlS!DMpDx4nMm{2D`eho68Ty}eQc$k*4XDzGmTrmO0@ z(BKNV=zA)`)&D!VbzQh)(*7p#nlnF# z-)X;-~~> z;`WjJj#=s(Ly=uGEUQZTh;W*m`De;D@@_y@RQV#;PjbcuI+iD9dDDDZmZU?v2!So@ zOjTqhT6vPYCYLMr>EVlbE4~BNubFu&CuaPIGm>GW_DDDEsi%oDjwGpyJpGBXQUtg@ z>79FPM++kU1PI^gdXdl+krQ>Z%;|yZU#H7d-6Ni$Ce1 ziI)B7ENIcNdn7_K-&+U|xY^Y)^G62C?fCKOE#1fEtpWrp@~MKo5yiub2$Wx{Gk=a5 zUinHvDU(q<2l&z#wI8@{9B?PX`>$hi(g4N`G5E$jC81XiU z<~P}QOLW&hdn2z}l{er=#Q#eqxK+J;%86`|9tR>Qv;-o2^aGa^08iu(f;$Fot66#3bp( zWiA&#>vmIg*}US3`-1Ps<7RS#mbYB#BONr9gy?|Y6Fo~g{L9s>bBi>XDELiU(kv}K z<_*#3Fcsn&G3e^sqUbdX>6m$O+vN3DtJZk{f5*AbSCjB8p~w%Tq8qKee$u?uS zrCS>^%2Pu)X2w@mKpM`6QgMPow!rn4<@-9CKRM1I7pxjhdm-0!g!gz#VxG33Lk4yG z8FZ=ETz*C-`vL_SGx}yLfNg}YI5NSB{1w2gNKyElO4aU+>P53j+x}J~pD5%wAw5SV z2cUT!6*v5of)Nf3UV_iw&P8PblEpi?J-BzF(GysnOrkZQ)$uN+9`WaxyL+|bH~#@M z@#s?|A-t+be-A|?rYV~-^t#J1Ny%}?!{CI!L&=!0G7&YOTC14as>AZnx^`nbV<>9k zGQF{CW=NS>hXzFetE;}km7-D+K|7A1S_C{46q2%b&85zVRln9Uu4(RJJ5!7nky&S_ zXKvMUGF!W*hvIE9Ye_(`5}Qgdpz_~`nK!bD5zl&DTYEpodg1cthPm48>Gms*S{mxp z$Wa|S2Ka)?)hr8z5uPp&7R@&_-w!@?XXREtD{bQ4_D|f zg~@h;*~YB9$~#<+L1WI%wraP<`faQP-)MsQIb_nqNPX$KbKxC}D^n-(68-wkPQO_l4I;rHY=@AVQWf#PED>{N9N zZOqohO%iaCNpQ{8a9Fz^p?3=L?taE(?y28@Ja@?x^XlCNeobNE?0;f()R#GskW<6q zIhUauztT5{2gbJY+C5>{j@YY^za89)F=XD0f zp|Kvp@v*cAIaR}QqigbcK`S7zC2j9M+)D?H5uNaPr;xY zD_`#R3De>31G0Mqto}a$)Icl0mf{EE4meQEBp9Rq&k|8D6mXg7+8QTm2`^W@l{vQnr4U^ z-U|E~Glc2^8K5jZZG#eaG8E@D439Z<5V5BhdqCPm6EMk8oKrJ|U^X%}P>Sl;-K#hiQL_`n@PZ%J+_&S@}&x-_-I>74E$hn*V==mo>R@3lD;&QRc#rgN01yRE3h zhv~(tJcR#x)By{Y!Gp$gyxoO)#%W1)z=Gug+DGDm_3bvy>ovGr4U7V z=Yz<0f^_lD-KP9N4M!heb5ZZ$^c2r@XZ(|X?atWVSfY?~;l-=)B$>HHYd+|5@{6*V}7!Z$G8Y=?!M4QMr z6ng4P51~LMWMZO3PPfqFm92|{!doF96b+H*31paNQf8r?3GU`f5M&Tg!aq7G6AXW=qa6F$^GyTMZ^ zrzusyB3TydgOi$t`m&uI0T#7G}mD^goK@KDN6i0b z)TwKbkeA4htaqAH1cMxTxt*Yr^b=ns0Cb+`IY`rNIzByzbLcQ-Pp}C?==>Lc{H1q)Wy%QX#kfZ3+i#R&s2WYk@(=+Su+?=y6s!ut%JCjmc0=gFB%89GlRzUS{WAiN?bM=2J= zd9~Fjxf`AZffCD(nG0GTb~!l){)YFS;4-1nM=YzLPU+V47pTXFhl_{r9Sohp(KG)E znv<}^(V={qvo#*i->`hZnEtc60=Zy@I1f<+I(Y++Tab`rFneH0ic$YVjyj~Z7H&z} zocBRiN-N`i?*qy2J2_n?Gl0Kp=Mss>6nzlZI1Z1|QnL);)(pXNfV=Pv!Lm!V`XI|M z(K#7{Z~p$@{JcN?rpQuN%CJ=>tjFQ7>(HvnPMLvvk_u^;{HJI6KE~i$uEg-he!)+41le(j9z8nIXy`s9FFtAyfZm5s!%m@mN%29<5+8zP8?1>GetB^5LClh$ zLN5+xW@+6fyoJvrwO+Htq$rN!W*-wO8RgNLz%k3V!Dw|Jy@3|yz>+?QSsr!=FdDcf zCSTBB@Ly8iQqxg+i(luKLofK0qvIoGN1yeo zq64*4B@-oRj7+S`9h}r8^zbqphNj&sd6#({`w$})8gacA=6XtMG`d;JqKOniyxSoj zbLMuSs^M}asFIxHrzqpo?JopLcR-mx@5(~5ogAA1AruqJGxo+DAyle5>G&jsX1N|2 z8SanIFeDuxUs$%8=Xy}{;csLWEL*#Q!c5zzG!&KoU0`YIOT_NuiNhhslRJdL*!t4% zdrd}IZNBt(f7M-Ai4nGx`B{OOb2HRUNVudr2p>$w{!>3I8Un+RBf#&zA^_%wQi(C< zVMXR9A20xPh0?U5{Pcriiy0Fz#G$JmE=sG#okF9x{#CZ-f8}`~?0X~o%Y}@}8z>66U*;+ulOu2{R z6_?h-xg^Knc+71SJC1E~KgYHVcQVG?8y zcmz78a=lKt4!a=)XQu>w?J-tCmb%}PYXPVqBNh0}edyg}j=vflTJY8g4Ld~3lH!kp ztL?+gLY@H4b`;hOa>V3hyW|Mm6*wdo3%Uy;?v1uf>MFw)$#k%Js9Tk=v)0Cv?WL@~1sQ{idFi8cFpf(_TeJWF}^a)|(?(3Es7xVpR) zzFl2>py7!ojC0x@siTP&+^CGji62?ML{vEa0ds~U3-?*OCB%<1Wi1$FO(U|M5-i{e zK(jO*A3TGzhy)^$nwHbA#|W2idsb)!Q9~445KN64qQxfmU0DP7R}ImWSXo&EbnqYD zKfe2gJMVfad1F8%&HhsRO!$R>^A7m}?q%O?n# zkdm?&>%jO*Mfy;`(oK&sH$uzhT{>ucakufNhdhZ^#KweA-F>{lt)VoTQQ=dezl5Vh zx?Q=xxS@9>y!ty{XslL=D%xqEeMiQR-1ZbB_Md3k&3v?* zIa5>}+|0+xoB3!r^U-eROkmJgiGD`2j$V+9frT`iM;ShAuZ~6ke`@4KDX2HYxv7v85TmOHQrB6+c3xuDBEuT z{igO!+t4GeGa_$YD7(tG+h3GelmA}tHg!hit>vMY&R@3E{v!OEHsOQU=Ak#xPHi@I z8GL?KOyWa>#*+DUrmgQcUYZCu~jMy!*sLaXCR(u=<;6k7etA9=^0 z`R>H~)hv+lek~^isS+}B#wQW+DPV@dNg6lguhtA3^gxMY-m;wqnC{zh_{ z0NbX}?@}20Oq^>o8n*9bi8y#3;`THh+QEty`3SZ{yVfCy)D?zwddv{ytAqIE&_)fS zsNpSkIAv)#$jAt*YP26HOT(eL2WQF7pQmAQlkw|+N})YtRi*+3}PoBD(;*`w3Iwc zL+-L5RfNdnv(AS?rrek67OQCaL`b`YMzXStPuxteO?L5*e*fS6+TY_O%A{-3!q?c1 zdgyDYFi7vHr0!3)t#$x6HS7?c=1r!8f!4pdubE`Wics&?m}|UkHBQ#R%R`5eVa1^Z1A}3^>)=EoAU*p;IH^1M?pM-7(B~Xau*D@ z<)0Bh>))gPh3JKB4@fFmRe>f)Cq^#YVX3^hAn)|SspyBkiJc7pPP+~1-FXLM9oKfA zhIuirO{;8{x~8N%C_d+h%Hifd@nrWN#Z|O&GO7E9Cj>9ML~FP0@;>q#g zucs_G5EK|{JQdvnwGDBvblajZ9wacsTS(&)h|Dk*&UeTm12ixqP*$YlA*nFkf~ZBs z#4wPx??kl18xn?WMUYd1k}0BfB^RU>=_W+O>Y(E|fWRWG;a8-a5Dk$ySLRC?C*}?5 zCYWK@LCaJzT@lb5(oHbK1pXqvm49k+6U-7aAv)g!ya7q>`z6IqFv~bj4Ej@|q?K8+ zKA9|QX1PHuXj<@Uilh6hbAWfC9|$Fefu+AZ(2oV-hAbJ#!>S&7INXg{@E7b}LUk3x z6D*m8w1VDIWka^=5~k%}PoxXvD6=z2kU$zQ)DaD15JR4OiX>5POyjffYyP@iO`oLsVWU}p`RAdEt*-rZlO~-AD zSD&ySn(XakV1Kw{SL}D4Ca0_3SCS%Fc8ON(zZ~rUtj)K5&p%FSa=jQs?B9Z<$z1ie z5m7}CL>^uG9l~ib+~ZY&LmpfJt4u*-#h^pwSM=Bq!l{l_>keH|v^Esdxn^Mt$hW7+ z2Ue{1)7TFtsi;nNHRMZ+bM)9BJRX25Xsx;7QUDa-nG1k@?8(;xLHXd01Wg0nODI{q zDwp-!h3Uyn?lUbYwdTk#I!AtffV=Dox~gm}e}Z0k9(>s)T0w64B|0a-{igrzyT0`6 zQh=-N$Cd{-DTT?IoCLZ5pS^d1l`YN6!&(Vom>CELIf<|dmzbExLn0zt6s*kA&Vz>-lwJTfFg7|Vlf z2xEc;*a@C^7$GMHOulcefBkFy|K7E0*S+W5d-~44($%S5wd&uyYVX?LdVK3!X4vm2 z?}$8a*Y1)Gbb2WTxMqm3R#UQFdl*!e(G3Z5W;i>waeWAfqk~DKY0VB3pbc=vy(f1nLA~6_#i7 z$!>fkupIBL;;YM^NMe@5CfkN z$m#lCqt4qKbuK$aD{JGEmaxY9PAJ_odh{MZy)hr(;0yf4OlyqrEowg#< z$4rxQghEXQR&tgZBI&DbVm&$}OV+SPoo3j#1Ew|rkipUd`KsJC0oe>^3D^W=GaLc| zTYAJIwYlU~HyuJ~PI=f!cp420UiBl+OKCDV3DGz>v7ht@-~Z0nWmN_}8Drn0=g6PwR;`F`9EAxanl>!JZGYh81-5E!M{vz# zKt?PS(9NYt%(V0puq{FxlJT7o_ohZNMc*U36xY<#HMFXzjh-%a$(L?V;d_9RuB3EK z&>Xe06}|^LWK>qFJG8sHm#ygO>M);M+v7GE)m`sBo}GRR?<4shiNI#xms0Xh!B0l-$`u<=olXquOMsP{gRdEj~}_rSIAu<`(^%~K9R z>91WJhEA(J-Nxpa*tZMIa7|xu%&U}pz<0z+uCAAGQD1ZzI*+R#-Xe6)%UN4kGhEdd z;CHG#1RT8CIb#vXjj<(pm6qE?;q>E_L`B-fae@~rHxmqWDZScfo|Zzr zS>khGatznpqKZ}TJ)R@D+`Q%C2GwG6aACRpw{lcNqPSYGCVwl1hJ^oCu8QBvRsOBu zQT(mUs!7y)pZcv}J^QU(lct1l76KzeB*%h8fw+T#Q8CgKgNVXenqtr-K>agono(1% z&?JD!LP5chZRcD-bpRJic>neS^q+9RBM}E)KjHnNp%&f`VX?k=KY!`*19RKoFV3}Z z?kb50m3)YY!=G+g4iXKerUNt~&eC*%2t#aqrKUqcfl+CNpy@z>_XAcT2LJq!d}xc$ zFES4CfXmO{iiSmaKU5%!=mQ4j2j;f#A75D5eCo-z2sf~WKJpxHU=sowc@x)S8^euD z2x4?_O7bi@#wXZ$X5`@YuvxV1%qbYK3Yi1UY-m0GPR5r!8e(uV2An)s-j|c5lg9p{~TQ ze$dDKwSVjdNu@tq43fyu_-zVD25mIZTdn&v#Br$^yP<|_0 zNpx57J-*uwhMwH>wnyD*@jX9|D)@zC) zkXa5pDB%%q2lUg_mhtQynvS#ixTf|Pdw9#W>qJd%@0PplUF);i(6ZAS0> zN@|lals9zeUq^UH?vwcLrlZQD?1Sl$ymKZG;~vF@poQ;Ro?18x-eBMUqSm;={WZe< zB?0|@bfs26=V+EP)IT0LK9Yu+Wv6Hb^qT?npM0hJ=|Ak$CFPM>=x)T;UI&UGem=0E zz)F6434u0)Lo-AHrA5*mWrU_lMT&eMpWFjO_IuRLx%2V2n`4v8epwMGEfTxZb(2k!@g@E@F**eiO~$*%@7(| zEShpfDtd=V@omw3O!TH>EfP@@IVZB4Wjv#}K)d^4tH3!rC9 zh=dDysDltj{iO?a$t+VX7N4kr7a-|?aGde{phH)Cl#z=_)H1U?=_Cj&E1wAI0NK)D z{+`Qf$g>NQ0)o~PT}F=Q?jJmCMv{32DJg){Y+ z0I=?H2=%Ucv&n{YQ`G2CnBjvAQOf~o#=jVq+G7F1ijPwP+k#Dj$Wd%u?xPBet5tP{ zB1(1(E;QR*d$@>#3Lr~0xU>6&1FdoRogc1C6Z6lYmlo+~%~cfk1ya-j_?AM&aeurj z0@Bp^=34Cv>Gz^~El?ESUskVyMGX>LnZ1Ar7U}%B{|egihIssRFayxBBP~~}pL$Yq z|5=2YZUfX)jCzZ25>A5Gx{GS|47Q~Udd0StYrBdt$2n=_Qn1eb$v^)spY>N>lexA> zCZMe%%#0X)X4T0!&>)C^2{9m_mH{BgfT`FaA9*F%-l%UHaZ??e{82JZA>cAfs_n-9 z`fBr!Xg}AG^32mh07#RsK)j@e2=qC2t#A+g8$=3U?*GY>C3=zk0AnD@lB9uPY!?y& zfLX#+G81S%8_9QTS-|$*Y?Uesy^-;JFd+cgn&3)H{$z4Km{e_&CA-b=i6FT(G4)H} zHqS9@dXBI|eS8Oyd%&ybs=j(;)|Z@kJUVjqjMA>)0X3~9FnJN~1!fMLcXsj5f z?iP$p8KV{7&!3Zffjb#P=KBZX^(;mzriv9)e#ww6d|DV3KCx{3{)IE?oA6jMmMl_{9azQ~9&v@C!G&M9AD@0u~kdda}E?6L~iGyAt>YX*0>@Lw|lGP4y=u`G?B zc*-s>c#Kk+bxl_`Cg`M#&k8dwIpvv8-}tOd+s&R8zU2zs)Dgr*S-zFD*!)&H zd9QkoEWOm9{h@#Q>)-4p9j&if1}0V8@Teb3{-HvylKu97t>&+N2f)M{XGuT4_xGqoalTj*zZuZypo zmdj^YczT8_q4lYa>+;RzH_)F&}($b~vsJGHcR~l&?rCKIo z$NpyUYxE%?$LIby~(jrA1A(78g{ZvRd(#mH1jN}k~l$PzAr>S>OUk+_S@2}YSPdjL*jJzYE`Brwe^bxs`6IKeK5m|_tK)XW9CV7E|L z!HPqVIVqK)AYd}|@$YEeCOb5)C@zW_4qNuivuOi7(aKO<6f;!KSr}s0XSw21q_j-K z)L+5Cl)qTqRN=rUn3vvOjwErLsomOhZZ-di_TyqwWKOI~twpNhL^FuEtRZrjB%z_; zCEV2eDi~S%Zu-{b@%BoFc;Vs^ks+3yA_B#u;Hy0DIQQ*e`Q9H|+a)vxL~tKNamYs; zger>hE2DnL7>c9aka-0nrw;BYf?=tPSdh8jlPfCW=uY{K7GzpoqzqiKCg!S*EZ24k z1l(+fAPTF)Q1L><4WNaixIDG6T_T0G_?|8BTH7VAvpaHamw5U4tGB)Q_7@++G2F{? z3oD@C47>5~Y??p%N1Oqoj48{l8zFGH(klejC1uV4R!5MgH2{_jTx~_PQzX$PD1_Fe zMFy^Bh(TZ&DMof<-$PESBvuyeM(e1Yk{o5=YAbg8jlwUcdqH|Mu6QyYHT(c;qcLVj z*$HTIA<+X?h%D_H{&jR&`bgKA*A}&XITK)NbzA9e+xjqgWb?ER`Z?+QgQ{5SADvPa zljI{fuA65O&d!R8(a*6ZJCsFK1hzqqOFdDu15 z?9%Vh(owG&D7g^pLLGzt*jUnR`2}&G!dg=&YW_JnjaLgU2#9zsxFE37(F%FZ z2XE+?_a8E~9R;RP`1mCE}h2`u9U@U5$M zR*K7J&I&!u@!6X_D@koH^ zQ4T%Es;ftN7-{1c9%a9`Ap^rm?lXFnvqHbYbwcr_2knE$h>^OrdmLP+yFEmyV0gW= z17NHL=q% z!Tn}HKlgq4{6{-_ql3@K^w92sm0^z)jT-{Pd}WEem)O}zBiT6GVz0+gJ@#_33qUl=CvhO}SWfNaM zIn7H>kv2eefATknPj>k)?X)&$CG}lHaC@UOZf~(#$gH*pofZ2qv)UfKftlf~WCm|- zW_Z(z83n}6GCds4jy_ThREp(%62*4Qzeks=UPvHnzvYRt5Pryo+=cW62M|@-tcUTV zIuZhnB5u~Uqv8z_bw({U=XU*8^h|dBp2O1s>Y>Zoy#}&1aeSV)7CWTf`lMU4V&=`1jK1OT zeb1Xd{S3@RuOuR_;|g0_(k7txw4>CPWC?f3);zmOC8G>8+lDQb6s02Ru{2}=X@ckbM?JqGO^I;zsOvj)a!D9DX?3j^hw3?G~OZFS6m&aSd~!_9HuS zkEZFPh-a2xMS)SX1bTv$02qZbck&*5$)c-~8WiGZ?9p9O>tkzpW}gR>xRl7b z1Lw2edptWs-%!$$M9y(5Y0=eqfL??Q>=;j@K=wPHpRVb7BBweKQ=Z&DJL)04h+w(f zr)gyN*-yF<^aBX>CF3PM|YyIMofr zD!ggO30{!?)TY)9cOwiaJW%8vF8Bt+CF{Kx=g0&Si<&pfS7m}&1hqQJK_ z;$f?%h8?H)P53@>Cmh`pgpy&Ooo~<^(jGUl>NqiJF5l!Z=Br7!&8)F&$GQCHTkw%_sGJ|Kkid=0Bzx^pYIH#iG-A> z&POScc_|Z+Nc!NmlVMX(cur`KKoSHb6nFbUT7lX~$c(9Gh-da>+x>J-zl*6vD2`yd zUU)wYa1r_YWjnlIrU&}|Az*UWG(LP`F$%)$y>d8Tf6*3+%2vQD91e~*hE1HtSA=Dwfbjm&7ZyIwQ%`nME>Ap_OKjot%W^W<#J8C@A*<8H=>eDca=ShA=Ahyo7L+jvNIn~Gv_;A(ny&dvBV1%?ndD_$J9TE+DI%mjic=JzR3AQO z`O81>ZJ+p+?{`!@#`9$k4H{}w4Pit@V`%P|c8(1#WJH*UK#B!CUS=248USRXqOFMO zIXe0lwF~r`m4-w`Gfa~FSP{bant|~ZiHc@80FNazh&jEZrZu9X8P0aMF{NXMv)yfy zzs!~m(i!?iTDl;;gpV!xOS42%InzXFXWF0|S$%HFUz#PpNOW7NWl9ByHRIVqJ{HY) z{L;5g%AN+xg6De;-F5B|SkQlOLKH2(R`u8kLZ`twj@wg@#L^Or3hXh<7?UCX zngt9yRE|xUiVOKQ4}*!eMcza+e&PMRTs(~Cpxc-R;gsGYZ*XD&k1)c0IsJMp(7j|W zHO9qD(LY_?9%ilRf7=AlmYt#%{cnZ@^fmwJNB;M>I{FWix3ZX6w~&l%C5%LTT^J|S;Jn(M|s3G4#HW8F9ac9PQM;U zT*#r)l@|V6vz&IDF5ne$Z||(0Wv6IG+?zq%5Brfn_*-A^h&!EyPE-%#qk&Y)k|e}U zTj<=BF{dzWx5(fUC)_Y*p$E)N2c?yUt?(0~VUdNN8xs_>&{&8$0Z?%ePS=_8n1vqD zEsIP!3z`T>*S??qh9N$&8BM@- zs$BU(mI7}vpjVC()B}b4ux2a~9QFey(eU%Mpd%IE`L$rP!J}a3OjIn$xz%peG=+W& zIUQwZyH9m*50o{xYN@65`e0GG0TM8e~Mb))u|nv4r{FRIEnO~!rDa2m%OoePH3 zMO9g;HUZq}M^|VZHsu%U;4Iqb=Yw;)pjU9dz2Lm;6s_QVGvNGDU;8)z!S^~iYi`2G zyeU(GUn%mvlEXbYBzD6RF|y`Oo76nh8bI+P+lnp{A-iEnY-)9J!y*}O{U(szkPeoZ zH7}Cko@d@~OQi%IQDPrv=rK`*egT+yB0U~gjLGl=GR6YV7*m@#x_*o?84fxtnUG9e zw}~F?hD9>G2MZ5N(h|M70MfYcDjB|E5rEoWNMYBO1ZVp^%$6g;K4p0loO8gcw98DrKMSEJ)}P(${L7Qvv_u`LqKYD`lxYY|sBJA~6mLe>~p@8JSSm#X!` z#AA$cHL~#>@P!Y9Y+e}zpTtDs3x-@7@J#&`lUYFr0jXn=sv=mDa#)Cm@h}|e<{u>- zv>?@jQ~SG8yO0mRZfe*0IJYeRS^G_w-$Ylw))A*^%zh>|-}Zjfzi|1@Kk{A=Q8k%A zuGmURl4VnEX^dslqGG$-ar_eiv9a+_sXUNE)U?Hg$T_AVR1XE`+_0$FTGJ=A5v5Bp z?mAX%dvr5O(92|ZT{evsTP(=tHs)J*qe5(~*!GCaCHAw49C6pNVv7{_4s}?%0j)5= zqG3_79fp<;18ch|RaYNdLR9;L4!f2tELe7I=!_LxFuNF{nk6QTFp@@Xchg8`YO(it zc91vdah$X?qPKtPQn1TwA|)=CNF+!G!Pi_0@dD#H@|CUeD9Z@E@v(q-82}3!%k!<~ zsOtduC|t|F+apC|?p0Q0Rr%-={=#Y4U#VKRfvT0R?wg&X zarnW%^UP%R3)dUv8r2~dS1J|a8T}xRi84h_g zHSoIb7ncCnR%{ydRC7fH&vgU51h~l%P511rreQDbOHnmL0$f{hyFov<7%BrR8cN~V zR@{)!!dc)W2?c<(G@9lm5iF~VpbWLRq!x~o<@~5?DIDiD)0j_i)PU<{M}0Xy8)H5J z=M;rFAvBTl_poG5P+ZN9{h`JML|WLlDF$W@VWj`qfQHnT04sk!2{vVsTkq4x_M^_xF~4*VSyx zk0ZGtmT8zMk5^POR*UD!-|O(~SS?p>d@hfZ33s@U9DBORR~O{==Gd2=qSXbt85iWQ z{`2>L-dDZugx1wCP`Qd2yKTB!9xA#Z{b2_-xq|UXnJT&Rc5NU zyENe}z;KY30VAQsH!beMgkw>+N4mGDRAc-1x_UUw0_S}de`M+c6fSDWKy8>Wf?yO_kM$)O6~Z7wMN$YY58X)WP5P$nt~e_#6&<$=&_|d7<8{yq z+c93Q%B@ou?*e*tP?W;nL3)QRDEIHXvek=PPnYilOQi!%S+YPjmxF%{{^{+~4 z@5yprS}I)G7fF>4*DD0LYDc&bw!(Zk{G4!Q!*fS+ulx-Z!d8?|(8Ae%H~RIL!d6=n zQTb~bhDvR2*{|iwel1jZ%9mjjcarPv>fY3^1=33T8>+6(h2l>BE`OwZ8~s|a|A}7< z_8&VgeHDLX>s7y&86_u7??TU?_eXHFMnM5pZ7-)#LTwAP)WRE}#8fCLsT^1FhUi6( zq@y+$LBfsnnG3pGs0i3*?E}XdHJIm5`wQ17)hXe}S-Y{M>eusEj-F zO$bRr$jT1~!cgC1+*YE}!OcL6WCC%jen#l7{&bv5#=`Q;< zLvk8XPeu*jhi2GG8WCBABr2WGS_R(;l^1l`G`pSjp(3FoG8PdUBYgL$y1@|b?>Gca zWcaQle5Z+W)KhAc?6w<5_=X}C>v`--*$9QncYQKFV99_|PRXFh{wnO0nKTEMrH>St zVk~d#=c6!9XM3SqIzihsHHYsBttjR)+uu8vhl{8ogfc6ptc3thE3_T}BVpN~N|{Hl zplP%S*fpV9*oO%3QY9k%?Nop$0`^f?Dz6d`7?DvcnmmclMZl>kx3K>*;6$FS9B~6C zQjy`%L|k}(5uxJSDvn0V*A`Je^`zwH@?cVI;k(T7D!xfn7(F~KLY)~o#dLX}xN)xZ z+~qgUYp3TfJ4GwDEk8x)uyp?2ceGDE|JsagI^)Z*&4z(>IpW+P!7UM$4Fgj_B{Mv1 zQTibgb&wj+(8~=OnwnwKU4-FoNd2B}$h(#qrd@MR+g=1CaKp`W+K6pth~gZZM!4%n zR1Tsc?_*}TAE@;NL(YldF&>+$2Jr_dPrwj^8l=@U8uDIdDV)0cJuVd+gOBtmejI+sFXHp|1IrKkrkk1cCC z@ta4Xi)G$y36`_0*)<$JQdx$J7}EC;zLvAu?2_cAolUG6uHuUUrLc5ywu$u=EW>4t zLRZUXZCrGH2Y<4>It8EYIMIa6q7*IL)bbV9B=VXiWW1?U(qZb~rkdr4;|y2w1xZ=r z_jS!KmF{fK^RCaUczs^w>l2UC^*JgG9l1VP5|Rt&YVP{H$_y#Ll;n}Es!Pk2!bu)gwNN%g$3b?gSyn5` zFsM@%$1mHy|GMtPvRL8ON}VNqe`Vd}QtMP<|5DZo-yaN${mZuRAM;UIhxOw>oHtMf zUs!OfQ3ld&D+56o25mJW8r0vp#EbYg^CmReFg?ZH>PvjNsDXtiREE{ObiR(yVw;5* zo^$-UKCqU7-mXsDm%VuP%7v9au<@J|*7&WhWuTX?o|7O>=dLWhlhd#_1@rtaqubpx z%1;Sy3Or{pqSSDkSRn@L+VY*Y@Uy1?3TZB2_|%?pa8lq9H}E5HBLNu)BmPPksqnQi ziOrny#EAD@2NwmqZWuUlc=9gEtGi+FQ0@-!b;K#}IyU%~yDoffjIi9x_e1|kyU2Y> zVZ__#M)N#6|A;d1{Is(#Sn_simL3wct%%CRkRW=Il%DO>-)z8Nua7gHo+OFKm;fiB zusrE=(XB~D98b5&lRNR6%<-bz6nHhTl(Rw`8P-W8AN*d>aB15 z5=XL!GtOk$DO&SyH*FBx!Gn@e$gmASck z^K)fO`)$MVWv6Jx@teW%|LIHr^PhjkV|(pzvDiNKyWB{(JNjKDblq{{8N*@kx#DfW zcJ1BnE&8e}LrIWo2Mdl7-RqJB7&y?YT#*q$UUAcE!3|wL=(sNp8QYuT-Uf=MoM>^3 zg5zn|sO3Cv-R>y7$mv0@V%)w~oS$uj8Y6NL`=P~K%5O6^O@UvsV_8zV-O&?OS;jMT zXu6H0X>8=HUmPbh3l^;NulNGX6AEIUvI1pZnkc@aTtN6b@xf@K}qeppF{ z+Gl~v-rDQVODxngv@^u(IkN5b3@Ir3=GyCCa5bkJADH64(B!vtKxBrQI2oSWe*PVy zba3k&kkkX255D-|Rt#DlkehKpKI99Z@BXk8d8QKyi>HO7CALwJnHU1j?6svP`le&e zfNiYMPX`)BQC9)D9HckwBWI=4hO(tKz>gIN#0=54D^&=?%($Y!n;GVw;LQ$#d*!ah z0ZE3Cir3cg0d2&kp6oME$3y~#oKZ*y)nt&3v;gAAD8&W)*pRuQbe~uv&mZ@_2wDkh z;OK4~$@LN5KyQm*mrbjpVzL2;d zVJb)`q6VO+=K`Z!k=|ihy4#9F6HmC1c+$6i0Y8RP4X}iMfRbav`H|9eS`)*A(hQ&d zVSFaT1$@!LftJ3asq0b+wRW6axjNu@fjq5bqpcD3Y*YE|# zAn{_SrBcU=&mJz~3&>CMv&$8S*#hy3OC%oqmcU8^A868Y`L#J}>z2!`V)E1%h;6H_ zU7J_Q>wE42+Pmdiv*oA$9=#Z=7x-RWn{PCb8eN>1DrV$-jFrH%5_kxB?(p6yf#;H( z958lvD1<)?#ts=dxZ&9*o8B2DGP$RGo@x_EYV@2-bfkyPBG0yuIi+8{vURRV zxyhG^F_)%em&cW@^R{pMxwo%uoy@gg**etKumP4UTPH*r**P0K{UA^A-?JMe(pKH- z^N8J&_4P!W#tj+Uu?e}MQ1oM1aj-9YW$V1{#kap$(n=qTB-_f?VIj7lP|xsqmk_GX z>6ZI%e(Zz4@D~GHM>3vumG2Be$vxP!*D5FpO0bp#w@6_jN#GTqlw?b#wmlkw5;=A^ zsvE`{Qr|%Mj|mD0N=nirt{AI)=%r)JILO1u(bQ$BSmk@ff|3yMQ_;V6pZdVOV5bj`_jsGWKCg0GBndsm@u=BEBg-n35y z-Mw>wuOWj{Cr$#;cNa};XaQ28?K~8aw4CYjbX{U&U-~(do$8DY+R(R!ke;*{g#cc* z9a%NQ6EZ&1(G(6?$*D+Vcs~=6{(i|ahD}K&8qh=ZMd&;k z+41cf?jr$!?-Fi+H|!Ka{tPiUUGyt4_x2KVmz|=uo^~_!wDj#xrh4{x-M z@N{D3AQU4gCx=l7Vh9pSwPL76piihhz*FE`$*HC^8ns#tTd^ zOLH?JA23&Jycp0tEtOk@pHi5@oOkcY0Ix5mZ;>sve`U6 za1X1vg0AWT*hepvqc7+VPFz9QPc;UtTF+LQzk+7k%hj23UqgMT;H$v-DT);%=LinN zLv@bo_I5Z&XSKH@Z4_08;Y-2c2_JYXH@o0maXWZZnipZ8Vv8&k$V%vr_wSG0>xHjb zce~g9=*X-v$O$UT^0V^&m;Kn6R7FO?#@oB4-8;TtenR2>rE`dGb(V7d{kt>U+i|>T zVD$H+y}ghJfNXMc!N|6Me>J-c-TjaiwsI7{Ey@bpCR*dMnX6Od%}|b_ zw-{FFRkizb%aN(geXw-l&m6NAg> zmYf!hn($>t2`H{~OpHhN!a1qByUJ6F4%=0i02V>%zO10eGcC>N$CA8myZO}KH&P^P zKI$6tQIc`$?jz%ru4YGxNqR7$^NZj1Hn%#ed@pFLD0@^!U%*^M^vhJ(6oLonPk3XO z)Sco;r{9~gKLJjD@2iQyz3dbbR3F7D3Vwh-#4S31%_mM#a0K*We6JLcvJ3Laic3#@ z*4N(sbcb}U^cke5y5HS1k^z;(2pO9{b zvl=agbTgdQXd$GVA+lyrVB|4kvXRz|eAk5ZY^dcb8g3;k7LSpT?uK)+D{vvR2rJ?H>YJt)6)xJ0g7G zmsdpYqdHI(xkGL^hU&!eq7kW1b;x#zT!AGqb3n!fG6NpDYip7puxy9-<9L}~C4c2& zcjxs;wVahX5$d`xr(chibuO_9VYc%NH|a`0a_95#_tD&=HE2!|^am=8E;~iUp%q3q zcdnbG@vr~TSG?!byc1hb#sk3U9>aK$@w+~iH61&6T4imI_*D90I*6my=vcw1HjyBV zPvZ&GB?tsBVDyl>#iyV?!YGD#b3iAZhp`-+M8;_c$o1_}lA!m{9tMr`ecy%38uram zp7`8TdTfl0^P0OB{ajdcQjd+1u~~M9tqu}BOzE*PabcDyl2LgX$BB;YTEbdt6J|MJ zDr9m>P_--kp_9?JpuVc4Ol>M;Y-K^<_n#_hF4feSS_3R1ywM?oqUf#}JXo#+`}?aOp+8S+NYzkC?HCUbN5P70 zvq0=ytw=mKL(C9Xq&&3yk)cEwY1ao#!3DdJD{df@QXV7m+*UlJal=a6dk_}uC6%yf zUr>*<0Y5jIF{&mDptLZnk|oscq4$oIglV(HuTpcX_FbZ&E*u4LX`xV8ejsG6!zWS_ zB=@dl+hWaleln+E-!-DXQCP+kl(c%W=57yF`MCE7_a@39{wz;IfW2-PktfHaozw{^ z+eP?XW;eGZ=(W#JTy~1qM8Wb?bWTU; z=t3FV_`w-VM`QbqF}SfkV5&*DxnS@NaW!m>5lMM z4bcWt8pcFHmZC!Zp9ZV=u8CW=YvknwF?H-O+HJ-y` zd$`5?+C2aup5-3kc>4&?a1Y?E2lqk(1pmNQkEr;a0iMOVG@4isO-~{kn`HXd)X;k!TV;w`&WPcCqCv29K5yUVp##J2Pdgd zfcFNRcR`Z$QpyULVGl_K0g|Z+#Qc4mVQ#d9VUkMl6?tPY!vi9BWTqLKLx%yoj^oA% z-ex#E)0p6$4O@`o33eFqCdvD_BEj1XQPx*({NW%mL$Pauw;2LFAJXt+0b4I*TP$pU z<>jeHQGrs;&z}}h5aV$~ESPJ1vcI}V50gEguB%uU-JkbITw|_Rbfw!}E8PwVtKmM$ zd~5>*nGs#GqU=f)1qADG70(Wj(~n?9*xQS+%TCdXus4ISzxRtiVf&&ZthzgfuoimG zM!m68>s4>WmUZ|S!{Y(^-C9s)?^G52#qb!cKB_89Fgr4g;V~-xZK|WA+Fz|0!((=_ zVMT`UQRM9YnV! zo8BXuFF(%7pqJ1k&^N|nc^XOPY*OK|;9{Cr=2$3?iLe!dRQ?PQ==e}q`T6J{hq)GJfmJrJsm+xsmTy3M5!pgX-5M< z<<@B{8l`?}WQf$d&2VmHh}=w*Ax4I1%k|XA5W!sg*lAJuB6w0rxfl zu1QAa`&`j$8+qlAqMd2&IBPEK9_&ywd5YE`cezh=P7V0E-}hs`@%0Y45X?oA$pBZk zz)Z;#wVGW5v-DC*BWFY9i~&cp0-zg8nMl@$h8JK&a=H7~GzHy|fSXsuFe^3O4GDPM zkbrB3fN&k=HS7=<3YNJc0oM%CZpHCn$e?wo8&`$|TsLg?(sqS*YY|R?Sd<<2+{fPMfke{9)Xss3CYpeiMqy}`e^E1%tGCgtUU!&pWcm8XShL@e96`Yr!qI1Cc z&ENP-AM%SIl68EiGtgagpdRUIg4Q0yt3?DYS5!lkTW5D~HUmAI1&$f$2T1}GL7;G- zVMf6#G(H&+iQ!S;bHJH@B!D%U{w?=2RgCqOb(Jyfod&zjMWG}jQYeA^qj7%7{8r$I zMDBd1n0{Tzl-8qignShDCux$fKW>NjSLA7Cs?SkQp{Q(;=|)HQIf-ZS*TUh*v`;n~I3HSx>QC*`QRmCmGst&23?2(d{>R3FCVZ4oiQIupPD>)r4Cfxqi<=#j)P z$jAtF@blhwBZ=@L@vBX_Fa*P=RtS%Y-y|SL!*+*2u+9TF<$2*Z!SR>Zur|6uB^@ZR0mF;hNukv)n3Zf4+vaVHoL?)v# z1|DmG$B1=swT?~d*-fr_g7LJ46zX1^d(q#MG`HN4Fm8rGdlsX~!h%>SpfswAPeiPs zYQib0qiUv;ZAFZGK>rlmf6!T7uP88XmPm9%cAS=&gfe1RMb1=UcJ0M0iAPzq6b(HY zT}&syaHLWa8Erj=<-yaTeAk&d~bAs(ASwA;5FX9;5h>ASYj}O`YFq5u6{< z+_W|G7#7HyLmjPJvp7S!=?lHp^%sxc9^ZRvP{;SV-HpHbc#d$cp-97Te#=?s<=#b$ zGao1Pb?|-i6CL{dXRC=bloJ)Q)!x(Ged~z>c^(N1{hJhAlY?^)DF{A)RaevH$<(eT zk3-&Gw$p-?MwjiI_L!_#JNB6BMrmz)4#@@ST#Ajxb!Ri;_p>^itC1^o8;WeiRy=jj zX2`au4|GI}^X@o-1=$X7y@EkOgOly>e(B^6@5juNVgk-i@)N#)+4lD*=6IeUBSBKm zX5ibx4}HyMJchG&)9q(=ES}7_>DSl-A7%!L1Pq=c0*w> z-dNI0z&id=%`nSyU>Kgz*rFkEHXH7?szuhp3#!|_2(#JHX01W8ErGo6n%N99Ox@oQ zQ{BOJs*aH$YlayRL7vGmIE}}#96}tlhjdemM3!*FlA(c{29j! zT3GJc5Xat<-=uaNzL?+G*tA6Ui#h7Vkg2NY*J98NtgP^SOI<@lqG%i(zAkc1uF(ZadL z48Hmeu~gXNcw=c3)BoDSxrRaUe%bZ|Znqb0lwHD5zqa`NFeu+&$}Y7s&d|?a+g!AT zt^y3o_jB9#kMW9O^Qk9W-Nr4JZJyWyt0pHbv5DMz-o&-oMqP!=U3byOVcM5^wPs%* zuj5#3vq*U6A%S_0j3+&r%glHEY`9J=)X|DE;3caD>-gK+EQf7 zUNnr=$$k$FMya4;v|+tstWH87IeB!O9g?->uI09DWxxFv%0t-oRJRHJdHXP(=p##g z5S-p>#iBZyR4Z6X+>7_)pJtIyLr-{qW}rFa^+D0;ZXF#FApwe6; z^u)(va+KgIaHln=nNa>%ARqU`$GR`CRXN9ix1T%J+HCIV-b!WhPaVrbn1BaqdZyc9sI*x(EXqQ&6ME&AXPO*&m0BMRsIV?wk)kz-)yaKBwA=VL9UYrbN<&{TA@la(VPpYaAQOF`oK__I9 z+3*pw=qMtc)$sAaN<($o07^qe7Fc4)aKKgC9C_MuS^%>0MZ?Xg?4W64<8%mrDqy)d zq>kl6fC&LDU-k)n;Z;Zp>KuS`RPv)48B2HL1Ac(dvQxB@VwZbn=a6Fm z;v4?;Fa4LV%WM!GdSI|`6KeKb43sA+c52*?WRKH`7$k+MRfJnii%~v^9pg9`iEoo3 zZaFqZM>|oXp~SbgB7hW-ie2x3zSW9yJFyjao0L69!F_Ik&+NDziEnL1ZC*tT-tLl? zU;08&=$7npGCV+$5IzjY-E5@0Bz_pp9w*CTpn+H_Z=|pkx}2@4iu_zt)9Zz$)c(wJ z*dRjy?*uAH7_(G<=fZEur%@&l$lmMmN{e#W5xhYn8MnVw1^{aF7C`J!Y9}5T9b_aL zjMozC^HG|pN65#faZJ+YY|CnW7;!eAEoTwEt!LZrg>aP+*IP-;{*q=AuILNUjM-uY z$|Aav>Pug6Fr9`A2$q0j&C}5L5b>x^Sh%JyFj|?4UhtyP6E#{>k~Vq~T)-^{YlA=;`^6v|G=&AWy1SGAu0GvFeu;8ZGXSebMhwmE4&BdhL`v&pw91|u!0vs*0I>) z64zpT2-NdSkZ(G?J9pO80z1!v3|J;7H-HCz|7j>`dI^pQ zZ(?SM$zn?opz?%e5N7Ic7T zL!Tv(hmAUH#9`8g#bjZs(p{eF5f{07*u7}RxJiEz6dJx!(LACrNa6De={g3~;T8c4 z%4`9y)!%pjLbfx$a_&btCG^}eU=KPaRFru9_z@t0J{*r&n}y@PyFCvrz%%|5O4Kl~q`WY;W}R7aYUqNoVk5w$a2;)E2P@@ae>j0;6C77gK7)P{1Sa02OZxpE0$ zj5Z78Q+CZ^Q*mLQd0HYBGgP@+?0Q1hT%row^@FRLTOMrcub|#TVAtq=sxBD;Ple2- zy)kyx8|K+ISf(qzW~JAB*$W=(jBkZ&oo&@JPa$#{20y&6>#zQ;FWUTZk8-u>X;E$} zf!;-cxs+bhsBi})W2#@CFZ%KQm>=pks{>zHHEdSeg{mZ}keg{~s z>l(nOD(~H4An}1^@@)gkMp^t0HDwIl+Jj3Wv=a`ODh)%~9}DeK?WZ9qpDIG)C1nXE z6HNm`VANhk4RU)plojP9(wYqOV6a2qBt;cpQ9{XNn5yn1+o12HIz|a4li>l%(FnLV1w^k0M@=pXp|sB%?P@MqH48orR=9Q_dQxqh3x?Gm6{tM zY2>_SFLivZi7BI_HRIVy5)>rIG)Rz_XD6~U7-jQ3!g99v1te_ z2FM`WHvh_VshaPQELdOH@S`)}rqv^P=UzKgbbnPWtvgIliZwMUs#RoDmnvRNzCj&S z=iBe)nn=DCiRAPXIRPz%&vjJTBT6JMJ4Gw{-wgWi|LqU@v#$y0FG?mb!q9-e zD$KWuk(+}4Wj0qDKSyc_F_G*|l~6-fA*Pg!PT_SS1+v7{=M( zHIaM+!$_1;C1M36H@jGYba6W2s@PmwT}_w!3W}jI{+5Nr%TCb>iZ=s_zxD4t^S8e^ zfTBcXbx7O;K7b<#U?;j9V$e18hfZnM?Ix)sCZ7io7DM900I5$&C3pLzRPBZ_BnDrb zy`Niz<(pBG7mm2#MM%8aLS&I)Y1fR1=rJTl(9Zr;Mvz0&$o6B5 zAu-~|y|ADRJtMii2#J$q1C|7LX%v(ey&XGfQp(Pu-(Kbn79ny*$>49P0Zz*7G zT^AKv^8oC>BL75Cdc`n*F61)e)~1Kol;8z2D!O9si|O!BWyACk`b9zCrfc{@Qpc!OH{ZMoVg((*H%m@ zH7(a2%e*}pxLW3gS^%@qao2=gTM-Fh%%cF3f~JIbFmdX;j%8key&`FcSXncyZb-+1O*V|RT-tZ{4?@e82_T1F9HT7J&-ewy6YK03`tcb+xO6Qo&?AVwG zYWjJS6ry|`nBF239d{@p#!;p>8tY17Y%tG@#lnC_>Tk{StZk@k^s( zIN+)ik&TkY=RYBgjb6F3F%wf~3jU%t(}n$yzOeZHp$`GN+LmX!MB6B2vuzV?f2xXY zZGRBeHe*PNXpdyhLa8EmCjF!1ac%0$tz{)|?s%H%p|+3RDN=>GgEF_L8Rvq9v1P56 z({_2mX=>>zN=@ocmI`N6-#b3@+u!#MA@wv_kJ&FJ_Ge1OlzMfn$MlCC^+$j&6NQ_i z9@DbJj)q%kKZ|eT)f2e^T7_q>r=Y0E%=Hw+dQ6gkz^*4`t|j%9c8wu-$D0o{^<)P* z*L|RD3|n)DQE#bgG@4SZY>Z@?WKziRIJ;~_4=4FV-h(Gk9>DtSZZZP#8nvQ*W0dSy=pY*if)$2K@Wg}xTk zTm_iI03cMnp+Z0Ci8+|FQ4O#A2)CPV+j|H@bI)(PTUK>g*%HfBAgk8rk(B4yA)p6w z+uQE`)j#^#&pq98f9;cSX9wI8ovlrLcEBys*$gqKjX^#-&mrxhHJ9`fYEndJGxU~w z2ybhQ?}og`X2ZFWxkTq|xZh}QWol%uptD(qmivkMwo(@#_4`jvgJmdVYtB!DEn4p9 zrol=U#@2)^)iq9oH5`AuTJ9_CUt8|o_gGu*H+M=cfH64G=lFmZ{7m`?rXdXiS4m}Gau0{g8@)I%Mo-gU(OTwa(Ob6#No9$ZSsyyFjZGQXcuOsNb> zN+t%7*#i0e_#6c+VILz`M8XYn3povq+yfMALgYFMm3fhP8XA#q6X#np^e)o)QsRk`GcSqo zejIO1<1iDTAWyA$COsY1=8c9ey!}z{NSft;}iTman)-JzqUVB)( z>=dogw)_;G18txFhL8QYwFNNUbyP_%ks2}od2In)TL3k&zAw_-^eckQbxLZlfvvkH zwoNpT;n zm>LeEAtM@R%Cy^YArKhV)Vf*3pylP;1MO=$EZF3BtA> zWy|vuy^Va{s?=UQ)^)Dh<1P3%-Qif*rSp&caujq+5FLYVp+1D5eVt!bBn{;2u`r+F z2oRY)zdoI=;uXqoFO)AkMQb2`GlBeDf9?PHmcMig%6;f^gZ97*fg)HehjNv_A@Gjz zG(!0-o|aJFbIetHci4epUmar!xCMny% zwBPO^NJKE*KzyJ&$P&si3`YUF(V^$` zSDEn4922x|H3AO3j`DN@_lKauH-pV9ckH}I0O2l#gg$?uK9U8l4klHu*j&yKc`S7H zR&3_1my(eG@Puri_@b|T>ibUCkGIr%V_A5E@EDL1tGz}2cn?53W?0mZF)mJ!wC}fR z4&-$G7=>%?I>XqIM*F_pkl38I?jj?O*U25jz({Rebi=ZKEKD!fk2h%!q#0f_mc0t< z#|B{IJn>pTzOMQ)CGA1$J|Dc&Ww{2Hw>Pj{c8b=(@@4|d|K+29>5c!1x99807?GbA zgV)koW3zL-=(nb;;%+xL$EyUdj*-}D@VbsUT4;&aP)z#hBS_g}Mp0~>*W~G|yoEl{ z!7s9wQMYN+9N2WO5Jd+%xDBDD0t3{FDvw9PZTsb8LN-Um(483Isg%BvgxhA>4=q`E zILYl{Z$JMQbA_$^w@k%srKHW(CLakA|@ z)E@e_ja?zjfz9g#_F;SvlzST4qH&l~mm=KgJO z|9^gYMx29jT4?1QK%l7b9>iM;-p9Q6RKfMiIjCo(7MP!C zYT4tMh5q9zHjiX}&|24cly!|4|J(2Q)=&PhtlX&a@+dWQ?LG0`ZcxRV?tn*CHXx>k zW}D|@YG}53zNl-=wYrBS$la7(KptY>3KueF7eW#QgNP2)@q-~U8#?PJ_?Wd>eNG66Wj<$QMTw` ztiJT3C;ouakPsrtI3zbBespP3v%U9+Z7&>4FeB15rf{6`1ob;qoFoOa!BD7r&EgE{ z=mw^Wc2MK2_8w2sydFS2NBx{0fXKzPrQuHf_s-=OUO? zIT3ujAQ+2u6@>lpV%$Un2k$gphv}inos{8pNt^e?1t#XEAA;vO3YL5{U8gQRqNz*E zPSILUyP0y@XaDJ6eCl_9X!e=3Mag_7Ydu_NF0L~d)3tDV_%c!2l>=E)l4o2tP&OZ@ znTrz{6D0VfLT@7?fdRQ|X#;p|r3xURzJThRRsj@WCP2MFe>mV184tK7&|V1dzs{M9 zn8z&6wCGV?(hHW zpZQw%4OFGye1oLf4`PE1Mdl<7_GOmiDCqHJam4iS?8 z%@(bUQi$E*xBu)0DG26M%&_MK0U92_tzZV?*d&a@uE7)vNfsc#QLV^m-B#RVva?KE zz{m`l$QY*>FxWD~t{XV_N@i=w)W~SaSltZKf=aRm@)U?&>Rk(|#4Ph%1bi5oix5AJ zb3HsN&LyV*{>Jq7*m`NnKr9ZM|r~bOt{3E_M%)lC_5Rm#` z{epr=0mq?CVQ|}12vRx;oBXln6v8F7l8r|p6Ds*KW~!=Y6Ve^|)#Vw3bk(mJgGJ@~ zN8%MMJ4LHka5G-P-}t%jZ9d1lM~0yR2xpN7*+P==u-BT%0BHrL=h0@UF9CRnJ826b zLg)rQ4q!FbhJ+n6B#}~BkLbfh`((KyZyII@nrG77?~rpZ442}QVSqr72d?&X{ntT( zZ$MI8o{-1W8Up?Xe^f6Tx7}*~5#KW_1TD=tY$ zUdwj4RafGIY>0TM>Vo}6A*+wx%p&)$MR_McR!E~rLT|A}#3^5m5$v#q@bmm!bh)e}gn3%IHH=TTp zGvkvPZ`!WAx$(&oZ<^)o{A9hkr=8CaP-Yd+*38;WpahJ*A(fL&Ywpp!AdL}wDdaQA zPm7$+FcanknkUr-E)pFyAtwl1tBk8uq&Y7UO-=VidI0s89;x-r@rrNUhxF7o{ZywzS~1P zE+T=3is>9eQVw}f(#XIk>y%2BUII=>K!@mjBO_(d+__bDmK#dG$$)L!U?Bvb2vT>d zao~m#(EA20e`44UDJAWO5zrA2Q7s57w%{37AEp3wCG>f~|E zU6XVeeAfk_CoMt97-I6XrkaB#sX1vALH9xFYnbkvG%mGPdghyGyo-It% z0kLD8Elkq^F~ixyG;c{}I9r&G6>K1E2XBhy_)Rye46}{fmOSiRY7{d+LQk!%$4f@R zEYXDUI90IC511R2N1OOx;5oD5r#ykRfK9GfMY<Lu#UhET*OX=}gNWWxdNa4fRZagnTq8Nz))Rsx2H{h)a3 zhLV*?hO_hPB`aZu1Js8k;NC!cSPa>E{Pl)S`HfnD&p`}mj?;)*y+gOkEf{ns@dZSm_!&yZe8a50FvTPf zNBk7-nQqx6A>e06t>e;=KYm-W*JM2mdmvC{$ltvg4u_p~&kyKiujl>{y3G*lY($2C zXrUTVtw`uL!vjKU>2n{@@m?Dey4|pY7$9=xQ-*}@Y`EVO7ne}(4QE_l&;z$paPs}jw!dH66vC#s)DEu56+mxqLVVdNS^@NC z0Q5JW{`lYZ9w%?BH)8;rd>g`HgJLR5&bzHB=EeZDhM%Yb(Pd_T6uGii;Q>UmM2Q7dIY8(||h+y03Q~W8ZzpAewN8f_uGUjD0%{ z#KAElQ0_SS`pGfJ0+?zws*+I#OUBU7@8WVm%S4s<5jDO7O}bCQt&{8vGI*=pY?@h-GdV zlt9bvfNaFkuqm+UK!>6?!p5_8P12RQ0^RKex@D(m1-hF7y8rvXIz0KllaZoMKm}v! z#3)(3PW-}spy@>7Ely2~kzyXwerl8~`fXD-80_jgt}7zN-2s_c;ujip$?A%`j*%j| zqFRK#1iE^lst%IRVV!cixZ*fIj*(&ydb-YvYZ0bayDlQdq-;l$+QiUV-tzL!cOBsv zxIHy=RurKuI^VT>M4w_g9y%N2_F|Cgnn_n9QsE==BjEk>Rvt{`#s1}|s9AK1nhjZBzw^tV_dP4?D@&#@$Ti~C%KExK-I5$>hq|$Va3XHq z1Krzv0sAWee9Kv16Q$!7_&Fv%csi?W$;Ia4>IDP=WN;~QYjz?`qt-f2W@UXzSHPqe z?dlZVj8ky+3*Yo*|Dh)Y6c9&L@EyjZ3xoN9Kvp8&gq*2#Y&Z&O5puLRD{eq5RjE+%ljh%weOAZ3BoKBq4ionz76%` zbori$+t$!}`7Qd|L+fRyXhqTGr|6u}`q#gtd+XpRs;MZeA6u67FhJ{5vgM};SVNkl z>Lu5-Myem%ihCfP7MfcCih!dVmISN@MP4S2PZ6-7T`6VZ(*!Jx_>dJrz^e1_L{U3N z41XaT8@rw`jA?b~YbM(EDFPNs*X$-ox*F=8<-V7qsG-%=UxBwNbrimEb&`A)0C3dG zEU(r3)ctu-?D!}<>>sQwy&~vsK+yDSSP}I07N(b-q7^}J20_2{n_mC2=lyJ>)<^V>T&lO3~gKX`-5aepPx|T(*YJ%TZ+wosW2t zK6VUr+Pi=1u$w1b) zPLjt2G$h24cb2rWP5n&woztqJf`(dpy>~3FY!RzQswH*Hqt&I4B&sb)Tef~iXQqzh zlhx9?!jbec-xrwL6J=Zc7LdGm>as|3hbpEt;Y8{+nux%n^Ecq^35PC=f{r$nmE27NjBfqB-HhAIMQ?O$S6`I zq2re?`?QJh>hg}?6*X`D460?PXbqQ_J2vN_<`2L5ul>}|dBc+CE=CFGR&unUAD>7# z?;)Y7ndu~^8JWV7$35(~n0`&dH~N)IqOi`O%30qIDiITJxCFjE9R!E zv<7nBL`_?CdHR#hXvSwq9+chGAO4VRsw737wmqH{p*?|#B3fAjY{$mv9E%L%9X z*mn=xo&w7aL}m9$2s*u#xJhP6H7IazrVR;l*$|435_X|rnUuGEMdpmm5Og1gh8Vz( z+9pA6Z!clj1UWZEgA}o=-=HnGG9<{EArv~ya4*suzT!#jG7R!4E+UjbS{nZlpXcK$ zx;nZksP+hWLE{jPBbq`yBLQ8AXM}SVoRVdg-6#||*{zFamp~inW-a}Am+XpOx3{9X>=do&bu;Mo!SDK{|M*8wB{pZL|MD)B z!ff=y&kXe~nYArJiD^iHiC~T80?RSMNe>FyMReLKdbwd_*7i_{5M?AJHWB@mT-})1 z>~@5SceJ`+gvhF*DMat+sB7dtu6|it>eXa51}q z$t$zWvlYASTG%&q4jN}*p62NaGEdQs9ESyXA7mI)K7`bJqx+nq9926V#^fWeHJ@Ky z6&0^hQ4u2(`1AIJD!$z=j7%suDZkj=hj2!^u2(d_y=cDd6s>4}Gid&C!w-M$H=RUt z;G<+cAvKZaAsJw(#A4c7j^?wpqu3`iI|(78`RpWwh~~3Bu@TK@=NrT_xeB%lmN#|GEzdss$p+&{yOyb9 z?QuT#74?e{oFn!=Cs-YcW1OqN_@I*!L`chz9|DcrB3ZB%skfl!Q6&o$t!+fImWDmr z76IgyM)cCvbCT^=YRX&KF>4P&SFwV{oiBU-9j~B6_W75edtohz+PCo1)k|-G>FUKd zoz-egzd-tnSx-n^$N6h63JC;0wU2dD+`^;nwU6~pZ%REm8O=FIfE)FH z2kW!@SSL_)?ZUYYT{z1^sI}s{JcPRTitDmdv_`qhPtiFQ*WdNuf6AA??okW|Ov8Mq z;^r9T&St@5lzZ5*GnCX>=tjoDmpv??oOwmK0Fkh8E{!jD0e4mYDbmy;xqG zXvC?ZnA=reI&rHUq(kiNPtMe;xu)!YLXE20*EtQi3HniQ5V=lF>eGPPI}V%twL&L-(>=Rw@pVccPGB4JWlxTWS68;NMbv9>t?7dhI7`NXtuc2v zAvmNMg3WRrug5*O{M$0?J3^4|A{jg%eG9adfJ zCtafKdejW_+8;7MNS;p&0eL)V3l<-brY_2pb6f#2FwaOfj`m{ZBZYZ>Tv+R3MiS7~ zY|Gs!zIRL+2u@Nx&KM={6G``;b#C{nn58eqUu=0*MJJ>5Dz<2W8shtrmoKUmFeu;8 zZTCuc8&RUmEmhm$Vu#ngr3wbc`(-=4AIBS8%C?6K{EXxKd7}--zvH&QA75CpDK!am zlAd~U$CojHRrC}uv4w9_eWw0Sy@`4TZ(nE6+DJbIPu3S%H{wg`@FhFS=0IC9R2mz1l zaaOg*!n_1D0^9)*gbn~+Ber-rr2|vU{}R?;d_OOu-~iMP5Jp3uQmf|Pw^vvqW#E!>l6*K zpOY*hYUKh%Kj?5|&sK=6K(4cje4XeI@=DHG#{#H?(nO?EKEZ@;d)5VEr2 zT&(hIh2grz)YUYd3vH&KX zQFSj=G3@2~Z-q@KD;REHJt9yRBd+Q_De4}PN2wO$d&i+UoS1BV)RFS7f}s$hhnjt;l#Y$oQIPzwYn9<5aZ0Z(8JvC5VPx zR~3B4cn(oqFPUJ3l>mz}@{8ddXy*s5;qSJoW7|S6ZMREXNWWolqXf~IT&c+DhD9*f zG)yfsEZ-u(UhX*9q_!MJVJ+{^^a)+fLUQ**rd<$RL)itX^v{^=FOuzoz%f=v zFpSgVJ`1w__&tT-Tz>0EIs9NGl-YTJcG2{3V$Ky}Wd!5Cf0i@O39eb4z>hE=KZ+S= zRVO4_;U0;8J=q1pCZ_0|?}DUDcXdH-uM4v56s<1E&A1@%YTo(pJp#QN6yJ%(q#$e) zyr;qs(({BkD_Zx+-YffI*p5gIM7V6Yqo2SPw~b8UjwM}B~elxV{g4nJX z0h~ZaE`!(L!fmmb?~ZpQIr>PUCywKY`_g?>eE`*3y26o|Iw2S`5BT?Nlm3Pvdey5b zitCa*4tQN9lj)K=da2);(F>caUd@YdfAKNwpi8%o6As3TUN?hYU-H}k;CKIp*JP4k zw{JkzGD%V0f=}A-_J}zd_o0m%@zmjNddbSw^0wwKTC4{R<{0}_h7v$0!yXs{tRWeu zmmG9lQ35nG+#j^L9-$ltMU}gj_{bC=JRT|QUKE}yHb zC6^EOdGhkXN((NZH(Ho)&Xa8m)xVTYmoz|#;%hfT^8y|=qxAw|ba(>{%9=K}-O~UQ zu1Fg&P=2Us1JQN&<7e97oSMGmp2EkeIF8C$j9-WRKs^fro*;97o^}AKvEVcatuXj) zvh6$EwPX_d`@vb{kJHmGFetu%*$(gTFZd(L``>|?SbYAS+~&gpb343WNSNXM-Nmj* zyX@rdAKuSxf4^`(eG`}0Zhv>!kqQjjBq^HE#kS2IUWIHKNtS$dyopbmoz|Uv)3*7R zmtT4L;w>+|{K6|QynN@)FTUk1!ko<9K&MW!6E*)HvZ2l8IAxa?9C!3YW@ZwdJaVSTP@O}1?p!cwB3JDMNc;`l(!JaMiK0=Gf2FDKY zkq@u{(?Bf0_^i+YU2;~Y?Pkvk-;z`s_{FMDgI^-{5vI-xt<$U*eJelNynx25;H-S= z6Hh#G@frW;cfT`Kb_A(MjwvXNKx1)Cg(eVvfdKIM0e1sZ7IX!mVP64!z;_7GgE6g! ztx)>niUHp5CU}Pj%X>$)VsuP9FcYQY5))w3EOU3Bqhs2UMJPV;#EQ`|-EUYT66d+W z!Is`iaKCUX;$(95vYXm4x?ji(!y}iE4esTf`-S!tnH39WIi?5HpF6r=psYenicS^g z2Y|#{9%gjE@LkGR1Qgir$If3oJzWdZqdHZ}hmEI4Rd>{-!)J%zC6;-*fq(7r5wi1# z=;pvNxnzdgJl$YfoS|leYl3K%NVdNBlP(>d>w9%eEWK%YVS-5_&sRAxKIgq3;+4x& zi#tEukfUO zJm7r~F$rZ5WJF49Ad5zjvlaQXR4_g@Z)ZQSS75=soy}&i5C@l_oQ_k?+d-$SdJLer zD7yPG(g(3)WR^w<9#a#1=!3Z7%n*9vBAeYlW1u+%%i%g6>-zuQioe~vB`=;gcrvAFs{G%#=XTLc6i~P%~ z<9uHJN0>(;e;`4E1^t(lW=6O2**y{I0`^;CaXa-Sz@xeGYwCc%-ke1^a-`!Mzvd3| zdnJ0!m*1;N`f=GQBDk&CysQ=W9MP(O_#L0|PhRKPtd5dlb8?&J!~P;aFc~}v9p>vvKnsloMSBZqPl8^K zNHyBKx7GO6i&q9d0)$m~IuAWluL=$p>_J;UAILRKg|#Zfn4*E(5Yo9h_YB_wGj~Gn zS}RYUJFd|YfozfMRvKzyA9KwkStyYmj{B1C^A6|D zlofw19FA009P5Ok8C071GKO1`9fM4Ic$JCuVzWrY9!rcj7r9`U0b_;5HDry6|MJ=y zdmkF7=Hcj444J-|rr(>A?YA~${k*S#{hxj%q|Jp9zse76p2eNW`y9p$eo33lmxaXe zDnG#Hg;joFl^>w%>v+$O1f){3`#|bMQK~%DB;M9zgZo#5|E^8DoEh%f96PJJdd%yJu|Laq6`5r1nNZ>B0+e3pG6<4X- z%M4wwxN6QkNBY|qU3p^IZp)ZAb!4WxaAE?W+B;xmd=S z5#Kv64J3jG4t0#Q=OcZD(y{xGsf2=traht|wC6SC*vinn7;h}Jz?d44D0)Wx1)!YL%kwz zqh=VSk%`G9+4!y{I!MRZ<4z?ha?-?@#*c=)vD%8bM?s(wu!&xfPQ?*?MS@HOw)O3=E_XyKpjwgd32?WTr5{3BdIh^xJAkDDL?}A_C#OS0XPEbe z9d9#R2L$n3VvE*)_Y3d-jUV;+QuFQxb}0*gcNjqD6?Hk}Q*{bVddai{Qq^@<6JID; z$#7N^Unp3%q78OAprBhzwn?hj3cjjqN2&X)a57>VMLnAK*q zBC%U$g2Bi>6mPiUOz?v?#N&92DLc^7D^lktMDC@HFJzMCm+F~hsX?EQ=F6&rBqu)# zw(d%(Cb>`VH7BwQYv_72q3d`5&;RhbFFnm1+p~vBv|?um*Cf?B8WR5*KRWD}Xujv{ zHyBP#xQS>!K#@U`z?|Kyp{uVL(H!&Xw0-K(9n#!6H;iZwAs`!E17V};7$ce=`W>^y zD2G9#Rui_q;(ekyCJ{fPglv^h46fUc?j~hB89-6=L1Lrdb&eTKKP3A{w$%V zt68eSt&(qGzQ9BQ0qn8J)K*_1CLP2P_N0S44WRt{ml^CE~<&o zH)y@wSLDsa3=h!j7pYmiV>By|Ne46R!PCZvK?T0urExdDBGKOr!8!+&iy;Q^Rv$*t zKU;1#P@u!70k|hJn=dKoZ>rZ z1$dkrip*4&@$~E=!YiqsuEAWM+A^LWvJ{k5klBlNoF`KW0>Zg0AzPzvcX@W9mmpvr z^9hdEx7SaoS?j_=53mo%p@e;D+jg7=Zhj!E?dsR#eu9Ya2AVs+y+i0o!$6?ekrpA@{>>v33pZyi?QfPL^T#A(Ip(H80p-^?$ z0zCy`Jfk1$-id+-!*)zxDC!tiU;0QuNlwKVc+TuCRBUS_!#$orkj#831bbqW4?+! z|B#pzvM=O9@?jRKkjf!)uV`;6j?;;as!dMY-h#t2%2QNq1|assmG@t#0=lmvth$>g z-mPqkl}+*Tb1yu~Hu(4dp1<+$zt77WsugJRbf!)UCIckY*|ff~aY^Z=l-MxCdiZn0mB8o2hZy{M5cW=Hi^gYUL!@GUzQNedwuNf%~ z19at;qJhDIqctO?p+m|=ydKO#Mk_@;J0}sHfG6nL=%0+}hMo$o+H_A@9oY^Y{j!~! z^6wRqHeV^YjTL%Vn_|9C-Tf7-(GJG`R&PDJN&5ij##OMt;4iL?5$ACnZl*CJ{a98w zzr6*8Wv6I`^P7S5PkZk>e=xK;YIVopJk@&8+%Q8uAYho`ETe#MZicgrf>;BeWfTz3 zZN*te0pZ*XXBh>A^K5w7s3y>%ZQ)Xs^oYn&0NNu%jsP7DG8x4i2^R%N0ppt!n_;s} z9zxn1{GyVhFv}8%9EAsA2@u8vXEnf;htr*l@>0bjtk6+#c5Yak+ly&|=?1{EpOZww zdL_%S`}3y(dL0FGUadeVtRdc(vY(Ly&|tuyx3dB8?RJ3;P;LV2!CyR|ahQH9E1KV4 zG+%a#Ry4mEH2;kK>t1=+>EIkPvCD#UW~P?}=b!~5++Pr!!;{d!7x8{AVOIp_)^7)G zp!wju*@0*X?Ctv<%KtG$EfspuH_3_hjJesISQ-+|lOGCF;0}Fz8k~drUm8}yd7~wA zh~$pq{re2g{V>tQSbrX&2iS4u8NHPLFTcy{3(m8Hkf2}S`E< z3GYod8lG6I$ibh+|205g1N2h^Rn}TNYVB)){wQnhPyE%N`Pm;o3F+6~LYPv&3m|1^(sV{FiV2 zTPN{+xo$o5f+R%W>}3Ra#H*ry-fUW-#Gyk^sA17C*3U6s^|%~;#PiT?KbE79cs`&K zk18@;2~f%EihRWL1Ed9{6%t8;op#$9{5|t@#PeB!`vTAB74Bt(rwRKv-H>$aA>mW| z2(f;C7+SXF5zp(-a~~*8V5|QNK!#Gdy)KZbO?@_SU7k9UbeGrCGFL~KZnpH)-zij{ zdXDc6iob@*_IDk-{(2Jm(U=kh2c!)r2o7*NB-b^W4xY%f?MBE1=u@n6?f9LF-s>eY z?=jbYzsdDwu&^Tca#&cA`$(l`dRonGpFaBu-*@Myf5eenX^11%J4U06n%)2oG|5#? zg4T&M#+Kf1MH&#S_dIJoy@XOQfBt3&J{$Ymwy35JA}AMEByyW!k47v>gy=D zy-%tP>7~<=xS;pOyTmf{OQyZE z_U9|4Pf>Vev9DS6+mKaH*Xohm?>as17EF&@c8b<0e7U=IP88n0>#N@IEso{t`x@;n zdBS&7v-IgvHnTHtiYOet>>A-hRjv*)V-!9+94AKM$hxES%wTk4I8Kbh(;!!7w#UP9 zViZ2~Efw!z$i#IO2p3U!zo)B1!y#!Fd$?Xk;o3b%Zu(}Fd?>T(nB=NedNdE#ReI@Q zvy+2pU7eT?I>+T#c^^@@K=8Qh+%VJrirKZ6zh%XCqQOjem0oZJt{&0qYCl!^t~2Co zG+xN>U0XCxra#2|&L^{_3wg!p+e>C!c8XSvz8Q>u>;L%AKJ+b)(K->?;%%D|K5WtK zEnVhFTWgq@UP_&2W{56k<)}doKlL%YAu-wv6Hp>Z#4vF}P#ldeq*og{Zk=Wvp*}Zk zJz+FL{k}mRx?GVMZM*LFTePepJ{>S!mUZg5Yhtt+?vZ)ckQd^?+LY}dh8S&zh+`?p zhd4H==EoHUqqAj)JcL?;0axu?FgjaGX<%SHE%@EC5U~*$c6vZLZ&XCa!na(!DgtN>P zL&_9}v6Y&kvKb;c=hQkF?vMzs42j%k*mYY@m_u_m`l)I|hRSA$kBS;MS41YE-nE3v zX1O1B(1C#^v}QyaHGUcim9wRFhC^Y$*IYt+317LSv(0kY?^*7~R1oOPDQ_TH7CrWm z)=%B$ZJKf)EaT}x4Lfz4Lyo6Bk0%3l!TBTl@$y=zW6O4my{Y74A-nzcP??s6a*I3> z!gkrd6_mAg#?1B4nEC(Ndl#VF(zGnBO@uHPMv91+Na7K>h&|ivwbylLu%zrR4A7?Z zbOQ#-ob;7B472;#-HbC3BoKsBQWC5brBxDABpNGPglkC%LkKZcB1I69BtYb%1_g=n z5>1pLup@v7MsJ^6dNW+}wCR{4k}HpH$P^;`!@|=fCbJKIa1; zOkROjGt4WnI_e{oYIDt2`!M`9oE6Z~7rAH0)cGQJ`?`>7bIkdPIdz_D-glT)Bc2!x z%T~C&bqr1$d^S2x6Y_7KgWysbRLaZS>rl*0o$wvJ8*!bwJqHC9mi`8Hbq7Zg-b*)C zw$JWR>_eF9Z_iRLI_Vgh-h+(@N>2wSmb&w7DvTP$XP=t9Cz9#*uv3l@*OTW7B4YN5 z7CoX^Gbjb^#QkyZkhwkbcb6)WZ6|0azD{KG%hr5NLrk1=B->%Z(=6xDPKo~}Z*2Y* zZO3H|*Kt=)#nUNwb0l|}ZlA{MkQLu$tav_CeheSCQyt(S18Cg-sJsu^{!$}zta@&z z{p-C19*GCg?`piCSotu>jxXD8e;M27j(^-i9K-L=h7x!FHMi6LxM10)^Z*#E|2BsD zAwasktP!5=1&rNz`|B}wh;*FkK?k`9Z&Ntn8KCY9iU ziLb@hVWz!54^_px4+R%PDIwyau_UZ0rxqkrYw{^{L>nd)O2W?D3L!QlEC zjTj%B&T7Q?&~$csypK#H!hxhN8F=6K?gP`=pxno$-7sJwi6O^PaTb==^&o7%$)K1& zfp#ImxF?BmI)Kgi@mDlD-eA@K71&)l33du>T?T*Z?aA0wkLjkVg~@6>r(zM=R8&9P zlV;z(@?UgMZ}hvHK)>7KRLY3m=yd(MTePL?*QXLL=}G(F`%nGj?|4Jd)@; z80Ojl&%VcOFABb(QK~JcoJvB;=B8>lI1Fplt0hqG30Q_vUN(f72TCuei^g!VJh1 z?vdW1w^n&6Y@^gJLc6-KbkFoI5>qh1H*^s?OZQOkI_qJ?QvgCqac|vIZI*bdD|S*A zmhQ3M!I9*kWqA21U811mR&#%pauIAzEUN_}M8f-E+Nz(jmS~u*7*5vw)v@S|sAb$)wMI z+(YfDT+`p9BUs_m>@q+TB0tY{-<6YnS5D`?E2sEf!K?6HImPb^UY-4}ob0=D%DyY7 z_+7!P(Rbx^vhNCB`FH(yqkKL84N32Y@5*!M6I6$CYVVQHldEH((1OP#<$F-aK%pdM zv<}^6g^yZF8)<*kRfspP@FLHUJ0Q1HMyPIgeE4EUtv>9~e&qL;=f&;MZMQ!PPvB^! z{hM8#6`pGOrn~*Qo%Y8C^PBast9!RxgO8Kt%WC=RrsbN$t^p9INo(;Dk& zdhu;D%Lu-3_obI|$>$`6!Ni%xj<=$rjdNbIi|M3sx<_+Fk|E+t9*3Eu@iETr8ks*zu6;coV6vElaO#W*Xb!lA+j~7;xcmDP}O zqT>Mi>jIIug4{9;mx;u1Yl7hdk+?mIf4@W|ZV?`7!KyZS2rF1U^E7{*!WIgDfjojz zndnS;#xZ}VqT#+L>vy{P#vgn$#L#KgqXHFY#5m4~#K(bS6%ovV>nv@&{@6K@7#{*3 z2Ouy-IVO78(L23497ffKiIL$gMk~Zsv+U8wE0p7QUk5+cSrS2_rK)y;T!f3N-lwx9 z)<(-i#n6vOuSGX2eWF#q+ESfRlZN+_xEA6W?B2iY)uwZHb%af9xjM* z$c7E3u!G8)x{`GZ>r%E=95i@mxIQdk@y_#K+(7x4T90KhPl|I6>rq|Hu%0X$+<*w~ zsNSJo=5|o0KY_DsCvXSvGZ^G2oI7W5CQ)s4`=h-# zb53B8@1HwoWieAOkHJ66h3bm%D&y*6t8%_ZvB|I2cW$YAQ6rU21w&46f-}WW-HviqE(L`Cr9E}I zn69ZXJvvVTWvR{CY-yI-Buc{pL2TXBq30=`rP5TwX@YsgHY%p74&%hCzdK>BHr5Q1 zBUutOyFDE^z`AA^#$danXZNRWkHT&q;H8y!9DoOW2lS+q!sGDVjU{LPh(g(L6*x@MCUvN0GY=*cD z{)E+qFg0${kbO1>{>gm$OcE5y;S%n8+SKf=b6EJL$L#vxupl=FSz3`CVy>guMCQro zLRO$5y#f2SBv&MJ12%^xFVj8}hb8==E!?8bVY!}K?0cVh+h6;YU)+GaS&81E_(JXw_h1WNt`AG{e(tyNFMoSxsb5l8w9DSja=-iyg3}iUvsCp_YinT<=4*&Nam_uN z-s!BtZ5mtBr;L`fd@{ion|d;!{HALjLbcG2zUTyrpRtb~&Ao%kxyc(d4N>L;-McD( zNZgiD^2Xe-c(_o-lhezrW&h5oWxASeC0~o{xP~YTgY92{bCHQrN5^|YMVV*h$sqM| zQR?JDJsBzdxzvl)$@~dR0OC?d+)l0w8>)O=3XaZ24OL|(N-j_Ggrt2cjyb^=@>J0i zDmK9iM`(0;>Lxtx3ouKk=~3hbw$#lgE%S(_W&Ykze(Ue}*~A1_x70i#i@GmhrEyBP zWIN_rX_yZ}aq;OKk53Im?(`A)k&+pcWd^i2T#`Yky3l0`rw^d@iGi+ad5$#15SPNx zr*04;>Il$d1A&#oP<}Zr88k;<%ThPj!Ae657^_T7-Rwnv)_-uR2-$6H_YHDYOb68! zGHm`5t@Q>3Tqm<4@($7(BUlbzKmu9;;6p($GeS*Hr%5}>E7{YfL-d%5yc1o44E~En z+)CyI1n9S_jX}QsD-*gZ&rs1SjzdySQY9os5&(sW1ij#|UDk6|_!>;2Jk+X>^q8gd zXES(4Nl~&_`oOZCT!*rSO}?oK`lV)+k|3BW(3FePhFV3och@J&sjhBVJ|Jql_(;fo>}z7A3i1Iz2+HH^VeZ8)9vm zvJYV3f zg$|!y-HddOg7x-k<}(yz>YC-eWDBDvvkV%4(n$ukO8$8S>&+5#UW2$xwZ{p2%L}ny zK=zRG01J`7;eUeJN}*oBI}pyNAUW-jp|>2~Pf(FRO!73+s&XurMbe+rtAO@~?&ZH5 z+COz2XdeT8VE+CDp2hq9!wnuPt5#$XcFDxvRVR=Asi`OSumYeMRWuyz~uPefL zr_r*_Ks&v9_qDq(pY8~gLfbsv5X8w1quI8fHN?#H%B-P^T+$Q%rWe2RsV)1iX>`Q+ zTlW3*!iz8b>#u?`{e>^yd664;UU>GkXB9%D##)5w`O#_$uZu`7FkSKyr_fjouRr@f zf#%!P@h$rv+ad2u&}*x-ucn1=@%Z(`!5SPN~ zSdQ|yAug8@3WcTMqI{vnSXG{_si?av15cD#`Sv!%g?{**t#$GdQ6%Y&ypfey|J)1D z|G;OYP6S0ntH~Qay3uZIWX$KQu@k`zTXg5C&_Y#(Zo}{vqH9v!qoH*h0(JrkE*Y{W zZ-!k<6;!rPLTZbpc0UY_HF+~cjh|v~)K56HTAEHP@|VO6k6jnk%Q5LpE7Qr482~ec zZj_d=+r}n@BtzEZ%@D1VVGvpark>QglcCh)qvf9S>F{Yl%Wsu9;4E2_H%oMUK!}Sh zL0Ia%bZ5z$yjkMr3^GOqYwlI)%31om18G0%z*0To*pH6xZ_lvDuQZR|RznzM;@0%{ zr*ANwUe_E#+o)OIU!7mF2GfZJdFy%=8DeS!s#@{&S$VG0rKJ9e+`^{Eu<0>S-uue5 z!?#q!rpNGzN&R2`#Mgh*yOxN4>nFnEHY57}xgZSy!(ks}or$2 z$ufEOE1FM6VD$e9E{6=h>06q*rPsEU1b$FYDq?MUYqAI+?*u)|Yg4l{4&DSlZ|d%w zy1NwJ7u5cu0%M35?UA~bX&=0SV#*l-EvVI`{Z&S!}St0spF4@iOj@!(d(QiXrVw*yChD-kj#>|i^JM+O! zC~2#RUr$B+6K;L*rRq&_B8uLO2grR7RN-N6cPaOc_GdL*$bBPTLrQx&_dRqy=a(Q| zi$1cs$(@K|sqw-w-iKa8Y0K8;6>&^r(5WpL@i|J8``kB_^*FtRY4-`0U;YG!17K(g z1}x~YC$i5z_uX~}ejKcce)W+au+M#?ScuUQ^1=JiBA-5w^rOY2&*sy!+&4y{s}M8V z*)`^OYi7A`HfZ)F@IY_ND9=)%b%|{0_^c`vGs~DofW0oBn2KBR7HebUX-IphD zmP|P?n~&RCRvr938mfUgddcRaWs=E>w5I68+0n5iM4e?#8}n|K50uT948cOlhjxtX~0nGn!L;;-!l7xI@IEZboRWJ-s z{Q@v=x;^WG`O2rBue(K?@3j6FUD7%5dw%7A{wF{2;n8=(NG$5iBhOBF)-C9TGzXpJ z0HK>uybo`|kY8Fe9O@cHZg@IFRip6CAD?7Ma5h6M)B&7n*op(=4T;TW7$;iw@H><@ zkXB@h-wYuR0GEj?V%P+o4Zk9J17_GAYsK5X?nZ_LW-~n25N{^jq6J=LZqkYm1fm9B z=l&Ipnix(Xe{U`d{m&g@DpfG`H|{^5KG^R(z99UqGI<%5kxue1)UWYUaFDmj2`P^NJvj~oQ-N&~P> zEv~tO9M^CLz!}P$C&vNPIWiTNm>OHAMg(3gNFMerNqiDcFOcJ)ffLAapx$Ml{YpFm zBD;;2Tw8b-Z(zEUUfv25Tu+FmW&2^3-mPA0|8Ap-o`R;`MNz*blAMW1&oxJs6w7V3$KT_-u z8FNInl1Ac~!ZKi&0Ne}S@_BRtGs+m*m_G=>Vz7gxJ6C z7Ht`V^|$DfH2AyT_r(A4-zDtVbj>IY-lH#0Qp|fwzvn6DEDer|t}p`zXsVZnJ`Elw z`(j?ju?OiOU(u(*aYD>RLp!`ZhBmU0QbGpar@^~{MR_sYqfDQ#=+ofGzR`v+n}C!aQ;vb#8RgE@f3io||1?2k1%$C!by4^oCh*Pv^NdnrB`-AiDfh2XVTw z9G<*A)9WV4EugJyoMsaIVwQ>8mic`>S`y<+enEPrtC(bc`g_Rr8+MPY-+#v7Jz*?vxje(mMc-RF0EG`Hg?mL2Zes1U>H=~(=Y+zS7$-?;ni zFHczB%1}(ha@_)n2m17i)c&|hJv4}y3q15#+#YJ`z2FBA!+tejwL>q$ zq2UexCDPovcQ2P(!5?P8@Xoz3R~|2>XPYFL(&br3PJc_U>_oyzEJ7j<7`0Z?D(O`K z#WIm>K`EXG=cVj|?}3|As|1jL9=w;b>+564pCHecUuf$`=bZpl7hlP zoH)1tgOL%+js)#6aM$l3U(j^@YE0bCdM40>4 zV0=C5{jDcpknhj!bp7gX$1h2wY_hE&qm05J-=EuVe`%R;`?tF~bE@m2f+gE&|Dq!U zK5;!nam3rWS~b#-^6jTqVk3ee=9FS98h5vDbJL8mS<90e{o7hDylrM4>s1Z?#kOAd+f0v`lXNg=O3RK zqFRiz7Sd3fd;EClC?qK2KtUrfycPUZGYnmq(}w(cGQ;40QW6P2Pyq44-U>ORXs9iu zFl;;Y73PNg!HR~c(n!-nhk}x-2!^+?B7dpO5J?yu5kIY15yZ}XMgFpwVY_eX=D-lu zn)1;6%`roePT2gx4|C|s6~!Af%MK|cTu3f?XqCnq-oi;{+>gM~PCPD}IpWno>; z<|^rWwpAQ?$-CdYvztK`4NrAKpp7mfAQ$01!?-oWe6E}-y6zScu;2CStLa9HKNCNO z^HP$Ja^B_HN=&>;bQiwxpZuxM{juaOXi3)6TE;UND&ZL*KXAY+U_#;<*`*4y6h*acDio0Nz{T?zFdX|)3 zE-XD=q;C(@d^kf^@noL)$PSF>aU1s`zlWA=jCql>aXGK<0OkL*vDy*L!v3)yzr3(#jXIxDhYSxK-= z_sDkIpXni=y+JmlMs~$zZxHKe*&lAF{mW#UTpr7D+{V>nGzMuKbcR>!%XZ;uPq4W8 zH#g0{S$B&z|K@ticE9+R;XnUZlYgVFW0nrF{z)`~D&b{HD2oVchTWY06#>)?TeO&p z?|{mS6au}#5yNdWL>r1VqjFG%{6%>u%&JOBI>gp|5LKy|LvZPYykuz= zCn7dgr!C1~d!pu)@(sT6RUkg}&zw*~Dv}Ok55QKuH=EqhWwT6%E|vW$9gM5a^Le&j zn9H1(HN7yYbP_xl>erz02wQOo6>25eNbMjbmNaQVnUFW23c;@W3F_^?t%|Jm`RkwgLa6a45W= z(9S7vct2rQfy4U=ARx}nlJb7SacEdJLG`?Yeh^0^0r9<&v_AS%PsjBf;U_xETqK#jF7#_FkM&EnDllX1%*$03T>7W0HzuyLRi) zWsq(79QyCbg%FT058$_x7cl>d`#2wAoFLfxWv{Yd_Q@x}eqlPnoC0`YePCO_DF7D; z$&ky_2jLsBfH|96upV=+ygah*7Hw|9`df5KH|_U+#}EFEW9q=sn^C3@9-&(hSe5`% z#M3G&86KFzEto}n?-tCWy>|=RJP9o)> zD`Eh(vJ|=~V7TMUc9ufV+jf!Czl6Xl?qXU_FHcOtV-r0(8-~7ox+94Md@+S27g&#h zFJ~2@d9K`fmf|C!7;G5&C%Z~K{qp)JBhHma#Gvu>gCjG8p+-QZUrIKF{- z@bDH4ndCJ?^nIe$l!j$H%K#KhP zDlq-hhY^Z_Stc?i8&QkhA!dZe1z(E-(YPNf@LGw$l{UK)AgNZ0dM|+AUAKaS$ z?hMcs32X9x`ZX^Mn0-*n*NWNaYwIao71%y#1RT&?{&Z<#Jsovv@^W$Pe%#m*!sQSq zqvRri9LgN>FXg2+Ns7zlsf2~%z+Aql2IdHth0|(Rms(bx3zt#*@9qQY!$ZG?%dT1B zhC%(hTeOAC*Ap&(;zxYa&;3lA!JyPmgZjuYSGpX=QXR=MBSwU`0@Rz~fbmS=)h#z4 zP;^@GsU3!(YMfTg$n8}{c%u5_K_({nL-Q9T9(s=)F|n~@$-g*9LcJMwHKs<3A*hiI z!2ODZdNT}qk~lO9-}#Dbkud_2f}87f)XEq#vrEI_SDL{ z$|4jR1lqrV!fg^mMofDolNiEdyFuN}g}QaOXoI@zfx1uq^}qIeJ}MQA)$cH<3tq@2 zQSE@hYE@LbCvhGz=;3%QLopxK_6JbswZy8O`aZPGqFQU|NBBDmsC`r$G>MUGZlz^8 z3(>DTs@>N_co2vokDxFct}%QDRXqr?>d-;vN~7AtI8qkYZLkcQ(O9$ASy288X>!ow z->%Za_JFCDc~py|`=rCr9U&bhF|}$)r8U>0ykKh@3}_H5f1c@@OAsu;dj;{Y9uXWd zo`P(YU2}3IQqPKmFN`I$DA*w1{@p~DpSn_!brLk2_ahG+uX$ zHrHVNExM#={N88&;BR}gOL&VWhV|41)fRYP>@A`SJR;2 z$~tRU1JEbf6AXjAF$^pAx#x!B8kk{?l(&E>{-9k`X+?1jf?<2;Li63xTIG_VxCUm3 zCOss)0i`WQHWY^z*T4*`0~Dgz+65TT550(w5r$%SxqwnC?`BXLDzx_&qSS<3#Vf(K z>mD^UgM?cUeAPj)BUrNxk^~b)7fIhG!8+SqORx^#i!ENhx$*M4TeN}V^?>46eBLv+ z+)N_viZf6QJ#wtTQcfQbwlJ6A4b^Bq+ZE0#uw9<{rf@Q;qUCH;IDh`k^4N5tDID@S zqXurq%gIt^C!cBcrpscM^KIGmSsF4lEf$5`HS?CbIB%5&`NZqepatiMH<b;pLUZU*t^zQ;+xwsz81@r!hx?EQFE|y4}+z;qHljyH^6`s4Oi>5?y-^C?0 zo}?;2g7a-QQbOn3U}He0?Q*~k59t=eZ!!Efi}2lP8Ua-DRVem9{?7mOOaD;S0C#}2JHG3Grn8Rb|;Y(frL3A${S{UHw?{1 z30k_iFc~m6%=m77&D=EkZUaO=Ecj;|+@y^DeHv zsJO78UO`(nKX%S{8=$AEjI+L0wRp#j%lK~S)5aAdhpxwv#bQn0#TA);1LRf9OH}aP zKr_^%tDBRq`W3>xpz&E+yTI{H=DS%aKGF5H38`JZklN+ozm6`oMceB`xhs#h*WIEm z+FpN)E{V4P&^zw^!DX`h2L2oPD`WmDWBm>M>DDy|Fw=lQ5ZUpmg3I(%FmTTgutQ_n zMN^4&vT!;+nR3|xI~hdm^;_u4rygx~VP_KT*PxKLk=@PPyp>78PtQ-2St%y;a@?ov z$Lu7ut>s(QYB_sOuky^8I=UobNS(e!oVWHCafdLaPG9n+PdMDN z6A?4@u_GY<#nJ!7ftcx>ll(!DWKW6vsaIlo6Xvnl4~YE>D&RH^n3^uGZX$xI-WlPS zJvk$|VA*C?igOqDy&>+xKX~%jym?s+ZgnPMIKoR{+x$`)p@D2VaT8VafSV~x z?#l=mBgRfPRtF9Nvy)*~M!-}QLAYa$A00u>Wa!HXm?;BgR<4M4x-#v4e`SONn%viw z5e|EF%S&J0gv47W2B#B&DF)Y#iXDX*To0)_7BPAZ-Yq_O);1p+61nDp9s^%+c-<8T zqGJ(cV>K)BKngha)hxLI0P{@@?y}6nCID9Zh-OaUIKjcTMgdgMuZ)}75>nR#M z`Fp?lbHBwg2BZbtD0-n8^Kpc+y<%Bo%(B#CE;Z@UISyQ6^_mpDad*2g2CT)rg9wXY z9-V!lj_;QjsE>vR4k1B3yvHcm!cd^z454bOh7&6a)CWU!(1Gj6b8L-9m_Cd^y&3ig z%?$JytXrO=Fb2$UU+=*|z#!I^1Lg7$ql^JNNhrwTBtg+TptruX6sR}LM#jLh2Y)YW zdHw<^)SKmT;7nbvX>)(=^DF{*1WczNGoF}AtEvA&OfLUuaBq`RB`%l0uO{>fR&x&g z)RF8wPq~iGRQNA{qy0RgPh{9rmRwcWU0^C;JM5L!1;ErEWxq>yxlYz6{0rT`O9`Xe<75(P5F)*-JZS>iVUuIUy?`Ad!&Z+ zZJjD>z583UKBLArMp9pYf;Q-Oe9VWJ)c=nE_v1hE$<(l?DH9|6g#-!|=qRvuMxNED zjUnSoPPpi}h6p&U5!m&%BBrM+#xLo(f`pH;VN8P~AzmiTeNrDYRXG%l`SJsRG|#LP zy6w;|T@Fq5!jQkWX4r$_j!zBsS|kd}3WZPVcRFVt?QIY>C=Z<_^^Z`F6}JKILtrGP z>yst*JN!%vg%7O&b@{ooq(0P5I9C>F^?ijY_W1{wDBV6#TeZEc+3m;IQhuAw^4K?x zmQt$mM;BBx%dV!D05AK5Dy~c!iIx>6!0CBHYBxL2OI!?r_$UA{hx7M>rq4YH+=?5a z4F{O4CR_77LNRf(Uu64iePeJYP1|;CU9p{wZQI`1wry@~8yjb{8{4*R+qS;ze%`;| z&#vj3s+pSUKKnQi7)3(J&p^XZ3-g19ca*r&4aFQIfV9BvH1m{W_E|c!n@0Kc9YLFe z2ze50nVK>wU0o#=^M~gbdT?AKAMYfQ-0Vr0#22Mgw&JpoemTDxdZuC3SQjBzA+t6Y ze`khU$?bdclYc4-zP@*VmnG443?-QT0ND|R)rFXb?f^r5sbL$300|B3iC7QkcZO~) zn1%@PqDQzH1sy^3`vFo+64jz8xhjAb_{3S<5oBWtj^H9oPplp%wBqdxl3|TnXjQzm zBN#Ic(EuG&n}~wv3ynFJyi588@MAK1z+clc)rlikEVzW&E8#p$1>0(4E_CKecJ^r- z(}WWsu;s4Udbp|5M!t6b4X^l|xm{Y>hPb4AmgCK~cnPdbWxcAO#TiKY{XXBnHyJ-i z&^ZP93h+YgQIpl7A0k*+;LjjnYG7TB;1{pKnbVk0=g^&CSFKu@#PJIoMz&4PILvFP zW@!nsgs_lf6KUtlpd8ab8U!VWCe0%zw$4qXUi8PI%Y(xgMBWZiIoB!s$k}9WU07ag zm$8@WmW?|M|Av)6AC*NYdVvRbGf&BvXA^f#l+YSa?|0?QU!be$nL%Dnr}Q-=wbEWB ztPe#%vo!HL60wlK-u$ZHX|wb1eSCp5c#7-3Iqp8FjOTYhAEiV+9lJXXDse>YHx|jl zf8b&(w`t>YS|A5O0$1QU7^N`q5xZ?UDEC@guc^*dl$=7@V}^US5})=yr=hZ*ndd58 z?Z9WC0R_q+lp`%J>aN9@ez+wF-Z!N50h74ZE7JOYnayprwgJi$u_7is%8`qa^Xj)~ zsGjbVen826AjUQ{ivHOIvlMBRbD}NH#w3J}WrY zqG8hNhMZ9L*tcLQT(OZqt*6X0Hx4aqr-^*~_Dvzhr!GbCI0{NWhyrPmGjhUfQ0Cr5 z>$CIKMN^MKy;~~u6$m$-o07x-VHy-uAKC5s;;%9jad*s67OiFe{L@gz)0NaX3Fyh zA1ddRsbBT0iS);&S;zUD7dstOM>ZQq4q++Knuk>pQp=B+s`GlsZ&Mh}A`Q1G4QM`_ zaj&Ky9(2={-Hki9Sxj^4mmI5iAyLx8ZuZiCU!1q^GmcaHYu$`pClFrO{PojP(D*R( zsyc{~2sq-9CC9-Njl%63AXBuWxm{SSnzE+ZL@Lp421j6d3$raFmC?I?$pPkxbZ*~T z2rj1l2i@8=2ec+#eIC;mAF$*06CM^GF`My_U>-#Dix4D8($j= z@B6{8lin+fo$p+T0t#tfe-GQU(`=C1hdhANzAU%T*M8#nkN=}gLKy<84OA|({{6*- zdi~mPfA?q~T3*Qd{}3jvtz&oE-g}DRj}(qaDRBi1Y7wz?MkKI>k2yG;*!Pc&R@f!W zmfY~Lng_R(8SgphZgx!~6&Snh%zg8*uDTwQ*rW!`CsGnjFX{co3k%q#7*+=%hZnIf z=Oe^)2qoJ@B!{`0ZOG55T%bd%SC`gX^QQ0ZTIb%4@-gukq({+8b}$s)S2eCWJiT}0 z(!1B%C6+C5u=?z)JAK>U`MlMh#(0eD&ng!^LT87v+F9(d6g|)8oYQCA#^L|QvNfx3 zVrYk#>TISqpST5RY<;bm#QQyA@jnaNWo42^Ppm|D(+NKzN6yN#CJT&9Q@52#tTLHt zGjVeb((>GHd=qLlsLTe^`3In{!)}e20(&|Rl3)uEuQa>nRBcw~T`Y_IXo=iC!eSL# zfda^V@x`ldx&Nq19Bkb6mg9Y;xo`e%eK&u9sYD<4v{}Ue5D6n|Kt%~8%lLzXaQTZv zV#T{7G!Rmg#xU=yz>6f&o5fTP1+h0iaR3@Y6xoKw^u{!dvCBk4UGjHxO=;3w%Lfn|E)JAwn^h>V;4=F zc4nQL+a}zwUmOCt$MjwMz=e(FsPZbOF#VWq=kfsg> zHV(*!Uo2rA0&?b1gIEdA(>5kC_z?X1yYwxoa{4+;dKn2Ad=LlJ1FfQ5h2Qpd*ouj@ z*=FE`Vwm8EqOqS&UbpuHy2(WD%C@8gGHQ9);}%Z4tx}z9tDH2UVVM`ga7#pp=2j8Y zM1+Z!3B;`b<6RDyIKVWMehB?X*Q;#Fv)*G27_0v5ZDwf0sOV`JsuZFe=V|V1bHUt* znwb{4L;2kT!gZ~VaMB(s3ErP&$HR^4-CSsgj6PNR9VBCBbg~J@CBctSOM1q7SkS2x zBqMH!BhL;0V!u9(;#&lcK6aYl3%Xz18IabFVJU;!7`tD426K@~QQretfkwdHJqFsY zC4%p5Mj_c!Yt?UZvOMt!9H5)dW9GeijQO8AYXeNK6D9C@Oou45b2f-VqdfCntG|gK- z!qkn|xj8iWY|QVXb|P(BUVz;MJ7?KU;aZJqr*lNur@D6loF2M&2+Luv5 z%0@lLYv!u0a`1M+sv6cS_rGD>{4|lylND9jC)fE6he-m-q3n`zLU-qM1`>pLNoP%K zDREfPG3}2$8Pq8whlHQt0o>J{zT+}<>{E|V>S1Pwb0&?an`{xA1{CZMp6P^!ej&F7sap^FOKo>{J7j zVJpJbd0e@j-O*1RPIkn1CYZQ7Fg!zMYoK!#5ewv$u~}3(`xh_!g)O6vZV1skK4#|p zierP~p$SP45;duiCzUplHr23kdg(yd!haP?zcIPOPoUI|*cud*jBO1Tl&H|+zr9GU zhcn~ks8n&m6+sGQBV^N>;U2OQg-QS)`$Sq#?krGv9wL zsZm41?oTJe)aQs2ql_V03Lp7UN2I3&m7$CgjS+&s76D+Rb1Ajs4wZ!6~B@q&FO7VTn*Zv!U$k>_j$E$NP|C*u!2S z+)qdU`DumRa`<11i0*nj7G)yO5Iaj}_nW>WXh#MnjdN-mh4uAsE1-_q8R6=$Sb=OV zwI+mQAAWeG?*K>XJ={AWPbfMl!S{u2iB4|gcxCEFZ$%fH)6V$Z)O8Qq%i2`#+qVeo z$Cv7NQ1wfY7^ae-eNGT|IYZkI@SwG?ECewNlj8XB{ltiU7hHk9OJqmF6B9$a7KG2c zFahvcPWI4N3N_}jq!$DT3Fi~KYBF#1G1bs%Ay+r~TCx}6_n+6aSWG5pL;eoUJdpyV7FjQ4{yl02?>a7i(8>-P#UTf* zQVY)3Y2AB+k?fGaZM;wHF8JuHmP`_9Q;MF^3b^yyv#7x+N#7#zHVG@PX;)fX1u28A zR)!O5j)LRtoBbW<-V^2}`_Rx#5!r3*oAVu_C9$kK%qrJ4$l`n!r-a9PuBJ}7y|*rD zS6*8L@!-++FBjMbDpNgZBy%O4&YH3db#?(vyMM13OtG&a`R2{zm~lm?5o`#Q+vvUD zD-)kU`hR|P6DhBHwu89kYoLBCUs%jw~X-@8ZejJnG_t66+a;}acy(qKCl8AivbrKO9mE3r41t8=sS7mbaSB-G2Cndw6fK4yju4LQhg@^)sn7W7BX^;9 z&wsSZwuSB_zA`V}6;8!#C(Fg$(cd-V(~`ukwjX3Pn7^EWoGtaWYS&jrYz7_Cc?=G= z%^}CK3};K`TtpnEBietLtDWnIF7(bKQe$woZzV=iQXFtzKelV2oD#^DS!F40FXg;b zhyC&Hu{b-&TVH9ny`QfsSrWel5pJhOCwc&{rlK3)|UiFXn=q;fYpDn-f6=_e0lsX-LP%LyH88F5Td zvBapRv-pVEQ&5h-756*W!h9jc5S6T2*&xWEF80w^4w&&D!`gcoQ{I_~4`QzP&4Pa> z;<*r0U~6%|$kz)ZUQyt1G4e7_o~1z<(~s3$e&z9Hh3qml6I%ykAx@vxjACaX*x zAa*!%lMl^$h)F`x56gVOL?;mL*Mst-(hw&{M9-w1#?s*h(uy^*M-@C9pSm!u@}+nI z*aLnMiiyelhOqQA9m{3h?|fry8&;yUwP2sgd&0R~dC_^$j>6GrjJGY|z`1Cr9>ZHN z#27GN&?u&ZK*LabL)WWGKXY9m`D4=b+wiGHZvQR{Ct$eWl@XfA>CO*@qXC2reSAR* zg7ccb!|SaqqU>PlvV4X>VEfYlL1S*S?!3ESB*WTwAPF^&MM*i&|DhGUlCgjKH4yqC|^q+b9~$FCwhGl zuikfK3cb`6MHHV$JYlDS1I=8XC5EgHQ{tya=v-#zg_-mrD9{&*5r|ll3Y#Ub%w(K3 zk;&c>kjTbwyj}5v$y`>xO#Gm{LXKU4uVe3Az8%WzJKBWm`r++D&Tl|jgDKZ7$0zQd zNv~Gi->X#Gk*a!Nwsr%EafNqkLQ1H@JF>4E1zKIsR+xk8nPEss*10|!z zu*{KWgbnj6V97hryulhK=OT70tt1CGFQ5S>?FcQ;0>t4Ewr7FQ6PK_&{F*|P4Wl#4 zAep;L`Tl(a3l)J8jyc!(l3_{oCmz?gh+6ASuR{jbFREp)=GauDN^|r<%VETTnDv4L zp(tE}`j>tbXR)GDn6ymECDsRIPt3pfv-$g%yoj$zsgnN1W6hCej^kszkq0ROZM@mDyqI|b~O_QEe1@piG0ms ztB8Kqc}#{a$%&GYrA6895oz67$Ba4=G|(dsG~BIvWyL|CAHEWu7C+la9nBb=#Y6gb zbV*JFZ=ny!4v8FBL-h>g4_s z{kV0s!S@MlYB|8jS%(Rf#`xd%n3A28qOYgP@nJ-XpuvpJCslAbai&t=L+Tga#bg<} zps-+7XaPvc1qc28QS=>RCCQNlCxTOSWMa#JVbOsyJxzY zhopq?ee#R!FbjZx8p&jQNM&rH9ZAiEILu%u0MznzRcuvT2AUozSjOJUg;Qhrk_kUy zhqpmTJi=K-dxsj_=r2p)K^@V)8roj)9IyL1RQ9M#S1Wr!#&}>TVgoyIj+z5_&YF`hzl8n;W&w;7d~|gf*e=J5>(L%x=1p~& zo6cQhV%u8#D*vJ17y*AM(3=ugG8Gv5bGK} zu9Ojj6>_`|{Ct~jMap|L4=@M5tRlD8FP!^X_;VJKs1fY)gg+t0@d8W?sZZBYtd%r1 z?#RHS;Y&(l6cvNuaF98E%s`@el2Y|%RgUSIig;qnwgpOBp)p6 zeuJ2i8ao5!+=Rr+sQ2-U3$eN1*@T9J;3-zA@|9M!xqg9p_4s2auxl&$$W(HmsFqd2 zVd#^#;-REwd8+VJ)c)CiuqEXd|Hd?O(no0bmN+?Y1Oiv%2+~z3L z;k^JH6Iq|r^r1&fWIg_8K%Y+WA_{KdVYoFb@+Q%X)!nq1OU?7#h1*?W%kn7;sr{Ok z{;88_{!}N2lEbCcQ#F3L!x%h^N|afY{MB)r*G1XPSL#tpWj3V?A7OF!F~_^xPPh~k z8JjK-8nyV*)@HHjLamqRNn|L|^ntZ4!2q(d;zj;9a=(SWw=s&IMSvy)LEUL&?Xu@Q zn$K1<3YLQK$Wae$3__;7;suMyCGIK8F}fvZjO;jRLqqHzv%;Qw$i9bZsa3@f5S@9KM zj__Sjsn}mKStfEN`mLwG0EBCA?(PY8w9GK#&r7s@{G|K(PJtug>V$VXKSf_8MbRG-|+49HXvP{g!RL*rv)0@2Y@@dY}HiVycS<1#1MfT|$sLfUq^6D$LA-D-}(RI01L}3_q^D%jT z-3@*9RTxI5x*s_eQC40o4Ra2SG7DB38VO}K2@)AP6;}3*V_2ffAGRQk;V>+Da$~$T z>R#{NFMG)ECCCQEbBH{FCA~++JGI;gzdC2C}-u1nd zG8*%m>nraBJHmHc6hmC`9_@ZSF>dqH)@A2xo|sN}Eqmv%Qm{4mt=(m|E}>=CeIgAc zjT8!h{4~pA8w-{-{I&IGY~G&OJ2@!%N!{!zukjb33Z%0(kG?#BVE8RjEX5{=0Zk>; zohStTSlnZ1%`J6#rXWI5LIpJ!B*3>aKs*a+jVd;{c=Z9;OM6gm9b#=oEf1=`o@99Hj1KC=}t$L>a!}}UOn%zcX5`PXp z_TUK9@7cGnNElZnXQHhv>dh*kz>BX&IidyE%8LIPQF}tO@ssE;{vuYdc0!%)cbcGr z$`<$Q3QG1j)Ph2WHwC!M0mKh8jU+N+$*XB%E=4f(Jgc}C@Kv|;kxI4UN}DLM|4(enfymQ6tG zW;^+@dA61(d3PDW-*?1B;39Kd-{Fl)i4@*PP<2s@gE$~X<+1B!`U{BiI*=1<$jpH& zIq;5+Rn5+JpZ)Q^VTwrX3m}LbKpTmz@D_`eC$$<|1(5P9FR3_K3@-vk?8GOqjK7hQ zVp~~5es&v6bY9L%liwiRW(g2Ax={S4MZrFp=G(b*1M3ZGcY9raSQ5dJiBDmmgrzm8L#N->>wQ@TynzkO=^*&A=+c-r`| zlM2N8dr{WP@eiXgYJcA`|2H;okvxY%e9uF@e2qdygziUjHrwMt@QJPdEMUh)O2bAU zR`!ZUT2V!LNB{-(Da+tGd$w^w z>>mL^4rJt7VhoD%nrj|baA(lp&vPSveXLtI&^|9$`j(3j^&}^-Z)KBKLgs^^#{}_0 z-SkOPo7Z`f8aqMoG`i+XJJiiZg+ll?S}W_%#gnR#>*-8`d-|@ShBaR4KX$RMFRATN z{}I`6|2=Op%<8>vY~Nh_u3mSvy?8T>m=$h_%&iiqR|VlrHF?jlGXZ6nw*p-fNCu5u zDCw~h-ES}6?stFVtB=ci>$xl`y&&gz5KTV2qx`e_)o;e{S0O`6}s23E*%QT#S`%gR{Xy4}o0shX=6)!M9-NcQ%g~cg<6HMJ)M9FGs$?n5> zfun5B$cRz=JnqB zzO5N>1I|d8QKI3C8IidRr12jBz)`0CzP<^Rg!%42Ecwsc>yK&wFK}v4jZzWnES?7F zsRuBx%Yt}@Of`*3O?#KKio8RPzg1ba8)0O}eS0d36I_V~Pd%(QfFs#aA1~L@JT$!# zXWlDG)sCD)4$8|4FF)QlaLaZ$`)#f?oe89WDDM3977#xIeaKqv$T=@PW@~p7wT^?t zQYa!vwYy_EbnbQrLE60s-3P7-hm~uMujPZ>cN_k+*#b(w;g1)cQslc|~m0;UbcwCRuj=SKM8C zN0^9x^N6?3rMzA)yh&qE6 zXZ1!d?Gc(E1*bLihyEkBLg)b*bJQk+&F_r)AJwSA6>Cw<8^KR-s=>%9@L^9fkOyd} zYCo+=g0pr51sEodijB}#)JGG{Y*}rQPmF&o!eI=6PwiiBnB~DLm0!kHY>E zLKvI2Wxo#-QvyCOb!jvk{)+GsV&rf06%SEFLx@xY_YxAo0zxjsoRg9OaJk8lgJADR zVx?J)SJFMvE}DIgHk|9NdJl z!_5Ye3TpxuT0!i4%>*`J36OB})+4>rdaxYN2Yc1k=M~7Z4L3VlYebA5510;ix`leq z9zLQ+>FN{0hG6rr=avr&E^4j~Ep@f~67j+E>0NuzfX2<+MQk;RyT|0RxRyt&C*%jU z11e+_-n|pv7a2cuuCY;_|KhPn`DOPj8*hKXys`ft4Q~*58cbkNnj7u39}=$f?Y?~s zy8)BBAkO_uQp$-zYhLTH&Ez))f)3sZCrb1ljH!4a(QmmKDN!gmO!uS)%k__{KJN}W zdALjD4O3LA;2=!kiGOdt2dk$nOwPnAW3bC^*$EEZ#Ne>BELm4f3v9ddle__UC~_2i zRY!>Ri}q?Y*ihg(5=^zgh12AxB*h{Pj9jT#4d4{p5=>3oONv_06ft)6Kk zWcPVMe)GgW*W2ks;G=>C1>bchmbj+w3x(lg!u znoCQ(X#bZkAEIA2^+3Hcli76gOub(#Z+H;-x@@Kjw7>( zB^cXld$b|}L>$Z};2EK2A68!7#_aUnGEpKvyG0A82xVWCcft)pcIM1V-S-LK#@Z%v z=#gugG?qA(yq?V)S_A8ZNd>?}0lReQ+qebM@(Z)stqO%Yt9j%ai-+OPY}K;A38*QbC4#9*==iebWD~z`EW$?04Lw@xq?MB5(=3_Os~(#~8gz9@t$xR)LHnBO)x)>G z-7GneX&d@ec#ZdHKbD-p{Qu=Zrfoi zI)@|KS)=;ys9a zw0$+DIac;3xgkB*VMaQkxDB9&SLA`gaax>W+il3A4oL+bs7exLHUk*Q?|1mDl%Vov zLcR+py^Vyq#S6dt0fdsHAX?D6#3vE5{mswgER-Y%M8Ea?_yXP1d>jkwjBTE7@(#dz z#ku2haIX7}*qA@b{&BPEWqzRaO6#yPt(+7pjj?xMkb2nxSqp~6o}l_$zf*y)%#VkV z8L`G$tfr^Sn~_7T+);`_S}G!Wme_!iagDUZ;VJ?@*RQALfWT3lYTRiqnQB%fmlDl!eiz~pDp00tzP#qVj3MNU{xoC z!YhgvYKfS$-$Bl;y=(I;0lO3(uAdZaI$*h=Qy$uR(bSbr$zZ!q5NJMNDJ;QMF7=8| z8fO~6S}AA=xz8bGkm>EGW2W~`C`8GKCKD+HPNJyOm8ll1w0Ma8Kont)5M6al4Zd)6 zPyHYWrt`11A-q`~`+ML3@JyA8-XI!hE)@~s5m}AGel8{a<$khhqd=ksXqx>+dCk~_ zC3Q!tYID$dEI3A_YZGK!v{Ur`MiWCJUiu}UnenQz;o+Vm_Xo2Yj!VFR*my|c7D`3? z6Q66W-{RL!i1GCZVO?$%Zg?DfVuwW1=zc$Ob)w_?rQm^zF?TWro`uVf%3l0`?s8LD z29;C}(<0IwFjqa-&Hw3NzkN}1qIM;{d~BCanygAny+C=%e9JINFJg0R1^TvL;w=bE zmnpw*pN79GyC3YV4*op3VDpl>dhF=%fJ9U^y&~s;k*3!%zNnxfK|O~L>hih<@YUp^ zO#G|DI{L+Vz?gyKKG}0idl6(4Oz5kfsAL(2omZOszOOJOj{A+hQz{gapb{sZ9iIHh zBRxnqKAC4_y4pF-)DY*>sljg}2pKhCa#u?2rEzFeXta21+grq!>DdOUnkpMSN|PV& z#}9oK`|E(uU68}ded1#?4FB7Nk1t;-RBy)%%j0P*)loWr1>%p_ES(*Rf_voHyPfJB|Oj2z&rt1W>Ja1HP~QF1I%u z3_=(bMvfGJB9L-krXS}&E9VCm6*LkbFIsiS%(@g^ZAYA%>%0uVCTnHC$e$Afk9r&s zorDmdr`w-e-4AHgAK=_@Nd6bZ>GX92G(Wf#bJvznn3K`}cA2%F8N&2pPJmg2VQ{Y| zn8k!8{pILq1ZW=-nKLV(`zpsRcd}qvW4m4%fYQKzxlYfw#vH4* zq<^{Fdwub1O?DSnCM#zKwtgao^q^D7^k>DRMw6Ezy~^?8<2-u#2#^bm?Kefwp`^az zQ~sKw8>W^M><-A=7efgzXClZ`KBiD6bgt7%7%yiXcj_Y+{Elpy@xyQBp_Ur}xq2US zIs2Qs&AamJL@grPD--*pY%|q;j>1^;PFy= zQmlU7jGZyTXBNk=7{OfM=l*A2y_q0sZt8+LyI{SwzK+TVs(4%8=hkb1AA9vSat`)n z-F6qlBU`;S;n*(=_((Ax-r-dd(#;1;E=nYtRD${(HR#D=K8xsN5&k-MqGBFuDou&p z5NWUeMoJu48e4@P*6o@jaxa1hzA`4B-V0le2Euchs8scahJe|)hEXqj=RA%aBRsR) zE4FlPh!%BD@=${UNN5|;>vQ4jex2|0?$<3b{H1K>Ut9DU%-kW~4@gC1B+IaL^dt*K z9_#pcyMs;OnxlhJINsnxW;x&@Xg`oasbEMIIjiv~QVycY-cV6 zB8%WZS!5P?;6Ke0X19Iti3CM*#WQOrgX zWal4F^V^o@;QEJY`8#CFY)KdjNP)98tQJ3@LJlOBOG-;6siNzRa!SW;y{J}i`hmMM z0AJT6s8eH1J0<0-|8t6OJJSWrl!edX)#-h{&y&~nXDvy+vxxJ@<*#FEqckDzfEd)a9ipFd5XK0 z^Vq28ViA3%b3F^s@D7Lad_|%LoYbLg#&&qI7kb4zt4VL#h^FY-y9Auu?-pMEEB%)L zEB#iU?!Wg>!{eygtFjcs?0z6EHs)-FFro2e8>xpyit^t-Ji_@+pLw9IU*H#Hx+PFu zuduNskI6a%)+bQr>xgN_Ks*d0-P5sNirWbgpV`T|25(THY)cd;w^>+yJw>*mOoMIR z!fbqHK^;h)=zmixr7x!*DyE-Uufnc$dk_41Xrv<$=Z9ZNm9N^hR1K?my8iL-iB8rw zhSuBgIqx={M01#-QgPsC8+UC>mBPSt5-A1gHPi3+upTn(OY*Y9dYQlNd#+2mOM@k_(!fUzQXxwAqG0Js)}bC4T#E#A*s3TZR_Ux@5*OVpJ8?>BpIi zb|SWQ81~OUthL?`e`qSXa8N~yjJl7N%$cfgPG#%T$;*o<<|Z8_23TN{*OSr*N&$?+ zEC^8chuvUSSmst`fsBO)ULflN?JBoWmz)rfFff4=c;?lh!t7KS(zeue!b(K@+X-

    (9vCY^va=ggz`%wy*Evs1U(d`}f8|Cp`PP-zf-SD?hI0BD{r zMC4?(4!BP+s=h0l9mNhbHR!fEk|9i_<1IH^bd?}OQ|9IdBkSdC$y zrsCzEk3ocFc|HH&MUiXrg-=n~tT+!o5$e83TBkvy@x~^?%_{54?~+BCWfI@1`9|KY zG*xI8x?F)9;{c*@_@rAooJJg_Z02{<|pmZ$26j#*`yLhaF?W2%?3$VuYU>2F9W!c8Mm@% zDmm$W-fQMVTooa6PmkL1oOdwZ7HvMf-;VIDzENpRKwo1)T26NIypHeK4{vs7Mj4xj zh!L6#+!~3wBA*q+wZAkT+eZ?!4qC-qWZ(d_I$Rp3bAu-(IvX;Gb3z|IT#^;V=80za z;KzZ4{Na2YkFB(`ouhZ}PFneF_kS7=cvLKPXP(GOyW3z@OvW$LAx|T;hA5k9LL>u& z@)TT}SK1ksPVPh~U$g4=l2 zr&L&CD3yzDgn)l8iY=L`o?_im*&>K<6oD~oSXyh(l49-4ba7TRRUojGv8;u!U2)r6 zQ++ORtmA$XNrTXc>ySo(KJqYMGdC?K#?v%#WrW6zX&xwp2_c(?C1vbUALHw;s|-*d zUrKqQ!}k-i7GH+w6gk#uD|%md+0gJQV0z*Yx4=Bk+$8GEnknm@xtjHQ!(&6}*l4)| zhp-;w{WCVU%h&rt6nuklWSb`W++5DTkh31d&WtYB`f-K!>Ju`rV%1zw;N1Kz7VQN; ziawC`l97+CLNee;8cGt-J>9xX9h;~h>BANhiB*&pia+U$<87IK$Wq1q#{RUin@t9*Nz|sa~oSc9E74@h-cp?j>gsq zwi6fsPbf`E3!6z<7Hr^B(6Kn=y@zQ8m9#E5hp>RWO}>>6=rtzZtqbgG;}8C~bRFN^f6XfT?W&B9&xR;#6~KJz)A1qD8x5C@`yu%@r6j6R zooV9)_ejXaj=>{~DUWwgFY)-9Wjf5(Qbth29ar{UT|5rv`pz%RKyIrg2(uO)SYY^KEaFV{(7nNX?< z^2UW`_GK8Y9{kZYk@acegQ`+n%ZN(Q#J}{$lCq@H35J+C+-b}p1EV}J8KRH6vN`Cg zs#(I7vpfe8bC95+LwoU;G<*BONeZ1Rpw*m?6Tkgn_k+q}_V={-o~QM?`uM z5=PkK8if&wtkf`Tl{d=dLOWwX<@Skr@y*=~wu*gZC#3>njj413q?C91pgJky0Qu^b zcyj*eVPcC%hXDixs8boI&QW(aDjp;R$pUg$>ckl2BpDXOekeyOMz zKHqd?0zE20@x%krnUCpS&Y3#G;+_DUU_J#-XSI*bQ)Dhd3QJc@*N3hRV=$6Zgof5U zsVJ{B!VTbp`T|d5AmbNtj8>XH0^4g=!%EY`&kg>$ieuQZJAoe-09YR5ct0Eaql;t!%c*BMC#b5W^uy;y~1Z^)=GR-3IsRen8gvtZ%7^P_v(XS;6qK&3r zIr4WgjQ571J4)C*23`Zz5v}(|KH`EOkCD1&&;D0`Dln3rm)yQ!zBaWPh=72sb;H6y zTDWirKA3|^MYl&k+w$|PgK59}u%z$Xihvjbh5bAg5L!R=n$QeM)g1loVqh9Gixpv_ zZ`pEkdvGL$G|Qm^0j+~e4noF#Je-!%mDQ9@UpI`& z^O1(Xc^(ORu-hIqsEQP(ewOTF`Nv4p5??V6z4?aCS}#=GwU?M zG^#0#y7BU=iEwz8Euw_@FyN6G7HAKi2R91?&v=f|^BT+nfWMsp7zDQ_IXIOiW7Rn| zCj9Hh$LRmnE}qB9c?bN-IaE6cRX>2e8+fAkxR9u`LfcSVrE6t;omFd20~zyj0AF`t z(S&=v%xE;XL2niOSQnJllLM$Fs8DqGSZN14n&((%!lRk&d%z}~g-rWt!p3w#d5P!c zwtTRC@^5g5v&QyoFTiTIg+Z%m@SROHpO5#WJvFO>_$k3R)?LUgASu@%YxB9J;aZlF z@Oe}tpiL`OBi~cK-^vVT!#5ZO*F_LZ9n77ZvEyCTvxD z*|CQY^}mH!yC;KsgQHdZZM}3K}FdH zED}nc^$9U@8evnWf7YRpy`iLJ zLScc*#4W+dG~d3*c|P31F!9x#YVeDOVCjGKS!@#p?(0=|W&I=k$(aDQ6wc*d*)FaF z;mHr!G4NT>|7yijfmnf`Bs~&0gJ+XwgN$UeLS|4NF+S4)ZFl8tFep%^V#_V4cpl@1 zbI{Uf`^R;%U>T9CUMYk+m+K@x8ogG)Oey!XE~Az`B|NR8yi&8-GoN}Dx4x2{0#75Q z!;(qN26@PP7DbmdjsLUYHQAJ?^tyM$e9*0@2Z^+aGo_A2~R|ZgHW4G zvWXnkIVUXCNDK1pXFhsQXs5OW4Ro9WTiqRG&EHc-k$OuQu!CNu}TL3@xT_!If^ z1T=>tqwU2t+V(O!O@GTT)vVnaA~rek23+4@SIa#+wuK+|IVK(`<}Pnc(Fw=Qp_;D8 z`n?f@L|~Pv)up#rQ~Yd$CtDnr-f*eG;zIlvY{_(w$A<=rmAsG5fj((7EFblD8+<&Z zyKq-fRCCfFTb%T+dv`vhaS>Wj!oIpTFLWg<9qw^|Nx!PDXD#KAk2HS;saH|EwHb2b z(@gjmbceWx@Ti|koO2=Q)CZjpvIpr8->oL*(eNtDxrLrnc;B-NXx~O|{A!2)HGLb2 z+i+!(mM+L&c6Z7>SO#4^#m7(S_IDKlWh^(*IzWk8G&rmdc{In$bXy~V!0TvZ@ zdk|V%;L`-!k(PJS0Pg+wCf3Ow58)&MC#2Waz)K z2;*v7Kh%CQ_B#rBo4{dDu4r6)oUy>p{NUj~ec?}iNvTYtBg2b#CtBRB?j}>Lb8wZ= zNV?7T|2fa~sidd1LvV02(s9nbcLJT~w4=ndZT6tt{W`Z( zn+2a%OuBEzzMtKuJE>bsNOO(F0*N^S-`o_RgWLGgn&%X*hjmnBN%k`((H^rF|3pVp{}W-OSUob@o8POi5e4tjz=8xS`l2 zMCvy41>O5TIr)M@Bw&jhe1;brzTo>L2sV*{{qYZ{6xA&43zMK-rX3t$6Eh7=69Mgz zzcmNMg!HMkosYpc)5c#3c?k%$h@GSuD9-BDR*Oq{gQbcdG3Oo^9*=77Z3(cW%CV9S zS{IfmehF|7c12KFdTOsOQ8sB5L6OUfdzt8Q6@`Y}41LVqFcR8G=NGO!6l2rbJxp!l zP=BRG3H(Mf|B7^NR$LHA(Nwi0bda-IJXL4&2mEuK1$r%I{|; z1bOe7Vn2D03r}TR@@|jCfD{{iOo6-p{b=9M>es_~Kfc9{sTu~Gg(!&Wcq&2A0AC-T z?!h4%+r)CToqSXX;w;I2^Y{h?J_zi-Kg5Nh%_2xM2)r!{ATBMaXk(xQca|;4^@y{_ zg4WxVDcQR-qnhGR7o0qQ2&jV>3d?%()-sNi9o%eH3-lG z_)4gw2!)*bRF*{7+3A`cb>0CRR3bckT_wnyaA6f%BR&kU%9j_t3WDl*)>~`~2;Kbf z%@H;A1xH^?S$jtmg+B2Cv-vLpUs}dm)}e-fa~e^kVef_`QiwX7kC>Dksl{TCD+mjY zYE^G*yoW{0-7}gwk$&vLdBd`QL}_SQmS(1hz?O@d$=l6jjQ_mU{xfz_zro>s;!71y zj(|;x^lQd6Jv6xPQm8EBaG0=H7AE!LRFs*a^iAu0)EbRx1PJ7N_=*&-r}VHJ{l3w_ zhq|*H5mah~c53(W__XBv`?gB2diTwR^=;{ISnv83Ze7;$zu@(-M?U_q ze&>6CX%Pd#LX?0oaVx`JL!9T?BZ2Dy*g)rZNdk~Ou-5DG8)91PM@XbgESgqyMR+8O zAX(4Iaf<69zjk58u0LpY6^dyi{(&Tc?a-RAWn#G%&ACJhQH8j0A{2)uVG=*MNbKjF zg2jMv6pT%)sd+Pt+g9M8#Ay=0&6N5TYl|4r4(L`m69Jx$1?{KQ{zX^ahJqUkvX}1u z#JDyTM6kZ0;G^uf`+*<-&QEte3wkp~kzjkMB!4>82N}2Gfy1L!EQLJ^7}Bs%9Ki|;VMSv zNDX?JU}cKC9}HU<$f0{^*{Fg|`Y?b91O|q{s6i`rG?YyJ_0f>Y)+#(Wh*NjkBNTCT#oX9%4%EB!cFK|2oL%~ zT93H*DAIZx-fnoS+6RxUUir?S`;EW*Efu2-T!%5HR47s)Hn zi-x{lIY9DM1o7cdvRwaVr(GT2brRi_}l zh45YQ)-2~0%vqB%%XtNJUrp|s1Hx?hE);t!3$WR^6SPNi+zBn4LhJng(Oz(OrJAZB zu(}N6^s~HKGM*H(eHk|4`86Pa$UskZE0(EYN%i{Fuy}tdwT$v)HvR*-;EVLtI4K=< zf|LtBUWU9JH{xmM%ap(K^||Qq)!Dp)o2wnS?iOvi=@9%i+6gz!KufY@rr(zU9Vi!S-$KDfZ^ zexkS7di_uImFoelyG0v{uD?Z>u$n&adq49(`b}?*jaMp$WQ|uQw}w=9ivSmq@Da&a z32?((p`vSs#{8nO$Om;7zjOI$&miI8Ad4r3=4r6PJU>jXf#CMr{uCR@heQ;Oe-?BHp9M?yfZFO zKZI7DWGJziv!NP7+$iH@VOQjx4;Z>ob$yqYCwl%v7F*D;}2n&Tc z*eqAY!O$Zx)q%i9#$Qq&5H>#5V`y}1<~`bxE};xEiAT@wEfHU20=Moy&duo=n7`<8#MHl0dE%;mhNfZ#p(7; zMU>wUQA)QpkI)9dQ_7rDH&S7gAk!>|J(d0C0jR?)cz1O7K=1m)0d*sNRKQ8kA7~M| zvo%o-(v%4jJLoAaEZrl$>-q-zP+YU2077mlTxDA`YI9-n(N|vj)S~5aKsAb=9pmk_ z*)n}1Q3oil4?kX|_tL{3br{tAwDj+ z1C-ifa#=^cYDm&#)P|+$^AFmq2JHY(FogI4zpcq-m2NZFYbV<&DFkVPTs#5V2w74| zvRRr}J+PyT&Kgpg_=MS`IMC z_t!oF>osheUE`B#O|@&X&kuuq|NQee&|dIKwWi)RSyBxK`TpEa=PyzZar4P18a`hX zTVO+>ZWP0<#V%1fS~oU%hF5kkz5L3H?|t!=q=+zaR~QY9ulD$^Oxwi;r|t@O z+2k3VXa?mOKI2ROwNL(=iTA1KTSf^3L>J()PCNh3v4VpoY!WyZ9SQ=4bK(3dykBwf zAANdg9~6c@N`SC5H8o*~s!-|J_$VRxH7FV#L1igcbWRnV>00Yha8*VQkdG4Zd!@s9 z+hbGbUd^HhVmMC!zo8)oCc62u3_Hf z(6d_CCwd!D-UFZ}FM zAnM9h81xRH@Wmw`hz2C(OeBm@C=7id8u*w&1HSuEoGA~T1)@WX zN`XYIRIba({5Y2i458q-zxatWcm8G1aOA z|A9CX-U`$VGi+N7Od#MKn^xu}cteRpO?Y&Fr1}~RYn=q|4W*zH410j9*2ilE3dNx% z4z(34Ad;+zKvU5(og=Z%&as1btR5QR$hgfPTH;V!F_z{*_RxH9T2bQAVCb}Fbt;oz zQRC3KBw|{Ls!>T+m?}?pbbo`67_tCo1YvzYp~&m+Q1F;| zo`Xy|NNX-S85T81FQZmx!?jzOi3QftbW&tCgw`pgEq|D8x)6)m+PWTE%lYy;vzGm1 z*QKuZ>ZsR~r|l*SPdFfu#_^R?MxR;bS11;F^oi+8DO^SPeNnhNs_l!+tBda!`X8WD zi(+g#KKn7U+V+yHEzZ}Ms!^Q9m)jp-zTS>oz-<>_^GlsJ_3~J_{p1ruG>EdY`lHMaR!>XSX>e+9WwqZKMZEC!Pld)UQGU}BTC}4rG!s#$K8`ENN|4g~yCXAB^`a>q2v=A=q$P}t8A8Wllxao5+-QlZK!T*lBeaEZY%hYJX(3=r zVFh#seHImTEqJ>%nG~=!qq6~t`9ilKG$2Gv8Ti9Xgh*bgWwz#}I4;op+yP}ffhW}nd~}b9^|I(5=j)5{R9nc1+zaXmk~T`G zqn9UPIb!uTgwrkN#tN}IsT;U=8Ej{pYeVhuSZ}a@b76noE!trJdSL(d_kZNqd_{tN zB_WJBX?1NW>;+C5P#@TfpPUBCZh^HxYXGE5Eg%r7`aQB}YS;kQb7Gj(0)pYJl&_?k zgW;@{uVk8S#W^WoNfw&noRqKB0?g1T-OHS`Inm#ollB0rzXG}?)64?j7-WZl+yC9( zyE@51IhFRIsQ+$p_SMJPn(7v8mPA=p!Ivo965(sXcpbxOE6lI|rN8pR{JLATf${oV zbV*_Uul~$G_@Zx0h526M4g+J|LanQ5gJf=)U5dHVV#r{fF?clG*GMH3j6>ns8}jRH zhOtVEnXFQ8_l5*xGwk=3ws{ZGYfw6OIyAx93=?Wc>EUEZFg8P!oWU7DBno{4iNXD$ z3C3m!Aq2Ex({SR@1YT*)Z8 z>?|3BM@y&_OHKh3g+)~&JG#F+IHvZ2L5M;+%QDOC_Min#iU=4vES!YQ(%&ES!Lua* zpXksAg++Is{suu=k|F@GtSf0(%hvRFsKY}PEL#vl=&89i{VgIPAI=}h@fG{^yyYcX z1#uZ7Pf)g8AzvMe)d>cgLn%W32`L77-8i`j`9mPvbBvE{Uw4whk_zR&QdQ0r5mn)E zF6I|7c1Z$MsV@oAHL_0oGii_sL`huklMH;4QdECHQX)$dfTMm%37%E;EJ*=Mz$M-O zQnPoblaKR3M&!PV^x+}jG6y#|bFl6fZO+Q|I4fWEcmDP#4C^xo5jj&rKzM2vLql*_ zGY1nh2z=%Msco&jBgZ~y<~*(FGY4UOEFf;6&VQa_@Ro(<^ney~fMYs>1n|`-9 z2)(%wy2bmCa^BDX^}BBW{FZ#zUx`q24-X{tR%YhtZOQitsB?2?1^2HZ`94t?-;(cJ z@;wZ5dHMA6YcHSfJ`Wi(5h0`ImCn0ekjILWW!nhaKscG~3)te>>xpN7{JTH(#UEQt zzE>FQsyTJM%5t1}@;#-Dmy+-8fm$jD1a|Yu_YQI|5-Vb)o+y&~M6A#4BK8bf;HagN zGPYT2_gJ+^Ya>FQ$f^6xZa?h#scE}pPrf6FMw1z%>8UimPri4k=}X;V zGEJW)-`_Bjjc*O&|1s-Ff;u6p|fGsavwcS^8Vl9eVam;wpivp@a%& zncbrUsu>|oFWPtMW-ewDj35vsZ6PfuKE}A;PF;^hO24EL7Si)$S4Z~BSp9N1s?F(f z1K&V4x`KS*mcu(~tG6xrQ~JN@WCOzI@EaW_!sqGpOe|Fth<*CJl*0unFH<+Y?v^>^ z+dV0f`TtAu?NGx7iO_vu$k8I1;M8#oby@7-lMN+Dkze5?r;4k^HkgZ>>cZ2$B^z#T zvSHmV+WedA@o)a*7yitrxO~0djFMb{$#<;bBE`M83BL(OJc7>Rw+GOE4!%8p&SJ8M z&sj+J=y`0qfbtWF?7{Om2i+b!=aHK~bKz>CQ$orVQ^>uDvVvRtQJb74tJHtUQ@Z;>J;Mxp==`jlAvJ;S1b%isbUpj7qU?BnSg23a>M^wmPR9QqMsSg(d zqQ|-NlqYDOW*VW=1D)IZ2x`=a2K>w-NR{hJq98RM&>cjfozcG{mVRoc>vld8=CnB#o}fZ>td0>GOa0Is`58+u(2di~IM z|B*lY9f`1|H^Vx-?FWCg#A#c%Odd}wmofmf6{E7(l>CZEuUYw(487*$S3G*fj_4`* z75*gIIlA$AM+lZNKNj9XS(?9AW;iRqB0pr&a#nwZ^qXiotG~kRm{~rE{)%8>3MyrD z+_xPudn&d}cqp(L=wI@BvRgYLY?iDw0G3m@dI=T_EA3x|mzxIpWo_TMU>QL3TN*R8 z=+l<`j*0<-ua2v0UEJu?hO2>W_T~B8@QiQpy}|c;?u$Y|w;{~e(wF!-j=up}C4D@d zi#xmoo`Q@{<4eEqd+wgziaB1XjvC8G1rvCKyTDf={~T;*f_ZaIa5lkd zFh_#_=DrCQj#{eeo%D)(EDY>*kM<6#M|kx4Ff;F3d%AZ~pAVg%m>XRj-96sBBfc-vDTs##W9#zATiT@TJcHCQzFHM# z=9QN2@!qxQ`=BT3a%XM1u<-$s1A;i&_ zYi=NL!9b{`M{kZnPz>Zn%OSoweh2<~x`b0XkQW1GL5;ysNc@=Ax1Op&GRP8Un2?+A z&+U|=!i?A2C(JOvcYXq|425<3<9vO>j1zscgjvlmygz?#r~O5SIBk~meRW>1yBrv} zn=MxkW@-J7+<^q^! z-{%6Dd_dOu*d|`o`Mb``3sLY;)uUp9&gE`${^dk|U)1QNJ9r6E0xxS~!C zMujIbaKl^hgy>*I!?`XO`AJNN4r&n-V-MxGFBrCnl)ys8kM#jF3gNP3QxE0G$}B;r zg|GuGCHV4|i_T4S7Z^0Sjp=xS22=k9pWot-&|M1OeO1Je9FF4E)S>Keh3VN%+AjnM z=@G4VLB1Q@Uj%M>cW&S)hdaK5dbdWPecjY5l93(_E&J*Hf!mJ|LZ3FOdieW;HQfV@ zP=YPN@?1jtv$S+iFwXPP!u7?23GLIed0N#O!_oVOOz;1nz3YINq$v7B1_K-*NR%bX z!SUej+xR$v0gjyHj+}0QJM;*TBL@is0)pfmg+W0;f}&(m1`tU~PKv-Fh@v1#(xLEG zRaaH_%+5ydfDhN-4{!JXdS_<;+ufC`LCLCtZ`_zX&T~3*7`_n%kCEDRY7LZl9+_EbozKk?cWyRQw`F2v|21kjH$s~@B6G7tg}ddv z%HB%eH~moN4>%s?W-I-gPW`azl4%3#=!gB3K@y5HK|0Da!okI|q> z>Bpe(!>(@w>h#{~r=b3WJz;+^Fh{zw53&XUm>*?OVQoq_3`pId-rM_w2AsB!K;KT) zk2e?;xck}917Yr4m|kTlXn`HBz9Z3B&bJ@Lzc#*wClw8PJXjje z+rC0=-N#1dQ?=^TQ{DOswd?k8agxm^YUZFqec8aovyQYO0~2DCkbwr3hl(hbF_4d? zPUz&~KY_A}t4{_Fo1?^_IAajJa+!gskH|pmqXN=9e;}$OGO!B1N0YAx32Vm9ZEuY` zrVccnS0J$sy1Hba5tR`gXf$sa2##^%;I~&qT|@@5V-a)ehWMa2I}lY78CZqpG1SWi zD$*OydPzn6YgO_<0+BV?+%o)7Nt;~LNjIpF66jJ+C2cYi+UT3Z^&k-sG@|s6L+YZ8 ztbj@fKO-ItQ{zVZ{HH=&mB}dLxq$#wHu%q}7=S1({I|Sx0rMx&oJfD+ckOz{@UT?E z0N(@ayRx@+ApBECQ<94{$?wBYv{CY%X*~c=b#v_9@bEB~?Fqx6kUR5wV7LYunBU78M7qm3&dKrP@jm(_RyfybqpM@azcNPajxy6w7igK~m>!p?9 zkv+|i9Q}?S3Xc1f9%?-QR`G>eM35WK2Vg+<1FohCswG;p>X-)4ZW~P}sA(!U@k`sJsfrlqtl z(&!y`WyU{BCaOx^@g{7cViMj$KW8gOQ%<`U$0B!D+lVpGZ|}RW4a`z>SlwVw7*b=4 zh2{Zd8>mb_SbpI3f4)OiXFm}Jw?B=a$O)>Omu?pC=O6?4C#P@!(Vez{$HZ;LoyQ4u zaF`*Uqlg}bVzFpE3O2V6jUO2Z9h&g?L$DTxiEd#;wAB2H)pdy_r;AYmL zNi72*Hxlj$_7@zeNU>*kAbLGyU={M|$X8W?2!XNu`A-}lmw^yYMNcAL5pwa!L171? z2$g{l0>!3A5Tq%Wses4*GN`u8KscDn9J~m-Tf>)0X8@byGw_haAxipmzYV$b^qPYe zJRg#hDe2U!)JQbIWMr*UHOF0m@1fg-LY0L!;tnZ{pBLtx z{X~6XK4YqJx43T6H~Q7Mm<0fyI%5yByMK%m9_v@*iXaz3kN@^0#OXsulDj>hFIFLeIWrPN#4-~4 zE|yIGd$9{MQQLj=kS( zRTp!t>r5{yu=t9p+Ax!AZbPup94g&C3c63FVz%wNM-5}QC>!&|?op{?F3M4HywCLB zx|_inJp?Wljrl{fsjj@&kc0vaE9~bX(FOd~PLRDJJ35`+O73p^IEEhU{if3x``+)p zMkbQZ0Ex$7Y?CiuUP7M*)hk-oEj14+e<~7}_Za)|xk^!A;?5X}d&~Z>9rl)$xD`tB z5bw8n2V%gS)C<3F*)m4Q`r-XIJt7wPvD0TACxq-7k?LbO*F%JS;QVE^fa7DPF@qXKZ zlt?852aD*T2Vq|uPRtrMUuGkkl^%&z5pn3D@KmG{E+us zM%L?a+AvD-I+UiNY)*}&^dr7I)Z?MNhijHipARpA;N6X8V6WMwymtjGzBUrL`4I-z2q(EeL?4iRJ%ZRBuVwg|Po#2O?HyU>)9tfi zZ*$pH#G9a=4=+I3>&ilqrLAQ-2+P#z#ONP}ge+TWLTRIp@TT`xT7ql^VQqyk$o8&; zFW9%~!v{baNNFoD0G687R_MKRf6#7R~#@*lew{=Amj zNS1!+yK~7h-OcUJexknc@BAm~rt|U($1k?VVB5o)T3OL3Uc^XjX+^u{c1tT7g}+>} zS}yac#31BQU^O$h!7Mis;Ci`4>V!McHMgN61{-u)0qhP;ncJ-lc-P!+X~3t#sRk&0m;);5lMOHS0 zBnQ>N`8=o|-H3XqMM$YSsCuMw`(Z%(3*&oxf6@({w?vPq3TqKt;KRiVof2fD!~3CH zKWlDE-)|s!sh?0{BdRQ)=f0Vvzj^avJI`gi%8=pM;5RVUb?7%}bO*SWDVKx7z!KKu zrLA4pZvbuRum+Ga(zw2iRY;JbuHRs|0)@3?077#23pjIUn|Q` z%5MP0#-^#_Fn9$TM!J3j)xw4$Z7%!8P4Y2&1FC}+^#0?o1I_)i&zbspN`u&_5j-J7 z<{(e&HvlEW{e3EORt9Kn4YZRv$eh8Umu2*>pfAF7fk|D{{e>V$lRF7P!_tOtG92o+39pq`z@xB)x`|Ls7oC6N^+wTD=7aO3TA` zo)#Kg=bN@ZF4ElJ@x_dwqLsO=x?RkLQv)*klsLTQUnoDLG6Li1Wfh5djV5!211W;Ols*yX8lr0{}=b(W72uDR57l^$giEBhYn0z4E8Px%8KKHW3+=iUXK#Y!3$*E6)hsx}eV|nd4YPn2w*IxRrsnR=xsJip)S1 zw{~E$ZW@^8Bp142k!q=iM_fV_9I`0V8H3;nz`luc$?8xE+$l72D3hhxr4Y>uuMQ=s zm1-nJO}E{VvdKJXo^4=1Mz69rci(4*HhtS(g7%Me?k?KVucnwPG@3a9U|pBfVOvVFK+gSA=%K}PQ1K@ez}Te}7; zIFikgI(g0MU4yj_j&UR9A5FjKv;6>s(NhU*4e9pi=&)YghKxNhRZ~%E z6OwT5hqJ`_JZ1RV+he+a>L`|M7@yudpNHp#gHn)Fl473MbGF?pOqux%1a*y44p+3q6g(tuGf(P#Y7aZ$)-)qV%oECX=aAO+oqj zSwC6whF6AGdCgjprZ0d*$fb434CpHZzFO`A17Z~BiJ>AS#AhCpd~-6A z&-K8rHqykK|J1%sv`A;?T#cL#G&+hSxgy_Lu9F0(*RBtkfaVq~{kb7uUhnjYl&w=) zTw3n6mb=+9H<0_?2+IGu%q<&V?lyf6p4UB-0yFnhib_L#I-{uI5_SYVDXUh{L-1Kn zjjq6fPn1h%d(t?6#ZIlMx1IKr<9Xd=t9Dg{ehZpGK8IK}sGNg{F-feG249SFC{H4RX@GqHFs?(HkDA1x{he`AS>- z9ofDpu$tD8yAlyQ!P8(k`B-pkdfbQ^fNT;9JOT$qO7XIn=~`_^waLVfyK zzkOqPWZxM9zV z`6nEqP?UicC<_D0glC-K*@tL9@}IcOTn4s03JHax4s3N45(-5f*y<=GG=^m$WC4SF z4NO-!T#1vr`A_hSC=_L21rC*`T5Iq%gH@dVGH49TKtA6cj7(}*lO9N0ppKMWCpg!I z6SnQW$#W_rp~Dp%kb@@saG6hScSfQyEF;0P1>ZZ2gp+D0Ys}@GjA0oGZUq?$!Qa#u z>PE_=kR%yy01^DXVxM;Yh`}*UXrg=m{|twF$F6(gx>j8<1!bZxNUbj) zvWk_)c-S#YBwKAuZgDB09fEi-l_W!8*a##$klf-t5Ndg#7X-+oyc%nAi^~;@aL@|+ z6yOxvLG(?#x27G12Ud%axooZor?O@S;@%=(hTR$1Xs*g<9NTB4T}Q6is=gZ41-T*w z*jtoW(_!>{$>DMZ3bEkZ)T%>ta*O+IOzsqqwEb(!*l92Qy-8h=yF1Ht%DDlTsEk3ZyjQ9=spJ})u|HXHmzT=1q}RJn*4?_`fg(4CXnrX9%A&V zBm&X^oD%4aMgSGwsn)mH;-;Gt@Wxy|Sh7~5paSvk9T2=?nh1e@pdEVLPPD%4P8`F-aX|e0`)gjgf{iJd#1zpeBr^*|uL%_lg1>;ohGb?L2ZRnZZB+5h z_!`^nb|7jLG7wC4JhUA)xH@LBVYJ&0M2$iR4ngY@G;k}>4A&?Mb|4BY83+w&ITNn} zG55?fqDCPDL1c5@+#vWWG6PYgkb%%Rv_@JFc;dOYi@mj*U{|9SHTaCsG}lN~8Q6`i zL^)+UxMigi{WT{dPtvU;``cR0Gy1%=tby$q+OUp+VUsY%JbHFjn z0xWfCMpoDe{5jAS*8KqKpQ#U|EYoajI7%IJKTq1G^ZATrn@yGLwj(?|uPc^8%yre2 zmefqg&?b6BEOHXM%}oP#@mPf-tOV@a25E7;bj4Mq6Lzx}eT8Bmthy=BM~wlCy9 zyF#wBpQtb7W{i+q?fswM`I5B^^F!0ziwX?BVJI^qV~T?~kf7xTKk-CwaQNat@Iht< zy0K62b~cX>r$V2t;=^beO0c_Sd>DnC41{v#=HX!!ax$>ymRA^e8t>%W2Q@_rC5k_XIEmD%+T5m7Cg@iHu zGri7~US(t_4*6^!R3c(r{snQKHBrgrbWKchtUD%V)~4*2Us+c#*tEFH?Wv)_Zq>1A zq28+6LwihKOnq_x*%kMl{X~6nKV!uG9nXwDyzdAup`TF>{bnZ%81Q{ZFo^ibdA9SlF(0j-8i{G)| zT6Fat!6TC zmXUR7WHLNlteTEv_U2?G%g7oOa8p~f;bA=TXNc7Dt5qHdOS%kH8nJ72S;bGnPVc77c?nQ)eLV}DQA&Yw>0 zJ;~l3YFAX`8mepwx3)|(f2Gf)%9GtIswi*M8O>Z}U$<a5d@c;{R+Od0J6MDxbvly!ys0gV(1o=$lspUU$zcLv(Tr0z|h~TJ|GlbnMlKMeJwK(rKSvo`e>|HDnZ3Crjn(v zm`;)ChaX3Zr*Tj+COm zHR(Z?y)TZPnk%}^RT>4h)ei7lj_4KX~h%3`NNiVAU^8@357HxwR2scotxt6kwSo$_JtkA=t3DFBit;FOutU|}sdO*-|3 z>Ahp&qQ>jIC3?i*#pF6DWA3MSe-4jB=1rzAoSh)UCl|UtK3M+TGr!<@(BK|3OPX}p z9;%i>rhssUAg+-Qav9BU`WC@5Dx%os$=c?;U*!w>bNDh+3~%ZL9=GtE9TX578R6mn#hoKxaw& z0qElbyR)Od!2np)sSmg}ER_dfjoZ(ciUY7h+|SeY?|eS3@0F?pXtQX6f2lN3O7)$A z0qOgvzkdmy*G(iXRR&6_zB4c&{rLvM+^+-Lc&R?7Rw|e3SV9kMmB1>LwSC5zG*!K2U8r@<%@dVR|_`iMIDRr1H_1@oxIk5KZe`Rz?oYiGX-ew2@J z!{5Zjy8hq)Dl2-Px4~<{ucp{ecP2j4BC`@sO(B7711Tuu`5ojSf)a^ZXQf!=UhZ}v z?ngQh4reo+ZgN61DB)#b9ehG?MNDrjl6K?9aBzpxf#o4=H-{;hLtOZ1Z;e}#4jjgA zeRRc2!%=c>#HBJ+<3vQrazs7Wy*2JRa>XiSXz~>sb2ZbMmBVxxdJ*_(JWDQ>SqTpb z3Jpq#DmD*9;bOl|Dzg%DAVI+gSpc$w)NS`MQ<;@Dh;ETTsxsJk+8$|O@{NEYJjxE_ z%W#d(_KS|%`+pskg6BowFV^Ey z-#-v}Mc1tWVWyN>Pw%ZCgj7-IEzu*k_;^r1`g< zF}I#(MW_k!HKcr$E5^ufoAO~!8OPj^HYp#_x`z@ppsP8ZmgZ)1pa_+OhN|fj4?7F= zel_MR|A`ACbs*$hkgvae%10f0I@Y;H&@<->H7&mV{)RBvre*G^JCAYcLJa3?7r z^*VSdz{st)OZk8rRjdSsk)>kQRI3U@ZOvg6V5eu@d?Xj zQFOEa_A@><>Sufm7Si7QM*GKj+tKOvKisTLL+WsRUFI3xj1S1x$ycn(p|G_II^i=Z0BGLoL&^avHOQUJ@4KKRRPBB+Kx_ zgH?!Axiba6ku#&=Ibm(k@`M8v(y1z&PcW&Y4bKTWV)pLF9Osd>D)gqLZn@9OY|POK^T7jf7n!olex*+#iv-|KH@*(Ci#Z&HWLX`y-vr{ZA^# zrs?D#k4N+1<|)1vJh~_48)`V}hvE&+2jHxsmPsX)WMaK9m=gkyKYK$+qewY(U_i>5 zL+_o>Lrw@7f%e6eg4Wo8J^ERKMY7cKfSj%EDsHo-5edrEGegQbYMXG^Z4HRe0iYk=IE4*1Lf5B zFVh}py@&MP`8?#*K=f9hzg!w9rw-vLlN@(GkMHg0DTT+rh3Qq6mYx;JqNxp(Yfh}I zj2ju8e-56%VqrYI)4`B(gKuRpzm-en{FSr@_4<`bH;}jegdDeL)=mdGJ+o4WLiH1J zD6!~&Jt61di_ZF8+|IK%T!nQ@%EVxWjyQJxaJVOnkE`CXXRlU+qZeWKuMO8Ag$L!1 zxT7|o;Cl9IkYz%tA<)STESU5_+y~^=RdD@LzK6|ew^zg+LIy%ZbCYZWhb7X9Qtqu? z&mJf{mdecooydT&>)SWIgCLTlxUqU+2H^0iuQknwGi( zjbQ+Z%k+nwlo-M*jSbAK1%V@2$+A^onLmGW&CA4yVEqatEtM1Ep~(GSC)2Ul3HE{; zs`N|0ru0j{WQ9@kZ(d5TP3Uc&AKZEA{O3JLx9IHG-P-S3n&0ZX-?bESfcsrbcX4xl zGTAvfg1_IjwBNO~9|7ETuYD#TFnOO$EYuC_N%CJm0{E%aeBXV?tQ)Rki)zft+mhhQ z=Wvgy4%e|E3-}vLSdfBw==>)Ln~Jn41Vy{S^LmpTIOPY#mppQz!t;v@sS2V#j^nn%!F&N-JBYFAc8H-^3VkBZ1O1ZNG zDPY0_hli?o{uW#jyRc`jNbwRGXzXopNI-%wIwag%lMOFKLIJWwEJ|EhV!yVD0LnGt zbTFzB0+$3lMdq61+vSn9S{W*&;rl?HJ=D=>M!LUFZDPB;>ZtFu3&iD~gQq2)W) z?!(A3q)%n9>Ha=-iD}l$rl{I}_qH(@bqHu~5E=gM6L)DCpIALL6*gqQK*kf$QTi@@ zw9bjW^+x9dKCS#`uiyGrMokdEfcy*ofqrdsyFe2zavLb1;HUGJ7OeR1;h->F=~eN* zz&q%hV-LTELg;!A-UD8uZ6p+?^L!flqy03Z;(&;wHa}56jcCTC5xsNNw-4F1Z6KgN zh$6p(!>_AVJbweCyG6;oVShDf8>mEx_qq-(*dU_;2TFF39q0xEhKK8>6-^CX=q5$S z4s-(n*wf79#IiJV{rhep05W|_CP4?1K1||+y`mckfL3kLfd-#3=QwRQ5CG{Wobmx} z;B-wP2864K zMfYD4r+oUh6_SKDoV`tGlj2pmrny(6Sk7F#+Cir_NrT9-+M#ion^j=k_fAyaXh}Az z-5|fAC?^&7H_1Aw52VCd_S{c!8>G@GvNf{w-pW7Bvvt!bAmgX2niwd#ExN5XAiJll zPH$ASZ6C+bBjOkv-$CEEey6s+yT0$P-+6b)8Q>lzxs}7+95QzOTH`Lg)LQLkQ*WrL zX(dP9*ltTX>I&SJa?};L>@dLax3OMbIqC}BY8^U{niRewckdDjwfO{B;I@>^DS_Kc zGN%PD#na&#H3`|?Iwf!+%ZZbVON|K!DS_Kk+NUD#dVpvRGMuw23GJ%b8-pv1DS?;q;nYkX2e_z@(DQtfT&+=Y!D~7tz>VrkwxZTF&~VfhlY(otk0BDsHfs-iN~E&>U_dHskltJQ4rzK2 zf4859blf&+qG{`WDAS4z(@s;3ZDBzA{`lUxKRmCipJAG6N?ppfGRtJ0Qu4BMIm$6r zbp_ahoYcO$(pOjd>dJIgSE}c(7xhbk$t#JW6;~E=W7&QQuo0HV{obMzyU882Ie#QO zJM!;aM}f%dynpSe)~{GLizmNPHiKr?JY5A?R9)9qK~h>kT3Sh^5fEvR5+$Tj35V_u zDd{eU7?f_5ZUhNw>28MZq4Pfj@Ap5?WfZvF*k{MuYwfvXbq34(yUOn|Ymy!1L7`=S z(UJR(4sUcv@zV@%o9{VkE!Zm?0*yI{bn2!^B&Jn48^wod-I~d%;UY; zBi=}8_&hLo@guJ$v}fR{$hd=Y(6X|G;$~&b_*Zt-So6nE&&&tIn9ytn4WH1ZG}JLO z+JxpuGrhe1{ps|Xm81u==5<1eo-YO-elkGsZu^?1I>bUh|Kz;pRJv8{#q)Nfm|!g< z21CEOj=Ro^_GcL`%-}fYE?+3CsSJi+S1AVWzPJ#{2B7@fy<3ndP%R zW`UuKV_Ne(#D3l4E4}1OG*HRgglDJCPZsrZHkV(FCw{Z>-xxVvho#)d*`#Mwb(j_U z%%nekh=0;Q{QB)oLs3z-QE`|yD+Ytn0XO}sL~ByE*Yl(Jii*|hb$#F8%HJn((LR(K z9-k6+FH$i|ab8>modsd0TuV;3$aUs-^LDZDJ#YF;+F|(+fdIg)l6^s}%0Esi4T z)b@i^9lT9$6U|NvOJ+&dLZuFCi+}MlYE?|1yV6;7@Wi?h%-~gCVw^aQSRf}ldMCin zFx||vLik@Zaav(tskQ&-5Cq!DSEC#@env4}@$HEOe8ie5b8kUhNr!Qg z65l8X*N=tU_IO#Bz$oW|`M4+%_z4elt^_LO`esRAAeSn-QiODph0&9aBGppzxX*%D z22oY}oE@F!>SW%hZJ%NP=tHT~rzHIrrV~B65a^T}3{gS0Pp^-9{)IimRQ<(PX8*A(N6;d6;conf>bG!6Dr7i0IIxn!7E*?be;KqT+(S**Cnl zGkOB}YB65oPtr?J_f3M;)22I9t~5oABWm<=k*Mn_gv;>R|}2Pm$TeJnR5bU#ssl9@4g$j{fbK`)B912)DJ04JsbSQ#EfFuudH}} zX&)5i+DObK?Egl)Npb1GgMF>_Xmts2Q#xMKlNH%Y+4Y7|>qMP#8CLDTdYKXR;-3mS z>G4RLH9RVgRzS3kN9Q@ZWR`UFd?$SXI;}pr%!sM4VO5rN4g1I(s@!%}^k`_<6qc>x zAUAH(FW#%rEFrXrg5luzs9`;0!L8=#!gl77p|NOX)-8DM443EMKKU+fTU(Z$Zu73r z#Z#?aiT{z3-OQ?$pmROjrXt%)GmiXeWxfPE<39e-p2k7G&0|SFp6ZZbqKd-2uka_O zV*3Eb$se5``q#JWdSau}(?8Y5r!Ot=Z{wU`ACJ}D(+558r}j6x$vEC~Y{hd*um~N< z*oeNe>&P8#J03wyS-cmiCwh zQa^3{x@=LkV1eMX?vf~G-sfr(VsQJQwbvG6vxGHEfYYN-^z%VSyEaoI{IFT7>P8GiLm zB9;ahg@<{0Z|jwl!eMk15esb?rTd}O;NQ`sXb9_(oMZOAML&Cv3n{diAxEJ<1O`Ri z1OJ@-4SB*&GEha_$fwv!uby&D9|qB04zJ@#%MpvsincOV;$GNA<@TH_QCQ@2cAA7f z5nY-=M10FGY;OqW6V%!}6jM4}xJP}&BP*y(^*XTXd(G>PPnJDV8^6$hppP(pD;eVx z)=pk>-8_6h`8rb;y`o0LUgYSId@8AX@WX1e(*Dp(`U!@!P}|28q4ca1g&%E2j{Y%H zDX;k(Yy4?roW})wzmdf0y+iq)6G1y-yHP>+mVxqWQd*!!Vy~Q6N^Zo4m5cR)l64HX z$4%mn=AdL|43nBgiqAeEBr}!2cwOsHExI zcArey{+j-OR(;WZA13eNWU-#jIV`;)h$WED^KmH>4JJYY+B2!iuOwO399gcYgj^xWxy_!STi{zLw@Pzv#p-6`nz3h`v z`n;#I#)ayuYFgW3d``xMhemcQ6xa3%JxBp-eCkwrD9n7u^q^GPqqcrns|D?mf%X^g z_;QTrubD__{d9jhk_s8ce*g0bEvLnkJ3HX-s~mf->O^!RYLWr;;t@V zQ&rKqoTUey>$ot2Y;vP47>ihkWSb`nEKiA=6ifSM3rtIuqy12gwhZoNMyX31bf)a; z+f^#KR#ww}S!S_7)b5EF(l=iYZTE?r^7kD!2wsebog%A;)OILAbwUsStd*Ji68WW! zX>QBH0&Xn+5M{ydJ(31AAH2kSw z=rqtqSe_&$K9G#cM+uN$E$qRot66@wRPkYH@L*giDFXU+DwA9wI_ZIZ&%7xnn+cKp z{im=~uH0`{<)|G7!qHkT6UEc&kxUT+WkT491*Uigl(k0MtXzl9*TC-^8v~~aI{4P% zr#er?=jy-NI*3KzT_v(YCJhQ9d2u#gJG0Txa8Dhnv8jBV`MI+G3A#>sLe;>fUfoCa z77q}{p(7+VGpduDB;Ip2mKW$odt4ce225^eaZB|-btr;_A(pJ!;Eg#7E*2a&%)EU8i^&@eEO&vUpJg<>9dmq*6J$E<2SRVsC9dqEqFZa< zQ>YacGj~4{nGfrVKOdx32mIA`8ng9+5Sz+tny>3j&mzOJ3mwBuv?Va<#Z>|Gsilp|Svh^kx!$+m>tTUlaty|-|;_umGEw>fs@A%8j2 z;z(08GKOv0_+TCL#ZNVFt-OOMo^%h7SM+{<{C%VC zzQLOB-IpGZFAHB+J5=8{%B8_@jkA>_>hxG|A@EZ!7y?;!5(In%DJ$^?wl}v znn9d#LEy(@>`iglbV8ct)Ba_q^2zQ{v)X#{p-M8Vigu9kz&!TOo$O`yWzoO(r z49M1_ZEO&{uL@~tnR~_*YWQ`2ea?%T_GVZ#G{Eeveadm?4tOkucZT2Y@6I>n#%SUV zPDdli?@a4;Xi0HY!|b%69@1~c4Gr>*&80Etqa0*axd~7O$|%bPk7>gQ>69@~_m_IS zC5GoVH@juIM2rjxShsVjC_c;QMo|TA2EEHB|AE7)P(`8lZTCgpU%cKwH1G8mSy|tz z3@IhA^XdCK*|G~jOLO1A@ocF`-E*2&9uvMew;Gf;zWPn5E&PD48m|A%QThXS0q)QS z@3-HNHoP$u6V)EADZs%y9SEO&X6SZ_$^M)jB&WGzHsL!>dz~@Q@4$q3RsZ614a3lD zqxIUzMCM*|>Mt$wF+q172z2yTr>3F3D8$yiDo^LEf9Y_EJvGVTPMxQ~@eb&e?ER|1 z)*Fs0SFk|^E7i(Sy1zRtt81S+czuh!ZP|*SN<%rep01YTqG&=E=o(mx}(R&d^06pF9 zw8)7~+1ftuMzuPNH@WIn%)UDLx_ozO*TzGaN03UNRN&9s-lwZ1|9U=bEGuxgSF6$7 z=^C!4O$l5U7+tMDG>&_XA|Ns>sP2P%C)X15*&X9t4+Ga7cUTRDKq?LS7tEEJRP`!^ zi@TMmas&T)g(}tW%h8v(?kTEpxuE!hdL1$_gs5DsO7={kV6f3&p5XHB*Q3Np^#mAmVbZ9&y_Fs^jvqE7RMyQ&5wMY}@?< zI18R%wN*eKiqS?GB@pz?!tF{zC*M0@C=5}B`0wp1p-Ujp-C2E43w2mlig_Yw=xhVPT|>&o54BY zoCqWQ!7!nJpWRQ%Gw#C1wMp1?kA94Yd?JMQ*D~|^rbbi0dvL_*6VKA->tlT*sXl~f z{kDtKrqy(U+M8F_9+offR+1xdN2>Nz#O5a+AG}0^mvMM~JkESjAn_TWC+Nbvimc<6 zaC1Uz%xkLX5H5`tU1>CNWn*FKDxNGYs-i>;)@w}5u=6hu3e?5O_)*MuAFP?&Fv2B7 zFWPs>`nhEBGDLy}zC6q@CFT)}d=p3h7`!79(m|a6&pfD%4ue{p`psFeq2S-Y^K@ld z-{r-vy1qtzuIZ57{bu`d_t`;2r{3VD&8rT-PcCA+YUlyK$-Abg7;|*q#T2ppfxkUU zis1tzjifrLBYTN|HW%QcKfZ2Vz5My3OEzb>V@Bi<1c>x z-O!zvVRnL)nNq6p$T48JO&JM-&@gIUJ>xpJAy)m4_UhM^3(09`4lB$fiES%gmEu}(`NDU(QqXh_|3Ue2y@Ibp zFrm>ruDJTywlS3AWnuhd{1BAPwh^jtSgGp4k$O^kWY*M1i~n+W*PcC*$#5D*y!aTc z%MzizuRKZrUgQ8{$#|VVLYC^-23D3An1Y8$25br*Wq+@yt*;FCHm6VsJ+?8-c zWhyhyuuIYr=^gDz-{A=HZCc zyriy_yP_rvzTzct9Hn2@fEts)RH9>RAtb7N4;P!EL;ESocoE#}%TnthhlXDjbq&UE zB~Dx%3QbG&v_ck?fTjWlg0LBM`rOS|Q}l+9hj4ri(Xu5#HsPb2z?IbGK%oscWgC87 z{mY%y2)yCIW%+)mEg6P5aXrzA5!w$@{!UppvuHSYr>w?&P}a^H(+OFROZ0B?oabMlUn9P(qb$~wdwx}?<4|=_Z5^%+47b^FZeqk=lnj^f;&sMl?E2)augGVM z6Q;gzuxAPX$M*H#UO)CK?-h?E?u-UK!RFP-S{z|`*fPE6k=+mCUD)s_RAvS>6Wz-W^YDn^{GN|`0SR>)Iu@3Q7cb#5#|1AVT()S+ zS4A~w;B$xNKk^(Wy7B>Ah`OhK!E-Up#E%=|hu|hY zs@qkh?P>fNhGS_mfN`_crAN5Glf~W+3djHNCs@q0CBBg)MK&F{^-)JHTddfS`AzhK z?-{fl+&DH&>{=JTrEz6FN>HW@0*(yY-VB#dqVM0!hFl>Q?unDnD}YVQd3$Zpuk7UF z-3Z|TMgsJ!Kn%&Y#|aNDJIwXe@^&Zj2{zUfaV@TQtvf+w|J**4(e}8lb{P4U8C=eC z(r17VnLFST*Lrg{Lti4is1hTrAHRYkbZOzhgk#Of{=y{WzJHlPIUdQwo2yP3Z+xs1 zlVEaSRF%M{T*nkcGIjs>dTyU}zpLK< zC)mXwY-Q}>XRk~q;OTvD2k>w1GrpiCxVOxC@z6C1(iEVtttA`6lFi2W-2I_{`W8)nS}ww~jnWKCnOl0n^o+oi*X;8}^5a{A0E zlU~1(lh83HUr^AhYwOAHP+(;{NgZ}ggmm2Z^%d8e-*gHQ*D5GM0OGN`t#_O5{=gZQ<4SUgl+z%g}pU19R$)v+4>l&YuLQQ6YCRUJDw`?!&s z89}I!vkEvREso9j;BF$F$ey0?;41KmyF0BJjAr4k`o>xpO+Ago13rrF4eS|G?FDB( zn~J)FrX2PNCy0{}+Yo`ivgR_*Y&hty_a?gnd;S$237gH6foD9=xEyd*eIZ=+r;+ z;K;hy!``J$JH8DA(j>|`3STRJ*7t~(UC%RawnHI=&mF-IjD!sd#D*}l=ecPKSZ=t) z@w9-Ig7Va4Z9<$mVGzGA*w?Da3bd(^<1zz@-!96mk8gA5wVoA@CTveGq_}@@|G;X@ z-USNrEK4BY+$*DsPk@st!*bBvDqRzgLr~)5pd_B3uHfK4K<uSQ$=1;i={|Ek3RQ)&O&3wv!%m|xevWU`6Y z&)r^A>C;)w(`UE&3I^%e3RqXbZokT@gpw3r{(3?CXVNpnO>4g&SK{@=h1oi6`Fj6f zfRPJYDfN%8n428O?z-@Y*q_I1w?XW`tj7+eDC+2nDSGO2#|D`N!`cuEA=jvVZ8w(| z$PMY2h_TP|cbl$pQRZeByq;q;%4(gotj^ek{BT;07T4Nc#FXz;V12mXlVd=~c9*G# zH@#UU;##JjQfBI4FK@G&Fdp69We4Qzp zM@Gy)A6HLqzsD zZ|?#M2@w{lbr$rAv8>jMH}MhZ<7oz=x)GkFSVuXtQ8~?a6MTLM1q4l%m|Vz?Ycck~ z3HA?t;i~n7n(ThFqliH>rZBw_TQ_|X4cD*Wwi(t8U0ymQFn4h`kAmpnjgc-kQl2Et zpypKGv94m`?7Mdpk3N;-Kets5YDiPPK5Xmfm5^IF42>Es<8v@<-l;O*9Xd& zi?W6Zg6dK9u3z-?+DxpP)t*J+JDW{)d2C3N#@z&X1=<{!9jx$LNfukQ!B|Ptk`{SQ z;uA|E4QUxJQV#mOGXVkJhi49U`zSot2ND;MqWthiT&EtXG${6ZwU_Cguw3f5$V?A}m#> z-|!40=s-eDPJQZvfT+<%Z}H*!-{edvk5@diG2wvEX@grHC~e(5Ek;>A9_i7`J7?}z z8H|I{g=rM$6DawA73h=Y5F;qbavLLT!7rz*1fh_o?P4(YU8}E%868aQ3)jKasj&c;}1%CeQ<4POt zC49~a74)}x29uNbY}oeNVF=Bd&ZDic?g=pu#IXU+faqRRkJt~d7pI@QT2&(jWfGv7 z1gPD)Qz3QvW%_IkoP_Qs!`Q*|*mgm!^z5TA5_(zj-})!lbu zvdwg}NQ|o2ir2A({99SGV|bgVq2h6lb43on@5HQuRSKj(+IRgAUOT9FJ^Hwy_Fm2Z zlKr!Ef*AU)gufyZY(0}6O@0(G0mddHB^9 z`~^5WB(SQx%%55f^37bxAYJO4pU<_&gX=#Ym^`iU$NOkpr@ImD>To48@;AiO>T z06QRoz?ph0LfRNFf)JU#qpIvuFMqA- zc2q?Sckdy0NPxQ>e5%QIL1%Z&+eTI=WB@v~Z-8vPDjQr84` z+HW2+Ln%YpV#S*N-$xb5>x~C|B*MLPboe{|h9`a}w5%Lrr4zwE-^#&c>8OagF3gxW zQ>Vos1>hH6O`{WIqE*5>6=FiJ8zaZ6@Wt&p<{oCm6U>8}PsGi%O{o?I(XWc3sIEN> z|IC`xR*rdG=z{SD;C^y9|t;IdX^qCLZz zl8>j*duQAm1wdR=hyVAwz;L-aiUmmwB`@uFaSKn|A$f1z>E;yf&5Jkp)TS^~lv9}F z5Uju@1c<1n`PF;kcZ2O_ncce4N{Xv;rIf3?chEr8{z;dC04%5F_!;OanAI=MQZjZp&rN(4 z%_b;DBpdB(aZhf)-X`%CAx&K4iX;)@n9?f!j7?n2cH}E}zP*Ib5hVZ>W7_t8dyao+ z?Jf%E^c1vs?zfe$a92~bmDWDmg{1uoI3E*XnPBV}VG(dP&L^BJq3_4L?rdOnvs7o4 zg}KP}BpX}_sz&TnlUu~~G1I7UGmk^kne8JcJffV8K=L%v`hrAFvHZNGbe@xglKM!* zX_Pf@;u3*X>Fu^hEj79X1z9d0732nllkeWbCmEd#iUr}ptOzJ#>s*a-*W@}%4HG{h zjLJq2c9n@Rdz+k3h!0CA^eZ+`WAU!MF3cmu=jbA`Vd|+pQ_dqqRZhP81i;0O`Q{ZS zb6(>s?OXjXAe$$TMxo2o*6&DJyoDckx2*c+Yb1!U*DWRv8!5}r+}AlOo4qr$2#XKx zF7sjQn>&l(c^ZyH7@k>%61CYvy$2mC7Bj1 z#=3`G1D7mfTs<)qvX0tx^Pj~0=Sug*ey-+{_XNTGbIN4H8}$n_h}En0wD~Ch57+h_$Il9?n`l=mxA3O z=bj#$$kUW)xpY-!CU%(lAm<-7eK)P+vc{L$)%YE;^1PQ^b-&M+76(n%6ydv`f+}5x z3z*#bq|J?F)+DI&@n1?GKXEr}3TdUom_0qAQW3;3S(6jUr`+r7rHX`-q*%8EgK#Rz z24us1BW1PloU<7nIt&a_F#uAR3x&6+#s{PS@Lgqr!VWG8p@2R5LMp}2|2ciVAZB_t z>BvQq!FU4b3ZMUmmNkC{FDC&ja1u^@4ifKoOhlF?gWsc%rs@;1#co>OcyoumFz&0x zt4x3$ZjknpsM}=naBj%f4Y%Tt%%fo%maGHZS6p@5tw#@z1=YdAkdpp#IdQuSXac?8 z{$(^?=h<9?Q<0jXRZlA45B=t5Pe!1FesqtL06EaS z?@KLx1`0@@BC|D!iM=Dvyhc`G@c8ZvUH_zZ$ey_UNwOLNs(-O%Q7iV9UjlEY@JWyP zafsC1j$?;ei7@7ER^PdBFrsB2Gt7#E3(H9nU~Udg2X@Y<(V3tB$J%Cu#}Sg+clWIpYmo zq!IP%QOGm~=m_PD0s-PZy_?uu1sS!fxUf6y+B!^qneYa{`1_hEpmVRckn;9d?$!(O z;)zzlS+wikK$SyCn-!0TxuA1Zx~lNUH!I3Y=~D7_3@h8qgzUezChR4v9&TNNv*50t z0{L`^T>755bSsg8u$v&VY=R=*bjE@a-CnV(h|iEfS)bL-v$I$04uycH%O;3y$^Djc zReH(dq#Q!fH$J$~8ZitdxiT&-xk+ij64Uq28DdCiDx0DK>2MRrt(D2SUEF8mj&2w? zojW%q=+jTPe9n=iChFvp%(KDh(#DU2X!zjZ;(*n#s+IEOaMNPxC$*7J%%hy4%+~ap zpltSL{a1K?iWj#U$lO?5KAdF@ zMsn@!1_%>meHMFmJj9vv`1dHGZu6*umR~WH=ZuY0`T9;3>CUY|Fgqw691j0&=4n2-{oL<+{<8}FOIt7Sx^Ksr2TvGfe^!l5WreQ;&gi2sS;%-H@Xdg z&@jQKZ`}3~uc=)vKWSR}b=gXQ&a&|=Zto)r@sWpBDXcr~WGsppFrd9CYUG3*ZbS87 zxFJ_40eVl}Vkx5Og-xnkE3c%w^cL#Xwcg9p>l{4+{rplAU=sPZ2GT&pvhjmb??s0y zDM>z4*L;MeOtnG*9K3w6uiCy4y;rvc95vQZ z;s3&>8@JbH_#CglrI=INjmD^I;w)~gi)*>Bk$>{%+39E9A=Ku2v9>cI{S|p!1T}Hd zSsd+n`hvWk3bD`asq7VJ(pMAfWc~}`2JGVnsQUYh_1aq=23lsgkCs>98o)l9ghim| z7S#4UUH@7;UA}|(3j%nDiI%{1qg@CAMjvRv(zQ1fuv1@5x_$%&5-f9_RAV|fd-bVz zmthvT4 zPAp|PB!vTbt|vZ~J)&)}xT^~o4g~}obIwl{qLQ5*E3XqKwEJ`L9thT^ioszrJ;6-{SU4j9ekn)evC2e4*3I< zMU0YXdlPyMOYtC&5MO`^e4+luH=#kKdF0?<839`vsGaa|O%5cq79-_ad#4o0{9q;J z;|!_WLel?@5wIu9b$Pc046Q{r(I~-yk3Xk=HsE#7zmpLJr1_AN4!x3FYRv33J!xyS z_>(rx*R9Bn0otJD3^LS&OPvH0``->)asQ>^SfgAp``i>D{xJ%spSM zX}1hlH(OX_PB!0)(hP=d5o-$a+k;%- zgD8>qZdHb*yCycS?M@&o2RsPetisrv!!m0GO-|o`o;-vbA}3ExxgN&Tc=jurgt9Sw z#GVM5aon^Ld>%Kfk7xSv>@0W;4ND*`9?4g%3)_2Yx0Q}mEPzX%jjz>ujwW3L^osu9jpM))a%!{aUcw1f)08@?8q&hy2FGarD&&rTSQqySLSM zb?c>Ayvc`=bmjv|t)JHrfGt&4RzbD(l20(%KS`Sq`Etb3o0VnHWZ67ot{mcZ%ukHk z&rdzNOpT-x!7Ys%!uclJwjq}54?&}`of<-3`gd}C8^Qy-0elrg;)tVqMUAzzia;8C zD`L*_7A=51d6zY1RKpE8Kv~(wMbf2XiqrsMae_y-Vy-}uo|)Y{AqlRmk)5AtjSOik z5MF>Y@8@kb^G32+fC!IraZnD~*4@Io<%YR|1JaORgz>o+R?b)27z=eL# zV61#unEMV15Ojzsggfg2u zLh>-;CgX6kH>}G#ut+=2SPVV@?I~~~=~OtB#GOY4dmi&9(*gBGZc+2U%8e+#oQW6L zdIs5^F<17A*-Nw{Df*RBQ(O;M`gLloeI-YvRf7}j7xNnirw;PhY$HWx9;6^vIQc5* zc$$ibpI+;f5GVGwwPAmmDaWsbdFA+4o#<*sm$4`H)RU3@)R}PuF}ruZj@TI?N;3Z$ z$k!EiWu1yl*U+y3x~@*cp(6uCUEDp5_<;RPH(3Nr!lryc4H9>kNlw!?`^6h8v|6u| zY15yiN|V~9<(G^zs$N<;)Fb8=J1rLrfd&EKWoa(;^St}Pq*NmVD5`*5heF<4H)Z(C z@I4J5!`g1mxL?`?x)qaVXD0Rr+~B8%Sz8}Np86Qy*Lgxb&SjcZNHzOh%tWhhYQa>R z*b*iA{@r;tj0>-Z7i*&hwpDt#%`VDzW>G$WX!I50O-?c~C&)vPrJL5G@RO=C_9e7| z)93N4 zd57Ah!^h0$+O44-t#_O@FQZJE#^NV>)|Dtq(s#hEM(g96R&O88Ytq}ZPMd5Jo-|A} zN;;xs-$X5{Qha|NFa`?ZJj2F^C-TkpEkq$mf}k(Cxe`fhVuh~2rNMW1Y@mWdupc00 z|KqEFn%}WZPu?AN{8A}|-p8hWwT)W$S1mhNA=_zWRq-VX`b>;!&h7;_jJR0pL(3?X z=*s0pzGMnZ)Lj*jc{c(M{d}UZ-9qb}p7?|qpf?JwUm!KG<1avmI>3I!nK2I(utx7a zg~TKeD)U+`D>Ua1DcI_SIHIS!id%TnRZsE*5x{cn%ODZ;ji)zrd!?f}mki!B{X%q8 z)q84}v}nEGhRc_2%AC-~aRj1MgvTtMy^9I9l zt4%Ei{o(ib zYDYO7h^A<(sUl+~VN=1{saptK!)v!d?5G+h;<--8YX`E3v;b#i^JP~K76GiLWO}T% zTTDc5U&a~!C{xu_?ZnM~Fj{SV40}a#-G3LRSIFX~x@-Np9zQ@IM$wG}%6})$a*;^P zvXx_FCGgt!iDO#!1fn7Uj<=N&+YE)lQsSD+-RAAPH@41Y^~y2ZXBi`c6E zyS-D@{KRwxuuM7o4rLPx<|_`b2Xk~dUiYguRkWw}L+Zjr#B^ls_>MlG54U%;ZKs}2 zmj90xCj|0_-aA!{x~xc`PdQc>F5x)Ba&Q7awn&i!{?@{M(#wlUcD{k22c325G<4bb ze&c~Tf3X8xho*ro9+Wv_*1s7CoOWyoKe3$F99w~p&8-)PV zrR}!Y2**S$3xHnow&k3Uu!87ty+=6Jw=yoGcoue=9vr~)3eE;SvpY@aQ%cn|52XvU zrnwfmcgo}H@_YA^+4`(oug5An65J)~@#kSlrreXLHkvTt;8(5IiZIAkd;ZIUu2Qs7 z!+{Gr5LAdpyhSNZ_U6*P{R|WQfi|S9tfu~lcX_oR`}sSS5UOTKj6;?`jaZ*M4ASIv zCjlVf8H&h+^u!|Dfv5htCfGBU_|Uc9yfu2w@V5*F!<3 zZ`!W~KH~n@FZLuk`$m%#3eVCaDGwweR2Q>Sy1gpLs`mtB^BWXDe}J*f6w;UHa(>n0 zRS@#{w)YH4)!H^3ADsG#4p@uqv8Gwa;GXg-epMhc08JNA*%j}uge`)2{mL+GKv7^~ z7r1iEn?IL%=}`4QhO7mXMZ}Ho_a8UWH#nkohS3wzd-^N=BJ5<`1{NJ%GY!fQc6W;? zhVLAET?Uu{F zh%}J&d9J@KI=C=-dAQIZrB5X2THbQa;{ENmoq8Sij>n7bYCb2ap5&Oq|L!Ofk}6Mg@a3 zZV~;No+@q~ZVU++GnsyAN)!canm)Fi7*s&;Rk>h3Pp|8$)Dgc`rs+iZ$vX}skkIle z4FDzOv~Mp4T&;qdsBZ;j;)=cH$dUK+9r|b0824`Bv`apIqlGf*wcwd*^KZt#W{3C!9Gvj!6RjQoVIPTGuc>S&n zsi>C2aop*-UoJp5LkOCC7YgulGOSZ=;)4$>&GsM8914A{S3O0(2`TdmGYm zT>M_eI5D8=W*TK|9Y8%Sx3ff15NF8P%kij!a`aJL_iNkuUAGP7O|=DFsz{j61m)*; z&Zl@qNdI2EG;1V{`y=`RPfZXj$?LSQB%2YvKF!3(Ih|vup}3=`FZc0dAVnt2rJDtl zmEQvrJr7tL5(|vuXlR9yFv<>OCjA-gxXh5$8Et&<-lM*ZYMdz7qf;5t_cC@L>919W zfeWn#A<^_belvM={-|$2)w86taX&Bvg9;ovS{cmq3W*V*ZwqaFLlji+lvTj-AKSq` zj=)!B2#1Zkdocon3>lTqmkEhP*hdalg1`KAaR*T2tgmHw&l8a`OgiBCvd^d{`|{74 zulEi63R~XFH4>PibDJP4M;509Xhpw{=}&a0K5`7m%iCV#WWuE;TZ)Fm0ZA+%tw{2+ z`fC=>iBr!o;Dp#bic=t@?iu5w{c3n-N#s^`0%hTH^1V-UrRFY|1$1FegUsvmZ<DEU~hGl&6jzHcjw$6 z)z^!iY{vB(9npS{yp4SYJRe9~Myttkl8V1}A(>R)?~HzDrm$`fTAX%(Za($B7u!8r z+7nrWbWo^if3@3%k<$lqOugx{#-b?5e(oc_bzaS`uo$yHiAl1pC+T)BiOr1Yg5A22 zw1o=LIO?6Vz%FZG2IZnTocw*zwP&zn)IA*(*1fXKa`;nTM~aZa`)`>>u0C4Td!(JV zO~Ox$^Rfz<<6L-{`N$jdmRtDDT*yD|PqJV#WPOdLA`t*NA(^d-94?4GkohKZ zf)85Uo zc56U#-T?Q^wWJ6KAEjS~hHWDE0viT~i5NarT{@^2cK(SfNcIAFabM?t3mA6-aeD9o z41TMkF%WCc5g7zfK*4v^A&JI*Zfr}MItdf+XSIUlMcZYAzgNp zaQn=U;&q;&>PiR*ETOxA@^FHaE(40B`l_t?^-2yAmouv>Cpr>iB(Q%n*4~9*yIs}$ z1GC@2seVu2M5}mV*GpcYwA_$x7a_2JKAcuXjfd*H#Jx2^XzCYne5#xQ*JX+fxcXY{ zu7VXDD~T#^LL<$j87sT9$4BRXZC0fCe!ctYJI z?UZj8sAtzeRv!=j`pJ){j%f$t4_c};u*`rFgb5BZ&aw%gy;9tHumh8;C+V2~(nWoE zXHG%<**RxlRM>RfS0FDJ!IrjjWn{|mID z+0w=u*NZrPA?e#O1~B!iQT(G^b|zQ*=zU1pJ|s_^K|a|;Es)qNgGJK%uZ;W}o_cQ#p&Sxq*h?>RIX zgy?uKpRc(@^Ya@{tUIZ-9&D!R%W;mlq?2kn9P-vx`Md>q4@eyK+d~>@TFn_QfuOen z0`XEjikiRd^3|!*t>OgmQ&*z}+tsgTbFZzivR#+SeV)2YPu>t80`R}7rt1U#<$nr}pj&G~WGLq#Fm}yr64>sD;^~R!c%}mXcJn5t3NMCYe@5 zT=;TlThaq50kC!4kac6Fo2Na23g5iwh6+~de&=p)Tu+{HKj~mIS?$Kun^r|!Ao;5V_z0X} z*nb!Ah226kfomGcdaZY!Dvt1xlv1uNEL*7nh>DvV2Q_UD(cgc3#c~zW54d#JJU)htPm47 z>+gR`LUJUaZjuyo#e5yR*k~WmK&ES;7dd<5@wSjWuzaBcG!5jCa-v&~x0flu;ICe4 zc=1`RIv{y~C~z~v7Ev>2<~4%h{OojgA^eD6cpb-v@NBX%Q_iW0O!>1bbA3FRxEfF2 z<&7cXblz)h?UwUA?Z!@XB37cvHdeCr*!>zgr#>;T0Xxdk=gcRj>tK{$BNzsd=iWS* z-Ia%C!yw)sC3pLEPi5m1Zf0leu5qbT1~BQNW73ZLeSx*CoLqX>VnWPOX^;s)#vs(j9ayIc5-~|+V->o+0r2wDO%?Gd(oro zt87=hR%iX34TTVyo}!{}OY#(J3L$zfdW)g4znPlGaidqGsYr&k7`zr}3dO=eKM&R} z_91hp2|DQYcYoE$oNA|L$l2dOa)pg*l8*88w63;^IktvnFyJ&kMgTa2;#ivGu<$;- zUbJK7kts}9c0kdT2=DR6ETfsM=@tB{ZPKhsh@9i#cc1Q}CYIKKOg)#zrqc#eaC7M!@oRS^-}Dhahu=f1n(GO*>p!kaR1J@|<{>ln1yzEzRCIbpq${pQ+%ukjxvxj2~MRoAF# zVPxe|nn{-}eR2W?@J~HYu}hVHkH*RG2$4>xpbAcJ@A9{o_3>fkHsd*9Y1EyqHYkk# zkE-vEr}};WZy9A1vO`ihWMzfKp*lvAb!@VC_AatRrH&Pfh-9yW>{VGEd+$AtnPdCj zujBpsJs!V*$myK(IG#6i{MhNRZJIJ&8S;Kt+)nhpWwsZT zcdF+TY?V^urxz`pwYdVL_&$VZ?o9xr~ zAm|b{sN~@yV)>FgcC6=s3B0|2uFh-Tv-~{&*WAZPi|F;deeeZwv%;wClrk0w|-O<@|Qbkpl58!pN@2l zSRH*btpb&pd>U+c!&+2_+Elby+3nGK)w{t``*&o6M-Ka4Ri}0hZ`sVJly_ac`z9KS zKCk&par3yqoB9erU9^w|$LEL3nkT%?)(BL<9f7GZgKVbK@)0BVk8@xaAKbU0!*BGu z6$()>0~?5N#RtI8(FT@zjU~EMH&^;@mCU;#(W%ED|2;o>;Rj>eJq6%0f6542W68sJ zqb+ZMnmPA@f?KRMKf^uPnkkDr)=Ad^O!E~FZcSM=P=J9eDiGel0iBqm(!4xvKL7Wz z4!*dScuqB!(_8E8jR}o-p3~H-RXJl$qRt^jYybLD}T~ZId%KOJ{^Q(7{qx;v>Uj=Em!SbOekySnmcb<-g%Vfnan>k8 zHMfs9&dOqgH1&6h#`Q!N%|Cj>mSZN?_1{zq?84(lBQHK_?~@L1n>A5NCd71W9GI2| zSA@0b!+n~MbNTe9%g3soiA2K)3QICoCXZ|w#a)G70R z*4}F-->6&8&T8{E)@^E4rB9kzsRbre+}))VR+qxtoaYlOA{6x%5Yaf?6?kK-XrEvd zbRC6Awri15A+BSs$C@J0Jy3Og*o?DaT>f&9Z`q|xO!Xll_{RlV1aW!i@+HJSD6u(dYZhR7MIV_{E3ODBGxDz*Vl|_c+ zqi21!M`{MDQ6GMtA2lO+vGK)fjAP@A_?0?UeT5Mi0h2|q;KSU(jnutSgBTHz(8 z0%nhKI^k(W1tTU~Vk7<3$DgKAn^jtTZ;HB2Vn&8|rKD zv7wvxZA3Vs|3%FEfIpnC9}2kZ^TE8mNgamTc5^KG&7PGR`8_!`Xfm)ajr%*2fZrd4 z9(jv}RngLNWM6lDWCpmQ?vGsRK5su^*pj}q_jQLwW@N-VN)e^`-Qk|7V@>s@y34qy zOX%+3KlE0HGmUm6UuJb{JXMFOyEu<*Np~zZ1ui1hJyB0pvT?keuo4eqBCN(C%*MpO zQ@DVL)0X{4Sifwrctk}Q*k8|`zCb@#(`m^n^P(%5&71%Ys_uM32W_hOoHo zDu>Uu_ejYETK#5VW8ZsD?%|>jgXKn1a(JQjYhu4YT48r8U+i)z%%?vRzHsP0Wnl1D zm?9-P*k&(>eRhX)DWSg|mxDKR7eoHQ| z`0K7(cHAjQ;>O~n3By5ZYa^-(1j@!@Ee$)%DXtluTG^6m#kLYMhj#qUVFA(H935%( zyU3CCues{(mh(Ihqru}m?EXI$l|Jb;rHLt&w7kB8Sspf_;!)jkkr{f^!G?c#EAIaN&hveU)B;qEYI->5S3r{P7ucQV0HOVlIygO zRUAEw=vW*f6+H&*&ZbLDLw6uDi2FN8@S(TpFgpDceq{Ct#>`2L(gjK|J_jiiTxl8e-o1rMsW;Q3$BsFt2g4X-X<8NC zE8b1*91Ij&9v~m3uJfo<)$_QNicB-U@O|M?KQ1Z=(7Kv6J836u-wHf8%Izu6PkAR+t#=b%_CL)W zUlF&U{@@I)aT=}HTvV>hD}j@MAC6=OFNNtb4*)KZ_*sbhr8yeV!mj6~fi-KFp*K0t zdawGT_V^vJf;m==RjFBK$iHQJH7}H;szgz6>t>$8FRBhT7=g%fWVFA2y?;6TqiY`r zUkA;$H+RRkDZF*4>>MZzjeXME3AQpkCj;XV;S=)8Cl1XW@C^cSv%G}9BJ6e%3WOBH zGzYR^iRtPoQ6G*sjVsk!<)2CI5aF8}I>lexTfs(n=*WgAF?OnVg4%J?#Dp zcCML{6n`I|V&n^}3!bw+; zK3VF1AU)kBCSz}p&=U9h0|7P1C6~+@_nFmf{&~p)OZR|=)cku--~}GA7IIM+s#g`2 zBgr^pTq}QNj^Jn%T8G@lt^8G^I`$ghk6|h&*?PjHf0g)~=CR9V{?jO#cKI6Dc+mG}Wfhcl@T5U9nHzT&b{6xo zE&6<+6*{Vnx%VGZMl<i(x=`7F%bPUos=LpUy1|g=Ne=F~-R+HcfKijWdUkrJI_ARJD`w;9uOt83 z)tc}yy0D~!XAMP>ZY$6EIzRK=+}C*$y2~42e|)YLE&_;yK!!#(Lud$R^03p|X@R4^W?>>sRYC8s6cD)2KLeSbHg6eIpe z)vri}A4f_r6Z%TpdW52sayQxj+F&e3iJdzYbxj*xfI@eMBs3s>itC%Jrp#+7F1om> zffc9!Yf}?hqcNRjX97DV1UK0*e1P4iR$6g$qkhSdLYITb+Wj zqtva5c)GZ?ht!yD1Adfjt5#Y0eeh&>V?tVJT|IKj7;sz$`4NlpMPq|0sz?Xa1|e zDLswceFzn3>i2_VR*(P@?iMGaYMF{H3%)0HJpc>_;E<>i=Y;v62`3f>kQ;zkOp~>8 z7Bf09N$Q@}2&#_p0J7oX3?sb;D+bu_0bpXHK*Mj(;}&$Dzcp7 z%Jwz_RdFtQo#H7*h`ug3Mt{a-ulAY3+NHA_SStNye4h2l^!)wj*! z`6>tk;3Wl}X5!;a`a3kyxRy|(Z~{+#bINF3$VDv^9{sq_1>>DHO=fQ z^g2_COrtB9X+f?nQIDvY1J<;m9EAK?4*^?JM=N})AYC2mS2M}4Bxdi%Y26o@$0OL@ z5BNiB!fz?EhVsN`4TowaX<7oCkE$jH;`Y8C5fgF&3y5dUG*8oLi+h@EsFQE?a$us#C_X}v>xx&++q_(W$ZiK z^A4SM7cqg4FTP_VQFYND+dkI{P|}M2pFvY|w|m5ftkud&6``w=pSA)NuwfQ44B^Io zqD8FPC;-n82iUXFuujo1W7u_*x>zGV;`vpwWcBOs}UBN$& z3DQ01bxAb-p#tq+CjhABN#0nS@RO``Zv3A3>^Ytf6lqExB~yEVgoEH>3VY*+4ssB4 zd^fxbN_c?qze5Ivil-`lZGUe}Q&Ymk|A_!@Qd*)K9htGYrBp;W6c}p&i=37|T^ZYc zyLmE5;tT;Naw9PRhBAfKfE*w*5GN>Jp-_D7_?TkLk2MV9Qn0lUiIea3FV^R)&ULcR zl0SX4sngveIx@iQe-tZl@!BrypL5;9Rn>WV7IGDgXm#m?6~|}g|7z}szIB?jYBG?E z{b$A54)uI#7!Mo&H{%&pGJb%4tW#ss$PXR05ydde&lR@l4UOI(gxsf=xe;YOEv>8_ zTN&Q%a9+Qg?k)N|u`z7EObLVN0l!x3w_NE1B5AwN_tS@`O5$$Y@NSVk4Ty{o^aJ8&j zQ=BrC(wu>QcR8sI_j@s{JU}6^Oc2giE_}oqNM?e!;{f6CtjF28%QbLjItBlNL_bGf ztWdFRUwhngxN1Hzg2YiTuqC|Sv9+=Lz0u9jslHnSKj$Q=6lN%n;2P3r7jgaLMcGl7 zM+)*1>Jw)GzV1muH3x8InEjN0PzZAnt5F_QJRX2c?zG?Ht4se`KA+K-#L-7n>Q4ld zY{XQ*TfVF~9!Zzn9ASZ#-gPqYx!Ja00>_t!FqEsK~H36T^Cr(!c`{$yuH4N#A zy8$&3@bp7WQ+M}ZHPoWS>2?pYyMu0A zf*!+1T>Pjq4MbOP4#iUhiDKNnhw{;&V*{n~(7$ZrNZR8s7vqa-(~>|?!YONK4j!o( zc@5V=l7b*TqK)-+`8MyI6tQD@b>L+Gy-|{++9``xQMBJENR-ihN!7xN%46!vswSu` z4UO;P2DC8^A9KLMUB~l@_v0>a)kv65B^B_@P#dFICf7#bGio<_DG{Ozkye;bO7G?# zBKbSx=E7`bf_B`Ba5GsWmiSjkRAbpwip5mJ6vTFiwg1C3l9Vb&-az!>Piw#)l8M1K zmMLAVHLfk~oUyS6F)I%gf#Miz$-MUR7={~%*Ht66ss@$mSB0Y$KUqAk&?4nXT-%=% zis~UXnBdcU0V!{`PEt8Ml4*msY@23)83OD?@o#89Ncs+Pi3%c0kyh%Dz+I1(ools; z$7^qa%>$;p?S9L!Tqj2N_QrSp>+y~Zfh^lr{iIh3*S~AK`1(&7c33=R`&wlmd;6h> zFh2Z-s@l_XuHbA`s~`Y#UJ?q(v6|Nih)eJ@r8?*uxm{E4Qs!vmts3O(b173(P|5G-`}L0Z9eXMz@quF8Q%X5T@%18;ymKzO_VYw|?Hy$%%fn>nS}ZW9za8UO?r1l%-~S+H*fry*Q(+&EwhcM5IaU zbBA21{>Xu<1r?z=qB~UaQu)`i%s>yM2D(Q1yoQ#?gW&HM@*tI=DwviKt^MW7uV)FV z0xn6>j$s5oy#>fI9)Q^z$u?BoseS%NV3e;OcHlVzMC}ldE`z6;BWo% zGpXW;&>;sG^?%1y&76yCc~bJ```>|l;zeBxH3*{JlvhRyjd5)s&`4zFp*ea{tUcxE z2iM9ON7qV0Kkga`VJW_caqejk! zs0UoxwYlP4c^9ResfKH)4uvOI1nL=_=6NnSQ@yIy7Cm4Vk6grA{l@lssm?ENMzSZ z|6@2VCeUhkZ=z8BeZSomOxn8GUex=_U;%{C%7E{8Y>OB{WJa(`>vU$&WC+n|i{fQ` zcF^T-meVS=-8Fsl#}M_Q20NaS2HT3`f-j&aG7|>LPKv&Ih93S5LycnHKOlQwN*RFWq8sW8ad3<+T8uVOYdhk3UxHIuJ=3-`v^4cwJRZMRZcN-IxmGm z>y>BL+avJ#sUU;vuUs2z6dJG4*jG>0G;b7VoLHz$GeV8bD8VH_FS{vbN!SBZ4>@J& zPK&v>%s>c#cGYbU$=nYO9>IZs`7Aa>YIfv7;p7JzzhfONubmJ_d>MlMf~+m@aTSd7 zE*`GO*mV=Q>DV%q4VnTj-9E>%6diX*5eP4JT{aRs3{>no$0(!u4~#YH@)rOFKkr<4 zso0xjG&FVl^(FNR9u-jyMy-{w6;|cbN~;W3-+`+G(pQr;E$&e1NcJw2SRyWj2L8HbrAplbeObqUl#v@8mi?D2zcnJ+!3|;o}13% zZzu-_8wEVsT=W%)AGE?>@6?&4KJkbguCg_~vHe?rG^&D_C2Db|ypCLw+8{nYr;19u zZGV@_PWiPx?tu;0O`!GUZ=UKtKz?Jrd-MI~Wz`Hp?KXD)H1n}sw1zc9+oMBSBFvsH zUnmqUS{N;6?E(U;$NH^V$|QfCwzxu=Kcqm?f z53SLl`+1a{$O8mU`C2)JFoc_ete5}E0LL$q-{(4_ZPjz$)>K|9&$y$FxSnVBYh#@| z`w%UQb0!DKR1rq0IvTe1Yop#UOVcwCa(&1Fyuu(}|tkQX|Wa``A8J z*?lJedE42UZQe#Apud?;v-i6NmEJRkw(zp?S5X)J>Ds0&siIQhC5vtz5TmAJ`M|yHVS=Q3LT!~(eK<*IrC$Kxyj~aYUTCP!motI6 z(1M_B=`aA>8>d4a@U4;wI6x%)IWfZ*t2QM?f})IM&j*(-{Zk9bOG_YAGLcK{%+qcP ze5R7rlGPJ)-|3o>xAff$-Q>}c5bzgI9VJLAns+?`a#WjxM23{NNm)cROK`di1mjyt z4LOg^V02{hF_BhKfz~V$!W{^HpRe**0)EthU<6txacP(4F*!$aAP+>M& zE%1lsj0QYE6x7*J%>TH5Psy(-#e!+P|hK4;RAmmH2>0fWU)|pSXqPDV)h)H zM~6G`Li3#TXYx4OSkk#_zckCa)`y~XUW1MkfFinFz(d5N|DN)Hbfo=Vtv6z&-#iP6 zhb9iB@7}W}gWm;h5n)xcM3r9G?@)}tHb?BWx@AchtUER$1$^fye*BAY>&jA58ofqK zVW^`gPY(4Z`zk|`@Ia+%9}59G;E$OL(1p|c;MxuO8bHgg>9CZ+M6_hmN{6An$B8^> zn2INE*X90fPvYX%We5ZSTAyH*r(K_EHGi)2{!#)(ENsOwar+wGFYAHz*6$evK+^y_ z>zo}>aK8)})hLRE3EXn~S= z9^lM{B_&7EfjDlVxbW*$Bh;!sTel3JD6OUDJUdlS&;Og;?QppNCLlHViS}o~Tm!OHv(puwFq9(jyOt)vmq$Se z3u~t&6?$QT>qyCE6CjL9MK@pg1X19C9>O@9SaF!RPpYI7q$0b}+Ru~xEuID38Xy<7#BtCrPL$@fy>AE3P5yX)Rb~;Oiign1=?+(>U;y2!UG+n`yl5HqjBwR!jzLq4+#&5?l$9mVFv(J}(@H9Xh!m z&|o_4u_F2?o{}E-Caf(`+EAB%$-H=GA9C~|l}to<>^PTyFvfi%8+5GDjwDvMI7{>0 z8H84!1Nl%N&ihZMrDP*ZOmdDIR=vU8o}!N9R#*Gj{%Ojxt5Pg2IGV&%6gdkY7u|72KJiokc!7ehQ|N%Mq!XfkTuDT@9~@y&GAn?34C4VWcyh~1soZ?|uh$nvqw zD+VmGLw{nfgPx>B0br52RC7Y^0s#+la&q8#Xu}^c zG9v9ZNWYwN`;20@XT264n3|+Hex##9_73+bllyP@+o01o8C;?@J5kK1d~;pgNA zIavzMwT1?nU=4j#JTz>dfZYUE0x~4AD?c^5DYzNn@k?4QIls-oXWGpBdl(IU!XG4d~4QvwGH1*E@-hmvBG5 zUq@S8?^6^S$2k7{F}eC1^y*SRC%wc8BgRwSpI(f5%XVTbORHW9K5?CpbQTW+ ztN6PPQmETGS$YYW@KAGFsp0fQI?MfO&09{^iC;0+!Yyf(Vl|oU?&%FNEhb`rYSznV zNBfz|X4_E>dN1U*^Lba|QT~UN{H14>J0xQH$AMpDk5WJ4r-C8J1^(XswRyQb?Opl| zkm^17>l~gH?CD;e4&h#p6}Zg6?9JRgw$#d7z+~>o6yjPgy>xR`kznF$LCIoBxpR=F zQ{}#D(1>xD0QmRO+>#De6BE*S_y4;V$PVnI^{1GSiiztex`}_Be6YbI0*8XfiS7HU zttER?@|54;EL|{;F__?0m8*|%F$Mc=UC6ul^(`pog?tpN79H7G^wG7|6>C(V7-uYD zOn{IvO6U0GCBE|O`g(Ynn&p$pdF)2L)KrO9EU(ddauvnw!jN91_hL#8_gU_3cI_VsayTfpsc!D=xQ)){xHCRzYI1wSk%#Iz z6;s$#Z#D~AyhckkKpBXBqrTg4Flg|2Ky#-G@ti8H@syN(8m@G|z{PXm|$VP4o^8G8W>F!m2ttXL2K}J1S;l z9p>>g56$a;AZZkIkXAb;e!Qw>ccLiU-Zby%Z5bOl;Mr_@GmW-Sb-;6Mi(ImK$j3;o zp98E75CDBfjAm$4*2gp0n+!(o=J*hO=Q(~G)qcHaN=C`&4q6?$W=GbL zq^oBVETUYip?*8d=o=jLNDu?1>yMvoEjdi>6w6GYay&3sPYT6MzpSWS2nQu|K0O3o z%$ZbUk%t{>&PZ&Qj=dRMz!$MxX_-KFM(|u$o_)=?PbVkJ_7TFnoe69Licc}g5|Z+8 zV2c9QmG*e9Fvfa*HNk~#ThOCEqn&YT+N{9L;Y>nJB&&<|D&sZ*mU|n2T~N?&BTMOT zlPGlyU?4#n5xG%gciN27*th>$LX22G@XCz5e;0$#kFS773tF$4r3_Sb+E*D2G-y$D zr_O}k10c(YaGBYr;x0p1#lGI32iS4pcr z1Mvg_cHn`$Z$f>gv{Rt~euXS7^`kQ_)t9XI6H~Y{^i0!l99i=f(JcuUzbg%^Tn){8 zTyLLu0rFM8azGy`gxN<;>6BmCo1eoMIL=@dj%7upXC|)GoCkh1RJ6(eu`6vD+omqx zZs}enpfKfl=x?(w7EsRDRCLS1nd5FiW1#`&7bb-|?VZ`rxOM-8luglyoxu6IvM-{_{Sv|0T3m!SQWOnw@f zTdj&ho7Q=_c5i1nUye0eLLp4*HcR5y$Jo6d?>eN@b3 zua3ACOf_ogdo{RK)NcK&+avCmTW8aW|*nT9!l6U(BBPzi3217cv@WpzY z4fr;zWPvc$0i$RxecQQLBf76esX#)pn!u}5I!4&=BCUK>`i+eQB&gX)xR7|T#Dvpd z8&s*n1Y}nQ%l@nl1%efR%xmiVziWd*r6aBXb6|dY3Rm7}ZtdHmkE0xTl?)uZRBr+l zUGCu9{I`Q|mI*QK+E`sE*Z{FiI@${=D5<&l$Lew=7nGfZ?mdg%sf~^z+%F+g0_G9v zs>Mmz6$&;M|6c}`?K5f5er@$bKl@K*M&wmqN}H=ulJdlwiL@5z@jp1$5%D2OH2WH* z_t`^5$dLpE|1IRPs7E)6iA=qE&(pzHv(c-?cr{1|A%xd@kCKH7wyQ)Q?Nv!VFuW5jvm9^VpAUbA#XvvZzcu8GHUt)6wq)}mkiz>kKE z$CBqy>hJQklb|i{pt-jU)EA!m_9M5_+VKPOh>m46Z+aO&Nmkmk@Tvfo&Xs^p#!Z`Ceni*;0ZuvhSq~JZpQd z4{xiHu`3_*vOWE8I;}xgO$n2naImX^7ue@{hQk-?y4yvu<0S$1)`=ls7{1ydjPBm= z63RTA_oQ2;0S9hvimW;Q5JHeU$70ePItA2J_DjyRQP7O2lqieJIyG)~y%(a5Z>3l3 zx=xXojp7jV+!6|z#^#(SPSpC6q7&E{`%>EdyywL^ zmVZH20a07{sbE;xW7#g=fJsQ;jv|+ArC!#o;`gto9#+xyc;JGQVRy}*MHV|f01csf zQ}bB+`2iDqE1S4l-7(;{F*&=H$1NnMU#yZgSFdR>KE!h9AdgZ}1tnIqaRnulb=~9L z4z>$pxeK{YCbuOfe=tJSn`a?Px(a2%vcpcfjkPv<{TVcx1WiV~22FhRIG&P2 zKG@!*F!(byG<37YB|AM&2QlP{)zLi3J2*-3Pl|*f`Sj)x%B7)<!#L0o?IU4KL2}T0NM{zl)Lq>XC`U)gqfJJR0^oEIV%VDD-H5e&^EV_<7y|AIb zSj~O8FkmZ#J+$D~mQsTwqHo9Ld)av&tvno4h(YfXKp_EI_-N}x*{IUz?vbz?U@p-* zEZNS>Q?0b5;LyznR2_UcPx)~8zQa6Wwilk8L8x2VdoV(n+r`K3!2_>1m*erk`(i8?ult>6mD2yUY|P#=p82ttFnaaI!^JO=0L3)Q`5%nZ z-t#GtWv3pGc+r7wimM_0{0rUY;Gk{*gVh`;f4F;=h|EUENoe5xp&QgLZMp`9zr=g* zBTHp*`QBfubv;qB$Lh}~#Z%$kq_vbIohmPJ_V+bN zLM4KpUx10nN%7OjRkofC?)_4|)J~#&>26k_nW*()4kg}H%E^G?O6jJAg?IbHuR~o9 z;1O~wcc>KNRF{9yoIk7lhP_1Wp=&RE;{s|?y#bmFDN6|3LcQnI9^v7gDWaVXElQFO z5;m|10|cR?hu2DvfAiV?NxB|&X z#@*C|Orm>*XRfWOCGIqMNZ87#|C={I7`TRzwZN;ry7J8*EJ6s#ZCHe2R0=GYMs7=Y z;Qcb*EU>WFic`aY>MN7XzOHZ~duiOSQ%E42WWFRh+~rl42j6F2HMbrUD%zwB>Q?2t+^? zm8}{ym$0^QT!UxnXyUq!%yqAsSORpE61a^XQn|J!Qbu^q$$<;Ho zSQFwDz%E)5I+yXp`dhW4=`ILJKtzLuQ7B;P)YjYvK-7x#)TZKfpK$ zBdgrbsHIca^VY~g&M=3RD>y#D7^<3z%r5 zRJKc9!z_G>5RpEeil68@2gwl#Su~3?vZ#olhAGFEjZtmWrRD|KPq{sk!1Mp)QG4Gu`6r@ngJi-7%{jJ{YH zOh4vISGM8)_<`04occXl2PtBYmpB8omnT}5YL7jEmIeml9zRfBoQ!>| zS);W5_AMF>4x*=-A2!`AEt``Pc2EuIUFb) zQcATt>a5>e>lO5@Ve4^UzNQQT?R*bPJdfslR1O}I7;C?&J#g^4Zb`j0qxZHV%FsTj z-5!0Q^4y`32&XWA_vh+$wo&lwQtr^>+V4_4>ert_^y3V*5D+V;qk;U|d3HH99DgAg z^8jxiMmLdS&H4azMu7Wof^ztNyr;AO#&c}s%OE!vUzxSW)M_8<0rRWQx{B~p*18(Y zvJezhokxX_{YbSgZ4M;;`409S!e8IRYVnOZo)YKDNIY5~1gZ!CLbkCN0)&R%pCFR$ zL2c3E=asDr%c2wAFuIRJOphKOrUSevhy!2lcgvLpn!+Npv-s4YrTN-6yICdUUoF6LFCWn9jO%EHHH7XoG(8r`8r8SI> z8H;`cPMAeU{ztEtVAX#qZ-46NF_Ql}+k@39AVQ4n5gazXB9>^#86!}$v2He${Ofr0 zN=&-!a5{42tb-gv5Vh|%#E}DccA5+O{sI+jweMP}&e@rv5m=nq&?B0^-n>f#g!M2N zaPEu)sk0m#XwVNd{)*Y(TBRjfh7w^YI~fWPqrlBilhz`@TKgw^ysxxWz;B=hQ|%QT z>A^j$fEYJkN*U1?|r_oVgMw^G4shiV=MRbQQq%rSi;1UG&>dJ2lM5kw|hCv!P}+7Yv#f-P_?(k912FH0FRpEf@~ylGk;y|BW%ml-2O~UX<^kajtc>b3VQ=2 zPE_nwpF90FBcyF^^08a_U69PWGcXi|j<{2!Sx>_OWP4)hC3lQ|do!=! zw-EInGuZfwDlU`c*xl)slreR@S7=N`E3ZEmTKPH5ZFq($?pfQT*cB=z!2!WgbY)kB z^gG?CQ|yf6Pma8cpzDN53@NStj(&7FHCgF(Vo$vNOAp!kOu(=a!NGpW==2hG6GYp5`3aW5lTCX~Ue9Eur9dUjJW z`W>YV(?S%Ad?~^fI};ZT_ks*Cuk#4uxleG~0zmc{^*$?KTJpzkC*HVWi_e41*>g88 zr?bGe{uQaJBK<#PIs~%D^bQEZD!-Gqh`2mFJ&^Pv#O=y0hd8Fan~a4{aFv#PCd8^g z9+rtQ><2Sa5VZIH60M-ckvh1qcZ)~h{op8Yj%-L5$fIWoqNX41_uFZHW`CC}$Un#L zc%mI|fGm+wM;7WDBi*AcY zbuyhqL|g9?5Bz!v1V>0!miqC+5}b;-((2YH4!eneSID(EQT>likc8Sb3}qCIu`OO{ z2CJTjy6eWt$jntNHfQT}wHi(BjMSMSPSxegV{c^#x7s}Zis^&YrHm&xCFru5&LU*n zCG)Dy&>M0|DuD1{WH|A%zyl{HzLU8ZrO4EVKpD)vQ6A z#wVW}@H!Wq>o|kZB!>5iUkCnYE&+<*j10EXdyoG!>Q}!iBSL>##&z4!o6R)tywI*+ z7P9GW0~4|nyY)if2TzVL${r@KfO*W$&Gs1#H$v-)TI+J;t*kjw7Zmq@jY`Xtkhqzg#a!NFtRy2UANgI)yvwt&*d2y|1XAWX8$7Zlr?3b~&XaCy$+I z&C2$ZB7T+W?Dy$P>Ey<|2hUpq$_4Q1+MTh5RxRem9!%x#4fduHcLz~{sdLAtGdV}* zi${C`bzP>`M?X;gJ#Kt)?cXapz_+(SRoT#__qYkxE~uSH7UBN}KfZ+f`ORry2eRVE zdNp4+4?KANGUe3m{S(879G93fjn6yGXdhkhUFp$z8R{YZk^r4BySu7z=`dnshK4HM zb`;Q{uo_?5D%nS&Be-U8NEX;owc+4&YU>-&;h@23FYo}0rfc)?jdkD6hyU*2PwScY zwAD|hZ_^Sgef#;EqjE28ZP1bwDFvQL3iQ!$i*0{pk1v~_TRKdBTN)My2DHEdpQ-I9 zfvi8Peuz!;PXn=8vqUk9^2g1I(bqZG%pmEiv_yGSbJTp10Ulgbb+8fL~bM@ zo@|D&_{h%lIj>!Ud7CH<$a~5a7QTNabm#~)qvfga)0Gj50lX-1j0uH;*@Gj8Lw;im zZs*7A;%tSq5u5-9J4U|f_uSKvFnTH|xkD(i)`#8^!TmHjsPxA(?^^d2t@A11)&1-&x@JN}bcQ$eat> zFKh3vptW7Gv*JcuG7OHHKP{a~*~ZC@`FoBigSaMCOPlcbY+RTC;~;M13blUQqt8iK z>&@8GKhi;CbsWeSKMqw{dP30Pj6P(%{iekla3p5WLFXrqf!#o|!3dppc7FxNILkEr zSHBVLx0Ilpj&G$!1{j2MNN&e$!d*u|p(CNk2E-tdyL-Zr<}5@tc=|JFEX~PLo1CYZ z9Y4Xx_z@m%RWCen_Zed5Ozx#CIV5)0*72BG`_BNf$T@v!uD;VcATg5{YNYs4)w7@2 zu9FZlgL8baQf7G(DCx)#V8#hHg7VtxSZuL2T70?t5Sv-C)>K3xA_bi@b4vS7{qJM> ztM>7KPyH_y9$aKW@H4y-?>O>ID=!JkzyHZ%rR-$}!3c?18(ECAbiG1}U9o~Fqliu0ni zcYCesQ9t(@Tb&zE>zj8YbYsO#bXNnS^>`PC!cG zD=IS*m_;AmOq5@}4Faf_n;A{vmKp~X8&Eo_V3II7QMbX*&pP>^3R{@43A50!_tCH& z6?E-uTfcm!wm14Cu=v})34QT`0m-sWe*@_O(}3l*vvrs9A*4Wi(8f#8bv#OcmD+4I;pBN)iMw^BY!sI4IC=DS2O_&$=UUH*IPj*(8mb&4EOA z0eLtyls)?zcKcDySJOc!fhOzCk3H%$fAoRo!}#K=SN1DwKOHzr&$OD}{tJ~ep&b?g zoK{q|_p1=*cCJ|fl5{mrx51GEwU~wsLg*I2VE;=^9mTntGQJ~k1rh^A0-sK6GQ`M{ z83~y~Pn1%5|74jCPNXfyF)b~4t@2CcRfv*!jcp5QyK>XW?fpw{>+7aIQw*y&L|k<# z@+3JX)a7bgkV1uDlHa`L)S1dFq(dN#oPpn7`DLKElH*s|LvwyJLHDsQkPZUAj;82}t9`vwW-f1Ei$L!R z=5am*{JnQWN82**e`8cE-4}T;^avrp{EvMNX*Wm*Io53Jl1Ck#sw{ml=s#clt=hb5 z;uc`F2>`q>5!FomNI&}MD~eG*pY!o2Gb76UDeOKpIjV@$K+ZSVQMsbaB<{jhOHTi( zh$AqM#^7x|6J_Bst@2($Sjq~}Eh)gKHqDn1HY!u9*4^t5zy!TJJ7nzZOn(JAeXO+4 z;(}k{4);nb%XevxF^crJ@t6+N6+1uhY3O)lu4C9TxYtGlc)E(s+561OS<-+@gC1b}Qt1I1ZBp8j{759M9jmRh3G15pAA} zc^u!MF-Sl&PQG1fA&>mit!0P>D4_(MtTs6M4Zy@OL+fN{eFe8Z-L#IHWD zLE?^b9VH@13YGvawwQY%uEjgwpB1c8)(Vkr88-9JgmzyG^ddL2lKOf=I3C6u*8EW& z+)$E9L+LB(e0JS zGM|6HmJ%tO!vFxFvi)9toexBLNJs~2rDIlrf~2&bX65XPpl3s``L3&WW}3koudGq^ zNbxhTYCj?LLCfgI&j8WG{bhCCZJ)BQ2$w@7qD{q9soo3~Ei8r}*H?tShGwa2S+S(Vv{a0tzIaD%vf7zeW{3;>xS|{-Ych zmhExS1;J_KkVy{F8Z!9IF~faepJLxuyz&g=)jbwn@uk(|3l98qe!$B2d^Lwu2qZ9w zU>QXp*#B6=wab~T^D>UjZ>V6oA@|TqH8!84fyQmY<{dsd+9Y+Aa&--`wuA*}al$>! z$sZ5}O*rWJR`}7x670yQsmCq8Bk!8%mp>5|NX0LyoIQY}=EQ$j?Q&K5Y|p`#G2Kf< z8ug;2>+Z{N1`k8?x~8jtw)4RiCq}i_ewiPPRM1Zb)lRWfm7iC4?&;G`v0*u>;+{H@ zJ(buVPmcjj0PbyyXH->8wGW`g6#mmOw6Bt0b!v+FXz?|)NrXsT@P=5s+d`nSj`O}V>2 z(>ZvYj<0W%7}Vw0&ttj=VH^?t`$`R%n0MebF?#!59lt3ixiwU?!AtALnm)KBR7fXW z&PGSolny$VCO``TzR&sG*98fgO5JQaf?pt!DQDIv{HL{~+f=b2u}uHUn%n^K-sJ{J z@fZhcIXdj7c0t5Ds8&$Mvf)~Ob5&ENY%x#Tb@PxwoleWKZhw+`T6bRrrTxB#}TxC;J{R8CJY zPicoH7lKK=Mx%nN(+KiDH**^PIIA5mj(Zz(+?+-42dgzh$D`pp_6nt!ShkgznD0c z_z!y{NgC&NxseCep<7X@N#t4I*3(i@I|6$Ndg?D()=C%;L5|O{NZ1uTS6AFT%=?;m z|LQH$HuXGWU+5uQT>6>)H}fump-4D6q2n(}8dQg)?@J)qS1$h8R-uV0Yf|BVj^#aB z;$`etJu{?tV)GtD(Qs6AHV2V~gYzODXiX#bWzJY5)45U2M^@Ey*Fvj9vb;tv!K#4o z*?D@)raLic)6qfg2b)X^TG-9>hNtq`J^aSfW8C7`M|AFDdVP9-=8)*X-{Nam* z$mHvH!q-45$2<9Yh%@tFrrKXMy2nnh>CUBI#;m%fNNT2&aDj`SiV55t{NTTxqp0XvqJBY^j-U0DU~bv91TA4A{t6qoYHzM`m^gHpLQ-`q;G>pSvw#ZuAo1-Ia`| z+TU8c(aU2Xm-1G5XT?L{Rb(RmJBoMs%T38UM5wh-nW+d42jL(k%aS^xj%&AWBY2Ku z9KNVSG8ZpmVfB(3{lIK9QYl^+_}Qa?ZkM~y^|Y6|`iF}Ti1w?ON^j`J9e$;K^*Zoh z^>vUNXh;y54rjEd3@c5u4y^B$de8%i13v+`88|H#1=Kymu`ipkfd{I+7B>KVLX5K( z<(r-OSQjeDmpBst=nAPtKnbyLPq1wCJE{H~&nLEm1S&wM6ErZ-YX0V|ruMt6E3ee$ z_QqMDB`tBRo{TiRcc2FQ5h6$ja=rZZ{zRIy`_J)>O6cweT=6Ssm+*cEuQh^{#gf8l;CuHx)#vH!u{8FnmcM7TmLqqlMQ z#(#NyV&E&CMp_e`&PG3J`*f;)X*CkDW~sePB~NuX#g_Ty=XgTBGE9c{(w>m_KAGfvXI3gJdp+!7iA4aiNk< z*iWYsp#r?ujyaQ~+s(`|3F*k1hLd@&SkC5MV+sA8|9MTkIWw0qYYnl{eLT&TF0-P`vMo!^=z9YNxd6W@8HycfdIt`3Fq70DPv8Y=Vp=# zn<5g|kSIX1XtpsVclQC9>fly&esU*`j@BUA`5Da=aXfkFOz6P)d}Fy0L&dC-!YxQ3 zOEpTwcP!kc&S$ULH9PNKKpS7b`AJsFmAq$%hiODHzTRs2rQB3V!0!qNHG{HUp%Qb^= z%pRR8kqs{N2%Ow+2z{6*+@GT$6p0A(jmqB;O3qs}6FECiVZpHkwt^qyimE|pw2`hI z+O3Z-jg@Ym>mf>bj&8kyizDh}#XyVooHBHd@ubO*Ev6w@{~5vwa4W7T>Quf*B-{Lz zE{ZGb+Ms4Ys;}X}{z-S7Q>{>`=Y@`ooplPv$((E!?e&x(s>bDgH%?LsIN;CV)kbgA znU*{dH6gBs_0YB?A9sR#QOC-Zs6+l6hgPE+ed1iy%QHN~EQqqAdmNE425BG8GIEZZ!8+@#y( zKq)LSh>_R0{{y9rik$jNMG!e*L!JKiJ;O(!qqn2uFg#ah5gN+&y+(RFd^z8cnf0J2!ej1hX?uDqLH^<)IcpNCF>7D9}979W|Yl=sO zBOXafbW=U}UK}J1^#|bTAebkxc8x((9iA^uC@G^KS`<{mC*`1j)j6Em{`%Tb=LPyb zEfMUYFOA3ZBbO5Up)3L+L>qWMIr)+#Ig_B{y=?oJxCAU8bAMfr7&MWCvI^;f=1ai_ z+ByPP^t?ktzCI^Ja7oIQPX>muPxZU*3gvw?5vzz_Q74^^+h%HQwvFtjrD&hB_{3B; z6j`K6>c%>$+ft?Drk=Guu(T;Lhq<(01VuJtESmdWpxf!<~-8g zS@`GAo?4w{G9m#aMP*vEjR+XcaKhm_T)|Ff7WUjpE@#zOA`4lpK-GnYSG0}sLvGq# zQBZ})nu_W0U)o*_dzFX)G>{YIJ|c_fSUj1nxI1PyBUMnczP?5>g?G|By~XPqtOzb9 zjJyt9j`1*uD> z{kKOUE{wJrU;(|U?K{1A8?G9q^J3ppN*&=rdR7Z=3HAx6!#ZkIHC zo2u}tY`y+($qIF$W0vcO)7q90Pld8=?-(oAO${Qst5z?I%M+y_o&Mo|yP(yI@c6#e zZL>Wco$&JhFDyxA>Q?=V?~)IrV`u6dUw)drBiAl=rYGf;Ho2~#=}1Y3NiUJ!n@T4t zLkY;TyQ0$WvZndWIOI_vRKry^tbAM&cw{_P6hvIe6VYz zSEG||Q|P_X{L{h%f6@#grD+DmByBug^H)%`BUHI1(>GNZ2}rwW10PKOED94Pc=9V+ zt$*{0{-YJHQ$m+`PEhdj4rgk`X-?SQK%a;b{EnShBF^&}r=Js&h7-uKedP8|4dr>q zjSkiRsnJnaU7hfZlE1R`Om~gEr({wtF|4`@!8^oh`&UW?<<@$2-txOi@lKeN^`zJx z-eD6k5aBn9qCdF9mdQWJWf$G)k7@w`Bx32CN8K6r{l0pvhQ;7^blO>lC!{)rhOHGOlO1idF17) z<{PliJrm2`*L5G@Sf6tatPe`oy8{J4PlurA=Yo@c(`HxsNx1g86z9%6_?18HX=H)J z(&h|cGwW>^H|&}4JsfKruj8!$gXgxE_|RrP$@aVN`N;eKN8xbiOh_MnF~Eqe$+);JohLeMjlo(bb7DGZRQ_-x4ySZQ;7S?Xn_R>-ZO()(Z62hxE{|Jvn&0RGY)&q*xV6^MYEcRwbif?||tqiEA z5P~gQaXUt0m|)xq>L1*FZl2fQLvO|f*O8w{?Y8Rh)Pl#H%6;oKy}hE0x3Bkmvrq$m zFGnr_VODDbwv|;zW6hJ}I5A>XPzCma_KZ-zZ~Z%5J3`v|obr_biqd+RkSYTT7}!e; zH~9kkB8@z?(!t@0y8#435RRvn6=}EqiSk1W-=f{szj|eRKPou+-R(r6YYCc(pr4`B z28jjk1)e0%9(BDAwG6)>Ed?9XyNaM_6PIsD@GA89@GXsHb+abCrGyaVfTa7SNckUs zmulrLwzRGr3CwIGUg)Op+}Xy5LKtXkAi%qB`HxzpY_GQ9Azqzo1I?wve~ z_#Yw)-FtN_@`2j|!C(yw2I!-9rS92GiT8N5KGOU5q$H1nb>WB*O*}B{uEh~5mVf2c zAwMbVp!kku`Gu~ym<`AHA{nN3QV)+CMKs)qbX{X3hy2+}L6bGnSB+sHy zN35?vdWaBZ!&};)d%d_<+*H7!gvjs8Q(cQf%JdV5)U7qik&ak%M!00&qI#?<0 zj5GU6sx4Bl0JL)P!Ql5m)4iURgGO!~9_ljH>YlVXmc!2WU~vsMDbAo-#FAwP)dAO|$VWwX3fi1fOJp(|Fy;hJH$ z{$K9hparj2Dk<4)u4~G_`XXKOET9IXkh>p>q#nZQ8P;kq z7v|bc9?(~myQpACpAmbjj!y)k(q5WW&#Ef$Vu^id8tBUze+8^LAq_?G{DRHk(sFF> z??Rx*vPNXQM_swz=S$r=c;(Ijbv?plCpg`$deB@i`dtD-93lIwxz}sr+2bEkBjqwV zxCS@}GZ61@@O(#W#x1^HJk2CCT~XAHhD}m_mhFi`qGfylaBAo{$}&>gz?GX;Op3^g z=F%}~cD&9{M&NYa5WEV6c}+o|l}FhpgBWr*ud<%T4E2D5lK|P~eC?J*|0^?Q?I+N)G39z!8vc zwtXNxcH4ihq-0oT>Txz|#ggvLFwbETnPPxXu4t3wV|%=}fvb%-V?|P*yf~1A(gWV* z@Jr#$lE1Xid#R=0dq3(Qc6uz!O6N;-Ymu(=Ht9zftL2N2M1A)Po&UJ~>YJujqDuwx zzpy0J>hPwi$?zUG;+*~6+DJv%v&bn}$ENuA4$jpattEQStb4P!X9b8rv#;V%IGHcz z3kJt&*p{MkSpe|43rryHg}8!6`%rc7g&oI`cawTkZ--gNbe$YVMpG4CQ)A7ZTTo`E z0>YC?O=|X7{KySO2~m_9#t+gdaN=Qj)1%sv56oS^zy^Ts# zOcl7C8#(s1-(Rl(3MdPc@=kq&G`+A;&X%{)L#pZ+=-)v*Ql`R_ylUy7&}Hv5SY1%~ zGQ6I`294I^-WKV6R8D_ul`?EAhT-h2srg{3k?P1+jIvw~_8bRlBK1;a#N023YyW8- zcK*R8R&6gYW3**DkyJtkS5?-f6S( zHsC_3k$MhHI(cSrF-AT#kz`a7y7n95NP+33#X`3Jol}Gz zVm8+E(>ag!pU2%`3W|{UkTU%ErsIRxk4>HnQ9Vu%l5R_XYV!PpY$9)jPp|&BoBFIQ zk&F5_p6dr)6v5hkRB^SZHiq7wrnn3d8&Ep@5=Cg`!v zc-WoVpd&$P7Fo(9dV58GFOdz}ggurN#A)L(q_#t}VuX*8(-e*mokw^+G=CVyE>uNIGk8s(&R__N0d0otuHOk0{40^zF}H+iQJ)YhMa4Y+cT?3<jkYIZ~#jXf7bhy}L#?Wq~q1L|(QZWJ`)h8YkbxQQU4HX5X z(cU0c?cNptrz^5C@4J$bCKhGkpc_Cw80SA%g^OHr=%%dKne6k-al$L*O-7baVae&N zS$qNrk1{lEzpl9dGm(laV^^GX8RKeHIekUe$?i$eCw%xEi*t-z+W#6nujz&T9Kj4h zd2Z#f{pr^P3!_ZcKP>=F0ZgRxTQDz+agPq!zR2r%&lXX=QW7hd$)Nnlov)Y* z*1Q$5`HiY7y>d~f<3gfMVQ~AvOaDY@Q9Gu6iXyeg?AD$YQT&^HY|pn?stsytttpBf30S?O9@ z5VI4D`j#4vAU0h4(%7z~RChm!V>5CjJ3Q0H!7sYy*1(< zh>>Qy=aPhuQ^K7?!(KP;5#>?(J~cUkpu{>AjNCdPFldLUOLG@D2S}I7bT3{jUr9$#|?Ex z0J6cOzpa$`u|M0U$2?7WDyn>X$F5@e0o>z6#ES1SN%LpxUP;y;;!47|5x8;|yj-60 zmSNXCy3NTt**H^Npyk`~veGee{LNPJAOMGgut&r*H!4NzP|k93c%$y^Pit|cPSdE;r&iT1b6s{vQbd>E$dqL_6$d{QXujaKtx%+Dn88!VS4AGEoO?- zp7z(@Hs6*i8}6go)p*+eXXgG`_#zpS<;vCiqD0HzvbR4%q~My<8;CL_B^eyHI}Gsq zo#fFe*FE@ifEkg~Nd^y8Vw8A)E!^1_LJ-C{gazJJcw}r;v$K^2hZhbO)8f7)a;;X+ zs$;;L+j-t&S=zU>TjB@FiHOgg)kzd#uw9`3Sy^P?U}G)ue%m2DSAev&CQ}mMYes6kF_%UugprGWzaH2( z65b%GgKQ3i5i@>N*ZMkEDBh~b1tT{RS`w?~-h)@G(3~K@ha+@4=|}+lAGn|sQq-Qs z6||MqTO$MErK`#uuOlwC1QtA8=a$SaXbyteZoP1PFxItuQiF5K}%DIw?flxAJT1j*7gZz8h)lD10)1R&& z2Tsrcms&wQ|0*cd15Ru^6_uc$n|j#FcL8>A#FSOV=f3&dWLWuZ`tzKRb7g(faF7ym zeVF4Pa}y+A-VK@~qz~w@7@8*2w}i(MHc4`zo(&KN<>W$yN|B#zr@ZQ!n$*Aggy^GC z?NeI^qzx9=v`+QNrM~M>o!J40X0ABbF1;bram@J+cr)s)%Od5r6ZGn=l*^rdMzzv6+;@bgJ<)QL zq9zjhZQn(%YQm}YlzrbdyZ^Td)+Mx$NcK_g^o6`JIgZZJq&H}?ugjEV)z{LL%hssg zpt%PHLMwb|#_Ux=orx;Lg_sW0*N#i;1mtS* z$FQGe^3fqh>M%a;c}+Wau4jeE?Jw(_Fa8wAp&b_Xhqv`DXIiuw?c&##7is_gUZfg} z+n-Z@1wbmYlosWrb~TR;w&k^NnU1iP%Vltp&BkiBmYkclG1Jv3)h<&fINV}mRNOGC z_dQo#pQ%|C^4E;DJ@cOW{j=It8VSn<``Y1RnmFYp6iaB4g`j_79Llbz)hWj4Ii>nV z3I`?6_;9V^6C{WA$DKyeCqkoMnM{1e>P{--bI z{YtS&>dUgyjPXsv-+j{(a=Hzx+S|;(`@Ap&26>gCkCLB@OYzW}exT$+){ap6VSQ#L zA=g7zFZ<5i;kQsy{YirdC^_9Ir?Ql~`^!5+PFf$-z5GFgYkdc8wFSO0Ra>Tp@6p#+gWTeYmwRJoGX#NlukspJOxOQwSSffh-fiHb{CUSYqT;uxxBZiNh#t4EaOQ@4>CmUAe^ zqS;01+0pF;)xeVxBdPUoxkKxpf%gyyd@B+;p6bY%p*(Vm>L(`^`n}ml`j%%fkIDiW zL`|+|`^ncjsuu9X2!NE)M9i(|W$71_#U=`FsBYYMn6ibK)C!!c&dtM_k5o5}sg^Bt zuVRJ%S+qRC+>_Us?Wi?IuWAm*E+NG_!FK3UX8VmkN9k5`gA2#Y64pzhm6Q#+o`o}U zO6;BlUZd}em%3Bc!uq#p=2FK7?S}9;Z+z5?8!xb~pF62FdGj@Tg>Q&<)`I9@=c5w| z_ViOZ_Gpc6QZd1cjQ%&_SGrxxK}&*-mfVV;&U#;*?^$`~`99})pw$jl)`!d=W);u- z*^7v?K3IP)u6WKn+4-`%hH@{yIlTNcp*C_m|HeCSsEqZ|y~Pv*`8<~xU13zfJ?S&Xx65GZk(Aq^*{|X{j;0R5E zAx6Dms}KP|YW5drrEy|4wIT}T5R>4fWP4Ym-`)D9Qm+YkcrQ*tg>!%ONbxc=u#-lzodFcEibn z>H8ff_M|3jh4)X9+QRQ*LeemoQ#sLPHi5e z*&$tiM>dT7o=D>`d)JqMu5mTe=Q1COPG1_DVf5pNR$u$svBLjp;4DOKBE80E7o;; z-lgao?VP9M(E4nM`|dcaUh6%?ISru}PFK8sI4@xHb(MlMCOZ1}N26QO!qVfN^d?XE z5&AT5b$egpT51iU?98K+m+(~yeZa*~Jl{|8KMt{L=vDjhp-OnS^1Rht^n>3m$E$n^ zn#hAf(Q^=5FZ!`qSI7t4UTG5eo-=6++a?qWl4CfR#_I06ybsAAYK3?+{3)bR3^qQB zxy!~VSzfjRx;v&g8Nfa~9B=X!v|6MytIisUAY3?n4wrVtZ?seN@xqP7eu>FfFZUG> z?DiFd0*fPMS1$@*EPHi=Wp$BQj_Kg1zihxzpKrrKf`LwW^i?TW!9Cq;uKUh|73>|7 zKGsLv4nM{lSA%UGU%%vxj#_0RyL(OZ*?1>iQEeG#L@aKsSM^<4t_`OkeDHN4F|aj1 zZ<_0a4&gmr2*S%kc!sgwrRAw3_u=!~*4VRePMwuWeznhTk>A@kVvVi%=*Tqr%DuDb z(XBXb2Tfb@Kpa=fOZVA82fIH$j0wGfVz*J>kOA8VvuP89n9KVOlB z=Fyhtwb1e8pYkxNfhmtsaxvBJd@|`DnlqU1p7@eHMs(f;e@`B`^-^l!bqw;9yx|(=@#yV>es7SUzQ!zQ*?o)1Pln5xxc8psYH#2CBm5 z4J+-OGZ=faJw=FehkYpkBs0{{OaA=0T`+oq z>)vU(|C$Rfp{ot1_6k|%4W=b+<6gJUv86X_<1===4+bsLGfkPtWTwF$F+s)VA+=K zgd%-<{Kf=#7qDZ3F8Q0hZ}?r3L$N2JRuDI!IH8{K>(?%oWg%~I6GYJiQmYnFy1F5h zo|e~9CYG_c8{>90zIf`D!tgh~+ESL#Ao61u>^avPZ!0T|N+togt?%$km}ieQ8+&U? zcbOA&@$kSq_~MMR_zA)+z*Bko#zOIuGq8HNg4F3B`gSJI#==2x&;mP@gCUosyuaRi z+K0v?N_i-O5U&h!7r`_*?&YhUyCL=@4HVkp0S?V_$}8u_#S0d`-G-`Y)?h2cIGmmbm(< zRFchWQDe_`+2yRM6?464JZkjTVxe(d(vnSZ+0G&&R&xU~@DN-R7wmp!rY zZ50Keal4buXni&9YJQ1IQjqYYlc&bBGs)dGNb$Ku_6Te zGNanO5Fx_rc~i0&VxhpT9A?twp`8U&j()d9RH!2VE6~@|M>R?uNt#@-gO=UYM4dUr zWFoszKv^#ksqi>DHRzdt%y79AI=#6K*B+)sZaoY!5g(*&dnc_+ak%#HzJDairu^~I z=CR=QzT@n$b8qpkr)VzwM1GQ5AGZ#eTU#dX`8(cGYBdI<2h7lH!#&834M&fn$9%GYMiS9&!)kdhzsvd(nacoo6 zT(-)?k$R9jslzi^KdTA9w0UOpyECV5^WW8f_nAeHr6q{g`kl7TzUtB~c^AD}Pxg$M z)j9bgNsuY_fQaXNt=t2mBSkWc04Um8k_RL70`_ZsXbxa3CDm^T0g^J?{$S2Pge`3+ z&sf=|Oo^?Je*oH4G0I>ZEyml9(%? zO{qEPT!d2@AxEv3$jBzaqwh0!Y9pg+s9{)PN)g~`E%fxe@2iZe(#~wq*u;&G(rFIQ z)UE#eE}9=X0CS9^BBP147VFu?|5IwK))UyEolV2l;XnkYjuAZ=9jCNGZ#i}+Dotue zCiS+!NDn=<7SJA%tqisngzs|o1k7Ky_#U%TAIgdB1VD)GiR%1fFqE zf8(BFzCGBiaGHXnuybueKhsYE*03ETSV4|jR=F_v-#wUXO&nL5&$I#TJk3nQ+iw5p z6JAA%R`_A&F0x4hUFDYb(nciss-vfSA|j*!fDtWls@Q1rPwQHi@hJ$o0#)!q)jy0f z-_6y_BAPs{SsY_h)I5R6INZ@&=5)c+YMRRjOo;ZitkJcYxuV!lMsf5=kT6>x3Af`&cQCi$3V)urI*xFqi)E_xq~{wHO+`0?0t$eEG#wA~Ws9lqCEOe(Q-KX0QX$-TYDA7>NAV_u7y zfJGFp-$y@~>lyY88$v-Npa{Yzz;z{qt*j?++QiW=KwZ;@LsMN^+_k1lb}qCcn?G{1 zmcOO;Br??GIF0D*V-|`qQOx(Uv>OvSUM1a?GK~)uP zy%OsEzk~B3=5bmlYnZTc84(l2&nJNy zmu8hJ(9G#Hf^sVOXSj>Ww>$15 ztD}Tce>^Ui4UNum<#|!E=_SGnWv}VJIlHz;K$ywY$EEMC!8q8qIRDeY~epdazwpSz5b|^nPnND_`l=COn^RLD6@p%y^~v9<9@BBq$78E#o3#7dp)ZD z$;Vb$UwL}yB+cQ}ef0ap_t?MjBnZ^2fy$kzT|*2p z51`8EP0yUg7fMR*6tP%)FvPJfUAavZw_3LuqF-F`M1sb14ujc}yim&c7CwUF*(~y` zKQ%H1I>e**65pUn5p*WZ1pd%1NCAmSMh=B4Dbr08+uyibKxWa%A{$liZ)zZ1eU+vN zKV^450UsMuKlV?LSDf$9q+KmR{V-KO@9ihK`%#=vPhP;)KM@&}>Vy>9J!Dzz{)+DB zRfynuxd#?+RDiI8`Ml#0b~7m_++7LB5Q})nJW}l9OXuzeSwU;`p-eH&c9dM9Z|g~O zIcP)P!rSM#vjwVdT$s3cZQ!-OntE~u$hbhV2g$yQ?`K-8UEMl2Ta|*t$l-zE$q0Mg zGX~NDD+m&&vLm!)pA0o!saUoe(hW!G?;pKoEV2(VUo|1&31^9r4DQ~$uv=2#5<3d{ z7H&+vBuVDAiy+79XM%|wqg+9ElDA;&FUQi`l|4Rh@;4%LHb7?qbM;>9+h(EQ=%0oADO>zW*K2mYrf7tf)*LBG{i z&!@g?Ja*&Zwut)2ZILDDD|T~>*D&YVf9p>2?CG7`1_c$e{+Nv7T?0WukZ$;*m(wnb`PG>cX+($q;(C>du7PuQg49|H%Vr~Kg`R`-$!0L%A` zKOBGWy`H@NgJHP<7rB3WDQ(B;8XD;;Y^UD zuj=oG3L|UnR(~MFal6?Db+S*YZ%>sbg%Mg>s;Px-ah0;RP)0{uUh0GGrY2TD{QaX< zcK^o2csp&ul37xFHhjl}5DtGRnP5fssu1~Ftw|fzY`&G4a|a-+6T?|)`}GoddVpBY z`1Or(?K^xC7Ofon=Hc+50ZnAYc52d%e*?n=s25kQXMJz{TZm_}NTT?4yqD3V z?#@$*x$u03|5Ey^(!4d}tJA#2I)K;+#*!V%@RT=9N0mP)X-#Up^X<8Y_Z;IQIf<@& zInP^`3zX$t;Si#hdzMJs#}}Pi1^J(Jzxoc?s!}fZCj87MbfA=ij6{GyJwH8A^YZNH zDET+wM9Oibp6l~*?G4!}$iX=xyfreYPGY-5*6x{!6owE8wz^2mp_uyd+~Jz%&C;NJ zttqqUrkn{9YW5BTSnG_fxf^rF*6~UBFQ)}ry)tJ;s~x0B4thLeR&lAkc`2HIPO+Ze z{}nIy|5HG}AJ#OEunU{tnUgvZsz?^It%{p|U2=L_#xtiC6A0T<#!3sNUA6N$AW&aY zNAdHy42;E%Vs+&=;wC~2t|H4VU`~6FI{L|WPuKrAFio>~)sc<6@8_a%t#3(voUYVQ z70U<51w(%iDI$vpT(q)fe2U;vHvZ0C4p1a#tnX01QDm(R4qZLRtq4ZiOuCnz;W@UwEJ(o_(rY%8Q;pOy$!}k9Gy^>9G zt-1E;CN6enMV{iNnftNj&G1ks&BLB@6*D!Z0a=F*-8cI#E~Q)4 zN|a%Z_>T`m*b*)+Zvm9~a|)ZdyPRJsLE9%M*J|?Tjpjnb!k{PDV#7m3Ck}0CVI!1c zxa}#xFsNGf3G3G4{y@;Ie#9E@OBOhWJpjsAHzD-D>GB%e3F&09?$?4ChJix6e>6-KGk2B zX+5XhO&-;GQY)s6-_?_Ezeg$=J}8qwZZATHcZ_Vvu&0vcwq_bH(mEnX@AV1#P3CFd zR8&mm7@phP$NFv#kjRk0svAn6m%?An$O}?z&}nVf-V5Y7sWh zfqz!;EPlLH1Gz>l&U6aUtqyJf{f)mb@Nng9|4&&`q&uZIME~Pwl_plIeWB z`@EjdZ;nZK;^g_!rWJ20Z)#YlRajRM0H{t2sq&}l| z$;<(cicL3bocpvZeBR*dB)MefbvlQ&$Up}7FovPHeVSMGFgg(EMZwcYH38)29HY|S zqZQL^z##2tCkF;H)NNcwgdK>8OT4s!z^;-FI%IN%N{%e0_;P&Y-XrsK4;uP@CK)c+ zk72q_7~7$H!@5`kr$w+`!&1!0#Z3;)rfexe8=?(!LiaRznd4%*!5!iiX5A}WhqLC77W8?#xBU&5kb~1%Qd~k(OrLNmG0BnjCU(TZ`Lh3D36Sj)A{{r~!tIc_H}|cVNP)o2(;J!DmjKM* zD=7&SU%q{FGfeM{C0_9I06Y$tl=_&kh4*+9y9O-t35FG$xb{AiYiBWO7?wJ+{0!@| zeX|*@#{al1lLnAg{K}(H+@XcJ=FbUFCb-98O*Bi2h?P4ZsGUasK@D@ox-M_kFC&W; zDNqk5fgMmC0XN~^<8;rYy@M%#?4lDqE2;>dicEcvez@h#H69RpdF@mB!>un0(w>>C z!-el(EWA>({x$jNkV`nP3Tl{0#H;Vt#HIvfwXQ;p`S^dX~q&<)mPJ7AVB+X#_$(ldU%0eSEpYfR=ou90| z1dzzAvzQQPbQ4y`w08K|TFh|hptM&=I<}DOgAwzI@;teSYWBTNt^;u<%uxb7q58#b zRXIPy4JtBZ$wJ|@-Ni}L*|p1}E|`fbJKbP$5aEiKt~uumnEK z@!Wx1`lys6iWF~~`?&c5RGt|F-#rTO*nDBPKuDmY{rT%Y#=<$p$ngT6J6_Mne7_E6 zL=67EBYXy0|8X+BFFR@wu?|)#H595F({Wg5aNIl2;t|aqgc}^!)3_9c=~x-~MQ4ZG zyw2L`%3SIPv_9kxyP;0VMNtzkG{NzAe7!e;?LQ@D3)(CSez(Y+8h=o z@avJagM4G~A&^jzo(6C_!Fy|7$!hMr)c8Eh_4VH@@s&N1`Vh2`yt#qR_as;)S8?VZ zy+-C=&+Kr8LOrCP&<-3|rh?sL+;S3L_P;@h)1-n6Biy3n;7t5uP zDY&mHgTRE)VLusbHvB+?gU#JPpw^VPw|!Aq5|#mcoqo&m_gdyGUKM52hL!LsS+TXb z%c>0f3h8=w0|{=z{6-rs0olD<30pBCvetbdo3TAPY@BkO})d zXMQp{GY!u%d?L-Pw!fJj#*c)GalttO^C5U8k_Wl7jqVhT-ttB>d%m!JP)1$RxH_oU z&LPm|FxPKDU=x}OOedM>rg&EvJK*leZ?)>?Ad#eCLqq?OJF@RVL*c?Q;Hu>uNI`qsb3@0D}L-r| zA05mJ#J!8S$Al?1?Np)FB_)9^9NaXkOj5_mJZ&aDig`hoH;q@@=Cjjw$pDT;^uA!8 zo2y%`mtOYB@dXH3n)7}<74kB>3X3Bb`hLBn`T1y2@wQ5j>GA6T$DXyjnHcm5dvLTl z*4{7DL`1fM&-gTDSB64n%;2|D%gA@S)e1jk4c4(rNXoTp;#G?>*Hn@Ni+Y5&poq|X zob_$TvvlUMyA;ZasWudL!0mt&v*p&%onW@wq)&u_xbB8M!h5@cV)U`gH6<=@&ZoMS zU0>gv?;Z=4H`&ohOo(B)4UQ|$JKrN_mTpxTjUCM8kw;drUq?zUNjdDdZSra-lQF5u zEL@{E&K@tu1)13@{BV<8_1*AFODZgyLMVQ@yNTUNJK8IcxVgnI2)D<|T4UU9NUDvCZ=Q3kjwl;Shs$RP_EqxWx^>^9p^_gvF|y6h^}$n7$aUi zyUf>yC1p|+t?dv~8~P5dt#fcxL=$F3(T66z>$5qdf9~B2#YP1yUe{~yEh=;*iDxjq z6FGD-nzvV)6CCTSw?&0r9Jxinjq8r*t(A_tXb_7C&yW09X}S9DX9?&V(k@J>%kpT= zf$=1UyVLWqXsq-K!1%ol7Pmbq$~rpyvF{-r9@*>&JY`MUI;5QJd$>;!$l~It&S8eC ztM0dPtuDvPdmFSU2ojW=q^0e@s4s+2ytN7&rxz|G5}KKQm}Su z`;6@*y+)5`r2Wt%y;&Guu{o~F3;Q8BDmx&0VyEDWnV~wVPiR>q*f64A({F$b7KU0E z^46VEj0$=leN!#Wdz^6IbNljNJ>68blUIcyrF_)0aZ`BS`OiSOjSQSZWt%_ATPzhb ze|P@B*5K6`;k-pnMiP0#*`CTMPE9=UZRIePDcgA1aKBfH~roK(bfk9zQt#*QwH%GzBqa zVo!1Q{|EH+T0GRo;0+kbYfti1Gy0?cGK}?U@11=>gc&fdFj{}Piiv5wVmn!_FK6E@ zzW;NKXsE{!SB*c#at>45!CwSlzndey5*hzO;fz?NT4{WBhO8t3m#n3-!ermoQsZa~ z`Cz(LBW;C8zA_~q4O zkyfNdjZ@<{&I&VGJU@f9u--PuQ|Xy_8_Q}^4ln{82io#WFvNLoixK|^P{w)wm9nCa{J zsLc*;C=L-RZJbI9{)4dhGbTt59rCkcx3zVpbZ$9-#2P2`iVI}d$$!jiK;3XX zMiuin@h6q7x&6vCY}HrbwQ>&7rx|5}`O|O!J*f?CpLC7C*6B-heiNly z7R{$-x2o)3YI&6X)_qei`|aVO5u+V-jf)vj0iG~;*i4!%TsqhHu8Soq#Dqmuf_>i& zg{tb+jQi9n@%yR-fE!h8e`ul&w`qQ5GQe6WyHDD=Du*QBBX@dkn>jMo%V{!xCG$O{ zyJ++i@t&E8S^QfXeg@C)6H*wHz35c>P=(IXU!Zbzg`Wkegp{Hx%F z`cW#IODxiXmn?{bB_c!!vdqV$>Yk1DB`i*`q{JQ}E{OHl`!!Ybxd=(m=a{U56qcx<<0?4B6vRBJn%q(?NZ)=;?{)TX+@ z?SmnQOmXhBOEN$WNVUNV^+csA9$YGyYF*-jXB44!pba5?Nl7+XUsdNvLkbbsfP{|= zUTVK#^$nBz0Y%@#OL_m4c}9r8&Ib6|H*&Do(NRjDKoGYg7~4XXoA@SMs>V9 zqJp1@18UV1%s)9#dfWwQ{e*oruzH_wt~#4a@?ZAWq5#THTg_^}J(TZP0VLOwj@d!n zcAWMP8;5Q|gXo;J;hp=o)x5})T%}X5)0ieiDp@Z&sA1H7f+x?^|8Q5@Q>4UkU;w7r z$a?>&c$PEuU*kmH9X~94SJ{j7nXrLvxAEt%`YSGfDceTug4C_D)A+K3N{tfce?*jZ zM2bDOzgs$E^$r;~FKSa-(wPdAGiB!LsP4G+X>Mhvgjy#L7Wq)M{fL-I^t=v3$A6iA z-5lw=^yv-i7e3YV=4F!%(STu|1c#f3N*F8pj-*}7sbM~+lzemR`2>lRjAw{9bO?75 z;KCxXNaz~7N>7+v~wahb!uu^ z_TKuojwM(32SI-7TRAWx2s`Tek1J-q!e!@ajPtxOoqcPM44^xiHc@37;2D3ueA5L| zeju=>VQ%R$pZ*=bJdS&Y`(O7tkGdtlr}sxQ?{n>RdmSE5l-J&Fw6X1;*VZfx6bLmr zlQbe&H2lQQMP9g6-M5%cVP9!ZSuij?0Ulpv=Zbw&Ul;j!!R_9Q3Vz2KE&;ZeEuyV2 zch;|`*mQ~=D9vrRPO{?7$6RZ=(r~j;wq)t}fS9evBgyU8lsfT2hW=qzU(!V3YVmOb zRWa=-Mir$FHLf$Up~L-f>Xy1s$(;f*Gxc>`hNHLLuvVV>;bdu_HPF>q$fpPd-H zX}lO=`3&^7E82(Of*c;Tl&p5AbWO4$SUYH3zCQg}?Hw~RMa2i3KY%|eqIa(^u99m; z33~+wr@263kit#RaDmb-N-43vBCKzs`0)JoE2l){tlL4?VMN+VKW3Vkho2&MAjUVo zLKaspHO^!ChwPj93Mv)50NF}Uqd#~5PJ-Fpof>O(&s5G@m1<=%;Ceg4Fq*#Ufoce zH!7sT&0%&Zcjci zD~JC_)py5J{lD+`u0#n*$c~bdk-d3mCK-`rMcI2hwzn-prR-7m-g|~5Ss~jovpLA# z-}`m+`8^)LKR!P1M~QP@=kQeNg!{r;yeAWe2_Po81XjyYC;{d{9^Bu_-rU(sKmTQ5swe zWZa9SBLx^@*vmzoq1JIS_+worxLo;w2gV_ zM2?9N>>GYP>@KS+haKY()oq+_d16Ush^4Kjw5?zx660>2`8O;lV)bHoqj;vf?4wpW zjpKT<4By1dGV(b)^S4thr^0~ANpc2?dh)d^opN{29vh&Ug5#vB&;2}J%^q!4D1{+o z8*#^K`0whZSVK_LW3dwBurSHGwsBg!KR(sY9bYu0;s!^@j}!=Fz`TNp8|BLwI$lA*`&myl#ViS*?;^W@51BJ#vvKX)fwW z1BI#@KoVyAp8sbMI=>%>gpw9iuQILCtD0Aw9lPF5$|@ z4$zijvqs&j(*j86rX*!c=B-Bs5CK*mW~l5IuU)d}y^$^br_EwlBKAFP1wM%~0Q$vtFuSJZnPH z+vvrn+t0BQdaGilORlbM?RJAJV_mP1?>y$)!OP1-B~_)L$T4!CIi(VIt*`;7(4HafOzx3+y5X~< zxfilAu2-UM+0JIldc$ccxW_}<$PqGDsMqBk+_I1x`KaeE^DEAg1q^e9calSiu=k&jFx5CU5%6XWZ0E>3?Qr z_s48(6zhEsEUKa*Z2{?jYi|Zsos}9k%l~()w6NKl7VGt1SmMV1t9VB^;Pnm57gvF3 z0sX~s8mkOc;MkqXMd>i$VBn?JFlWDi8aA-}5*a=Wp@ieueooly+4>zhBcYUXEtJe9 zVBC)-02NVft}VIoBQ7i}!T1LiKO;FG^RXXzvbWcJg5Cn3T*fQtq?LD*1Us>mmJDG4 z{Sf+TT&od-%0ujwO_9{kSr5dl@l*VPMNCur_$Q2WF?cAGkxR~1t7xAURvxtQaI^7(R`ZgS$$;rRf&r`#&57`tCRf8s?}e)_d?E@oA#@&NmW_&=p; z>Kz@4WBQ*X4e7&yv!D<4jAcs>%y#W*hrMYtrgDK3VLrA>&-MeFB0uT|pXqlqf#tQK zEV!^&gc5}P;I$hw@m~!X9;W+Gjh*N|#y4~igTI8=8#t@zN>gm)W*_of_%_mt!FF#3 zj-jH8jfXPHX(+RlRZR#>KzV^uk!Ff|=*f)oIoGlH*Tx^!5UrC`HaG~95gxdw8VdA) zz)C=J!>9Hk0Zt+YNfSUiOJ|yaf4Nt^7YA8S1=fwno=I={^Xd#fhn_O@lmlvksW{rG zTYDvLlEG^1Es_&DyXPFg_&A&0Cytt)(q|a_NmVVCCa=Cs=C_@bD@gn`k?*UdE+3D# z@mQ|Wm%skb8NmmjPg!=yXkQ)ugIbil4+=U-F3=o}_KM}P3tq;hLRsas z7mB~j_c2Gsw4n!a7ae$?O48}~0%D#IOhBZQ`9&D9@@!z>Vk=3x8JZ`#y}*T;YHjSkP6 zYb>n1Dihdv5@wAiivn(|FIOuNjU~cQ?wq~Uc_=gzW$0n**F=1L_}f~tpcg<87X|_9 z$qvjKWD?}PA(6pH$UTD<6Q=SOKbQ49Ia7Ltn@A7n(1maGsh>f#&QPL)>9m~ZF9c}- zIt;nb$iD#tBbn(?%JiX1HKQfN39)fPe8-dC2SD5=yD;HzPl>$8am}BNwQmuw$UOU( zc*RKfWrspEQm2EfLjx@|1aQba5!;Cubfw&hhsuS7#(=$UbywRm#j?E?`SPi>jkt_W?2r|b|JbMxG_FIycyQy zm0#k@3D^CYR7e-n3rGsEG1UVcxhK38M*NGCqXMuZjd+%5laTd9q`w>@Bl@2((=Gq2 z=VHrf1zntnOA*6&BZj~qZg1?%qn$2jbZ}u+zT4~X1Y$!F*C_iqvR|WWk3enVm1uK0 z06Dp&gzByUs#CHKFFx_~Xe(pl%Z{1TEP;^6Q$alb_MwYw_=M=3tCNP+X2aT%)z5f{Yy>1`LiWLh z?E(o-NUffw&6;= zS4uSABvpTU1GDqM7_91)({{MiF|Jc5HP?Iiu$E0=hGfBr1&QV@xo`g!I6QRiMk3q0n;$h{T*vv?H4(c1s&&eID`k$stR`H^fsmJ5~OBt>!i8 z#GJ@w$B4(D(h0bEkfElrrf*eLE8Gj$c?R9nFC-$N;_zOn2$VSvF-EZB-!rJj6=sS4oj*m0y218G>;#93 z!chXOznty`=zdbr`2qgatPXe6cV-eRxcH)mDVX?A2crKkaAgC3t1;SAsB{(=%)Ag_ zPvh70OWym zcM!|gzh);^LJ8eQG2gyE*(1uVvDouZe$qir&Q?z(;o!U0)N_+bMoOt9^&XoaqfMEn z4lKWYp`|Uod`<|`mfH(M+pd4yDSahn_UdNSaSy|S3K-Nvq8P6O+kV{zlr}1la2bpp zkk@CA=E)G=r1dPz1hUdd0=nO09TK*uJD2CiB3J%X-F3by;~dQX4HH%_fUsJ|PwdC> zAxD*uGb-3M4duDqCuFVSqqgpxvju+%C*$ttk-~Q`i6Dy;D_KyJbKQLSt72GYhviwf z8)B*i-!fwMc9W|(!bom^NRz!;RPX1BHg|+RkVK%`OWh+;5H8|CIfB3I=jh^ncW#Xd@D0wLA}$L#V$L2o;qHf~T@nLwjC- zX+Pktqz}fPT^pgx1Ur{cj!UbdXk&Y1H9GvAH+gq>uObaGg0+UKSPwR^motyN#C82G za)ou@>C83-NO?Ul(9*7^#+B{Oeig~DI0H>E5|74185QWbFH`ZM7g{!GT&F|>#7jO+ z;^6;JYjn2qLvMd&RvB5Gq|c?#R7OvG;i6i$I6Q7cF&H~K5|na})W5ho3QA$9{C;9! z3coz#Ihq6YmI(|kHLPcxuXJc~(5Pu}!L*c}nw#Zs@J^s(X0A8~Z%UP&_i6WGW^Y&1 zSvNm$bMK{5>;4mmw>;J}`29W)SOXlK4ls_zX7m|0!_oDLDF`wM{aJLOEKjMLp&;dd zT-eIDzCgs_ptoAV8}=)t$~)My;|m2a1g?UYe40!Y8+#5diF7GQOLf+QEcJb-J$9cc z)&esVQkkcg&L)-i>m>KGDH8})5%SNl>Og^*l^=F||EG<0`#4?nrYIa(ZmhKp483MZ z#$?z+gvEA=XYPZS)&<5k%HF`_hr^%T1{ddvx-$` z9}x)%%d!WAtyttl%o1bY&QL%Z)4`jr8qZpIXt2Y_k?ox$FU&ZbU|CTsJwvmw{P6@K-O*WvZkzPvOijGuL5g*VNZ*xvb1 zKe@!r=lVi|Dq+8{d|^#A*Krk#vc%|3@n`436y#~*rPai#Qt-&)W64hbtG8cA{XiUx z{}+*jQE5Q(gTaQ-u(X7-V`Ood%T$G9WQF%Qh;;sdvc2}g&K+m4)()6-a#0yg{_Wi! zx23!7@AJ#2REX=MrO~)eNsuADc4VsIF%%?rv)9D96fC`WDVQ%xp=Dv2^oUhB|1|tI zHpQ4UMY6PAcs$Quf^++>I6`b<^5q`xCx`)Dr9k-FCI-b?z)aLXiada_i9F)JK3{qsVJAb+WL~1c| z?h0#$ZZ+8O!VL}y-%ARCvpe%n0q_}0>b-cI6y4cDm67UK9zUpr=9-^}Z5%Q1FP!*V zB+zySl)7)L?7nWsf@qMXsI(0GZ(u>=O}gH2)TAn$k66EePSqLh;Z$f_G&JOn7*j%{cSuHveQgS>ta$EE(4h z_1QlD7iL>;n$V>v-ubn-2r`l`0}}$#jJzbjgD|OVXYfOZfu8K6oMriXr-s8vI6SdT zTz8+DuF34QQ`Y|yJ&rkX;+Lk1BsS)?(wD@UOzoG6T7&*ekTL*r75wXut#p2yN0`mt z?yzO$$?8LmKBFxcaNT5SJ5}CD#lGck+v=}G$;L%kMwq4F>ad!Q9RJt1t4qCz?D!!0 zEnToqEs3m@N^9vB3Gq}R>hm48452^gwEvSas7l^ZIK()NzvL=^jB5Aa_L+SycY#M# z+zJA`&f3u=|EOHOhQgPFaUTz(-TLJ?2!eVDk1nYH7kddd;Zf^z&9;pLX!!cL9zSN` z`V4{C%pY?bwRF1ffkv^~3k+`g67+GbMPYOS#ZnD*0T9YM&-sU`L*qUi-?pYH-z}}k}P23;uOA=OJuziW*o`dNAk;sj9zl{ zha#A{0t`C9VcO;KOC!Z~3)_$9Cs=n}UDmtMg4uDwBl?9q?tB_!xe4)tJ%-3H0b!a#H~EWgNAeTr>T*8T~x zYEa{nI0-nYV!qSpf9Fh#CdD{Dy*o@qxEiooirPaNWf*sVXMU*DV#WQnVXt7X>vSgr zcRN%>v2W<7<6csc2C^>=rFMPX6z>6$k5IVR!LV?3gI&q+O0-;eYz!9mWF2&*lx)*7 z)FrR=Pf6OtP>r@yiDE#C3^JdPnt;ji$GUNi-_k*k?{VkHm|{-VWKO^m{%AL9viiW%j>E#V}{3<5`*l z4-nSVgvaTu6slp`{U94QVX$^ivmux}Rrqyco1%YTKg3F&jbE4%hPGHg2eXMHIXw{;XHhgbtk%21JJk+$S0CLcb_(k}@l-gUg2PI%z`}oY zp!bqZ>?eJf&IqCEzhk3U3rZ?ZtaI>c%)}alz8v$MIE3`J*S6@?d;&Gj~;R@;eN1m)^n zn3IH5u#94pb1S6kBD4Ec=Y=S|&OcOGSP8Hu5zn~Lq40VYq_sqDRlz+8mO+ayH6_@l zLLSK>W*=)ND=pBl2{})fqh}I0)1w5Wpe?L!f)FhsC(l~IH2~T~Bs93BV&=9l^^0b9 z8@B(j`s{meOVdxL4rE^+(1$V1(b?}`N*`;lBze10Zq?sbi3HTAk}sDza6L6^G3b&( z$Va%M@JHQFhz_C_`3AqaiWD!n-NW|h?N1lAG`vcBF15v`C4wsm>*_&D(B=!yx+Np%UwdIFx3)S0;O1D)kR+MY1qTUK4$Z18%X7<92d@@ z$3;MO4UKmR-)#rE1ZUq-zW1aL?R}x~k=iKDsCDlE>?hX2g?bvhxK%|j1``yOe9LpX@ zb(8iLmUGpa16MK=b~Rg6eK)AdiM^F+eiq!R1!5y2yl#v^aJti!Qaq}O@}B%0iZ{W9|4f*d$#qd~*Fy^S{;RB6$7` z@vS5ZC`*PvvOW*#jP}L+GkI^xEX&x#0xK}mccAUTmzia$LT-r zFjkrV{LG?;cf`GS{Rw5Mw-n5L&rixF+m64L5)Qz#=}s`tG7NS3Cw&ZYFtQ80B7&*o z3cv%Gk>}j6lWUTapFkWU7Q8vh^m%(j_+(dD{Oq0=w_L2r>hvwE`>s?#3L_>Y)S;2L zUZFgXb*3|nzdnomhfl5MO|TmBnauF**f(mvQa-0bOCNs3Kw|E7k zwVK?4Gp{LA(fEG3HG5BYg9j?{T}5oJ8iTr0Oa3i`bIy*Jw-w?O&E0-!y=l}1eGFpi z(D{W@W~Cj?F?bWUI)YVbe5@Rdno708D?0t*F8G!hVqbD}_(8beKa=B!nKE4i6I{E) zoML)+X%^P~(xXcq;!YyGWIaNXHRX;g$~&7QG(HOUvzJ(v?(0AdSq_V zOO6+lXS?ptwA_3Z=DGhWJ?Kh|>31E_aoOwvYEBY6jHbQ zg_krMu;@W`H$i8N>L*m|mrg!@c&BVOsGj@pco;)6tr3R(7@cSG8Ko|=6elghAm(TGDPkA|S{#Q5!Vu2_p6sg=5>6|RPu@?x47 zcvj27755f7uJ(10eN<$?T%zO32<@!)Jm%w4_`;b!dS;nxA2@vABw7228Sg|_-$Zzk z>il_3*Sl7*vNvoBgj5bUZ*iTIoc`S7oj`4m8zCl7>Rn_Evc>cYR~<+p0pj;K_NNIz5d+JJ+cM`7y84^}&dU zxu|b?@rI9Zt2vZlfiV!7!`DgazKE<8nH6gjlIFc3Mh%t!h)-(BQrjWFb>tmGE7(Sp z^OMhsI(FQ*3OzlEFh* zEjFo__$d%O)`IC$oIokdC7lW~K(mWjundC(L)3jfqZLU>Fc{VrA`TIqREihbQ;(23 zM5?Niy$BBoV|5=Nf7n21&?^NMRr=q|Se&{O{GnqVqVn+94PX@X%qmz*$cv zCcRAM@{f4*%drRVbxVtw_yb0#i(Ix~@=k?D!<`P5E3x;~lsoVMi!f?p4C zEPlp?1cyYYyxgkT(?Ll}WZ;GhWsU7ROS?ak48}aXC-n9%fd;1Irb?FBPn?Ix>tQc7 z$4AS~(8EFnYaJLFvwB$CcD8jy14o8V++FCA#p`w<11Bv8Nc>>6*m4F}Cb^;eQ*N{5ebtZj#mCxZB8bFaUx*n#|=X%w4sDh$Jo)OtKw!i?vug zzTql)Pyj_0wZy->_DbvH{Av;Z`XrnIfQ8b;-@3Smn4f~fF-&*kVq~(769Gapz;}V0 zM|SGgVo)K$=C}2E%J_qh>%3GZqeCo@7@lW*RTBa>06c$4M413#;1( zfw}&D_+@QYMGho#_?BFAFi{}AcWPfPO#~UM6Y6acA{Bt2f1$oti;uIWMbsjpwdZm5 zAIP8<6b^BhKGokoPEcEOYDq}cXI&Qg4ih|b6-s2n|H!Q-L>M<>e}rlYRc!KMPy6sX z=il5i&8Pgb%$@uknor`&`=qus#&9=w~1 zLL5s);kH4Ca0%v3RNL&+B>c`Vpad{_An2?Y+F*QI-0UB2w4q?rCqZe?L&q`y2BRxHvl2vAZtQ3 z0S50xP%^Z|FMpsLXH+<-{uHxiAZR7{p3Ri#>nf5~4q4feSK|0ZCO2Iag9ld)l?+nD z9;79#{)+CAvVzU{^r%04h5H%1eJC-Oo)d*sx zf`tRZ#Zu+%H9|ti$$B3|RwfheKW%$hzV$sH#>*D=^sU93x~zApV2F?ILp^S zuCk)9Hk7#F;r1PI9e+C;jhzQp#vWW@75vjB^pqRqMbJM7SLb{wVvLy*OaDuU_^l_% zIlKuPpo`}4EKMW7;3(?BfLS0>>lS2eQC`k^QK|U^kv$xHXTSGyH|NuO2g3Yfh$GP` zo=8Yr`9MXFOpj2Zttg=V-FIv-Kc)U54Y5rtC&`%|Q+r3{S%Xdm?Hq~xXEviHP{{xg z2<9anCbiYEx9A(px{_;Yk+Gk7m_Ro2&-`(`JV@2BXY*aIi&P}*!(7$bSPp_gL+gt6 zk6MSwmqSSiu=<8sdTTPYaz_0YESP3T@4Xpl=yJ#>D0BAx$@+n+ewV~)Pqc^F&fb>RfSr5S#y&K`qyKaGcjeBz{idHl`X2LX zGf~Ihs^e!7(}e_8LeGXSUKa&cA&SPT0csW?JUf^D$9;#mUK}}o8`{X|pKrWYUr)=& zej1(L;kt5A$V{175sAZN7mr8lAYaUQqfEZ*PF{pzXPg(f0b%oOIx;zOM?T}Lp1NnN zmMD`zD4>}U6S7+d;t)svvi8P!x$scN-V2{UzqL>U`zd`zAJ^gTb5E{CVs4&>4DXFw zNmx@^jlJ>MMOrAtOu547{$QupoLA;q)v$FrjW_;7n@y}g|zRXWzZyujmhp_u8fTTl`|4Ed)} zW`_`~!;s3y=_f1g(9^>4w{Spx)6=Z1YQJK~VBUj5MD&u8XUz++3*+pXPw@DeUR9OO zxABp(3dmz*V#^kwDZOGiRcnOo{$V?~F&D8>E*8<9+kYopRzYf3;>Smf{P$;et_SoU zm4!|Vrm4e(T)$<_J*qH%yGe`5TedZ7mfY=AS`ZoC^*6EPr+GSuKCg{!HtJM?XT|RaS>(2o3c6%gD9YzeN-lh%~?_ZMBvtl&vT@D*;?VbtLFz!w^P;(twGIyNo6 zI@Ie;r_C`YiB_+lhUIFX(T?&qB&U}();#fIfZaefRpVRpsn7%zHvCws=t%&D-?1s38(&RYwOTc*Xt&r%sH4*MnZ@cFkJaQmY%DW?VLBC017OP;AF04*e$n=F6(Z*${bT)!Nd%O968ZE z8|5Do?BRXVGXvG-roZb3yXy@$Zb2-xx)Pp^UY7jITx)0w(KYTnmjQKai~! zupX$JQn6=vnEy9b3V-;-Yb3nGXiK5{6p?Nz83@1Uba?YZTm}RmK>N%PDCw{#ytw<^=_#W%ton3}?eotA^1O_~If)l^e5&OpP76ivr5uc2NRt+0De zr+2V@K=_0yd#tfdVG(~bnN|%oIe{zD_Sd_-T)($9Oif<2zMjAEwk{rO`*zq!w9Zi2 zE&Uoj%6)gFaYOJ1N5gTrBs-7CJKMFSlI3u}itO<{jPMc5$H>;JOX3UGjS%W-?4XB= zsJln|Gtxq7JuWs_P;2{{E;>zcWuy^G^L`gdlOhI+1t*(^&Xu;Bc#xaB+4Oz zJYh;E2-!x>T<_i0MshD;P1CksUPWS)N|L)?oioi3$4YX#Wi4iF2-Z{g#*Dp!P}9}Y ze9w@DRAIgEljA41y+?F^X7j`0hKPW+-LKj@HO-Mi+U-p&dSJKm;ibv?U}NOJSjxGy zq&S8Nx2W^`ToKdj&vz7Q#RTv_1{|_zI$=lJP!sts2{pUUIkWGD6NpR3gAOpp-7eAo zM)SpxL&VJM62Q2J6vV7CJ7UyF#Q~jCY8#&_L8Q?U3ZcftkAvC1?`_ycq$FhuoH1)P zHWzyHWYQ!w7_Z@0OL3?FIN?{uSdZyvMp@NhA&CZ!-3J$Diots{6|@l&TDXo=uLPbP z$h{vbct{|*+wPzX1JO_ne)ZyYgM->`9+fPnxKe?;T*f1pi|GNx|WmTdgkX*Ua9|f(B#L*NF%?HL4$kA z()@!{fM{z(X#x932!4nk&|{^D)25rF^EqZMh`3z0$!A(%+D7A-m0+#xD5A4ZQs>MhNH>Rc9#W-2 zYwio%PG427BX(|Z#@RV6#@ydmKwx>O{2kfd1Z_#izY_o2n-y}e?rY=GA6^g|F|bv% zdiI}}$o0N67B8nn^qwfw9(&w=^kdqFz;-(`u(K7N-l(wf-#v$U4|Oq6sx!Qwetb9xmjGxeiQV&s)Z;n6EV@7@IUbBCi%BB+zTg@nJJ2xT7P^=#_t z0J?--=`!%7%{?{V!0pYr%0~?>sOqy$T}V$%0hucFpNk0_;Y(V{X}CbX!?qR7+sppi z4|NWfx+EfPv6XkFpyV z!`d0=ZPqS=i)W($OVnLLqL--F9P{{VR|lfs+Obkx?JA6TW0eyWD?9xvUAH9;D?007 z57&A~9{0OW1#y`JhAd>@4QE>O$I&@E7^-1Y$EQV4{aTG9ik9R7bK}m4f_PRyV(G&$ z5HN4D=*0}67EB2Qrlyj^&6)*KW1o|nOY6ny3sFrs0>5ELXAMesY4k>bO~o@7r{80J z%rQg9?W=-mem-W)N^R?M!U0#j6N|x}KQi&A@0vHeby{p?;FU0Y7|2Xn|4n`6!WCJ0 zA$y@?lxOK}Qy7znw~7g@Hd0S9h+FSGUjEP3y>pQ|YQ@uOLTv68&c#^*IDSk#_k6)4g^7-fXFOKnH2_ zP%BLZxKzxVNYH{$M?1`-atMwBMyr3Jzg2bxSL5K1LVSRedzRj>&-$#TmAJ4~r8`*c73+fbwsys1 zqz=P_89X-{QktSuis^-wPsHFM700UDzeN7d$BE+&gB5exM&ov}Yv?_u_+SHKgu+Cu zyYdb+I$Z;!=B}{LZ%kT}vSN<`|2oBmvUM!`vrA9v78j zxt&Y%DFT6tB7ifZsiXuA#}x4nfLmAtRzJFHkIDuePa-UWS(%lJQom_;FQ<16-1=Of zFDXaU>m3QJU*_)=6Z=BK)?P$bgqPkz1T~z~-#oRgy(|l*tUSga-E=#>15cijPi(T; zC?XgV>54aT6kd&3z?S!4tJghpsf%oN*P93seJ-N0?C5*lAmUSYPcst>q(Rqva8S5TtmbK+^!MS%lQ4=oLvA*@2Kg^2Ppu86O0pQj`0Y7Uz-Nkdlsgvj~qz0QQO?|l^_0x^7-1l*8q zus#u$Vk2uq%n(;XczZ$|x=**%gVXhodA8oLUF))mp~$E#(^;V;m$Gg5*~4@E{?lSE zcOUgz^fL4J(v0g+S%lXzxg=TG+7COzpa>UcDq(W!y{z(j4I>WXQ;W2@->C~VBc;Yj z(mz{po{EuPq(cN`nw(XMJYq5c0=!7nteRLv(3(%g5{K&_c&rXtAUk0yp)T>@Hcqby z>gYN5fg^V@*!43%ghs)r^!S(jrOC2@Zjl=qD~k;i36MV~zH3A5d*v|hZlkC1<5vPk z7Jf6niez3hzDyzBGm<_`Uu-L$t6gve!g8T;!l~nKh7EyH{5g~!R`}fme*W>C1?l)c z(ZMs4Odc28E(-STpGArUke)HGvp+pza@wviR~5ARjk()vNJAdyZMrxSiweKGxn*_3 z_r8R7o6ubg&Sb57!tn%RUFguRrE;orrV&~4i9GDsLz4^jYGdqvIxef&Oc< zECgCe;DH;zdlg0cSQ4Gsx6Jal*!b&^>@=y8PwRT}D#i4O5H{6aj5K2Qqgc5Z3uwaN zI2K}2Q;?}8(q%R2vY89hhbVbO2isV7qysyR)esa5uQWz|h$Jc6@FqQSq(T?vX=`O# zZro@M!_}K7hKR^rWJx_P|8l;w!@IwC-d@-d5qZ2M(P4y4G2x!qwFii~v6d^(c5Z3?T2yjn-4u((_OrGi3 z;xQ~1Qv7iHUD>_U!LhVNLEFYCV0`7hIm*$OOG{duE4%OZO*7(awO7^gPx`#RYYbAc zy-3!JSy3bG5W#k++^!x1zE_Ta_sjgxfS7ymC^vAn6zRmVr>$gg?m^8P6T^h*_oWD2 zqSnp1)1;`W5uW>f^1#mHi+YN&`)7RyC%3zu3^SUkBoQ?X0>SoUXh!5kX*>qy25)Q5 zpK357W2RI^XBaCowwO-wfw~tC8~_BxM*U{rm)^e@3dvxc)cfq{`;R29iG-(jpBN@z zy=VP>qrBdGC_Is;_z^O#g_<~ztxsA!)Oho!*zg$^#4G{tGO@Vo2YhzK4MNA}fnU1R zr`V{Lzt|XBb$OU~y6il)%3zR8XP4(S3a*XjPG?=BY+amXv9aL`tH7gar|_l9nL4^@ z-9~GNR3GomiQ{KRP3PpSUs3~;|6j#(LcZL-E8g(`K=~rxb!xHx1`5_@Vdew5{#%qx z3-fmm-V3@x???-e0#{M5KFwh7g7K^%Ra#GX_mCB7Ka1pH(`D`(r}xrfgRJ1Nj+2=X z&>z?q|JRIy?-dWw46y^(hA>4NEywlg$LvFhm1Bv|t4p$<^G)7g+y55+KB=POx$-sCbD&)>>LeZZ ztd?KRMawG@fAlTu)CCI7Y)%w@AIl0|TheQ(a6Qhg3ykte)_FulkYfbZ+h!4Y(j7L6 z!CnxiA$#gDbr=&S*2&;H?sqIEuoKmb<8H65+zDfmG}R3gJ*0(2i+$;Ny#LF=exjr<>knb4$swOM-ozmmQ5r5g zOuKy!lww}qL_3KzM0CmUQ{W{A@lI3dKWftStd+;z{%Z>yR>$A^;aKp`KYx)>e4>^< z-(v$E-3KcjnIjJe{AZP0`p^kB!b|2A)9i62TVaFmKj?t23b2>k4;6;@tCO;z0f;An z{O6cHK4YSe;8Se=TL&={Qd z)8jYJxt0vOzYsrrOt5IVIU-q7uoXy%ckvSKM;Rf}BQFJRA$@!n)_Jb;4MyDGZ5;T( z+b@mo(!(B}3=kF)sK{)zualBz$R&Sbc0r?(3}A2(pa zIvzMs;jrC@osaFK15LE>kGs8kzvSf$tginohAIQXl496@b-UAZrE$B)Djn2}@WHhS zd9TV$8`ENy5?EQvx;DlN%sZbU+C!wfWEMXXDm1^iAkceV)fIO!e=5C8QuN2F>t%(I zW`|Mj5C-q@pQVH#p}>rv+fwWP`eWo5S}XhI2e$)HbMcn<^JU}Rg}w+SOX>v|<`Yn| z;86|+k}NIvRz=w{hRf#$qwd!o5WEt2F_ZPA~Y^ z5c}zE1c|-*7KQ+-$fGmkE5&;|N#rT@M-S`^9fq9xd!)ICR((9T0J|pUWDo z{#+|tz#16H$#wak5LFADD=@z(s1wQ@!*8pFMs!#SBA3CTeA+EZ=O8JWdlyJ?s8cm- zG%3Lr^^@Vyg<|nb(Y$-M%VRkjU5j5jv2yF#S`NsXN@MSGtoUN)ev9mT15s00m9 zYCcFzNX?A0w>SsV<}}fu2t1nu)4{X&RAQka7qLIDBaZtVarFX!rVqBBf=u$I)78Q- z&m&800%$X|P{#TyC6f1X*t`0#HjGr@G#EY4yCTWON+)e0vDQ#K-}qtGFneH24j8MQ z)Na;Ob3r-W#1n(!KF5;_#MOMu=iithWG=`OQp7>h5xcKfR&g&zRZKus>oYImV`##P zKZ09a+YVu9g@wuow*XJkgkNS@emJ7v1}wy4m0xi~8-aB(b=6~kuJH>sq<;zxmzJz2 zHj1pw#t(sxKdxkYI%P*&PpDU+rN^tODAV)_{?F+Y>GEbJiUj!>I*qZz? z?2E_|D^nsawnhW36%%ZIwV&z*t7cEvn~ZnAtLN3dK@EacXBlnS&Q?P!@d3B@t==~s zegm?e;`7Jr7M;c&Z^X?09@F*Lh{}rNwvT<_r4Q^B%(*Dhux`n0dSs*ba6ozkQU{ zkCBYcAvl$K3$fU!IgN%~Va-F6rph#I5?^7B!}zMA+PGS1+xaVRy!R;QyPE;W8v<*l z8Z{NzW2l8-?26Ybr-7;XHv(`pGzfkd-|fJk;h+j4RU&H)9rb>ES1h=C9q^+~a8*gv zrc0G^lgdm1PAZjS0Ck@H(~YmmMmBsN&yb6CSWY?FTm2PT-~t`Euk{?o^BWs>w^7;3 zmoznAM2i*hUcUFNG2)r)`nui+9|ODkC` z69ZA4S&K@dHtPH#uIICZe?no`)2OS7lWBjsPSN23&Byt0mW-XPMherG!cS#7L{inl z_2W9S#fWh%^gxJcbUZae0)Sa5B!x9+IRwW+OaCx^(K1x;!3ujlrBTL-&6%uCFLQb? zi!B%BNA@n{_B2Eq;5{q#)xoi?Si)-Xkl>orAel(08jKGJ2AieX^O=v|2;)pC^B3Aw z(&H5Ow(h7=fXYY#SKifdyrX-)Ddb6aV#wR7Nh6Bnzrk^7&zt2q?tD1n zZW@$;_7&Wo@HQ&w#}`#3)RyLvdFd6mdVNOQ%}y#FJ!P?@=LOu5Zi3#MOKQJqlf8em zUT@Zm0s1K(vk}9VW~1yQODP7s6>6OinRgb+OcGf`1=nwCDVzLY|MxzH+VE>R=9S^r zJ8R!j8^7G1B*z7j^@}`x1`GEw~`*5{cTjR!p5ijov4#PFg^Qhnj0f04D>)$PR#<_E1d=1zb&VS`$`%&zZr*?Av z@~`7}9UOpL5JzRtmIHBw?}k`p{HRCBG5 zgzsk~jit1f)V*Ic)m(Gh{dl5WJ7+eBkNoh2UsPUV#jBwbzV;(#dQ6UC z?eoc1H!@qFbm@uAiC)p8UpkAH?^gUIBC%tbhSuGSqSGQYwz!EpqJ9%x{EhA}zTK(j z-TBf<;uSdi(TuR0lWuh@v>qNjM-z$5%UE#D^;y;4iw>E}z_WeLSjhg3Pugm8MMRru z??2UuP!~r2dL|li^KhGrg)djJW^82g2NW0zZ50uLhYE=6bdaOXxty(}j90W~B70sK zy3Q4THAU|(b;kD-;T708IiVAD9Mf&!_R~JO^t7&3U@D%(BR7I)Zxh#hh7tum z_GderaAE+jP4=dWrorgxA~&2s2q3`SJO6mpej?zYIG&E%uj}7u4eSN9>Nu;*W8ayh ziWC{u{ciey=86T8(EA_|-?dXv~>4d?E@;J}G&bLk^WdAO|ad1vUO+hRmw|V^=F~vR`SVi~_+Y z@NzPzJXhPE-9cTJ?$65rpHifaXMzb4D8Hoj2j@UN3^2VFUD(t~#c%gJ$3*a!ps+RB zzKq*jvC|j5$wiC49Ssh0J>a9SJB(kkaHDnzh$K0yvTx-?joyM$PYI9akhiT`!qIAI zHkv}bAESXpUG?>hjRbSBW;hw2Kb_85SFEYyx$sA~Yd?%i(k(Yy5}vZWqj%NHwoc@5 z(QDuLWO;1MnXCo)5p_(^vuTOtS>IxEUA~snW_;2Hzq{y5+;QXod?4{V76#7-NAPw| z3qA#nFZgosNG40wAN-f{;&jQDt622w!olX<`!EKb@Z&gY$yOLqUl#A_Lbe{3tg3zs zF3)})V4)6zO*bqYPTfr`a?T=Pk{!w#7CzfEFnd+p*DowKVwjDt%6_L2Gw$+|M4QY{ zj2~coa@auGR&nNTd01`qSUAAdKYKed)lBvz%U||D=#*y8h^jE!9b(Y|stSuKemlOf z!!7p08Hs*ER@r7d)Q3KskZ_Wtz8W6JI)9K^tXJJ{VgpQ+Qr?4<#Op*CU;o(=aWDt* zAf__h*U$oU>$B%?<*z2)PuQpSpCTRBk$h_St(Sv8Yk|SNe|$&ws>`PHMaxN~zxvO-PZr0A zgLHgMEyG2DdDxbNrxGlh8wZwtkA4RLB9)J`JpnWSsjVxf^ad7>pk4A)u$&bIP5)_- zi_}9Nh2OV>;Ga(V&Ah$KLr3$v3gzjxl+yZq1;s%%%(J(}Lm-EdPEDjyIV0cAzdzKYS3t|@qm&fXCDJ#0#Ufo`%XMo!@ za+7DKD>zlkN5x%!0m5+=5vy~egg2!rwe}BbbGyMZygZv9ByNa1cwf&vm!R~Pvn8V> zl{&4FvpB4x_*c~2+_w{eA^xv___OYLD*yyQ5RC*<&TBI?zRa`;#NB)($sa{srH>@7 z5+Bynepgq4$NV9?o%sSio@nx?Gp1Bg*?3=7eLu=l&!AoBwH){)khZQubC6qoHmY;| z2CO51n;Dpdtk_LhmW{6rjdlp{$u)(184>;ZIcb>M1ShPo&zy=yqR@lBkhrL zk%T<g)z>yD>-|Npn4ln{mNC@CDWL#U8sRrWzb_TJe=$p}T+ zqsZR-*dZh;!9Zk~!X`fGhPLwW zHQN{H_QK6fXhDLu2G=CafM_n_>g+7h?s2uE*(#jy_{#6QY=&6tst$!!MyV^ zJxb+To~;}HPod7BH1o-c={J)S<2^SIJ_R74u1ec{>%*YiQJXaVeQL;k2RxCX0?6CU zocMJ8B`%C={W&dAFN7w>!HHt>Z2M)?!XrZLMup!~f-y5eDyz`q8Ncu3I+MLo?+L17 ziis&s&nVybYq5MmNh6Ig9YRMYiAY{FvKg)nGlhhRXpA~ouRN1pm=NK}(_W3=D^o?k za<#@>r9;B_XhYV$+ImeE<~#&xgimW$Otirc4Q8IkB1+Tk(Ju+3F=8d3yRS3g)Laoe zv*%PJjNE^{K#Y{3x8YW>@Jzr9T&nkoKxBgj`Ay9)6d2wbm7X(G+E#<30}ktI%pUFn zyyUS#d!4G78T-#HYk2@hr-y(=$%1?|6#?`0q&k@@N~x4~&J=|gDW_x^gbmQscljBt ziWXRZ3_Sp74j9D0ii%AJi^=5P>}VUnL7(S$lr;qwkJ3$%Wy!g)GPqWkIJ}oT`*QW1mT@Bpt6? z$rzEcgIAZF+?bYp3u6C}nOZm4>u$KbYVREVi&)OZ?K6N^BjQ^&e=$5Y>cgaq<2AaL zxxA)U1dqSy93P)j##`2Tq9X%nxMXqgu)z>vTeXQ~!2j&Et9#~On1wmUwngp<0*S8J zpN!WX2?Ykw(X;jNWs3RBKd!<~UJ*c~5oBIL(SI0jtZMFA>E^^q$_nxoD(=e-v$5`Y zgE$dq@gUJ*E*_k-S~Smnynial=eu@sOwx~AdG03#CRoF1)JaDBGYjR!v8-!5$I)h2 z>I2$#YSE9EfFwjUWGQ#Wu=DigT1V=nZ?CUcY%pD}eRo)p9p&P@E73LbfJys__Oc2f$cp|!wF^^g^FphO*@-7|_pio1bH_@BqkH3twW{Ibe;wt#GtgPIdaIa-eaI-|d zJ5{ALKUaTg>w-swoWBpUIVAreS_ocrM~j`NT`g;uOvYjo$C-aQNYtB4cKNFqJPle& z)jIdCbb5=s?dkO}ktucB`2E8(c7LrrE3@}5$!gh05iK6SaA@U|fQMNH*dW1*CAh}! zdi_s@5sX0EeX5|)!#|6LXUjC|x9 zqLyR7o5uweX(FTpM3SuU^aff=EcdXS8ELqpoJeSj^3`$;C5NH z07o5fg4R7(mHn;_9e7TlTk{R6s2F>MsDqz{(&KFom3Fqn7dx^;GkP-XETxS>ox-Ih zt$v2@4P+v1FFgntU2M6-`Z6Z9j)dR;&4L-VrTVio7b|#ZbR#GQcn89!vuOPKeYQOPt;?3yI7FqyWcos4@5DlzvUqH%&N}>Tv(9~%lLqP#A}q#{NP@0$aNg|h+w4j zN>v7{th>bVjmXx_7x4k_Rqxh;X~CuG2F+}qb#aq)L@ofIeudM+5Q(GY=N;6b4M@O)lX#31$^O$(57WFQ!5L@)5;~i_Q6i)fhHmL3Gq3BZ# z>2Qo8atBJs?_M-juS=g?u16+0Z}J0mZo=P#f837>8jB9^MVyN$6l*qCo!$EGx!j`u zG>=NS{|v3|dNh7@gXH+%`0Pdr%r#F$^gG2L-YNcqXsV*VJ}O$~^qjNH$%s3)j9-1k zB;tL<#PedchNM(ZKaDo(TmFSlFaMjP#xAx7LIRPpzrOU*5t6%!Un09_t-eLGbANnU zs8-qg3lY%@V5F&dcRo(hyRI)mkP+`B#ID(QJGdMFB5Bg#l><9%pC#QK&- zzq++oQwP`5_yq`Y&WQhjNhcyh+Luwz`6~~V27G*X;p2-o{~`WjIqbH!(>%#v zjIKa2KUq8;?cITM!NqEP)*zz88YxIfAc;9J<6D#{98p1Mr0OduGcUChwBAXWRK zImhuj+2%+pb^Cs0$yfK#p@rpJ!K2+4b5wI_2?x{mU&VQ{oR-PIjonAoYG>9E=pg}PS`oMx0iTvKF?Lnl8&z=~sIqwOEg=8;3=!XRsh^*eGd z+lS1`=UT&yFtwBqCq2qU-A`Am2lS77_zIQ$Tvm~RbK0z*99^v5^y#-G%A z=f!s~1bx%LvJ%MzR%J{v%Wm5kq%Bo!U}5i$FA z5tsO6(rPhm_I;UB!^%Oo05JwczVk?m8WG5VAHtgfM8JqjXFlsg?Rra1YTA9-f@9Z!%FC|9A^`!#=!ai-d@%QYHO4 zt~>V0K7HKjwxaDrYf<`-9GnNpA@`ReInZ-`t==y8i(KtBXV_uW`Z)by89yxI1tQres&ZGBFOue5A!zs=rc+|7atZUat-1X9Y{6+4P z#Np8&aopm51!}%#Q)^f+HuC>e@=VRMnKbza}!(bFT8%vab?+5>X&6b%TJ@Vue^}ex)gv2ciaA&Qc`8Q z&`UT?FZx-^Upxki3bk~M#?CpT=Yc5=-@@2Px~@vNc_9nPZInoy51HA*73e!k^ysoc44C+`+Abkj{)I=(eIL6*(|44V2^ z`WwBS`&VMU4999OIaFKINtfKk+lWf}n$Seh%ZW`K^ti|fR)@D$NLrl0(!h4N|004; z9B|8uyvKi@ru8HRoG5Mr=T2i*>3h~h5d@b2TpjQfw&#mq|9khdYWcxBknV5>ls+vc z|2YtprR5bz?pTufE_+zC$h9z%fazoAa-rUcj{oXW8$kp-PRn?-ib8ZuvsDiA6RL#A z-D4&12?EQbYO0$5NgOiwdC5n|ScN%3w+02&FmJi;w6>KGU=M! zCzhvI%zZpptr`cJ#mk6_<-Nt#Gw)?nQEabXkH!t(O8K4VNYn+e=RRf2T0eN3_2ZA; z)0)Y*_^~%h+Tscu&XL&EwyBci8EAx>slC*{EGsu1lXpew-Wulbop5Tr0ZT6a5!&_H zfuLl?3tL`xaE})tm8L>ydb+owmy^FJ^I=OYatDH;{{%asve9UWRe#5rPrc>Nn~fvl zBTI*8jX%tl@A_;Ml&^7Pl13bFwD%nToTPGEX?ll|8KnQRN45K6<<;c=QsDvw5+lvV zCVv4EEv~}zqZ~%SmCi}3UCU%>KcD zDCjtw?rQBo#^v15(kpDzzs9u2CZ7Gb@(9azNMmOUeggpU?sm60R&d>5k2{!fnUd}J zL{AX=JYZiHu?hj%?I-c=!j3^>VAR~_5M)0Xib=Y)i=X;B?%7_D z9xig-yDpT+$2p>hCFChIOT$0mdXwM2v^>XgrSJLwKwA~FT27j*14+kx!;kcj@{*34 z<0k9U&bmM3{$AzjXE#Nm8vzW#bL> zpCo5))&4UM#XcX5v^nbS7`)X9IEWQRbKi> zTs)7~DfTO3Oo%gdl$VjpB^TqEPe$HLL}%PY5j{(68=}9rCBEAqyL~%iiVIhR6Wz4Z zg*!I&VSKVJ4<9i<$LD?!ous=SiX0Mmg;&H7XfqVK3>+9_l#I|?a?nV*GnZ*8m$`4=Sh zCgoalFUObD5ka7j(g8RZkGhA z?wFR3kO2porV@C}N2$PP-+L6rX@DC-2t*z6bt4?=J3y6x{N68B8fUS`?_2yX2UAhp z5q|1p!@tFR=~&W{g}*;$Khd!B)0K`m zfT&;+Qmwo9YMK4n7Gg=&9QpY&owLD` zR4&Z|z1W=M>Z9dMg|^ZpCf1YIc;Oe1vaHZ$b~HrgPW2;ip`izmI$9XZ?sQKq!1h$a zM@*ejiVJ$<#na1l^#uLQL;seTqwR{P5=hlw;6_~N;E1tTF@!ODf63tqh3Zw?z8KPR z+qq6%dXm%GIMc6lX@g4n^nQBVZSZxEvD;$Xx?#?>`Pu06^6o5;3d*XF^L-v&s}JcJ-n!3Zd6zB<889*YY~uAU_2{0mId*^# zl-^rU9s5vxkhj}rjN6VgMu>LMx|6q!%TE2#4#UlcrLH!)J3>A6R&!wXsqZb8FQjdB z^lQhYd%Q9#D9<6_ad(i?@2_PY%UYj#sQU!yVY}IvmJ`B5R=Co>!HX2)k^$<#>r>Ix zfHWbNBMi8%LVRN7GDM*vkE6^?)+e6=g+R$`kCFEs@>xtAnTu111Ox|HUuR{G9v-bN zD`n@e`eL8tnmd>5S9)Cx9eNwI>5>)2H$wJcw_i~%H49nPOz|gJoZAQL5-cihQ!{%5=$o@jmCBQ=N$J`Pu zB){SDTfV#9pA=622`fT|znZVLu$ShWt7m(x=VM5YOO~a=)6;3gpS3Yc9}zwnN%J5d zIjnK#zn}eI^So^9l9nj@>#T6=Wx-T3uAI|{CWCq{TCX4IWYtpMyv}!u7y>HTFY+t5 z{k4-HsZf>-l^CNwH4nEPba9kJ9mAm-<8x##bZ09-ZbSmmRbzl7PtFC{mpT%>FxvXv z_^BYs=Tbz39FT> zv>kMholfk$TdsPOwff^+G?!Y0=-<%q12a!+tm+2cu`J%?&)qMygw+>medLzYjkyMQ zA0H^TzobRIL?QQNm+-BM_K%*!OuAI{x>VLkwoJWI8(Y22Ph3`(v$SsgUDMUIgm7`c zt*rXoo2e81_6@d;;JK4sF~OC%lc3d5%%+nWmk=V6I3#o@jNVa+#QGSqGJjbWMt)Fs zxv1+Of;H_4caxdLx0lg4r^}`6jW4{-^;N>jqKYqup*f7w1gYhf?s(WiyyRu45k$@5ivm%OqVo{4>gjDWo&z9=B*6imx); zRy(2bks<_hiDD9Wk%C7Fc-Gl3{WtjeH=>q(j!;h9B$n5?67htFW_TyRj(pWcTL3zq zu}j_*)AptnZ9v-WwkcAQE;)2It}=Y*hn2r~mIEl8dtZojrN3MHxbZ~~iTczexx6xR zId8_4W6t8Z@~$};M?G8#f-4e<3TUfdH9$#{yvv~CK4JD2H!w>B~0>? ze9JY_#3SXQ&zCC%PdDDol5%H@hfDz@&@Yt@iN!p@%B<)Dfnl#+1eP5vsm>4UGirq& zlOUh?e&T5VOM32#B8B#QJ_yl+EaQ>vqVBFPhx>Uj2S6Z^LxH`VKuYtJ2ok)@#g z*M=QL4&}A?E%IOAokhfz9ooE2&L=j{@!pp3Dgd_!S zYjPzX#Q%&2^=5ISF!7xDDApZtC)Jy`tgxx(gXwX2DS2{jo|4l~$Jssexp`XmN>4&A zoU9)#TY%{*Isu$_{DxX86M5MFnn*9kW%&+o!o{Tm_yc$fnni(Ix6yR(@C_ye+4xi`~? zPn~kkHaQ8FRM7S7(QH%-lBoH;>RD94dF?_u*cQ_J@Rt?iFn0w+?r@;tp5OqN@jrNX zT%SX4t%`PO{>f^qHtE`PTfOgfc>yE&sQs*AR1m=#L3nNkfjMPpR-*K_Dg|WyB6}3Ab@%sX>u=6fT!(iYd$G2?>0}QV+8EW89}kA$ z@H;>q+b;r}-Ds)@f7~EQL_=cWKsLA3wpl2xQDqIRL1O9tCuwx-PL5wR z+2Ejp7kk(4Bm&^kY^_`OokGeR_Eu#;F_qs7J>GKRA z%1iSjO=v)!yYFbXd5hD?)P&Zztn{AQTN(^6eZWkF0?WfO9ASe3wGFB~<3|vmWjf+K+zD1IaJLlXhh{xUWghMvJ!RJ#c1$Q2`7rXfhcBkw z$+^&T$Bo19Su&=i^yd8#3H=(&&kt&qRN^oHj_u_-Cva1gLyZ95&6zPhM*J94uk?3C zaV)VM!sk2xA{ZWes6+pq_Y7V=^`T5lNMD{ko?7ln@siN*R_p? zC!{|rzQn2L78iTQV%mOx2qDiBKCu_JHs##MA5{8ycP!;6;4eq_U##|f>d@wHr zSue=9tBgs>OG1?=CVJ7FKr)hiyYC9@Q0LP)p8scHR;qW|n7f+7^tI7}FGUyk%143Ku?*q@_SC|KQ*^5!MK%8IG0xRnfa-rXU%mnH${r?fgwfHLr*KpNe530D@L=RE#py}d49JqCt#uwHGZJ`kzPUoCxK z2LwUHJkGFO{p+)~to}RdH@X!|s5HNq@vZ=*;g0rYf3~)BDkpF!knFw@)J9U zaafH3N$_!8)78Vjcp83iI$@q~8<|FvT!dMg^`yHS_fMmzLnBXIkNrm8k)3=guz%m+ zWuvvYiSi3ZNbUL}b6Qqc3x(?6;=SSFViDmj8da=?YNi>$L%2Lpg=>`pA5h$KjxH5= zpf4X>VpN@P%`fSlP+JSl2IhdL*oe{TmR-ls?a9P*?kij}r>j14Ilk0^tr>yJq6dFA zBR)I5|AX;SN5Gk`vMjc-0_Jz1-<*^fK0mjR8L$n_eRy_>SzMTEjyh zt@+wSL6X}zsA(r~$;-KJiu~Khuwz0|U;H1|0cz2!x><|*TH4Yr7G<oK4x(tIrwwDPgj zOCk`;WlnHIz#VS>6wmgAzqB^6w>Yw2TNI+XhF*dp;yQyCU%4I+?>{PjzFJ# z8t*AC6@|#(IO){wPwGT2y*{gkTFNXISc%Dln_y$e#(vG^@*G$@{-bx#SwH!I10#mR zHM+~BP^0~WFgtp`e(q)wN|@|sznNfsun{uBcvA8&sl!1i{>a@nYVdN_3yu0##d0iZ z+%dHz9kZZzEG?n70r8ZMfOfMpI6a$%GSjMn2?iXTxGcKbD`XoH!Db)M(~F!EaIe&H zoZL6ddMMR$v^D-~XGVQyMKc>KA5B>ESK5*m7e5m4bUpE_8(WwQOLve6?#U<5xbptZ zkem?xGE@g3BXnap2b%}SmJ7jQ{%}b8DjKu=>E#KLEfeo)Sz1`cR|vRs+fWi&?yT3v zM_+}y;~2pCd%M`?5C*1E>d*l&eb};(?THe_-2wJ@xo0GP~}V%6}D=8*!&^1He+_u;0Kg| z(5v$|PFf7F^%YIpYba8x`5BwXD(Z_t6q&xyjAg4!(WFP}Ev$@L11A^+>&xUa@mIAsfVUf%*4p?V-cuNqMBWsTqt zgmpfIl)SQduOw~rnG#yE_PK>*ef50X)yL&;Q*o7=%8OTixlh+4AV=<*&CrhN?9l3c0Hi72a2CeD(qXykcyrrFLFBED?witg4Y zZ*%e6H<(@_ccvi`0U7Z0ym<=kYEw_!!>nzfq8>F%YG*rGpxBa^+I>`?)Q8%OpDS_D zDE@xhb!%}`Fk3Ro;s@D)n?I4BE#C(^*X+CcVxVAzM(t3JvUf)llG>sLAGU$hViPLi zsR!Lk%m+@E{ZSK|D1L+T3OZfI-IhKG3vGxPo)HbqZe6TYXvWE#cO@p z{LOAovLYU=IdBzs+=A{tZ-MY;monlCiF9tmaehy}lG?FGX`BNuSzy>1tmL3t3#vHa0q2s*P%Zp|lq0`}DzjpB$?X_|(W9kDE~UIw4i*UE=2EYj*LO_vS@< z=twHG(nKD3XUj2*Z?}2nU3@U<{NSg^^Z#TA#+^grs=xyS(Qev!3av53y*m|riP1g{ z_a!ZSIebuGBfQk4J{nUslyZqNiVFld0u2yZG=GMj3c z)93>%h#`3qNEdd>U^`|SA6oj7y2F_MU0=s@O@?q`YL)s$%I;ym#=&*j&283xy0o~R zQyNcm>NIihGFp%}dIimu8SV@%rnsMl`;}!tc-R9uQDo^((OI=&b*&KrH;$W?h0@DC zQMI*_&c;SoGc7_qlinuo?;?69_f=V4`5JB4LKyVLBz&P0WhX<VIfeu-kfY!z9fl!>m+WO$yp{8$VDbX)NB9`tUSSU+Ma}bwcIl`S3g8CVsXV-w>SH7lW3g zr1%ePVcz6;>(7_|rz%eHtSU93bzyq(%knx}=>Ca3qQwnIEB?IiI?t}`*L=Qv-cw;& zpSAdqEO&Pr#^G_Q^!7ZAI?t<`&GGJb_tdr+d}M?jK)PNwv~`#~0b>1G#*a_e%1?PQ0j6+xW?zuS6qv7lsq!mgb{7 z)3>PBQ+)O9nN(g31ZNJX#?{saQDbCAR)X&M9un^N?0*?4b~%L^@bJ9p%S}t$)3>Bl z&l@0EVbxMYaKg%}Xk!ddAx&1(j^BOJ*>L&T=W%W1_m$d_lvB>{Cs!dpzL}MuM~%9| zl_pd9C5S&rD5U*}G~RlyPESg6KYufX<+%J&oc^+SJBRB0;}5nU`gd5*Y`OT2m)Z0% z5zMrz^a~?+3o*=4gB3}ALvPzwPjpCzVuO~( zd7!xupXzOc-S18s4g5&wo``f3=iRUi>og(c95N|Ecxe#>k` z)SEa$tKx+hMr(0c3s_&kB%soEm_gtqvP_vZ^ycw{ERQtWu8TSgV9|RnB0$oi*=2%V zVO4VR@a;73XDtNLfz1#f*H+b_Rj3xD12yHkBFl2gH8O|ju%DXKU+VunyiroDS;)s# z_~C3@HC-_55hRGNzV%)ZhTbN2Dh4qTGc#`cjwS!HL6$BUJij*|`!O*AY^t zp*4v#_du}EYtgLmF35Z0U5;x_b3Pmw;Ru;_a6S{6=N4{}H^iW`7ZvdFnqZL#m|zRj zK+fvBiSZcy-yh#WhPlTj@S~Xvvd;Q>0%P!`=sAObDZFl&OWcYn@tDVcXCX zmAvz}s{7lk=~*!<$gc)at$R6%ns@fnULWk8=Oyu#Ct9=^@~BqkeIIC9Y-V52i`;RA0^2*LE5YDc!@F0#Q<3gNu-CJkSA0+Z&z zP!>v*7mZ$PUG2}gi6)!{mWb}FLBmDv{`crA;3y&-ilGpVvT-w7yFes^3H}1QUtTmW zzd>~Q`&2d$v_s%6A|V<7hd-M)w>id2vL{B-qhvP2;S*TMMt&F;YCFrXJyG+y^rioq zqCzc!&U73KmpkkJE{E}U>|j8Ik{E6nf|L9&gpRgjmfzcOO`6HQ^`m0DF@X7-cFGE1EE@6nxAbPxXAmCpaCcG%>Hkt6;M?^DarRjT9{;`OV57s zb}ja@s(%SMm@2+LQZUCbxmvDG@M(ohg8m+nsG$`dmGnb}qqEWk&qa(qeaSoTzGjzS zBj_13eY(AQBMPN+ysL|tsZbENIT|%&qvUabU@UzLdw_c5N{42Y^8J^K=KAw;k<9Sz zcl^l-wJD4x$(oU-0xsM(|DBhs-qpHBOWR}#c#aJmrKMh@Ji9HKvqiX%i0k*JpJZ_& z*72>iEYhICIv@fFxn)Q0DlcTNW#&QLamGP?gWiI>jO#NABy7_QgE82+r>0RmE1wm% z#PV=&O;a`d?3iJDK=VE`!)}-`B z+X>HOu9r=wgeA3NjP0?f0Sw(;)C?G2c?wsFp#4X`bEfO1o2|#a`8+1 zWnC_0H07jJFr4-b!6U?AA{s zsgWLXQ85I=1i8<|N++JsF;0yWr5Emb4N+N#38iUQnd6L&A%D|&yzHeLztAt@@Bg)G zz4|YNTub1zf!}!Lpuja?Q(4F_lDv}N`1w%E7Ts8PGMo2wG+|8!U*wi zM|Rp28x0JGA&?y496?E%FT><{2UUnE`~dRBOR&;=U~oMS#U5m~G}qOKFU{?qE@6B# zd0jGyYQFeF$~TOCL#wUC$6??(>!OVvZn0IKP}x8I1x?qSq7K>l!@AsW9ON&Q68 z4(@i*OSDB7eZ}J{`p19m2@W1DToyP3^A2uM()JMH3JGA0*P?~>fK0+KcS_tY$4|VtDxjTy&yJjIK zGTG%;`_Qng-NmG-(yjcnqBR~vgFVD^=Ev8A{tc7XS<|AB%h(Mn`0|yU@siYn7A!&5 zLEYe(!*e8nbu7rwRS@Jgdk|k->bls@LWvcQ-M^uhEg6~fqKM$|r^k@ja|imk74LF8!!P`1*jj5815iCM)u26&~Pj9t4)Xpu7QbY3WqH%{s^9 zq-Nx&;*Mwos&D3^F@G;b6ow}R>JYSQInnQ;C#kK&2omEcA8G7uyrcy4AvpgDS*@cE zJ_+!zNAGD6+ev?RaT1_uBYcQvI(K0{_GtStEgNasgk&yRn zis3&h+-BGt*H(<;im5~Sjx*gG=Q;-&59hjT)78SBmn5r&*M&dNJ4E_E{JfU38LSK< zUBua>N(o}d)(jfEfyUeO5$>VsE?L^gs1cT1*KgnkM*aH$4O)Sm3{ z&=CX9unEuazH)Aw&{AiEKgRUSS^3g?qq`T5Px2GVC$bOPOFM;E9+f!!g#&(A25?2Y z>vIrEal}br!NRlK$XiTU=~2?aK_qkJCN@&X(}_=Hz2u zm#S;%w{A}e_Hh-py|-ZGofPHA4_7}DuGf>v^Mg`lru=@2YVaYR*1eD#Qb1-K7b(+c z-2!~cdDK01?;tB7$d%1tkJrC^FN+T08$j6<~PdpC^EJU~D*P-0G(n7n@>!!6HrumgJ)q_K%+vNkYB zI;JKw%T2x7&h|JaJl{FrV++x|$e==QeQTeN|EETc$zzhFsce5Dn@>Q86U_5l%hYIw zFTSXvyBzf)^&2J-OzPahsSdrp^G5>OKw+?gK|AI3mTjJWCbl&Z^N0`-D40>h+4-;9 zn4B@IPB?RnlRDVl#~9d7kX!;L0*>G5alhkfBZ-pgr+V_3k^q~r?LINXD=n?SXhASc zVeA+p>9_x`XVTfX{3|wgjm`=cin4yPTqh zWhEWRrNsS+wgyrd!5Fs+9Tc0_`Ns?8z@X~?T!;*$2Mm(&D4T8Vr%@-0R-EaR@u$7i zDrZkdAqHQxz1Yyl?6-QI1tO--{FHbLl$49_mWA|Q6mf$l8Vt8Ume+W07qG*hPFTlt z46w7@1}oqIk+(9YF3W_Dssa1=4DMw5&*a>UK0h7$zBF9h;MyhX@-gG~pVnpb#T++? z+z;nX3TP^VABhtXqa@9|+$ZC{^WloRyTbhQ>no#KD@X46(dRZ)Cc5hbMU8?UKYd)} z`Q?_BdkT+;>;sMKERUIk7%URrkP6tv`^Xfh{9>R?3%!saeZ;Kb9{Ttt<{7O*8P}J~ z){e2|BjuH?Mj2WQyU|@H8KvE7v3C2dD{f2Wu|}fKV)-&!->=$@JZsB!{X}F7y6(m; z39i^uEL7`M&rd{Dn_5xav>S~Ue<&J0aCjQdMVgVsFWxV|7~ixRd3&WFrM@>*-PbRb zWI+<|@h$$-Oe=C)mLK-@7#oEaTlggCO`LyAd$= z#RAo5Un;s!$j7KI#IkeheWm^n^h**j*gY|aKuhAkK(kdLYG<)Y#0N~PFT z*kr(}0b_7j$4VutA>-F5QeD>gT+Pem&a`=DNPf``_$@4EUw0&!K9KHBe^&K2Wb{|i zge}hp5n2b20I*v`1S;XOwT5APAteU-3T<=~6-=PoD{X$eZ@1FfqwO0ya_ z;t~L00#S1W>+Ty$w>yQK!oUN?-O>#kjaCk~1k$xCN~NV*eZtcJ<~4!+8*KSWP$)_Q z>BbmfDZoS;pCr6}-<{)ijNPzAD+`ryn&H`!^~aR>+ZL(EyxQvF6cZ~65C-9?zt>r) zdp-_yFgF_w-OfR=-?nvhNfm@@_i$)L7`gjb7p+&S?zT}#a0>-VTw~f*_ofS!ZkcEz z#k+8`MlJvG)Du zxf8kXV@XvS!#NwZ3}T*m{kD7hC#8cj%iBV)GvP=g z++R{_+JmwdE~wxJREhkTe@?1K?AWrFoIW1M*H#jM)vEi>B_u>+khU?7$MN!6`ZWya zoo(;_f|@ExuGNMws^qfCN73wlFq3&<>XKy%Kc4@RFLZXu7`NN>eR!1l^X6eBX*}{K zZ%}u(doY1XwXnR~CAiDs!1-=M8oi1S_ zPs*W*05<-!m+b7$lT_N1|8BW`+yQoyS{PNH6^00KTsOU%HB!~S$Vx4lX4u4=NCLx9 zvt(7fHFNU!qyvwFm+iT%ArfN)@Aqm3xs9E%G+nu)bZ)&i#LnCG>5^*$FaBkw|p_ zR0Vgseb-jFUHiflGnL|0(fu7o%9A5s<7g+`BE89b)-%W^q=)u&jGPVBY;!13&tD0O z~i>ias@r<)0)up~wJ3}~l5WmD2KcJJAq9dBi&2|_fz z#m6xXxdu>*s6giD9AnMg9W*^hyq>{iR#4|{R27(s=Ov?D^EkjnW^c$U+B(cidB$k& zvs&`kAf)GRymyi2Fp$sNC{%IbJA|enFkzm7wm-;JUo#gH1}%>l#9Kjb3@$e^Mi0l+lvtHzQRsoyM#>~;a@O*F|Nc+2R^F|adEJ(IQ?bglk82) z%R{So|L%t)e^+}@;EQ{N|)|JLvR+n>O1H%HYwnl3%a$M{f zr<4Dxkg9e_nCpaN2}ti*(dwZ8PE$kFOBn8R&9md12#HeTG%W_G8mCFEymLNoibH|h zNVq#@%WFMnOQv2ZGlZ`SmiM(?GiioZ zjkMQ8Tj4>)(GP>HRj$D2F0k_%@v%l$wo3;AVM*aCEHmmPz4|KJG5V2PHFr^hHY8j7 z6AgBp^3sh1ard9MyDso)BKSHytyVNwdl+Z$5EV_r9{`=2vzWhkZzL$J4kXEl)GLn< zuBU@I;b|kN9&lD0MT@&WHJh>Y>k)i3scPkN`kPn6Tz)Nt-0V*7i~HAwoE}zrJknQq zOLjukB%BH{uw#o`vgeG2D)3J6rIh%Dg%5rM*8h`GRjd21H|p907qsjo=5HR@&oZ7A zO~dDiOm1{jXgntglI|o4-J)+Sihq{kMQw9`O#LaWc57OV&;9HoomCUZ_tMm|>#B)WuHPw>lqEdHuv*&3R2F}XY<+$aM?$O zg>0!3kN?i`>37zVsbZs&hNr6ZUO=YdVj*6hAl*NY{N_d|H6 z-d78E)U>K2590e*aM02!h09&3bIBd#S%ySsGV-bXw2h{J`;{R)+b|Ou)?BUcnoj~; zGFJbze$1*xZk>WdJS@Y20H#1JlFO z#*cJav3a7RHI^4Gy7yz|D|vY8Wxlxp&krvgDF(@oFC_BTt(3=O-$ayr{<(MDsETnx z7kJ1kG2$jmk0phVDi)7h8bVd*7y0Q;%2$6FsV+!Vihd}F$(X``6;1!Qo2rw7950T1 zi_z#C6pX2w9&fJFbP_FTgoC_ZrR{mN|3Mn2sw~ski1V0j;KoHNsXrEVtD_5t2rK0u zVkhJrvURj8gofG5-x(&Cn`qZuE+eCIOi*p%I zla9SYxH+_Z-u$SrTfg{^dnWCc`r7t)vI`%3HFpm(N#&6x-OEp28H|e4yiNK(PkScN z@=I$#gl|pX3u(&CzhsdkWLh>(c>YFPgDI9chkKG{{byfHSA-`W%Aej*y7l}MVgC9vDiclLwGyL=8+u-LET+B>)34)wAR|p}|3JnsV3%xJZILh_|I>oCn4?Mf|^f~#MmF6QxN)5P}md3*IrN-Dp6XTae>w2#THc*_i=9oeh>V5)+T2# z7Cwdn*A{NfL%X}&PM)S_G(F%7Y(nq~(3x3!vTF$7t zB9}Hb^(uW0l{#Xh!CW*!)AQzQ4MB2wuy7P16oI}nr}f&FOI_`8nXE3Uq{ky|eFfv= zS3PC=-bP4a&Ezy|dThG;?n~%kTpZI+yQ^UARV(V^rO2dE@y%P2Y3I%L%$=#&-VK7` z$iMwS~!r7NK7)KB?K>>Q_g~K%>QD2b#Ch&zwi=B9RI8;zgCVREyO(RLNz> z&2tEg#9M#sq2GM==7zGd(O9*vYtCQ@>~LXKAao?6Rur0tr9XwN4r+xvP7z631saYd zxP^Kmgx`YvUWbqTSn+5@p5whh_=I__?^TVi7s+TSSfC&1uRV0Kepas1VjLA%n!7q4 z)27g~)4s+uGo~CdH$*Iy(6E$nv-pKpjGvRvaZ^(^rZPx&-nsC810D`v@ITV@FM|w4F4P@(+N)Dv&uFW#gfZ~z2kYk`WDr@te<%bR+Fvy%_E#szm&xxJ~O2F z$k6zCQEZ8U<-^JYxH51}_Sbrvmy(jiEwdR&BLy%m`Q29nEOFnnTGo_0U|@qwyS==f z8{4b3-@pFp3-i^n?`D_SdTR_c2Wb6`BuWf0hf=15Op@OT|Di8tMbQJ2<<86YEsqeC z5VYcU$oEAY1e^<4?9FRJ2&{)u=q)bg(_0R8+}zuwNp#be!Y z?+4PSk#+ykSd^^d|GShXp31l4&m!l;1mO8NJS-F+imW%zH*kc`{^u#>y2REU z7krG20#kn_5~T^?(g1DhGd&_d-hxk}bcmK_?}-f4_S z1SkknO|H23Rx@BUB2gNEecUHH`Rm&iVZTz2lxvadByZ(6Yqi-$8#9?iO=RL7#Pdg+ zDXik671vw?OqXP0I0GLDsTn-oa>6e0GH_MP=ee7+I1cvgEbz#4wBy#+I$2G4>MKQ;e+Py`Rzd{h43S zF~@V<_x)V=b)DCBo~O%OZssU_J&VWrTg9cD`t5PH43^h%c~WP!NsEGt5IYqS86wrE zk()mq&G_QBTf7*kCq1K`oB7F+cLzu*+7`*>Jah!iGKaM8A5iy)K`ZII3%4~je0MpbG&2%YF0=9VtCfOdEVSh#g_G7)X_a?Mp5`bI zSCi`5#o=CC=WJ{5+c@ytoR ziR=^Opx+v+WCwPonx=D0fe8Vi@HF1Ir82LXfg159OD6HKB&w*mM$eeSP-GvilMf8- z12%v0*|6vo^?Ba%q$iceTu`y@!B{+5l6dpP(s!TC&dD!ow*3TgH}@w-A`C%#gGYIi zxZ}Jt3CST^A3}D=0|qp-ehd*J#`zV;wf|T6-baE3fj{SeU;a-L0UnF#P)xwdS;-AK zHNNU^B&YLhk)#JW(t`bKMALNYx=6Eb7=Okw{4k5M=P~2NbiA6qzqpp4OO^%ExGi6_ zUuyHmO1*&!U7(^ib;C5#U&;yd$7?mnVa=;;X=A-h!f5NS(_Zr%e8PltK+;B08GM<- z=nCs1ICIZ}vy>$Wef5Py806m&+I-lRsBUAxE3nEjGe(!JkpL;&DGcAG_yk79`~gO~N+{p~av-UMEl{T(-G3_w;Q zfp4}Ea;RyC&|T)K>|n3Ras2P`#WEl~z~U?_W zO&NpXxt8Qh9g#k&(Lc^H%nAG#ey_zv^;5XG@c@0g*Ffv1$WWwOiLU_rD}a8L_Zhfe zK_s{F4a}&yohsw_bB;O9#fNkW;!D?i<^3kd$$@aky}9d?6F!_>i$6hqqgh?{gfmevPs$SB5uEt?zKq}{@SOVaU;E& zK4LDNN`?1QSZE)hQyQsuWZrF?1}6e4(41zFqUldGODIi-_jeI|ls^}IJ>Z?^*+mXf zOn3Wpb;yUlJ{Y6`6n1jU2-w~Xh%03XA=EpJ}Ao9DNWu6L@yH6;ByIRq9whfhP-Pa6VMlx#LsD3y? zIarr1KsHK)ZJfr?O6f2VTnDmXD^;#LeP{wx{~^~O7n*R~V{Y2~1iTeYpn`SL@%2{F zMv#ZqjKOgPAxD6=@*$H(HE*6!^n1X?t+opn*=%A4fo<$xK!QNn@+frHz~9fDw4x7J z41Tf#T|8*y?Lzot^$)2Y-D4p9K*#NMXR~}>Qi9|6gf7qZ1Epm`tLQ^YZ!s@i{d7LA zs}yj4{X8j9aO}OMEk5hf<>8qim|lPA=+4Uomjrq zHeux-@PUC8M0RjeEPlAzOMMlf9ng!1t2xb1IloXrgFzAjP{YU$Rv}Cp&%Mh*J4#UQ zST#&2>gQSOScfVV!Rk){z{l6I10jp|UXB3zPc+UPpm~H#7qu5kev$?I!?LuPHHgS+ ztkWuVhW59d6xO{-V@CQ3s@j5LA2hSwX)^KI-`up~`cNvjz(5@u#+1jlgFEz5Gx#Z5 zD?TiXuLuM5OjP2ibDgDUU^6Lo=hW3;8`ciRT-$njeEycmRLpLw_whS21F3Dhy%Z0! zMb^qIJwR22d<`0HBP+Z_d$L9K$E0tisPDFlGyozwJfe;FWM*IF*)x>we#7(ql7}P?aS- z)`~Tz#mAY>%+HzfK%p$oq4?;Hk{LEHaNc?Y>~6~}oC)5vBE}$~g}v<@JNZS(xJOzx zm{nf`gx$LnZuj9i8qK5U6SvEqxighIp?PjRMm%QWJcq=je^fKj-1(OJ1R8iWr*+8? zO!#WCYpGPj>!De&-vyynRD~>B86N-m^B$Eo^zTuzoq8G@(SWr9<5-{*3tVcN}l0mQR#?0W|eTIqO7Tp zn~uW|fzUmxQo-;MSl8YB`2@Ji$lnPuhsM@fq%e z;J$vzWY^?|$nWTB+4)*cr!o<=yLdHBm=*;Ry)nv{q{DpfH`|B)EJ&_0h|sNPNXrQc zKg421SV}wJE_$??s7kNzNXtE15u{2pzaw>C3er)LAB;%_*LKdbv9ay!Jot}YOuIfz z?odc?IQ~2Qkm+85o0aagV4}55W^~uyNIQoQCt-A>X`R{Q+@uRPt7O-t1HbrAk4o`U zlP%C;NWA3F7w%m?XO#5d_9n-~mY30P4I!ned_*ixxxdMg+MT8>NNH+$wtrq<1@V5L zi;MKY%6xm0u4AF^;eTkIa7t@tE_#q-P9WuttiIXsp4_J*pUXI>dKO(8%;hUq&nG2N zsu6~an>IKFgj>y_&u~4J2)AsX^Tr{Ox^)7fuuH}bPM?H_mnF+3$fd1zJ%|?;zBd#? zFU24vqTD3JZ`c;SgYs+hP?|#H=RZl~olFV_1PmJxc#Hv6_ zmH62Qq4<-BOQQC%*)@kfI;i?ycK*z`h=J! zz$72=ifA;fH?~b(bk950i+N3dX4cad&}#sHsnILVeTDX`L`YXpNhjW;|JFwb?LiA` Xz2Wz{8CRJva!zp)%GH-Spgs5(uh{iF diff --git a/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.eda.qmsg b/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.eda.qmsg deleted file mode 100644 index a872cdb7..00000000 --- a/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.eda.qmsg +++ /dev/null @@ -1,5 +0,0 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1571927092997 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "EDA Netlist Writer Quartus II 32-bit " "Running Quartus II 32-bit EDA Netlist Writer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition " "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1571927092999 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "Copyright (C) 1991-2013 Altera Corporation. All rights reserved. " "Copyright (C) 1991-2013 Altera Corporation. All rights reserved." { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1571927092999 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "Your use of Altera Corporation's design tools, logic functions " "Your use of Altera Corporation's design tools, logic functions " { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1571927092999 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "and other software and tools, and its AMPP partner logic " "and other software and tools, and its AMPP partner logic " { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1571927092999 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "functions, and any output files from any of the foregoing " "functions, and any output files from any of the foregoing " { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1571927092999 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "(including device programming or simulation files), and any " "(including device programming or simulation files), and any " { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1571927092999 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "associated documentation or information are expressly subject " "associated documentation or information are expressly subject " { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1571927092999 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "to the terms and conditions of the Altera Program License " "to the terms and conditions of the Altera Program License " { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1571927092999 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "Subscription Agreement, Altera MegaCore Function License " "Subscription Agreement, Altera MegaCore Function License " { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1571927092999 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "Agreement, or other applicable license agreement, including, " "Agreement, or other applicable license agreement, including, " { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1571927092999 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "without limitation, that your use is for the sole purpose of " "without limitation, that your use is for the sole purpose of " { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1571927092999 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "programming logic devices manufactured by Altera and sold by " "programming logic devices manufactured by Altera and sold by " { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1571927092999 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "Altera or its authorized distributors. Please refer to the " "Altera or its authorized distributors. Please refer to the " { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1571927092999 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "applicable agreement for further details. " "applicable agreement for further details." { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1571927092999 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Oct 24 22:24:52 2019 " "Processing started: Thu Oct 24 22:24:52 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1571927092999 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1571927092999 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_eda --functional=on --flatten_buses=off --simulation=on --tool=modelsim_oem --format=verilog --output_directory=/home/timmy/Git/Learn-VHDL/CH6/CH6-1/simulation/qsim/ four_line_to_sixteen_line_decimal_decoder -c four_line_to_sixteen_line_decimal_decoder " "Command: quartus_eda --functional=on --flatten_buses=off --simulation=on --tool=modelsim_oem --format=verilog --output_directory=/home/timmy/Git/Learn-VHDL/CH6/CH6-1/simulation/qsim/ four_line_to_sixteen_line_decimal_decoder -c four_line_to_sixteen_line_decimal_decoder" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1571927093001 ""} -{ "Info" "IWSC_DONE_HDL_GENERATION" "four_line_to_sixteen_line_decimal_decoder.vo /home/timmy/Git/Learn-VHDL/CH6/CH6-1/simulation/qsim// simulation " "Generated file four_line_to_sixteen_line_decimal_decoder.vo in folder \"/home/timmy/Git/Learn-VHDL/CH6/CH6-1/simulation/qsim//\" for EDA simulation tool" { } { } 0 204019 "Generated file %1!s! in folder \"%2!s!\" for EDA %3!s! tool" 0 0 "Quartus II" 0 -1 1571927093526 ""} -{ "Info" "IQEXE_ERROR_COUNT" "EDA Netlist Writer 0 s 0 s Quartus II 32-bit " "Quartus II 32-bit EDA Netlist Writer was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "348 " "Peak virtual memory: 348 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1571927093579 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Oct 24 22:24:53 2019 " "Processing ended: Thu Oct 24 22:24:53 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1571927093579 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1571927093579 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1571927093579 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1571927093579 ""} diff --git a/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.fit.qmsg b/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.fit.qmsg deleted file mode 100644 index 8951ebfe..00000000 --- a/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.fit.qmsg +++ /dev/null @@ -1,48 +0,0 @@ -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Fitter" 0 -1 1571926277237 ""} -{ "Info" "IMPP_MPP_USER_DEVICE" "four_line_to_sixteen_line_decimal_decoder EP3C16F484C6 " "Selected device EP3C16F484C6 for design \"four_line_to_sixteen_line_decimal_decoder\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1571926277245 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Core supply voltage 1.2V " "Core supply voltage is 1.2V" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1571926277363 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1571926277364 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1571926277365 ""} -{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1571926277514 ""} -{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1571926277538 ""} -{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP3C40F484C6 " "Device EP3C40F484C6 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1571926277935 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP3C55F484C6 " "Device EP3C55F484C6 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1571926277935 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP3C80F484C6 " "Device EP3C80F484C6 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1571926277935 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1571926277935 ""} -{ "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION" "5 " "Fitter converted 5 user pins into dedicated programming pins" { { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_ASDO_DATA1~ D1 " "Pin ~ALTERA_ASDO_DATA1~ is reserved at location D1" { } { { "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" "" { PinPlanner "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" { ~ALTERA_ASDO_DATA1~ } } } { "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" "" { ~ALTERA_ASDO_DATA1~ } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/" { { 0 { 0 ""} 0 82 9662 10382 0} } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Quartus II" 0 -1 1571926277946 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_FLASH_nCE_nCSO~ E2 " "Pin ~ALTERA_FLASH_nCE_nCSO~ is reserved at location E2" { } { { "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" "" { PinPlanner "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" { ~ALTERA_FLASH_nCE_nCSO~ } } } { "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" "" { ~ALTERA_FLASH_nCE_nCSO~ } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/" { { 0 { 0 ""} 0 84 9662 10382 0} } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Quartus II" 0 -1 1571926277946 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_DCLK~ K2 " "Pin ~ALTERA_DCLK~ is reserved at location K2" { } { { "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" "" { PinPlanner "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" { ~ALTERA_DCLK~ } } } { "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" "" { ~ALTERA_DCLK~ } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/" { { 0 { 0 ""} 0 86 9662 10382 0} } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Quartus II" 0 -1 1571926277946 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_DATA0~ K1 " "Pin ~ALTERA_DATA0~ is reserved at location K1" { } { { "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" "" { PinPlanner "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" { ~ALTERA_DATA0~ } } } { "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" "" { ~ALTERA_DATA0~ } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/" { { 0 { 0 ""} 0 88 9662 10382 0} } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Quartus II" 0 -1 1571926277946 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_nCEO~ K22 " "Pin ~ALTERA_nCEO~ is reserved at location K22" { } { { "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" "" { PinPlanner "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" { ~ALTERA_nCEO~ } } } { "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" "" { ~ALTERA_nCEO~ } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/" { { 0 { 0 ""} 0 90 9662 10382 0} } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Quartus II" 0 -1 1571926277946 ""} } { } 0 169124 "Fitter converted %1!d! user pins into dedicated programming pins" 0 0 "Fitter" 0 -1 1571926277946 ""} -{ "Warning" "WCUT_CUT_ATOM_PINS_WITH_INCOMPLETE_IO_ASSIGNMENTS" "" "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" { } { } 0 15714 "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" 0 0 "Fitter" 0 -1 1571926277951 ""} -{ "Critical Warning" "WFIOMGR_PINS_MISSING_LOCATION_INFO" "20 20 " "No exact pin location assignment(s) for 20 pins of 20 total pins" { { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y0 " "Pin Y0 not assigned to an exact location on the device" { } { { "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" "" { PinPlanner "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" { Y0 } } } { "four_line_to_sixteen_line_decimal_decoder.bdf" "" { Schematic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/four_line_to_sixteen_line_decimal_decoder.bdf" { { 128 600 776 144 "Y0" "" } } } } { "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" "" { Y0 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/" { { 0 { 0 ""} 0 5 9662 10382 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1571926279844 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y1 " "Pin Y1 not assigned to an exact location on the device" { } { { "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" "" { PinPlanner "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" { Y1 } } } { "four_line_to_sixteen_line_decimal_decoder.bdf" "" { Schematic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/four_line_to_sixteen_line_decimal_decoder.bdf" { { 144 600 776 160 "Y1" "" } } } } { "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" "" { Y1 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/" { { 0 { 0 ""} 0 10 9662 10382 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1571926279844 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y2 " "Pin Y2 not assigned to an exact location on the device" { } { { "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" "" { PinPlanner "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" { Y2 } } } { "four_line_to_sixteen_line_decimal_decoder.bdf" "" { Schematic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/four_line_to_sixteen_line_decimal_decoder.bdf" { { 160 600 776 176 "Y2" "" } } } } { "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" "" { Y2 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/" { { 0 { 0 ""} 0 11 9662 10382 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1571926279844 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y3 " "Pin Y3 not assigned to an exact location on the device" { } { { "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" "" { PinPlanner "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" { Y3 } } } { "four_line_to_sixteen_line_decimal_decoder.bdf" "" { Schematic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/four_line_to_sixteen_line_decimal_decoder.bdf" { { 176 600 776 192 "Y3" "" } } } } { "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" "" { Y3 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/" { { 0 { 0 ""} 0 12 9662 10382 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1571926279844 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y4 " "Pin Y4 not assigned to an exact location on the device" { } { { "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" "" { PinPlanner "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" { Y4 } } } { "four_line_to_sixteen_line_decimal_decoder.bdf" "" { Schematic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/four_line_to_sixteen_line_decimal_decoder.bdf" { { 192 600 776 208 "Y4" "" } } } } { "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" "" { Y4 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/" { { 0 { 0 ""} 0 13 9662 10382 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1571926279844 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y5 " "Pin Y5 not assigned to an exact location on the device" { } { { "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" "" { PinPlanner "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" { Y5 } } } { "four_line_to_sixteen_line_decimal_decoder.bdf" "" { Schematic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/four_line_to_sixteen_line_decimal_decoder.bdf" { { 208 600 776 224 "Y5" "" } } } } { "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" "" { Y5 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/" { { 0 { 0 ""} 0 14 9662 10382 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1571926279844 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y6 " "Pin Y6 not assigned to an exact location on the device" { } { { "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" "" { PinPlanner "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" { Y6 } } } { "four_line_to_sixteen_line_decimal_decoder.bdf" "" { Schematic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/four_line_to_sixteen_line_decimal_decoder.bdf" { { 224 600 776 240 "Y6" "" } } } } { "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" "" { Y6 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/" { { 0 { 0 ""} 0 15 9662 10382 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1571926279844 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y7 " "Pin Y7 not assigned to an exact location on the device" { } { { "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" "" { PinPlanner "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" { Y7 } } } { "four_line_to_sixteen_line_decimal_decoder.bdf" "" { Schematic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/four_line_to_sixteen_line_decimal_decoder.bdf" { { 240 600 776 256 "Y7" "" } } } } { "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" "" { Y7 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/" { { 0 { 0 ""} 0 16 9662 10382 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1571926279844 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y8 " "Pin Y8 not assigned to an exact location on the device" { } { { "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" "" { PinPlanner "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" { Y8 } } } { "four_line_to_sixteen_line_decimal_decoder.bdf" "" { Schematic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/four_line_to_sixteen_line_decimal_decoder.bdf" { { 288 600 776 304 "Y8" "" } } } } { "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" "" { Y8 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/" { { 0 { 0 ""} 0 17 9662 10382 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1571926279844 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y9 " "Pin Y9 not assigned to an exact location on the device" { } { { "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" "" { PinPlanner "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" { Y9 } } } { "four_line_to_sixteen_line_decimal_decoder.bdf" "" { Schematic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/four_line_to_sixteen_line_decimal_decoder.bdf" { { 304 600 776 320 "Y9" "" } } } } { "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" "" { Y9 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/" { { 0 { 0 ""} 0 18 9662 10382 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1571926279844 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y10 " "Pin Y10 not assigned to an exact location on the device" { } { { "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" "" { PinPlanner "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" { Y10 } } } { "four_line_to_sixteen_line_decimal_decoder.bdf" "" { Schematic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/four_line_to_sixteen_line_decimal_decoder.bdf" { { 320 600 776 336 "Y10" "" } } } } { "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" "" { Y10 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/" { { 0 { 0 ""} 0 19 9662 10382 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1571926279844 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y11 " "Pin Y11 not assigned to an exact location on the device" { } { { "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" "" { PinPlanner "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" { Y11 } } } { "four_line_to_sixteen_line_decimal_decoder.bdf" "" { Schematic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/four_line_to_sixteen_line_decimal_decoder.bdf" { { 336 600 776 352 "Y11" "" } } } } { "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" "" { Y11 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/" { { 0 { 0 ""} 0 20 9662 10382 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1571926279844 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y12 " "Pin Y12 not assigned to an exact location on the device" { } { { "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" "" { PinPlanner "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" { Y12 } } } { "four_line_to_sixteen_line_decimal_decoder.bdf" "" { Schematic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/four_line_to_sixteen_line_decimal_decoder.bdf" { { 352 600 776 368 "Y12" "" } } } } { "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" "" { Y12 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/" { { 0 { 0 ""} 0 21 9662 10382 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1571926279844 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y13 " "Pin Y13 not assigned to an exact location on the device" { } { { "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" "" { PinPlanner "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" { Y13 } } } { "four_line_to_sixteen_line_decimal_decoder.bdf" "" { Schematic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/four_line_to_sixteen_line_decimal_decoder.bdf" { { 368 600 776 384 "Y13" "" } } } } { "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" "" { Y13 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/" { { 0 { 0 ""} 0 22 9662 10382 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1571926279844 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y14 " "Pin Y14 not assigned to an exact location on the device" { } { { "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" "" { PinPlanner "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" { Y14 } } } { "four_line_to_sixteen_line_decimal_decoder.bdf" "" { Schematic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/four_line_to_sixteen_line_decimal_decoder.bdf" { { 384 600 776 400 "Y14" "" } } } } { "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" "" { Y14 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/" { { 0 { 0 ""} 0 23 9662 10382 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1571926279844 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "Y15 " "Pin Y15 not assigned to an exact location on the device" { } { { "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" "" { PinPlanner "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" { Y15 } } } { "four_line_to_sixteen_line_decimal_decoder.bdf" "" { Schematic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/four_line_to_sixteen_line_decimal_decoder.bdf" { { 400 600 776 416 "Y15" "" } } } } { "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" "" { Y15 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/" { { 0 { 0 ""} 0 24 9662 10382 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1571926279844 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "D " "Pin D not assigned to an exact location on the device" { } { { "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" "" { PinPlanner "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" { D } } } { "four_line_to_sixteen_line_decimal_decoder.bdf" "" { Schematic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/four_line_to_sixteen_line_decimal_decoder.bdf" { { 208 184 352 224 "D" "" } } } } { "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" "" { D } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/" { { 0 { 0 ""} 0 9 9662 10382 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1571926279844 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "C " "Pin C not assigned to an exact location on the device" { } { { "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" "" { PinPlanner "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" { C } } } { "four_line_to_sixteen_line_decimal_decoder.bdf" "" { Schematic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/four_line_to_sixteen_line_decimal_decoder.bdf" { { 176 184 352 192 "C" "" } } } } { "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" "" { C } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/" { { 0 { 0 ""} 0 8 9662 10382 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1571926279844 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "B " "Pin B not assigned to an exact location on the device" { } { { "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" "" { PinPlanner "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" { B } } } { "four_line_to_sixteen_line_decimal_decoder.bdf" "" { Schematic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/four_line_to_sixteen_line_decimal_decoder.bdf" { { 160 184 352 176 "B" "" } } } } { "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" "" { B } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/" { { 0 { 0 ""} 0 7 9662 10382 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1571926279844 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "A " "Pin A not assigned to an exact location on the device" { } { { "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" "" { PinPlanner "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" { A } } } { "four_line_to_sixteen_line_decimal_decoder.bdf" "" { Schematic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/four_line_to_sixteen_line_decimal_decoder.bdf" { { 144 184 352 160 "A" "" } } } } { "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" "" { A } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/" { { 0 { 0 ""} 0 6 9662 10382 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1571926279844 ""} } { } 1 169085 "No exact pin location assignment(s) for %1!d! pins of %2!d! total pins" 0 0 "Fitter" 0 -1 1571926279844 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "four_line_to_sixteen_line_decimal_decoder.sdc " "Synopsys Design Constraints File file not found: 'four_line_to_sixteen_line_decimal_decoder.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1571926280129 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1571926280130 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Fitter" 0 -1 1571926280132 ""} -{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Fitter" 0 -1 1571926280133 ""} -{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Fitter" 0 -1 1571926280136 ""} -{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Fitter" 0 -1 1571926280136 ""} -{ "Info" "ISTA_TDC_NO_DEFAULT_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." { } { } 0 332130 "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." 0 0 "Fitter" 0 -1 1571926280137 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176233 "Starting register packing" 0 0 "Fitter" 0 -1 1571926280145 ""} -{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1571926280147 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1571926280147 ""} -{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_BEGIN_FAST_REGISTER_INFO" "" "Started Fast Input/Output/OE register processing" { } { } 1 176236 "Started Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1571926280150 ""} -{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_FAST_REGISTER_INFO" "" "Finished Fast Input/Output/OE register processing" { } { } 1 176237 "Finished Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1571926280151 ""} -{ "Extra Info" "IFSAC_FSAC_START_MAC_SCAN_CHAIN_INFERENCING" "" "Start inferring scan chains for DSP blocks" { } { } 1 176238 "Start inferring scan chains for DSP blocks" 1 0 "Fitter" 0 -1 1571926280152 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_MAC_SCAN_CHAIN_INFERENCING" "" "Inferring scan chains for DSP blocks is complete" { } { } 1 176239 "Inferring scan chains for DSP blocks is complete" 1 0 "Fitter" 0 -1 1571926280152 ""} -{ "Extra Info" "IFSAC_FSAC_START_IO_MULT_RAM_PACKING" "" "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" { } { } 1 176248 "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" 1 0 "Fitter" 0 -1 1571926280152 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_IO_MULT_RAM_PACKING" "" "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" { } { } 1 176249 "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" 1 0 "Fitter" 0 -1 1571926280152 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { { "Extra Info" "IFSAC_NO_REGISTERS_WERE_PACKED" "" "No registers were packed into other blocks" { } { } 1 176219 "No registers were packed into other blocks" 0 0 "Quartus II" 0 -1 1571926280153 ""} } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1571926280153 ""} -{ "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement " "Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement" { { "Info" "IFSAC_FSAC_SINGLE_IOC_GROUP_STATISTICS" "20 unused 2.5V 4 16 0 " "Number of I/O pins in group: 20 (unused VREF, 2.5V VCCIO, 4 input, 16 output, 0 bidirectional)" { { "Info" "IFSAC_FSAC_IO_STDS_IN_IOC_GROUP" "2.5 V. " "I/O standards used: 2.5 V." { } { } 0 176212 "I/O standards used: %1!s!" 0 0 "Quartus II" 0 -1 1571926280160 ""} } { } 0 176211 "Number of I/O pins in group: %1!d! (%2!s! VREF, %3!s! VCCIO, %4!d! input, %5!d! output, %6!d! bidirectional)" 0 0 "Quartus II" 0 -1 1571926280160 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Fitter" 0 -1 1571926280160 ""} -{ "Info" "IFSAC_FSAC_IO_STATS_BEFORE_AFTER_PLACEMENT" "before " "I/O bank details before I/O pin placement" { { "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O banks " "Statistics of I/O banks" { { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "1 does not use undetermined 4 29 " "I/O bank number 1 does not use VREF pins and has undetermined VCCIO pins. 4 total pin(s) used -- 29 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1571926280165 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "2 does not use undetermined 0 48 " "I/O bank number 2 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 48 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1571926280165 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "3 does not use undetermined 0 46 " "I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 46 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1571926280165 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "4 does not use undetermined 0 41 " "I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 41 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1571926280165 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "5 does not use undetermined 0 46 " "I/O bank number 5 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 46 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1571926280165 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "6 does not use undetermined 1 42 " "I/O bank number 6 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used -- 42 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1571926280165 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "7 does not use undetermined 0 47 " "I/O bank number 7 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 47 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1571926280165 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "8 does not use undetermined 0 43 " "I/O bank number 8 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 43 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1571926280165 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Quartus II" 0 -1 1571926280165 ""} } { } 0 176215 "I/O bank details %1!s! I/O pin placement" 0 0 "Fitter" 0 -1 1571926280165 ""} -{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:03 " "Fitter preparation operations ending: elapsed time is 00:00:03" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1571926280222 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1571926281718 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1571926281820 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1571926281835 ""} -{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1571926282259 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:00 " "Fitter placement operations ending: elapsed time is 00:00:00" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1571926282259 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1571926282535 ""} -{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "0 " "Router estimated average interconnect usage is 0% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "0 X0_Y0 X9_Y9 " "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X0_Y0 to location X9_Y9" { } { { "loc" "" { Generic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/" { { 1 { 0 "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X0_Y0 to location X9_Y9"} { { 11 { 0 "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X0_Y0 to location X9_Y9"} 0 0 10 10 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Quartus II" 0 -1 1571926283592 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1571926283592 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1571926283654 ""} -{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" { } { } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Quartus II" 0 -1 1571926283654 ""} { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_TIMING" "" "Optimizations that may affect the design's timing were skipped" { } { } 0 170200 "Optimizations that may affect the design's timing were skipped" 0 0 "Quartus II" 0 -1 1571926283654 ""} } { } 0 170199 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1571926283654 ""} -{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.22 " "Total time spent on timing analysis during the Fitter is 0.22 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1571926283670 ""} -{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1571926283738 ""} -{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1571926284312 ""} -{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1571926284373 ""} -{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1571926284981 ""} -{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:02 " "Fitter post-fit operations ending: elapsed time is 00:00:02" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1571926285621 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/output_files/four_line_to_sixteen_line_decimal_decoder.fit.smsg " "Generated suppressed messages file /home/timmy/Git/Learn-VHDL/CH6/CH6-1/output_files/four_line_to_sixteen_line_decimal_decoder.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1571926287372 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 6 s Quartus II 32-bit " "Quartus II 32-bit Fitter was successful. 0 errors, 6 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "533 " "Peak virtual memory: 533 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1571926287648 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Oct 24 22:11:27 2019 " "Processing ended: Thu Oct 24 22:11:27 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1571926287648 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:12 " "Elapsed time: 00:00:12" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1571926287648 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:12 " "Total CPU time (on all processors): 00:00:12" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1571926287648 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1571926287648 ""} diff --git a/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.hier_info b/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.hier_info deleted file mode 100644 index d7345b33..00000000 --- a/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.hier_info +++ /dev/null @@ -1,61 +0,0 @@ -|four_line_to_sixteen_line_decimal_decoder -Y0 <= 74138:inst.Y0N -A => 74138:inst.A -A => 74138:inst6.A -B => 74138:inst.B -B => 74138:inst6.B -C => 74138:inst.C -C => 74138:inst6.C -D => 74138:inst.G2AN -D => 74138:inst6.G1 -Y1 <= 74138:inst.Y1N -Y2 <= 74138:inst.Y2N -Y3 <= 74138:inst.Y3N -Y4 <= 74138:inst.Y4N -Y5 <= 74138:inst.Y5N -Y6 <= 74138:inst.Y6N -Y7 <= 74138:inst.Y7N -Y8 <= 74138:inst6.Y0N -Y9 <= 74138:inst6.Y1N -Y10 <= 74138:inst6.Y2N -Y11 <= 74138:inst6.Y3N -Y12 <= 74138:inst6.Y4N -Y13 <= 74138:inst6.Y5N -Y14 <= 74138:inst6.Y6N -Y15 <= 74138:inst6.Y7N - - -|four_line_to_sixteen_line_decimal_decoder|74138:inst -Y7N <= 22.DB_MAX_OUTPUT_PORT_TYPE -G1 => 8.IN0 -G2BN => 1.IN1 -G2AN => 1.IN2 -B => 10.IN0 -A => 9.IN0 -C => 11.IN0 -Y6N <= 21.DB_MAX_OUTPUT_PORT_TYPE -Y5N <= 20.DB_MAX_OUTPUT_PORT_TYPE -Y4N <= 19.DB_MAX_OUTPUT_PORT_TYPE -Y3N <= 18.DB_MAX_OUTPUT_PORT_TYPE -Y2N <= 17.DB_MAX_OUTPUT_PORT_TYPE -Y1N <= 16.DB_MAX_OUTPUT_PORT_TYPE -Y0N <= 15.DB_MAX_OUTPUT_PORT_TYPE - - -|four_line_to_sixteen_line_decimal_decoder|74138:inst6 -Y7N <= 22.DB_MAX_OUTPUT_PORT_TYPE -G1 => 8.IN0 -G2BN => 1.IN1 -G2AN => 1.IN2 -B => 10.IN0 -A => 9.IN0 -C => 11.IN0 -Y6N <= 21.DB_MAX_OUTPUT_PORT_TYPE -Y5N <= 20.DB_MAX_OUTPUT_PORT_TYPE -Y4N <= 19.DB_MAX_OUTPUT_PORT_TYPE -Y3N <= 18.DB_MAX_OUTPUT_PORT_TYPE -Y2N <= 17.DB_MAX_OUTPUT_PORT_TYPE -Y1N <= 16.DB_MAX_OUTPUT_PORT_TYPE -Y0N <= 15.DB_MAX_OUTPUT_PORT_TYPE - - diff --git a/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.hif b/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.hif deleted file mode 100644 index 50a600a35296325b741c7c7f04e60c15c8f55a86..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 414 zcmV;P0b%~E4*>uG0001ZoUM^vZ`&XghVPN~ACP*pUDySj5O;U&nzY%{WNkL@67g|Z zkR04$T&-&N--n$@t+Z*1q6rWj&UxY0b8b5sV%OsN92YE8E}{xT>l%+~k<*;ui_X;s zQ_e6Yr>r<-gcf-H5q|@Pr^dxpis%?IR-B>LZ1%F2`#GybA1~kmYKF*uRCntek+RkG zZYLoZet{(8StRM(e_ecHim$)AZ63_iN}h-}jZQ9BPJ zHllSuBY@w<2DIDCy5AcEAxYZU1o<71QO^g<^0(w&uDv}@bb_^PK{(%nZxiGP=xwBD zGzjZzINW}&j725Uj2k09(63a!agey`O;7d-Jr8$VsYCJuKRWOl(16>#K%b0gQ63GH z9s`sf2z0PEz~Y)nqd+o9aK;nPL6tB9#u+lx}9C^(g7=Al+yu z8Y={QDTJpcDY&L&mH?G - -Hierarchy -Input -Constant Input -Unused Input -Floating Input -Output -Constant Output -Unused Output -Floating Output -Bidir -Constant Bidir -Unused Bidir -Input only Bidir -Output only Bidir - - diff --git a/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.lpc.rdb b/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.lpc.rdb deleted file mode 100644 index 45b47e5f2e16cf9ff5fed3788e2a3eca5ffb8b5c..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 399 zcmWe(U|?9w%?KnJ7_xxS7)Xbu78Pga=P4K(>lx}9C^(g7=Al+yu z8Y={QDTJpcDY&L&mH?G*ff&Fb1EuGJ&4UpAP_YWeIsLv|hYSQ-XZLnJcz-GMT#};* z%iW5GgDqA8YN|8!s;bqOO?i3E;nSq|zHw@+SMemTn*8S7zQ${Iibh6kn@lG-v%k3< zVJ@t!zIkfu|L0R)s}|@MxymcvJN>cW622)C9cv#+Kh%FUxqQ~MSKiVM z6Q#~hKO}GKzjEhC%~0u|;mK=`?W{X>)$QH49=^pZdLp-XtrGZ elj^7Ndo=S*b_o=d5qk3Sxg38eFEF(J{|5lavY6ul diff --git a/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.lpc.txt b/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.lpc.txt deleted file mode 100644 index a4638048..00000000 --- a/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.lpc.txt +++ /dev/null @@ -1,5 +0,0 @@ -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Legal Partition Candidates ; -+-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ -; Hierarchy ; Input ; Constant Input ; Unused Input ; Floating Input ; Output ; Constant Output ; Unused Output ; Floating Output ; Bidir ; Constant Bidir ; Unused Bidir ; Input only Bidir ; Output only Bidir ; -+-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ diff --git a/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.map.ammdb b/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.map.ammdb deleted file mode 100644 index e93ac1af1fe66612e97c409c5531b892acdf5bb1..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 128 zcmWe(U|?9w%?KnJ7_xxS7)Xbu78Pga=P4K(>lx}9C^(g7=Al+yu w8Y={QDTJpcDY&L&mH?Hqfb}ylltF1GC=H?-pzI39q=bY75a<7Y5F5e*0MIuV4R5F*Y(F zF)%MOGcPhQF*6`jN+4HdVjx9iX>@6CZsrOA0000005t*t0000001*KI0000000000 z00093000000C)lHRLe>OF%+%u=R(1?Zrtiv%1m2#V!;YUU8vhI&ZITinUrY?x)A(O zf5L?zzUn=3Vy2=XqOC$NTrxR1_mPuK#&fycD*5yu^HJ(&KJ^lfOXRST*P-v@;(+Q7 zYQH~ScbxQ_B`+1(!B%B=k8MCvAmzM4aORrh8sw?l?P{cK5O5aA=g5niw|{iVHda!| z)kF>5Q7l*`onJ$k>c9fhY3MO!6?I=4LJ6=u3q7PP&jvo5KwA<25CUBrc$>0Z+bSWt zFV6-}nTnQW(z~|ey{Tw7G?NCFENjx_vMe-V&U1{G4`u6$2Io{p+)*NmE}R{qoErC& zcweM;<56ZEIe@n8MQ={hpgo%N+==Ywo{j#gf&IXnUbt6&K2kJ z>pbLvh!DsF8S-R3ky6=62!Xbsw=vA$V^~`zKlPK4oit@|w&{1MRD;Jn@f9^A;h?X=xuOE3Re@L%s?WpI#l_VRRXa1o1fXpCj_eH}8<>HZ52`xAF(||{ z#M9r8g@FYq1Op()fcTktC9DiGFi{{4l86A}c$dt)?9$x$;*u0AtE9x@%w!<5C^IkJ yCOIRqNC!n4Bobdzl$cpk43@Ri@pbi$4-WB(cl7b`cXkZ%4}yp**Z}|l0RR7u@l!AW diff --git a/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.map.cdb b/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.map.cdb deleted file mode 100644 index e5beb95df3a06497b229ea635fef4ee6009c383d..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2837 zcmeH|`8yQ)7ssuQeR7eqWJrm@(9p=%W~ih^j6sZjn+zAt*rq{AmT9_KlYMf@SO$YI zmbhpkNtQ;4>@$)HBinrE)<5z6;Xdd2ob&nNyx!+L=Q+=FWcm2`D#ZDDo{ukpXHZ^5 zc!z}g2Vp?^P+fgpJ#nsggJP3>fQ9B==O%ke)?-o&i zcB>}F%p+GWh>tF6EmZaL4R}~Y_1=E>7bVSA%}qglqn^&IxeH**&0Xi)^Pi1T4y2Y( z27PiA2r@96f~azAabLHmKpa~>H3T^^y*_DM^`7j8ngWUz1>H{tRaxu1CcX7;>DMu#m@0Wa2Ebc`y1XiwT{ zjdA%IDrD`hsZBJGceyTuQ)M$O^E)a_MR`0`tqtPlu&4CW7Aa;; zzUuDqQ3%m4hWu+%o(d*Bbko$1FCHkNf&&D=ymGHr#7EOlTk-gg4+}R&M|ixu|C%N%DVxXhgW1~x*x7z*9#1vclKrpU|EBx@v<&`i+K>%J#b#;f_1@af z#f`~2^NoI_FP3|o5+KL?iaA}YPsr(?4=~)L)twJ)^lF2#N~+QGyVdni`hn&6;j;Ye zFcdNn(tv=6a53^C_Q3M8-49FCMPR!gxcjD&F&R%Z)JZuCUsBl9;;(q&VQ6(l8r79sjOJnD zA)kKC7@81DeMxyJ?;(7XFKuts&ml3N;jV+CV;CE>g;CUjI20Mt^O?L#M0|6?1tPk(UyYrbuxdRJ+cs(SJd03YR&yX0hM%dJ#?PRoUzC;?wR6$yuJXJ* zXWnZjO}C|H|L{@C7ia+BoK0scZ4|->ttPa7NuUqxFkPU*e^AAA3UWK+L`{!2==!)w>nd9b>Ih6bL><;>AO%E zrK-o=;H*J&i>asLwzEkTpcY+USBsyl-vIh>I2`jQox-!4WtUneR5*g8H zPGnA1jQXyyIapM+?ssH~}e(*O=~x$^m8t=V6oqe&tIzs7e;FH(~Q* zr~IY>>Wg?qoa0q&kl;d$lt)q9kG%fqYb33bsL78muJnYV2^iX}e52KoIW@yf2 zAns`f4rJ1}3yi64LCKp^x~duDl|SH98|Ng(ESk15;hyP?Lp|k+7LI>1F7H-y7X};R zZ!bI70ts)QJ7OaM=W~{ayKvu6^G-jP8_pk{X5%MwR<=hYkX*5QdD+TI(6Y=B#a?#2cH#nXcSbCxx!GII9pQ#O!}SE`s&Oju+pbyt7qF-8*se3YC0nE4;qrWZ{u(=$WBq61Ud=aZZ;1l9f#X6mPCz z&kAl~=O86aGAtu(p`v~4yFynnj~YJ>mGqDFcipIKRP_Ei$#g4s4;T5f&{uazD3 z{l;i&J=4-%d?r~I*@`>1FMf8GmLz4X&>*v2{qAI8DD`mDW^lrBkTz&KI8>q52IFp- z_}1zAemW_o=SGsP1Iw+8R;Z_Ey|)87?Q_XD^OH))^?cR1s zXMoD#eQVD;4;5xLXX$$se>wLU3lKYt+_iWkdk{w7S&dJnBeRK?q`9OCiOb@ycXUre z^T8Hn9UYz4@i`7!Z~RO>JW`ueLWs7`3Ax6EovH8=(<(x8U8jdCYHg0);emuCG8Zi>`qH)ns9*KKR*Dk$Ndf;3u?_VmJn1BWb$oCeXfs%k;TQ7JgcD82sWkbIGuz<)k;Cl)C)K|}j-!^^(|uK99t9lHOOh<6cFCvqV(`N5JPk?2qj)+f5bjTCrgJ7 pPLrzC5zsP`MjgGL>XY@n5pXU92ElacYPJJYMPcZ@eg7`({{T7{V66ZE diff --git a/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.map.hdb b/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.map.hdb deleted file mode 100644 index 99818168c734e9a3f51881134e37f71f97facfb5..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 10125 zcmb7qWlS8-6DaOd+}+)w#T{DgaEC(=r?|VzL2-uy#oZ3KLUDJO0;RZ>!{PDE|HJ$C zl9wIJWHKw+otfP%J`4;@4La;Qg@LhqZ+!1u$I8{s*4c@ghmVtolbc%F!`8u)nn#eA znunWX`1Ymn_3`B5 z_?R1AqheupB~x`+P_V^ESb|4fe`H$uKnU!d#e&b+y*K-Fw_r#!%o&C=N*x{O;qu+<_25GRVjpD;`#${YzVOD_zxiS$gV; z2n^)J5OWvm{@n_>itdKxBN$7)T6c4rGzs{ekEHfR5hK-9`IM7&IhmyG@g@8AV{4Fr zCn&ByKBXbyCj=`o^sRD5btK0LS$m3bu+>I6x(j=6S5kS_6((8jph-cLe3BJMtBHg1 zNrTG0-yi!1M}+N7+hVY)qA~cbnI3Pty#ldfVR9wlNf7(`rjdO}6^ zZ+g@XlK(%7aw7D9KYTCAVW^&ojlH1vrAfCDm;*NpDYVC^u%bm*EDMXiRYdmmzW*=p zVvBIYmlWOIcQQ8((%hfA3c1y~WdZ&!k`Ul`fJoq0ihX;byN1RVNyF3@q&TG zSSfBo=|%Eo*{T9xfH@!u1aX2!U|pA7?o@r0ZE_Qxawt=ki#vhqUjCB2Yqs)b3)lQ+ zWZIs!;;;E>OS8*e zO8|H-TjQ?c<1r=gq1MB6#ER{xhgFE1rV!Q19f|38s7Wyt&D}Zu%bvQ18v3a!)TfBp zYv5`5!u2%S*Aczx&11FJpbdpzM{$C?`;80Lu_Y z^q<#|cpBB?9dPM#ekuCTTM72e2$~IPafFJXCKZb5J9+(TGM;eDB5pSd{V`DMi0_e% zOmO>-9x3nq9X(Q!3GTFtQAYbWR(xwl^u%>6IjGopGZG0_$A@Dv{#fj-NbPTmnYZGTbzJWzevw!Zv=UvI&Ol>JyNa3kC#x7XaigIgoB5A@L|RoZKYW)*KUjxFpR@ZPUzI;>;b8aBQi|eX1~s|R z{F3TGS--UQ*S=2vX=&!`UCN!ktm~TFc%n3^=an*&@fq)iG|Y?nYr3xetB8FfqS0+q z)13^B7|G5L>61}zQ(}rCWI-W^eBykPf^1nSJ6x~G6)OisA&p}~ZpuchZ1_gjD@{9v zd!IR@2$gyIr@Rxym>0Y!w}t6N?2qRpJnq6cS*v~nhv7)t)7PL!`gn{kFMeH>V{rai zk%znRhb#wEHwBCJv2-gAingMB6{8ip{1}%97jnLz=?Yp~1ritsgRU0tHLacsM{acZ zSRzVB5rRj4Q60tyqKbD;I*&0-2mKXbQD3$Z6O>Gl<#Wazb!-C zNqw~MRZ;fN2yJVBc#v|ZwZ$t{Z1t>F+U9|qI83$LqDb}0qAFdLDz1Lu*SAqQEXJ{Y z9nKWt062n!M-v1C@w!1-Vb#nr+e2S}5n|22_EUjxlj0Udr~Huiv9-k`+p_7Xf0_~w zC2H2q1Wa9Sjwcy?(W2u|R*05YseQ8gLS{Kt)#2h<3);9(c)hFLFV<{u7-gA3Qt`XZ zu5=KQB>l*1nfgNte&_CiCU~A!v-u-IOK-fPUW+qC_Rw17`Lcc=9;g~{x)DQ=VAi`& zu?p{o-LM}nvWrxtD)E^sTvm=i~o<3rQcWBJiU><~sI5mlC&FcpbcG;crQtJEcoEz{$*9-OaQO+go--$i}W{PO?PEkE|}i_f&h+i?xmoLbp2VnB_5fsW8>tg?0RSfUdf(Vg8$3?2_$hR%;Ap8+wdEtU`JWRfZ3-~#?L!WDxbY3 zKWu=`K}TnyqGBW!yt?uA6Gs!NnA(xWy}%h0Al7fSI!edH zLz%$Xhy43gmvrh{3m~)zRIs};?eg$X5eA1rk|K9!JtB5X;qaXto$cAG4Rvf-_*(=7 zIGpWlbZ`7qBJ&iW3OA<5GYcs;_-@K29{mc*prhm7bMM8Tmp}F(OvuG5QPIh9ae(b& z0G=$aLY+DbjC(<}fjXLTs>?T7=d*pYM*gd1Qa zSe$QmZS1}v7F#>lQSPacIw|Dn(PCqonxFhy&M5z+mfFoS9#QC6{MXnsu_$FE4QSLM zH(G#hthGHA0ZPTz87Fdh(c&Ok{4HbbC|KJ(^#?tUg`Yr_YxPmwiwJ= zsXCX4i*cq^;necio@|=gupSe1Wy&hbskJ7a(`9hkJleGABi;Q}$1qM%%26n?aP*IjwTb5l{Z_25UXVC+|Hyj3+Gd zB@t~SI|?@4`f(iF6~=)<8bs$@f}IOR+g`}|F_S+7D&~4xR_8sZ8Wy!HEXBix#sMHVr`J!jvWrO z*9nQc+y;FS(=Our0?HuDk7kzO`6<3md#MhMVNI-h3q=XY2hT8Zz7@z{eiEe4IREo- zJPgTE`<7~Qc+u8iMke&x_~kClt4E~mKACa29LliXJ`?AsR;h3`;iB@^{7cEunB$qj z4m>;WlVs)pLc`7I<-RUTfsMI1+hn2jEXtlb)O0~5vrb@Dw(70<)?T1L34GQC8AN^c6iMs;r>D%Tl_Z$=k zS~ry)f=n@5vL=ze4u$hUwtUl0d9XQ+`Ot*xiXS~tXyKdO1NE82vTwpbd4uAsTg~?T z3#btg3KsP^{Oska?cxAf1i(zI8TR{B8I4C2K^UbX#sP>lg#poTq1mBt4QE%t+a_5WDXuR_Sp;a%K6|{Q&1IP^zY#DYM?;jb@E9SJ}_~(Q@@Jp_|QDQBjf20gF_U~pAjJjV55wyrj-ln+O3PLEfrHoAtnu}N+#Ssqr9nBHZC4tb!6NuQ^YOSl#)kE< zFc8!)i+@&Fl53>;)7fSXOg=MU{2fDf$iN{MoD;ibBP*n;`8PzmMKbpoyn5ndB zl;G{+BPBUT%k*1^iMEfwD;P2Zy$1yzOtb5Ss@bGBL+;z1RdA;k_yM8J9+@323OkkG zodhtM<%8I+=3$CV(BPPIv&&!CUHx*6Og?;!(H)S4#YW3biW<8uk6a0l8hEh(ZPA&s z2%m7`lq(FIefX(0NuvHlxYuq&X9DS;&)cDclEj2N3!h?$&$sn(Kf<49RJ%~_LksNm z>0x}-pf2^harjg8d5(cB9rQv%YX2B*JTf3D^Gr>RHwL^Wm*Gg^-ch>m$U)`bf4GdLRMR{8 zl|wRP0~()sukj5=WV;YepSWiC1doA>bTjE|1ew{d+1b}jEEPsPSFLO@<{G}IxF`o2 zZVOru9cfV=I<2%DgqoZJ3Y2zO76*kL3$b7A4KOL4SnLiuvG_gK7dF#3QLl)jWWJl; zk2ebo^JfhIloZvb91P0dmzO7K3YHMk)cDYbgZmM_f~rVhZ$+ss^;bc;_V1BzF}+q* zzbet#iX!NB!jIPx)SGPuPIu2U>=ZwHrzRk^iX{ie*z>fi;K>H8Y31G3xQLNp-mbcd zSj%3O9eQSDNp*WSb|f?=eDd0^^gw3A7I15(-O#8E0eHz*`)REz}Gr zT=57r)xpQLpY6)Gar_ZiXfJ8=+ji7!E$CRE(~j^KHbA6wQrRSQGwdcsX)Rs+mVCJq z0Q$74P0Z!=29W5r2ano;w_?94xfI+j;wEdy`U=j(dK;GiO7%oR&l{mPG!Gj@#-&p9 zr@jjLPgqG3?;AxuI79WTOB;RrzZdBG8;;Ahhq}yywVbNL;*%-LE%(v=u2f%EIos0o z6RlboGA}z4oF~rQ`gR@{spVT{sm&<=Pft!O1BUXnP&iPqmrW%>-%GlHR@;7@a zIo66&PJCp7frcEYbk6i>JzF2e;k4nFEbNUWnIlD&2nSzzA}@XV$_$-Y^AZO z9P1Z*m*fEY8s*9U`bpO3b&Clu$OWU&n_v! zU#N~`pWL5qRZrNrf!U2w3d7yJ4(=(a?*o*~BUu);*vASB#gGIgmuo$`aH zNF;pX0*6bdj3iA&mfSth$LZ}O*k}o}fs8e6z%-^SHNt?}yP;$h_ zI}_)RSV#yM0H|o@G*V*^Pl~nd+U5S_HSs#v_gQC^Y_W%RBXWCz{H2CYok>lO~X5 z%aP^Eqe6<>7ptDv6xZZTz+Xxg>LtjZ^0!{km32FcTRXXy(?}*(r*YImzkC3^vWAJl zXLgF@BgU>7s=4^V(g05Bsg@S~Xu#i71QWznizQtXL`XhPnTXQa*`1Gn>LEsidolSv zK$;=A(w0XXmWM|Cs-a^N7hsYwbA!}(&!|{h#kQG2VpLw-Y9OP??y!&W6#9&u()^6Y&qB=14uG`{db-N z8wbfJyd?bo8ygrnCbbjgTowJWZZ)w@6Sj$x>F~8%bLi5#?;f8NR9jiMGaf?HEy#68 z6|eLa9pw^)tsR6UJ%bpO)c@Zs8Nyyvko*jg{N#~}n>t?tXsF?uD44@2P>Cft6igNC zCNLX2Jwlflj+lWYe57+JITNw28?$|*7&UjbM}$xuze9ZQ*T`WxId z!naLeFR=(Zb0A@L6sW$oTICv7a+WwF%}~yD-Ev~hsy+jDNC%_#Y}~`LdYrWGLxopu zqMcof{=O*AvHy1jPeT@SC>O8w+wlPD>2h!ZQoJeOz5zgdd49IwYzm5D-Ej?3dbk0p zxOOV%OzQ!MgeTz%Gim-w_0f{A1EB!^v7Otm{}H@6^QIxi$qW_k>7;%WBt2O^%q*@9 zo&kvjhDrTe$eRzZs^eU|T-9 zLBBWi3ci;M_0FzhVXLo{E%!@JysdWS+wIQ~($r%#hy|e3h!f&k7ti)PbcMFVT+@A6 zyOIeKy|scL(7<9skW)m39~#09L%jUtCe|*Mi;m=nEbL%m1{m^CMDlCFfaoA~qSRY_ z7o|16m;r9j06Ur_S|eTTwSz7Ii2~%^@{GS*g4>I4PJ&}8Jh^JanN3k=PuOTkYr}0Q zqVJ1neCd}5ut-j)Ir>-4xb4s4XU9g+(#k*Tpw2R+v9$Hz>hDokp1S+9FmF!zk^n+K z^3a$=q{6e)V{()F1>-DDIHiqg@Y>}D9Vp_14BGC>xF#@bRZTM|jlP`^(EE=93ON35 z!N{j`&I!rAQgV9*y@jFNCVD0rtQ%U@2POB5vxaSQhMgg%=vSBK)C+L~|F!|Q6owp3 z`#!=i-SBaQ``s&lUc=Votl<~@(Wz@{sgp)W1T)He;yCdF>)N^p^ZoL$BioeO+>;v6 zCjX^Iw(;nVcE^U`cc#Nim^lzTAwFS~4$^<{>b92=f6h*OjD938?n!k)|{@)K)5 zX-Bbdg{L+LK>^i80o`R7&1D$XWfFt{C|LHNmB@2D{sb` zGWNdC?iaEVbJoOZC9AQ5vlFXCgc@G!Gu{?Z^rxi%6KXHn2~$G0VlI-|G?~({nZ2EGS>aXg(!r6t^Dyut)*+~);-K1HQ)bT< zp4?_1g_Uli(>&b?U!R|cU!F9s2NB&NUEhw}2P$ngK2&j(^<2K#oH6FD`XIWTxL+nX z?XWQsWgS=11>#b`r1HM|_Rw$@BYlYqzb7?W6>?DWQP)G)YMZCBFs>xU}3{^5pr zWT9GnvHpU7^-&ckfk*G9~xNhdfS%ZYr&FAkA zvh$LqhBfY9*dDtsAuSY8L&E|%x$wR3@?~mtd-9u{(gE_}kL&PsC?MW{luAE z=8%l(>RG4tZrHeg7&R;6xHCPpErCd02P~~jaXGH~PjI)dJBk;c#&aA9s=(Hp@YAV` zhH)6e%`$*t2?4EElrp_(PpOoF3-a_~o##-W=CFQ&amq`7Y8vgPP7I(*uACf@OxZ0t z2(D{s_xn!99OCsaI`eic^L7+im)w6(#~ezCF#-$={`?3;`Pk~QJQB{EjFMfiLw`ey zb1Xo+U~d)MJr(7Fsb3J#P0T$7xPp;71R$TkSUz<+!V7R&Jo%D1=ph+0g@Iv)6v4J` zrDX#ycdST|5AY&{@P3~$P9KR^T!1|DYGxjTPT@)x+}#*@0w`Cxb(2zz;cb}G8}d6F zVZH|8Yx~6p3u=PLI;jP5_mA8Rf>AxDB^82kfQ&eLlilLAn!^ze+J!X9205LBx@&y_ z$kCs#rcTj9_tgdt->U+2ptp_ovgP)2`8b338&x z-Wv}$W1?5}p>tH4P6=3|*~rz%(pQYs)3cDWaMw5Mw5rXccAL~$?3=GTu{L3|*yu&- z@=Dk+Up^o%j{u3rfTkJ!=imAva6_M*po?2gCGsb5hZmi}VD3CAsAJa7#Rzaat-lAG z*xAP@^Mu?euT|AAkb3W4rjLGiUqO0fQ+h*;_x7Biu@n2FSHUKiv>3It7)mM-AW9!5 z#vUd{8z%N%P%wtQ5OcRWs=*F|g|)+lRl+;4n5D406b4Vbd9A5Z`<+ty#p%LY3e!R( zDdX|NU_4phUz+s76z)5Hbq^XCsk)|Z5jry9raMFIb-X+e$TGN`1&`qxZrpe&0^j>V zBUWoLUKLp7JV{&ag-5k0P0x3nKX=Jm+4FDUy69Ihk6(}PSL&{lVJt8`8v+?KA`J<# zk19{>gc%(D;$J#~)s80<@Cr^=iTf5pQ#LPjs)#udSVjDx!gH<4b8Tq8Z){F4A!FT; zJPY;uc?0VydUVf;bG%8rP$NF}D!w@^*Y&Cr{#csWxNYA#uduo;{UQ!<=RGO+CFFx| zRWHCR%qJ=|fkDfT5FY{lg9?&3bSg3uy=>IvGD%Mo6+&?fp@fA{oI)r;Ar!BWFdFa_ z2SAPnpoidk{|ceC=E5z+dWUot&*(TA$du-9Cg&AH-_4a^fP+aQL+~+wGY?peG9*jzR&m1{0Yt9UW z&eH$`Hrx0|UQ7W0pA`~S7{M7?emb59mmS63SKDwTR1~DgCxd>UH7Yxcw9V0<|2g6u zclIDXwygS_mIiSjKIX68NiqCQpn{S2GdQf1NWFT*0pbJ}8OFc7FRB>rLO1O~0PVsH z?E)R`f`ue%d=SNi3o#_J1YC0l9?x0JUdzeJSqoL8{ekJcjka|#N*q~vECM%ihd>-z z4BwxG9bl5|Oi5;F@((YTok&Sd4DO)n%GE1SPS<%DQOZukzQ*LZVRki<@8a=@`F)dJuiMW8 z?UHw?C^lrm$umYIF4WlNbnSq^qKHB#K4wXf(4vSyJKj@Ai)T$={g(|$j-a@nz$ziK zyvr9qoJKwK3nc~4483<}(($6WXeaSD?iK^qCxTuyvw||=KR##@OVd>0V#N~U?fb){ zT~jxMB{vStH=-2Dkq@AxLoJg-Y@X}f(8gyLAamjaQ_^7#Mrp)~H)9bcy9C}7S%Z3p zos$FJ?ZJ?!PmWcG>V*Jf0+!jcvUtZ9JNlmUZ}+W|jG=b#s8Mt_I;xb6laKLHHSy0A zJ@sae9=&h{hTJ~Ok7s|yh-N*TCw!Cca4_tSf4=hEy|ls9LK2wdM>?<@r&y}iNo8J} zf^OHo!r*WHIlPnGDh>G#c3K14(8}zxmn_h{%uG2rDRwyAwmsVxW%6r#9ze@v z%7nw>^tGYo2^i`TuX2-A>4YlB(0uR{Zde;54I3x`v$o(?LkJ8n*x!q5AaKAOA%qzB z@XQTk-8En_{emY6Y~w9IXUJ4s)uY8(Y^sgP#(J-s+USyaYmR3Qr#odpvX5-#LMNzZ(sy(oOz+Q8z~XcDJ8yIcAP7=z8LC6xMwB`>7V(B^vFz8$^;jklwUSE*C+96nd zq_>LQv-vD@7%7rW0jKz(=9iCWWMLuyK=ZEzC>$cPNp(#l-FoSb{`An`58@-iJ`CZ#B=#YdY+8u* zCj6hVx)#mQN8G(}mBPM$YO81y4W(kC^VS%|k5Z7C{p9|v=Y1LF+1&S?Lu>a(|IOQv z9#(}xpjtP(Qh%WjlI}EvX{Vv8LkfZ#qRh^l6sv_WgVWRJsfy38mR|huOrOq6J?0eB?h59Cw5k`OjgoR zJQ#NkWe|G0bp4U!_{ygm12s1M@uU3*?$|eRvEpr!e%jap35hpHLC?7mZhD;dE%vUQ zX>&q2mwSyxoy_Q+9Zzf0%1PsVbVJcJ;YeGOn7n~Kc9b=0uMeJF?Jb>m)+OPiKMi4u z?N!CX*e!{uw!%KkoLDaX1q@Bd7Q!kK%lG{ut2_OL4wE0pUQ#k6y_l|neGeTqE`UoX z{Ej>V0>)+}j*leFl-TPzv7CP>7x^q@Ou^McSs(II&!oWx$z**7fgd&GGvx)k$<$Kl z<;mlx8%vDQC&gR~%tU-dNwJ;TuAj_5xwc{h6T6eN($4Hm!Phl(XFU|?FK+6qlS3g)>` zgCsS8D{PS`R7dB$o3pUd+eXR?fO@k#o-}MlKJr^?G(lttHM!vXxRj}_-OXH&Y2c^4 zr+EcvccL|BWWa&CZ(?2Hyv?~G}O(wEsbI*YMc!Izi;9sm#XfxfC|Z!J6NpIHSA zGyyxMvVpOxa2!$RTo0CPnpo*Q21=Q07WGFN2 z=OzrnA0sH&tbax0Mo5jaU=d73_9i8jbDV^+8HJ?lHec#FceZEAP1fuS{NAo?b%i}^ z{pbV!TioEwu!-;2Vuj<+Bxk0$^+@eV2#3qNxGKkuvb4_33UH%H}+7 znem{H0%n`>MG?8F@`7)uG0001ZoSl(NOT;h`fbabkL+-Xs3!b(;2zyv>BIq%sn<)<4CUG(q z7yR+I27I7`cpB#MeKRn-QxxL{@OH*djbULkkw+uJBzhJ^pL2&tn(eg3;E>DNMg<%D zgq$e&PU%uBcw8*Yl0K?8xd4X)w9Ump0(ZFux-E5C!_`8Q1AW4R5F*Y(F zF)%MOGcPhQF*6`jN+4HdVjx9iX>@6CZYv4^0000002KrP000000I>l80000000000 z04fCl000000C)lHR!MIhMHHR^1fqiwTR5NyJ%JP)7(4DUisalJ;~*nD42%WhG^**Y z9#>RLs}?8Ci65L3f53cI)w6g3A#noHQcW#y|K595^TuE>cn4$o4TpmQYsK1`<*l)P zN!Xl+uVMEd#zz=$VnFI1rUT7n=Y*sCH0`uM=W#s^N-^7keB*l;CrKA!5y!t~16SXM zzbWz<#Tj>A=mmYt!h*X*x%M;s4F_AdjB9-VZ~wyx#J)kV#D+WJ3nuBA@uK3lMLhf+ z%!j7!tXQ0T+B$qd<^F!TJ>D(%=s2d9$>waj`A#;l`QDH$aRraLmh zx4}~*BzM$Uqd>uFLoh&%v3y}-w-j4KS2EObUGobdL9I0l%an>o2EGUtWC}1Vd$6`q zFy~AqnCCUsrV5H{pE)5XG_0t+jiK

    w$;bI!*uCk(y%yh7@oJZrMCGMw4EmFhjX%FvOa)TLOX1rP3N>Q=7j*J0Pu(*4xNi}73d|WOYy&DJ7e%oNZBAXr+>v5BG_3N$ z0?T=~j3Xu;TGCO`V-c}M9k2jTtoSuzFPyhx9=x$`hiD=>gF(w1R7j6;u@T}bwB%?L zY^u4MdnTmYDTagh(G6$Dqd62;#&$G^!K)n-U#QH|3caSq*J$v;igqEa4^VT3=hfxq z<=`{$9H}|4YxsW}q!-8l+}+=XE#fkzbFDY3O|N3MIXOAGMoSET@d6@Op%=Li-f5^R z6k`)4ZPVF#pzl$S-ca*zgfH+rq(rnqeV8jK8LcuTjd*K zYaiEzp2&Xy00960cmd*OU}WH6U|?waXrs#rq?v%28;FBkoZ^FA1N?(Rm>9MI`3HHd z41g2^3lPKP{hhpAokN%zjsV5J6q!5#=?7sUAO`7o^Y?La4T^^-V_`T06h5o*u?D70 z5~?gX)YsQBC^A08(aFaZs+yHy4^WoRF>x|XwIEcrkE^?*4^$N!!wI11f=%m>0x7W7 zFjdHAu`}=hWz+Y6y9!bz3&b!7LR7i>xca*Kg>Wzk0HtI?@{h^@X^^!r2SStuhXi^0 zxyM6Qb297zs(A89Z#T?=FhkuPL)~42p)TZN0EHTJ(#sXBK$;DRC4d+dI8bFSjvY z>X!r<@N*ErOZSWZ$2nWR+<2X(;hs2aZ&RQs;Ux4;ASi;L0o1>9QRtQ3IVe21dshdF za(vGoFLT@ro0XGyYQc?`DoY?}>&|L6bFo%dEU}uI5OaBBF<^>PPA}=Ha)MBm?es8; zQbG?4WzSHOcc!Iv$*L4Y4uJ#LG;PdCJ)bMjz0cITXxUuh?F9KuFM*df;yPtMyD^6= zL>J<*II9E#hQ6$gXQ~3J>lF~ByPIbSZ=M*UPVOdn&NN^eDH(Z{hKnM^LJvQ>2&`Dk zs{Hl3ki+(lOz5lE2Ya##J zg-Dp4s)-Zfh~Cmq&yvz{OY6d!e|jw4M>>=PZet)WR1Nhx(6?vwT>Cgvrpn%ndEXV%ohw`&`mNOH3^FPPHTk&kmuE$@@ZcXMc6 zv?8{>*a+#~vV)s@q3D8z1G%ZWiAa*a_|(Sk2vH%Q`p$@(+^5qYDrH-^5G$4PT^yC1 z@(|rTH5)L-MMIKTk!o^9hLO+&NlH!7C@0G>EpEKZq2?yI3?+(f994dtOqqNWxcR=c zTD%T1$p4zjG1KxV`97+W=vZTZ)*&-LzOM)KU7N!If@hr;mvoM}O>9ty~>+UIZ}BLgQ4aCUK?lc!9prq^4GU1>k=FF2-GlP>M7 z{`=-H1{q_N_E{>)e7kjWrDxVuYZB}sPJ0qoPF2go|g z<&s!$iz_YbtF(lHqZiN5T}ianN6Nx|P6<-a7%~FOqRj4|wK06$_MsAanN`!lx<&J| z5j_m8#kNBQprNdsa06=BwL+tD08U>t~5nCvxk zlnFW|^PJ{^kp3sM1|OtRg~AOzEG~*xpn@+Q$8#{bB?iSuwt?610+{Q5gP2k5$9?6l z-^~-$6HJJC*C`u}U%;WcV;bW`XnlREhI@1?V)0<<)#4XEQLrvnIB4A{Cv#vsu~|)& zxw#=IIxA$Vd;E&a2O!FBU1(T4bSBU{K*0EeG`#J=MDT4hNBQD7UBJ(OIa1J~dGl>V z&ETmOMsY4F4rGB5=XK-`-%u3l=GL7Q>IVf04GS`J##L~yyBcTu_+P^)kua_58brV& iJ>pq?;}944gRL>W)Ks;|N;exkn=N`ksh!4sUf92*W!o13 diff --git a/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.map_bb.hdb b/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.map_bb.hdb deleted file mode 100644 index d57c9859fb24acae9d8f4da98f6514272be66eb5..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 8944 zcmb7HWl$VIlf|9j?u!JMh2Rn_5L|+6(8ZnLx*^yGclY4V;x55m0))jOxLa_$+!2R@AwmF{h7b|MN4kHWXvwQb9~g&# z$zZq$X&<}v&b%Fl@-L>wy%(0n_}si|S$g4$!5al&WAAkeZL<#jr;>$1}}sbLjvEI{{LrS ztwAroatZtBk5W)_6td>AYX9pwo8<5w=Q;#|wyK55XD4E(%79hk|3r=R-H01zh#&5k z2s95h&8wF(`e6(h?x^f-=HaHM7R_}wLh;>^BipW^_U8isWtOpF3LQ?BE)7(s1o6Dz z&`0Mf-~vn)5jb^PdZdpx1-0VQpAOvmd28_6Jl6#4Bc%FB`xXK&`LCUKC6X~rY(L(* z|IrzGxrSu-bp|7I%8Gag$U1tg{*8s%~9W(&J@^Z+Vz3&0F`)@q3aSr+tB29jAj=*XHAhPUIu# zBDk}+^D$#*gTY|$WHm`Pt%e(DlV-~oL_NZe{<@tw+E8@QbSPsSeys(QMsgLXDJM?U zF{}Hm!cCl*Hn`aRK}OQLoN_*5q=T#MolQ4KdSpM4EOLaI&=oKa(qXn^LMLfV2#&}Y zk(NK%&dZ0oPOB&%xM}1mGp}m2@rt2Lr9XspcQyrl!_DM7T<_QQ0!`8^sU4SpVIns+ znRXfsCTLEbkiNb|itC!JB08Gz<%Ow{F`^~YTuw3@?th=g%MuziklJY3JBfWGE?tba za2dBtJ4J)?CO{2uYvL*I21eV#GapDd9p21YSyOJHTi;SlyMWIaNanXq(J~dwbxs^7 zrg};1#r|sqNIE;-Ez|0+eQr3M6DW?xCDlC1c}vxA*d8Md+U0{C8;7-8MoN68s=Hhi zLly-EpdGG|)#}qokIm$~%8y_73BdaYXwa7WXN@!#1z(JY$M<5{raPrB$yH98G4ESF z&%W3B+SG9_$T)byFNI`3NQ%9cC0mXNY|9T51c~{*Sm1cZ+^={pBHLyOk?&U=;d^ZK2)V0A+9>Jn7%Q)_rmu%sU^M8JmwticSC6Exb{rxppF?o2@_q3q3Pr- z=5`VW6SN^E!oRnarw5;i!GT=RQY!)4bIu>gx9Lqun4e`N^VYECd^Vu0O-a)|=3JYS z`&~3;UI5qJ1H)cQ23HSOq(}fMl05?{k}^GM5LaBSKn;!lISd~}JH><jP%cG@t&h z2cok)ApVPX?4L1DkSw4!8oni zV;?OITOL|TuacTp7YT2ym2oQtC;0&@zgXjYO{A~V=*$)GwLjCbB)i~ z@3eGR7Y-8zpnq8Nc%Cdc7JrQeasEldzS`%3YC!~z8fCu*&^itCH_Gzu=;MuYGBwXV znLVnN`7-Ym@W#Z~i~G>DObrXNjKvve;#X$^B5w94Gn8P@uQKA>4kLoqQ;WB{fJj8%y`ss2qo7y*}9=_BCCA+3m+T=DG;8Lq{_Pzm4Q* zd5~s2me#{NF6Q*GC%P+h*`_|BYg1*6l8n|j{^06{cWGA(3SMXaV;z^K}e>nurOv2eSaw0LgHT{!qFg6N_CG~+xQ>)X!_si5^-&s(RiVp!!CZfR*WF~ zca_8%Rr94Jy*FaA=>=jYnNPNM%RY7|2NKuhv0Wb*Zug5_i^UVU26jo>1rGB%ot6-RB;Pqo0>DB&VA-}mg2v6vz~JEZxV2(Yj}L9?sySM=HE$Q zn)(+ATf1%{ItZ{QrovteX)+@)C`kmBeNrT5EgVz}D0KMDXsuyrqS6A&+ zK-QW2^woOIX}8u;v*Xv5`77n?vl!#9xr^F@g@BG_XR6$-)vuY5rWfmqfU4ufb4pLXjG#&tw2`y8WN&c^{dxx7ho>yjeDi~({C1y zXVWdtSD;rh>a*j?=O`5Z`a^&Nq(CEAYETEs-r>}IZImYdh~C6#H_mig=6---M|;PR zme)Jd)CnG-Oa81%F ztqJ3ie#gAWUFA7OYDjx!q@MkSohYjKF+|NWqFE8JptT;_Gtt^V(~2p{g$@c|t_)GP zc|m!QdE9DU8QtOeQ}t3U58DM*@lr;)doj-iu0;N+5(P8xF52z(QroFUbAdT1kdp`kdVo)EdzcJ0>Zuuh_uj*6 zPjp^#5$i5r8Mz}a?Rt8cI?*O;Pdwq;WAvASV0HnP*%)3Iw=5e%ol-%T_GD)sn`ieT z7QP=VbY9L0*Eg-rAt8Ly5V;j)_=4*(_Ng7_h&^1VHMQZUxWWEnWjc}{xw{gwcCME}YaD&nL*y*pT%BYld6Pf~QlnjF?onp{i5_3ekrqLr z&FK}Cb)@{7A9JsQeXkh`t#muN7A64;^Kw4y=Tg%%A+DQmq)TZ(mr+JpptZ z=~ADd@ugrs#vlHago|#j;V-ae<^Aj99C5)HjActdZ-~Q^;9SCL)1kk8)==+E+)1rb z1^Pud!xE?(XHZ#P_m*dn&-%>#@Meuwc2$7_vpr>oevYzp=8XrB71M{lH|}}K!yk1HCn?M*| z2!#F^8B9lBA=!hS$os$k3g%~l=|om)VJh2pHtGwQ*+-K!rD3HdOG(Ujt!gJajyoUd zYI^b!Z|4C)?K^~9UtT{yQMq_Yu&GSoq8A9n>uXgt?K@P-(y1qczYoJ&@zska#!_ri zS9aNrzM~ZDr03-ZZ@7B8{gTVZl*_x&GBw1DXe`N4{llFY{z%IE1afHCWT_;)!7kTe z?kl&1%TVYdj~mZiZDM`%$y}YifuP}lME{zX#0gB8iqZE$E#bbVHxb56M4su2@MIv^ zKjF92q6ZLcU_ox8*LbwuY=wgBAN(30vs0`cS$0j3^6kjP=UUH=kfRYO`#YRUF`R@6S-Omde&BsyO(o-wF!Dt zu*3laSFS-Ub#h04-mLbi5`||n&LbADpZU{!mSYgbO_1VVB;b&l;2Mjyt$k^0DC$*v z*utA+xeU&dX^?3aJ@c?zoFZq=narEZxF*jgEd?=wLQuxns57N&MF+K4oaj-Yn}jmp z=!ue9Oi_&vA-Q;Zks%t?7(J#KqE13rX4+_!KuE4@Z8>T(i*3CH+`;rNlH78_#S+9V zz>PSlr)L%&J(Hqu7wS7=ysXHK)8=Lr5z>oWQ8s3g(aqY&Ep+I994S|gz-+t7(`pE* zd{;f@8o6D0Zpp;jykS?0*;lfbnTc%@j^c@=d}NGT3A=3ws`-W``K`uN71nU07fJZ? zF8$p8-|gwK2tGOj1_~i>C0cg4>NtjWIdtTAGus3jXd(pcmL1m6qiFy110F*tnm$4y z^nH>^V7d`rPfx#qb*iu)$H!rqZO@+p%GXs@YtI|P#rpv z3dXj8V*)F+pgJQkJBlU^==?D3v*}$uCrZO;NaF}hSx&SDU()iXB1KQODTwLR+PA|b4HrVp<%0I6(YGSMr(D{#` zkrwchz*^EW9j@?)G#AhoKj2Euv+VG5qJkQMW=Rm?o#Q`3OO1p;h6dOtZohdUZw;Ze zlFr2|{%#)3{4?^YvR2lcBOrD>!_N{P2|Q*ty@`3Q3-gti>tx_}2s+lfmZP|_HNCOR zVIsPrFx8%(K5@LTspMLsG+A3Cw~uCtsyKUFfG;(6OloKAXuL*gZ>PkRs$f7kn2V?; z{n41BxyWn;s&9|%$&UyEZO$P$a3Zu5SDM1-+W{P_8;!Ui&<|-A;F~D*@}~Z!B|?s^ znI>=hUU4=qY(p~ z^L+^~&ilZOTw(LZFrWEL_!KJlOax(2;51s)(Q~*3AkytcHGBcP^`n_4D}od)rgr24dBdz)p3_pO=Hw_=h2_x3W|KPp zl)rnrAwA>7J^*bhVE_f%l}ir)Pa0C7Uere>w%0DG)_!qn1*{h2H#Zyt2KS2kVcU5n*=+8pF6^hw&{iS zQN&YW9wZ`-i^wwyM@$zw5f2_h+)}YIl*qvjn-|Fav=^Mw$M>h*AdI=-WTYv@8)60m ztl>K%o<3s)tFuO)(U4B2 zKI0T{%`i+aaMAueLj}$gc%d*eUiGQi!0c_abr)(H$M(^Kujuc}GvJSI^ih!-HwoH_ zdRR%|)_sxPCerJ>@V$fgF&RPBm+l*Q%HJ7u^>Xz=9%d&AHVv(k^Bn?flvUN~WL4Fb zpYuODxp6E;Hz1uFiE34&x0jl&iCoUaqf^eJImqc3l07vyw-8fJ?f`XU-3wpx}M@t9BW6&LO&Y{sB>+%|YbW}3kNuMI|6nHxk zyX=oAkZWTX`K~PawD{(OI5(_(?P>P65vyk27QMpv_FD6jQv%J~h|fl9z;Y!E*PBNxCuVtr$8??9Q z%WN_({;HqpxzQ@WWcE6cq(qeZrjMeTx+`*h{pq$-5pBIuw$;K>z4T-{3XFFglP5Nz zsrO?ho#a~9JoSG zbc$FrmrT=o1V?OnfO0u{sw)RMO0U%WTi%aO+V1CmgG?3VMp>2{Y+$7})MEtZWSUjx zvPiA`+u&;_R!=c9tO6Ofhi1BfV(RzvkCIf-JV8CtBsTqDf0!Nq9}yF6^aCQ-XBj6h z;m15$Ld_$?@(<77zqOIJ?&X71Kd0S3vm4oUhPAyfypT!#Y$2}Tgs({!XciqcXmT7; zUOqd&DY!t8+LCXlGF?>7dgZ%l2{`=M7q}95god>l%R#i|R_XJ*P?cPP?V7`@Ouu}w zS${GQQ~|*2DVUE`6rQPyd#gb3dMa2d2`rTW#v6vwwWsa8?0z)8!Hj*4ugsGc8inme zi(aeFj{(8m@!(fP6(IiCBiAN_FrmR_*f|-#p2%T-cf>9BflC z+*ZcDDUA*+Bsr&RFmC`@!tOJnBQ#SGuHKG5aBjG1=R*}-@%@SV@=Sym3_ zh5q@|yDvKt(7~8;W@S>}OMkb$XCPJ1zatR0*qZpdfNM4#{EvEZU#u*CVzL~qC6q~w z>-cFA(uIpGGM!8BZ(miY-c>4_7&yN^>92qBE#(*eJ}Ek>_v=b_LY8%;oMbwXp`=fJ zqHquf(N~cKu+Wht#)gj!4QvycT^{YPQrP62j!1dSzO8BF;%|1u&!x0Z*}X+{?hgUHW)1=t0ogVDo6R0%Y-<>#aUho%}x z-CfX`!1%&ygufWuy4i)|dku7me+sx{gM<5M?8UxA{l5F5qbvRiDG%CfD2}0fkC}tI zoUv?hw58JfnpED^oud3gzAdobEHHRDPt{4|ja!dgBYD9_M>@yQyrHfE>)F4_!wVwx z{=-1=uSRq%SC$)m($faDD=rUKX&t&OF#;ZGQ3u{;ecl>H^iCIPt>g<_pqWSxz!|vP z*YHzDUQt~n(*K8fT^ER#I1_}4A?mhEO7O`hPieo2iN}e^gPWkI5J!Ud|=czn`P|ZkG=+_ zm0aB#tuhBmdlU6EexQ*To5e9nS%^C03;(3&=Tm*>#nhqCd)_lbTfvUY4P4G<^heJ# zOKUB*axXqDSe<*EQyzXL~QgqcPq=!csj zc~7Q`JnlPTN(#27dro?F`6hKIyZwoLgUPq5a5$3m%U!0#5WdlpQ8p%>kM=&(uVw)$>O2wR&(|bViMW`5 zGbW_)04I?A9WUpf+C!#?&I2K#l@pvqzq4!0ph$n0o~ng?AnW~HB$-y) z#Qo@j92SP<;%)xQsc@ichQa69;hK;BjuI7{;z|R9Qfbid?@zC$;Hc6=h=xjrQpQ1H z1WSWHtFwVQV;2MHi?y|vmG)jA6;k?SPBGZMr!gh9n0LWDSJ};sCCjQU1FYoNqK|%N za{Bzt)Rsq0Y7q1VO40ZUXcbF8KdP|mPl;c+gyNkKKyfRyI&x`LYKkUC#7}yizFTmh z?;Bv5r5*}P|7hD*(9h5_r<&bthA)0$_=a#uX}JyMB!O)VW!q*3`&XzG?Q(=7PF3Zi zxW$~}FrOJ4hF}cbm7EqS5GO)WT&qa6kA~xS;KtKMk75U7jtDiWF+vRAN|TEj+S8O& zk~v11VzJxNq+@xzwXFHp!_BD*`qN_a!3yyqd}WP<6Mu_pes(_R;DE(eo0lzd)P+b% znTgZLjceJD&W2K5&u3@A-0y7<#L`5JfcCh5c#W< zq*qQ~bf>A@d6%Z_QlcD!&E5C8*gp1_UpF@@Wby`1dYjp=Qi&)TEZP8x+PqVQ!;lBl zj66+u-gWkde&V3Y1BjcHLD1Ebqw+@*O!Xo^9?5wZO2mEJ7^}BVi`BqJrrzRkcKj;b zoM%dV44KxHw3O`e6zU*#vMcGA&6lp9{w!!%@?SNh^Ktq68Q$lJdq;-3%sL8^k9;y? zVVihIl)Q^2b13@D>7BbsWWN7GK9vFpFNT6kp3*P%YNkG%sC56k7%%=Mhur&+4b}Ng zi9Y-wj7iGAnJr)$cxj4Y?EQNTI*VSncl`|{PJvqG?zk}Huse^>KJCG5<=^^sZ zig!oxy9#+z`AB|KFB03dW%@_VqXd*HU~`Jf=&2z&z2xri?c(tD7wmoRiyqKxX^S{r znsqg!qVlF>4O$v>;5b<%r;3lweH%pIwbHRoKZO5?T%pGe6?a>a{jKJ|RAfrDnt+_> zKW=%m2Jjm~H2$@=2=Q__xR*~Ed@-~*2vF;ww>D>;v5}}21XUl}iFRzY8(fHr@A(KRxXxyxs|TgDH6cAhRl~M;k-7 zyvQ{|(4ouM`x7*;PwQ_5gDq|bpnHqYvJe>wr^3^>F;Bk@x0JCB_$Rq}Yg%keoHh`h0wCrJDt1!V+wCRo ztdleS4DH>U&JNkjo7jERoARsC^LDk|f?RJFiF|?^4`W!y<)T*NV}Jf&*1M0a=DH0U zfudMRTtX)6W2%|TwrmZ*qJ7zq*QM%@+zJ&G{@_mMfOI!d0G;oINPE$*WUT27lx ztacrKij7`tDewyc+byn?a&1Z#(+U1JDW;vgW2sFYdz7lm6~EvghT>5UmKa34i3>97 z;C^}O^=)T&i=MyTM8~b?iq)MlemcS;Pcu4zFen0L-4+uY>Ap#p z5@s?ufTn2=?B8afW~05A41@aM5sw8?`r})tZ!JM7u3GaUp`$K zBBRlrb)*3BbIEFdflIS#9dt;c=0dJ6>^~+(-qG?(_uM^1mmtW#_f%7={~_LUYg|`a zg)97Fn}o~#h(<`LzO*%&n(>9JGa1{ad*Fh6Cbm{o{>m(O2ER8p7lE_MKx*5L0Ku=Q zf(D@>!$-HeAM)vP~^CM;2iUZAoOk&*oG?CEU LwgBY+u514V+=+I| diff --git a/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.map_bb.logdb b/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.map_bb.logdb deleted file mode 100644 index 626799f0..00000000 --- a/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.map_bb.logdb +++ /dev/null @@ -1 +0,0 @@ -v1 diff --git a/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.pre_map.hdb b/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.pre_map.hdb deleted file mode 100644 index 12676eb2f2ca160a38054d55731d153a40bccbaa..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 10338 zcmb7qWl$V2*Dlfm#hubZardP_aSDsO!(xjp?(R~cI23nxx3ajqQ{3IP$l~(#eZL>~ z&%HnHWac?1ndF(woFpg7IrwmJaFuBA{}2w&@?YWnCp93BP8N2yqI4G#bw4AX4(zNMv?BponlxRZO z1a513+#x$H_o8}t^x+7U;cXkC73CEq+TIl>j6?kO8=7|-Zflxz8*7}ya*ijv3Uif@ zuaYls2I_b7?LPHZwDG*}kY_HxX++s-EeHeJOiTQ%fBU`s9jMLC#N0! z-XB<9eW;{Y<2s2Y?+TdFP$!Y9@!N+h{2b1B7TsB)$yfW6uHHV>%oz9ROa0hy4{z@_ z->TiN8`P$=LxRNjC7d)<1J?QKNqer{8y(Rz{Cty#BGT2&f%J8HhFUSstkF2aX1s#O zjon+RQ8m<+V_yUPT*pnSzTXm)j5RhO>dB~D2FxOqzE?pjAe3VH1tM<{`TuEHWM!Vp zuo&=y^ENQH-z?aIF-?+!+KqmC_5<4~{FC`?fjXXY85q!r8X5l7|0ns(1VVf2x}2%x zt1BY^J1dQfFKM~HK&97IbicdHjz2C3*LPpkUlzsjt|Y0SwR`%U-l;NH`znZiSe-_B zhC?{uyDVn>l+Q((r9(9)56VEAL5HqK1~%V?1l#9@{eJfOQ!@HVGGc6KweQ>_Xa@Jl=?XH}oXoNzbvz5o zo!(Y@h73ws(Bk{)tRKe6_8K#fF_e8fT3~!J_ED|4LO?f>(a&9*r^yg@j3(%ti1g#) zhRYpuuh`*lnVNXGv4Tl7JwGYP=VC_%p#1}Eq8i;W%@kr{j&vA!{r`t zf7J7lKRd>FM1yubVV0M{zT=(Lk}QzfqLk)AyiAflNmVyS!1{YoAyRX*ik=e&F0~7Y z8lG`03YS`&^%nvfZcZGFW2zuiFd{WSDv_VRGbx(5dIS-AcDHC z-$4;v9+ZC^MSo17A`qP%QQWeZ=OHbf{3MD0CA^rPk3i+?H+&T#Zpd{T&o`j{RSqSO zhf-{|K*^Bum*1zQV%VW_>Sx-X)a&~ARH?{~>pA`{d`qAQGV-_ig~36^K+#jPZ+{-z z^R2XB1iKXeu9OO$)ilgz(KnwWcXAf^9J?v%-Jfk`WA~yBSwb8UQ&^*Abp?^0X?Fd; zi69MV5Usim{8piITv*8o>T@zgiyww4W)QXRLwC~%c_!?vyW3Qxx1#our~0s`ZkImq z<#rR?|J*pnpkf;MDY@|L7L&e}$?>>9kaT9=2>b^%4KbXNBMtLrw4fO!q)7UDnUb|Z z$FdP*S~eX1Ir<6madoTK4{zYrcVO{AfL)tU?(0T9?tVR6zGBEHpY067Bzdn4JmVO) zAFh6ZP(m_H>O&Vq_Y;>Ne3xkDhV8T`883PSGaDzlcq=I-Gq&GoWkRlTPyc!ht%e}P z(#K0`(Yk;Ff7-X{BZGtnO({ti*4b^^4^FyLu6paLgRLJU!|Lk49Vc?F9a$8k89m>8 zSPa*sm-|gnEq#1;A`S*-8FqTZ)w$F31GP1GN;EZOva2>4#$GH|tydhbDdgDOIK93& zpUEDsu9@lR9TMjWr0(3-av`pz8926MQ4K z&4?1{UF0Xaf?$&%mBQlOXWER_&_D>m7w;SFAYcy_d2p4Mtm!vy3tI2lO)zea2Q!`! zsD^WkDj1bA=>r*!8u=-tiN9H-VgRs}kBE$CJ)(hKD|cU#gAg_c#Lz#>)S~tNx(WUf zC1=N%8*!A%j5&b)B)#vAue4RmHgfU3DLX6>qC#MwkRO!DXVFEeVJ^M{7w~P=(s7qr z7046~2wB-mk}qk;K^~PE6-fw&&;!8&eUu1d!h|><8*5P@lLZ}&f(W(|dpM!dNUm#& z%uHVb$Rq#NVC_C5J13?-XMHrUtN-jgW1WK#ut2dXYE&zd|24={NNv`cZ8O6=Hj3;tXXdJT zNL9$rmOha8=;54`L-*PJOY-02-=4bz+(rg4rz};reTNUS^Y;Wwk6d6WB8AxytX{!# z_JhdMBydmmHPaXazB=QdNI7*u+2TqYIo?6eBVNPj&l>_O<-;bX`ATzhK#Ka_2~N(Z zfXEO9ZTx+niZLFHsx+S2Cda)pZ{NA++@AyL%|!vZ&#UU!$jGz(XqTLP{I)sT7PR^| zn+r;g7#f(?8TAe@DT;cJ#_`(^=jr-%48c!FdrUc^`%MRC?-C@fXe071Aid$;0l5SZ z6!Zes&7~IR;8&#`r4EK{gI{*$8Vdz|$3U~V_7;x`65`Ncu+B}?80y-r9l!sGscWjm zfM<HJSSICq6pR1$P`b1wlaZET-! zD$}eAdbOt?q?*7gD2|DHrrxrvFCS%<_EbM?+Za~%qCM_~Qu~}($cAHF-59Zc;;G_x zd)xN#e6fP|xhGWV8%Q7O)f!p3Jq(!cQ2VKxrORoy$`(^M!={$DD>OW$9cV90t)6Kg z3ia1v(=*82I`hk>*WZ&w@#gEP`83g6mg&l~xu2+E5UWF@87CcEr>GcD@2v-(7O0HL zvJq97o%n(tr4>lYRE=(W*~HUnBLl@?nr1wr3i`NhS*@kFD&Q7cD4XWDgshV;pEaJv z-BJL}!7A_Mc6j(ET{RlR{kAYNBjNUypv=*SJS^&;dGlVhVp#Q=h1xxE0=pHNmb%Hto$U@q_d%7nR+>^-l+#yfu7At~D#Yg;C#(7l) zEr*~nZcc9L-hv~jb9yD#y0@+s*_ZH!)P+vnz7W-MR-I+6hLQS*$$=RO9%7sL+=wW% zWsR4nO!Q7WG=X+45H^3d$IHr}Y13AT=(|7jwW;!xhiT`a9c!PODEXB%W2^e%@`iC* zJI02&1z15Bv*7?u2^s6=BqwiTJ%^*H^7WJ3-mgFP-j-GzEDi`!?io_oV6sn^L2-DbKX(*c2qWu zpKJ`*`rMs*SXGR-efu0)c)fgHH?>B3A{@iI&N`DD<>mT2)vR%b5^BhQmWi)w@wB@V z;;`F4JxO&b{iM0fBWd(?@k^8{)QQB?`0`%sQKmIQsKduACfoDuAkS^75zz6*g)3~| zE)3luC4REL-VeTaS+RMMB`g-#@*yuWIKTzJABv!SvE8G%X1oJtLZv z6}{Ltuxn)!(mjKXxc$*QC5uWd1q&y?>a_ZJHD;H;S+r&q?+h+LZL>WGi>-WD*H=y& zE}V<2-Ja#{UPoau`gFEour)5B;+9u}m%K@O3t`RY=0^iNFY(Uh=acEqo=zyNT>sG% z<}|!9zf#=tqWdU+cRL)-mh3~TI(U#f2_T$HXD*#PU_ZK|#GC9=` z-26{NLqE_lE659O7p9;jA*Ri5`ZOk#MorOztKuwjcxneKLT~n(*neyos7Mnp2uMf5 zh8T;4+)TpNeJR*@X9mCOMyMCi&PW-|^32Htg~r5u`Zs_j>uCg%Kcy8=G=Y8dN;qW2 zAY7+Jx&8$F`MSCcIiLxbx)h#rVA04UZHyEBN<}Dp)I~<(t`yx&Xo6I*BaPo+8R9Mv>9{qGLyolZ+7hQ)Uf%0jPgn>$stB&DWKB$)gRO{W5~SGHq^O z{RAmaMmsWJ^p!yD#A>a)fs%CXA$Seo`H=pGNZbNfB};vfD}|gA$2tzt7I#m2SY~uy z$Y$tHIB@QQ&O4gUw&rJ93Q0hF2&Bf3{o}n+Qlrf0Z=18SsQ%N>Q))$No1fH_D9*>hbxJ^6n ziBBP2tLN_T=`HQ_Oid9el&q17Pf^I`=RJ^Y3h^4)RS5~=_70^s-Iq3(mUprD-SHXU zt+_{FjgDK?IPimz_>tJ3N3}di53U_`@!I5(y!gSkliAG1x0qe`g^?XuM$oDFGgj6k zD<)?%*?HL@Rgpe6@B>h9EmO7y?d+^Dnr5jg4hGs&Q@V1J5o`~Ro)>jv3f~JV5&>zw zhbp~K$~MF9la83I>sBnXHAgMbAeMcV%EX#(K@}7%g!Ef0*0!pJvZ5fJ(B$M4%96JC zpJQ?@I5V@d9G$pMaLaxlCaOhcX$L45mL>96f^dp8S@J3^!*4AUk4r#6vpIY{b=9_d z%WzvIl%5vk8bNhnqURHiMy4Q(L|bX|n7~D%!&!XyL_&AcDA){sz(5SVetddzc6>4p zbxlrkAOuaXEiD*k>aNq^UD|f7I-MdbhgU!WRfQaPV>EVB+N&_*E z&RE9>bT@Q<^BLE0*X4rLbk))Rcv-3bT?Lt71eQSiVFF4mfiUl!ch`NPp-YxHmYH(9 zDd^De)Yk|&$3B4A&*Ee2SonUTI_6fRt^}URWs_fzhVg9}kGw)7Dw(;CadX~HJo=-% zmZ}%c-vDx`HTTJG99V+S^NJwR$0Co|j0xH`m$T6)geRU(yj`a?JIBwx{>@@9R3hqr z*{;FWKXxo%^0_!VRR*5zAT{N1@oOYLasz)k{Y%Rw&Y1cfO|EBe&dthcnlRtpn{`sX zpke>0@zY20`i}Hej((emejA5=TZcXXb{l;9;*T;0Ek<|62DfSM{B&@2C|z*o|BYD? z7_gV|=a*jnx;4rUCcFY+jol4z3$z@IU>g%r0i50oso@z0OAdkU-bZqpxYbT+96OBt z8XR{2!WK9l*RAlYTOpy_bQJ7lQWI}dgG9_s7q|zhX$ENJqjO`iKRXFH9VKy`#C9XW z@e=}!S&!&C4Zk$8$&t4x*uSy8H7Co6&tKwb#%=?t)Hgrt%vjis2g1De0ls=UlvK}pCf!8 z%}Z)RLYJ~c99Rq@O|T_BTZrs`qdLfOpRTKe?@AF`*=oKj)~i2$F57G(tGPb_?7en? zpQy4CO~x>P_uc?qu)%Sk>jQ(E#Dbc{f}47SntFqqcs@AT5pGArDSWC~VyuzW2oNko zJ=O8=7uKY|@&cVRY=0!KH-^+Sj(`V;!GnkcsZ)>GHiROlMK6rT+)B?G-p-0mQ?X|! zhewRi1j6L-%B8GnTmeP+x{Myq2a?n)x(ClCvmYJ+({aF}H}R>AL4$`zul`>CK1f+J zLMc0*!LAnW1;@l48P!sg4w;S_3~%Gq+P`wz zCpC^2ltmSQ7ilz5co*J&zPT=^^M}@hJLfRciZqojZiU)-o;k3cl>Ii(%|o-aUx>6* ztYDo%h*5abG*(r-Bv|CbDO9WP6b)edw%z;b)UMbYgZ;IW(K{Di(Ltvr;;cVgXmEibHkZO^UB#3fn4xPDT%eqy(NeD^)6vYY)i9mz0# zdp8Fn{dbWGe2$fFv!wbJSIMq#W5%R81S`@A%i0C=wjvH?4uT8Ih~ENOZfm;;MI*B@ z5hGjU=-j|QoJjz`a8AM?WB*mOA&5IqZKad6u;@(7_Xmy5W&pF!^74GPP7Sz&+iE5uNhEvc)xndM2>j^GcUm=GRy;i( z>g$Xw^SYQGynbSEaGXcBQ?SX07MlIa&4xsb$d&vN72S40`lOaVbS{NkxHuz&Vbm zoaLgNE%uLNHeEn<$W};dso8FvL`eMI_IUxgK*L%7cf@<5XUzX46a7{_cC30h#b*YA zbL`TNFvT$1P6sR@*+~_+#vJIg5W;KQ=Zl`=rQaq=do4tK>PvhIUvBwGdx=9_KM225 zsiotfUCY8(O04kG%;q{F{nM_^W99D=8^p-(eTqrSYjTX!+U7GT)N4R_?N^a-$7>3=EO?p}1qDKB<5 z(?Q%n&$c1ROIAju{0j4uORc$81*e;T`g$adM*xa#`QY zYz8QXr|3}`-)a+E$D`l6{F{lt_U+FoZKm!DIerXN`KP>JDxBlw$`PN*ReU* zpTN#F4Oik1RpS4LVM_cFO0A(vt>H?oVM?tLN?vd@q2Yq!W4E}uw}iR3u?*1a&?R5W z*;mS0c*@y;e}`*}Lf@$~x=v)Hob7qWA)?x=*|_iaOjxh|?zNrOP`l0g4{K#qYfCP)hs}XablQFtTv-Zulaq&{Z^oB8ywd(XPc+1p^3HmTKK7h_iu0SRP zy`7wbcL+937jS*=?bi+uwceHqP6mDs{wHjLz|+ncd)*VPpXz6a^u9XElkcfTC%mHj zEu&1g*qPn>Qjh$hv)Q$Q05m)5{&L@=EZia1lN=*eqN??95x{QP!j;nqM}sncF$xxj zi;U2(Ds3*UHPo-4k7~xX>^rcbe6d9iXVZ5fN`(LW#b|IFirXP*a`BAyeSoO!u?2J) zi_I`cI?Py$?E4st0y8#1d=W2utrB&!8-}qowu;c$4=Tdv3+ChbYv4Yt0rcb=BEo`5A!;w8wyl7BatL0}1;Kkmmjl@y3D35Jv7b(#~}mHfm0>Z-TuO>m?^$2o?D z?|n`~Q^l;xse!IJTLX*mhnb$NlHct_;ik5k9HIQJp0a0!^&)FaYt>omaqur>{K9c^ z4s6bDY!`OgAbJ<R<+=Wi!7;9++CO&_SmtSD-s5;rWHf{=!4uF|$9H8Up!-~JL{bz%W$hxllt zN=lX%Q`FC}f%Tk@(X_r?Xgf(%kd__JO=zhek#Wr*U28-`=ly= zzMa3KCm^4}dLj@uKbHkeR#WsfO+Q)flNrIwy;z%y^@>efNSb&c@su6SV7&6ZTex(n z9QAEtn%)Z=K}LVgMt*a7eP~c0N_(GXfLQI6Zaett>T9%H5wl-2YHAuZ?Kz7`K*v#m z>nQqa^38nc-y^v*%4wNSp&D~`BmB1f`L*pwc|T9!q;GXpj8n&6x5pdmZkz*%>F2c| z?7(yCD|}rQ9GR+L(s1?(ij6ByG{{B%s3`f&9fOltXh*&{h^;z|x``dBR|RNx+`Wi- zEzLLisO5yYG!4;TX?E`LL_KUSJc}DatFoC}+6tEOICSDSRkq+qJ$`c$7S(Dg5$Ld*oDGK|M6GOD~Cj{ zqTl_!VPslp%14S?+1%G*7A@*&W_ZuE%$5|?Y!!9{uP)PE6Swy+_ zu5esgk7cJaap$#W(R{+2yM<`-1ca7gN6=y3ItE)FA%pm^dt#5I=>HfPyN^# z8V%hSmE@O>cL@C419Q$jY$;^S+PmK{9{%H;kenslOvcm0frF%H#ew!E@;F({ zltXz~+Ly+)qv_=OMHZi|8?hk3_As@tZxo zC!YfX!Ha#r(#Jw#$^qQJg^!0F-%-8?MSdDrcUo0qKQ*g?kdp)90EYUALN^fB%!V7DBL9nKlV`$8^oTyPusp}3u%4JBR>U!YI9?$Kjv7e zHlkH&pO)M9T6N6Wcdyt@oI_F`obR0~7le@*{(#R!;~yD7hoBMV3|d2`G(0nI6qwkB zFGcqb0(;WC*nMsuin-EHZbbNVh$v-rJ52OEO?msaPy*^1HWoh({lhMQrE!Z}!9HbB z8WGa;)pTS#+6|Nb`dKb5TjZ~b0m1@&(n+Y!jDMi5w;+!6 zO!guMQncO-2_e>&)S?3rr&AIcG;^YJk*!-jyoHl|+lW$SyhPE|b!5U`o{De1F||Pc zVeij~%eF%<07ZeDQ(@&7L#`r4!8La%S3Qo?-&`M%5Qw==q%4}Wzl)Q4fwJqe-Z7Oy z(UdKuCD2aflO4DkA;-S?oOAZ`hr+e;J;%au(7rx=<&Uh+5WJ!HMA?z9l69oz%hiHbFxHEW4KnX zuGUg%7EOAO0&B&jizV9Zgqz;=#2|=S@P%v1f2=nzdjy+A5Lo^I%Ok5ZK^q^Kyx0&x+Q(%*@a^-nbe*tEv7 zKT(%6;_9$;<-1k~#?5M$dgS-^7Q+#@ur7E7RiK_WcrtOg`X{7BY*Z?3p4ND;EbZDi z^EpA%o#z8u#>&Erg)EAjJEoZE7LB%2>HS?A4{1G=DMPmXedsZ`j1QZ%J+enuhxVNp zZMR?2i1KAjr?#okb1mNE@){QVT>DBfeU7nxipZ#R=jFlWIV=+#l#k6>sJZ+&-)_)x s{3%KyI3}XbjHWH^dhxRPVF;SSo4;Zt^eZC#A?KOliRWM9-`m^&0DjI#pa1{> diff --git a/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.pti_db_list.ddb b/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.pti_db_list.ddb deleted file mode 100644 index 6c4406c81781bfb2812cb76d737e43684133c612..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 245 zcmWe(U|?9w%?KnJ7_xxS7)Xbu78Pga=P4K(>lx}9C^(g7=Al+yu z8Y={QDTJpcDY&L&mH?GC=H^%LfI9JNr??$_W%Dtc2YvhgT#b{3xa=w z4|6P;*$^Su!R%!7ICjpXTG_uccVn9$F~>AF*)}`J{B?R{`}fYDnGzNaSqThF&P#PX zjy3wc$-DS*w|CH*HC#f*-8n%*-jBSEij6;CdR+WDIHlx}9C^(g7=Al+yu z8Y={QDTJpcDY&L&mH?Gzg7q^ntbo!HP#Q%2fU+wXlM)>m%vgk*mvV}Dp4QjxI)Czv zcd!1*e*JT6G(3IJHh%~XnrvJwT>Pl9q|Pf#aML@!L^d`7HlY6h|AD%b5>h-86A}uh z)^3?0=wZyb(MG^}x5ZKR-PUb8jn)ASFRcXd;Z#6EPGcu%Jkn z7RCTl9Kpyyl#&oaC_@uMK!OlLD4Xc+&g|Kp^{g{<&YnI0Iq%)~&i()Q-}`;{yZ?Fn z`S|!MMEJQ^K0aUWP~+aOUJDBL34j4q)W9m>ZvaMcA3rESMMD*!@{O{pnzHIQDrx|0 zQ-JL?cffh5PYBo5jepn272x|m-uNUwE%@;Py#Ld?oBZ=MVt{m~l#uJQ5jLnWXV~({L8n z3*&)WXA=kn<=1)Cw2NsLQ8xO~@D*Av8RMeI?xY8_L^4^#7B-Qw$%!^t&mUf&iikp9}Fl9yJOeGd+fE0^1ck^Zh-LHMn-1si^@{M1N@6GZDObQIuB8QbOY*j{vH%qeT z<{}g`y8H5UO2G-!5~a;9HT8sUe+A03c;7{gpMOv=)dT@97~+5&bBBi!D6H9&)hGf< zUb6uUo%yVLBm7lk<|~8yxp~OtNi?(ly>bEu8j*<*hJxEkEXC-uc#tARwQayqtUrG| zyJx3b+of;z{aBkcq@OePDe$0G7{%8{;kIgMVp^`kg{_jvb;1A(a}cRGnW$+M-* z_g;WTu(z5ADAz<$?P^VSo$8mGwwd!VEhg+NlQ=b9Mt0exryMX*L}m9Zra^4Bk+qye z7=Z|CgywKKsQIYFW>H`YsBd@_17-EuF@p)#h$ z_b@fP?rH|euyccIm5df1O8SVY=C~yV9v=OAz%37zS$_E5&VDY`tr5=~(5sKu0?9>J)GpMh zmz>9C_yHf~sGurLPSvD9X7~f8O-ekIq1P`b`N>g?P1QYsj%Wv{G~vSK zQYkq~PXE_bV-3{hZb<^E=pbb}T#SkM6Z1vT@<0j3<09(1-h~-Qkdi&&;5*(f^MUz7 zzWG8A7v5OJos}$h>?!5vmLjFm%tAp>;kMCF-JM(3$GT1ykv&Gc(N|ApQ>)lo7%Rpm zs=^!w(;@VLu0*szucLFR7B#`b10%|mp~-0+dfv&Xo@Iv9c=n6|)cLHtw6f8N{()YR z8tPW?%i(XO7Rc<+MRlPj_n&TqQUy9xIuRp;uxOQ~7dDfzop^(S4Z zA_D>r9ZPgc)>ASHS4U7EjlO#O_IG9m?CP1{Wm8Me?H78=rC zvS+5ro<76~(+!l_TrV6wML0B(Q%}Fps2AGx`q1ImH9J=v8m^$Ur7VItev<3BR>q%w z8@v)Dws%(^OIbFNlK*gOP43e3H*pcTvikZ3zst3RZoxfINEH>*j3XGV<$cpS3(bRJ zP4Cgp<_?<8KXM5E!NIZc^+@W>Y|Z;0@Fq4RGt*F_$SS3hM4IWtya?S`RVkM{p5mAh zG*fda_%Z1k&YuOLEW26f!xNE_N2fOiv@04D>1Bi+JH714a7GnftURr2N#~9amIU{v&932LZNaP%+X-v-hmk`4iPKGS;aOTO zgA4KvirGD7OPzXMJqn8n$YCGdOH$&CjlJ53f@Hyy^7}5*>nfw^O^vA}AINO$p6KF{ zAG|be4Xl;CMH6q_8BLjrwbM1JNb-7QYj*7s+zeELUINyGA7(nA%FHC4$}FZs|A9>Ld9)_u307Y@&~BI3hdA469fYP{i2_H>txl= zcqx9MYHT%mGqq}rq+QJ1Dh}c@p^*evJlCLHH)azHQO`L+d;NwXQes+IswC;xpc=w4 z5=ro|P5ElWy^$KoNxbhsez&_a&{tQ7j7POjFguOx8w9S)#^EjT4EEY49bZp7q&Th+^qf4@&n)FfmQYz4PLjvFLSTEz*4M& zT0g(q)?aXRVOQ_WEa$<~R9?v(F=ivBU!4-Z*&wB;aomvqE91X&|8v>?LXiHzX-CuJ zi-{MkgMxxivf)n59BmRq?>&;|G}6_9%{t1utZz#@u9_rtIy_ zLV%dh_Yak1Z=AjTo2u(SgxM|#+L+b+(f+*%M9MKzrL`)SW6FU>?< z*a)#(l$Lq!@W_O>2RKW_mAjwnls{@ee^c*YOWJ3%`hV?Ur!YQ?zzh>Sl3k0WOmjx!+C0vPFG%1ER+LLuwSEw{^h&{V959~*9`D-8*;T*oIf0?J9;?x$6&Q;X&`-=E=g!p3ivxWg0Y`8>0^ zt0tN~US6WRaRrelw3&1K56&qVv`^X$mU}=V=oXeP3+~U6g=qxg(97Ry!h`Nuz?8vt zmB2A|cfBfT9qjb1>xkeoR{_twK-}5f>m2BjPbJEi zEof>t7+z(%8dq2>=Ay6FW=^*ww`o^c*NUs>>gHrM4d%g32cO4N`@9N8o(Ut-ckv}? zvydQeTJfkDR>&M1Ax2driS=2~@iae*Vt4N5wHN+0(4Ivj7;_)*i1Tyu%X6J} z;)OfTyM`p#6rI2OXW;qEK#XtajgpRkJq+>iXtyyrgGBQSUL9K%B;g@lO$V#!wFoW} zrUFQZ+Wkex(IvR(cY6Xu zn1Q!TJ+GbNzXy5hv-vGRP~*zp(!=%#q<8GGI*r_JC7rxVyW%1X(1wyX)d^K^KB9?ykYz2^!qpgL{A=i@VF8^Ph+Na__^f zsrh=QtE;PPrey>N3JR(Q8TubWLD~Il-2bSyilA69{!9OZk17@WGF2Fbe4T18E45bl&_a?p) zqIJOv)x~NA7<2?h11(pmKFmn!P$x|s2*q=>PXV@_OG60Sy#or>s(DJHr;4KD!VE4+ zf+uEco3@%Rl%NERLkyp;rIKC1^STLt*{qvWko-4G!a}MwIQY91wnFp4X|=fcXGfRs zX3Tn6+R|B}q6jot%NqBHf(aLn2p){eKjF-f2k|0UF$^eL#2kWFVE%s?z`S}f`@+<% zPrWZh65xsOFLfQ|4W_^}?;*)fN-=hi4MoQ*P7vP;eLvH=#s5?JBtLQrR*9q;n}5ew zAy{GrK257ga!h{FXZ1MD+OFp&TA;1L$M;5;hRH&uVqTywxJ_bE9pWt--($bbo zqDBy!xd7!O{w0-f8`9{nC~P;O4bsBWluw$}R)_vp_5KwrBN$`scYI2Yu8r0&V%2*A zu2uLsoi4kU`YmN9aPn(3)|#u~)kr$MVP4^oaCWRoCX#%#zN3UdrDJxf63=2UcPSXX z@#N|WTd z;5?#`9rmCoeQ~2{S(=%;)Nf^vLjlz?czgr>;$Tf`on_5W2#7h_-vY(Gu@Ml{n1A)6 zATU@oZm=b=(0`pki*v!uWpzL((fbWIZzZCU#tt`6$2X5NAs1{*oyTa;f>>%RqTzG* zHRrWIJPDY!%Z-}zgMmr%xj6^96!m-Q6p0dMiM<}1R7ykG=RjtGhE-Q|Abohz5i0XB zT#|6yazj~rb2Ug#_V6Vfmn@i`Sr9IXmmfAsln->%$;+>6+>p=mMNc&?kA-1E4R7IU zK^#f4xbmKb70aF-DKX}gfpc--N~2H7^>)&$uW@chuP4bfM8(D5)*ZX@f@a0mQ)nTa z)R?iEUV-g7=mJG9Z*3DB-ydO}zV{DIgMQ`;R9LiSmP)9Dv$;%VNmWHn1$ekI`<1xU zIzctV_}GIXm09A`?O7+Pfi(PZxXhPeJhHwwR-VBiUO77upO#qrUook~ZY3U>Rm)jJ z>G0ynn>7IGI%|soEBB!05bCgB5PWOEX#jZm9mew1oGgQhlrGzu(@f-r=nkS=qLMNH z+F%;bOL_k*)Fxil#{O#O3Dd_f*BeZZ<=&sJ^yZ_qDDdoSDjOiGAXtEkC`c+hVgcbG zFb&U^JutdOdN?f6Q3v+j=QL0aPc%WNO1kuAga``!%Z`){9CQA zI#U^4$Pwjg9@T=`uz;dZ`xjkBYn}PvXTY0(Tb9L+JQ89Z6*U~btbu1ncI%eiQ5p@JAPgLo`M6kP?BaXk2V3_}z_f7G$?MWDQFf6*uW zpliOuVak)Aseh^R&u$iGltKbqfX(V~8}g~@qwy6iA;1_U*FfqDaa9>112zgpX=ylz zB5cMzpKOkOSLE4Bl!DNaa#L|ZF?a^eXIjRd9A&4{PnMWuE7uR=FgrVmFggw}+=49% zbSt}GIIdOzDTpn%cZ&6?7gXYzK;-Sv{Pg$pV!%(Laae>&34o9RAK56<3Ao9|%MXtA>CH|k^57_mky)#FL(dJ^gdzLh*$ zf+bE|z394m`C9?Eu7I>r{Gh}@^!BgK$X4jpIo&Yq> zG?54vk>@qb>?qEg94i=M_>V+yGfeQrLF1C^dKU%1>ibcn{seP%4DP!bT z{O+2ij|@CD%aw`SYj*#mPv9|XYc1oDsm>P^{_PU6#Tql(bR@5w3>`wV+31eeouWiy zo}YaE(_2EsNuT1Az|j>OCx`BW3t8HcmcV3dZ-R_@()C7ioj>K3VvQ# zH?}uiWKNfeH{vEC;O(6V;X-?47*`>A7wpJ7#VScxuzovNTqJZJ7hTP zU&`n9(GSvpvqybIzAn72Ue+P;(WpLJQ|g{uXCEK(Dqn&fIAd%v{=NW$DG|DmswTFz~zbmMv$q%5@Wm6dst|eqAn2E^91{ zW2>Ax8CWxG)6OaF9}&z|;|ml`deqo|hQcCMF*2y(o4=}Vo{AbWIUg3f;wm^AwtZY_ zIqF|$G5XiZLt7z7?vBmJg?knDjj#Ay2u9g)^sQ&A*Ql@5e(ntsn}=3o)@XLwMAi+) zzaeWZM%7QfDmwPrf_zmw74sU)+EuyD*VWAd&Bp>pkOcu)e`Cq3$WI*;-<)x+x!^bM z{|FwJ1hBaWjFyP9)T8-A@;GP73G%%TdvmZ?h|>|j&sjYI$D9IsHd?^qpvrFKLqfyo zK8;u<(al_^`Ma-Pe&$Oz1*+M4?iGRPW!XlPZ`owsi%%-c2&9{uO-i9`g1N2+cUJ|0?aUH~0Plc`hT)Zs(Qxw`Bi}SKe~BtNLg2 zZ>6&C3)J?7<8Wc6vc_g7#gnyrck;>W1KWe^<3qv5&djO#)Ab(MbNmxMp?go?;WuP* z^rej(^&{bSC*y|Grw&_kur_%d;^Mp=a*s&kTD8~Ht1qJVc06L&axL$4wcof4ImG+; zsJf+0;?T(6UqGY@{h;j-zW~50oagR;jMf2q2(G-y1BrHO=kB;4i#v|qkYh42lcI9RQood!D2qWQ|iM*s3VJ_GYNm_f>d z9z)(X?>B=8?phG`aLx$qm%I%~Vh6Am)Ff-YJz^o z^Vu7JHfgPC$)7RGwYL8Yx4q}Ge}*q#maS6r#OS>Ze)N0^Fwc*-Ib3Hi#}D5c?Ah|v z_@1$LlImRObw(bO3IU2Hzt_3HbUTS^eC&A&sD#JYb#02=#i`7{{;F$Tw%^WFHo17r zguES|kasF?V4rl@epmK-GkOc2VK5cdc+Gq^aqg%xaeU{6TwK>VzE!4o8^0-DKiuC# zoJ5oTxx4%-_a-dzD$-2YKXmj1l3#t+PnzQ+w-#r7*m3h2A)@PgqpM&1}f-5I#Z6#wFnX3h+^OFe|l zgX%JeG5#3&4Yz-^0jo_G8oRIsO^H;!jDpZdTwa?BUvBY}36Cq2; zT^|)WLt5c8>dY%;PXv4>NKplS_FIU8ihR^=kCJ@>MbzC?eV#LD-jx&`vwe>WTp?oW z-_w*AXG^NgNC?)~6qJ*K)z%2o#N@Rh`t_?FqoG-GK&XsXU~Y7wy4{7=e}u{WE%r8% ztE&{cR3=|~k>^l{rT#piKc0Wg1T*1VF{Wz~CMJ-`_zHHDTh`Zb|H6A~zW=%Yx#6($iCuD|(j6x<7PZwEE>ic&P1V(rl-Grn~E?(Djz4pry zCPKB}E}HOee!7U89)@e$@ARVg*S775xUp&05_Slvb31IhpbU$XP&y8zh@1_7o@5ng zGPshBX-X(kpxAQU^MF2&{e~M<)jj6oNf8XQ?EdC2Oef(mIlvJx`D5n_sf`QcmzD4! zOnIC^W1^^t8`#7h%_!~U-Aq^Ztb5h$1+Sk3ZRcJ!_O<@wS|Oo4qL)>Lf1Ze>M1E{O zJiW@jhW@ja?{Af*?H=G{a~D}H(I@U@T5 zC+%DN9~>G(>2Jxv{Jo!Fo;=%chg`!oE?+F$ZQ7k7JHG}P2mLXzB^}UB!@6vFGM4>D zAj*_vs4vqty$_}jX8_CdQFZ?79MH%G$-@yh!0{)lY2~8Ef>xi5G^Mp|U#Q?Z}s$orkVD`n`#^tH^pw;%Da~v&N>fHDX?O;sK_*M!W{*@ zB}7-kMnxyRSh5yr@X4xD%m|5|>*=d#A(Df|0}c&L8@Y$bR{|_{dIHa2uD230(hg?t zQxSQNXY1KBbAT0Uv1k!8BN@vSnD-i}1k3Gpi^T;8EwNe4?;!c9h%S>)l`<-OP_;V| zf%vem1!Hn!P60Go3*_vG8bP_}&E)Nf8#%QkL#Zpyw%o-QP>Zvp|LQ3i3ncJ0dIKGr zqGd^1oYrp-72Qaq3jH~23Pu=kb}ULsu4V`zN5A(E&P2WTAZzpm)gu&HwsZ)4GZ5_u zW1-6w;h+QL8N8)l?M&1spnsG}7mqNA249P-{1i%7@w|0^IJnMKR~A+I$Sfx~_fA9b z4FXzuol@a6EwgbqBKD~|prLyPqfR{hKsFeIWnD-qMw*mb8mn+!l^3b5wKUvysx%AiFom@=Znc%J4k{U= zB>O&}hy+jJW2Q2vGFJOM3#NEuyJh+q@4B8H_D%2gEnNc-u~B-$@vohx<)JnS5tpS@AR3)O3HhN7 z^{f*Gy&CQ?JL;;1!rf>6h%MvnVEjcY`-})X14DK?dAE9FafV?4XTMoXP$tHBTnvvQxTCtYArGVSd(sZ?;w9LA8cfbTwG!RyG^ME-?a(qFrdG}ze zv(7|NyCkZLePi!E`H_aukp}F{E<(R1@DB#=R;oQmnF-W`Dt#W37qK?PU~g3dWS;TG zIr`rT$xijiPU`?GZh(~=zzQ;eAb6AH0s%Y3wB-q~G6y@*MZ_1AY}A%??D=~wIgXO$ zASUeZ@RS{xyfwk4GtjSjYOcx1j|JO{WQU+dAQ!pZH`^hlRG|X^t zuPp&RR_3&gYL*Nony);>MB!WF1!5cTgjqy6;?g|Ko6-u=T zGyF|IGnK0S53Hu;?tc&8|9&^#oDIO|EDV6(Z~duyVOmg2m0~qIVPD;|JYbRc9z=UX zu2&wg8`+tN@M2ANN=J5z3|L_WtSp1-1E>j>LeCwnYqvoUWTg*v6mFwML}l{MQ?w{o zJd*4F0IQqNVX3O;{c@C}Z_j5cy0-Dn^v%C9w>*`f(z2mU0I4A1z_w`yuT zK)lAMkbeCwDLG2C+gptOKBituNPW0=kZ?89=}t%}NWb~Fi5A=lTu4a(od%gH@{;Ja zK+?!5gRr8qrVMtBo4F?nc56bUP+Fu=T%=HLq%SJ=gFf9kY`^O#K0UbmL8f|otsp;A zQ%D{o&Xq9h4UQ{P{AvYYcQ&PiYKz%3QoxJdd&aHLIwCG&0TG1Z|<+sX+GAg z`KDLL5$+$bh?s2=1H4E zFO}M+YXny0L`4k3tT(r;B2^S(zS$UzN%dH9-y{lYBvd z>hXko^m0l2%T1F(M~j5$hkqi&B-#rWvIjEqoh9BebBNi>tuKp}*LB8Q1cGl8?alVT z?Hd9M4}stMv{_5K4BwscjsqMLA@Hn?hoG#kUU1haxN8u+BUE_sXIO>5Q0*Jo9It=? zS|EGKA#RGLr1i`&*fb+tP>iXC9DygkWGN`5U(?*D)`R0;`131%vZv?t6pRC|qyien zPO=+PRyBS!Q2Y=dmH6Biwxu-rP|c)aWA}x@M8&%r#a`R%V4?z-naDAc>SiX-nTn(A z=5YtT=!P&@N>Zz%YIxix1zFzRMw00OLPOv)tLPlcv=Ed^W#6c9a?#aM=wa`*S{EhF zSXmP%-ByhyAnt1Df)-5-FB^h@lermU_N%eiY-zxJX#(7piNJgf^aJQd0!hekv8Nq2 zc>x87S3r9`t{Llst$y*Y)Up*gK9=n|KkOaxVAJ=)^xr}+LPw~B4nGYLhkC_WZ&tcv z5s3fv!Gr2OUYq)0v{=1gfhBR*}?Z1;sb7-g!g2Jwlqh^(7 z?8dmbkvzjurPe!FwpOLo@{reRb(VYngroNJ+bgqfxmTmAPJ`;YZaI3RD*3-8Xk8#8 z&oFIv&q;T_H>bXC)Z>?=s+Nv3&O3)XH)&`bsbZVKSl_eP*FBo_L`&9KX9tWD=q?Rn zJa`O)FLl4o2CUQoR$3i0cBD_kVYz3bA1*DnM!=ghVBvf%)a72;_{$7oWsZ%g{YLwS zr$5C@XxiG(6|A1C-}JzSlj~6`0n^wo$ic2*kbpJ*dDQ8;j9+CAcPLu{Yhj^X?wjYH zA6~H0pRtB$dX_odK(y~-^|Hwf;vYH#%8AePq(V}sADC=~=t#%xA-i3ecRHmThfUus zae4@1)fKs-3ponWqomLxq#1>UBUIHi+F%R@z&R7(&0(-#I6_Ab@R#aLuJxOuhMJH| zjt9LdwPIOFlRNwJAtjzWBKv1D-V$Hsl{Dyx^seY8Q)ct{s?%bH}TqM`$ zfqPY0jK6V)%%XtItbmLT$6OWA4;CH=3lD>Zr@_K~;I|N<)q1WX2KO-z-mxa$vAj4Z z;1UzB@dPv}G6r@$?CYNVX9_T@%hWQ(KRvcHC$We;kLjdA*+gRJF?#Msg(9d(UnmMZ^x+=-Z3rSv8A{gyJFq>=|LZzF4xaR ztMh#pJUc#Q-tf?@3GuTL@hS6yd+P!;U;&y%0h(C>8XXQb(?MefX}>t>xH##s#;FTl zBOJ>DCd)!1%Yxd!l;IIGbV@?h!n1`{F>Kj~Q2scRbrw>FJu;W5oHv&sSOk*dTAf!r z*2`q2n^!8MpGU30#fl`k$;`ThcPqw$dqiW}`x$Xpsswtwl%;)?nDR{j)2bO#{YNSA zTfl=546xK@zT4q}hcYB;;@e?g6jMUO|1cY=l6yp4jLK0DJU3~;7JG9_|W#eP@lk1pM+4Km{6Z!Rg^swIV(LenSlmPH+ws&cG}G>JH=L5lhMbg ziKT?$aCE^&`){PH-*ifa(oH&kl!iWOR`{}~Wc~CAqd14K?zg)gVtc6kWXaVEhJGa% z6b)8%nZb#+pCGN`ZiBfrn`hTJLJuG&lALX%o?lLc6k`t17imRzceL>3h2n6T7BbJ{ zKQkEJ(;JLdPbNpa3Rph3o3_kSq7?oFd~TDIg=e?Awi(Zlyz-%*(tPp0Z9%B5EhFnh zvuRcG>U0*#!YuO^y>xDwy<19~8W`}%115ozYWw2~Nh~=4+KlG8$#=ijk}VD2$GIlM zxQq@Jfr3&X{8Y}T@#`IV!ugKsku0i-71s29@EMnPuJpb=B#rRk%f`9* zmrkT%b5LVwtYNaqM(Iq=UG45qSxe@$7E2j`@Oeu~(2QOEdTm}HSK`7pb6#re;zN*= z(nC+oOR52&_mtoNF+UhEDe|F<+mMDaRri_QEyt;h>6!-3tCS(28!0oXCF?cUn$^He zSqq}vF!ND9Y9is|51uy7^xWx5!(Xt=BTZJE{4I@+F6)`xo8rpH~8+G!{WE z^ud-ql?Bl6;YzpBT(-Tn>EauYd9fK`$8_CXFUP83vJZ49sE-eC=xQAU2OKQ|5mu@5 zhZn!ZZ_Lr^y)c%x0z$r?UgOiDnR>;E-V9Q}uS`vFu)IJXwPZRQoV`zU!P2UIfzXJ@ z(VRqNewWw4n5>8l0@|NgLz0&tADP@8>$Zr-d;$Vzum8L`-3_4KS4m(_zqOGQ7)uJ~ zMbqX>O-Vb0q%nHTV=HIb7bbOS_pQ7Y*`xQv9};9^QSc6=8B#Z$^+7N1mC`-`l!97Y z^60_?hU8)VDOzD_A+td?sNEnS1BS!v>R{}>qlg-k*5A^uM64b`VU$B$>8U$~R%9Q) zcYb^6R;#DBkQ8vpnT5xwBAp0WMWHbZ)otQW*q5IgN2O6V@CPhL5>ev+H4GM?rTDfb z@zB+UY%^%52Q~g#1Bu&t)IH7`i+1GrRT5R9e4m`==|jR4I*Yv!kjC2~0*Z!xrBe#YO9K$Rd? zJHXJjfr^;xI+$}{dad)99l=Se5MPfI7P6E%Ja~RqVkHgLer)WTZQ-43uPG5WmL6tr zXzVJPUH!Tc_KOk);v+ETCZMpFyZ^Xtj%q%df}bY@f`Z%0f{pH98-UKhGhemK7g==o zZxL7Nfzzj}(Y)cuy42VUjVACwNsS8575ANQcNuOZ_^u;r@%FizE7`LdXN_?^s%+fx z{lgH;K0_?@_UN^Jpd9-cToSB2*Xw6Li;a!g(0y_zF^*kX+ht`is`(9Twd+ywL=P5N zti{)%H*r-wh8m(|wJ5fRA^MSuASABE&dECR*#NDttR5ux@kjLmiWVC?luPt?(NV9a zCr(0H{07ai_Yod~`@pmkb&1xDWQi66rH9c@^*k?UPQ&+${vKrpVa;imY@YjA=7f3e21Nae1%BWg z4&rmut;&ewaUfx}7X*U6E7jD%^*sj|wx(-3|B@U?y61 z6cHriyJ)`vM8v7BuyV!tUgA2I*e-^-4|I?jD!x3+R?3Aq2sUeknsfaSU+0QTjqg27 zXm!hLQV>f_W#VKUuAG{VeH22zG=3w^?Qm3?iS`yO$w>Ek&g0HCx#euKxeY(&qpZs! z!rFR1o8s2}2GXr;mzad%dN*8ejt`V~aPcfqc*A3L^ZC7_+}PB1KA4F*xUu6UqVwkp znm{I45z!!H_L#@$7jpD5H0+|r9c~OUK+O$*9X^FHT^mpYBggs8cK#_dy93LGWWOIU_fD71W58-H+JqO?~&U;*1XJeB3`q zFr}6W(P5idAlZpDOEcF@oBb<@F=vy}!uacp(Hrp!o1^t;?fK zx+mn~SN2aHPj$`uhgSBkj6VQOnT=9IR>REG4%$lk#&H=>HYmO3o~QYDU) z60ueWbfmGMGS%lJ3@=}?{lbL5Rx^2eX&1l|_@H1NYyDWPBJhm2PVe$SYndgsY?l}; z5cpEB1Eu=Q@PY9-7DX$$Q)i}x=e)SMPbn8unK}{<>J20I=YhU{?Oxn;vZ@bH)Kx=! zRy}#o4{wr1iE1VgliDc=u$zzyb+SoGJG54&lY~=K7Ek_)u~Kgjj6@0ys9Rb>r+%Lt||@d8y`l58Q> zg-dw@Y(i;2w2vfhuxZy>VoG#Ov2plEkEJtCBQJp>Q=P9%H76u(xu#C`PqHN&%YG}F zZ1hmC&Qn&u*~+N%kSDSVD$(Bry59;14qWFCMmpP44`x~w-MZiPDS3v3yVL6Dd;Hlg zs%o)?|4vj-byZ_m5GyWjos^E|&osCaDmUi!oRWd%LRG>VMyNVNZ@lB(x*~K>f2jRj SL0>2^6J-d4R5F*Y(F zF)%MOGcPhQF*6`jN+4HdVjx9iX>@6CZucty000000E`9z000000PO+*0000000000 z07(b{000000C)lHn!k%&RTRf(WBh?XLa+$IY6tmkviJ@4N8=G~cjZ;~YmbHbVX z?m6dse%<@-d;9cguh%;&3_X>w3gqXA@bNHNNan~PWRTCfJ}K<-vZB{p6uT#ci4QLd zGk-R)&ym4O?)V^35HHGz&F>mjNC}sPf z*ir8;3x(NTZL3bgPYL?C=oQ+ptlLzo&_Q?TYIi7`->7xMrybd9KWMG%-Ju)Zq1-4& zY|4~M>xx_xK2uq@X%na4Zr`>O4%%b8?I(-dZ9^Hh+m149w=HGZxTk)VyAk?XnBH-+ zamF+;&)cmDEb87%!rzF$XJp)1>#uH}ee3dj@7uB*6WO_rEU=0=3O;=I$~#ZW_*^Bm zi+fcA`-rD!tRdV`_oD~`@tB~=5W$-cdp`p&*q%LT`J4cLVKBTz2%!U86`Sa9DK2sY zZ#&p-+5}rnnwvX+LLo`16xNa3m2q3-PKEp<>~R;AVq&NF$%a+BAZ|h3Le+KMI#QrGj#wqrf{#q~V=NRj@B@A&5h8;DqV;oUR zs8SboICGY*ug>ad=Jk6RnWHxKsmGEl?b#7rLSaWf{Z$<>Q`)nk1VgT{6&m4up4jkH)OiNf~h~pR7zd;T(86!!aKsbar!W>%<+&u;wuHGK&_SNV#^+; zR#sI}?$oVx<0)H7$BL~Kozjy0^3eG}_>S-kK~7TFUIc#W^@|sSFd1+TQOR!!PK6qF zGL8>09;d9jmL+7h_(Afc-s3YJ(vDT z?pu7>YsKW}dz<~jyA1^9nDDfDrZ{T7-#GsSL!K!~i{w3{d@lLtmpaaTlyP3q9G7-G zu5;VI@VNv};66+Cb^bi^-5+DR1l%d%n?c3r+i~lj;w~rg{?7J`rW8Edz@Iu0@%F{` zs?O|B{b}Rf6x>Gvm)94B$&l_d^87gHWevPnHs*TzmeW1c>muX-%Pfac z|9K8`efllH_jO#Ohp#`JJLLblj(PsvzrOx9j_PCWT#f1-Z|`7bef}-N&LBTOVhqRI zZG-Q9$@_w;~pr*XWx@w)B1UQ`djJ``LjN+ z$=KANpF{_j;!mo7U$FE?-8b|fJbi5PPyUnQQ~x;soEzjY6uYMSz?bTqCcf9N?i=c3 z{jn+OiBJ7Tqw7y@uKynZ0RR7Z0d$hfF9T5&#xM15>rocs5se5TBDJt<$jtO=rHO_2Dc<_SH`4Ozls_}_f(o2KDpqJi?C22v(>G{;EgN?8<%+m44a1O?V368MRD zy5{mSc7yeW$Gb&pNCP7U?tMo#mzL?ua@DTs@_rIo)vgn9L|UFcY8xq@@Q8~0Mr@Jb zJ-z+0*kA=afY0ktyo;=qLqAK2{j;=Eodzn@N4pI}>-p9Bx%})*bUwdUrOtOfFhKX9 ze6=C^HVl!;YSPp#x|<{{a>ora3(Si)bxbt_Pz~hQkev{dSliRi((Q;JlYPb%VYZLV z`14cn@0*~>!p0-0W?6t6gRyOTJJjm?IDmQ$>%jV{b+I*un1hJ@G7i2owaWM9{?m<4 z$zPBlsE#!vaX#CwSvgKukQ+S^db;4JN@f}dgTq)v+o6Ecb00960AH_KX diff --git a/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.rtlv_sg_swap.cdb b/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.rtlv_sg_swap.cdb deleted file mode 100644 index fdbdba44ebbb83d61809597514dd7d0036eb9c1a..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 658 zcmWe(U|?9w%?KnJ7_xxS7)Xbu78Pga=P4K(>lx}9C^(g7=Al+yu z8Y={QDTJpcDY&L&mH?FsbAt_Fm<=(Ap%qGlL?jf3gvJ10Za!@o#VAxsxyxHZ!ZDHE z%UUZXX@Qx@0lp`VmsB<>Y`w!@q|Gm&d?%l6W7{n`hEx{!2^STnA7=Ep!g=JdK`nMcbkj+`y%n4R3! z&!%c|+cij0!|@|O^8uM}@~V>U*hyTbqJ-?3!-}Nc3`{=mt+`qbmijE7?c{tS-qJZwah>BnnS|wU=YDw1=@BI0Jkj1mP`#NYASruQ8lwhFYGVXfhoD;H i9X3v*1;3k=b{=3XDp}{N93Xn5b&Z3SfyE_pu(tuYDEJ}( diff --git a/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.sgdiff.cdb b/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.sgdiff.cdb deleted file mode 100644 index 1ec97bea837c70712cf0c27a6c0fd72505e20685..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2433 zcmeH|{WlYeAIImRBzX!IE7tv9scy`S$wPMOUbzWhUqvyGBa+b!TP8AbW$iBMHo|t@ zisWH;+Qx8=gfdK}ixnf}p_qrWjcvB;)_?Im_k7NIzdz@5KIiqr=e*DP7y|%+Ivuqw z1pwl=3cBTiG0B)qNr@l`)COW>3-U<4ln@Pq!0bQ}Td*AzY-bCBf&xy1f?}dTKSp0l z*;>Wi{z`bd{R;@NZ`)V@N3*rR_BgfABXA-j##n1&EHyMIzw$Qdo4ATQFrwMEjxJ|S z9TT07q{z!hy+gP^=f-&b$~UaFCJ-L(s1b3nqU9|v7hG_1|0t-V3Q6J`a`?9QL@qqMt@J2%m#E9tK!hXCcX=9>TAo@{?T6P>xXh@`u0;!+b4zY)^9SYl{PJX#G;=(g?}sgrGNw|> zuoD?@E%p;JxLs0U6kDdZSbP>4_Hk_QY-yVKw+gcD@wwuGCSnonL8>7=9G{1r-LNKe zL8#7A+`Rzm;{K#SQh|e?+yq%c(xI)UySU$4SzZTnF>lb50dAQw>9|%Eaz>rf#Ov(o z^hsQ^q4}1&ykG8Sx!!~_8H#n_@M?z@uZSed4Cl04#$R2IXnKhnpSdKfPeSk-KS=)| z&GZPH?vck4)P#)273r$rL7i}>XzxcX2W>4nF)9lkmnUXYt~pUVJ|z3U1HY3C_W;g>h7NBQLenPIFTGZW#d2wickum2Z^ix2_BxMDBx3iHfZ!yJao;u z0E$@nRD}ByH=aMZbAeK6-Gzp10rX;fNW{xZ=R_ZW!O>KXSt>_0 z5bxO*st5zaHy0%9VYR=YP!=lMu>lMIUS1)eo379Hqy3qlsKSeZ=0;jr8FpDdd9qgG zm?7G<2ybjTF)&I)X9cf)9;RCeUxg-!hO-*WRTM5qSQvWAo8632$vXG}U!Z91rwcMT z{q()`#Td7+kovgq%SbbVEYaAf8cG3>3s>>`+PsJ68lVG)p+xi&JgcX_Q+T*H8lBMs zzr}P8e69quheJfdHu0+!t)51*{M-_o1!tS`7(wA8mdQii)cY)cJqbK&(zs;d$rxwvyMi3#lr4s?^-9#N;qo%1*j@_N4^kx&vPX+b=D4y33++- zh2~#L_P?qBz$E-?=xQx^VQ?dCP1;y^OgC@P?nbs*OKA0lFa;+s;%tC+vLQ3bC-?~# zskZvW)Ysh39r$jUpYpg=w*GDMDUF3l=*QU4zINJg@Ch^Xu|xSewN>;eFUzL^t=^2X z78AY8pKT`?{jge%-}mS6nj)dp!dTM-qCi&3TdYM|{UamQ@KOLv|99}7RMg{mVLaB9(HlA8&^N&9746aM<+`5yz%pSM#xRENk+Q$9In zT-7;A9Q8=t$;NOLXQCI9(`?QbcIO`(h{1FbdOc6VSdaAiZzkS5=uW1Wu0$|t=f77b ihy~l53W|bPj9qub`@60;`}R$FxTi*3X~_DL=Dz_d70l%T diff --git a/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.sgdiff.hdb b/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.sgdiff.hdb deleted file mode 100644 index ab7b0f894fe01feb48298c41fd47822ab5449332..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 10083 zcmb7qbxa&i@GmaKiyjpB7N@utcPm!(;BYN+*x^##-QC@-xH}X%oB~A;cPa4e_x+Oh z=SyBT^BKuzW-{5$W_O1G4i2sw1O6Yv!P)#9-2b??xwDJ4qXQKuHybA#2bBca+RluM zlb4H%lY^a$o1Ke;lbhJ`-2dhOA&BvRWe@lh zL-aIq>1;oAYJ)Fv`r@8h5>zG@2}%rM{OsK9V?pVByB`AbF66lWMHs6~3`arwqON(- zi{ZXum=Ze!7P(wiRVTp3`O}T}O~+kCH`WlU6(`i#V+@AEj-eY`Z}*!@T=E^=N6I!f}7 zv8PzyTVk;2(sfMMkk{mwYld5J*G^n>pQxjQnkbd}`Dalm*7=9CZ0trYEKJu3*LFgt zFjfWQ%dHn^zl0%%R%h_X0pBL!75L1wR`GjSbOh^zQC;f8WkK()BhxKcxi~rJ_;s9t zUhwM{p5ON1kCo7GIAMp$$y1%%?sXnA^#_wQ&R!M(iIm&*>A_)F(H1bsn0=Tot9is(|aeobr{ySkz%7o^n(ToFWQ2kvK1^Tk+$71;qa!190R{Tz{WQ7`4}u_s3F}@K2}H$GzK1%d!!r z1$+dLy4}VyZoa3Wk!U()v2v$;dn7&b=3I`Xd?sMACV)np_D4T3f)88B6CQu~`Qj`S;eV zFv!?S38%`m@mcdIn~McC^F1@2Z$v0$$LB{j{q<3=xh9o}ogDou{&LJU!2TsZb^gzK zqTyjSg^iSFZSUr8`8HG8=@ebVc*3|`#)^ifhQky)eLORIy6{Wh$so1SmpZuQv8)5) zBljOk&>HW($+hx+{N+*kH?9$9ht!wLJ#_X1YFF}Di!0@?(tv7^vH`iLQT}Ta(vC2? zYfow#MofWRiidT_;vD`t#0^0d#CDvTIx8v<&XK-!y3;hlC<+PmL#v&UTTS@$_mF5{u4>HxS zT%<}nALg>=U>r#ys_12w*4k!_sg~f)l%$#qA1(QA_%NEZ`IF9oSo9tBT0eNZ5ZBqM zjm?`tqF=ED>pxBXpJqm*)91!*uoIt@k%*A05J0X0EJYgSH4fo**Ds69ewU*G#t~H;P}+EJd@qG5T%ROskP8PPnWr`5&eKqwIf_FKlI}XlN28 zW`~MBQH)hsT+h`l-d~B23C-EMDK}Gw(wU7(nYxKMWcB5>2r_PHKW|CAZjeAcJCx5g z8QrP^<|1{yQGtw4x0<;ncAuIV71>UIw<5V_KJAyUSh2tPlc?AFIaGW1nIFa%T}44jvEh%fNF%IDL(ex{ zx^(6GAue&>ze-{22UCt4NBsVKy9|a6DD+qPmPXGaw}g_`dfgWB+*{@IE8pz>y(BsQ zv*<`b`7e`($23K)V(IzPV++bw)OLW_bm7UIp5xBP?lBQ0t-ct1NbyDpa^~q^^=G(o#by35?Yu zV_tl7^w)4^Id^y}hMX@Ih}r+0`;sw=bJT*Z-%W_ip2XvBD2I4*v!Uz&)zqQ4WFBku zu=p#uy%Zo48ZouJTEF2RjR;8ng2>B9Hi0+!35n>NFbnb!O@;v)oBOKg=T-qc-4y2w zwIz)MI_1oIv|WKzG(q0TQ$0HZRRuN>ipHJvt8mlMx8*cOE$qy|EB_Ed>s}2aE7l!2 zat5jiL^1PvMyLvfEp}@mxr6wTWj$wY6K1n~GiHd|X@%z?P2D7+T)6c2qVhw$NVV4{ z1@vZlmRPk;UiG5fG@X`UQ`!_+rtS(noAi{4h_PW0+)5phu*GVM!DAg9Q*afkdQLV@ z^3c|BR*!`h`Ha>gl*dzii#*Dnz6BLm0F$-rWl~HO!TbY@t7kQ29QX^uZEWa<_A%Fc zGZLucUA47&w~+4UJ$xR%miek@oR+tm9oxY%a}(T(@$$>4(coaJ1q)B<7d&IHylJyx zok?l#_)WvPY75yj|NXkhoa<-aCn1ODM9PCf){!3r%fYCu45COVGw}GtWJzQC#5q!D z0>@?f5f{eK$QJwsa`X`v6-U#ksZe{R6`VBJEM@P#%7cqEy{Q51`LeZ~bWVuH4_kwE z*KwNprKXl*u+>JvRe!TBw7IFu_7fkg0d&2iWW51sxHe15Gm0-(Sn5IhqQK|m+}mjF zjI~s=BJ6kl6z|5OWmoT>QR^k6@lMC&BeyhU|Dn_}I7!x?A+j25KYyIhv$x1<&h*pD z$=23WS>My7y|}s9TwZbBl*b*v5}z#b)1|4Yy>Bqn;riF?&Ju)5)L+M4zhA({OhNOENOd>Dl z*XCW|mKLEb3DB^*{+hB()RL`Ymn$#j!UT75Bqc2I@6Iu0^k5IPd#+uJW}b*l-W$=- zJ#y08Bwan*&L**sSkpJ8}yzRwVUfp3$~Uw6v8Z zGt@9_!z%Q3S)5Pt0yi`lRIM3PGBkB0`e2TNz-B ztRrV-%gSrl%i$oK4lmeb_csjH%$$}EuiL6r%dWe{$^+M8Nvn#YwFi$uMm|xtVyLz! zL3QkO;ULn@%y113^6j(K{x-+UJob|a%}pQ4!yuAl-jP&y)%7ayEXAfXutNmonPE>n z!!1ahSPIBobk*;iwg}>*fZ7fO)r(%A*v2$%yIxN$IfQ!ct*p)r&&%);g1_cRMqBFX zKzgzx+_vyMyy~0i+=Q=BGR?~yvuQ_K=UOh)m1hpKC?=zu*MP9h7J(6MK0?vPu}l^4 zSCh`@1J?#0+1a6e*pa5?b#t}q+HpyuPYzonE6DQ{v~knu=xqPJ_0*UK8W}xFF4Q6R z^?7G^o(y6X(IwLwt(YU`$9D>vZQTB?E7H~RaLrxI<}sBCL*E8R2Z3svVbR=<*<9Vx zcEa|qMjK!2cWd~%KTy~PK~5f}SyWYL7(cOV$oOb?`kdWfczF)br^AvKZRJ`7XYRg& z?C<}2+E&f{9ZzD{tWQhe>}YjbJSJ!W4w)Q@;!bT;J-3G zDvf_AdJB4DjVHl^%I+rJp4b}s2~nqrmbuT3K+jJu=gZyW-UaFh|Z9LDM}L=!eYmL$LEu~J7+ zEE|O8+sat;!-EXuC4+EP*kF2qVdjvbh_kv>UY z=6AmX(T9Iddg_hbALvrRqhQh6`T110j5c4r?5sURBU$$p=RL^ZDBcAF5)G0Of6=|g zeWLAO(CZzS(Koir(u%bolkD{WmyWXW)cZ!Nf}_htG~XjR`aOeds?U6BO0PwVmF zvs*S5`G_J4a|}4evEOj(rG3JoQcarIYFoa%c;kAFzejBn@GCKBOkqr6l*LVSXIfl@ zUo~{c`xUtG;#V}Om8HltWK?RVi|szmd+b)x$qJ@-Xz3`A-Rpw0x?iQU*!72G(#~ey zCl;wy>zZx8dGPF%9S4RbA!dcavcu5k9|A|5Hu7Ga%$uDq=}I0G1E_l9R7-=8lH}4c zm1$|Cel-zRfjhHY2k~;J*JFQZ@Z1n3hCeKiAs#H_`9186M!OCE)i?6UCa-_47;$W* zz4JbrPnE(e1+;v4IGA~n0hB~%qIODDZ^`UhmCGpoNc>7M-(MPES#(-zSaSM+=VbEN zpKC?r_VcuVd65?NH5mkGb!Z0MlrPNf zYNTcBx6bgKnaFvrI8OS0r$~zn_4+pMInMkTj#jQO^Lu=}_CMj4da{z~8XXtgd!%G* zK8#ca)_>md2Zkx-#NTof=9DzoR-L)@P~*?HNrJNNuEGxUUx@?4zq5C=zvSC-5M@3L3O5ZV79q6;NCYXUMAvlgqlZ44&pT)OjFgfo%QSw|MST zdK2G?a96-q=!SY#HPUx_$qA3VnV=SxnVcvXQ}bEg;(-zUJdqDVrngZWxN7ljn*Uqu zSQ~@u+Rk05{@2zd1uHEsJCa7jb>Ve1^4c*`@b!1vbqbe%_%Wu!tU_czr*K_ky`|0P zIA;D|f=bP-hAcn@sWyAS760eKLYnS`IR$I6Hj(`$S1w#azxL?nZWmfqj7y})5! zq0$eaL63j{JGSMoRx=Ie83~YgJo7RHbyX`YRVXiL$OfZl%&Tgah%|8?%s>P5aV+ec z8#b>~_HW1P(j7kG!fX6wKKX!|rQc|4Hffa7_aN7y&mb0`xS)25`==Bi9<-&; zyR6loKJbwc(E2>Hv+WTOwecQf^7pX2ePU9ft5}yl3Iqq}5bA9>d_n;@2{21P)q7Fe z?=#bc8yBXN5J4c&m+oq=EeCUh^AnELPwq#$m*=6`uyMB=*L$K4XT*>+r;cn7_7{Mr z{FF)4DmPJ@Maavwe|zRGa$cE_YlbzB#}tx8-ZC4JZZC^VFb?ckV4mq#VuNWEfgwF6 z1}UZ#E2kC<1usT+>_%uclxN1V!AVF4MTm&wuX)wan0rrwX>^tNJ|on$&OOy+PKXHb zuf{OYPc#!YbGMg@bozx_lUf*(wZiD!F9(+=NttL?^h7HYJ#|yzi};p1f1CQ zKJX$9SLgHvZ1x4%SsI(r%jWO-hy+Q)eqS-9hw&lqQ`pYHSL}beS@#N4xW&!Z!I?Yu z3S06IQ~g*V{Z5*9RNUBvV)!ZGXEW!IW7<#9!krGUuuYBJzym0)Es4~vat*QnFY+p4 z1bPxi8L7#oNHq{w{4UorL;PfGgqmYw_&ST}om<|+JNuf-PivWEy7{8>MW{Lp(IwF8w0A`CC ziarv_>xX-TC$q^X^Ew`Xa@2Ir(wh}-48%_jmisQ2W{~_je*9xCe%9b;n-@34l$6hW=AAlO_L67V zbmfJ%*C>k=aP6nd_)LF?Hhn&)ozk1~qB2eIO~hgDgcU;&WovOq%m%s(P)$ z3OUSTb%tvnerRS)78>j_bONmJ;oDNz)gE=Xc*dA;56?L17Da6W2*J^4xAN(x?qk6FQQ-YJ@cy4L0mOmZKLg{8r-{%7iLeBT z&;*IROIr&ZF`M*7AYnK8gz=i}5TXR0@sb$6-ZHN%h1)%N<%_{gp5AI2W_aj<+rzB$ zntv$6=#*x7L7E(G>}zN@e=PvnHykJgFd`f}q$c_oEK1i*x$fB~9KgQ=8C;Lj#II`u z8i%-+VQ__nh?QO#^$tilzZce|n^rzLRaUq&`^ue}Eoo z8eo)eAxu96*&!#cB?oM%O%uICE?gq(R>4rXBAG#oby~+0hPIL*><9LB%qfG4|t$Qs(GGXJT21FpU8%eg914GZtQRrmS{4655pw^Bt)4#uyB%DIfB+W zu0Cu|WU`Zzld)rclU>0u6PESKIjCigb|CGs!l(RNU3APGL?O>rDv>m15FzX+emz#S zXDFh0IjH343xu0N8r+PUPok7U$xrx}0h@twomDnJAdGuRmWx5FDN>IqPWo+5E3ls* zo~6~=$W9b#7L;igQl-mk;pi`p?xiNr>Nsp=vvYleeG-&tS#pskPaFIiIj;Ad?iB$i zI$X_7 zA3Uev6anYjkmZuy{V7ZD@)U?n{8Ni9O_@mIT;9r<4Rr&L4Ne~a!r&$pfiL?Gfo+Uf zMs{TcfxGPz45(4a(Hs10p=ADwYsn!@G};wl5N?Uz>6Ma-o3VsE4n>$rH`$AruF<$o zpvQ=-Ejqru#)4xcHO?P5d)QG;xI|$=)s@g}M&)=FszLg9h`Q=Zk)9nPMG>*|f6Ye$ zo0W_{pXi-HHzyLnwm8e|sxp9zYB?Fe&v)YVya9cuQDzPSrXTO{y=_NNP-=_zKT8ow z(?D1zG1I$<(x|VaiPB6gb1|3LgRtOImQj8zeEI$Ur){40cyJDVQ1$yUVf|pQM6_Fr zbkl=}x|%PrM6?5h;Y$phVs~t}-{^zh#;w~`aaDoR2ZLd2GaNpYLCnZ1kCgufZ0#H(HS#-Oag|-7p(~Qunj)Ogp`{M}F&GNxsm2x|4-V3I%5AENA zJ4l$0ZM0tB=W4lBP7Ss`R}rm>r#@Akz#db>)y{GA*;HkkQ8SFC9LP5T+`2M}!KkN1*ry;qZyEiJ*#$-5#_Uo>>D;Qw=x%^4_fM*w za`t*KFC`nNpGRQZ$P~UV5DUGTNt!#Z^FF++(=6pZBLfp0o^nOm?hr=Q&Qf`^sPA>U zy_~8YbuW`}BK3DTie9nnf~h#oUN`$E;~r6a-y52uy%=K%Ngn6gonWrN!KVU)^4E?1 z6GZTCbutYGqAU~lB!tL^*!9#`qX22~8@wkuQNN<x@m(p z-jS#-Tw8k9T9ewyPB)&x@%DjHR_@IM{iuRo)KEI{IX0t(8fOLS3d}^@pX;M`4gKle zI0x1{L)sw0Z4mS04MsC}vF~TR5b(rj za$dP{UO`O%kemLw9~#w`Qr7rQGW{2hQKN^{9;{cikB|IBqJew4>=1Mdk{oqyQ;8nxmRmtGh zufeMrOkXr7@i^E1ZIKYP=2)eAAL+?E{QNuOd~Do&Y$S!>P)@u??pgb}Ev_kyeObr6 zt6ZMvaCb)RfuSY08BU8*8MaU6F6t8_&QbHKb^)oV3t?2GQ%uEh4rr{Tp^tRH> ztkG;H@)m;!X!i~yGfv_A9OH|t4yFB_w6BHW_%)ioQMN$dp%w4^O-UZr)@WvK^(Peb zo{dJ$lX(h-&P+r{rH*J@#vWAf;eRw6)%+@oqM$%E`{S8GJPU3_Ms`GzxSE2D^1p8g z@plF{6;jiur$#wFd_jQ+GA|-QKIEP$zX#$gj*_r{uy-TVy=B@u>eNVzMCtSs$0$5t zqf?5~`;i5s4^+cv3WatyOvf1f1>B5G)-rEcw*wef?phn;r z-R>nLUVJch`lbWFMbSX^CK7iUP|>%k;!P)|0G4e~XzEqnBF^_cw9V_0(>k8I#Ue26 z*((8n{20rSrY=Ffhckz8z>5yWVVFbj`<+3cTPzy577d(>2JS(-^+&rcL%ZcyLpU(T zZaoRDw)VX)7@AVl)6*y7W4E%77LB{SPVHiw!l88C*C7(TDmHIFlYmwva{_MozS5pk7D<>d>L;R0ja5V)G7x_*Qn7Mu|DlZ1YN31CWd*r z{dp2{&OYRH=9<)dI9si#5iafxNjGnQUDiKZ&GF`JZNi}Gm}c6B{dhDDbq*W4rb}X= zPyZRrTvYDQFtnE4)b+$z)Vk$lpYr2^H_w=F<5FvP&dq7`0P)QNf4Y^|{sV=>gMZLu zB0q)@E@Xe~LXCFDNhS5#PW8a^>EH7!*){)6`tR@Ke=$Z~E*2ksqJ=}<4W|X958Cx= zt|5<}SHgIYmG$bWW1CjBo1YzhKD0v9^zPV1J2r>r2akSkGR}1zCmtq@kIhuMkZ0=3 z`*PiMVF)_2YFw|bLN-G;SGh_fe{Q{jO(kFrlr<5qz72P_n6J4AZ=7!rg*>Af7#YS0 zwfDRmG2DNwKh!FvzjL5IZg)RB zb8AJS%v37rx}HkswwwkHg9xi4INf%pHaHJ;$(qn-HM|T~0W)>1*19?0-y7g3pESQ4 zKBLrk#}!N)S#I)Qn2h>EItRdEXrpNT%<0dHVx>QGE7%*BOK?$aN2rejid?N(CjrzA zATANoc~A}D=%BJf&;{S~^1>nTh@8=dxTBI`<;LnbZ1JIZNy!j`V8Og$Qm4AF6BZ;i z>Z3a`LCpr`D@T2F))TR%9qP16fe9E)!9U_IDLT$i4LM{AND-KrS(vM;nDMQ1q6n32 z?FQLD6K%}TC9kbs_U_Bo6n0uOv3{@KdmK|(Y7-}NPb8|c@LaD8=8m!tK4-uA>qZ)z z_dcTm$>~ROU2(eWk3^efT1R1cq;g&gFU?>Mo$s=WV>|_5q{v?Jz58gtX=Ib-q_H*_ zsr&>%3SR;_a*6MFmKI{(2sk;h&24E8$;#5g4r5fk3RQ;q^}91_!l7RNiZ_=@(U4UO zCQ1wDmM^B@kGw$F0LAeta}Ut63`yIAj2Y4y9`pkW79ZRMi4jbfm;6;n?1L#Y_ zBqzI}65;~dEorGS5c<244qGO*-C9}#u#yh5H*_|#LN}xfmDRtd{kr{?P&(f-EV6dl zBbzZir)}hY_6OboZH>UnwaN$6-+?oy`o~(6&7}S;mjkRC-zp$CgWX{8>qwzS zDe$muE0{VfoCRTP5l31Kq1sBZbJaQfkNxAfA;{~S(tGO+seqfqz^8#S{NtefK$*E- zZ`;}TiRj<9uAivQ(FvhOwlZ=eq9J!(f0UlTAzc(D^K~+>T^0=~50*5LlC0v2ye%Re z;%T)HHjr~G2?VQxu1)?1Np=M-WE?X6d88MJU{JD@4G{ME!+<_4-4p7iZsfdfIh_NS4}sTvfN4Vi6rO0@avS%!byDT z_=x2J2Q^j^pEu+!BiJIu77CF`S@Y%ju!P=JcPtOEIG2fQoufap1oSuau)M=Cwl?xA z5>%4{kj$%ZGXH&p>v#AA3w@Qv3V{Wv0rO6e7pByL|v=yPnm@4oM4Y`&WaJ z=2&3TAn;To@rhZlB)V-NTRVOG2fjIgM2XV(zy(03L*g6Z1UwgeAs$Hy*`Y8DaqvocTTTvHjDblFM6IYN+%44sAbqX(M6e5r_u2J!! z{sCE7WhZ(5M}5#T*XmODW~MunlKDJM)EV17N0@}$(e?{N17dzT6*qPCO1LTVF~F<$ zj;xlV_gCyefrhn!o{bD5{oQ%a`ZJ*JGdw=VD!1kk&emR$?4K*JQfn0{X<$ial4iwa z$#KvpE1>z}5&#cQ4OtVtuW0tUKiUov)Ay?wL^N=DfnJh0Z9pf5UtEME>9Ycx$7_^t ztcXm(M>lt!ZS0G~%Q&@K?`oqV4v6sD#gf3Gge}1lHY4^}dw3Uu_?h!dX&LuBP5CP$ z+G^YNx#BU_90C%z<^ikg=bbg(k^4hs8lIeQO%j?16vm1tleJqILlrQo+*|XH16@g9-}4<-?CmnJ z=WW$F0kj))drrthRYFvM6pYaP3~BU;b?HhlCmUyrd=yt6KM2}EJ>L4)`S$kqKYiuV A>Hq)$ diff --git a/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.sld_design_entry.sci b/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.sld_design_entry.sci deleted file mode 100644 index 7ef0f30be882876688803abb40a778e34dcc858e..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 276 zcmWe(U|?9w%?KnJ7_xxS7)Xbu78Pga=P4K(>lx}9C^(g7=Al+yu z8Y={QDTJpcDY&L&mH?I7f%P*m)IsU}P#Q#uKn!Q7U`$F>VEe!z`q!OVp%x_b|36SH zEg{7tAtB+1@%Agz1U-xy4b27Et*eji-oaMA>$jod35K5r3_-$-M?UJ!th~8&=f|U} znqgs{okwS$bVv(Ki`(T}XUgnYT`xlQNzkeg~ zNS;M7d_yu@blSiB8lul6G8d#O9RI<-_k~@2X_lTKVfY$f^JT0|3wA BTbKX< diff --git a/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.sld_design_entry_dsc.sci b/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.sld_design_entry_dsc.sci deleted file mode 100644 index 7ef0f30be882876688803abb40a778e34dcc858e..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 276 zcmWe(U|?9w%?KnJ7_xxS7)Xbu78Pga=P4K(>lx}9C^(g7=Al+yu z8Y={QDTJpcDY&L&mH?I7f%P*m)IsU}P#Q#uKn!Q7U`$F>VEe!z`q!OVp%x_b|36SH zEg{7tAtB+1@%Agz1U-xy4b27Et*eji-oaMA>$jod35K5r3_-$-M?UJ!th~8&=f|U} znqgs{okwS$bVv(Ki`(T}XUgnYT`xlQNzkeg~ zNS;M7d_yu@blSiB8lul6G8d#O9RI<-_k~@2X_lTKVfY$f^JT0|3wA BTbKX< diff --git a/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.smart_action.txt b/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.smart_action.txt deleted file mode 100644 index 11b531f9..00000000 --- a/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.smart_action.txt +++ /dev/null @@ -1 +0,0 @@ -SOURCE diff --git a/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.sta.qmsg b/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.sta.qmsg deleted file mode 100644 index 9ffb14e1..00000000 --- a/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.sta.qmsg +++ /dev/null @@ -1,49 +0,0 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1571926298032 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 32-bit " "Running Quartus II 32-bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition " "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1571926298034 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Oct 24 22:11:37 2019 " "Processing started: Thu Oct 24 22:11:37 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1571926298034 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1571926298034 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta four_line_to_sixteen_line_decimal_decoder -c four_line_to_sixteen_line_decimal_decoder " "Command: quartus_sta four_line_to_sixteen_line_decimal_decoder -c four_line_to_sixteen_line_decimal_decoder" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1571926298035 ""} -{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1571926298100 ""} -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1571926298359 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Core supply voltage 1.2V " "Core supply voltage is 1.2V" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1571926298365 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1571926298511 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1571926298512 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "four_line_to_sixteen_line_decimal_decoder.sdc " "Synopsys Design Constraints File file not found: 'four_line_to_sixteen_line_decimal_decoder.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1571926298858 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1571926298859 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Quartus II" 0 -1 1571926298860 ""} -{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Quartus II" 0 -1 1571926298861 ""} -{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Quartus II" 0 -1 1571926298862 ""} -{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Quartus II" 0 -1 1571926298862 ""} -{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1571926298864 ""} -{ "Info" "ISTA_NO_CLOCKS_TO_REPORT" "" "No clocks to report" { } { } 0 332159 "No clocks to report" 0 0 "Quartus II" 0 -1 1571926298873 ""} -{ "Info" "0" "" "Analyzing Slow 1200mV 85C Model" { } { } 0 0 "Analyzing Slow 1200mV 85C Model" 0 0 "Quartus II" 0 0 1571926298874 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "fmax " "No fmax paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571926298876 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571926298881 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571926298882 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571926298884 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571926298885 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571926298886 ""} -{ "Info" "0" "" "Analyzing Slow 1200mV 0C Model" { } { } 0 0 "Analyzing Slow 1200mV 0C Model" 0 0 "Quartus II" 0 0 1571926298897 ""} -{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1571926298942 ""} -{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1571926299883 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1571926299917 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Quartus II" 0 -1 1571926299917 ""} -{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Quartus II" 0 -1 1571926299917 ""} -{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Quartus II" 0 -1 1571926299918 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "fmax " "No fmax paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571926299918 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571926299921 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571926299922 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571926299923 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571926299925 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571926299926 ""} -{ "Info" "0" "" "Analyzing Fast 1200mV 0C Model" { } { } 0 0 "Analyzing Fast 1200mV 0C Model" 0 0 "Quartus II" 0 0 1571926299934 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1571926300081 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Quartus II" 0 -1 1571926300081 ""} -{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Quartus II" 0 -1 1571926300082 ""} -{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Quartus II" 0 -1 1571926300082 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571926300084 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571926300086 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571926300088 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571926300090 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571926300091 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1571926300329 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1571926300329 ""} -{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 5 s Quartus II 32-bit " "Quartus II 32-bit TimeQuest Timing Analyzer was successful. 0 errors, 5 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "383 " "Peak virtual memory: 383 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1571926300379 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Oct 24 22:11:40 2019 " "Processing ended: Thu Oct 24 22:11:40 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1571926300379 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1571926300379 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:03 " "Total CPU time (on all processors): 00:00:03" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1571926300379 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1571926300379 ""} diff --git a/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.sta.rdb b/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.sta.rdb deleted file mode 100644 index 935d0c51e133ca1263a72733752e3dd05b44d6a3..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 13326 zcmX||19V*9_x5Ak6Wg{L+qN6qw%JA#n{C+GYLX@s+h)_4jq{&=fA4zNtTnUlor`ns zInRDRd*6F;ARr)Wks-m~5D@m@4;T1X$I9Kq&c&IWgNv1em7QF|%g)h~oP(E>oP(W> zlZ%a$or8;9Q-NI9%7R?l(#{jSsjbidj&Q{J-+>^O|F`(x0vh-K*7-u71vz;T%chdL zRo`Y`^_*>9tp2tCo5G~Ih8_Lsk}F*b9wFIeGZpLWfgMXdNg`YY~G5Y7&KLu5l1!EIqV^x1L|JE6IJ;YqS@m&p1FDzPrXT6(yL6CBngi>Q@M%#!hR4Q18yHsBe|FH~sepBjRkDmRspuy5sU z@XZ3!sG{dJHIb6gANxq#vMY(zXhe24JhePe2vq*!9bW2|GBO@jjj_jegd#o@&20W z_XQY2?H&SC1-p0vg2t=Q@Z^M6O!e{h=FKIwhHnxM>yt*!w#dMGre6)_FfI(WrC2{n zUwg)ErZA*qlYY{~;Dad5(nW?47J^5nC|&WER;;4Ru1}qJ3=U2wshiFuACJhKL(>v0 z!l`W4$D=p=5phPG#a!7Zv#P3ldU&@J*rQixAb;bVVWYOoDGG&b4sa1tX3o{Y_#|kG z$IAy=UiECXchV#an|kt@p(x4c^79Y&&tWm`%!hl~+U0}~@a>IOc|-O7bIdgP7yjx6 z&%6KYtZJ#IR_J>yp8{=kbr zxt0EzjZ~pm)k5R`>M?rd+3K6pEM({ZY{mKKlIAO3S|Hrd9#)LuJw6Fnf$U8U zHNJ2U!ppWvsBzc}c?4vXylHHLOb6t0Zt^)M;lQp%T){F)wa&~jQzvvfy-1XWy1K=~ z?sl!>2SO3EBJsLW@XM;8VgA9Bpq~c8PiYwB>cOc5(_b%`)IN0E*0++b?1h`};Y27l zxO%(#GXmrTGe(%{{;-uyY*>^#1_8zf~@X5h$7AD4|u358j^mKVfYs^@c;D%BTY}2F@iN zAvqul2KYXI7PmQgwIk7P4#%=m+$tW1%4&};VT0DBy7r7P+zfKdmE@SlJ@HApEFr7XnHj| zzGR=@(koz0@*$G`{1>6Y3$@z&ljBKm8hqudkG9AzSyAM2%NO!el)c`a(=gB%wOKP+ zn{USR`=JLRk=v{J5;4Z&2rweZzZ7DB)9PcQO2FN&K5vHvp0Cl-ozAars;b;Ly8p$- zm|F&M76$n{v;-rXv-$TKf)Izq3%3OIhzsj4aE=XQsuyc5-)@*c?v?~UoP)afdxQRB zBhhb~2E$4U=l1|>GGYq1o~`|SZTuega*r_}YLd}*`Lw}WW=wva7OySb zQcEO)2`c@zAQ04+xGgR?GSc)K!4OGSoi>a-@ucp~8MT6yPT;$*JU_RM2omt(SRDw`{UpytD zr+sMX)X~j3HME@XOdOhO6po)hfe^FA80oQz1eb@ zUJ_eX&aaLO$zS_r8> z8y5m4q@4)Hn36AjDwrBS3(X7e4tl9vM#oe99dPmVux+>N+?@3|fk?aAMG zx04XS&Z^G~Gw+XNFr9R%O~X&1(R1P$40KDK#{N+@jH2|^zG(k;_waDvRT(|C z>O-G#p2oW(Q)%0B7}T^*dUkOy3YX%lC`UN_u|WUGfX4cJHNV8LhcY==R#uiwa`CDf zIujyB;(~hC8>vyLcU$BHqK`E&;OhLvPi-Ul5ap*Midvt5Y0WJ}bQ4Yw3a$PO%@WvYVGWj+RyWSU=5n{%HK!OZ`{HJjb^{MG;x?UXrgJO&kK| z*Qz63uDBUgwrXV>2&D`4x%P%RW5D2>dC|nqE#vne`!6q#=m)Vuwr{A&Sk}jEY55jV zis3^P5LAL{L;ij?z2E^8&Yq$r^E?VE4Bzj@aersI8#&yAJz)}al2s$aDNJ^m-Osv$ zN>o#8Lw?|Ma|~2L)mNRFgvT;wn?fpXL3)Weax@L$$gfeopg!k@W%=2_Pbqt=pRvnU zzC~dZE_!SFc>dxf<49sT4wVrTF0{ZV+KV=fUu~g$g!ZMDoirrDUt4-p-r4(8y=m0!1x`o5@R6SggG3_q@1``<{Cf7O z=uklTgegb@qi%}+FqRh}J`HUx|=_R(YoYypYMW-hLO_R{)(EbD=0!^hG0WGc3YS%g+ zv+!%EZpJ)j%gSsI0=Q{2XXECH>+AaTrWvVai0Y~zQW2+#?7#Ny%_vq^q4Itb@9o1Z zi^Lb8WV-?JPdzW7Ucl{D@1hexcoC-DtA&H-%WT@b|*rn@7 z^RaRCZjd~+mvVQ1@Ti&qgF5QT)v*$IL7lF)eAz*yL;# z&#=Sm7B6R}_8u7l&N72>mR~9`=10{l&#Thx8!Fp+($`d?z9BQRJh29-7tsVRg1ggf zbkSa~znNPM%>vX*9WRK@t4nCuc+?*!EVJjHXmAD4Qx9}DK4~^|KdgseFbU$qvukIS zp(6YmG+kjFa`_ADZtkA|lbZ%=NS&z6LE-FfQGQWNSrBS#P%c>$y0xm#g%nI?TB8hp zt^A6l>>3`Ohdt`9{Ww%>unQQjDu!(t+x3bLU-1kFBub;$RcYHmk(o5&u;+6A$vut7r2sGZcX*X0Cf7Ji9i_P2# zY1$S)`TPC&Tc}$xS`fwY3gzw}`r{VIEH% z=nN+E5mwA5iQ8fu6tr%ypTra&6YN}xM58{c1Ma8@3C1sD;J+_ON?bWuNz4lR=# z-;9tNN{HlNZOOkDl}3$T4l9X{zgHB#&8TKL zQe2Pw|GZ}vFqpR%y8rbC-_eslwsB6xZHo5d$+3nXG_1cv=tubEesi4!>u0_{2tapE~*HLit8r;bK8*z(*dkv_Z6 z>j09XQVRCO$q?j_3zo)17#H=>1oDLS;M7grt$@(XWnbNzzOVrCE6!_{Zs$#>!(1i4 z9bk#m&|Iw5t;({V;%Anmme-6@93#ViY%}6Zk=*_K=i#I;!%<6!Tg(p?vbx# z#7Ae#tm>AXS;+NmNc94a$IT1^GhUr0p5iAuFaxO`ty4{(%m1A>tTTdZYG2ZVuOqHL zIIzAO^dozO@AlD}bbDeySC8P;kt$LK!5-EY)rEmt((-XE`t@`JV7dtYBE~@$Tbr)%*Znmf>QR??)&iMd(+~rS zx}-|G6cktZA~3O+T&$e4pwlZG7dQV|PF%1@1$Vn7YzvZ)pXy*KwW z_U|mZWePm@NmDp-i_DRjh>oke%ce+M-O*j`+cfD1qkK(cI2fyvO5sALdpdSq3TX=r z0vK&RjL!@Pkq!n>=Z~$x5qmtU;^Qc}UhU02io8JL`^?)01rM9%)n7MAs7z+{O@0C? z)8PX*%iPaM*HrjJ(Y+;NB-}0tm!1#e(t?Xl;HRG814&_rqGP1{ENF^T;cIt&rOMqL zD3r`I%&XT)=g}!JeArp!pM;Dnk5LUH)cJ-`!vxdA2$F=1^7riH0ZB27iAu^S0%mfI zTcsmPr#?Tb>2plFyar3PIha$PM-K|o`ttUMS6UuE}{}&d8T?DsuS(gPh zguo1e1 zIj_Ax2AJgYFrfrUNV+wqG48^CwDA|@MxFc7I6{#pC0$9D6+~*H(MY&E67?9cXy`I> z$yw7|d0PlkUpg;qnI|VEsm(SY-;u?3SurpFBBU;5I$F37l-EtXgQ6bHNtUQz;L{LO zdEuz%ZrqP{Hw%l)MF=CF6c?Md)|}nTp(J<7+2?dGL!t!(WSVidcXXm~JvZqRlpkjI zc##Rs8y03X_>iy<{(1Oa)`frQAzWqHmE%uwkCn0CQcv zybBrtsv_nf)QX8hIp`2_PD!F@=163CTel;k7H?W&iCOw3H$qzv5#kLA}S_TqRZ(5QUn|K+dp)b1;ww5wQPZBbiJ>{IH)`rdICI|=LYpE!g;(3OZ zi3IFDa(@|=8huZqk|+whyGX`NV4c{`ppUmF@KWlNI2$=4(P0M5_|;-kj)AXGwg&|czsJeTpHMW0nEb~5%~Bi3d>~VGz4T3x zZR26sT|dzz#2Jh%DX)$>p|jL8EScw?^43Z}*phcIyGFuWjMiIYsF}sA8lT&|Y%+ zG>VxjJD9;40cSp^X0u_5`bQizz-7=Pb08E=HfT`T66aQdlQ_O^MQJaQxE`iKV|~Y7 zJ`$#$Itc~vWFjvdi?@v9MkHr>0^w&8*XV=+eYdI1&gaZ zf*WK7=zz{!=VsGci%sFWb`KNwSpg6gniIsiMJs>46z^UqlDgzvMG0GB%8sXb{zdp) z8wS&z!v@3Is=A?(Ej71WB>2-D&;t(yW)j5SC@>68bF>B4&OP81B~Sm#^3u+jNchEpOE$8wb_#7iE#DK4GbL z^WHSAn^LPTuLeS0+C)h{z5)V8r<<&99O0IUXwh$u57$g#L}^?HHlzU$N^sp* zjSwL@Y?V)peiHqn8S^jmrKN$0Y*G0?%e`KyOq_Jn!O2(dd0rvtN%Ve76_4%cM$t{4 zhBqt*f8wdAQTk)xWBBSmr0rZBld^G}*pa3H72#v%)A^0Gmw9kS!kljgo9eE@0*{IK z%b`W<)R(8ZX)?DhMtSp|znAB9=oL*H9T#|~!}EbI-6q5d%S*UVO@}HXHg6vgDxLVF zUIuRmiu~|o-5T7=LxE~zcHsWKUq{>!7`6z8A>-|`1tDE~;yX4W;J z=`jsErzkLyl=ub*3sEgyM@U$n$^TeP8s)_X2%X4S<{D;WJMieVW&^K(tm~~F=^PDB zCjp(Tt)`TUF;}fAJ&vUQZJXUhyBO=pl_F5>k~C^B9WCxGQ0fvrkrb_zs*nAFKVSC9 z40^o*o-y)xKM;B^O+c7zPvtQ5pN`jLLwA3fa6LKa>q0Nw3~77`47uE$4$;J6b#8Gk z`LgzH5V#e1`Hr7-XEO5OYF4YiFhaBA-N~hb9jGA=H7&ApX!&ZMchNjw=IbB!zH=r3 zGsq=^UX)g0=iVBQ6F7m$JnpcX$rw-{iYoN=`>(uye;+n|Jp9da=u45!kG-e&i>6KQ z7K)tsNyH5X%w~9WpThSufh?ZDLK&rXh%D@OWXf1MmLBGo>UQgn4p2c&;|h#9G7B$i zUO&vG2nYUvqnm(#^azHtl-x<;=RdG&hhK_3n&2KJyX`_VH*oo2+?N_cQ;dX)z)+Md z`ImFhm{OO0Z!w=e#IFT_%jOcb5J*8~Gg;aJ>TQOXRp9>ce zc5fGt(%bg`*MNaOSyirtQx88SfyGt!bQoHp)d^E8+2WYBronkN0|VR`pDHd__LJkny5$`3_QoRdeiFLSh@zJ|Cc+W8Gj(~Y*y zSxpLfcl=T$bVtQc`P_%vtH5xMZ8uw)$%VUXM_V5y>c&X4qtw= zWw(Ck`H79l{Dhh?%+fmgPgA3_Hwfv@t}WCOUv|88rm%QxZ}3o!T6SKUsRA?`prz2l zbWy)c4?feSdmZp9Mht<0+o}KF_*jt0h@bnJN3Pdxd6O3=>ta)+rX6{M1f@hGoQLjj z8Kci2h{MG;O_*E)9Qm!-R1di2D&DAH8UmpGHq$oZleaXDpCHs}N*GGg$KI&qPDr1r zx0yK5JBGCq9O6UAYzCvmtWmSc$AM;yTN%fT^x$}Yt#GJGMewb2q(j+b0nT}8>!l2* z-R`D)Bsm2?5_Nc|xxFG>CR)t;33yZKUP?~#aH!@cNxuK-2eq&BUpT4XL=VME6v>ys zgDvJ-Jx#qq1RV=vQ9tzB(U3d%Yl4MMZ1}GaDU~ezVBq+6DQ@PVp zhJX%9a12)pzoc5|KX>x|X~+_;mvSf6hmDdm2ArbM@w)W&Ly&&o|BXXdZ21d00^DAz z>~ytU!5df6TFjJNbKHu_-H$-wn#x5=AD3VDIYP8}e;w2h+wL ztpvG_z)9^M$P`YF^)qr9<09NU2;Y0!Kn4M4c1;bA46k9M<2#IG(KlW9NO(*QFR|S! z(Ia3a6vvhDiHQOP^Nq3uXzkDutj0XIb|U|-3|fPqI#J_fQAJ(i&E zVF3zJQf<~HJBkgnZR7d9HYyhy+T%1GM}a&5J8U0CSl+xu$x`nx2k$Ntf5tq9*u<*1 zT~2`q*`oO5(q{qGLaNLpaq>ZBK{MEajy2rh61oSh6sQv|-2d@p4Di^=nt{m^Wb*ctHW>t{b5k(+*&p0SG~-boZ2JuH-g zOD7Tse6-TPMRI=fy{d=?4CwXdfCO;|k%*zzT7lSkIp(j)opVpzh2rskG=A=E9*qel z|ES}aJG>CZJ#}h#sOAt8s_~+Dx1%mAN@c7rVFI6-z-r}{(Il?UX6J};*ed8JWUCi` z?-j$V^$>M5rHj2i<8aV*msmm9DM%sVXcgb{eIUAQd1i&XOh3e^y{TohgNdB)0UjLC%FsF)Nh1WTo4#*2jA z*0ntBZE^up)<8M{AKbcws!>KnGDI~VZnPw-|A+9Msn_Ma53l(UQhpms0L zL|c)6O8lhXOIya7c$MOdWV{PJlPJotbZ_*GO*bOnW?CKj{3Nyml%n~U$`!!y8W?GA z$oX|@{>x_Ey-qV`%xcm?g<;~qIkCI0p`c=|G7VtRm$cNKO*O<;SAMGd@!16A)y|&O zW^OzRrsWMYQs&NQ^J`YBZ6**xNy!WjFO~(3eiWp$gf|h4a7=Bpn`dO zp%6NeS5=63>;}#WI?~7UEQ3sjqw^j>$L|pD?bEA-{j^^iG+00fLne~ssvd_$wZlA7 zVl#2&Knk$>J8xdq{!^kbCojxRw}$F}j0qj5iWc^&_gw@{PCAhy8K22%C{{=e;b3}4 z3Sy01IpxPX=PqKH-Zph;yrH>7krrhF#^{|^l`r{tsxN{IPm1I)YM2EVzt1|MEXIBo z33zbrv=_#1lAt{KQ}OKnr#}LDR$QC1KeLemL~dY~EC`rIl#!z?+y##iboLEqWxP!` zQCY0+pE!NYxgY*~n-0c9O!ro>1p@ko0X)b$=bZ!C)?`??#S7lM$b~;$WRyzss2kjQIVmNR~44y;J#i!`PG+pb3WTps_LOQF;qBW58R7 z_+s=S=MDI;?&_Nv94;&}5>C!RqQlD$7lmQ55nHg`hX3ew3Y)e?!1op^*l;o!+61zd zSOP#ZW$kEG)0^sHH#ZC{MGRw{>C#XUmIkV@;nLAzPA%d$NrufO;5^|x{c(d_x~7XQ zjhaz3Rr0@FZdqg>U=*5F{V$bc%aTwWI`QZ{=EuG?h>qvbP}Jahxp)P^f=df^1te6G zMJWL+5y+Om$imOs6FA5T0j)UrQ3p`ccS|Xog3ih3^Wgc~+Bo?@!$`_t)@!MhA==VA2)lu&{Ni`A?$ilhNtvyMAI75e z_vMrSTp^u{r-G_C6m7gC{fJ8rvwk7P$An#@MYmJ7XA=2mRva_3{bEiD^WnNb3SF!7 z*iws|ax8c1_&JIOHG;!9DQDY-gsDVrf^T`iIN0NK z8(%aP!rFm;tj(ZYm#y#Dwg_K-m7%0xq*%0FEFb~XBR?iNOAz{D17 zQyaIwkP)p6K5j4BWF}wDP?&{@yb%uv;TQrI{7p}4B6*IfCIX^~{ImKB_cW8&P#?Ge z(ab?utN&8!yNhm$B1Ab)_=8r|g^^y5C89&q|bjl&_H{N*Mm5@U4U zNY&lWO&N2BzcqRK|zXh?SFOo6^x__2jLeeqC5f1c~Ok1_nV=CJ1C?7CJ6M(!H zLl<-~H#V;B`3?VOUn(<2a+{g}-EPhsP5j?_qGUBs}xLfU-*PAl{|p5Jdg|+^qr= z?(ni5AL?Oeazdt^B6lloQ1PeK4Ax{hP(n&|UDnh}Ai*(>(jR#n zf>twuAFQ^z$$FX&ySd8$oEbH>SRM?k_iUws2wDO>Lw~~qcpP2Bj3=1n;gLPd!J;eB ztqRJg_oeATjc57Y>vdrbP|}ikVxKnI%)2d}n#VPNW9k4#iCI z?Ict|9bj6OlTpMv5PL|M1gku*7wp0aqlPj*fT#Gh?|oq2;!ahJOcd^EIe$-wOx5ED zn_4YX*Ur#qNBk3sqHjyWRg&2w3}AcP1CFhWW~HDsyA@^WruJ|x0XMp^;lWJ(5und+MrPYtO`=3q+tX7@RcTSCT! zx(SoYTa+SMG$PGb+|M>1Adf)|)qqB?TY0gjm#!YEei)8BL0)E2Q;g0xO)!WG7`Ar( zne^>T)n8^khf8s*6ho-l(N80MRRzfYaqzeA&kg?& zGTRY*POnZ(JAqw}qaMed^9dzYopoH^8kU(ZV0CtpS+D$|(-s%o?R>odi_>NlTVT8` zs|A+RHQI(&g8_JU3HxL&bqTpNO{+#g7tpz z=S_-e{3(Rr#TP^Bu1y0mFly{f>G&Xz9}yW_w6f?DX*|WtiV~>wx~)%{@yj=Xp|Ep3 zTs}{RHO&9$UE~Zv)j-RR#0KxvLE(oJU`qyfT3L+SPs~ief&ooT9wIsFCoW z^~m0p5AzHQdd7HUs$1qU7Lp8?H2nPuZi&C*+m4@FPyHU}&B78Urb7hxuyWe>;L+F? znGOvhAW9hAT$dy`-kHC*c0IxL$}M$qn<9X#bbD3Ox>p-4!Oo0+TAs-iSGWa$;X%Rbmy!~kyYK(6J8~GSf^I678oV@H z*Up2lVBJ$9?DA(>EW-1oeoRQ=WdTdX!7Q@SgN5p|r-Uy{?c+DP3JFQ+wX_g%s2YtD z76=}2gf$ptb*2~z9+(?k-Dz9$IxrvH>2x(FG?}nsLTOi_;?)kxg;`V$Wrzw)b1Fo9g@J2`W`D8OC1-=+18sK&v-0wIeon9@H=3?Jw@-P!`>|u~1-CC%G?fNriGCAydKJSd=FbH1HvWy#n%#ArNx$ zdf4N8;CaryGINY;vv*?2WwC)9$_CvP>#<^=Yp=`xD>Y^Z zf^Sz?c-b3kac|JJ`e+k@Yl~QyU}y!HIB?53ZstS?fK&)bWPVyL5x1}&0ijeD4c$q> zCFGW29<0h@V>dBMp3C%C4$F?V(Tp@p(LyV>wBc^w4(hxp)#0y?;quSdF1yq7UIoe~ zwBSmlplYvC9`&lA-_>K^1+7&rZih85zE0#Ynbr1ia30Oq3Xhll7quIZXK-LLNiZsk z_30UZri^1jm#?qghj>9W-jl%sbqz0!jnZ$mMZ$md42`2sA`^i`NY41 zVWAkymAH&JEmlYFiEJ_m*#4#rd-48&P483O%?C2#otjKMuTS;Ps#I8UF6e{GL((5< z(rQGMbvu6RTdAJ2g#8#C5qgB?pSAN60%Z=nEV~X6kZLYZ`^**R?F&N-{1O&o5H^Q; zNH`?!<>QWG32hCbJWr?BvxmT6J9?bct!OmPJ8iE_-qh`oY!QAWDKYr=GcJNq+lYzz z6se{{Eecz{*G~IxcxN`FA*ceq|ET_;*aWJ+J{fyqGuouG-{bK;ns19~%%DEjzBrz> z8BK%$g1f5S`~~;_`1GbZ!;xjQ@q9>`hH50 zv(|9|=xIi{Vp=$p@6Ofe0~&%E`mmH<=IB$O{`yz>>UQ9bx$2y5H*?krN(3e`Y-ww< zpeS671rt$doivPnnN@0|VuCJ9Xyf1Rwg3E*e~LdDNu;}2x90fGl$-0qM;3oF{bh4j zn(H_i-}8C|qvpfg{ z_X}w<6Sh;8OWQHNaKGpa+wLvl{e0>__LjXq9|?TLDIDEPx0Ffg9M1L2X)SIGgpLeJ z2U#||=M8!N5|0Wry6?WuD9gs}$0n+Dm-O0>QXSH7vaVOF=WMR{0U}}fuKbaz!&`sT zP8h$w$9BcueICy6Y2qjl&Mi2a{KZeStlF%J> zzxfpVS)%nJDL4PpeKys779pV}&Cvl6ro3(G9~H6e;pChlF;^ul`zHUu}xOw<5qv z@g(~f3K%*O=?5rb=BFN=pZ-Kzl@n78@$IMHU}qw#T*L+vAI^n}jVb=WcXU2J{tpiM Bg53ZB diff --git a/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.sta_cmp.6_slow_1200mv_85c.tdb b/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.sta_cmp.6_slow_1200mv_85c.tdb deleted file mode 100644 index c80c38da4e0c5ffbc7eb3da08fbd15cfc0608102..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 5854 zcmYLtc{J4D|Gz9LOF}4POIfmS*#<+hgsAN6pat3YF_^KGrD7^1>y$(_*-9wO5N4#e zeVK_e!<4a`u{9X;`sw@m<9F^k&*wdld(Y$fIM3&Oo^$U##m2@~!+Ye=va#Jg9NLH8 z<#tqbP3rT&LC#1Mf3By43tuzB-rrp(yTB}^wcAs z2t?Q8*>l`EeZ9GZ>Zcv=f0a}V8Lf@n%)L4kHdVX(#8=YM0QK;->=WU#?b**(T)PR0 z6(bw{g|%A)C@0xFf&c&ge_&>Iz1n{4L+O{pbOjmqgbuL!55?Y{Bm)H>3F;Jj>1-iT?;JphnH^k?$ zI_cOr!)s53^-1wY@@qp5v%uo)_6)5&v+gK%nts1hwbs!ZyUMuWM!|HiZ!CZ9?0FfnQmhaL7RGo+3zmeWH7+*G z9<))YzBzy#6D@(t-mIBLZ_+}^1Q=t7hLJ=X1dXkm?gio1d&EhChyp8W5~JEENr6!y z)d5O+LgCKSJy@$OG+>>5PZ#S{-(J{CfzHjU-$Q=w{+og9p>tT5Af!hHz%0%8^yFEw z#2B@VLgeXoN8I!hM9!2S7RCppt&K0Zff^XRFe8( z3QXZulnDq<;68zYJKyuVArhM@eH7B&9n9wt-?lfnW%aZJPapElk>&zCQG{P6o6*drn1H@UbQf1TRx@}r>w*!slk>^EW zm|wBQ`h%wUz%%p@b6DkE%W7Y|fk|6=(NW|lito z*btkF?WcdJiq>NW;xV<0Kh5ElR@7$ND+%>r!?9T_eV^E?RFhFxSj^geKpOwOcyOJZ z3H}EnMhg>T?sN)7x4mC9VuOs+-vt|fw5A?nU&Ztf!yp78J^!$1Yk`f0|1zXkVD4i@ zyl5(H;$qouB{w`~zKi-#j%cSqMB7sFptLn~i9utyA43*VZeOLMXode`2M8`Aq{_Hw z_rZr-rP8p(f0P1wYm^apJ`&+j_~x;s@PERMEcawlgRf}q|AeEu|Hk~|6dE-)GIZ#K zE*!>o=Ru}YoCz@xtm8KqRMOYi{$VFb6aNSup02HoxE~?)9uj^O>d2!wyApHHd8Mro z{Rl+v4_b34@&yP7qF2X`Z+@{4YQw#@2iqv4Z2){#w8oL$xf=m)@2&FcVckjkI47o* zmXkeUx9|09&umAg8M{Ok)TQGAX8+8TUs*jw;r>>4k|7I8PBM|oiTCm#XhH_UKi>XR z6G}4o{8A;nvpIgMt$(jSa~G+Pc6hn66Ga0!sol9kOo%E!q??{`Kw3D{Yy1(4f+KoxQW1%v8i0{dfQ z1znfF9ty`moom6=S8A$dANGpgB{?=$0-RH$+q)zP7djW1YQFy2q!g*h4Xn<1Ii&&V zS~S3&y2+-4fSzCl3)rq|H**4+0c5~&kjk#JrpgUW8<6hglL6;54CwD9scc+h6b+lV zxmqj4#OiVY((xuJbIN2C4Z4|z8(NiPKr}f3F)%vZl#+3n81|S?2sOJ6sD#s3F9DHh zfvuY6=x>|!w=p`T-TvU(ox|C)s^P`!mXbNqq}U0piAe!O`Nl$w>Z{!HfR5!Q_Z5oc z?yKY|Xu@j2KEufnnRl` z-TG|(J5bzW)ikd+EDvaE#67IKXJp^LEEq4;e?pH6|)FF?0a-m!!7#}7pE$8k3`6H?LQ>W1u4J}y^{MyQ2dlTVZP2Z|iA zlduB%S@H!F0|ib$o|lv?_T)ew&3iXskf9Cp3FJfNyfpZatNJO=M#kf*Bf>J@d2MVg z5{?wxE>*&e|FnzMDQx#BmmU)y9}{7nQ8&mOAM#CGzVgTW`GM=T85Txa-I;IiS_33Q zfFehId}9V7@`uYhVM>wT<4XC(N0{@tYl1%ivEoK>o2)$?)_yV=6Vva_{~#^Yiw)t-&LHVKJcwjpTHNJU86fhGfPNb}h~P8?#r7 ztjdxG{YnijBbEa6A0XHR-o1LvS0*QkfATgs_{6X?CJJGb=*$y&{-)KJ(k9nRgUzn&UAwjv)vC~iz6WDZt_`oHMwmq!e&D)E zYU{rGDyAu6ZvCzY30Zd~WMkCSrRseyF{1y@s1RhzvZeg9yLVOS&U);Rmm2-~6HkWG z@Mg!VmA_ipxS|hqyP8ZVim3zXRQwB%ch%h?DXc_b)Z${+>x+U5+imJ`$D1@=S}V%x z_mtjAI{*3Gt=6p@vFlxrJ%a2>P+1&mfIpeZsmVx|-j}I9Q82RHV&C4h>}phewq&FB z7y3c#TQ~lVQ?zL^Z>Mcn__93N?c{St9S=Y7;K}a~ZB^>Fy>I?pW+$(6`g0q<#^8SSAw`Mohq+VD2J83M% zsUu7^NBB?BB3;hqU|)CVlxy7CAjwH!DO%NbYpF7)Ks$?~HAl=9{6HjKuacilvs9qfE}}q6SB&xJ37PPv=7;3C5r$y6|*1<@S^dOGkWSwER}TQm3_9?Tirta zj_GwSnG_}qm!v2Ea!+3Bs{}5hwsEMk9!Cy^yJ;lOcrJBrC?DdOkyt4=A_q1oBUOT} zPQ$06tG$%_ow?SJpgCCDu+y-kFs>F}#?3iM1_P9$mzpRDi24Uw*J@$!K19Hf{LOKRt7+J#~+4lGW+xq$^hn1m@DhgL&mML_F3+?eBW zKZ6C4gy;Z2m`GWMF>SZ!?~dFL zCrFWWZ$qT>dIXrJ?c0kKjcm*MZ9wEFPPyf*YuAVS4aMxPmJM_%VGUe{_-mra<@?L=YjwSaAkbqhIYp0|<#$6%OH*$RE4ihZERAIzzC_IKf0zyA`y3#Vc z6d=IT6YTw@hk!ioa{U0%K}DBu?rndZjM(tD06rbU zHoZy0(fQ`2Et#M!>~Xs5(RHrE9VW2CkUyx4 zwU$B<_o$q-eP2(2XcQ++_es6EoLzl}?!>;%+lwuNZ@)cEbXyP|dl?bEp~})FUnEP+ zDV!NGuIX@5@t`i36C@NcMkVWwYXq-1ADkPCW&n>&=soGf^09|=$18N)?jcAsWnfbJ zVoXCi+Ma2Z3gL3#fbutO4{4H7T}>VB>`BtZNl4;C=esRz4f5E_o>ve7vjISR zM}M`h81<(k*whDj>s1?q8zk!;Zl;UB1)fzwvhTa8h7G5?JxaEP8wixul52=xh~xcc zgR)Eorkla`$6O7yufTnVffRDRvk6~|^Dr{Y8As|pt3WF3ZH|1miWhA<7bnuB9A}{W zDeAFfF;H^m#gzHylAY5z?R>2#!R%n;oSh2;Kq4I}kI2Q5S{r`VTM#>ly^s_DN=BL> zYQK_liF6{keyri#C=JWAT6YoSfyoa3Ps0cQ+(5GI)5517lZ^IbU=UcJ{{ZnbfXhC< zs@48#ruH^ge%0}KnfeG=qE*t9xAlxCg#Tc4jkL?CV@YI{&u7aM`Q1tsY&Nkv2OSKk zU^{BW_-HFfN&2s>(0i&^AtmV0)DYfVo-)(yKScYQOq;k^(56oDnYCCP^5bm9d$-T* z(;S8!;T2B;O~1&V`23XH(j^O4ix*ODxi%;ARqZpp_WWz}HQWJTo|y|`>1%3U~l6lqmB$vwL1?NRc(%*#Xi zKX7X!VtfmE_=g4nup{|Sp23c0Cue*b#iog^?NeLDH{SEyP&&)$F!VznUs}qqpwKJZ zqUfCM9~jDW$cLRKh~-=&0ePZbr0%k zlajOpk}Fg0@gDz?pb;!`@)BA7En9SsUzj67ixPHrG5ukxGs#?^&E6VvntdzcYwopl z)Qw-a?M-_$(xb+77YthTbX<8wpWH}+Y^n^A@@Dz9eh&7E=J`jo`xNtanysZLbbc7k zt>;K9YmT`XA?{^eC91M^x3t%;^q@lw`N3mdwXKFfkR#N4QGk{Rk^wAp6yk>H1Myvxbi?^1N{oN|Km(OpF*(|Yr(E!#;(v)-` zC+4SRJeyzg6Q_#ue#&-H{|VoVu$Xnu=eZ+q*r? z6hX&nI+XBZmDQ zU*q2#Ug$@Bd*qWErRIZr8QQBNa`9@a0nc0(6@1jNNcE$$CNH5RlybbY&#kQ2+I5@K I|JN}853k1SWB>pF diff --git a/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.tis_db_list.ddb b/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.tis_db_list.ddb deleted file mode 100644 index 33ec2f67ab22afad475c82dddaa37f73e977fea7..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 301 zcmWe(U|?9w%?KnJ7_xxS7)Xbu78Pga=P4K(>lx}9C^(g7=Al+yu z8Y={QDTJpcDY&L&mH?G%g7q^nv_t8uP#Q$(LJVi9U`$F(NJwBy5_rIpuB^%&}xjN?_Ixt^s4&3tNK^2^MPFn0I3OP(*OVf diff --git a/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.tiscmp.fast_1200mv_0c.ddb b/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.tiscmp.fast_1200mv_0c.ddb deleted file mode 100644 index 5694a07da988e408041bd9a8446a3ad5652914b5..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 109061 zcmZ^JWmFu&(soE7I0O&w5}e?+1h*i;U4py&;vPI`unoc8-GVOe?(ViYEDImG_r5>B zv*+~8_EeX3b=OlpgZt*qn{rgR*Y?dD>(?LW>s7Fl0k`14oSqQ>^Y=duLdk#oJmF6C z5d-l09zeoB7XIQhG5-Gjt=U(p8V5`Aq#aBqe?rtMwR_TU!2ZaK|NZ3KcYbcVTO%&( zXb$UW{VD=m2K=T6|IRGf+SnK`)bs9a4Q?OpWb};JFvFqauomjgnEyDzjj4}xJXx>Y zgiS2L4&P1SJILNnMg;7-#1giOdFlTugYflz0ZfN}0OM_;MqzpO((tAg*)NxynWN0k z(vp8yXThI3t3PM?mG1T;(D{xe^6@{=8Os|i6u1$itPs1?w9qAV*ZCLAwVJCh*_2Fa zq%P|uyBC%?gEjKYS?_X6>NGWzTNTuoj+_fM^7V5{R)|YqiF|a`m$1}#*VL06T2`FY ztvpH@J%Im+Dl6q$W!0NsisW?l&m7w7^oDPX7(MVxo~9*XW=r6r%Ug!BPGZe`Qn`@6 zIyS{p!?PImU4yOJUz{8@jHl}*Qx)3Dw`6QAx|cc*gw+~8-op{9^N^w4nf=nG#dU*f zjo~{rmP+5knaUTN);ERO{D{L9GEZ;jHW0 z=Am^l>12t(sX*gA+ua1FZ=G#zv3yAiWxN0L5ZvIG=uBn;{BhxC%yA7;ypb&N7uhq} zVkPG~!=*9FG_RBM%$afLx(d{@w8W(Sycrz+r8v?tuR@nyzl2=3UB%J-mb%$;h`9O^ zf(yShe`j*;XwFp6L7v&Yit4m@;BczVknAv5z22kmu@Mm{fwn+kj_<7g&;;YKevwJS zrXKJWT54ve;}J5?Dc!0@C0yEBxo1f);7kteOKFV|7qqKlxf2g}KP5TM{KO(H{LoLV zG^YKNj-}biyQO1j=UP|#3~0MVg1&qd1d?Kr_VwT1cpmNrZYA$6oKgGHI;=7Y2Lud%vvkcRx~W~_BFNHf`|i%!LQPqPPX zeL5G%d{cayQDFL|ajC_0FKsR6bisr+6(WQx!2@<3xcF zyKu}$^8N4`-%Ud5a-FWoXPXmw7lB6M0Tq8(euWsczjU??qNhGaZlD%(_1CS`$}N5b z!z|;f)mj}SWNwkwknk)2^OBPqN?(5GdG%}^k(6(vT6F!9Hn(&qOp<$v)AGB9o)lt- zUOBGcqoZ!}lj2A6VygzqpFVRBrw%1fWY1t8;YLg1wA-9hsv9@TeU_3bGRbGX%=H9J zhFOh)2Y+4F2YhR8PawCBdVSb!f!B`@x{#L2+afxT-SCo0zn5>^<#d%gF;_dtvzGho zv(iy|zcW)FEFaURz3m|=t16Y|&(tiXn2Rz&0kY%Z-By_Qx8XGMv)=p6GO^}ibhKD~ z@wn!u`GQaTl3i0MS2}lckcDXe&Ia8X=YhMsyv$X?L!tTlnC80fUtp)N(Az z{@o`T?yl1;xbm~{zeQ^RRSyY$G?xP4ewaHNA}B<4iZXY2YGt5c|W+)9tui;>&U? zaLC|11z(>w5dnS&6@p)=#M)k`a(=0pyY{IaC|Xdvx>pW#(>?6Pd`!86UTNkdbR2BY z?$7NNwRI(4rAo(T=?Fl{Istd>w^oOm<9CeYH{r7R5Ay@2i;vOG7l~7ZzCOupi$342 zj%FJ2zUGvckK1dOUWT;qF=1*$Gp4*-?}u&1hyOTxts)H3pFPQ)%&ga!t;=2$MzWd)C!`hR^af;dD0r6;BadB)i>h9DS+-R zlSu1v(v;2e1nrMxFE&dhp#s0)I|5?VS9=(^@jPl+9CDvdicZyx=?Hg zRPlDmHQ3D{&(ccw@KZ|1Qg`12i5a!jg3bKWjIPlMC-oPu1?;EvtR*=%+1+G-O8UrO~ zw-$RmoIR|uw69Mac6z7KrQW8`l>!Ge6Xljqe;wK$UtMpv)D8E+hJp<;RT%Y;8pj>x zV}>%?IQ$=CeF{_TeTFKPK;Zx;yBGKK%fn9Z#=2|APC%u;VDt4*N&E2l$+Kedu5%|K zm)C)b^lHCjcNz1s3X27r%?}Te`Jfkp zEA(amSm4q26mb5Q`P_edIluTFY?u4gK>f^j2)LVt@m#=lME+X_NVTP*WqXf z3u%>>MyKry;pdausm#=CR?LU%te?-!!>#4xM5qd^=sumA4>_sy$us7JvHAw!i4XqJ zIloP7hY4ut7< zr)~A3>77cxe6%_RDSd<$x*hCH@yp+`RzCLSO)2Sq&a#7^IEAv3@)a09l<5{Eb@2B& zfDk;QhY|+?4sF8qbzALH0 zBY)}(fk)KkPJ=mR{?z(5jFkBsyoLf+eOxDJ^SBh*_C0Ind^QRO+wAo`YehY2+`4~Da*Lw&qN%k2w)#(qf-Dm#S zhTFMxLIj|@n^njuB0#&*cg?Dm32-w)zkP1_WFoYYByGLd4;e2ly1?Z#*qGei=G@a^&ZZ{9J->mxMIH;qLBjwe#%NbOfOOGq4fcP*jV2oE z3Qc<5SuFLRd`0CXp$~HL*OAimOP^DXzyo8F_!_kJe^crWf4UpFHw24h4!lLqb9(Ad zECi!s`fwRQhZDCU;C0Z(^%9eHbCfL@a_oa{^}IO%Hwt^HalIn&jRuJ5P4k#DO@(h0 zh^&8l-mHt-(w|>vG`J0PX{!l?xm4aiN;^a^)1Bbn>3ix0z0+^{ibW3FzuFQf_oD(B zC)93{#XY!Xd)#nt%_;)9{B9r|5PD3)tORmEbin<#iF+)fu+O))iIDQGQ}4{<+5P0v zyNI}tUgB+!WP-gmtBwjmE}PBYhDnn=Vk-^Z2KS;W^zt5>;|S~xZZjVeYdmkK8#ppl z*7(CtfR=<#3oZRGeA$jBare)!RYfBZsB^VgSeZ4T<8pn?q5FY6cApez-!`Zj2QG~j zre3QW7dOaq5WKCszYrvgr6=|L4pgiZ(R4g%dok<;f!~GeldRYE%s~X8k5?7=d<-3b z#_b@>jc0iNf;(X$R9_JHQh*W1YgTZ~Q-BvyM4RpMom+S+Z;}iKdf8zot ztG=)ApYd4mHd62$$^I~$(km7MOqcOGwe?`__t^-)NIXpwj738`Hs&8NE3@z&X09!t zCgkY*9BfbKAFdqSe~5TO{&>d3H2wgk4?FCC8-{)MgD&fCC6J%spEpdAV`u4u{Ht!- z?gyTg`pKC+HQIKu3pzvg{%+sjC@97mF7HfwD!DlW&qDotV+G8^@1sQtz^z|bgw~t{ zI|1jbbxJGVE29vO!ZU2ci}`i>N26yHZ4yKF$LRYOPO@0A0uc^5WXTs9e^gg$rF9FE=3!Xfqx)30yU zkLSpPNDV!2O(2VnAflH&tZBm8(a3K#94*M(ANhev6HF zm1pf*Xrll)GGL z_zsn3VmVY#_CT;OVfc@ za7*BM!@u=xvNb=}&Xj#S)CFnoygt4Qu3rZYuxw*DeBPHZ@785qwb6aEuK8z z-#|KlG-kP4P}!{28SdSuX(EE>R~!AF61h`jmC{WglPahDer>xwH~Kt1q^9;00~>sw z9!RA26%Nq$K}B)fp0QqgKjPMWL+|4`efk|honNVr*ASQcZDoCN!0YAiU)vxTty`UE z?v8CM*w4VMn@vK<+g!3pY5fW8xE8MCj1!=~3k(^e-t$6o*SvSpbVuwb=x_sLh3LRo zBgsix?1bFi{Dn_jXC@(Cm@9jl$ zG>rk-(1f|||F(;Z65-!IyYKeJi1BaCEe<|^0Z04uW#7kfZ_V9k{BT%lBoS$W8dZ+9 zg1qE}Zo)fzuU4J(gtl-y$1qdlqBCOcVlV}713a^_r1k~x)Cc(zO$@bdz48_Nf02-T zY@9)Aqz#Fb0KQPqgV1N7@6}2*q@r|{)Zyxx5|Zwc0Tkx#+lksHCI8gd*>2YC`2rD% zIR|~F7@V(k2*o?pAK>Cqx;0#ivX@(tc4@QrI@|*&u`RVt_~Y9FT%X=XI$UIMle}z2 zn`O|W8I(N4j;GqLZ9Yh1XNuc%0{O^X=JrSDSE^)y-H7MhBA?x#B|tgT3QXhG z&mBXMf$i}A@E6-d&#guAt^IW^uFcvW+@5fgb-lgCPjn!X^^4=(43TD_@|6K5x@~rg zqrXE(te$1)p1ue9%G1_?S$d&xjam@rHGU#nEL2Iq#;b9bz#4EDCYkQv>9h{Nf2e+) zrch+JxzJG6>_C`6_WX?m>iFne3EnW}0Rx~!={%JjvK5W;}>iGpOgc(22(*U}k%C+oF3_L+_uBLTJD z)Qlk#QFjsCxFCW`}axndvS68_N|`Z&%L%1G8|IwH+gZQ z(fMy7hskgGZ#RYbh2>10+91?6y}J7B>;T(&=cgVjd77GnPn^=*3*h~EwG-b@fv@s< zOfAYJolklW6ZW#UQ^4N6nuaY-z|Fhq^f*B~(?8>a!OGY=F2FAT<@9Zs`qL`9YC4<9 zeny@FGo)p63<4#;iMWG0CwfjuO=rvljoU-U6a6!?M}%Jw1Zo+}1C36Dw^QfRMF7x> z)h!WHE|0b=)MZ*A4-9&3D*P%RglfqOq=szXZ)VL!vAx_WLdaRQnbzzsG?2;Lw1fY? zB9glZ-iP@E&n>^{3?)yuEO_va5Y(rChYS+vVu#Dtw0mtXyx)SX*a?ntaI|e&^4FD$ z&bd62rieXNb6NlV{Yv50q3a!I6MLNV_wEOM%V<`9mWhMn9OObz_O&V9)p6s$Fb_Be z1i>BdCha$Bs7tTs96*g+0i>*dj!by`_ToXw|u3*of~KMKfqJQMfBxhe&nzFcLB zzvp_nLaH@)dxjRQy!zHeD*XO)C^CSA`qQ$>-G5JY4BBHVo!hoC3^DaBcGofW??>Xo z4W2KOBP$i5V+SMEahOnaFo5J5?n3+(&aRVNU2ooZq-w;xRA2nPS0dmB_c&+?6&G3- zf8g`8|NGnXO4fJVroxbltZ6C}dF-i`FpHlD_jWx6i@@J;4&Fdmy z58a-3*NqV1Ef%=VS$Gp2ZqWV>xOLm&HRYht3K3{u4R-q$Yxn72q#q>=5`Zz!S96=! zRXi}-?Puz8d~Tb$tFKh;{uAQQat?|)3LE#08Q0O{f=1i-X2R_c^sQ;`en^0DiNI|r z8w>B9fOr1hX`EqB^Ll7-VhiV9T$mu(j=16@x%1yG$lGvM;<%3;%HMlHBKD4aetZ7H zTgMs;%xk92hoh=DkH}*|sUOLAn@;PEoz4*v`&IkVV?g9)k6jPb95i4HZp@hR6}w2! zivdcAnYiE23&PI)YEE!R+qqX3#5C6T1{O$wP97^_=&S|oamn3w0qA}5+v1)kSn>EZ z`D>eHoMfeK{T^;qu&qaVzGQr} z_wT^~Uo!b+$JeQR$HsZe2sYVI<0ZRRUcawu-;anpFgY5VLd@Vvd|hMYiX6`)kR-SF zy~`t$yxCXzeOqiEM~MeQn~EkDvHN-@{A(MTaFC#37J~Dtq~c-!)p^JL`#O4i`{%`5 zMgzOp<8;mS@#xoA6vI*5ZU!9%UhVQ=-gWmWywT3qr-EKk zv!~h~Nrw^QhQpQm)Y_fy+WH^=svYn(E;#Mx`{j{mWcLRg7G}@`8LOF8|ncJS8fZlwvfli z@b1pd`gxQL?T$W!d3%|-?)W?x_r~w^3cR4Hsb4Pv%(LO!t1m0mYsOPWo{@Kj+?dCZ z+Sk-Up4QS+U3rG)*YmnCD&vTsvlRRNNT8SXcgNmn|L#nU6>lcUM*)i)ljdhvyDA>Z zx7zoRsZHW_9io-TFE!y$c^vgy3*j#QCGcSAnv2C@6h~aD6h?Es>-pF^3sj^O_4{YnS^F2bT^<2dFgTLE4 zZ4||t*>cwvHQB2Fp&^BV?WGG7)mh`R|NIvr%WfT1nnP&k3aZobFQ(Jr`%6rv2a(=#K@~t{=3Qs6R--rp#TYe7mOp(J_AzQp1JI^48$pqeiaD(A1PF z$I^hfMRGnZbb`j=gT61WKozqBDWXD>&d-WxS5irWFHLMMie*1FBzi9J!&BD6m9YS& z_0Q%R6^*b1xLn?4&(fUN*0~fegS=t915s6s_OD*ybYI!;qs7CkY}_6f7s?Ou{6WbB zNUKxa>;gM2%DB1L3GUg51a8PTi29N2%0{uqYV7iTJwc7G({i{^ZCl%xpGqJ3m)>y| z=T7pReDR-K_nR4j%jF>#-bnL}^J3`sy!BaDq;F_x$1|&ry9(`F-6#w9LJ=10#$?;ZNU7r**MecPgKQZCd;(w z)m-;NopAHxuj;L;#==G^ZY7je@Sz)O{TSMC9_sQp*yZc+g`eAX51z&DQs;UmV2j() z+TXw8>6F-_k?E8uS{DbYA`}iWf5N*OdqvigVA$H*pDR|{Yuiz zgQ94rO~aQg{GMDU1#5Ol-{O_H23xlrhd@MJ-fVVwho??0MN&+@&kX}-da*FyJFYE_ zl)tPfyj?^IbNH;9oS)}Ra==c&P z!P<#SW5=~M63WZ5f*;!7JG)FFEbf-YQfHym>Q3_qb?Z?Y)95FEHV&q2W#`Q3WN+nB zF+TKWS(^Ti$;zK-WQ<|OOZNz(OgW6J=v(JSVEq2ctg*xx&_zHyHI zxFTg4CO2`$W^AA4N1|_8*N|5_g*)MkeZ(uggv6@tP`o9t8?B6M5)sF>-kL3rbOsBN zV2Q3C`W91tw|foXwu~N!M`m)C4*MoxaYIN>#SnNAZXG!f#XkK3k0JjUe<8}55=R9V zUfE!5>7NY6NST(T6R6}_IIj{DlBgB>QXkK@zf>(r4Z0gf{UMbm zXe+C-lvbgt$%b!*=cR~{F{1Wz>52^Hzeq{56HRK=ROQvZm~(RVDmBHrM3+z=#9F2A z;%VpARovK9Uy!_HJf)f)kDO8NIlx|& z?q+m<`-Vg#KLZ8f9ag1AQhbKRn=2ygv6F6yd6(1$(c4UCKjbg1Yr5w`s_ta;rr!&2 zhsrJ)wf2A2T=9j9QKE+Iq1u0;oJq<yD-J1mFWKJadR2wJczjs1f@-eQ#uuWiu4Id|2d1j5}N+~WD{dj41{ehSM;?X+b!cPzxVM3%G1v9cm=AB;tp*GOc%7{e@S&HSgW$6ZH zs0tu-zIk*!KqvnFTu@ycW@J`dsm{V=UPwk?g{?7S0x+bTQGiodi$u*P{)J^lYmU9x za>X$$9#)#DqXm@1>0&}f{?i_Ujo$Ey*Zij5UAe-9B>sG{PMbsw%(J>j!4+jbL7Xnh z#}hiiOeCoG{9}%dD=IqycZ55GCo1hK%zTmr-u-kUd6eqWEJWM64vF4sVxXX_%vfbI zOw^n{4!;XKr|P~=&#Aj0DiyQE zNVK$DV}PPYb%uDQf?dd?`8E5qt-uA^C>6@99{nhLm6p=o zz!e_MRaDf_2hY3oxN!4H&nL?t-IkLJVrq}CO82Neqo9VGb8tlsdS%1yP-`6zA~YHk z$`o@-EP=y&hh>aa1-Tv5B*JCu%H$m}CZ(=K1GSAOIllfW4iT$OGc>wmUHY5sB0uvc zq+W9R2(RM%4L$WHBUf>wTY#0Mn3gV0>4JQ?LBCgsM==c6>v#r9ZNa@c&?-g|wh4K^T`?I^oKr0{t33Rb6~cFe$ma0@)&w z)vhReMRh-!EW}DeR>r9oahpMsH8>j7OFyDf$Xg%+gzt@|Cqo5JS>6!mQ~`;5iFQ$T z9}818MG1<1<*@g+9(B`Lnojvz+EBW_M^6CEY=<7TTKg}Yof4J`X?81a!^(NW8&?}~ zNIQH*9HM~rAgZQ_*` z&N`Bj6PT0pvU8|Rdqg_w(aE(RNuU33`Lt%FhsRrr^$qa`VrhqPYihJRCkidQE4^j) z1QXXP%}MT9R_0PqbVbJ(3Or=u3H53`0#zsR@daZEd=)b&c*TL!jw>0#mwhy&~K6>`;6zSw+D)ZW;Ue?OYj zM=6PD$1GZ|6pzDEl5CPO_{ORN%an-;OQvrP zv-?SzZ9b`iwKlY7n}L47I;SRjqn^2D80p5vD3QR~2)+^{FQ}6_URkyJT{Y9v zsa_*yj^S8jr(!GmU%h*A(V}x`H4_EO+z6#+e!w8|Y8toq8?@cnKcy3m`ZSde%`yg< zZV7FfGRZ`~XlW$Q%VB5|C;I7PL5?AsmdOanB2v;9L?KLHz-U|e-mvURugv(>r6mp{ zXC#1BN>OyA5#DY5uT=^6YztR1`UU!Xt-Hf8!EsK3IMoXdEsA@s4)rjFptuCAvjAF# zh#ayzT~gx3k?gYnkl-*AJ`PR9hPtD1=McMD4k!fctdH6F3dG^m5HD5#_W@;{iD~eP zcVwlxtHJTKSEjOO4(U}gKJ)Z%jPk($v8f>jGQUa+4bg-rr=||6M_r2T@!}_8YGUD* z+4qv#ccPjV6P$|)^Um5Q%mRP9|H%B|Rjy_?W=jG!1uuHK;(uAkuS&%|aBTK7yWw@tl<06K_aa0^3LWF$O*27n7%((Km(PQHFM6T#W7v(IasxoX2YDOxL&C^g zo2tv_LsM6NP>**g;tfRxRvU&rwP)6f)P?hx4wq9rK>=((HSP>pzm2rw^-?^}vJx`& z{z^i*p~&##C)DI(VYoDP8~f9;1?=A!8Y7RKIwEH3H!ibCX+7ErP^h z1?8X`QI>1|E3Pv6{?x{f*Y^ml+XL8*f2)ds@wtY=Q#TUp!8>QEYew z)iuY3ok_8D{Zmv5CQPZ|-c%?w1M%n_!w{GRyL34$_;Geiur7mJVw7KKod2nZk}t~Q znkFHj{}~f1(bu49FHkIxQJN78QIP+m1_cbTbCzt!Nz%zlsD-je(v*hC<`tLcn0$7Z zdH!=}880dZHeDp-Ia|oX9%(`X5Q$Mia+Xk#x=cR=bCYntR8EaMwH~U^J{lsbgiJczx zOz-;DG`T9tqOC>r)G>_%FWO1Mu1-4GxZgDM^GI4tO(GI0j#pOWa2Rh`ew%H{TCxG5 zKtUag1g`nl6b?tGmTwKpVE2d<XEdQsdU0sdD!RnF+B6HrYY0d8a?~7W_^rIT9Tb z>aU`;X&GoXst0M&HX9DGn1d6^$hIFPA70f@+f zA9tsGJwBx-aB1&@R-&10u&2meUuR)3`6b^ERtHJPgf{ALRJSinf%2;ySUob)jcuCM zIKR=tZ+(9us*!qhB=q)`ni(mi6b~{jQiHKXbA}gWPVeM0T1=>%4P;R?#m1OUf%|P! zidr$2Ockn|;=HF0hvw8M(W9|{P?=EI{#0S1$S-9WXVm!3aci!`YQnVB#d9LR{pwd| z5|*;`KjbhoKI9OiJl2EN+33>Gjc-t@J>s?mhNnfRb#{plV7MnAHh~Z^DH9ti-lMK$%&f0+#k*C|2fuSHD$n|E+j~lE^__?}mQ`z?p`~rkDFH>$ zv@%rh=ut8NC+P%a5SnS5cl${`QynaA-MmGDnr&57&S&>S&uIzSKvz7P7moXP$)YWM z<)9I8FMt;MiWTbId9YKe5odz_cT^A`htT;74BTVK?kEF@3;}E7sRw&IX5>Fvx{srI zg62zjx=)7TQX~k`ZV4k%2cghL(s7Z6p4qm!ZHdZL{%Tkf>HLyATc#OieK99ShijXj zIReJTuyV!#63K}eWX_agZ;aJZ+DelXIOh;Hizf5#K#XuFXxNG(f9ykX)pStWqacg2 zk08sk@|wn|lqHDPZfG%_`^UubM+Skk>MF35QFep5VbzlbH_|LAGLSF0}MOAd9s!IV!&W>|4l+(^#HCOr=ltOTeFoRkDHuvAAI8 zH7g42HWm!*wi|fl(2O%1mGAlH`fxK`xHTok?!mG-kAd9U;l3pkDjzGNbEBC4H2!Fk zS(BTWqzX&O{K2%ic)alwd1hbvKs77r4J_`@u#K%EGnezIdT!Nw+_{s#>2jf2C+W8)(N9~ z?v3Mtk>E(ZgGLp1%?=AZjcZ$ZrXAmJ=2yTdV4x*Pm{aA)DdtNe zf?{j-K+J(v%-fd%_Ec{?WHAdG7sTSV$dlstEVHk9XefJrnS&55>jMjhuZ-s(6>aH*&J&GW zE!|3nea*{uxv~y8S82(+?t;$+Nl7)+>_sY2I>><{_kKF7J)Y}Mq3mn>u>srcd&crb zXZ1*I0^J+Xsj6nzHO!sksQq| z(#YDEtffCbn=)`u1tPJboOY(H>zUxV=1D9X*B2t!xZHW@J0>e#ul>2)Wm-#ds<pXxbRI}7^34^FqS@gW+r^ct_8qnZZY6!)o4z!d>G@^IiA`GX{(`sZI z$#&thoJi_$r^CL`7Y(_komqc*FZY>;I42QNXQTlq%+fJ(@?N~_nCND>1cW`bLxlSV zzn>iC=5Dx_7V#THCTfDRA$qv%J0>kkWKOQ{nsrB_?+K)7S%r+~fQ=51m&Gz^#*^Nk zjvV^l(k7D;Q(gz)X(~FD=)C94LF7uop8b3s&`onwZ&&32)ec=7ywAUBtnxTQ(T&7D z*-0k0FIo~=E_%fadkZs5H??J;&kW-D7w#LODgUt0smp@)6ka^~r15wPY8UCaWG*qy zLW}N@(KtRK^Vc`#P-`UxTz>MZSF@eD@?@)Ux>Y{w=3_U$m{@Yop8SytjpV{J)5P#T zBF`B?le=%e_%&I47X|-S**qns*%|@C>n&l*PX#A0c~n`-u8$GVJVs%wcv)8Q-#&?U ze9A0Cb-)y+SY*BqFb!EU@4Tp*c=r>_T7Zrl$J_W@k2Ye$M8F-)1~AGS7FDcxjA+!#eCy#SpbA_*h!5yi6npn|3a{Kdz6(Js z64gh0^E3yMCO4EUKKg_Am(OAcaDzzb2Z$1_SGfsT-t?D6K!%g7mTrx19C1vUe4PIy zc^yOjWa&*mNyJ03uRmFWNVrq~pu8~r_nCJQ} zMy1f&Rge6&)&AohiQJn}u5!Ui4+|+MfvE~y4VFOj{7sA0tHsQlc1ZV9L`}^Eqw+Eb z|KuAFom&!RG!wrHM5=x)MtJCV)g z?8;Gvq(rj&^8Zl5&ePQA`XS$w$$|mj%LzpW=5Y#Y4qJtWqo$}h=1bV$B?#=c5xbU7 zvchlCV6I4j#PqiqL4yakW?ii2>}y6JV>9N!h`E&Ci{l%pDo@6|;oq>E##h(pSL}8h0 z3~wZZEHh&f_>@pPaZMjeVx!xMhKU1poHiV4WltQVgxmwhIwb7&U1>*=;qH(}vz#9$ zORu%~zoJ-EmpBK2L8F77i`x7lCzw-gZ=PR48>|5ourH2v2@nn{A4F>S6l|C%Dsg&6 zx z18d&8|INxBr*&D?!LX!J>yLvF0U)B;7k>;{)zFH>H zFIQlhs#UTylw04fbX%)0Y$DF7p=H?|$7Z;iyHp`wt^wAhE@D6a%c#HIxk_SWtPLJ5 z%$JF*M{G$240K5M-w5su5x>D8CCOjB5v1=?Jot^Kf#(#Tpw-i0|4uq6G;3|7LW5mw zRA6S0Gg5zAq`6V-Bt%RXk+9*{PpA=-@y`v-NZFG)rg`3l_oxjh4JG&Dmhy}R8yU(X zVlX2^20tIBXn97St&EA3Dq2P-OL=V75GUl34@c7Z1{VrN|M;GDSNmyBbOHt{=%QRtmuH2m282gi6cAoIsdKBD0zlqGSk*u@nvFLTJ+sY#1aWKBfq>a9AbT>i+UuFkF zyAwJbK|Y69u)z`YnaVR9OM9$^UDks*%3YEg$Mmm@bB^N-JqhcK zsPRu_#O2Nl;v=Jq=S|9)t{<{3x-r)NiC9O{eT4S~Rer9al8NbD4Jx2aL&lno5?BK^2Eoy9cG;rf)4S3M%%z*p&6qHw zKAP2Xsrt@Aq?_C=G@z=M$m;RCK`_N48pt)n6x9kEAuZ-sn#@AQGk| zrZ4|mnh(1ysui-WS!qN+ea}BHy@*_&>=h4(I%CeX+!DhWN0EDbiPS-CtFFym#iVG7 zb6S$HGCyaqXj)$%$s-wWtV`WYF6H0Os*_P}TR4^+p7p_$rl3JV#j-R(a`)R6!3L^- zEPWN-vNPr1{p!3um^v2C_sbKQ0=6PrQkPi2LJ&Q?QqerlfHS&J{kZ+{#b@^%5LIGtR9+)N95p6aSnH%#!0AQbC#_#%c*C8+lmz|xF^X6|(a4m&-Fkh0# zb76aXdxC!E8rh&!QQW&Bsw7^*O`evs0B#1ZqR<0RE4R#dHS>78S&1C@D$^5PJiX?4 zrZhbD3Mx%MRa|^@7YYe9esqJnSe{1Yl*3S1w}^~W*O|?JNzJm2@oiC1SBIUxYZh3+ zYp4n3EfESrOEN|DXtAYsuOdH1={&L~q*&pp~CS`ouD$D`}LlQ3?#c}gjATMXSp%gcVEL#6vaHY~Qb#npxGABF2H$CLz% zzGt4;HM7rX|7J#J`u8pA3TIu+LYIJLEs9yTR*(H-i!Z5!x$fbQnci$UeNm65H{XuN z<+C7=Isa^-w|vosH!32QcH&g^EDnP&0}zmz&`Ci&rjJF7Z`CgMwpEF$!#7 zsOlNC*TWdyMfHxiiw(Wp#^W`S&mudJqd14G`bau+j6 zc%i!&jmUB-v#WP^56-Vxd53Y%ej?3}a2m_C-oDEEP32J$JU-8@ESd{cH0$V~2S~ZF zvaP0q&?SobI~=)%wC@)PKVj)It7sCb(qCvimflpj5ayvt`)RRX8-Y5 zyLdM=j2IQ&Kf}m;m#o+~BrFyAu&4O1O7{$iegmeAqRrZ`YW(rzvLkVqg;_$B#k8I& za1WbrJtFh$Qr#d$g?FT4QK$n8Q{0bYHNn555Eh-BZBF3QX zBoAfwWR(|+`4RCoJb+S+1V*94%PeGH1_sz2%B(NwXOo`IGcA8g4Hma6csKbdiHJ=b zeq@)m{IfB-8K`qer=psPFI#MgY={s#D&3%?v(Bb7fNn7Ww*2m*VGWMCs!3W3i?-aB zI5Nt)LRLKdSUI9n_fcwhsm z(inic~N)dIO=pFvNfcUu<+-L=gs42eFDw(@>J)i+95I;?&Y)z)L)QC zA)PgBQXi%HVhD}>(sYJs{Wc0C4Tkd{#OUH_k@qQ%bfwM_);NK0V7H4kyA zl==xHP;7MO!70m%)FP?n8A7cwVdIG*ZTc|sLuS=VH<9|IgQNA9j?R&KSm10ZaPAa1 z8w;F!9h^wrKsdIBO1Ujtn_gB>x$6m$y4%6g`sWU3xx%3J<^rc4K1%)G;iJ^Q9Y~vY zYh0v$N;sr;5>OWAJ>9%DR!HFHkHLA#;cVV)Vl;#EjKHatPhPrQU4fiDj$Ch%IJH22 zbGPdWl4@tCm59{qqSxEm8C__7)N-!Ql5;H(xo#t=Ftz~{!ITB=@)qkleYAGI zKJ|^mWxjaz3EYeFE~zAB;_F4809gfWN(8Rh64sV$uvXPDSJONiFs zY~yJCr*tdjg6!A(PCeraX70u);HF%^@-G`j-M&DxPY9-W~I25F0GGESIv+BNIn?I zTHns7<%(1@5!(8gRbU&^q;_`nX-mo?kF&qP!D@0IXU2RYRZ96FKdp3SIbcYXeE$Pa z2*6S5S)xJ=d4NP}cQJC}bi}}E)4y?arkw_dPm{V#;2h#Wv>pSN6f)^F@vj8P()vS( zDoSL5f~jaXD}7>!VPWGGK@6O&ag8HOsjC=);@0H;)D#B~fM~rD{k3I9incit2t_Fl z5hY_ghA4$`EvPaGCKP$d94Q3QR=Ohkm&ef>GcE!q!Qi9?Sg}u}czg^b;Ak!REJ|?5 zK>&_Y?+Fc=3V6Wr>4TXzs1Xc~)F++tRB*KBoHCWxUkd5cKJybgbjkF=wkDnsAYJR% z1fM|!&r`9FWmKF~hA2f%f>U0sb0*!T(i#&bTOXxp`k5Q$N2&_@ z$fUdaWMgQ~h1R@Yz!0Sdq_Q$TA3GYNob$QR8XlF;m%G9On!KHTe0aS8xUKjj)Vx%du*deBLv!kL2U7 z!bIu?at@m+Qddz0vmvI{%0q^WHJ4jwxH6*k-Xia(Ik})d*TGS0nY4OCgI2mCHHV|2 z*88PnpbQ7Qbhge~e@9~gB2S=(&k};qHiFN1<9HP+rFH@n3M|V!Vq#UsEn@9Hp*KDVR#BHJuV{)0@q3eMjqk96pia{ww1w+l{g) z!Pi9TTq-Poh`?D^;QUA6TqSULH#F1m-;O?P_sptFost4GD#pqnU(g5^&@p}RYGtM8>;C01(z^j!mt^bqeiy=y#Om^k~9HmZ8?TmW^kvOe2;MBtB=bgmo z@=oG&Zio1Ac|~d<)m#LIn5R61$3N9@uoju8N&yyUUZu+O!4pH;bgR=QC`FFov?LNj z4S&Le`Ox}u>W9#xl`iU292~9p5IDC99L!S)m;}S;4|ESXEKBR11kUvW2X97jFnk6O zRi4irj)zC;J1XTo&iBlOV#BAm;4_clBlpFZCVHE*++Ww)-5K(&CU50PubmHP1i8?9 z6^D=6d$4h``33X_m2Yhwvp+juwe!hSy(iXg9_2i~`4HGlw zbgm7u1|9J;m|PZkvh&WVCT^`fHU{S%nxIO~?S<5G+91m}vV?q@>SoL8EF7gemM_-O z=y#N5>i0bVOzk@|!t)p%k^#-}}yNPX{|erVIvXhdXlZc;sI2hN5x4kuFXsRfy@ zDEXXcULUtzZBmCjBVna@CeHTJdIg&3+I$)}TFzEHSUR0JG+9gjwkChwwG<11ZVe93 z8*wlYJb^1NK%!)XPd7;bL~Hj{8TAgLeLgFtKxC-4aBw2EBBenNF5oCNF8OQz7^+7F z)wK?!P47!p)#gKG>h!Qm{oSE57PF~nC8t~RQR)jPUs~Vmj58yJ^%ge1DT}QaaIJbJ zA=wsF>PRuJTY}E_%mZ;NUA3HTGBn_f0IJLj7!oCKQQ`>!I7-RAYCvbiXB3dqVEDLatxV#K_zYtv z6dOLSKE5+s;|Lp^ae=By?dh}$=3G}E=P-dYF6GfaKI0rd#KhrK4T3c2+@?2mJX5KQ z)0&&~phy8RX4y#yS$77^2iiLZ5+9Bn^hj zT^o!P@5?YBpMAI$Wt>Ryz6?XOp5*8gsU=7<(lZ>^87j5XW%jM`#5hgL^-QhhI={Q( z;j@oxd8Oo=Hj*le0oDPtelu%%$TWwnn7>M%l1AYyGm=a~bZ;(X{@l;PYRH54}I_P{B@l zSw0Bw)v|_4x$B2Y(Lgw-vyTs8cE054SfyyX>{!*UrxK@4A3=G~b6!)(dO~mp4g%+^ zNqrAt7Q{T|A!z;b5XNFYN_Ezr)P177Rv=;doXXRd5@$zhT=G{215`VPe7cvhJ-+G;54a^ov}ol?&J7j zlRDbLQ3_`v?7Y(tgE^jA-|2IuZWnx{J_iVW+*9mI{Z{ZbtP}G8aFp%-& zEey|aY-`ZhMhL-%82t7_uu{PRvb5$IGtt+9E$iHCHNHU!_w(1A)n>-Y17v@URTSTBwDZNDTA!y^bYbJR3Fvig6cHExgJ^cU8l5^;hn8?Wp#of zX4f812*6S5VWAJ8$R$D%pOsB=1;@N;0xDdfG67ErfXp0yGU@M&axZ?Xg{j(-Q8#jd z3%O7Vtsi2BG^s078v+WPHa#UxXB?%DuYu|lL3OmCx+0a8aXv~wh)tqhhBIS`?`<8o z^~>H1$T+{{Xc#5G8xDC0t#rwEe9W8ANM}Bgnh%d@FjV{w0^=z4sgQ+{3(YdnnImH(o@(_1FMJ4AP zs^ie9Au%>ae)3d<1mq3jz3$mm-m)m$Bl3l{O529Maf^%7q}rTz-Zww} zx$sr@WSUY>IQq2dsSbqR0Ih+~-yAC1+j1baoRm}QZilK(yCc{JcD*4U=yd0sGF0I|2M|ti`d-hM=F~8O;5jWeP&7L^qAQ)NhiMuFy$J?ed*zJcL zZ3vUUM%JEAeUH?+0_OmMbGg95D8<$%Qg;cQ^#l%n0?DQ_B<+VBB4oMi36WY`;JCdx zojWBB8O_+oeN!@0doTjU24}`6Ee%O6`2r^Jz*!|E)Ss8~bR$GpQ!gcS{C>G;Os zSnbJEt%}Dqv{I}!P6Xxij#XKpuyk{hCnZYlEXUzwc!vt##^T_Y6)DuXJPx1N%B0H~ z$0v@?K5Ia_oiE=T@&6NiR%2x-Hag38>E9gv)>WsBu(bfa z34j8RPOLcV&~17s)q9&NQmsPfP0mS*NUh=ISnJE3)pVtJ)B_zLUF$m>&hkroN}WiU zwm#;x7kD6mkNMJIazlR%M6RU`r?fmDP~;&!oRK39S{otiF z2cyrT&N$J0y~CC+Wo2a#Jn*T#yll?qR2}rG#%G|DuSh-Ol&O!;V<}hW+@?=)s3P^a zQ_4zV=Z6FGMQ;*2^8=-Rmr^n3NWH%d)3E>Gi&zXR;iWf~0U%|w|F zqjXzVTk<^^n=100b$!+G1g!_BB;W;E^u0uZGeqFj#|I;QgiO|pLKfHM499JQ&5U72 ze5B6k>k{$w2RYSR;?$D&#^Ff&V9efroonI4W0Sm{@x&0V<=6k*{WPuR7sK8WZOfhl zvMXnbVw8pajwtmzqW^MFL27-UXfr<$vhY1O4n`J#SpiT3;V*e+kkgr@g5&z3Qaoml5`3_p1PKU67S6wOFp!6ZJ{u7NB;ztTpvXhsOCd-B zj(it^P8f+k4%m4<^+4pvp-o@ucxICt2-ayZ8g3vw6QdLxhfatX<_Qo~N-ZF8CJUT- zoqmVDgyC2<(-}O$xh;`wTO(5Er=wHGq3_cWp^al@A>~6~8z!Fz1@OQ*lf{rMmkf!L z_jvHckT!ju(D^S8#C)NOh$>V*KJ|}?z!{-6`CH!zfnXHJ!9Y4o=W@z+EXCj)snclafF}e%+6unIpCF_-<~#g(NN4Jt z=RC5Z_UTGlurn^$S*a_jRw6KjRuL955c~xWePxX~DE@VSQwOL1R(pR|%BbA@Ex9M?FB&lN)0oo zWdqgdL)prepw@>{nk`Ey@X14dH$$8zwTpw(rg`*5390lw92~21Rd6m5xwuY1b_dHe zn0&eOFO_^pA&*lZAMP)}%gDM)=<{XJ^63oug&>LJ2{iYV%yMGdfE? z?U^%H<5SBVZ2%ag!RYgruwgBH1~C(g4WBoN&sciG1&G#*I{WZ6FK}8Ct><;xgh(AF z+PddNeSh7NMelh#XDjF=snhyJ>K2EJet4EfmA1~2+9b_EmW!R~kVYQo1E-gv@5a&M zxs9XsBaW;{o#$|tZ42q_;Lul=3BsY3ZX)%&w5J9X5Z^raInj#l=h&Ifmpf%a=O%>Z zuMn1}PbE6m_@A)GA|mhO9SFVGScByknm;c~>)$)FBDEKFs57$s?Ly}_9et<-9UPi* z5QKxV{0C`Y38=ArXV3AOGxY^zpS*Mw4swbB=~{nFxkw&UNH#YI)ZnCx`Ux5!KAd7c zkOwNI4yFrF8RT8ZhVqNE@?8Y2&vN)g>L4c+4c$h#~HoZ!n-VdR;I3`$!GOK$~`3 z{w9SU#Fo{j-Ce;ZRSzGt3uAMJ?s-|YLEJBI`C4O_bLZk1J=yYd7SNtG8SpYCDA#0` z5v6>33V|I#Wi|U1KKR8GdZ^TQU*55jlgGhsj$JxhFZP2(7R7EUcA)ZkpCt0$nK*X7w5~tz&>5kXE?UcV@@~pKK}d0Y>n+lT zwb;3S9NW(H1s&ms?(Q3n9Nu*OurqON`)ECY8bljHXa76N4`nNX^_IM>C9Ud=GNp5N z0*Ci6U`OE4%!P1lt7?6_(-%ljpwltJ@;F^?^J&v<&Ny7DR~$&B&LBQE6@A;l;gfyu z1bD59&hjMt-$hObk}N__0;rUF)5?f}EaK>+)Gp4T*j*3Ih=u!1%q>f@YQ7m)g)0mI1y&ciqvoB$^X)*QmcqHy<i-~-#(I7RvZ524lkB|gbb1+or5Rv>cq@g+euRY3ki8MF0?l=}{V)^c9B3Q4u8 zXuRO$lxDyVBvO4B%2P$^XEg2Nj3B34__EGYIxS08f{}$UByup22Lb0ENQ z#{8Ruf#7?Hd5By)$FH?T&ZFJ^8mCR^iL{CR0+Lb2gfpGQgW z#VQ>K!`Xf3M=9!Z>+oJFOWF`UBL^d$&*cMZAksHvO_8!>>-UDpMY_&@KH?_UU7y2Dr7QHLz_^Ppb9_I{)ioUVpK8tSS0E|Zmoiu405V39s6cyY!O6qlizEK1pZ7guYy zw=!>>*nG5JKjq4tBZZM(9>?9^i^O-6&9CsX+R!kYtpf^(PQD_ICj^6ossmXF28SA( zN-#K7xg8wJdaljP_G7^dR7$Ovwh6d5oL_eG6)B#rXE^Rx+M~)Zq-Hq8CTE|h@K7l)5h{`1t}ieU2fo!}$eUbjpQJ^wX)vY+gwT zY3+Vf*l?T!@x5yZddNX&rAzCh=r16+0HHIpf{#2GK!^?>oB_}C`JLbcceZiBB@dxr zi%jRINP#|W`f6v7RsO!O?=6s91m_`r^Rl$Qj3#oB1Dznt>?-Fqyf*bi;1%g}8Kv1& zK7FnhI7 zWs{UL{gMr>(;}c;!8ua9I()P)i#A-o=iThI;f4=5BN&~3VmhO$vO4xYQ2S)f9{EDa zaOuz&qMfopj`Oursp}j_r2fx=X#KeGjoFnC^hPi~_C4jxJR68P?Uje12gyU^{@^l< zKruKgb(^5tFM*ps21oAxKOm^S7myKx&qaiTGRI{&gO3f#){z*eO)rvCFeFO8?_*Ob z^)tZ-!fl+Qx6o`HdaqINLFKk_x>6sl<@bB`bu^?e#5fw(_YPbUAJ`G0l`i^r9+|_o z2F)v-8mE);N=Q;FrLZ?@Q)!J;4K}26bn!^hRf?6onP!I9$@coYYNGbDY?q`RDJ%PI2#JHz=(Cl_=|UC4Ua!Kp2$OQd`< zS=T#!=(MT8SzkzZPlnLEQcz72oCgY=YX#2!LKa$DCWL*o#ttS2W3f*h9Hsa)OIAAW zi3P2tKJGZvXN}8*Ect#dzL}WUP|CVi;M9`$Va%3dllM0TA6dVoKOZ9axMfOxx4?Nw z$dcZBoxs^c@FA4MK3VC!=}^%xN~Tm9&hr9CN{@srnfH?g&R-nPzLpK|lQE&Oyp&!` z-tk+W2wA>ltA(%JB4jw&T{19J?%CJ505$r^vpp9&R5XraCKamsPW!mu2~`Rw*_pY~ zXD@!N$f8Mh60&@`(zWKZMj4K*xdT!@h>R?ZML8JAZ-qXcm3M9rAfYVS3 z2(|Dz(vfApWRRDBlE6Vvl*e&THPp_BSS6vA{zhu3b&L{_d@vAN@^o5b8_VEy)_Jz^ zl-04s9v3)k-9nojHY8HliCW;kg%+vf1WtW?+^<0xOXT$_-V5MdBpGpXA-^i|d!e&D zWA-%RaDaW3+PDTPDNBCALY^^`vep%{+_%+zZ~HALb_e7efwkcD6MSB*q5Z*WaJ#&G z?a$u@4!)CQQ_-2pI&f3kvGckNoW@ z-*5ZynbWf)RXyg|ft`YhyDD;`)SVM_lVvE$(!ank32-d#rocip8 z-<-?a2k*z(R6hHB;Aq&9FId>Jw7x>jJy|>8wcC_aaOiO+Kp@lTEZ@yJOX%#r2L$STPP+mE8Aj)o9qCc> z%V$OhZl9la)^=q7MdyD+PVuXOb_tr@fjndffg^WME)mje`GU>^>52z(f}FPLN5m?` z#g6ny{CXvw0Twu~J8$)B{ca6s3r|Sfd16B|aP!CDOcgi}2naPlj)wB9 z6qg8`vz*qC_FSCO(HbLs4n`lDug?hqet`=(k#fiUTH~Y>B_+Y|8Oo0o8^{#88=GE$ zMCwhUkNld%Xu{-R_7UIxQlmc2TUG08h4eK9)jERe96`0Fp!%txx<*hfE~vH?RF^wcw7={?W{#cV4W9CPw2UlvFM1i|3oi!L+5!C4wV4xon5iemp=etYCFF(7fxxMc z&z#PVkMBDtn~M>V{1(ct0_PJkW9=nyB%if~ozbq^R@GXLpC1=EIFV>mMGB{4Y>1DK zi$kaB#Co{<#<%(9Pn&b3&^y==t#QK5hD74c@!I(O#^F=@zHnZ8{rk&#J~z|ag$j|~g3(4nbk<*#GUpc~b5Q(i@$>x!wLe7;%o1VvkC^fGG>Bu{n zBs2+-uJt`M+QB2r-snSJfuoQ7y`yCuoJg(aw8pi+6AI}FMxQ%{KGHt!h_Q3uQ8n7I zK60I(nwA;bXH^F$Qp1IPvBB&>q5GrNftkIaE( z>LB*|^EMop`g4Zku9!uN&&gys+X+6HzuTPYTh0y+sPodh0s%yw*g7d!o$uM)Rpc`e#mkR|=f- zI?v-!(YL%DDy^4dl8Qd^jf@v4&CXY(z7TxU;ZMTNAfjvz3fNPXtz zjC97)`f$R*6GAIpTE9tuZJbE4&oY%#hXFkepi=7ptR~Jt(&Qw{Lzs^t!4pHYzMLi+ zOlhd*;zx=NWZ4wLRM}S;8KU)DTjX;=zgn=l3jrHYFjb^(zAG=Ces%KBJR}vJMy*L) zpGRGiN@nRzYJO451aPcj%P*3?yzpmDeh4`%OY7T&^j?mvOfqB|S-9h1Adk_b&6&Qf zE9%!^QJc^w*i=nwaUuO<%f*eXIj9=srQeGIXBrI7&DXm)eMx#AXOlhnne(;NH7-tu z!8n6xDaO{Bz7O$0-m3CmH|t~|&k6`?3iu!ZNA`71 zsw90wj1FYW{jej8e*K;J=ViT?;s6TiP3k-P%faaLf+#^+aIXa50vj~x$JiiGE=w3hFkOMN6C zGHSvHwl=wVkRW(s2z_HCeaavoI5_4Pqw`dcIT}U^BYh67$xW>z2b)T3ynSdx%r6H6 z!W#Ot&G@VTp35H zM`$$>{e>I^K(cg(M2f$*%Mip_$oMeKK;($%U@;>4snd#TeIYS{KDfZgHzFc%B^X(i zN4hZ(DZQ5Q*iA$a$+*C$N%eCqru9v2He-os3}|RXFz*~Fg4?`f zd80uVkgi{K3|9z1mh|-Bx3TEtkMyoY-56Xrz$Nl)qPf%_-IfM)EpoHuA5dhPjCAsJ z%g;Tb{Xo0#cvd6v-_LI0we6zSzEiT9*RfmDlPRX(N~MkKs3m*J7B;x{r<&($8j-^& z?s;~dEU%OgPROx7$&{w5zp5&=uIt*#Khn>xYwbBT$%(sub~#pLE(b?eiVJOxA=)K> zkL7 zkSub2lJjwrv)49lPOzGTO}#Y6KEOheuNKMp4@5N*Idm<^|KVl{PV+7D>TRp6=#s67 zO1dy(sg^U;tbjUK+`l3O*5Lq`>NQfHUyuzGV(T{1y9u+bYB|=kk)9OQ8z!%c+&OWH z{LEa|%E{v$RJkYN*-1_(w;)~DPo=SH9@!ycNt$B8{mlPm75dsjkv$WauliHdc!Ji5 z>Z|NgsS(=?i|PRHwJK~)V>?N%*K_NOfkuU*|)s|8PD;>C)2ZNIpC)Stzn8j4ol)pMPL-@MrL9Tm_=KRPG02 zshqTTa@&M>J`7o|>tI}3805rSWdmXlWgi9vZC?=}XKWq2SoJWtI*D=Ffeh{ZkmYi) zGfyqqM+yK5u1>~V4{$$dRCg&?1M;)v4I4S05LMJpYdKbG6$BPc?3liHpq68)e3g*{ zPawb>GBR5WPbC8451Li=!hi+=G^$uhrN1nQ$nDGJ<;k%ZNRwWwkOmF}m%KreY`dG= zJ<#9)jj!z6|FIuOFpf2XBeyJ3cIUe8}1efgp{TE0lv04T&=bEkGY6t4Knx?mFO>)iFv$pPY&DPe0-oOZ+ z?&p6v=eoF$v(v0;u0_*~1KL%eWS`kJBJ%I)JI+_3u4#szzL2vZ&o*fhCiX}5O{vCc z>zPq)Ige6b(%gTsAip-QR`QGOyD0*6d4MeluKkAg4+st*UK6%IeE{OUuKgeTaU`yA zb_8+|7VQASHR>h(f93u_Gs~AtH_|RK|Kb=tuewrS98XN?HTK1kdzU!f?YT*{9s8Ov zGUS5D2_p9(ntPG<+%qe39g=fTlCw3*c|FnaH__dQ27Q097hz(DedpRQ5F9`{+1|M9 zPalAY9NGW+k0W0qnjfGs*TNv@y+u1vaE-NNUrcewdl@o9j`d0t&9|p$$KE)VY_Ot@ zDI?ZDXzdA(hkxv~+#qq+&xn@@qW-#aI095;>+SH1J3u>m&6A#g;h}&1AesdF0Mtg} z?C|xk{qsTlp3V}!dgI-;Uo;vVPMgPI&pMhU_U?fhkUXq!kN=JwRAL_5Z7*`WhpRxA z^eAel_z{Bn{H*%o)Ltg?VtU>_Nhz4u5A5X3^i)_+ zTV=MV=|81+xyUplmVS`5FUW56{A;pVbz6%aavTn5_qCDjPal9->uR#uc7!A(dJDkj zT=?{ZR2)FYMo?Ww_T=`LPCk@$SsPYD=KiVu%xkUKs+Hz3&>$c!L{Njo87Pp2e%BIQ z1)}=W!WD?f2`NW)@;FMt++HSfx=BR{Ii2M7?Y*wt(x3&Twdajw&q-v@R$FJT4J@-l zGQy<)jhq>X>i5YT(~Q82^}w%ca=zPp$$#8quPOeCnDQzLs$WZe0}FCdLTuzpDn(UK zO8KXgoa=0*-;~NCuO_bR5zTeemzC;0&HOq?4Skb95z|g?nrLjtmekv1&koUSoe(o7 zA*v^)9)-2tv$Y+0>^|xF>SULMSWjd^mUPP$Q#@VS&lwrYp76ZtYZKRk{3}^_gOu*p ze~@T8IX+padi_Kra?2z~WE1LFJ3G~b?OjQ7u6W#MC!3I*1(Gw3JtvWzeMrs~+hhB5 zB>#A6jC6>!5cxootoo?rv4#E(Qgf+3KXHk?GI3e2Orq)J(uD9j7$RpUn|+V1TDyIn z(sO!A%28u0MdzGpqlnUT%6ZlHm0N>7e|Js(5qW6xhK=V)i1c$~YX9(aYGRXrdY~yG z$Kw9w#AV~ENjr}W`&FV@ke?+vHU=dj*1t>n=T`KbVkP!Erd%e7jE{$|xopNg$(hX_ zE;k-TDR{;9sQ!Jjhj+15{Y3I=Cm6L{$4m9Ul2<#c>$T;xy@eB3C+Jse)>u`kt#P%ezUvLDM^y8Rug#a3xF;XlHq>~re-Cxrb>?67e>_HwEb5$+NH@!mlZ&yK!rUFVvuH+P6<#1t#TM}Wu1 z{PU8%`y2g_)66#8VNG&P;~&hUh~V-1(U)?%$9eLbW zaYB~#yo4;s0}ZJqt3HfM0NjUU1h+7cAg^mtPUHKkI8PvP6>^5vk|7ezkk)wWFbOD{% z;WKz^T^;qF*glCV7S@knrq#ZL)BDnSg3Jp*os^7Feg$O`8 z*)Nr2BBxPI!O?2Zh|k2UX_oZ)iObeoBguQFJ`N+Og4?{CwM+&Gqz#UgK_LAtM~tb@ z8-QHSHz@8;T5WO1`2NLmKzldR8o&D#aX(;%;yzDzO9Ts^7FV5gM;=2!yoTKsfqG1z zXiov|BLES3SpVxkj##H+qS-RF65AZ4RL`_hqC8)r%UgSJ6-XzKNs?86lb%5z|5J0V z&e^beb+s#RylRhGzYL|$w= zbADWRlw;P-nC!{@G7Ew^&#)N>Y_>r5>}+67(+O4!>}l->T-M8wkhyJ9jcE_$dEd?0UD#CGV>ql?K#Z~3wI{2$O+K0vbr8& z5)p3%k7-oLfC8CrEeU*SUKQo!oFHNqtL9zc??H=xAK)<&TO?;iXt*98sRJ#p%Z9xt zT{b0QIjjj9q&p7iQvG`Rh8cSLnAi1C-MB~%$zyV^czqDnU#AjsOwO9L=iD?; zVQr7@drR_l$SvXfm&&L2`vm~K6nZDp z^3QDR!d#NRfM#7^W~JnOob2IQe=kZjooq-xyt8HCwgiZGK|HzBtal@FG5L8LO82iM z8XjFNf|~hC*u(3v%n~ov^Z(G=Bl4>hFIC>t!AKgf4(-N+SNEitZkD(#u@W-28^YSP z=j0R%TN8(J-7Z9f_i~%cPo|t1ZQbFqvGst?Pm)zHBspu-o+W(*m5@g#n?*jGY*yVq z`B3^@3~Go8?Xyc`&8~ux%aRnd2C%XexIIA za@M9jOL|d?>DVlQGbyJ3n-JBPkQ{q(lMhcxTwTBFZtLef5`JtJz}rbqp4+ba!lb1#IYPf;T zYjz!|tF!i;I`wtrjT-aRVdM|#y=u0AKUsot7K{Gak_)#R`Q#tNK>RmEoUrEQO?(rpEgbmoF)J7=BhgFr{)QH!!^RkG+NG+Lz0OHS77~Qd+F-c8PgA$?$ft zeF#!X(#aQ7Kat2R-|r&%`+aT8$?X8PW*L0}&D#O$yfI6A!n_@ze(!kAp+;==2715G zwo2ep>B*gSwZXM7$6gS9z}s9T*2ThqAhuINim9z&V0i1*XD6Cj*G&BHtBf4@55c|q zX!{3`A-Gp_dsHWHwR^2s^O(S~nH_f{kHrd-kOf_~^DEKt43R5`oIX1Cb@Eu_vOj$QGPd`RbvyKRe%u9(>c819WZ(cY{kojsKmeEO z?)D1=2aq*yk16c~w2SOSF@0xhrRn5_)Wh-~dYeO59WPwBh_B{Z(=6%pi00WOd52U- z@^ZcxZ$F5I>T_0TKFB*L?uV|nxJSDmJc3x*oFj_+{ilfgD_1D)^K`dFu;6KNRZaJo zrxv``x#H-)=1t`8$l)Syp!!(uQ}8jRHCHF^NhP+;l&lH?q?ZWmT74_2vaXekR~>he z?j5wR2|q8;+)3466t18d#umbR?n7R^(~6d+?Mu~)!-QCd>Qi`-!}jDh zVO#&Oa1Hi=3s(qk&$))+DrgpD+j14i%B?1v+m>ftm2_Q`^F}z(LU^nb)I}Y0KdWw7 zE(aR!34Jipp!U_uxed`+^I@+!BzwApEReBzCCFnf&Bi3>;UKUu$ayZ=gP8&d1I=~I zRUll46q$DQSI7uCV{-?tta9Kngh~I49^MF!jIY|-(%h8F=hvmw5!sE9d-l~POY3QgPDwe_MyIEEiR9Y5?Ms(v7UbR( z(|b-aJ}TE3JPC^#s@f6d4oFYwg8_`&rPBZ2Wc>@97$5YAW;YzJixKi~Nk#(yc)lof4WR}$z zZ7+$Gqh^(p>rrEJuDBj$J7KSgJ)O+!QN#1a^|5(9sxJA*$}y`dG_Cq$t6cK>689*j znw?6mAb&4+f>JaBO21*A6#fHI{c0+)yOEVEur?-Qf#6&pCN6H1c}Sue8?A9Kq6uBl z2VJI_XqJGM!)dM@au6E?xA|Y}A7X(3WNdxW*YtP&$GN^(ya8-gT+bw~(}?RU$*Z>F z4RL*(xZXotM;aGmfx|8Q1(mCK?Y1IhtoZO)pl~%V6n4v zMgIK72;-P998h zjvzVlyyFHWt3J|xTRCgP9#{Z-Y^IwXwLPlQw~o6`b54nAa4pE)iR({B)5_VP&|IDz zUMT{7tgRgI13{Sdzvq)Zcm`Xpn-KDcA{ioF4rVmt3Y<|h$==1AOteDM%hkI zQqh159Kof^>zExawjh*Id^fAiYD(Vpo%v>!XW2qBnP%SLMSRUwr8UKYjt6K0uUUK)5-hoHv*7h=RW)g!u|N&$S&zo&JSFtlCC%5W(ltA z%t8aub>%u+CvOOrLL>d^or?u^uD6k{#}n6W3~9OENn9AS9RZR>_&xYB;+N3BG0h)jT%wyQtvjmh7GHvhGf+@lVomdijhvi)ydN^prnL}omKB- zbIoeR-pdw?7WoY!n*@QKAx8wIasS7D96=4FMbM_#A|JL(Sck*8?qM{zLI9$AbNj6k z8*x_xct+d@aII?1JxC47B2jJ($aa>+TbdL8r%iW}4XydRS7ASs z{?AWhz|Asp>_;-q;ie44q043m8&|9Ac^_mlrT@~e6ZDA*yk$-aDu(hG+ z`Ff7Yi7@H^JS#5k?8reS_d*|#PF`uhxC+EpOtOlL0TuFRqPb(TQ1x4hrjuD{%&Smc z0xVShGWj|8H*rr^H}W)nE!k`TXjJhq)iky;aPc9GgoPrT6LOdIrD-#@ z6QZLCjZ=x!O;?R5^~-pSY8qP|E^#eTJwbJ!aD|;G{eP|%e4Ap5QZyKyt2n*~9bp=l zjCi$jK4h0|EU+>R5Clk8#kkxW8LM4vO_F&{=HC;|hEP%hbcuY>a&G~Oe{6cna&Q_( zkP~1b4yVcAup(S1Ir5aub?-^mjy)wKxa9pll4-tG@_cCT`kg3iF5B<;qphw^a!;u0 z?JXLR5psrF_kbi_byU;u|20rRqy-eDOQcjva)5+%_W%{7MmZ!#DvE&QhJe(jq_hJ@ zhxEu1(hUQog%QGF{NA7M@1LEsodaj_Jg?_I_ukiism00Bjpf|Z?sL8gzYCiTU zHZe!ergSl&!ugptJN~0}>clO#4!;*NvJ@{9LPiC};0*!^u-+=DY8Tgf03vCjplR8T z@T(J(#g>+tsI+0#tg?4aY^qsz`b1`4xI*^u_Hm{r)N-P{ShG`9p)%M;g@bOZ9{Ph#wtlwO4KT55wIY-H4|RsW7kaEod!wedALDrG zEyXpVv*Bsa_`jv5#wB>|)zVI+6vO4kZB}~WN#ogzvP(JYRDv$yCy(GOYcr$R$sP2y z1}*;#AIFnl^k5z$A8YF92wt(oBi;=$s%2OCrrro9ZU9v)VtL+U)RN~v8~v~LVP;g} zz@(_b>yAEW@*2szL|fiwa(i}@u?a!108XA-x^I2WM_A-a53&i1{HH-hC4UOJC&Cbg zmD-lwF`J})TS(&EXdBdAq$tzh^~71k{WyrVJsbj7y#`ORC`#L47h%`%CG7l(9`5eq zuMylJF9&fcz3Q7>ryltA6@-{gXZisS*Rxgww?I7u%jiz4Cw97J$oJ$ln!>-kvBK?> z@Qu=6uo*&4|FYPcIP$;c9=zn&YX?ys9EIPO^ydzG^sE$p>X zcVxd=3hBBlA6#jM-=4&xPv6!}CU)-n#O2 z&2QAdPIB7p0R`hWz*fxuxMrb#9nlGWIuK_v)#hU$$LdpjriLIWsqVgfPBwwzJtaSZ z1j)$?D++-trmzNq5BI(xwb}AAkta7!bw~2jiP3U()T`@^CVDuxxo9VM=X%XNXh~9} zV8`_Gk1qkj$?h|G0iqk4KOBipp9_ zt@B3&@zjSNzs+Ja-M{nu`NST|hSxm-OEUT5KFjIvqGj?NHTl@K=lJ1gA-5-(2%b7e zg8R_O_UjuVF?eXd-y?2Ydw$!Sl2AF>w9Ru|#m#u@33vXC(!z|lqWzW?>^F0CzILEi zD|s`-?91y9iC0e}Tc{4=*Ugi(!1HIOL`^cE8XHI93g;8P0-m2O95DrV^%mn72PSzY zqWfN#+0ZeBY}GD7w_Ej~+f1o+L%-36bM5~ij_;kiMGBc1sN;4Dw4h?T6q{?J6GOCA zAwOX%MYvuLqoxl_^vb*y0zqfHcZzltnvPw(u1eIJ=9u5ZTIwt+3i%q=L_cae2BjR_ z%PhNqAA6xGpt9m(6oy<`v(Z(cI`2h1cr+k12C>_kSD$tf!9kYVK7GQoW8nYkbBxVv zqi&ifsqUOMlrUSm$thPu=a@wb;yvCf8`jX9b&+|E{XrV3nS{!yruiht>kJi$dgcMv z`<}Gtqdc?q4Qqj!9==pteaM-W!gj@jt?;nT0%c$zZq>$XpGPJ;zpdMiFAVX&Od}7^ z=kw(ctFXggEKpjK{YTSkqm7pa-yIm| z@Tnax&YZ674q|aLSTyt7(?*o5A8}Tl*D#Zwilt%<9B@{SIA7f$Hmd3Z-k?FjAhEAK zNUuc2^9by5<5;a~RuJjpjjOX}H6Px7_^nO&sCW6YP08n*UR+NHij8ed1nu=pg?Vt= zXu8wy`_E`-QrjKs|Um*dsCI0nWeHv6Q9aM z$q@vP6;)Br$WiwQ?*SQi=U-2zds+^cG9}*eyfZ(F0@9Cv8_ap8uD&1tFpt{}AsQTi zj2OQRJL)2Ow77)5c~_m}|5d$~C2Hpv7yy_l@HeBeKiSw6$-|U=T=wu{dAoGj*|uqr zg&il)1h?*){kGujrIp6=E$4z$zT|XW!hn#=pok=bd#y;KT+cMkMG+HnOpmUlpkEK}b}WPgh5GO*B6KNt;AEvC$&VM*7a* zoq2pfwT519L#!Lb=*Tx%ppn$d*lQz{)&sm(?yYfO^(~7eYkdL@)MkgX(S@TG=)p8y zF&L~?p50HEM=o+O-NGAW*=MnB49^7%Qoi17gX9%AmwGRe_3+s9>?ndmGo5^j;I=`Z z1HO+*IM!t{I(?q#xl^tgVJZKtVrN_x{p;TrpssBdCp!&)S$Zc1ad^H59&74}B;JXC z4|!G;X8XlWrrKOD8$FLToghpdV*p-AU%Ont*=zoXtE1x{1;@tU!{1%s0?jLij`{WL z)ugrR-T4>sD0K3?C-U5Z7{HgiHAC96{BiK$X8(gCS2bw)m(DrXBpcR4otozzeV$*y zHAX!S-!dlAT$bEyC-m=P<9q}8r+mV4+?KsZEyl_Y%!X0Rr8baNP*&Q zNukLe)Po5Rgn|H65Ai{P`D$pjm6cZfLg~)ZY~O}d*Nu{tc1fMSJ^rCq4jfd9g|}MA z2T>v^je-c-g38NM+=-!rvO4MOLvI;;w8*J^%KIK2D7tj58PDQ)U$H9Y^C&32s!^4| zP6;Q67Ij-uM$-XIBe)s+PJi2Qy02|qSKFZMdH)QB7(6+Xc&!lN2B@|>?$P-2fH0IqI~dI_jq8dx*B|S!aw$u~ zAI4H!2#PSQbeHMt~Fb1v)@KnAG4}Fc{8&m@S;A1it z(Dajyu|AM5(td<9{RHBu5)eF|U~IQ4sE#Lo>3u$7U4bn?+y|Ns1`tZ3Z}!WcHcrri zK8!Hv^rU>v34A+7V`foBA(o=M7DS1Rz?vU|A3D}stT9V+Ln=eISS|>W# zuVEGUZA!V{Ovw%8vyafva-0|Yo^BtrzPWrya}{i!uz5*L=#!1-BmBstj^48P=)L!6 zCwfg}1VcakZJwc36yMkjHje<)4EmO?ZvCC?%nf18251{y35S2pT^LJ8m4;2~of?g< zE=msN`bmdT7kgBdmwd!A5q_+hdUp}8l&K+~01y6qXBt+0$mlc@YVny^nm-hO5Bh!3 z;j>>g^HRa$lk7s&qty1(A-g`UimQ}XA@wwvQ!@J5w%WGSgGBX)gmza_R@WRMpnXg} zqp`YsEo@TZh!rlS)X+4pR6|}z$&og>RCx)xoGe0fx{vmu-lYgQe!LG~+0k-!b;>9p zL5gwD zjU7u#-Pcd>V(o@;FCwBhOyT6!ZP$14-%7c{dByqCX&Fb%lHJ$k`0^r3e;K(j>nXU% zonFO!+IKOGjgMMHz;drnD_f@*bmwJdZ8@oZ5R}1Yt|4l{Yv}^a>qa6&akVZ_ylWlf zJ1=#7DQ7&Ax9dcwDg}pwlM_)46O!oK#8>;N3?KSpIt_NNH^*&!sy~@?0VgmL_Mf_P=(i<4D54 zg=%CQ&B+c&1-H3aQvrOBJsry#mD>(LLk9S@>uU`j3U6YVkx5m!5X*wj$>+AQ4L@Af z?2sd`Jj%7BF8Hmf9vdhEq)erNg;wT_NlWxD6Xi?i+=$I~hFA8tQo673FsZC;?E@1v z#SYEYuJG_SbZ6?rn2tD~cP@bg{58EDkIF2qAoeWgLzy#-F!yvO4gc7ZSI#(5w$#SY zZ1JXiH+moo({8PKpQOEC=8aH@t)Gu+n-nyZCh9qO60nN&CBDC*9uU0}z+rNgZP$cX zr=H`e-~|ko-sLy1k5DIZD;6|rM?SaP$W5ZgBFmE$N6SX6Z5}|WDx7yw^>^kT$GyDU z>-Fyq!@Y(cQRI>h=)+HqvWGs3)q49CX&0uRCG%#Xyp)N|ML3?Ic%GmD_f5OJSk+r9 z@jIGd=xmCgD$Z5kZ1nFq+}H%$pZXBS{mXj@56 zDBQYJH8r{cu_fzs1?*~J!^nKr4Sd|8U8sLxPIU0&rVO>RjJH!X+=tAeG;ca8^0HT^q$(6`FOKU1sr>>jkNWrmaoKxMzt}SrPbe>}a6E+`ZZl{VWp`*r<2^ z1DJEIp<7jSF!I%p1JP@5RbQ!*JE|N!GGM&4od~ZP^N-W~7!naQd^f(+hzQ^}pNGN>B>R^5GTq3ukbPELykE7Jxdun6!v`N@Q^zRoL zW_)E2p@>Z|H_686mS>vFKjK^8a?HZoyn=xDE@~WfQr+F4@sIf1-YnIi9ZYidIR%Tr z=78H_!^iy-mKwu-YckpsthpD?^~CKbc@Zrm(QLIYv%JdrXW|#=QM5XXp*MA<5S&9< zPDPknm8;rbCIn@tyHMafa2OJJbU>@XYG-?LJU&@%$-+F%+FyvbuM7$)fgIz-pJZ65 zl1>Zo?dUy|`PB`@jjWlTFo7QM=OU6EX4a1vv+FLg_e!zayj>*d`(Kezy8#Wd6@{&W zcN2!JM2>#QV_)b5pL0KGhA(~NyprS1h2)r{(~R&Ze{Q>mhzy$mOZaL)r_^z9Z`EHXkj1)rO9go%t=x zeWk0iQuhveq|-DOK@@FUsPnnJU0Cw@4HDDMjBmw1+kRm<>Mbh{MGjXLw!6MRI&_n@ ziyFg@9N5Vz3;Ky*FLrA3@7WZ$vSu*qd2$lyOG-0wdM|P9N%+S>%}My!Qug=o7Xn4J zQ7qa6<7_;z%dy9o`1*lxg=s!Cp$gj+*!o9@*o)EX!1-svX{7IwpfniN&wlOmoi z=(5saYNW_?$o+5Vi!B7L$DEfc^p@X6uOQ|=T%Ng*d+NaQVO+N#?|VOo?d#h{t95zA znf&r$f{@+aCiCuB^|t0eKY8@s$gp-4|IE_+V2C?F#A)_* z#B!&>iGF?#W_?{~*FjO!K7+?DK^OgVc!Dy`_v9kNo5sqJ+OIg=bXeB;Hu!Y&e^yWL^F~1v2~(y+A4+)iJG!PSPaAB1^`-p<>EE#` z^NRY*@opQaqp&)^pqk(D(0_lx5y}i5G zdY9c_OY;GGZXcMIjCS{g@3)Q}Nm&L+(ZNr?TKwmxh_Qj_Z14CzBpK(Z#-2RI)I4 zWcRG|E*i$chBSe@9!&10u0ByX;2Qi}gcU3V?<^gxNdB3l`3T)_k~2K+UWP zW8CI0B|J3N@ZD~E`PVY_58h9q*HuXjB7Ks@{x!4H9A>c`h4k<`#b<$~RHW$b_vbmi zkWHv3B~7N{gl+l5P4-ssB1QZ;qFEf+T>9<8e-4zSWOCMHRz=Jbb=JgFUHv?|9xlWP zP(=dR=G2;t$^tsEHjUgkz|A7%Zq%Jy`H<-ALRm+p=E}xx@=s~1lPu28GCV9G@KWQ` zk~6+u0{Is~F61>ff`()ZcTgz8eb+_IOi)G_;Ss4<;p{bnF<9QjZ!V+w2fWTPO;o2A z4@Adz%l?3PgMQu&vUNJ^^%6x)q;2D^4l@tLc3`pDNBsP@MVwqg<7Hv-dX-4|(}cqg zt9!}r95z$D2~GG5cC|;JD~<>N;V$gK@I>0@VZtj`o2eBNAr9)6zQdL5!B-91b zFJBb(&9xxjRdBQ&KQ9^>b&+7_OvbL{RKAPh@SGYQk2MlY)g z3wGU}jC+LxDmYBjbc<4tV~Z~VZPD~-coG|2#PkbCpO`}a~5RU&cIQ^Uujz0yeIP1#vF;3=B@$rMeo0S6&!nEH?8wS`Kt>+YzEV|Dt zT>-yir&dLXiC{W9nLHprtSrV4nhG3$NCgvDxge-wu>0#z#o>x;r)irwHk@f#DD^5? zzgW3X7O=mU&U|tk;3>vikCI}GA9UvzgIdzJVUBQm=(bLhOMW59KIA-UCah}{C!?#B zS*~EX4ukkMPM;Md`R5AiOX0dL)a|vl&;&$xm)~3yKx^y?sB*YQQSP;Ogmyb=;HtNF zBS-)6n#I!naCls;ZQz+lUsq@~2DKIMxfe6>{!TfkfaCbwM}wUIZtLx$wvF+LrOyra zryulKRW|U2WiEH-6xE8gik8)pO6oW6><4OSvya6v@>IMZd%??9ZY`SX^k-d)Pac*u zj5&3-KjS#R6+*lA=0nj;K|LDt6^^$7;LU)^tokH~us8aBzGC-OZ~{89>p7RDAinwo z0#cAE8M;N$>!>F=iH z<>1&l5kd;FUMCA~(flhnf-0RK;n}NTs8Hu%OZD>;Q?RGM50iMK_?TjQTM}^2JbkmH z29~EgxW9a6kqzS>4*r^0RyFc&N>ri4g?Z;zBrm6)sBG5xJGZvGP2EWm!!xo~6bp>3$nx)9*Q(K*Y29yI{yU%vuT}z~qb* zDQX|)ces3PQ#)2G%3P5#gg7TY_FU0rr|Z05?AA?4t^ZII95FUs zd0FhTX|(}%(p?lca(qG(=ngN`y;-Y1se9usm+OQ6BX5rdSgVT3(?-uF#Zv3?VPayr z>(_X#S^Aa`ry*|`4+ygy{w+c7wglQ~iMeL1kyiphz771Dh*`v5JV?m8OXla7KEnu= zS+)+Tm*(D)5t(L*iEwB*QHrni6U1M?LsbPLPwQ4(qq$wLPjgNFgGTaJ75G|k6yMBB z@>>tfL=~3ldEQzvu^bLYGQXQ~6cbma|Gc?;lMX?YIWWY$;IBMd2CDzx5_C5sb=Y2})->mHu)hF4x#Q?g_L7W9AjgM(kILamYtVv6lQX+L`FlA`Y3o9`!l;oXN99i0owjnjma=$O6~Jym#??L=Wm z1brzWeOVp96J`C_POtDr^wl%kk%>RyQU%q}P@VdxY+_~1q|2~G(YX}Ym5fb~i^g7&Et*M>mU`9DWiMaG%k2M?zv#NpjtmD+MeqGV zD3VikdmF0cu0j3>Yrw%Vp+ZPaa7>TGa;(Xv)Y1r+?F4(+AVrKrKABHF+bSE=&}^@aO*&4DX)n z0DljgcM|axF||*ngQuE^d@EREKt~o1-}s!;Wu75;=`G?{(+CVbii&UxWqI3%Y30?E zfBFmP0y#*{-!Y;HXHZ@tnA|c9uFYTlHUAUl-rTRwZ})S=BQ$L3ZtX5)syX??+}&2d zsA111`=`pQZrS!8LcW#a7M4N{|E(i0@UGU{l-vSu`ns|^r6+eP=+BZm#ZDH!nrf{3 zd#$U>!GotZgvMD~S)Rt~rBSRZ*W%sUpR~3yj<(MdaKEJ3sNJ5FmhD?sNuI0wNr$j^ z-)0T)28ctEI_2PuoL`)t}-TXYiyAyLwY#7LXXUt3Es_@%azX{V3%s7UOWO_l#6dyT7d0f8S$U2AIUHtS(j@(X-c~lIfEmbj3j|#cY3JPp^k?> z{PvLh`h|_lVC7dk`2FffPrdziWXhB%*z59{WNKS10UrOsK%U%}`9RtVmYYw*b?|ko zZpwCm09GtI5kqn6|BOfX474xxo=Wo(n^;>>;ziV2PWAK>(;Kv73PA+n!)o_~zgCe} z*B}q;Ax--^s?)b6X-75^e_NsTi1twI>!&To6g%!d(Q`vs%KK|ZrT52ql`7Dya@qLy z&bBcv&popyub+3)(c&FaqU6V)1{{vP^}gEV`mjY|LAeY)M!S;n>ki0&Syr3YiC#R@2qMy^93AthQ%-hUcXrfI%G54JEhpE ziq|ub2f8gY4ffA)d{bgQ4mG(28&B@qwB>^K^!9eIYYjf@2hnG4wSEMv?bVhV@0gN7 z%qR94PLr6@rAB%v$Ek0VU9C?!t2fuFv^q$@QfnO?#n!Ab$jWnPeVfbA>mg24j2?9)=z&%>1DDn=9>-tv)v6Puzz9Qi@Ix6+$$MvNQAt() zKy7!)Dy}ny9ls@!Ht}0p6%RM9F`4m!HD>m&Wv`;uzWysbvt>GPNxJW--+oaSyR8le z7lmPletACMCG)7Oy``4Cg+t|h74!TJoyw$OGqmZmO00BGW8$J7UjmCFB>3#6+b-bcsFHcTMyvb5Rxge^@up zj$T02$b3tg-&ZrMY}<5jg!9R+kD+bf?Z0wg{fUqDo>dv{-Dgi^PdMzwRV;X5TKE;` zvR)`T=;Z`@kMOr$*c0=gw3=raAk+u75$eacKkO)=+nxTVWnOt7Tk3xKOZAGd$_h#7 z1-vr!Mtx>Wer91{N|OnfLn0yPP7GRxWN=HP-Y#u$`_jeqbGwQ=# z;WIMUbG)(hVv9jgY)s~p&$hzG<(`_W5&TYilb$|6XY8Igz+ z%X{;%rRnck?#4nPA5J-V{fhDX%ipc3VBzMsMQ}Fc%U<= z!-mSNqI>O!fbDr#jUo#}DmK3(8N$Ld!=^{pp@V-d0lX!dozl!X;HD;a`uL+le63iH zWu}qKq7)V_H~|>=v2VT3C+wEk77pBCE0Opctx*-$sn1E{Y(XaLHL@)}qLB-=$+JD( zB`cLQK z+qSCy;MIFLY-D1qE_sG6*&H4+%E>;~Vm@Vfv(JVCa&!fE=$dkM_#wF=VoHR}A8 zeYpK?sy3N$FB@$!3sr7Zr~7_b7=;AoY9W^LZrk{4EWX&=LibOlnX+zcDb)d z)lwii{$yjeO)k0M9=dLq_&4n2? zj0aq*O3WgDpCWkVnbV_gl;5h&?Z&k%q?*+ETZcu2A$y&TNOd1zOdRZutT|caWmw<$ zQSNGBg1AdS_l3e`xSxGTlKf>j2eSNz8!hL&;QsBwmTy}O(KG!M5#oQOBW>P%oqr>^ z%-ix&D=hSB$ehUHkyZHUeZb2o@R1*c!z6-Y?o|e7IB2zas)OKm;qeh6xOV zA4MEHJeSvLmOk}%W_^q?aAP!Ir8l8{E?UEL>BKyKYDl)wCK{gn(i;Cr)eslE7;2Xr zuoJt6WXN2j|EPdWJn~>r?UTyLoEV8J)RaRp2eWF?8(Z%iHG04 zys5u_=1O6uG1)c&kI>O*vIJ}k%%Mn;^N&`RK7|~pW{NJ2OHcLsW_7H9X+_9;_xWxO z&)?JP<2lnCZ?vF-AU;_I>jRh&GY`xoQ9rwrmbtb&UK?SCHU>kMmYjUZ)~99OL@SJL zUIO;@37vgwW8Hrc*HDA`Uk%^#TOTCH27khzM<|3f;Hk|T#jSh%wGi?!Cqz!YPQ{R4 zfXIG$3DDc)C2-lOq{R&L0}M-{5nY~#&=eOkcbSSA_5>Z7$2|@Udf3V4E3%;C?7eSC z2B8vXY}NVq*usMR)qaqj;1qlP<&?QREScIu&g4yF2aoRc-M=aqP9I2re@R9PsXZhz zeKlRbTFB!4gH^NdkNdM4_ikyf+~#%FQ_*^T{sB28#Thme*v`?4=RCF)V+^3B^3=K0 zT3k5RiIBJkDT{)P4?jK8$SUzxoIrRzw^g zJ$%@1lkmL?$hPpV3wy^^d*8D#s7kAB^ww>Fp~XQmGVcEHzlz#tv5n+i-9tm*2zVbKB)jzVb{is4&9EZ<%$5 zo;EI~G$KPl?dTc*#8lio2V2HY{0&38-_8G_t(oDVQ={WxjARhqL=GjedTYQ6j42aW z>=UfjoNXfU$lNUAR1J5Ah5fAkGuQnY@A#}ag7Cc)IjC7hLE2eWB&}6Ew$)#T}9&(8FW7|1BRa%>zK(?SuiU{DO_U?KWaTvqV9f2erCJ zOkkR@*DJ!`QYr1i~m34C`oBsaP7_yvsDg6{_mf}}N^`koWl)g06;C~Wyyr~7s!>m37 z?$(ibZ2}5Jlo* zh542$V-)s+F|LUExvRG5rJQtyk}@>eZ2MLe`Ez!@>kzm>vgP7n6*%jKt}hl^#e&sDI|mvfK_JMUZ4@|9v1f_8(TsT_Y%l(9w2b)Km%8j#JbP@M^H3Hz~TNH zn{55^K(*L9qQ0)Ic=KUagMw7SL49@npV_v0vdKMO*`|OS7A2k2Db{;cWkamP&AvCF z@0U015*2b%EWY2jVM-#38)}TzZ}-I~IvY;KSeL;?XC$hPd$r3xPg#GpAcE`6o{0(} zWRR*@Nz&sJzDot6)5Os&FDt3T&*zno8TzjM5dbu&2n!dSB}o?ZUjt1D|LDJU0ReJu ze95kv*>}OWZyHU#hHWHK%p6vPT3o??@aF~wKkL^wGtKT#CtmKUEx~b3R zub1A#3@}IBiJ78HQD|f$Pk3$H-#K0I{oee@qUL5m?fKV1BKst0N%n-h{C+4yMF0>5 zqU@%*3|CNKzK}AleAN>K$NMK83(^hnzeHxusg9Fwjtn9=br1$zI|>;A({KL0%(cNH z%3VL)wN95Yq3wHV&gOIqS$|)UkXH}vr4#=$PLglA*?GJl(#7O;08)nlG}L* zx%z|Snb6a)n~K8J zb-GK^)dHQe&mTGJF&RBRI#bT{NqHaI@yid4OOLXj#RLY}fr zS?zC#(Ux^qkj`BCcIzJ?xw(nQ*bjMlxqj-53{wz{T}NRbeXD!2t>-6#NqT`dV~@c! z1x?SkEkzSXYW1>Q{k}D@%)x`+Fnqj;eCtRQ&PoB&h`u`6}{~jp#F-s6o`Z!r$72wPyE zdNJkjXU{VvfNTsdEu{GM#V1sGeGs$33aXfZ9E34vU{`)L*FQg9&o)KbXJddd-!LF{ z=IW~Mbz?il8K^^6kbK>nBG`c&+kCUNPxP!3aPK!1mVmI13G(-hBQgVz&Cp`zWC}t& zUU~@UIYMBJV*)1IUyFqe%u684e%{TE0z<$U-hfCq3(Hx&v{8BboA@5!;k%>ajr>(!vgCJW?)iw|F?yvmgG7EV4|K2oI9l)AQOY7b)3e}*HgczXrlcr^ zj|ZFA8B#+q{AFk$OAg^Dks#8)U*U^2X;kyfsNHtu!Ke2)5x6l|%26)CAuH2_B9zYK z9sHj=Ch)AJ`!h#MKwgs1vT+D6k9~M5gS_4nXaDpM(W)^AEC{%C{D!Zc77U6OorsAF zD#N!#=mbHp3UK0L@`cXJU zYwtyi=zy66>UD?EwO4Kp=+U6lZ@+owdUmu+uN?YZg0l!X^x@k*6-=wcgG;dP1s5$Q z^S6?HjXt=#S8P?+WGvW!hILpg9(!qgac}F(c)k1BS;tt9<`02J+mW(B_Ut;ou@rTh zJpbO0?j+laf+TyUjDeDa;MK>rS?-y&C1#>lQBYyxdc()BMO~3ucqSz?FAWkbY`FrC ziq>G_58H8u>}W|gCzZbsQP$!)051m?e#d0$`-w|_BcDL5A=CAdx+K}Sa|gaS$9q;2 z!*)0HMCwEHl|2&NcF8yJ645%2NkE*`jG9l28t(z;CpGWO`x@ZNRn(mG0qsoxsk4tn z?GY}HVWj8YoftjFIJaF{hAE}t*j3JB92J9wAdzLq&mkE%kvs;9VhmqT*&Fyx+XfL? z?1@`MfSe3WV$Poc78S`^`@ebw1#BWnd35+JboA&$BZaEAx9ytYMSg(k{Qyym|Y5%UT8xP0`Y0dQ40Y|Zd>%(PQ)f6yV(z@&Cpwk2cxNBcsSNmfl8 z5r-X1_4?0cTW^h-E*Lfeo2%tHm?tRD-$c;a=rq{>dJLi13p&I9Xj^E=u3cQrq&!qom2XYkp|RgANjTYpTQkYXlO@ zbC#VbF|E-9^VG3N`;?>USa>JjXA4$wTK@T7oe0-&M)gv|!>G;WpT(EozAZ};@7+ha zHdbAK5LF0}IG`+dj&UfhZPQ2>4k=e!w%z_12nMsLQ7~cqlj}Uya(TqbHQK3IP!T06 zI2z!vJtZ5rY$s&@`WCAR?^KIt_^88s;SS2~rz6oJB{F+d<&hs4uY%iImfyYD^%JdQ zEW=&$S^fAuD4@ua3mnxYFwzP?GdKDAc-z%hP{M?~i7aS{%k$g48QyJXMWp~=6;BJg zOz@NCtM|AynIvo=9ezf-k`55un(f{CP;oZEC-ioz(f2d4iSj?k%KCKv(8q|Z^JYs5 zxgn8_)cn5&`mb%YFb{6^P+d^;Tq_#^O;%pN-^!0@IqOEAlr-fSn>z9yblH7ul<%*U zSoZX-R%JY%w2XSiy&LYN1=1lsM%Nx_jxK`MFtI`x{%|*I93C0 zwsNWYbR9>KYW}{#VY9l39E=tC=_WQ0X|4U~+mJU-mzbs{);RI&y@IL)Zb^$DMlw+|1|L#FZT8t$@>X3DGv31)#50oG|52XrF2xIscZC zfL`F8jMfsOOanmo1D0`UwU$XXr5CWiilYN$PaUdQb+BCO6=53_+W< zOe^?B9v)X+2*#XHz=!KAVTk(|@RGP#wRw5{aCj9*4B zr`8*56gV5u;&K z+3`)U2s1~mpX5p^B1bOL)O=d+Y=qvlbB4^|2%SonBowB@=fg1iER2M@ARhLiL-b2@2jS`0>kcb!;=Fp);*E* z6FIjS>gz+xG!t7n#%RC$Gy!GBrshZt(BCOPo{!&rj*(2@O;40?!9h(gPim|N8?Xex zt7iNc{U!X8x$I|Ukh{O?(;NQMtp5bgd7*dT>=-3}yQkASDs;mlipy|iw;l*IZs-u&AG56_9^5=PoxoC3fXQ(ss1RaDttH%R`Gr3K1C;*u&OUmpZoPj$) zd={PTLm)=XCx~ebyoPeD9PoTDIg&6|UKbo$$Xq9Qx~{0zFfa$S(}cb@5#k~T;^;P` zk8O0Sk7$9wl@Rgpqf>|&Ve$?Mrj982Y{m$YtuB9C0YipaU-lDlhM%FNdp3Ko7QSq` z@8*VFjM?qrZL6`@=srfX>-28DKUZ4Sg%6nQ-muR=sVg~*Slfnu7d-LXaAnO1@k`~a zOL7*FEo$JS@}RCcyeJDS>roM*r!%q9lLWX$0mZ%voz+dV3D8$GTkD07WZr?*m$1Qt zbDto_k$j@+K~ZVDC z`lIL%Q=1tVsnl7SQ{Y0p{F#}jg=DNkvr9L7Dx=5m>lKjBHAx~JOZV2ZJLR+8-vh;y zVxKN~_dKvs&ElPmL#zMSq4v@dX}8OGg4@(9S2u}h_YLVZ{;9FHg`1eKEh+T(>?BaLUfHv5i=4kR=u|aJYGfaG{4l`c?Mb4fw~Kpt2wCaJ@f$bEf!n~ z^1USCVcBO(?2M^LErMbhT301>yfZk{tB3<~%tbKNmcE$IJ|c7{DqRQ9!#Kuc6_51- zW*@0P?9@TqdYG^lBfkI$*Bv%&)krHP>aFitaX&! z-1=$3@y&HkxPk2CWnfv*wbgo`LdDIeZV7=DtL-hu$ZpH!aSoH@L#1YX!T0lZcgY%(>a4W=XF_=2_#SBWg8s*%>O$RRigR`F zaVhP30i5PX!L|SdP8z_`&w@C=Kj9|UB|%cEt`vamWzbu(#&8Hq;x_h#2)fUfl0rE9xZa#gTL$=X?D4u6V zApNDiLc?(P+kOxLe}#*~7AeVaqBi}%kx+S5^tvk`enHCY>W+~(fmd15Fm(*yz`h4%L&LOG;9gwIM`mYmj z=3q@z{CPs5X;ys~HI`xLoBlaiVU|SDs6yQBk5*P6lkeR170y7ZG~if%e|EA){&%u%Ox+OW?LcaQuEmb7$w<^#Rp|KG(3bD~^BdhyDgo!l`2! zPChu5d_q}F?R4bx=bgxF#2gjvIFDSm#!HAE6xD+BWgm5BZ>`+0q2qGaTF@jt&i@h? z-~l__ex}g@Q7N$skySqUFXL65yGKSwdzLmGeV$b3PUbpH6EXCfs7!yrr)oE9a+ctu zh#C6m2icM)v!M89H9`q=gDW$FrAa`t5LR95Abe2^SPl-PC9gDvO?Qz?#~lX}%pFb6 ztlWS#p@8`&5EDifcspNVLG+{iA!m)Cyk5$`9KirVSQ9xh|F_YMw}?Rc!d(-4DtMAJ zKwL=-qZ9C(3|u?5S^t4PM*Uf0ug%t!qMK3q)mv|^FKDqw`Vy78GlN`~Ix)xy8$uZu zG+^hFx=WPIr@6_?UyY?&#~+axCz9(xucL&{Osp?0EsQ_hR$RP3VRmsEt|<^z)=xCq zJeg<&z5e^jtt<@(JVQ9nql|+b&Pe&qlW0!yu(b=JUD}f_;JqGqHC{p<#L1_XUT*FF zzVu}%{rFdTOVtIXy=_e2>a)ge4snA|(6u2r^$=-JWpfvs|HsraH^ z(keA{H_|Dk0wN+YAl)#OG)Q+zH%fO6;CCnq>2##K1>W`d)?4fSa~F%bcW%u6oU`{n z=d(WnmR#dUO1XieC3obJ;dxN4D^Z=c#;w*%@t413D#y>_ON69?r*e$PvOS)peh0n& z2Y%Wb|AC()#ISHWFs3Mo=6 zJ&s~baJ-t~zGaF=flq6=B(0uTPg(P9-z+AgJhS`G=2*uUS!%QU@oxGlymL2gL%-ZT z+X9{Eg~zX<>Lnk)u+%k{4&v#1t$;+6cpzi0^L?44?k__>s^zYROUAE^By2z5o-_rf zsaw=QL04ZtLxkXVpI zqG;lle@G7!d0|xeE9U*7GU6z5Pg&88Y?47u$c~hV?Y$j=a`R2?zm|Ou4w=Bn({&HU zGwMQb{z(RHAz!lpZf(|&5PjcxP?R<#cM<;AFF)VCqwu_3UnM2-s3XFZ>||0vG>7&e zwHnDM{o>x65YJLZt+{FynMg*y7vZp=4hKur61cuyHA~;Ve2x0{Y$`G3bUg~%0+uI zPq(&(*0^3vM{|?X+kFO|<^<#5mVi3mX<1|XXp?dBr&|W5H7wH1v;5q(Lu1@6E}pmv zf-dMdtf!_^#1t=#_;4r`T*QoEs$w7VaV=v0LSnv~@edEMi=~>9HH=VvqX-yN6z1O8 z7PRW)cyGM@YP2!r^{>7w{S2MNFD9CyRb8(}{nf*1-)45cIWtF8Iy3}aPZuw%IKby5 zIrpgnt!*px->+Y~q!+~nm{Xl;eDQq@mdw*2n|U;);XU=rQRgG{W_BUXRdQt=JU5q5 z-}4W9hoCpQa2REt=@!L=F{4veNG}Bh6Piz0va!ai0V{;}Dxc92^|9c513YiIhcudm zBB|d4A%J&BI4O_%R+maju0Z!5)xwKNC+VkW3EK)#SNv@3MM)L(b#EHOI9Su!?Z253 zqmhUT@4t=JSMC=21{h6QVPDKf4<5FJvZh55GKPv;{kXr+fj-+GDZuQT=_OIz{SNLC z2~wi5Ump0G)1^;uc%Bb3DYx+ZD2}-2UzqsiBs4E}0*)9jb03{}zRSr1WeBO;?>+)* zzgsOz$%x+B0-YCG13)kD$aV)}NU?t*Pelloa47&j^_S;o5yK(>&jD{;Duv0uwh+91 z@>UFIh5sq*p;gleVKnxJOO5`Hf1*Qfs-+QM88CMUUQPYoW^_6iIYaW& zI6B`vj1Yt%T^I1tvVACAZAM)%r@4VT<)~g7SKfD)5D`6X5pUHoiyicppjeQ31VIHpVYQCHrT!$5RX)hpKpD>ue zyCS)Luro2I^u;bMr6D7r|Fc!a;Vf zYcyhn%U!bt3n3T8Kf}NC3Ox2U)?n4i@A?c`;1D}PwD;Z5z|X3*gU?W*RwvAc3j zzTVbzVF?|IetR48b#b4+PmaW5h{*jt7ZO4i0{tW{4MoO^K1c&|@ZI+!~Mswm4WR8=c&HjDMvNok_Og#!7_>u3B-R_wA zqbnW1+Ju(gBB5Hfl=aDJ$7?thizy{j|4cql4F+u^tq`EYT>x!hvXZjO2-+?`%$-|c zU6J0BCgN7!aS_Ir4QnBA;vNMA)74mhmO#nF!@^TL5}^iXkPoO)Ro9deR2reGrVS0_ zMJ-jdgod%2Wp3rWfs7NLBfLXXUIA^|wl?F&J9itKB?H);Ekj)bPI9(mM|v4}UD+g) z%`>mOBqx{pU%Y*3T@5ZFWZnmsC0M6ia}>w|{HztGF7}dk3P_%RGlYGvk;P`l0oET% zswl(T448=gDBsqV4j8ySV^#)yZ)PxGN+bYoWNBn+7g!Xj!5njC)wUxo_@+_D=IewO z-`@uQGv4ix*dhB>fS~;(#Re;X`<3sIO*XE&F70%8eh$>k$&k~ccv))VmymEo-d9=A zDChmq^41M#mz(^uM_gj=2_GZ|=4I)wDspD&XwY+1W8Ce}1O=5Z<{37zr`c zq%2J>WwQtJjMVEb8(wrLKTuogkun75nT@R5<>#M#n|9zoD(UkFO_VWBKVC7Td>BiN zmGoRW7VO!AG`6epX^t+7}9|YTG*WpBrdE*ZfxW3BlK*@9ZQqp?tZu9P7MUA1V z=Uf4zugI3PIBakR-0FW0<`d*1o(&eQ_=+HV-96V4zEjG=U*aRW_l}dcqMrkqw5-U1 z#CXXf0IVrcdXwozJs38JD$_v@*$`e#M>~c7 zbnDnNSoMKlCuh4|mU0#An@1qKgH5J*_W{U`GCy?>_m+Fa3VolM=L2t(>nU{vZWlp_ z)7!vo0$dER!>a14gtd^A_YHbl_4YTl*?`OVSC8p_cjiY?2g9verILXc_nF5F)PEaW z_Vet!ICMCI*jl_S_IUc|1_~lu!Xm?@sLTImTsW`}dBJTGfjvU7MP44W)$aW(N*5)e zcrfnvgm^B5Pi}Ufe;T<`|3)#_^`4U64 zaU&=JDF%(Vb2?uIn;L?7#LZTGnSO zE$=S=0@!N0BSeyU1+9Gg*_=kwuz0W-K+4i~8Anrce8j{XqFI~f%)3IIqL)6u&qYh5 zlEtye`D@pTKj0fiSoOXNRx^i1VXkqj^7k9qoB+cjF6=)XAVc*&Y53s0Rx@qm?A1;M zc$3zog;vUbZEgjEhSP{lR}#%9Kvb-2gK;^^r!~i4fWqE+VT35pR9L^*wR!b@_TY4YxI#MWywMeBE z9kiqK;~3FhtI{O@IoWkM+95J>ek^)OhI*}}Hy;psTd#a?d~b@0a~gg{F$a8J3bf|@ z+l$XQFL$HTY%#8zuaF-W@aZH~?`_-3P?pZv<-PoVL$)Js#yil9vGrM|)UzJ0PmU5; z|B20NP})2dTzn?g$0ieBoVkL8|6KUp_Vom^;MnJISigDTTF(%-|0XYy&lLvrG1+%n zF3iCC{|7fC_o@{e@qo$6T+Qhxk#Wgtr-^_qpy0Fb&8MEK$YDIOLH1_AMgsd}XRH1y zVQYEhU2b4K?c_&IT;G`1iAAQE+z^2n4G9TX;z_?$@Z~&b1V317U@pUcUkPvQi#cv#5IqH z68uvevDM}iyxy<`VAS-C3aLy6s9VKLpSzTEPJnHP(D8{KXqzq9_;`w zS&yjGAGejr;K+=d6zxaMq(XE({SR81Iko?(`CDGXCzZW&Y8gtz%;sFEQk;jP|xs@!zD|{g3Wwh@N#-CA|c`a*tji zBga;Gv3W|KkiCU4pG8>rH&k5jTU2jfDu-Ju>jX=XLtA2M{<{%bQkGI8p7P-?lb$N5((D#lMXj0Ar9;g*J%Rfh`Qs3m;Oa40u_JP z8%xq!DZF^1a6YD^Yoq!F`-xD2PWV&3@b1S8#X)v-y^HvTqY(iK(*Stk*C2g|(Po;$@Hm z=AXtgJ1qN=h;DptSM6t!=zd9qNz%DcVtbHa$2BUU>y{w99hyrOh2J~0x^hjdPsM5e zw(ZeH|Fsp?DAJ~E{^%5B_Rt|B)$90F`L+~$vYW20eyxETD#o1S?{LF`6z^6@a5ZOz zlNGl2u~9k|UEXw;-)9#nG8|exixXk^?DZVUDOGXHtv)A`v`GtkY`gTXWBcRJot-oa z3MRoQOMO>dSV;oq=dzLXC!^egP*ItPujy~H(@PM$X)&Ro`Xi$y^vwN2L=j`7?zwR! z$IASLb$_GFh`a+oxXYCN5fnLP_+V{~vJkaADi%3V{-WY=zlncJ;FU0wW;suqdWN^IA12L8lky+zQ@mU7 zwCb+_;`LVbu(heIOM~WYZSm(4>*nOv=%b02khK_=zY1u@^n|CbSRptex}Eb6n(~>s ziHp=^c1~xj`A`dFJD0Ysi(G0zM4C%e;^Jhh0G2n$IW<Ro_`T36E4U!U{_+%mfMy790R4?r6=3;mFacAOcD`usUOFNZFcA1U^Lm=kC97X z^rMc&rDAY{aWJkwh05_W1tm|v9E^Z{fhBIPq(g$^4}N>(!+yKN9+*7)PlV-(@z5V6 zNd-1Lo;^%2ei7mI-4NeZot14xXTs%J&OMl8u_NqxQvpfHdBL7+7`OCQe=O(&)S1Ko zK@Dt)@-`pHi1f?6WT5%$riz;$+$RH1wDh;jRRC!GU08dzgzXiv~V9ohiPPI>=c@@SLovS$#^%mjdCKPz~SxZ@K7;g;JMpP()kdAPlLsrB!_AmioVXEWSl3gr`Vs>#sS^{x>F2MstwqJTR=+hcBCHFP$ z;}gUXyfJNq1**liPoKZLk*|!2)u&o3z3Rd}OzXkaW!7K*@jF3-d4HEadg7*QwyihQ zL!u5J_JGCvp;CdAdTs%-Z&c*#M(AnuUE<4|E2?6|3GecI7;n#Su!~WbJ9kEXKJc?2 zWiCm`E_CkvC)o`pb0~T(EcNM(IYLcGqEfmmGdzBOnE0lD-@0p2Rd-cPhzD-u+_P0# z=R&1f=AyPFmXAbNWyR~=pD8r$)`BFUS2x&KwtppsP*v8yvFsB4d-Xy$&r|+g{9ln% zbPC|i;r||+K%X^XK3!_Jy2Jd6nGR>p8^gbDk%y{`Wo}wCSByj!Ju7PZIVGQCKc>x0 z{NfeoQM}Ec`I%yPX_9rBeZas8V!G-6zQ%T1C9jaEUH%DPTyWpKnz-_b(i8MD0`rSG zv&14NnKFs-I^*57S-V0iLgl^CkGbf!Pl*ag?x;sgg?D%Dyc{)$(M)noS z_h^c*u=NC<#~9HupIxBE6^XDP@!i$25(G1adcVst(+)5}&9-;x#t~imORZ~fxdUI| z{iVi0H;3jP^MW?ZqULv?95jdxXSizV5giFI@p>6TPM}G zRXIfY&|NMD)cub7yS5-Idm%kY{&w_5^NLJr*02RzB_zTG2LuM06d^JC$?9;c68AR~ zwycQJ1Li6SpG#wlBK-qQPBZXJ^GNb1eql6e(9N2zEzw<{>dr}{bXs*&U-{bylg4L{ ze-F{i_<8R!`1%IbW z(I6G>YgbCAPy^^tzXg)vJ~vtR!U=e-n5x}e~k zY}b855`sz8F!89hQAhOaEj>78+-fZh7Vu=up9un? z`%#6tUo=NInm!MNoKn9kj}~rb>QS-t`i6b-8f@w9PI+^-nWK25><&te9()bXwR-3x zrboAx7g+m)RQwx@o&fH6rwNsbJz|`?vUr_68g_+;;@3riT7BQ-fsbRCv(-J{IPkhg zBFG7lkR=r{6f{<7^jE(svpm#*vj82Pi6u7QX|!DaI>0Z_C60HB2jq8##Jv9FX+R*2 z&$Tgw_|45|PAWTdl{0L6#dtGav~AH=teAfgWL~53krDrXRSSRlBm3cH@tRZOkI4l6HkxK+5WPp|>6;_Z`{5&EjuW6+kv&jTVR7b$iguB9Jd~ zkO5ksOmU`mR86!lm-4Xu=`D|y$?Nxx_K|Ern>1Lf2XDRlq;pe93bpvUsdWrBE8lk5 z!+lHaBQo}7+b?N_LcErBLFlka@ZzqHG^y;?eaIJ>Itki?FXG%kSG|Dm;tB5IT?x2) zvb877!7_pbze=V{OCE|AYkEm-AG&=u=h7PkH8%aa@l(%{di(1|!Ta#gpsw&pgvZfgBsiwrcFK3)G%7HZNht1Y~7i1ym^CQtI2T^g?7vVX`U`PoESt5EqR^LNzU@& z+OTjVXMetWA3lUyzV!sgnYZOnuukYPErBRm+#+~MjxywE&P|%gpCJFJxXPD{?`bwS zoH@Db=@NcKswI|X4FfTQ23SE=v8pJ$s*;^KU=g<;!6A{w>D|-QNhjZ~|AcT)YN5+hKf2P?6|kXE!6GfyJ@zYw(Mt!crBOp=|iK6Onlso>p zedZS=1VwG%FQZ$QHpg?#KfL1QpZIh%#HtYW{GR>dJBWCu1Uj(3q!%E zlGhhAOLSv%#@$zWTy`eA3@^P=A6^+BU$j_aH08gd7!|Q$lbFEmzYy;Wk_;ZRDPY={ zuVF)Qa^*Q0$0Q3ixINcuc$#f~Y`--c5}(_yw-#Aty7uRaV53EG>gKspdG0IbxM_qk z77G0AXd-s!mVNIp#uP&Qg8~VgKkoXo6k^q+i~bOGZMk@MJQV6Pd2sc%f|mz+eX|A8 zjiej%jVF?IoL8?k(Xq(C2UcvnieKVOZQ#QSz6o!8v(A@ona7dsnv#~gDR-M)@oM|I z<3jDAJEWNkdMhlH-$Z5I^@n40$UNuo|7&UORLBAOy<+hkX`V~o5O2YFn zXtvna_p*8Vt$PZY$@ML^2jnCHJT8U_s z2^ftUjkCCZdScjd8u+x@d5YhlJ3x7b#1SE^dFdwoLu>{!uHTR5tskM22NOSm0l$N| zbPxS{DN())cVgSHSTnYAS=*%;=j_k+U|Uu$WEr>57-ssm&z?WS52HNF`for+bbxlyAm2W}cd;se42 zNBbOqN^f>Rap;PDHQ|n*1V5XDGM~OyIDP>{W6DaK4iSM4N5`#!{|8% z=X?sXKiuIzB|e2WL!(xDRg4D}0h)-AQxdDSmSA_l%pXSMPK?H{=yF%IBV~^Hs3KBd zWfrCm*zj^@leud>AH;VA@HU&B&VkE&Ak7_zh4Ih4G6=V3)UA1g+f3b{=ob;N;TQ3A z8N$kW?usng(BabuDEma2g)L0mAH(p4O7kQnC7p_u3eW=X03Yt^L3tjluzwm%= zXGxdI3Eu`uL;mKkg{H7jncG>!mZJf z2|@zB%`xSSN}v$vK#My>8?+`=zOdNNR=DlzMC?U^Yx!sL@cK@~71iZ`JxC*U@l<6?H#^!eS1=&J ziWE+9A&Pc7&Xb!tu_=@xn71`lW+u zV~#I=Q_bu>%T=#(X3aX@fVu>@7Xw$(|wCWBMrw!lxH`5h%H? z_V`pI%KsLRhSz6HtNkZXZ$H zTDDjJ3u{BRutyh$RhP(__fD*9G3{}Gkcm-lT9trGF-PjSRd_+X!(sRKU0&b_g@6U4LMD(v%B65(^X)j|v}wDO}~phQ9hRokJjIz3(0SJR%hI&ZUK z>JZfb!4AD{74j0L)Y1}V7+&l|ioqNB>ztj$JvV<;<}{Wkl@-KevLm}c8|CPLuZ5DW zBwj+jPG3X!WsE634)1CT)6Ujx#jTySkiYT{oSB~t zp^`~equ*AVha0$&ZnA`Wyz|BdFXPBDntw!?%uKV6sGl^oM7UhxW)j;)8d^}z$GSd0 zXq5ZTUfEFl;1XomOLp6ag#3k9jdoQm_r`52tihscDWLvcZExs{*rm7`3L@=svj}_8 z)tLxLRpa54;rs+T|1RDIuczzPcW$N8M76tsnln+P^c7B-oX)U2Ebg>B8R{GZB`2LS zR?mkI_<~%B-;ms6vFpnD5R-bF2D3HrufJXQ51^s@-TH;1cwp+>WiG+$Hk;{R@fth{ zw1MXdC6{XU*3jfw_)x8?t-iZ_cW`@ED zS>tOuABU8?AAu{sx=ELZ?LmJ;Kuq0h1yp>9(y*NVm&P&e2=ZMj+GJ`RV%ubpeggp6 z8g6a=)Asdkj5sJJcufkUOuj`|6pWEnHai26>`+0Ai&GhVV96zNWnr>@rn=0W_7PBs zn_f2Tp3XC;6_cF6)7}r$Q$+1biT_%CxxI@wBF!_UHS*=Fg5;aSG(6y{4_poEatJvCP zm6?0kh}n5%+y|~LYxC5sy7(%B^1DsfJ7A9yI^f$yOaEq^BK=k<4X=hvvh}Wp?Xjk@ zD{YN_OTArAN7mlMmRlYzsO>&M+5r_Ii}RRHtLoR~YpB)#V*lpx&2Ef-Zd<+;!zEh> zruWxFIrto|bC6L$cwDtYCqY+iB;jk~#Bh7=IhZoDsuW{8M4I@k3q?{TQ zi%^~nJR|83Uhc8eZE1e^Z4kTEN@GFVN)GGdp&596yCjw+`2u0O)j0=-kJUfE8ocq* z)HbRxS6GgwMq!|ZRVj}${(+d&*gnZ7V6~3G^-rs?ov9B;bmB|5SsDm~NKoW`i-m@a zQ;0SIe`|fnY!__iS)%C9;`ncxLFF}hBp@R|vz6N}K zf0!!_vOE8(TqEzhD&o}%abufPW{8Nq9_<9(v~R3gg)G&sS+B;A!Q`)>d5_&A!rPOY z*LZ@(m}lxDee;_AYV&u`Qbm)S847dP-u#sQ*)X_|{*T6A^@BhBN>UF}2DWCHwi=d6 z@Z-nNdKz2yO0*?>8HE&%Tkc53qf{J{7z3|2;B27{bg#zcTw5iAjLNCl4*`z!wlB_}OS zyvMHq$3bMwOA*Vl`%E`M^Bu^mswapsd~VXitia@JbF~BoF3-ovQTSOAl1X4WR^A{! z|Hb-61@F`BQRK57`9n2Zd3^-_n?P>zE)`pheGDWnTjwWconAZ2Ne;(S#i=7X71hGs zIoc!A9@DJ|^%?0u@pA7fG`*A)zs7#lYM^TSqqbv5X~4QjI+L)l&aFP(k0AeW@cMW2 zCmA!o-@Pn;9`HOa(Spbxdl2fm4;njsY=q=-j`ZBgfc(o>(*_lptrWN&nDPk!k!`(0 zzeL{3Jb~ZU1t^XdUDLkIFWly`z43O+w06)3v38r+fho0H5B@6WBX0^l!AtrZnO zQrrK_4LZwo-GTTuudy|V!GRI9Mp6a_Foor9)*0>P@)>4+@EX@|4Fq;}A``E1>b^}djbqs89q2P`NX%h#Q3bXjy$r6$O9 zB2(Wj{*hiiXWiiMfDB;0H*%x?hD-Qn(~f;o$Cqp7i+cWINhK>A6_p7IAqsDkI|mu; z^)u0HO^f}=tJem#kezmkkQE}Fi+r5WrJ2XwWtOrq#`g(f51wQwU6AQN99lnUJ9}OD zJ&)8s;^&c5KOOG7yj#9afahMB4N+%s&lndmPF3H2Xb3|sZSPgqmbxjeXt7b|6}e-H z4vM`Tz_xFDnvYca6+-|%$eK2pmibi0HB8!SCimo&AmrF52#2DGCzioHCY=wTS>Rge zpd8xzNvgB4XHxj!6#)v$N?pkdl2tjFM%PVM(Ca)%=yK-i){3AxUV$l!KuoDAz*0rR z>Je*zpuwNwfdX&6n;H{Z{Vl-}DdFjlLd6eT zy#{f7A5RW&3|Lp6mfgPdLvlz{lY-8uCvehW0fIB{t#m5*k^d`A<}d8_AZElwLy{le zq{ks`XlY1z(xB~^2X!|3X~pWizrB>=jt=WG>s1-2;gl!}h{re3 zPPo~}OV>C>v!cBDxW4{EEI!YV@{Ef~maFGY2lBu*!b&g zp`A5GcHDIA8KVEHwHblb|Ok1*0ZM#a6poyRrCi?$GnV2{^s9)GP__dLgmh$+%t{YCZ%O*nqJUwl>A+hS z+*3MEt}fm20ikvIK0{HO>*!x)CnPJNO0`DN=c$R+P2y}Y)rM~WCuro;6^XdRcsA3OGc19B#G-?1R?*82TovQ4 z8S0l?l}Y5Rm?H=L(mKD=23;flZ5s$q3|oTeC~Ns_Y9%6t{#H$~LGvVkBfT9JGN^{V zp?T7kZ)YNK{wxt;dt;iW82`+ZOf|gW$@VnB%CFs9!nN5>0P9G!UK-h2NyS!W-i7QCT22gJsNFd+yxmrg z>zDeE!t)rArAk4sD|6@Ha_qDQIL+V?15ByrO`hR5U)^F~o23;^)OeDi#v|#k?=_8? z=c#STiamGt9K+EuU^6GWD_*%Ix_kR$iSFAHq8cVsUEc0pTGOb0X}^`G#PbAHRS_}> zR`v;V!KyxAQM5ZSgGUxwQ5H~5(lfjvvxCS1$4m014vX^?3+Z{Mb27;7wfS$0B~kfR zaP|~B%}~A>%&Bd3&2h&8rQk{P#GWg0M;r@tljo}1Vi;KlW1t)CEGl@3s9M;i_>L`^ z*riCmQ9XDDyi#pQ?yGu8rOO_0eQ!Hlf1~krCuo3m;%3~9>J+&}MTS;sUgtF#fn-DT zwNB9f9dE`495lL*zDo0bqo$@t&0D9qrg8MXw5Dau-}>ber(ZUCYJphmYXnJ5gs8hm{<~5y8Pdcc z^1kRznSZI!C>Fd#h+?0bxceE$7V^u6B%ka?Ot<`C|1}h*Ur}lSx_> zjGD%Er7pR6-SC(BP+#unYo+)HUl46y1dJadeP^fob$kbY2JO3H4)Mz^yOtbUB}Mup zioE_C8B%m-SF=M7gNYpw9sxWfZv$5xd6C9oyIHWrQFd#|An?6y|LSsHAMI2%?=CWQ zYFc6hk3a*je(@8Vg<9+867q)VUrVM}Sp!8uqEk0+Q4eH8^SYnG!SoD@cJgfyMrk57 zWw!gil-@d)i~M}eali8S7(a&6BZBXC)CR0(6TRm zJd^}zJ>`c(8It^S#2B1Y#9Q2Y?4=$*rFOSpJ?$m`GdIc<(hjX5os zWj-%!jBZZaHz|C7Jn*a6$t&r7;jxRvONtGCQ2TrvIGc43z~nA*p%QE>T!(uqWD!CQ zy6`n@X-Eqvd$xoUL&R}2o_xzo;ek7#;IZ>J|5|$eZL!8;SOFG0c&EHm$NHIN zHN6G~57H-M&r+L+@z&YihYX-e$1YjmFuFz_B8vi&yYnV+id>PzlSXppPyT=#ArE5g zaQCt<7i3HPsSKdCsGEkTx*d{v+@O&pJkij1VL+lLVcsX78XhhqrjXjx2H0WxVaH^A zc_$=qem<<+%sN?WVddz5d!H>EfHMI=AQtyy zXK{v(D>QCCV`>lEDD!-xu*;MO8syohc6;g&DTjnjKnPZ;P@voGUvM(-P@&nO?E7%g zc#l?CI-@K0Rev}c9s0^x>%o)Ao7b2g7KY5sz{jl>TMtO)@RchuEe&E31`C*fEjZe7 zi$o?-8$ep5@l<%$iBVv`Ugtj89Ta3u$Q5%w>9ywH2b1mr#Z748+k2Wd)t(Q#K)?Pd z1>{U(4^e5cA1q7+9cs7GTsf1}ZmU<^6WWUJ5>l#&Sy-~Wf4udIe`em_Y*!VtTd%|& z-O-c&F1yvrTT<8JWkMrv!xOmxjfAU&^F6%2`>V%duY%=0IMwtx&_+dX)6XkNzol4` zIdRoOc-n#(hQwZ%(ai$@qEbqN`c|lccIOQ3S!AybY}}Ke@Rm-FpB0h$GdGZSX8BL) zr;;;V%Aq2#Ga&q03;B9N_VHrh8Mt_G$4Wd5J)81fo#PIqT$M;cxQB&9mR?pbqy)SP zE&^l~Rch4RKGWJVZH4)PnP~~3k2Z2KSe9s0MEY_aE9l?X|CSwt3Z9!t)yE3?Ny>Ts zNOs8Z9rSvssjlL?61sDiM^P&S1weR&FF)^|T=6>kK!ge+Pac|OM;WeWS0SiIrJM)W zFju}{Km9=-xhuIF#ccS8yss;9R7~_VGChQNQbes+430{$3n=L!?frxA#V&0OMk+i- z`E(L0sZ@WTqwKU^wvvT0Yu^?j0--Mjp3nz$M5g6BP3~sAT{t)S$gJ*p>2eT4VN0>8 zsC)3={${6j5Mf_+ZTnt(lou^hJK7}DCH9C7GaqEQD(d4DBhJa-oD5~p5i8<-B@M~< z{D(q3>kDFbH|qTPIipV^BaV=6J5%4W+p~w$RU=4okJ{lT7O$LZM zqjyFuLKOnKtmGw)-+y6(Eg1> zDW3@iBT<^-7$s(;>CZxBeT?=&ch<`vbeXLLwF(tL{nN$EFLYQd04HLh!nheATS7{0qDW~08*}9L_8`p6wp#R zkc!b=NB%8Y>#v5Iabk|(=I`E#Z*49#Us`9kg4S9QyuXTa1Vu;-@jc!ypw4W^mAWJ4 z41Q!ln8#$PqD9G=Wy~G4@Ls^(OzHNFlcL5YRQrqYPO%CXLRmKV1PeM`Okc_7@!M1a;kZs1N+s~QeBZz) z$*sT*cg4AnAUU@{F;a_*f{B>HMG4Ii*Ourl>aq!!RKDlOpAtsV&(6f3@)UX{4O8)Ry4?W}Lc<80=oF$7DmAjp{LZfOJBD6dga{{~>s zJpZM)b-A6xj2<;badn`@VTk)r(o4CZ=JV=zrq7LtAW~8;WOBCY&gbB^w<}Q5` z4NK9u<9{%Kn#zDxGVT-A$vWwpe|g%uXVQf?pqPY9K zi{;y(-K^O}?~#YXnZ4%doBRL3K@Ves@`L&OGdLiG05||X=e#d>d!32?B&MN@5eD?H z1`6ymgapFoVT$~im;gioar)ByfJPjn|HlQ4nupoLqRY!ER?D`pJPLx@XZT3gc$REO z{2Zk&WrL2w7-KRfRX^!6zqWel^V{@cjc#URAd0mYox|OH2VklT5<%2#o$@{6Qox{* z$v1h;XGA@$KXLOXlmE0W?@ygHAZw>0rlZcfpYnAnL339v`j!2tqZE?PL1JGMq-*>y z3xBT9ut`$y?8TBgL`%dsJ?6e0b>736K2%K0_6oxnGZ>3*z&-ksoV}sZM&hVd$*WtV6$h9f@N!EfA*T_f> z?SzAz>Xlw^^Shs5@tLx?lMyPnY1jSlz80{?$U#8A3RotjvJ!zf&(8bZlu>24yu2| ze#0H;;Hl%@{~08=1bbO}24-mP`Q&#CGZ$YJD~a2L;be@cZ0PZO>X9Qhn|AqDgUze@M4_XHS1Bz;Cn~P zXsT;xtbgxp6Rh^`$&Q?o=|gOe;o2 zvw`?OnqX~QnyY_NzDlF(HUTjVyH%#J($|S%&@!m=<9Rwtc7r7sH=0Cy8~HcYb<|#Q z&^jgJ>64AHv1?ufqy+*ea{Lv{V4$4tm&T4%kT&jWlmQ;fj{#2Prsn>nD3l(@vKIxmdoEJQ~`}2e9Mu+gtZd#<^on$TPLeNGWsTQ>z ztUFj4Dzk~}gQJBj{aun25-a)QYrewu-#oAf`?zFY@liSWSQXHTvKL3_%qxymxvs}) zlL9yytqwl4#P9xt%WDJ_UdJXvrz5PE5OZ=hGGvO9rox(jD3v1jlIHA7tQ1v`AoT&$ zoH89>@rmo>d9G_&3G;9_LsEik`4OBuTGH$f^T>gg4Evd7NPU;gZCbvC4854b^tXkoibn&X{ip4o4FP<#Py7$<6wCI=R^FtT=x@k92L1U zla}uu5lF6LuP5ngGVZZDr+HgaPR|>uA0Ryy?y+Fr-b{4&z94% zm0;`N0N!F@;oC(H%u-C!`3ryR9%iJPro<>$jY%Mxd&V5-uniKf}(eme4HSwkO zEg>y+C(h0f35Hr0y17SNIFx zU}ig|^CaLI5*SZKKx(}E&xRSqMhKZ;mGlYogVqzH7LdfhZG zlU_N|5Szx1!Zn0Mdv{x6#;xBneNtt6934RCgtrfLVOr8`CH#1UHrf3pjRehyuxIjy zv2UHUVwIG*c6L5?!(D~@iPsEHJlfgkw0^^}D6b48XM!||oPiJ;2{iU&?7wcbkoXdI z2ELfZl~{#q-;IS;-Bb z3%)4gOP0ro>&kudX6y`6O+_E}$uiHIVg33&$(Onow{qdFF;WL|RI^S;x?o^hurTki zo}#pJKdv343*ScsT~~&SrB=eU+HErKi!N#MQG&D*>hu4@)O*LX`F~;KRf<*> zReRG?ReP_fnnkJFV%CVgRYK6BsI6L~Mp~-&sJ-_diP|&7N^P-15WjrB&+~h|p8xVn za&q6vo%{Vh*LAMzoUNC2pATsN1JmA*oChFe`AuXgaA&z5pcHQ`+m_oYfDWWfF`eXU z8i$qhT^q?6JY(w07=}zI8C;N$gQDx>DyUj+rS-Dm{J1jv30Z4d=O6IrOusMUFy}*! zm@P1}iXCGsFAnOnrEGl?K9C;VP{+I~s3K!6%1IAyW9Sm6*%f4s z1trysJeYCH`mHjQ8uXdnJcz<|KoT$iYMR=UaD1R~DXKsd7yADO`%u+F8M=^N zg!$OcKUlY>0>thw@M*87r!j@m^E7Z1z_Y`5DaA`B{g;9NEh6i;tDp%EG72mWwviHa zu-a<%GSs9Ihoe-ry5(sykjJ->-a}vjl3e1YpBK0@k)XC1PptB%DYkY1joRA`kQY8)~6K zAH_uPUotO$^IFDo09-Y+MA=tu-Lx}dGW_Ae0nHCv=6-*21Jvt#Kei(qZ!5QWJqc!9 z{m#ewA}eMsXSe(R++d)*u1mrl7WDK%B`qjVl6+^^bMHa%f43x;cfe?xI=TtoS?VNJ zy2JWKa$7FS1HbD3fX}`+_JRub+^l#yYm^5--EXOFSDxdW=k2T z4=B}3f5RyhuJ9zmPX1RinlIP1m_s}7ANJY1`GIaHg)9C$97}?xgq`A*p*0_Q#W>_n zJiP2>mR?Ly(T|AUlb^p3jU|1VS8NBBSK3A=(=F$D~N%(FcK^bK;V{0-kNyDXYX)c>{P89`;*f!*UTF16 zmuu>5=UYP(CC{tcFJdpt*<3NwPa9wQLM;t<{*!512OcLK=ui`^swhk3LR6vpl1DI zw14l8F7xs&tFZq7n$A?)_bVb@=~7L?>dH3bCr8!kO`+3Yz|o&yoo%o!li*u<={D%I zwdP`qpc$Ij2{Gd~zju^=c&Y}}UU&S&$)+IqTQ0vKw%e7ep*@8zX=3ws;odmQTx+x% zxj?_{OO79O4ha5t&$;9;(awR$t_$VN?jlOqy zrh+%yGBE6_`JY;XD=c(8q-I-i3OEuZD{bWYhn4Dbvg%Hq=97m(!&F6XbI6ey{P)D* z#Gw%lng=kr1otx}>{4v?*yUOLatV|={84P0{%b#)X;6{CM2LBDuh?7Z1M9*ijM&pl`+7FzOF9XdlboMEKi#@vD7F${!V z{t+y6z#9a>kA8Zbtx>1{n3He--Hjx5DUxtT^}vJ6d*MO94YuZZ)LLGS5nbl8hTp7b zsm%fz(P-6EKTvLf(^DS;3iK2~lhxD=GRH46U;F|$o{-G(zD1F4t<6Wxy!pcyXHr*L z|Fww6r-GYa>_U+tF)d#Izb~?*@hs}T0B{kOt@UeHIm#>3yiH(-Ud!N&9)2gsil6>c zEt@uCn7)omdpUg-&;uOuCB+S;a-9vzjr~QJ)UW3ZZb`ygH|`7K7xxI)cg@*8Q!i%r zi@CRw(7Zl3*1s-L8ZJ+>&Kl;W2o^* z$Jat6DCTvSRbP67h-$#|Zk6=l5nB;IeGu)GN%VGa#Ia*nr))lZv8Um(h;?*Ml94#6 ztiGblOMNdU`?P;{1RRA1KyDE{Jc))MnAbn5mAkDIt(Pp82l*>M@gdjG)}`Km(i)5N zzH*2Vrh!$^u8YJ|t?qd@4J;no$%T47Bh);)X6PPo=kn6i=b#Fp+eO<&SxV+8(C`Sh98^tOI92V z(K$L$854Zsy_YTCT=annOdHv2pAiVB-I6T2d#EhUjVzYNpBu2@rgFl{xAgSD!gS?d zvG^xHf4nGaG4Ut?`{)M=VZCl0=+6z?8!hdmhRe6`dQHWRoO>Z53R@%YEN7t7*4;if zK^H3C9VLFNP%n~JmIqh~GkTc5@eZ5#uer);`9?`GhFCx!A-ER#YQwfHpy>&)Ea2#> z7Xor93$UsPpR{^!`YJZma`_PZ;WnSEv6`mK1QCPG=Mt6ld!BCzoAU_WKt%B;fvs-p z83oj>RYO*+Jx8B+^l^>644=GSyxlBViG*zSbM;@{Z$ku-{C{^nZ$a1K?KXYw(CTB# z$-d1Dv$zyLgQ)&{?C*5=3@URH*(e`gXMje*9@&VQcUi+vTR%*^W=o4}&OiDuVVmoC z+tbK{sw}YO|}RTEf661@4@uh{M#E{>NxbaHR>Cl6+NO*_7hy=i};^*_3>uzkci zkbkanv7WBW8d&z02|1P?7lKJy?X8-nExvhNa^&(fd0S~SFjcttW_`MFL$6Amygir3 z#!BtN@>w1`o3_;ANdMUnTx`o(Pwsw1*RdD)({@Ez{q}9_ zdK|&0f8C3QRNZ8$F(Wg#D}1u!2IM4Go9L&k*ItEkPFc5X6KMM;U|zCMmhnt|&6yUx zYlL85i4{ckJ7|H4FUG|)Rc`UYj8Mp5Qd1QS4RPY9V6G+#{oJr^fPT8c>FDYbW6{F| zguCo7Ps@$vuf+n{6Ku!EmaJAReZJC*=9{8W4{QS8wZ@~^=f3?d)f97Mi2nDN5i5J3 zD2cbfZOGeyO`%8Nl56Q?%ZJ@MFpa7Lb;3*nPC{bc zE!I6EBD(1(ihtOt&VYg?n4zPG;_>-3`c#70i{4FG-Rx(@48Mb zP00<$h3~%j+8UsFF(KUQYIFu;o7yJ#h*xj8!enK7X#wi$=42p3Hp@1YO#P4*fD2(D ze0%Xt`qIcdf!rJDTg#!200qp0yuuy)tJTEl4CzH zYff714TulCccn&W-JV>C)Y~{#er!o;JS!ru_ccTkmGvq~@NU_toe$12rr~ z6FoxYb9`tW$XE6HmD{(CAyL8JZa<7<%$#)l+kn zH_O6&m_C`$nq@@J-GY1#d5&u7d_~X#m5O6;LlYUJ<6{JW=iUp(r*U2;`<<+@IuRJu z!BjLmS)#coD~}Y7|NbT5ubyVpPFV9+-qTN!mEsNDw+I{T0%3Q0)4j?OhMDWc+f&zF zMMcMWHz4OyWW-^X+z(D70m0Mfl&=tsdd`$5rk!*|St^HT)hgqhO|idIcJjBm937c&es@1*3)9^E2XNfGafP z#MV_Lf)D}z8d=tP{b*u3bels;wI|WkKJkHn_-p9J>Y=NWu;~sj`BE#c9O!NptBmu2d84+9ov&^iqX~}So-{5a{-rQ@DVU_4(E&`A5YhD>? zK@l2ZPl-w8$}*#OJ@@z$@>n?%JbaGx3nnI@B`Wq60@mr~Z`I8QV|1l;C%7Dj`+73k zNr$s!1x>p{X+isW{|g?5P}58&?O;L4kt!_?(BP&vqTr^aT2e4Ye^}5M>^Io^o)`f{ zmFi_#ziJ!$U$8ti2l5pG!$o?v#k}ie4oUUOQAy%L5>TJz@&|zBKo}7LC(X+4R3(^a zrX9y|E0_dOjh~Nom!i_q+2ik|-ccsLe4))7Z!7%)`&`CM;>CSWEsD4lg5EIeMV>m9=Blb+MGVWXBHQbY@sYu-AIMm zczm5lQiRXjSKLCWy!FEGs+8hWpn3b@@SQN*%O4DHosZqa?$2cmEEBIX=?KN|0D9J2 zxd})1p!A439?FpX%89*bqWa@XX{9~VoCIdS(J;U5cVQntF$-U(`xW}Ma2ucs7T=W_ zFG+5qqB%PZ(IWg-m+FlL4W1k&6?yLyi!Z5BuL%lZDw^pRFPsgo$wE+Vow9278o`Mx zGHWaWZ4_gyY?h%!&9I|(Ey4r{DeUPXMUSE^p*6Xpm zPgo(_e>_Ben0r%nl_ zccfy6iIUa8!=jDiC&>^)K@(rsNgv62_5c4tUu-PyWjoiqiUn%{;FY%AEv9vvh-ylL zfmdJ%l00$OhXf;=bPjz}^d@LUY>Th2X@M&~%kc28+>;?KnR*S@C`|;v%el~fBwrRs z68!v3gP~uWg`BbWt#SVR6`#3yT?Maqj&9bCnlnbQ3nSI;I;#`nFwVs-q^O+0|0~fI zCaDSgAL>DeTAiztCqCokz68^!kKD25&T1tG5q-N0qL~uDOVH*(XfPL9Z@JQbWgXpp z1{^xJe|fI+b%P)O^<|O zzOOJoTX(Cxxq>Y&E&dcf=W?C<669F+`FTqG34IsWIs#h7jj+d%->(o|OHr)Re7fzS z1bN7;%t6C0x@HuHbrm)E{@_xqLHMb*tS%X;9PT9Bq^SR=zn3cb_W^HLV+1EuYZgn6 z7ACRXg2qI@#{nq~S}X#?c3A}@S#}qt6BK&@(qAGsn0UFN&x#OZjeax$>2UV}=sqPW zffwRVO943H{s&5K>xZi_o6X|uIS#j~BVm&3hTUyEh{g}C8;;`_T44&cV{g`@BD&i; z#}7Czz|V1QJO|@!8YCb;uqpGU&88fh#}AKaKz2D$AO@;uDEWcYvGF zfQZq@sq`}`!SsY?60g?G`Sh(l#k*wF&PPDYrW2WsDUB;BE*xJa8lDTDMbi^(XHoP; zv|WFb?+FuBgH4|{SWqcF4VD3_1OOwG&p@Cv)4K%POT`v2kBznt)l>Z9nz;>2bWWriRFT8<3`WMi>t^l|gbLs*Klx zpeerwfTtAET0M_2eV@Re-E@iwsVv;O9O9$xssi43uAS{O9<=Z z0;F@{^x9m<0WF-T`u4yT$hAyBkud_4)u`5U`A_V&8g$_X+#eo)G~;i!p|W&3WuqDa|qA(wem;#(6Zrn`ERv=s#Gk*+Bi z`b82q1VigLcJdG_>~zZfHCk9GyuMc4Xz)?|Jg^;;aoIwy?$Jzr=aFpM4EU>E;8wHj z%AMfvgOYRv+9fFRgV{>?$-*lfu_nkv@L1*{Os@9x5#|4-lk-P1ZC%k{DEmh85qjeC}!m&D6m~*S-g2nJk+Vm_)f#p92h6 zLnsX5YuYzkEBIo+1^KF80W*r+_}h;eU&^+9zdNpx@~!)Rn19Yn%q>lFxi^3L^KflT zjoPuEN_|l~7C9&fRu7PeYF0)f*5QZrFKqDONgp>o(}L!cFaGLz!T6WcPlpfH8)`7$ znv!g%2lAOBv>(@zCNlq#Os6_%H%W|%j~RbZZ#@aH=%gtXm=awOwo zvAMj$b<{lsOh4CH?knP%^$b>3;d4_`?yDJ$Xo3?xc`S5XNN~p?tg`~PaZT%j{+0pK z2ha~LB~9h>h}X5q!DC&^ne0-ow>#PYWuSo|`DfzSP^H=KdBQ4?#M!c%fG{lD+3`tS z&1m~(7tCgSX{xXUBtYj47t7@@rGM+&Hh9*R_-Guns;O&-BAE-hAly0}1uAdV+pF5f$sH3{e1=NCtkScD zW%y{xWy{Zz?Oga)u++8fd)9xu#+E2>od8^50Y9bA8Y8MjQLM^IGc+iE-vFd1W4O?=h$@KBvI(vA-OsxD z%ZY51ee2i4ER9^br@;4~Suo}Rg(q1vj`oqq24T=|zmz_|!%=q4<#3Mh(b5Ut{Bh$- z-txV>rJ&j^IRK{+x9x)vhuQy)!CfW4`xz-bKCGupD!+J|&()#s|V}V~q46Y)f z@C5kS-jFHtX)ISe&#-kG7ZZ#iH{AKR%BR61G|cY>i`niVVh2P}ttkZVk%(^Dq4RoJ&xUi>xdCdc=rHNlI>tw!7VL=mzz|TMZb5mY@ zni8Ur5KTbvEsV(5p~x<%Y8!Sx5Hg`_OGq zW7S?i;dUP0uCmxnO*vi9Lz}7x`3*_{U-@p?Z|A#eGSJO#W!2iCx5!d^GBr_qso%e zvU!0*b7;-gk>Ctr8u&&JI-aD{#DLI54tN(0Gd!3yPMI5dZVY_gC>dGP=2F66Z|W|& zFpMDTtaho;W#Ude`j`bzE9n;#`Y$Zn8-(- zxJcl7t-0W7)Nsd1?!a5|VM33yA@6O%3dM3~i(AeT?mC`9DC7BB6o0A~!)PMh%Et#t8DMS$YvAqEUG3>m5)TT;m66)754_qnnc8-<}Pf) z7GG}sggqcL%nPI-Bu5y>o{PZTp*P$hp{We<#9So_J9}oa2ih~rwYj>3v#P;Xul8VU z;*V2Kc~+|_Q3~JB2?Z_-;J3?N)esH$&lH;U^`*vx&qO9JbiTAHDW@YGCKGTJ`WVLf z(O)PM{oriQ-3(ZM!+|;mr+^_;bD;@dGW){`dYmjraR|?+zx4`C-879IvN>K>iRJ!7 zWf_R&Mt4^aX2@$~DSa`L751I$vg034pu$qjnn(}ax0n3{`mwJgQ|kMp@mJha^EfX5 z=e=(4QY-BE8zl*Kg17x|QzzfKbvuw1?M>zDWnVfD+U56%k~GG-aC^jh=o(gVKePJS zUH$R$AxA1asnjRhp9t~FUG#(a%o5YzueJAe&3zTBQFs4yg*SfiiYyfZBorbwl4eGe zN~x$pfQ7?7@y9#Ik9VlUSd~<&z&f5>wbvoK;}}JGs?;!ff<2Sv*O|e{V@FDl%c&48 z57@lkV440U;pAMDp46-F6|7HJqPMteVPdVpk+WWNsL7C_@d91K^HQu8u%kA&q`&iS z2zVBfQz5lk8rAY`9%`nu+T&*K7VVToRgVu;%|DZM* zKm|`4c~^=`jW~X_+8u0L$LNa}j_I{n7>3C8*5J|!bL+J?nWy>#+W<=T?T6oqeS{Vu z02O-2WND@KxfeOw-x0@?dT^;P8NHi`96#iO)tU#>fC8%aYi8u`3G5O?v-`S)9`^Eh z^B}k3CWh1cm+=r_@>vIiTeiriaF_^3LB~=dKFzN!)sHC_?L4CB;cz-whcZ zTz+bGAN5ycGv#igHyZL^6SxUTG-3QfI{-76#AycN-tMkGGuJ1yBbE}`47iv19akh`n!plR^5o93b!DB+TtG6Qk-`O>V0Jt$NQE1XmT_~vys zkTue>L7uzX0wuv*h<-C)=40J=IDSRmA{VSQ9(9=`t-pn3$X&h2<{2QU#2IKfa-tLy z+|D25;+`o#;JJi;b^|k^ycTChs$%k2E*{4QyuFI{0{11Me?W3@gZACmuh833jt{l7 zx1$;*9fzotieq_~Fe7k074{y}yLdTucVjV6R4SU9r7ab=WC25}I(RBt^3`8~3wEv| z0^d#@H5$#qK-(61E*FdNYKT-<&>(tQ&0*MtyeogSq-f*9(8Y*Qcz*Lmm((D<$fOBJ8O_g~fUP;Rr9%UyMXmgJ{HKWKp8 zrNz#Hs5jUstP-B~R;Rxjs@M*XbH8Xc2h@`$KCb3?fPtKO!SAR%5AEG^p!6Z$2>bLk zpuvKTyX4BaVZi9|&Y$la7FidFDtTa>Yb(0RsWsEDt}n@V1fYXiW$O{n;xa4v@_e76 z1srJ~{N3JN*DjpUnn{@2h^;`9CnIsndw!AGKZ{@u&lVmi6UCw_wq@LNtj=0~CCTY# z0^=?@RZ7kKoqbv0o9RYuf~BeT@0ZAmmgLuW=Q40%9d0-%Tft~>Tf)TV^lT3y!Aun< z#CyR0i?th&c}sXvP%1O;)6~)M@R8>aeB*+kD+J78ZJrd@?p zHiQ!gtR3GSFTkan4eoZ+mjF^1K+%boiivs@N)kYJTXxA%U9B6j-fRDww;MMOOe4F; zc41k{PZo3yU9w-xBt9WO9#ri;I&>Ppu>N&SO+$tY5n)D9k zB(ES}l@wXT+5<8U)AyC0m@R-byCv6C&Sv-k6nDSKYEMR)kUKe8%|@AQdAUYi*mvbU z_xQM*#AUV^`@#Z(&x}6w0p9OuY|V8iC8sNuzKInof@1&KXYAwJ$1J1OkO|U zWoh}n%3=5p_8LE;$O%xoDtUbUBJ2=f5nJ7xkQxxVyAVh*S&oq9d?|ntG}^wK>$1*DSE@9`>9g^*m(=wk=@38d`f(N+6>l+4 z@WWz|^y5o{M+?_mn(+=`EeKmk0uGCx(nYci9$5t3WQ^}{YE57bT95ygCfhs9aRUfk znFcvpjl}Fdbeh-oFeZURU#Fh1ji{a3W?R3kDn=j@5WY&%+U2upC_lt^DVnID?{VVx z_^-ZLVzE!p51z{d6w2X2dZsYU+3?{N!vbU=Btzp%aMjPDbh(!5Z`fh4iin`q;RFPt z$bK!D$n~ub19gPnOPlP*MA#p*+ptg_b;q0xe+zCHS6d)OZ*~BX@R2Ie5aJmA0(kb_ zDF4lihPXm=tA?5k{^4aK@s@>o=r>D)pM*-WSqzmRD$Z#(lHb#t7O zLw*6~CDgA^ul)Hfx1Y8EQe(xItO-Ky!8fH}Z-a-As-SG1gM!xmKtk_T;nq#U`@Rxl z2p503nh-|6hUCEh+W~8Ph+372A{$;4-dxsHmEgChd(4R)Bqb|LL|D(vTNk8_$N`!N zz8>jk_?Wq>HQyL^o>k`b6FMIH_>QY6*V?&(XM4xfIF3q#`5+!fo*P!u#csBFbjb-* z2_wvGbZ!6;fck#Q(2`6-}tk+U9ZxIHgV9GX{(Ly(}way z@3ot!k%#m(b+msz%dWMjt)?5PdgaDUtO%aSs5&27c^++~whxTPpbu_}G<6f3?nu06 zYJH&ZB7&Qk+5|_j-2=zgH2%zo0a`!Qw_C;1rh*-pxwUO<^~X5|fCp0G$h%h3Et&?O)^pPMOq(OCAwfcs z+3D}JhU@o^>AI>>aL7yNB#k5~r_l4DmOIy#*hu+$KV~IeJ_o6fH(k7@pVy_#kOxuu zudJ`ip5v8Xn+m(4STxaXV$D=ima-2xa5XsY-FymJ-r*Se;tHWgh2x^4om;USflLGU zG{qEZ=U(2ZkY&1y0g6ZLk$+8??|UHrk_t{9#TV30amjk%7ftHZt*ni$#!x}}!n2$D zfyzpm-^9)TQ7SAHIi8Nwl$DDc2y?lYwGE!aVXqTC6lsI`wu7t^-2+@~`_Q_~{K9Ep zRl7y-p+-8hYnR%+N@=wc2*)UoR_FJ#Ch_EoSj1`zFjHOqIKp%$yZqoMkz3onxt^iz zAPozF5=!jv0Sdo^DUS7TY0ghR2h#f7=n1z}PnmC)c)p3c?G`%f>2VNGHZ{Jr7lgdJ4HM#w0qufQ{})QMD~6Sh~B3YeY9bpW=UcBW7$}-(!re} z{ZGx5VI@{0b3Vqe`dFts@?V&r{Hste&!rcz!z^rmJGJ{~ELeWxK&k9188+6gF-MMf z0MbOYUgGE#js9H_B59hZK0?Xbp1dikCE7DCsV@t!zRiudm~V))UCzA3e77Z@zwcUPnyvuZoBbF(}|adhT+DsACPg_heu{MN3| zc60crXeVcLHL@e&uyCXS9P^J{&VBx;wyuxL+9{lU^OBbe^!e)r(dlsDU#Y?X6!C|$ zrEMjvB|wTl<4L=zxB*gKx#bNhDtnZ|EGsz6SB~*$gYPt~HK#Cb;nZsAKGeFR&Z~Kq z#iv7_$O%V(V9mI<9A-%T&#MIwmX)&N=p9-vF}igg+`Lzx-oj%yZ}a@2CkC(3%1MO- zXYzBDWMyD^cl4Xh3DhNZ5ebdiAm>f+^9)ZB&6l(3w1w=+lHC{{o6 zjor4WarLLmp2^^>jQ((?z26M09+&Qd2MIGK;+Z$Ed4QV+19QAX7mjYg2DjNWrohI; z)w{UaeY@CdY)We=srd=w#ieoU@4V|bm(x)3ewFVsN{2*B9WCu-jK&mk#Ni=7G!%^c z+PGJv8l2zOZN%S@5e9x*gf{{j;lUz3wnF`R+n_Cj38!AHLCw^VH(Q6X=gtOZ-czOD zQ7TppI&#M+;IqF!pxpN$8Z9zO7BE49-Res}#P@7`h!S%j0CyjCg=Zt;q(=LP9P>tz zX`4a)5(t0({?eq>S%l$4i#)!e1?prrki8|^KBQ;w>?RU0Tq$3(R((_8z&Ou&6X!5j zv2fIhbe}zbkk@p$@FWy;z4N;EQ2BzG9oar#5?qHJ_HknrYtN-5G?kTbk(f?J`2GY0 zw6wI}0SdAx>;&vrybQLmecw;WH01NH>Jp*>A3-g>*OY-5GQ%SY3_#emJzMFA_%t`m zu)lzpTgH#g&l%1nJIb}CHr?0mZh&R4F6mo480-e~mvN?Ihxj(u!yhwqfn06cO20jv z+s-AKBLjeO!hJOb)x$cgg0biCX97(Z0rYEip>ZtZ0p#~_T%3xjZdXfJ6`olkhyg0s z*QJwVf1c8 znFRg}zf--jGYLyB7ylzfc@bdHngvTsTN}+z5An^gc6}Q!>?W7#YnUYO=dqio-ww4Z z@N{3MW*iS7qf0pH&H7d#ygB@1;tSmDqhs_UK;fU*K9s?UDs}@QGsLMiz~KJZi@ybM&_#>-Ym1}s;@Ay?S=;i|Cl1U% zVUyAtCh%AyPhMLm(8vZvst2p`C%d^pay@uw2t<6=QE1)vK6oMjgFA!svFrq2F%M5! z>Z8b`&;jOv6~V;DTfYu6MB6S*)dEI3`h*=Hk^;-~81{X=LmF|d`4>am*kOTo!Ox5N z878GQ+S0-cp!N-Y0M&8Y9IWJ%70{*iV@M%#o=<`2hx^7_Q%B}?<69OGPHWNuFhbV_ z*Vy_bksj+CH0H^RhNs?XN4waOoB_unV*idrTeaqcB}@pda1VCZ=u!>*gh3G>N!>YD zUbjm3Trn*-X9!=}Fp$hTo}urR?NvKx#i};XVi!m3Ss2|%03oifay&?Z(9q#cp+g6e z9!Bpvk*Vs@*mEn|U9Oi+9VU^x0^8lL!!#S=0orm(bN!xA-O7hQg}|mLHb!+usot?M zoGaG6b`qGVo4To-`?9wsHlMMHEirA&`H2HVS|x(uQj^hT7RP<5!f7FZ#c|JYrvo|% zI0NLJ^JYb<>&^)aMq>obmEHSQgBP*iQgRLPM(oJA7V742!hPd00u)! zd6tUkwwcC1$EY#$d&6yyjyemZM(RR#7secToU2ro6>mt7f>v9ICa?jv?Cu_cn4W&{l6G$h?j8GTl+E!%Z))^Qxt%oj+$nZg=zkCfc0`CYN)N`NC|Yvb{JY zNmNp)`mgd3Ip$OOA zRK($R54^jsYoxN>U|GXaf}ONMKQSbkYb9qt`j5x+(=akBkToEyZ!*VfNU0URENRio zI{s|Ft@d|5s-BKPA~F7~%QsIo_>3I}z$x=~pM1aP(UeVd8tnJ`ARw=;*}moIS}C)x zA!IWZ^G8-7v*M*2P51Qm9>)zJCH%eoA%1-5&lQ#{UpWL~l{)j@K;w>Ev8VYW zS08FKPWnvfV?FFOrL{@wo`U%Pfc1yY_9W}0TI>g6V@$Cnff5lBTEUld09~%BDzi_* zU^#5IU_$4)mY1+rc1UJhzkKN@@8#fcIK>lriSSkv3_YQXkO6o5Mj6G?Jau-|YNtBK zBy4NPLcn!8+F^9k|M&|^u+pFAfB{amhs81=)G`@$=5kE-nj(S|2L8DrM)1%=-5_YsaTOi1n1u7!6*=*G~y~Ebq

    9M5TG>mZA zE)-qcJPQ`gj@Et5AI(vI6|)MXzD^EP}hUS68j59Rzhn%XDD06J5Dr8{X08)5ZRc34i(%F4s!Vy zsoh>ucip1={1}fYY#=xHPoA(}5s6^Qu$d1%+b}FHX%`9T5TejFec^Ksn}s6b+mWr0 zKA@O(n!K#XLm19&ttp*uO4EjCpAqtQn*f&R`u9D}VtJ>YELq<=MM%jSvF;QwkEN6N z`<|`QX$vd-H1EwL(N`jUXbjk?r&%JrjLsHa|EX#)xG6PrY~w3=xBIYZs_u-&r)z-B z3#CIV4dF$TUlL6>9~wGIb2fcN_FnPW;N9OGq=Nh{Xp8%bmI=%TS+8)ZF#N9(j5J=mD?}oSJG%jM{R!=v^5j`>rC5 z(1^~&R=oEp8i{K1l_kCWO#n0C%6m>}f;n4F-|rorzxdrxRCfXj2Lg{NaJMnt;Cu6} zl{aUBviF;arF_@;9b?(P@$FUzJHk9Q(nm3j>}S9cp`5v7bn1P;H#SlH-DY#v5yvGA zb!=kphz~S!-n#|7{EJk%MHIP;7jIq@6b&gRyRzSwmFZRqf@2h{L!`Uh$~}H#`l?GV zQ??uxz);6$u&jF?-oPtx!o@+<)bFQcEmtbCAF9}HTC@7tAh!XP`Bqj|x5z{UG+vkI z*4Cu`TXJu&UA=|vx?czR<hZ35;WV3kRT~-26T;61)YNKQEmM@y*~uTW=BB=U|McX)PoHmy0GM807onSf}ghSLAWi zYxORX&3}%_^jLhWYRpCd2`<%rIufN!{*90G>4i~xY>X>SzCWaiix12`<|o`FRZJ|B znYf&^e2z4Ukexcw?9bltMg&zzB7k`ODaVe*ReI&cK-o6W{^iii@Qd5quMTVQ;hiE@ zWTB7>s|2iLKG|+zU5iLi=iZCUt8^s{5`FJC%d(oq2jGy5*~P6hoz_Eo1o_&)t-T~s z`<0)BDWe|nRs94>gao@=rG(wgk0?uti+LaFo2Z29v%f-=ZiS0K!N;%Deqyq<&oZ5} zL2@1{7nHpvnA*CT1_#|*-}dX&DC?hXDss8-;r@&Ii6#;eSmJGVc=9bbHJCwDP+Y&C zuUhm$-8}X8A)(mJVV_G`_H(yFhJ9^06O!+VWi~a38yQ)!4CfHdWiDT62aBAP=p#=^ zyzTS&Wo-N&SmDSguP6Ko413hrO*BHpej1!FU zpb|iSkkFl&V8~^~nE7n$YU#^ZtLWOr{7d9QRlq+O`iNc+WanOCVA)A}ZE@0tDJ`8p z|3H`!=(t7_iLP{rCzD71Vzc}wwFKoq#Q%^(2<60A>=wPW6`Umx?2sC>Ya2&0etay(yNyr1yx0qKiHITjF_Xngc9Hh>6kAmBSwEoHqYpRr6ek7|bsSE@K zvc<{&QyqB&RXW}yHj+imM0I*gz{jvzGHuu{sT@KY)b+8dz3W31XxE_&x>GHg`#|29 z=fUjXp>A84zQT&I2V!D}u4vn?Zf}7wKy%j)vNpF(@suJh#62F<&HnT-v-R4ExBeh* zT(5|I%)zYe^u>h;Y(3XP84~SdgD+DSQ(92K)t6<8%&yM-W@O*yI$!%z=4uvFqv=WV zE5LHN<`)QUnth3KYTuf^+X;8d?0{E)jyj?cL#3Ec)%z>j1WZ!M36}Wl4~w@YS@yXP z1U{d=^ZvFwVY*<*_*!^aaWk_y)?6g=IQJdwSD_i8C1`2FC^Tk;-BN(GFM6D7AGK_iCc&JEk+*gOgKng*%XGT zU>xPx#Ya6My>`Dh;E|pugXue4e+!P^EKv3Cr0DOBTYHWMG=s1Hst@(s)=x;?v$<&M zjOTU}LR~Z|^w_mJpZF^DIIFaJ!KikyHfb3E75jg&q#O4*V-;9}|rld>tC6 z*de4c48o%4gl3=z*wyvvBhQPk*~29xIr2Uxc>kVC$!U2zDBX@9hJ;b5SPr*S^0j{ys+?dZJPwUp=_6C*Tw6{|d*iaw&dHiMY1$zApTEU6#?K5`vW5 zL~l(O-1g)}%rg&Bo!;G+T0!*4E&TKWF?+W45@XbqNZh|Xk(VCbeo-2v%&ZgKHveT! zuu-UP|3X1eV_N{tcOrobaR#nI1%OOg>W3UHW3>6TX5{{+&-!e6xflo z{JLWbqX>o1Xmyf4tw{4@<2(O214WUsP|6~xz{9TDLiTCSvVKc0Fpd$2c{(n|vHcG@ zkP9)B>DzgsVAEZt_3Onw!bL8%&e%GxbGb3HpGj{6IpezJQDowC!j=z1d?9!m%s=@X zH=#0Mx+h*?0+T(wCV$x8n58s^56YE#+)5c;MN%mT`?+jpBL4#!rFa+tYG;kSw8#-o z-@$Q(-d7~+Jcc0Q8Sy^Q-@_#reTWHnp@epx&_$Dlw*xa1@t(v~mrEcPsv|49g+PI$ zs~5GfDUO4Q6V=co>emx3<=O7-%-`7s!fvv2&r~lRdb81$QZq!`w8svt5AbMrcm{L7 z#oxX*oACUpXv``pxo-; zZxq&^^F=LOoCP?cI1J@lLcK1H+mrJHG)Z?cTJe_~m?cUaM=N`W2s}c*2PJ1I99rK7 zKrK0z`6)(e!7Q;;kC>WR$F?0Yn1e>Vk!=FH5-2{KEs6XwikXh91d1srQF#j3hdEWR z8Kr%BZ^2{}#L-H9umx8oLI!cO&qt5lsiab$MG1~%N9Ffuu;eUo|Cq4 zZrd_iujPjqxmPFP?vc-lsNjNpnv2yN(1HBQo>1{s)at#TT7SlFcU}t^X+8}j+EV0- zc=*+*^yzlF0Qc)fI@Q1>BX9i|hJkk4 zEy+nfA7BOBL4L8)u4^f7z9`b`q|EIUH;%g?pJ?) z{m6}3blty6{rF|n3L8)h+59=4VORHE^GxNUp3T7--k|Bg!%$EUp9*EL(9*&(2v8ir zeUi7R7l;|%Xz+sjuBR=NWIHNj$|mw`P`3})R+V(owu$6^ny`0NNJyKFl2jydIR1Up zXDQCx&Aq&8345Bma_^i9HujVog@=N!Jwz5O_D;K(W`Beu3hh?NM8aLt>8xW1ZwKdk z>vkk|;Lt?p>qo0=B$a>9J|K<L04)-02ab9y!G)r*2kx=jMmw zMLKnP&m`Z0`}tWlicOU!Z=8ZSv2vTz@*a6DfQCav!9v=sL)f0)?!$MCX~DX4xP~nM?h3UEdkEs({7h&@G-^5o9dcuJ z882#6F(2UIRvi3Pq;v_Rc#h1A|Jad`1lfAk^B@hf)gMoKf{$OB+v;h1-r}`O-MP5D zPuueb-fMgdpCG-l<&QBPCeykO(QJxKW-w0JF&%-lx%E{A4uAfxzqwRA{OL{f&1VjO!y)Y5NsL_#vW%;)p@Zi?!kJDvXF-CAgS|h~) zs^Mzaa(jnsmh13A+S0SqD16CTFMn_jRQ0;;^)BIxbDha{LiKnToR8|W=QfYV;49Y6 z)2^X4xHT32{mW8zQFUZ%as($&9&$*HMX7tr>1%DSHv<14JXP>;|$3h!q zQF*uLH?2yJ(*MWRbw?%re*elXyUu|b=BOhW}q-kx~mYg|$uvPJu#QGVLze>WIAahC3ZO_wi4 zi_FI8T@Bn`4Fg}>pL>^2u zmZ7ti@V8w@c?dc9wD5B-kK1*%nC+MN4mwGf`)C#o4)dYf?{$6d7d123%*0tO#}!DEasvvXevv5ib*0_^XE_AE1~$vv8lFR$rw zxD#IKH8VM@aT}{yTJVFRfGM!@^SPxYnRkTbO8H?5ac`$RLf*O%1Wwj6V-rNYzdF1$t2s&nk&Skf zj??v-TF7V~DRYV;R`Z;usoIW4p^A&ge)mosCU_mVzr9hUsxvTOgG7DunVbSyr%zTl zSXYieD*x$l;&p=#p((9pPpnEH<1v2iEW-M#5d6Rhwmyw{o)=bSM0ts=Zb&*btyQTp zBesaP^q!PV-`^ zh+xF*zA2*fW-Pq}eR@K(WBryOuLtmQKfbLpq<4r$cgZNbyeis$VL8KLL$C7MffM@N zESh{sfcdMV6wj5#4MrVPLe~5g-*$85J87)L=7X|Py|kv-6M=rh)JSwyjoeVgVE{q4 z2KaR-6{;SOhqr?y4j@M;9ALN6u43> z&;ZAnaHarFyWh)~Z4*#-*Wu)^hcA z>=UrtxNO#BH!!~8uLcF4%r|afTno`Xy$AZV`C1=ugsyxfo|LUDw7=c3z+RW(@`y&po)- z^DoTl`Jo<8Go%$s0asTjjr?7O+bvs@j{Es0#E8kkdd6SX5M{NZ9;ylJ^77KKV40n< zn_vP9ad4)o53?%~fkKC!sQtM4xq3$~c;Y?lG3#dAV8+agO}{xN-yC|}5^;2^$>NJ@ zG$Z%k8iv}47Mhj`s)Yr6{Va3{F-)7N)eJ{Z4bJiIN#F%wZ!OMvU#@NEj_^}m+=TAs zHs$P_c2wl_MO3|0g`8x50)2}Os>AVanYRmZA*)sa*f_%KhB{hU0J?6_`#@`5hy>W; zXd{4vldz_zOv^MhQmeLtn~)(+>8y^XEAj%a6?4C?OHmh=7eI77{r9#yYq=I7$bJyHv-1AQ-zUf2$PtFiCCI{IxHx`~Tmfv+!jAAQYya7DA9 zR^|LlY#6;-Z&)bwy*mNjP_9QLg_?THW6yeg`c{ltd2*4<&mF$Z*8dVm6}{v9=x0cu zTldU0OPQmkQj=H+#$06e2v7_pPWl63Zb_ExqVMKtgJVF$a=ng-32|6~WD@xlOc-hP zyY1|W-hkp8(rN|_0m?Ji=q%x(rD`PVuGa!(6Lz&IX>^0teS7v|u*9m6%bfO7Z|VW6 z8#B3gc`tY+G^zz9Q+0Kq1&KJyHe}3T0lfWU$LE3GRw&4;-FVIG+fGva-zSB$udPJ; zZf?J8=br7PHDGp`on*BVli6c7zsrPJZOhvgZ}vZEBt$RDt*$@44XgjA8~;(h;O@Z6 zMzs=sCsR*aKWCLqEYDy(U;R~?bonRqDxoeb_{O?14^Bs3b@}~4AtqADhkDaSI7zeM zD>nO3=;i835LxoRz`U`_xsNY1ye67N<{L(Bum9|C9C}XRT9rgM4l+*}vCCx@y^oEG zy&!{kiKbAcgt?oqDjvIV{U`C0ZjL;o2pVmEQ;%D?rcip5-ha91xQwQmvQGxxz z#I;?M0U=7Y#E>Viq?ni{sy1Cr^EjnpSK|D>RFeGof?4w5P1rMkKefM8xl$og@4uM_ z3k^kKyCc3C?eGl1`Aw(n&xH_@C}ETzyD=~GlWs{_FA4OE-M?3e_~VTi3QomVAi`6}xm1c5i&u>nYAN)ZqB+>P9fTPoZ zQJywxxPc=VrY*2uUfx;0_OfdJwKqMv#+$}n|moq3q&dggrB z5n+k1Nbj-xE(p_|h^@pb$4$iUv*^KN0RP-r9gl)aY@X@WbfW7XkH6YFBd65s->e2{ zPHMyK?W!wggK-R&tchbM+*IrUSDE+E+FKIPD#|r?UQdDV8$*Ljf6uxn)&eiV^ok6p z8tB1O4s`R;x+GJTfa{~L#LjQ#xe9fk+-FDWHRmjda(k*b()8)3>}G zvA$P^Tb!_^i_ijv>8WtNd*0KM=>+NhNZokdmO&CEvCoCYfC3jOq1q!DRYqr?g$=Mt z_MLtzV}{5HG{oHEW$TBvLV>Y8ie1@L%%1+@2=ALw%s0tmwOul>$V@8NXDitK@{)H$ zEK&7^H!feyQp+Gc9S9L|%7sszRMx5?94AUK;+R~ahZ%3zTwM#!P?%l%=kS6y$L886 zE-zE@5%~MqMnz3$j=$_HA4PTkE{i&lpAgC?-j>p~%bA=zHNg-gWrZJNNa zvip%gmaTbJ_fvDox^d$}hf$zS0ZzB-uMJD#k`|VZqiPlao-Adt*3J(KTz9`w8bZV~ z^j1kLBbFcQFJvz0+^0cvZ(0G`lZ7C>hx83c_Tl;Iq>P|5dr`Du^1X;buf@EV1H!b{ z?>>JrjSY#zp_xTR`OQr|>H`L0@TREwjTOIc4>F-Q7x+9h_xXKn6V+kmBXp=PVWTM2 zQuEq`*|fSMVC>l>`I&gr@b?#P7!u6HBIB92R%hX(YOR4Kup&4QBoc$geP7ZY8oiEv zRV_2`d;x#a)%JL!kUBzj`4~JBh2;Ay(-8u<&n~#6nGNOh2u%t4Zd4&%ueN;DIecmc zlCL#K;^RWL&f<=j(l#uv{00IuT-;9__6ACDsVdTiv7xu`lT%Fb<{xaS+1pHyq`Jd> zB-oK9^m)8eSK>up=*?l-u~D*PhrSu*n&%Z@*-G}lV=*#@w!;08qCGk79cqEuEh zh%D0I_;#Qla3UboZ6GN0fJ7PxI^J^Gk9TFU4E_QZL|zyS8#0d2S^#SG0JQ<(TtOCB zCgI7g=!QdTaJ~tx4rJ*jD&rJ0xgL8ts?b@-b2Z@1N(eF}x<8})lz*%7yCG_{{v)J_ zy-RxMRohIlx2gx116_z7+_bhs+wCd`)>U%Pj*=!wQ^M$mPs|Fv`*fp;@4(32C%EF$M<8k#C+sTFTyl<%|x`5V=1dw2+8_^Vj(g;HJTR6FaHrlTv2 z%8(v$SF2-mSy#+SZxPo3Zo+%KOt_D!cPVJQj<>}iIZ*8A^vkY#M6ly>yO-aEJbG4I z?Dk~3+r3HPLFVmNR82B-+2G{pUfP~tQILPz?uqXaN+Hpkeh^opE!H4jJK1AE%qia) zOg_#F(_TzftvGq**WgFg%EvWwcuTy&`>+(&3lXW{jYRG6_5!>WH)o2<;T9K5!FBDi z&PsvIQ*EE$I;-IKiYr{D!c4nIBx^!nAg~6YLbui2!@J?T<^AK_oWN7+j`x=!@1kwT zl#wC=Vt7A{ElhI2wRkDSRAOb!%Xcg8Lx-BL?Vp>33{`N9!3Sap)3Fi{1OMjT@KBm9 z6X&?<2cXBRjenoL77g5i9Keo1tGPlyS*~jsy6k&);kW}2%v-3bsJ|bQlE!HXeJqAf z#f4z0?f%+}PSJW6XjF^e!|mPZ(BCk>%Y=8f>e$<=x7*w-XGu=;?I%L`LsVbFk6V`# zTQHhO9CQP)vKJd;L6%KlK>^1Dktb$lN?*R5l_0iN>UFq?Qhd5xE=C0oXsI=IF!Rd3 zaH*k4>SKrTRyr5QnE+BVc7ruow;j_y=yS?f z%-FeNzDznu=D5V$A*{>!XQ$DplMjP32}d+EW=Ldw^5YEm{Ub7SymmWY#l`65ehI7L zCg+1$2AlpCNKPs1D4zq$1zCC`aq6FNp9%_b9f%&L@c#3+Y5PHGYo|sG({-sOU8P6C zg~!Bx0y?_S?|=8ZP?4Y&oZ)s!>h;bY?9h2mFi<7}c!UJ+oeWdW#0kDrB{k>{agpO_ zpO#5+dxkyX4EXNFFqNc1WAo@%YQTNwpRiTKK+|ewH`wZ_gm9>?yv-l_pN^=U0%-ck*mrT2?CPXdp)mK4DvDr zB2`~g-~tExXX*NTB&N6$Eh20=gW&D`y;ayN#)NeiPF-~BTcM?ZS1{l)p;@Vk(H*H- zzX0-%8{2gw_>yt-b61gebJ=v?r^iQiwwf6|VwUEm6$ryVU5XK7S$T1Uy zUWxaI>q!PZC>SovT!1O|M08Y+eu?N2T)&=R{a0PEZW_=tzaBzuWPHlcUr^_JP&&y& z+U}Ox)!T4h=(!kTfU0h{OsOwgnOd>CXN8-6m%dv)@9zoq@1EM8) zQ*;la{PA!Id4b*xOl0;(tHPF?*@2Ft@h7Xu`*e7ebL$5aiCJAWV6SMW{mDB2@^9&%_?? zgn9x$Mw}7%exaXaczJ=ps?vShR@l9X7Jqn|U#@JY(eh=JE<~q8@`Q49BU6N2jJI0X zUNSuq{l{6MF8H##9%NJ5wLqj5%%lTBY42+O=-XJmlH6_$$4B$D#o&9mnTKm5xB-8- z#pbs~fhVaqKLkc+vj#}8%e9=+{R{JCiVU`2R(8DSrGU$GD1Xbq-a^QVWAd>ksToKR zGq^FyqCv+&OYW~dGM8~A@dKW8OG#Wy-OmtOYQj!D(W5Oe#>2e!#eA$rOGq*n;1I+v zboK#!eID>VGdi9340+;e>4){A1LloQJ2?+Z-9E}FD}3!$R=7%pieFldW36JHcTu8^sp5Hf9JfogNl--GhND(Z9kNwcH|&D*2{+r*0U8Z&j%EnUyFZ4-^xLN3km zZGYP0BUGcu7cLmXTtuipP<%dPlh8+%ia>YP-nY@Vb|;*bz0SrUQZd!#wz)YXBzb4zHq#Xv?ZYQ|~$t~La7 zXMFz?k$`<=`4JhC6}`?y3Ll_Ua2}T3oQ+;mOiYRNTS$&|w%`njVoFwHf-iyarJe%GKo8L2~`$B`kJ4#BOvUe?6|@ zg6Rze{(~g7{Qbtqj)M>4V#i5A@3c2N<&OI2uJhyXCd|I`gAMARArTU9-nb-03ruZl z(YqwU`JnBsUz;9UYie@tui;NaMN(gE=n6YQg8~~ygx#U!=4-_M?YWzn7eQS~-MNS4 zL;X;Ai-fshj*~OrD!bM3dl%}VRYjPdN-yHX=x_g)42IZ=mWEo9lwM|zxA3MZ0JD@EBr$X@WDLm?7()9|32Jh0)~V?W$y#9 zHs_;g8fX=#Fg)mozA-EPFW_^iQrgh_m$}G;i#392n&sCHKn7A@YgdiH-&T8Pe@9Zl zmIHms)5*@Hwy?w~T~NWedhS0yZ~_Q+iq>*yQ`yS{*dK&dZtpMpYX>BGr^&Y->{4Pt+AZR;!|3 zZL62Q!wfllBfc*<0Kl9UXe4G*I^A3&gVvsR_>@t&C@&RU9D^6 z_I7V^s3Yl48O?txR3yF-7w0xVA3TSP?v*G$UwN?Xu_GNUz04kJHWk36nvuaFIIW#Y zhj?tEeuSj@X9s|>1ZN#R-T(3?zN&mu)%9&jeO}d#TJ)H>UP&$e)`&Bx-p=?IyPWcP zGRfK=q5av;7^*NK* z@ubVuNk~LX+=eXkRnFu5usiXIAA~y(`#{^4DqfHVA~*%%gi=)Vq>H#{n7Es=k*rUQ zeRLfc1BkZ;cs)`3(a#KxuE=|4|fK1 zj!>lAsK%rDU#lLzp0cD%d9Dy5LT&;CD~_vK!M=xH@ClnEWbNv%+NMNl-0r1%;_gX? zm|a&U05+M8U(Nez*}08D&Qo&5_pOX&Hg=p7f^pmn##alph`sL9ewYu9`6XfOH?UmW zqLmTfIt0MLhJ%lgC0}PzznHhl{O;|6sQa8JK^&Boac($dqoE8^w!>Ft;Zi@Ii{clx zNxIK5f#v%F~vH z_YEIB8`VhPd@elNs(a7dJ3)me9uQjZ*c)8d8|>Reomi4vRG>-~=Dg0WeYsTnqG?F_ z@$1~0z6p#A*{&{~6=fNHaAZvoyAz&i-4P5b4mtZucdJ_xohQ)fzj&1P$6W(B^>Nrt<^EGO0813vl*o`-1#=;W4Mps zM^}_vHar>QcD{Cnhme4;3WpNy!+i**(8=S|vc+xoNSWBdi@dv=&^SWTTj>2&uLRj3 zUQO)g+on;ToV(uH{~0Ph^*MN(UT;Mp^TXPszBYqeb8f&}d}KIFq*jg}e6)6ybDYLICY}eQKtOrA1vXIuEUliZF*PNLz=^i#VA&@qcVVbOtNK6?P=s>R)%55> zpy@HN?oy%(2zZWHzn~B5S?_L^_b2^ija#L1p-`c!I`s&z-tmFk)e7b$wXpA!M?Hax z?PYm~p1Mu_3i9;0J7t^s{4Tv^HaA@#exJ89}Pj>wAq9gsjLRy zV=`K=!$}W0Uoq216eL0ZW+4hNxKYcL>j(N)0PFw3B^cGD(H?u}TasR#(&mTua8W-_ zdv&Jjkl4ar@l_U9uEaR~#4-(v4K%?&dz=w8YWaoXN5P8yh4|=nhU&!9Bq+e$DK419 zC#krDR}- z@A)NU^eidt29JJFHfV^8mDpF@_C7E(;;C{J$}XT#7o=Y>0sZ~6m{~PkW$29t6~($U z%~kvaYRlgF`nXyhZ{(?kaT8MT)8RhG-szzKf$IMQsyK9m3FK9)0`*9trNeUj_xVGq zFR$D2S2=ymL)kFfqEK|BE4}z5#%4zr}2g1d1n75v=zYbK(bA;ULvU;1(1}^F8=z zUYn^RrR}swR~N2QTS)nXo9sKp4Y@A#`Anv4`toPyEk!lI*y{H|-QtUyo!}o);y?6h zuq#sV!&JL4eEADISIjk5V&lH@Sy#8aT1{{DXYt3S9~0e z;d&q%l%{>V%w(VG^sb4zBK6&?hs~iG%zaF}BdjFQ z$|;x;(!Xm>%-hSgiGP8$Z-S*?n061BxkWL;>RSo~DAkb4yPmk1ZT?9_ZetcfN2A+7!U%Ae@Zk>Qdb=D?~HrYmSQwDb)tkOCe~JP0Ob)^CYvbEkL?rsg8%I ztGx5bB_P{zd1mvPEixt4ztuF-S7Tz{5*t#vScAI{a*=u5os63_gEEr^X(Aan2K+t| zY6V|;i@GK$Pek~DKH3cHso=Z+o9km&15s~{|KO)^ymR>Kt^c;kdGJRNTF82;W<;;7 zJrhzsmv^6X8B3Q3XMxbbph(cl2bH;>3&fKr=u0MZ$LmA_vQr(hMw?Z9O~~=?1);a6 ze572rmHnE6NS`C>9_pkvQhz|r7Uk=<-{@5@T< zmrxAN%fGuDlqgYFs;1rzaWU#foLgqWY zm)0ebWY<}+Qc?#DRGVLn*+KoU|Z3t;TX{rdF3~gJh z_jLA299w*|%1*J~?Lky^(LO@PnNrOx7hr9QD^3A{LnKd@sCM3Pk8ptyJ zu*L|ly5(J*_o0K)YGv9-lqR2gLkOi8OWzm!9ekzsFEH2q?NDOCv3A@`i)RN94(u5J za+F!UwO-sg-%(`#1*Ux~;*@^Kzs~5|%J<|`<=2yj*%L1?TQrR~D&05-!8;fVFZ=1A zw^qFDd@sZ_@2hZ@6#hZJ^$(`LM9@81gS9K&LVii*>pZQ38}-9B>Vl0VTxt1A{^i}+ zW}%0QRj~3d%=))u22zU~dN(Jc3M~8_%>gX$u^~}%?VzcciPsb$dQ+FKi%O?D7rZvG zMV{c0i14opXa-ixo1POw>LvSts1aH9k)7yWuS(d}wQKX`@4ZgcKfE!ar~RDvuW=1) zZ%zUNrZfk1^J!TM_d^9mwoS4NdbX}-H0|DXt@P*`HRhbsf>~J$>Zy9G&+gGOyVtZz zsDQYj+M>dSAcsV*Jlw~9Da_%}C(S}!%i?V7FA+?e`8MF2p0D)l2})J&g)iYh{C$;e z0;>DT$M+#F+DZQmB=@xn?r#2M?dn7xNK)JMO8 zCvLjg!q>W>p4zMC_xc)D$|G_$L?c+)G{bH2UW&CMZEGAV&3{5dd z3!FJnn@kEmzRNa@7ZSEAM95*Wb<7O9QV{hIF2qzfGIfkkl@5KZj6T^7+#iK2g~XXzN_uW4W{X`TP)Q#l zMyG`5ey22!suOks@AE+zcY*3>s9D}22E5>M)+g}O_=#=Ww}uz}NheqQl%di~=(F>? z5@=&?Kwe<8buo z2vj|IeAu}-x#F+28>5l8rVbd!6m%V0VO8lXh%EfXla35Bp81^Ih@g1$O!8~>OS+o6 zYVgGEm@D1c|C96vFF60*3QE9hwJhaV1W*bIZ#P49D zj}ZIBguchR>I|@wh0xc#+OVq#ltWc<|%$wD_1`rR7^Q5x5cGXMNMaI%N zEO+8r9{DFEb;dGYak|}z%=Y5=9owD(2E#ETIgi$B7}Jz<2t9U3iQS)8*?4x&2;fV! z%jvw?kYns^mkQ;3E-aH^(S7s2*~uE7qVtnVp|*{Zq$m?W|YGw`WmHyN`Rqh_cAiT<)atzxo+y+Ee#ZIea*8K20?QJmPtbjk3kXz*Q^-#Mb)EFgua1gFe<5RMSP@~Rsb6pO1F z3mq3k@GAQi=jZ%je>WTZvDc2p%GenWTEDAQXDdXdjH51*o{+#|- zENX&DneJ$;=Y#QgtSNEafRoa##H zb7sv7Z)HVw;r$~tK*kKQy19wJPTh{7U^oG8P@GexfgB2jEJeMqLFZ>p*HwFxa*a6G zh`_t;ecKS*WSGL#5QjDG*mi?E0q+d_%&I7Se4IaLan$@9=P(Z3p&609{*(PU!C{Y++#z24b=!R^f?(-VEYFu!^kxjoEcha zrb)g&332Jkn@og0h#1a}^`4DpD!$oY zVDB^?-v;(!s>?T+e$H)mY{3G}0^}m3r=%E6}Bm(eD zUzSXo*+09mdgYa_%`qCUztL0=>u{;hg+5rKGXW;LEi+51aG)L0x50PI~9v zeu94Y7_)zp4XTBSrXkc+UUPQ&8}^s9-Dh2V)ixo2(R+TqK(9U3ok@cOSA-g!@9E<B)!k#e*Cdk@7hMZ$R56gnqu*M<~& zSHFGr#8wPX-BAqmzVlQN-4B7wrkm5|^$ML9B!fJ)rZ9S>mz-zwsmnp0O=eR74v6=$ zzG<>#u)0Lqp@L*~pD=>PVW5JwvHJ_seAg#g2jeAqE?2IU<@szht`A-Yu$@)7&H=J) z&jFMoDRcVbZxk7^!vLipnQ87%*Lx~Mn#Rs)K7Z;2{4N_j4N5o=ON7Pv2o^|#5c0f) z1UJNmDD@gyM>C}DU52J>FzFfW0b4sg3e_Qao({+A-=Q4A3SI;kg2)c?4~fz8oW@vP z*DViryYw#xhGKepcmi6jjy2|4sB9!IEp#ph<=>*{U^y(8Zy*4Nuc)RHLK$2Fru=BcGM;a26lkf>o9qt>=a zU-OYXl|a*E-}GT0<5rQ#wYwwJ3W0=_HSR*d`#_CIU%-frY9LU4y2_|YZwajw*!EFn zO1I<#X(4WW|3Z&Lj;e1RsJv~WDM>T0F=iVQ^CPRYCiyvng2<9>c3Uj#nL;3=xI*Ja zKYR9sz4bhB$pE8@;Z-WD7w-YeRaURx1LS(D-kWB@J!;qJ&<3iJp5Ch60_}Pgd2(f> zKL5Zb1N=D^8t6RLm$E?mOXYxSiC_95t;I5&4@!&Sk)Ha#DjnnxlWvrpUxNAc6P${W zYo3aCvk?Vlo`4+&G&{8OLr{=*k+Sl?+_Zh9Q>`nYG)pN*gz}T{(wM$YufK!G;fSJ(ut}l{M$u3|;g*5vpa*D|D zl2YCXzE)qqv2$L*QAx)20GhW_#`2ct0ym_^`Tve0U+HOlHbNK2mUr~;5$E^g#$7e_EPT_PNX}2NP@{u<53t{g9ZI8)Jgq+P% z;aEQ@Gooo0<9HzG_OPg@l5@6{PO|ZmOOg0zLin2P}*=!RGRI$DTRm_z-!;;;5n4?`NYlmpk5a z*y^*q65!VUm{nE0e3#kED&kbD+{fxbkC~o#Ykb9{4SUe8z!Pk^E8jh0Kny%RlcmAx z4nD^2sQ%}38k~@-Gguwg7>EhSnIG&l{(C`EJ-z^Ghu=eL+=aXYDW38&g=UJW*4I7E z$e@H(9(GK}7jQei&m?xLr>d8QDhAod20;yx^ZY@wcf4<0=fQuYQ$u8#)yJ|D zO@zg!3U4D!Z=hn}yl$H8uj+YD)%jwrkQ7UIE*R@9)N;|} zqabsGov3%OjC?~u_;HIvs$FmLw1?t8zl7P>hZ1k(+%GXb=G1YMW25iN9^Zw01<9QU z*MbgiQ+mST@pz&Czem%~oX zLv3rBHs1c6E7Vucd~L}qC*-8a$81J|sP53XyR0)MvLm%%_z27Cr(;Iua+iD-L$ffz zIXb9$((lSbyD`U$-qvEb-Y?gm&Vtr_+@zl^4G3$G$-5GG<9_QqUdAy_2W4>b$Vdau|}ENtZ*MIiVfBb;(7mk9ufYJ@zhBfYR-6G z*^l~Fw#t4GBR5}jop-CD@x6ZDqpwyyx@{X!a1kv7oMie^3?H*+Prls$x_V?0|2R7% zwL6PFGt=duEMGvfgI*RZ(dS2rc6z<~Sn4ma)wGS}7OZ%4jq%PDq<57E~!peUA@UF~-(fM|LjOs5v zCFrCZlzfk9MFXG}?JCFL42k17;h)oumpxbeva73HtDcjKnxkSG9LyrV+4QsDQ*Y>V zOt1IlPo-x%8S1o7{G(aZ9sTFp{s-8#b@+h(-GW;6Yaz*M!|nwY>xO1u^Yrhk6|pju zTrv-|cybbO9icB<`S45*xR-(FTkCPA27ewz=r zXxxFAxtG4zK0ftcJMND&6?W9 zeDr_IZbb&flT`Tlgqdhi!TB>ACIhz9@jr(u_JS|`T{^vaIrycAUZm|{_p4+1&sl$l znr~u9>9o_cJz4a(O$B9Z+`MwOAmHh%Lvtl#89>BRymg;%sbjg$I`?|$0Yp7EA8pbK{1sG(<_v|tDu-P1S@*C0#(@6wMHZyflQ=zR2HVsQ z7dc-anzPnm8{w@0J)!U0uaY3K8c?MlStw5CyDr*`r75(WzZdFU{WxFPJIJ+D^l?dG zDbw<6K@U1pK9fpL8?sz-sFGP}veX@wsY_4U!vyc=CpQowNsM`8HKz@QsZ~Bv{g4Fa zdfL8;Lu}Zi5#xWI8IOv{U!O$(uy<=qdK-|ITsV|b=!-P`VLzMtydR}EBw2RaBVo2u z-P>eMBsgy?>5+GB41e0AuE=Eq33jn&TvEnBGNGwx<0wjKnS;xzGMFO_p_xB)X{>9d zII7l&fU1G^-TinaxAOEXegm=HWcEZ1D{Ou2%jdSz&dyLC-$v6t6E7t5271nW7YPgg3fx-vhY>-Io4>(Ba zzel7~7NTM+Ne^!@ldm18)w?UTWe#xDjYPl4Kh-yBcwCJH$KjtYV4m#>z^}SSr?Hee zO>(ZlT5`=f<68#kN%FCogc`Q!)P6cIFzl^XH8@JuoW2 z{{*H8%}6n4eVKM!Eqd^D?5TfG*pmO0NEttHpYnaw2{XQ>w=|O&LG*}?e(f!Q*d(&& z^w}nr|LCMxO~)-mt=&tvCL^ilJLv1PioY|gM59Ks=yE&F6nCjVnGuI_UPhe(ahUz` zwQ!!cT>NRQiv0h$*OXgWm9unz?2sb;9(G8cZjTkzC=y?Wr21sT@>I_`heZF#5OKK! zD;}$K%DT(aD}C0L6$othCPOfSix;;H*MGtv5+8M>pQ4CP`4ScIuexaOs^fOjmG1oM zkd?ikq3(X9Cu@b9kcS@(=cuhUvEgOd$BP+pH&u7v#rNsU!tWyE?%8Gw!Oa$(s>?5x ziE|Cr^O2l??4*Ao#XiLR?2__$pLZY1fW^%As6Icn@lh?)U-?0V#)Gf|EnI% zZsJ(UV{iC5ulXG0ea2k4(fXCcF!b4ry>^Pcl+rvhHwnFwK(1}FhlQ$yaLuXZvM z&Ok$)_L`-@e<7Vw0+9kwSsS?nImA9~>Zuar+_<~~+KQ~H;s{)f=O3<@LrUTW}f54w6i|HMlVMLb9!NPF;;@g&q4`0en z#lo+2*Cn5e`Ke6b$$j4Z?28$B4gBn&*?#BR2mEzx>B+VyNFm`iJ0#*f-3_a6Lg5RC zhvT8)97WsZjUSUp|FVf;(${TS+R8iPQ6eAp9ACfyX|gBDLP?5~mkV%Z9H)o6GLG47 z-z1)6EOZWl<6!&e*97+1R|DZwosF~mcP1q}WsF&bM;OF&7RNmxMLZ#N))hYnj+VqM zWe4!M8vi4VeyMU<5hD{HPo?=>H=aV(C#}Aos%B~$Rr69q>Xya)`d3Qe(~xZ!Y-6GH zz^SWS)@J+^PJFpj5UJ`+*9Nr&XbKJA(TDq&WV5`&~J^Qc-0*`Vk z2~6B7T33|bVeh`GIx&+!m1@S`^tbYvoqgH+p!ej26789Q_@cPvwbPuDI?41$e{Q1o zJeV>w7K)TTu6O#)qHTS9ck9(5+goz=Gn3NS#vG%*7a%EGV(fSB=ywMH)_3XefY$4> z9)+5Pe)c;erCZHAcdD(>x(aySE?H4;W7njkn(271@PrFs3~0Iw7D9(5@Wl~;0NKZJ zS%Lx^VqxdfTS<|E()j*AHI9RC?~J(84^mw~5}FA$yAKwf|Mu8nd&!==XlgEwD$S^W ztiJoLspvkYC*%|4i=2mhaQl9>e}zkx%bqU=Gd()=r5!N2qBik+)&^(Vuv6vbXul(C z)xY~XPsjs}k+jf&d?~mZw7yq(wWG{Do7O!#R9P~wBR!yl=h)Hi&w8*X`=%zcI)~-` z$OR>of7VkaA|L#|_xFbZtzq`D>A$Q|(kgsC7|OuZhFAeCMx5B~LiF)`2aiduLAuqr zS5Ivyk*{H`8ltPr=_DzJ=?bBj`LHymL{I~*QZ&Uy4>F4*S>0S{C5%q}%J*S9=;3l zzJ$L}Ot_1Tj!7drUiPb;gMWG;ws?B|w9nFizRZ;p&-4YnOfeT#hNiLM7_tid+D{sqVR6Fy%lZ76)cZyzzlh)y!yA+c`LKePx%1MEgKzo}Yw?n>R)TFrd z{AlBqT1Os2KHg4=iang(R67Tcf!3r!C|C%}YEkyww6&2|Rv?ELbIaSfk9mpaaxRdW zT)jm+agpi$FFlWqr=Jkt7elvSp16KD}4I;eVqWn;Hd^?s_AnsVETVFW7QJjx?g3 zbyHRHbtL)*P8M%IOyXSz$i5b3v>Hd{haY_y1+qQS@>93ja;J`O_jLs5V=UYe8PoG& zM0`_z?y|g08AJhSRQgUr|4{WYP_3M>RA$|sul zlDDu4cs`(U&q6ci>Z)-rgYnZ4KAd+pEq?r6YW6;h@nv;q08OTd!UWXT!YAAp}G+K#;n5G`UC z;Q$$6N?(?|cZ83o?4+J$wR3gCxx-)|Ts%r~TtUqZF+vJcBBX*bS>x;h=p0A#~| zLq1Uc!tk@B*aXpEt6_QkMku$_emc4O&d`zsFSE2_j4b@PGiRH<)e4&C2tU5}!%|0Y zrA4#rfWWq!IT;!7n0bil#E2}F=}Nl{Fmg6UU1SQA>ryP8j{iZYnXc5)hzVTI?uI$q zQ4G8LBsuN!sPh$G9n7-$x(&K|!>c+xujQdQ;gN8(?IK!RB@PhOw$WS0!BsNqvvBW5 zi;nBRhrQr)DpWi6`_6pLuynWAjq)ng(~cGbCbh@e$$67W;r3T|lDGbf|Acl?>gitn zjHDO9oAfImbdF*#ymbEsL~j2xp5l0SJ&s*W(#_>ji7u3MVWHZ1H>%&ssZYQ~Z@cgt zgt>`3dn~ZN29JNiIQeeY_=1M9d_%;6z}+@AVKDB%L0%`udQ)OnGHmK~Skryr@#Po2 zZdH|>{x6U`fAqEy@i(k4YvazBuC}M(e{wzyKOADge~^p#>Nmcm@oghw=!=mB{p9$s zJ>>~TN|LKji7%b-NJ1!*(bL)~|z%hE{D2hTtu`0Y?%o_^c}ja0L+bU*vU zcJ9;vdLh6tz#wY0hB4QQZ9WDg5+m93m=%`#e&ZeLSRbe%0u|VyjVW^+XQx2KL^x8Djl4Po_51tg1icS6R~JoHX{PoSI~wZcewJ@kI_>&w*-!j3RK* zR&NoXL|EnsONBBw>c3fHJ2OQH2(gpYwJ@D|Pu$GGaWY*Nd{;od7HgI0MJ+cU-f*gSj2H#tOqV_dn7dKDKv zQ7=k2$`g<*;J_L8z@j9y`VKcNo$Mop%@?4tx`3bcwBU#|_67X0iNl9C#=eF%@`ZER z&orjLy0KcKs6TPYXmIdeq+WXe#gManh8~Rz);-xMdbaw3LWeLHv+}S9WGjvCT7g3r zt{!Roa_sPjiSSe@T)Z51Z8IqEsc*}>)rmUr*e6_&9Koq74cairQR;9Rzja=?hGmlD zG*kQ!u9&NK?X?fW7B3Z6taw)c;5+o{p}8Cb+wS`rfkmm&N=!3Q zmM;*+wu$nXR_N^y>zM}KCf|F&^!yX!40N%d{`x*J*nWDouJ)iTT7fKD!lhZgY1B6v zp`L+HgCUMA5AX^u204eeNzQx-#g_%E4fERai&UkL=cax|N{{y?Aj z%|u9Hi6JZrM8-QALYb{1aHtXm0-0g^a#JO6WyyGw#e z^sPiw+j6lGQ_k$+nj0@=Ld{6^DQV;gtQ0C}cD`Q~i( z%CAm`oA#?h-H&zYFY`3xhk_|`j|KXueo0BJ%T)-3YzAp8xD8}d?!&DP=EYs`3c^^? z)x|DIb#)Kb(P;(EdOz_WtydGTCHNi5j#I4m+yR0yQk~Mioy((6#1E=#@ z)f4=DA96o1fEWPU{sl}Df}Q+WtsmS3Ra<_}l35Co)*~wtbZT+y-6$oI53*L*Alj6E zhQCq>qqLS~8L>jU29dZe0;w=VenWRr=+di2R+04bXhYQ3$5S$^B}e|Gu;12YNPJ1| zJ4TuQ)Sxe<2hGWFAX!MM+ftggDB=S$x$k}bVBh@W(is`H?gWKJkfL95Kt_-PUms82 zxmlTAzeBr^=`*7K!hkxglr!DdI`?-d5;Q9tV$X}a=%taSVpf?GvuZsTNd9BN9a66(`1-iCi9GPT+Pp6~F*C8t7+ z&U31pjibJip&oqr2Wc7XK1^QAWB()=jQm&U6a z;&k#xtNJlDbjdl?<8`0Li1C<9&|en_*m%Qo+Iki59$79T5*W$CCsn_lAPlm+U^k$Tto_Q#zD zQC7%54Uy^VN(qFHFKf9=dx^uaOL|pjq*iIgZ&>s^Ap4Ombo651^;@krDe6pUo-NY9 z@KC5OFJ;`-*EOW3Kyv~YyFYC>Ve6we6p)0sF=XnB+Qy}kD3@b*vj}_(0ppa-tuIP6 zJLwjNd? z_*Jki@Hh3!P*2nJVM{=HsPtYj^c+QU5uG(zWJ(Zl%Ho!X^`L=4_KMK;`X)y@XN250 zM05|A^pLl-KgTWKuka&>y>fdm|K!%nyy)|SFFq-M#~iRRZGG!INR>MHMU!$7Ul*b- za_jbjeJqk*D@%2ErI=1RDg}It-2?@vbLw3xthRm-`9r!Fi^)j6q&0Nx!vT< zhN(&mO-E#$GU?Wn_jT{rvC1&g(Z)vlXXOUfP!DS8wl;R(=HT4B^lyDO#gn&oW?p8a zm5$HQfYK?%j3&Kor0P3~Ulh^yWEf#jOz&ph9iwg=`WNoUZQvS{LbB1d8CKszlb#HH z(eV|VmKlX#0baV@WG=RUMAo%0WwcePhGq^w&q0UX_5Ts;m2U-PE?XY-Yy(zR##X8;)778cD4FTm@MyM$_->k^f##Rw@t3v=IF}I4r?LkIHsq2z_4Zf$t|5-wT_4FGult84}qP}mY2?V3Ai-+TkrI3^POFa>(TTa#Z?dO z#Sh7u#llzJE^G_B9D73^BpIEgu6gpD`cBadeGP@g7w|BD^?!sZlQc%LvR8#S%&B2; zmZ`XYH-x9hzMVTZ`nJS&$h1nq!&Z)gksWx0$eyLEVN`X0wB0Ep16+2@8ZZRWQ z9R-tf!>O*1RCvXJo9yP?cWDW6?m))M}yI|1NH9} z`Glfh+WA9r9YogZAs_I@lUu?;tzDZD4_7_pv+9=>W{5$y!tbvyLkxu#wXZ%Rb9lqp z9A3)_ivqDEtfH;<3Qa`=L*=dwM(u~ez+2{qe*Ou4mR-S;o|KtX**DV zEpu!deB}45@^YV@8XG< zW_eU(cMf~U>9bx9DV|^S(KE7*%(JoUPGA^?4Uc zYz?z#7+iuS`OlIeTaDn)nk*7s%h?j-HOR(ud*4}q+^&3+_Q}!tt=82^RVO+dkkT=SDv=6K9Ud4K z;+hm1IpxR4>TtT^6UgV%)a2{!&$pBY_s}SKoSy>}%SJj>F7VzX(eORDbVAGgdJ_Jn zUpe)`nQ(EZ%cpCiR!1X`;+?d(haQrPrf5F+L0aXDQFRRq_z7FZB<<^}eDv2t7sbId zhaG3nUpTzQ5RGaML{ek7ZWXS6)(|rZN;}{<$mU&^gdg2vxbF0=q)!pd3!D8NlC=f5 zSfc`A`zf1*311OcAq@~_U?So-lZW0hnd>#%4WwQ)G zPU%zb{JzI;sL~U)&W$7|w{{;6Y$U4@H<9hwuD8+kS+P zu&i(z;A1w08!`k=BgQx1KKd#M$IR&n9W4x!%a}1f-yaYnr-d*fvItVM6AN|jM0uvTZpnt@qx z81}y5&%ueet7OI`Tv~svy`s#6(%&$!z_=d*#9c{BZ9=vj@MHKwxW&-p6YPTekDgEb zrM`L`C-7ZD=VbohqkjeIR{GPCoIO&i?!<)PHZ&-hG$Y8~ghG<}tJ8+f-)*5MdCM<( zaX6`*1@^9^KR|{QBxx(!Z$EM*oEN1y_lITYfU?F2iku1Lm1gAlMScP*o+oM&{cj>O;a-zqBq&Gf|6j0*UEzyKjirchv zOZ?WY?mo?BElGyt{G%zsNITN3co?u7=FyXX&O2W?ZyA=wyNCwffL-gL4JN|XK#P)C zK-(k-nWimB+U=vKo~LUnW7m2@VE$%W70005iDLsVb?C#uhd6oZ?kZbK0xULU_uK?) z0UN*JLe&^*3LM7y6VwaNwtD6B+ZQeWaV?h5#A?*4`Eiub#r}yao(+=`{wn|212rau zu~a~h_!^=^0>N1Uu#k(sL#Kq|=MO&B3g3QDf8HE&$jOic+H30|0+V*YQZ5&uvZ25APDT zfK8{mk@7n*90t}4>(z={$skRJK!@Z+TytwAcMCR_ng$A_sfyd*M&;39brE8 zCezNY@E!!)_*=L1`o*9b38F%-b^co4a5m~jbIp=qVen`(6|MLNOE=BR3~jcHEIm(j zz~uIveFBmIY%HV(xptYzj@JX$V-%u3R+PjG17^4D@<-GD3DfP{_kgqHRQ%D6mmOrI zLNwi)UkPpn)a|{puBMZYS@J^z@Nn4qYEEih%CswW^N<v48LWSBdnI&N$J=0r zRm-Xg@|1|9|2@_!3zYA$F+}dS#=`+?R`GyACGOTt=y8%H{$~=$R@04Nw3MPaOn$Tm zU)qXh%CzQlO?X0c6*pQVA7#tYvWK#%9Fb%lprHUq(ht;z>_8k|5ckC_?78!S_e^pA z2P{|g!F|ohnBogt+^E~Rx__EZ-m&g+K*FJ;2d*w6T#N#O|FL$2fxi;a_su; zQHr?X;Sv_t2v7fbhzt$oD~KSs-<6w0qpyp_gXO9PLx3-^ECVxUlc2)jJ!2uzMJ+JFX&EHAF0WkGA^l)LwgKV(7Y!o#yn}X%B=iTO|=iTK9z{Bp$wel=b zo+q~c>3P=>b2_UK>}5m(S2og8VjM93`qTSmU7i?U-$_AO9KL1ZX!PKrrDAmBed*J1 zD8Qc!3_GONnx{YOS%&Om-)zNrL|6Bxv+g^%WYUV$a5(9XrmnoaftGt|E zkKwlDr2@Zo1mvJUBwfUQ6_Y`V+z>1n$rvo*96{&Q#TXgv?l~kK?$oBJMEL^=oF}S$ zK?KCj<0G@mATIvc<}>&%lEt2PAVyyN?HjS-0R6~FpJ9N zZL-Ok{2S2lRc?Ltm1qa1KO9JHffsju2rugi(sXFc~ ze@C%EZy79mFTv*nSFyvY3!!lxT_wDQvuP!&$As_N`BmoG#kgM88uiuMW7!U0hi6Ox%jREwSC4kd?{Y%NRy#2j>zk$My%GEq?9xv5n41^o=tKBFHR+6Em&1iD=M@ zcH6eUz2)$fPb3x3jq-V%v zg{InPazFHs7eeZr+r)~1xo4%8j5EhWQCqW{ulJjN%Dinaf5FK#=v{RD;ZlFMOQ5C) ziTXxO&v3SEO>j!gH1&`X^6O7=N}Tdb@`@)#XynQfmP5?eL#FIMEPkDKx35^89;Lkc z7Cx&?Yw^mt+x)7W933u~fIV$lY}b`*WmrYB!1O86T1;)OoH@x*Y|+hH5^tYj(en;Z zyuFY@3%CWW%Sh$<5{JWWttE7sZzoF@p#IU$Yt>)a(&kM(c=o+WutbIQ1j@oy(^0~ z+`@U@9`+1H$EE|t>5emN{ig|4Sz5uaEh;_1U3Pq79;B(X&HNP@t;jwQY1y5$ng8WT z2)6gw9QXuUm*L!-$5chLxsoi?z2DQ>rnJy7a8TtkF1gjZ_}r1Y=w3FdDn}eQTRAaK ze6nZJF(GMmmBWyQKu1)oov8tf0jCXb|?i7TGtaDsxD z!k%a>*6QS_L59wahyp6=&z-ek;l9tph1^>mFL8c0 zZ%dY$C!jv1HQ0p6V~}BC#-pcJ?9IRskZY4emx4U{17Pb>}Ge5xVEsAyu%vj=C z6n+5V{AG1hJJfqXMhPo?p0F>n8KQ~}c`D#V&(VHb%&u{J@mp%}-LhbuI1`J+{pcG5}bFE>@)i9&PKu@QyaJ4nZ~0TGxjWh{Zc)5GW9 z@4Rr%9}>8~6zt&5UeuO-(kiEzQgmJkTiD$+s+#_hzP+Gn&%};2l_~9jR?-LM@&MYx zVZOzuv!sX7L7A}@r;;wI>5y;Og7!#nG@~_1TAw!EV$d&kA}6Ji&@X!LuBR4{zV-X~ z-_;J$G(Izsveh&oj>Y$cgiglKm-nT7C%G){XPX~}iR>KSuWh}j*%A}YV&5Xo?)<_x zv~k@3DVU9U#ouf)&Wx35GdVHH8wKKxw!gam_fy{9P{Fe7)g(Cgp*Z95jtJN`^1RY= zfI>wd<5Q|?*v1EhNIy@l?ZeMTYo32niklqa>0^Kay)kRot}I65YOlW@80|=w^>xEI zyTI_rynp@m*YR=i3I9|gM8JZ($JhHJ{>EYEk>T{D5q>nVS)cRkMdx47mVGo-TQhRJ zr(^BXT)cTc%-(2Tjlk>``JO%v?lh3e5Z@bQ;oNGHyuP=YR zrEj>SN(W2hNULnjs5UZ?ZqbieKw+w7fsP)pvqjXGV+=e*L81hlQK%H4hvcu0*hI zC2U&$8aQ>(W@*(NXF>COL?j#@%o>!YJi|!}U$Fl7AYf^k?Tm`)ua@MqdMtd`UumaY z9GkPLnQa^@#qrigE%PGzoi4pqeS3Elba~oG2@JL!CSwm47Y7)2#dYfU#U3XJHdt?` z6iZc=6t0~~>&*0-Dq%FFm}g zMy$Wd7#D`$Eq_0Czbb{E0g;iJuv~i*eXicxw?XmQm)#2rirRg3-JacUQY^u|MbUl} zZ)Im_1qPjp!NW}r!BMeq{5x}&sE&g6pe9*fQBa5-x8tn1_Mz6zqOY?3nB7t?@FUrn zfG6tOyvJ|t*!4E620gze3PWtWO{H3YC%jm@49lmLBq@o1fL$I{*X)V?yL?*AG)nz1 z1#{ufl-WD;fB)d)wdX8bb}^)h-ni{_9eVa3@x{MTJ!dN~xk-2N2=#i#sdeRidQqaf z2l}4;lUp*ZJ{13``0>p_%X(76`|{4=23Hq98@IhPdld&<*WRp@u-%o3b%J(?)pa*D z>kw^@_i_6^@*mVh^haxEZev12M~aI;kl}3o->mvuxeq^>o&C4$)txt{!ER6|lIicm zH@Cvm`p#t=pU4k?l4O2I!NgW%DNZe!Af_+-N^z|BvAvq?qV>xfKIIcezf9S+bE6wh xBVt2;b))gTp?QiPIh^mMxX@wYRqvXESP@|(mxfpLTua5GJ2oAyBgS)$HaCe8r-Q8V-JBzypcX#*T?y|VcPwwY?tNz-mIWu$m zbobTM)7>+R`sK@)N?5SZ_RANG&mZ&WQx)LgXl`pm$jD5`NXI}Z5U9!w2Hi9zsda|m0BFkN=2!CsaZ0!2#mxt}Q*H+pm{T+k z2hDd~OAEHGWx99M+}w5Dns+j;&Rrg*x*ZWZ>vLjIwH&zT+uaP`VgPSQ%Y>mJ-Ira3 z-3-uD2RJ`8mH$2W*~lB3 zNh2NK=h8@+wu(@Kj>e^hl6krmM#X6CO#eb3m8rwbY-lCA2q>%^i<(D{SyM>1IV!Z= z{p)+zP@JQQ?%Sv(Ha4#TBWJ5rlrI|oz33>Vu(nocsf(CJPvOp|IL8;=-%$KVlqPB( zijnlNQb0P%`JW_PwQl|ruIbyJ?fnMeBFRzM!t&uO0+GV&V_+q zv)PCVe>KgC2VLvwDx?@Vqa72;>qK*%?Yokb^7N#D-efb%j%DCI_j=2hx&HSB$+yLv z1|2(c;rNkXVZJ!^dK#HB#dZ7dQ#lR$?^XpX^#hexo(d~~DBR^teg-pmXfCMTI5sIvl&4A*2SghTj(EXi1f2eQWpV zWQthLhE)$M)5>ukRvUO*@5Q)3=!**H4|N?DMkU zu$%3;&KH3gV>32_j9DO8E>ex_Jp&<{(P7F4ZZpx1L_!6NP$?932hmc*u4Rhz=QwpE zz0|qobHRA7cW#X!mwWDkiYr5fNj+`(sGG9D2yo#2lAdd?MR#RMfA@T~A zJj`)n7s!5~{shvST`j8KLWxB@aa3$HU`{Rb_%rh5^N^D|GFqav8i0?v8EWMidvZ) z*~=3gPV2V*kRLg6{-V#gve`*fw2*lhVV`~D#<(Ke)@H_tp)OnQ`*hg<%ev7Ahb~u5 ziC*0|!c-{}G?#`&`WBp^9j_|+D7-51ZmPerJ2L76Pl z@EbiDtJ}%=ncq;#kSc^;4%P5j+gQ69oJK%G=wT1Js@1}E_$g(?SLGb^rc z6xN+5Q62X0=-8c$*n_R6WeDmK=dttjOVR`NZG7?_bz} zCMS|;^0%##nYi5^ihTr^@tM0#bXCw1!jjwO8n}AmxDnJe{&(?W3WD?e8$@L1rD|@G zOAyIp{Ep=CgYW(Z5}NK*bd_587J)cN3se{Gp)8^3Aqkc*L}|oNHjC1M?MRVFU$IB) zyis6?C&u@Axt?#t0x-_ltNj*u~tsy5KS5O}*P`=?u&xc1IBS+80N3A+eZ~5Ag z*X5x;&oa!_VBy-k$IS%ea8pj966s&$fHU9l=vu5yrEj>pG$Y{ z17Z78FA2m5sr@xGbeWb!c&AtcMvJ8gn>Hoz zO}0zaxF1{JiJn=MCfw`2 zh=cWRS)Oheh{8^P1RndI=XZ6RCiwT@OmKV8zM~H?`OBE->&my?VwXw;xpxcs$M^3Y zy&6Dr4v!4idX9_HNf)-Gjmc%D0INk7c|OgLZ${%M~04~5s=M8Zt(g`CS`9gom1-K4*e)}i&759O5z{2sRQ z&6}egz2zIf?3}U?--rC{rhP*~&sXo@E$73ob@0#tpxb2AI`Jk5pzL0am z$y>@!(|p3$qPd*QciE0UH8lz2+|Az~G#@s=%NBUZ;0DBdI1HS`t)ut4K26r`no6;t z-KkTYDtMQid-*A~HhkgUj}VeR8Ls&t5MIV#aa)v_*9NZFySx>K55wxqA?o<+*}Imj zQNC?>*ToPVxeKg&Uyh!cI}K|q8MnPIv7j!#8Fn5!qbBc*c1sD4Z*Pk4%dIJrzFfDC5MxQCH@ zr2Eu|)3)2s4%pe(r|<#SH>QhwMpKwY7&-VFm@d;-m6$6st9l+5FmY_Ll9amTMkM3hdP@dVr(vVEU(2myC@1 zf{_TvR!-;kp6A;BU02wSV zjJ2@47q^$r6LoHA4#Wpm%D+y8=v+tdw(YGx9A)ymg3i1s_)&ey-;+P`%B0@g*po+W zxy6s8&QW5_DHl=0>07)zech{H*PlpLRCtsIbw`ruY1Iz5w)Xfr` z($}~1kpWvSn^-JNX zF0cx$J@#yEt$-F`ZBA5C=GWEXxf@O_KF;8J3FX(`qEG@8@Hc3hUlK_I2Jp+H?M&$M z>?j7^9e33PftIRV`BNZ!RL z$X1!3itzm!CGbNV;D0^6$!RT8^4Zb_LE<6UU?lOz=deW3x7oPV%rj-(VDP_cGMEYP z6IeftE=+t~Rq&D-QYuHpwfDGNaLtnEy*zD|0B#nu%dVl{=DNkQAwn$7=|Q_-IjYt8 zRGa2|#lb~X`@A=96_Iwq9jti>*R0VJh;Wp^CQ%OJR9=eO-EU-Fzwo^KT)qa|WKEqs z`dp5OWZk?}y?fNGZb+IY3T7ZN_+0)D4d6fQM9zG9)ak(+YQ0;&4c7|fB=^RzaCy1w zdES00qnVssSch@v=Z{&+R95qTut+F>aKHR}LTk%UIr`$;X`adP^;(v3lucOYd^<4l zL&58cZ#OXQR0s8}bvLf3d3`F}7#{Qfu)oqDaX=-X4vBGPYXgAbxIVbZIc{6D0{9VLZ40In z9+XAhiDbyQ>F8Vk;&|g?j zoaD~()50t$z_g!`SMyvyw&)1oIn{fod3*t6T~B2*b2lH1h!D)9nKQmWEk6VtgIq@W z-|xx=ck^rr+5pjzff#hYq|H0y+<}{_uB$C_86jH@>s`>gZdXP6`O5_2PeSjlQ&V`C z?$d8qvQI6WlL&*pr;CT>oppiyD=w3IofDRV!VOwLz?3&bb6MY5kLBhX)|$upoGcFC z%}d3WExixgaEdM5;Mtu*P~Z*XeE1HY%i~YXOV5|r?oQA*3{ZV)-TGq}&L#|kTebK5 zGf)?yxr9RDA`>%CZP9+R|u)6_!f~czgf%qVE%xal5~XxBC|IzZOVMA8Rkm z9X$!uubAylN9Tg8+cw@SMnr+o-d8r1FBYiqXl#omLsuMT!66$npS{meaBk(8MT z_Fd9f+|+U~eBNibTf>k;kicj@($SZP&w2Y4ZUO|l<@e|MkIW$eA68EI7b;2J}nm;`k}?XQ0SJfo_1=xDYD#h{_o`z zRe0CFq3@?V8sYHH+*2Og6I-1t2v3fxzKnm5|9lf~x~V6{hc0^XVt%w*^$dWQvtNC> zK?A|xZ-7Ge`%X!u$}6C z>-SY1_isH#Qg5hM>~%zshtGQA&tCTt*dOxRO)}n>y~W~?Q?Z=%m}|R)#gn^>Ks=uJ zx*?a8RF^VxT7RyuU@mgD~%-=Y0wSb}R<`r~v*6OfhXQoB5 zNLx{LYOy=PA7F?fJG8kcvX&-OJI3c3hzs{w9mH&iRSqhg#Wzs3gF?8J&U)R;J4%GT zDO{G_qd_VglrC32KB(XWC$juY3w&QiBYs5ODLd<^al>hY-^kX&9?tP6O`^)B!gaP@ z?VWHU5@@}Frslm&3#kg32k7`B`@C>&WUufsWTEfdcSg5vx@2HFOIm2I`+)drYz2P@ ziml-a{s!O716w4}2jrBqkTSK~JQ<8vCZl?)gD01vZA`@P$He^R0q{lJB~J zvZqB3gwE6Ow_qz{@I3F2*+=M~&xO+1lI~~Qo%MBHatY((vUXq^64$de;Q99DRq6Ol*)lw9v*jtT0nJPN1cWc zmkZOfHAqM(bv zQ-9RRTy7_#1maY$(DWYHhkh~<%>|xX(7y^LaSs4xcpIJ*ie;<$F0)a}O`}!zS<(1C zzWVRn$?`Hbe`G|0b8d%iwUylvYk+!Id7J4ZYw1LFmKJP&D?R18g)*~O>w0<(oh*^T zm%TsxlM?&-6e%1_f4YPBY9a0^R}vr1k?e_bD+9%Zuf76snH{T zkLD(Rd@Fl~GEIw2j8$xZcvA`x|9*jA(R5s}bt|mG|CbV9SkY@{G9f@Jd6iL}JoSgR z&wDZwP^(eFrRZ0&?$M=RLkFwSKSupet7^)8T90>LJL#UNNCmxj_A}1d#+f zUhp$Lf;wIxEuFatca#>ykA<(8`GI)D(FibPAotI5|HX(nvpD8+fj(-7k9(YlVxVmv zO?9Rndu5SiH#?GOB5b+03$~h1#sa#cxwsyi1F;imUTSxQYsW(L2I!jh!-n+#hPXMl<%gu zTwJMd$=5(WV0o)>ZTZD*YNb=r--RLgR~0cM{s^6?l|x=mq#+;FHskHglOCJ}$3OUe z^J|ASq95a3e2w02c5kjvQ$zIF=LYVQX`1q9y)ERb0XtGvZ@fEmwv%hG!-1$k@I)-q z&tTo08L;Exmrsk!&5f`IZ1o%jpVnmQ9}|>KlLB|T$lJ8B`_kVet(y-%C>0#))bsdw zI;n@ZOOo#QXSf1Ry7G?u)@FR5{S=Jt*tgps(EatIjjtpvSX;UKfbd#|3?0nW z|1Tmb+o*ywH}upnOdu73RY^j9$*eH8k3y;Syc@ZBBse`BFOhY4iJ;u9Pa2c})Fah6 zJu=SIPZ{a(;k>1EY*MyYO>8%W?+cEGroRhR2G&bwA-KbSR@Uanhn~+8D-_2SI1f3~ zKs7HMAco`pibp5^HGsm6L0g%z6HdDWMCQ)?X*~@&tRM+sJ`0Mk54CjGF%ce0ELh;J zIMNM05)aQxN=D}&l3(sep!sEq0*ckcQs0HL!NnaKT5!T$;!>_?Uc2U#nkQr!PwL`dcuD22uY_P0k1 zI%=9q2V6W<8DrLv##@?u$Uo8<`V`THbX*{t6CuA{0|x(~qcBO87<33hFqHhK1Zd>x zCv(DJg(KEuo`A=Wx8^-p8RE?EvY8*lcX`=o(OO-*-Z_L{LZ^n|p^ikq^A~DD zV&o7*Nj=zO8Dh+H;%OBk9KHlJBvWh|#cd4?Fb4rkeG@G9%#wuC12p;zLxHunX5=`b zL&=Mf7(qMZ?s=gv#Jl~`qDd$N>K{pwFTO zmBWgs?ZKGXlXfiqMHg~N#%>J;^1zr0_viQX08T;NK+KE$ZifJEZ`olUs(Zjr2P{ud zi9ly|s`EFH7w^?w|5Ad`;pvN8W%y-2+5~0{QUOQ~?nQ*XlbHIiQ0ccW@X& zCK81wouqlkT$O8*GGda{s&w7o?^i>d>O=s`VsKCeqhoVsfB(^3ilYvcNC%J_N&i6L z`(Z|^Yn}&RXUH%dPIqN4oJH7|`hz7-N!cX*^@IZRzN|qI>bRY?696w~FS&A>;{*Kym8#37;GU^qD2x z@4dX(p%83E*Rp`sVeTXoB#`nmrk6?$T)FB!R|Y{zVA8M!K>PKUHc6E}Uusj)9Q}$G zZGkg0m5zsYp?GUxZ`en4o&Ux0wq_6i#ouU`6?RbA)a(A?rx&|_NxPDJvBM*Li?~bi z^{Mhm^CZ2`vvXN9md!@|S0;lA*Ktl>0}6dqkFQpjj9VgB*tjeR4wJlA1^xo^Ti=#~ zw@_;-exi7y2IDZ{5G{KmVK9HNJib>RLm5pqi`AdR9vp#QyZL=95+50^)0ABtVO#+r(0abbnPo@dv zgTW8niX4WXrz$KmxPU-~t$FLOxXyMQkt*U6w6jGcR$#6c+wm6OoI>J)7_QIX zNQ<5W>mu^wS_dKjxGZ8DuFpDX=|P{ok*4Fw@Pi{*)i?U*Pos7_qe`;#HX0~^h=CJ7PFy(D4wDFnQp1qS zJeHiNMs`qN4h|w+hkxd-puiVR;G)<#ru*U=+s0tP zfC~|Pii8|0okgpdho8}-u!YPV_9eBsg&HX?^BlX@iHm}iD=P|F%{HE=?NQHB;Z$XI zIr#(%TnG0{vUOs`LxXxf^OgX;6zxjS?=X$n0Nns#uwLY0iAimFLkomV(ju)NW2>d5 zS+uu{Sauxc#@N@a0`kL1+Tw!j9n5N6LKc(X!K=So{vmGQE1*dZWp*u*D1r%W>}~vd z9<-JTvnnB5Xk9SN>5rX9fJ^g|T2qzn_XXy(N7O&S53?GWtUu2D!;y={)MJ~YE{B8z z-aiwrOGF@HLyI0rIW`2%%%}$g5OhbY@G7DqAlIYN2ix?@#SyqU8Sl@&*R6Vc)RkyS^cH{MQ4}9IiVzaeq9y& zVmUPV|80ETfL7%r)|uSKaq}-Vb&o~S-yQ%SQGFi2v@Hkqc{WScYK}6GbG0C{jJJ)W ziyWVMp41Qp^l6bvvkxVu0pZZ41Bc21wX?8m$9ZUU5VyVap$!xnKQi;N@Q511sYb!z z4VB^736pe-%6?mev@@G%UWEA4mX;^v9%v-WO$4z|g^0;*OVM30DGW?&Nwxki2=Il> zQ*rTYsjro&5`AcO{;8NLsIc$j4cgNKSQuO>rDJCPVeoHADG>>_mWfIJ}$4TY<7#%_Yqc zJxgJv`JFH76b}<^MKz8sGrGc%sBthu{HOo7rk5<~?_P=NVxW~*xRe+gUGPCP!WeU> zmGzB1|D_1K+6M|J|EIc%*vBgKQ$q`X+8rz5qfJ>z9(-T?&_m;a5}9hi&I#O z#SZF8KxTJp^!MIO-euGIR!{algDbJr0%)VF4_MpgfT zj`#KxTXL8^^5AQmD!JKggee3&4{>|u}W)Ufhc4MzJ>dMQ!q7sT-6_up^d^xN-lVzs-eZal|6HO>9u zRd+_J~$47d*JSi221nZ3t-iTwbH#H zXeo%=#+aSiS@eCxFqz(bOE@Z6tdj&~4D@r%pw<1hMb}cRJ7Pbgh<%taRJ_snw1S}_ z?j}tbE*Lt^<%7uZ#Pd(m#k;(l1<{tH zD9!F?Tuql1w@5#(adJAD6|I-&WbfjD6i$XcEom*r+=r70)_G_j$nYk^8e2xe*x zqwic)^Ex7%bQsV@YC|#2mk5`!h0FSb4n>;22`n(lf2ugw9oC>?Q=F4B?Q9Y@6M~tn zP0JdB!OWwjm}cdx`9AIk9!jy);~z66WRah_oJp%fJ?)Xms_ndraiNjCVkr?+G1M$w zxLN)EL3R~$_Dd-@u5@}#5RS6i|Emr#f{hZXk;(}vy{llsO6?&4UG*ZyZ(g+>d>Lb#TF^mrjKVu(;V|j;AES~D1YhHo7zoO>L$wkO zj)V@JLyl6r4Ew5qix^tR0Cu7JMaumrD^!xd1Z0C1B{08y}w}`m@xsH@d&BESHf5) ziie>yHFEpstvCS3-|hY^ASr5?{*lLF5LtR8GnW+`a&mES>;_tA@T%k)e2hvRPBK4MDI^)3@wO7aTCD9q6x0n|G#aVZ-Vu zkol5CWTf?mi+{nl#t6)rNTN5Nm%o=>Cdt z(W7BX$B2tRU-bTdLzaLOMdzvNiM0k-tj8qW{iQ1 znJ6CiL6K0U?UN?(+P?Sf*=4-Rs1vU*eQTAm#=ll~rwnV~(tC6W8g{D5sA^VdOu0n3 zobZ2a3|u;5-9IHs+`@p2^uKkvfW_|Gb>Z4jH&e1nJn`gvWeTuv#x&&R>i#!qkIjYf z(mQ^UybV;{gG$YSks%*ldj1EjY1YUm({7jg`=mEn;?bJBNVrUKzZVvPd#N~7(Dj)E zi#mzcIM6gYISd^8Ti~3caux3vu zjiC$dRL5tTHMsx^chMF>sp`&*H4{!y^`^a0T92HGHY>A~)bm2Xg-$~qrcO&8qDty< z?2dV}nje0)rb<^yrBZ`UJ=9i2C+b<1_dG8$c)2VHW-W_D|U8Q01LZ7yY&@8%r0s(a}wN-W?1;NUhtVmU^O~%}C z!Xw_4IxMlDpM5ln@z}w9r?e8*a+pq|ujR(+jtzE8svevvvLie}WUFWvId3OL4X7GU z(LtjP(!A&+4s?0S&D|J5oXL#Oq~b%^)7US~^XW3O3$u=h`6R@r^zx$x=~1MFeijLF z)$`v*8H@_aIj9f5niA(@8~D`N7*Tj5w@llo5(F#eqOZsiSJI`0cvl#nQnLe2;y+~p zycXi2X6g}{#$!(&)#AcQd&>fVA^|F|KNS~LHyJ6pMNH3lsf>SYGMc-H;jr5(hL3CR zj!(Ht%hyy4%|hDJ^4<71bbtR_NlR7qDS*X8e2s^TC= z1=~r!Ait5K?6Ijd?eee380hxNWk5drb78x2*+#tXF?UfjZU698DvQw;>8aR=(1fQ@ zfm~STWEDvP8`h_kl*QL0UCESVCpvzkuXT@D3D*{%;2Sd1*Q>ttku=Qw44N04Th7b> znY?8xy|{*4xyMb$@RjXFEXSw&*g5g&Rzp%-pMp`Zg6dOt#SS;w{tenmY6#fo|2alh z!;GtR3>hY@KN(kaCH~0m)BO;ge5; zCWBIk0klJ-L=ac9Cm;n4b;!F z>lZh3g;Me)m*ar7&w^eKXF)n9^<0!;p*WNbJ#U^gEw7LSz zM+6{})e-84cS|+r#s7f+mCRa`0K|gDWj2SBuIE!37&zy^p`No*aC=b{P!XLChvz5M z=n_%GXtN@LQf&WuI6D2rXO4$M*&ytqIb1}i^UXnsv~5tFiX5-heDtg7%xhe_Lgw8t zGs1F&QZYk>lAPTN_5cS;&39DRjF>GJENmdhR{UG1-IOLb9&}1=o2j5CE9}3DbaV)! zOQA}HSNyQ`$8YQ|uXZ5y%;ZQ0-A|pMIV|UI#VXE0!71RH$YVL7V-m^|lOJ8yKJRh7 zt?6?fiAVLkq-#boc0tc#buQO^`>(>hBW!ZbK z042|PhB=mcctV$G#Pq+Mb&cG4kz z=KCzh#hwL3>_Fy{lq+ZYYl4E|`}$A{&FNiv&O??TD4DbfWE`i^WCeUq1Yg2~)14{v z28ohXidLef6&D^VdbswKN|SrHN8vb1h`GwcqW3DCXn*Dr3{y78XLp#;rR6-MDtebm zLzjGTaAXb^^(&K@R$-U25;mB4F3MBwDIeXjm~?lJXlSy<*Uek~cU(mS`O1sU;o^DH z{7GcC_Wq-dFH(r!?srb0W;cGI_L_E?rXmui6XH{|tRsG9}^W=L-w9;}6zaxMyH zi6@JS2k$=6)!++X3kFVULlxb?OXtH|mqp5s_vUBM`_kWI0x^me7v6?%WESP%R6Vz0 z-hi$BZr9rqBKW)UM0IWDfT^YwUpq+HaYd+H>HkKan@wC?*jf$G_DK)kpqGt@-II%< zmt^Y4D?aCMs4t9&8bb9|qHc>w9-H)uPF~yIUHV9%7gf19li!Ow%$1}B6=^p{_+ts) zZF*+=UTuiz42?gESRE@glcplkWfv<8JFIA*^mX@Ey~{09ss|gae`4 zOdC5xSACpr0rExP&Z6TAZ)m?uI(32zewG);Crc#XgpO&XibYyNXgiq9;yE~I1I?F_ zf7F>Hv}0>N3W&r`vI?G zh?z~up_A{VQK*#1Bo-Lpw}165_twWfcdr{J*r5kmvV7Z2aSEpLN3c6~Evcq6ePBfX zk764Jqs}(v*K10tRMQzQN=e%R9@0d7teyuy2(=jc2+i;IUWg?$17(FEu(V&2maEg9 zGN${U%`Amp^mT7<(~;|NBtl&@!ZM)f5-_<=5Ss7 zogl`)pVnZE25%i4=FP$*ue7R+5>A<5Ny`X~7cFESvac@dkSYk1xO)yND}0ZBTC*n9x(SAf=r<~1k?01u@_*_3ZM)0Bvl9YX=tUd zp+^Pms=DFYNV$~g^W_7a;*1C+$OibcpB0F zc+1`L5+=s{AMZ!}A5oVn$64x@>i(HcBIOqVzom4dh7PSe=>Q0AHN1}Uo5On z*RQcm!WN);P54++t5V7?fTQqyL+0vpK%b=L#yo4r|{H)E_nY=BuI-3 zjhh`5%{-L{reP#l`%eY5=c@w(W?rMvqPEVsXYy00K7m*4cnaJ`b3Dexs30?if7<^S zjQ#&mLF|8`!u&r}4CsH%-ln?{G1+zJ%j#77$qUj8{y8y;%kW&Kf*aE_x^7(6q zY~2M*r!=i~Y(lE~RW8UWY?^$W{!6Ke`QFFB`LYIH*Ya`_v~u($OjcxCteXRn#ZR54 z)=xfjTHz20y(N0BDJo?Mo>=O+N~>+-Xq8VY&i)7bkEEJWLWQsKk49&Jqs6eQ4n3*_ zx2~wOoTi;pYYnNtqb~rBS4BpJL&>B=J;{&oy+KnIFv<*;%6MjZ8 zxVu|+e3>hp6-=yKAc?1;GROv0E^Y>SzU?m>KyeltR6Gp4*Q`fGoj7m?ClCPzoBI9 zL)x*A%?Hf_4A6$mci}iP(@&set(}8rIsD9K5D~^9U?(z>kh`u~025kQ=ADJyX=rN|yGg&8J<@3A&>!a< z_W%1^#+W0MR|5M_-A2)W>DaU}tCg9p4mhiE2hJ%QYFh+14-7~_(hy?zJYlX?PN~+< ze^3Ktt?(9*DUT*NFI_T4ETONfrtz{jXcoFWX0xISyIZe&B~02PJPT|r51m3yA_d0C zV?$QpC0UT5N&JME#mzn5*o=>$ClUqA49@@}i_?`_ zJ#qRL#q3?>XxKz`2+Lk%pNq$|0W*W5XnhViGKr|_s7(FUt|v-1qeY)@I}Lwey>G2@ z3Ave!DlLf7>GSw3*!lbYKA9i|)k*}Tla@#d)o?Rer08-NnI*8AAlTy{kx2689r(o7 zDWpoI(AlZDF^nGnG+d_->IsC-<1>;*?-JraT4h?8+G>J0N-AakOwgB{Zb?!?+qK!) zp5OjofYUohMR}sHWdZG|nh~^&4gkaz=%hKN@GkCIv&NW=4R^(6t zkWrP_`H+XSEW9+30*P?J_zZ}ce@V?d(u0?WASsGJk9%JPP5Ba1N?oc{Hl+41A?Dj` z$ymIZL2F_8s8k=TmVQIsCg%@vJ}m#0u6ux{xFjb%T{Bqzm3HRKxLO^~Le6pa5#_WI z6+mR~T--RsdFE%OHO&fgbX1Cewo%Z$0)Zok9%R}tFFdQ~-s~9ps^frV4)>vAZhLVIi`#yh5Etqm@yj<}?=jzxdxE_z^&Q&P2(&K!F@0$NYvQSzI5jN6^w2k6KCk!| zUD0NTx5rbcH2X_(`QLJH53NvoqvB^uvZ6-kY~+eM0S&0z(&nkx20%ef?r7?7cY&o> z`_->wxlbPtpEc#t@gN)o7-~8vEHNAA%Qf4_%IY%C@xbv}oYUu~*VlNw{!X&?ZK%== z9tzEZC|yr;;?Qr|GW?p&cs_rggQX6BM#CK|PR2Q*psK*w##ZU&c3v{>nZ3CbsBtwa z(6e76cC_Clpi!iF7s(V;`?;5eAHebvnu02wwv(t3>A|J`q{+29k+9VfSVMPNm}8~k zOwCtSINLFt)aXJ@++wZ2wMPxtwEl{6(ULR6(_MJg#^lS#DM|AwZEH?ZSfh8=!s3>= zyS{`T58L>;@iVx!?l-4!;fhGd$R(5dn*<&rjq%0Y$pWiall;hbp5iVtJvgoYJmUJ# z2?Fy*1cVKGlIrQUG-aSUv{nN`wS^CzX78e|n9HWEPx$BSkg0h~UPdpY!nAr- zHAkKg#YZt)m$lks=Yw(Xgy~Bp7X&|!haz(auF3KQoBkE zKr!~|!84guJ#$Oc2aIxGJe9{H2JMMp)N~>siqGP+5ovR(0WNub&G&Cb60+ zvjJ-oL-iP{_D?WLKP)4{XkVrzbg+>+EBS^2M>$jG1J>l1kiMsS00$6^;ENd89n#KP zVIBn+<*?yL-Pn#X1${jMK8z`+YQQ==sWeD{&jbx6BPeGXSY$IMMb zm_zq(??5sdLKO?T$APBv@?itMHTFK{7^EWP!8c&Lb6HnUiQBKy+bm3(Wtil(Q|se= z8O$$M2=3xAdB}!~Q*3*ce-BY`j~w93#Gd5FfVrgMEyziUQ|ihp!Pw#)C-4UCQiP2( zl)$FPz5e~=3bAF8njL4W+e4 zdwURt4EiX0p&G*V!Db>&q91zT;v>l%N*QiT^W3#CB_!ao&zmMQv)M9SG%AyAG_>ob zuVkIG7Q+mnxvN=@08?vXVJ&%mm&e*V9L^>UG)k!f+!@f$Zla54Q&B{A7~OdYd6|tM z8>RuJ%c(q=^`MxE#QR+aQAuH|DF}a(-{)$DArbeZC3`y1s*N>d70az?>LR21U;_w#yL0|DsOo;e!2!(t&@n#Y?nzUV{u7VX)d%z$o7`m zP=61?V$lBw_dp200KkH9KG66Xd~yOBNCV<(4{bhDer!?Y#FCcuY-Hlz!&4G#6< z&=AEr*28v*aWMaglM_FJ_nOw&l0klAeZj2XZ0Xy>7(nME<%uxY(;+aJjq(q!5G1VG52+=!ECmd*h*r;hBlfD$o`z)5ze=-O(NLDVcwesF5e_YAT zdrrLlflYM_=uAQ7-EK3bT$1JeMr&jx1WHFfly@PHi`gcSH)B4SfU=}~JfLfrvOlz<5fYqGR!*$I%Ka0l?dxC1q*3k=b0y9>af*eL6H_f5DUW41_6mcP2Q!>f_y~dJ zrRN=1AuLFv>D(Sjg4vb3Av}`om+!Lx{u2ODk^jh9mk~)&Pb60@sP@;4=iXDg5qq?T2;PuSuXpm z2wZd=K5I>$b08kdQlA;qsbFP<0en8AvU(8=fwL=I`^NYEh-^ka%bs` zQq6o3A53Y0BdI9_;rYr5T)Q#(KzCuu!j{d%q56eTlqJM!aF{qa3_u75#F5f|P9{VM zaGfg0R+ogMo~~|D31PQvLUdp_+&;Vx{$M?s&WYI;M2O9ykJEJ);zlSQ6Y`=p0^@5X zQto1Dm=otRJHz6wVILt*0DUl^yaqUO;z?^{DdmUI1eiGZ+)md}${L0oQ z7UL^EQtlQ@Ch0lB*F9nAXINf{Q&|a4VwPW%SstHjnmIj*mEg9F&+d%ROjd#utyMF! zdty}~_Kks*Qx^_jw<4^B>h%nsfMZb%36;9nA#P7FsXoadE1eJWYJfw^0DJ@QFd#%k zqvhxVP6{$QmW9wXoC`DHWZ|Oz94f)Y8lzKj>SI~1bw*N$ zaMb!2LK6tb!PQ9&#c=3}g^7cA1Zk8%uqQ(}9@BAzfa+yIc+WV*%6rbSqvaQvaYRbP zsR53h=nUPbE=$Vq8IJvYOUj=a4u4WUnFJMN9RyUStf#T_j)T%?1BUZ3!x_YIK4Uoi zNjdHh)ur<%?ou9P**PaJv8bfPzqKrlkDgO0*R}WvF^CW#Mr#Q1FvHm~f~%9lxsl-v zVmNL-dM;qp-O093mM8Z;*{a8aM)lMPGhfO$X5JAtFG&9ev+ktvQE)0jH|KKTe9~jy zI=iLoInA>FAjvoKYk)&lHHOSwdWCQ7Mb*R8oG|D*3<;05+HT zB%aG)X*a-;6HqCQQIim#v%YeQRdeB)H-ike>bns4SRMr{RE!Gu3F&3wqNRO!@ zAKpsb!fF%Z&x=pX>EqhP^;WDQYKi%(61}WksGVMzp5Uy-a7yDd$>IY-VmTzM7zEQ$ z=SJDa>aB#>8aQJ*@u_k=FX-MZ>BLz*v(sheq8<9gB;#JZ3^QqGB`qgqNIv=819j;p*@ zkJAYUo<&*^mwoJg_9(?HWf48EM6L;EUS4+n;`V`Ezl<|I(Lk9EaKL^(W_kNb1mEY% zpG4#Y46zMgl@b;Utun=j=vCfDug` z{>)`KTd}wI)JpkJwi>?+8^N|?IL|Viml#f9;RtaogP^6%TyO|qk6IhXY$jFr4173e zzE}7kmLCc+nsK&q8s%wBpBap54C9PA7%(RdaJcppV>$-HQBQ$QNQ7YE^ov0W6~}Qu zK|l(kg55sMR}YWV2}cNgI-pYt!ExrY9nbvf()P?(?LE-2lFWRpgXK|DZq0BeGMt|A zn4fY1&&b$F?`?}pSTJoF@pO4o7x(>Jn7Lv-;Ex`kLlMuVLRn1#35F5fGh%PiRm-%eeFV2 zGd}-deD=wp&vDk%lSWx(t)Ay7G+ua^fbKYU*VQZW4P6m8lCd zo%zQ6A8RQ+mCsArHXdgpw1JWev4Y_0E`bsp%r_ng;a?3<_&QED$SDdfIwNN(mxHs; zCnxM33yMU?$p-mIp+#pU>u*+hxmG+7z3H;vWU^j~t%|%*dQP;nM)-~Ld8>3>&tKI5DMm2#^bz@Wq z##F?IzlnoVZ10^n#P$9TEcO_!7Q8vnIKOUbm=mK=SR9n)-)1`VXC=s)f}-NGs5Pd% zyPmMvviKWDN(%BIK4}nBA3lQpgb*M`orS>niJ}WQDaacv@A$4b1%=avQPFz}iH7Br zgyYUs^PJ~NoCF^sc~lM}yMZ)6B@Fyk|o@v(7oVt;F%0!$d6(F|g* zb;FxeEMNT?6@M2tJL7EQG|KCkK3Uf@_0p*l{jGMsQ66da)K%hm=JVK& z>3oFP&9XCmuYvIypMlRP#>f8JW0swAP+pAB9!#H0nLc()!gt(xKQznz46rJCIfe!} zV81Awb)1~|4!i}^F({nbmjC3$=L~0az&81;5^+!`#b*}dvx5au*gBtV5PL@le22^O zpPcwWv5L-0pH7zaoZ~I*ZVacL)yGNsDU(IdSTQFkONFNAYgs1iCZ^8;#2#XmFS}mw zIir*>Gd|}rKJ(sbnLS^KnONihta(bK%)0-OlvGxoW<9f$@?5(@9p9I5;jrgd$a= z9L2`idBDN+gz6+lwH~9wCu=6vzKm)RqZ-Mmj*6*By4okKbA}ohQy~;{p>~TQ1yKos z<4jB^NKV-M52bw9k|o4{85Qo3q>v_yTE9dV?!2O)sBBp|fe;g?H{2qRgb(f~F>&6r zbk2#d7)~wY(~+1!tn~Relc3uYG;SaC$R7Uoo6T8P3-X=P`ye zmf^g_aB!yzp(&kTVK~PS0>ldEVT($L)2x0F)qxB5aa{EC?FppmW|Hpq#zer`nYzQAigPUlGQfAt%cJlzk&8ur>YWz zBP?}7>Ci_h!U&}F*~RK<;p=UdhFn&XOO%IvvX$347H3h|5nqhYg{<5!VSI3Z9A+xv z^H0_vK5p437k!r!sW4zJU>847QBaUaA^XUcI18~8^RczN5e@kdej|%uX?*U$&p|*S z&N=Zs4jtowR8qS6=s57r23GS>X9`NX8wVwmbRkxS*#HKDz&nfG)g3{EICHk?WBkiN z5sSj9A-XyR&d4L-^N8hjIq)D82fh?%L2|-wU!jL)e6XF?Wi`sf073!v$qBpv0gBjv z5D#&L1LtXm6CuVYMKx3dDad^psPK<0C@89D7}bG<0I|aPJHxSe>QF)`NXL~k0xGI|7-SbhC{Tg>SXA7qJ2NWWgGsH}y^FO!Ycg3MV>c5ArO$0~twf-L(02De{t}}X_iNjX}`7T<-(KS?G4>BQG2Tjh{+L#ce zFd?VM`NEV2IDm=82i_KCRETZzDFuS<%!1Vo4sIC6+C z;G`h`WH{S1oSPZW)_`N?>r`e9l!G`JP*!6kS*Oa0W#VsT6ONRpTkBg|=N|Ks62Bpj z6vzuXbNeK@OmO(<;#tdv(0f|BP+wp&WpO@ylyW8TK`IQWp%6W_j3`Lf9zP<7lcM6I z0x+yq7GrVCE`%iS+iJ^D$cMlA9T5~xN)~>3o`Ql@PG@{K0z;znq#m~axWAUK=*T{m z)!CEw^4%6eQQRMM+0SLpm5q+`oI$;EARY0 zUE-i(#plfU)%Zlib}Sc1u$kRmEEmf$|3N+|i8u>!;BRKD1s(;hv5u2t|B$8rMa#sQ z&T>k85KranDZGq>f`BN?qt3N*3bP3dqRfstpj2?4Ed6Q=M{}?O&ekj!xcdxwU{JZh z@8wWX5PZ65Li*7y#0sZ0KAST>qnNA<84j+c5l~6b!sqWq0HV#i1pa@)TIGZ9 z%(J=GdocgPJW;-+#HV>WBqt7wN0kZAIo2#GCzi0rneg|p@VLt4gYAY6k@6wfvZ6!a z86aq=L!^A=Ym*P;9jvP3e)~SSel(mp; zS&w$0H5Y~*h0O97Gt0lrEdTZ5rgSM6wMrTOE5zahPjxa_empGr>-m!MAC~3eUw)uN zHF3D*?G<4DwNxpuW|se!j0`c_IVUD-k5Lek{HF$9D@gO^_{2xb4ZwTx4ug`8g;O8h zD~Oa}LJJ37!^8ncD1@f-L0(8D1U@6hy99zOOD2RgOmJvDfgn=8Y4L&oIJ0sg#2CP# z&=6gm!DJl-a8o)_V63RXgob^Du*afltNO%C@c}n1g!!Y7nN$1Cc;Wa@x(}Ge_*%-h zvCm`XFke#IGkU?^SgK-QXlRsn%U|XA^7=!TKBe(dPex2@p!Q9N@PGBP`6%DfIlEeH zn<_gFQese+=d*yOtjQ#N>=J~X(Q%uZ;1C4!hSK#mg7m@vB|!?paph|ZX!%VXe8Peh zL?z{G;E0vOiNwPRbK!algX<(Z4qR)QMJfmk2j_7rNpV)!dcK7CXWSQ1PSsN(9S6Sn z7|)k0gQIKMoV=rU7#iS!{pMEQRk=q9F^>8N78Am4n8nVeE&|uTA>YRI(H_Ib-a8Yyg2)QgqQ<`!r8-coz>|3Klb?w{>7y;ZE4Cj7Gs$n?^{`xmvRU8bm-`~v{3`3lJdM* zR>Efq#^)x>*Rt=MK(53Y{u{~iP$gQ&X_UAtL5HaQ7dk|JQBH@nygs006dI!QC6-m; zDP^3Fk;2)X;oQz3_6!)llxMAcTwL3=Fr%Kp6)XI;Olm$Mi)1}8%AkJ+=6h934iUDy6^1Ub6 zw@^@2r!gv=*;7d4jC&bOoHgkdVnxNJZ@_S{k0PL=dWb>z3NDwfbz`yg|1mymk)VQx zoKKcqY|Qw?kA5S#%Ek3edbx6O0F#dI{18w{A3(PdE66hphwp)cCez~c5QFq(ocZcC z|6=|vj1QOoAj9Ey=Icq^$2jLK`5Mad#Vy8tBhRS*kL6+v!?}XtWbq9yJxkuXRR=MB z9>|~%495#WdDLcwd-Ac#jL*>w=PZU(uDo-7aIKLPP&)5Gw-75xmU_zlkXxSHnNvN) z^x2l_!*OaD&eMz!_xaNF;bp-gUoq*W$>P1tHHKz5zRSmoOnY z@h|AEOq@5_Xy<-52OViq<;0upY0PLCNa>t&Vmi}jtM;}&r9B55Zs{y#FH08e(}W?K zsSlu7_Bq{x!1Ea>9i2)&A<-c@F+=OB8<45E$Fc@|a}yeRlghpSRL5~qaardxSubUf zwPd`?g4!ybPr%DKC?nK6hupxgbNnHh1Faz9Ga2R?7bb={k;- z_;!L0QSa#J5GnV}fP*6tohn!O2P{;y0ggsF$jW>2ju+v;JI@RUn@r@40r^O&&sh=D zG(N}+sf6epw+VQyIO7Ne(+NlYM>5`FP&oF!^<4BmhlzvO2*CrX;NRNfJBVUFAiNM1 z&a_5*oLOh-BZPamJ265&LO_FUjaP9tfx6(KaB$RQLI6+*3I}zjpdc-+kFKGVCs?_t z5;&L9aT+D=$JDoiIF__r+!k`o=E-7s-hjVThUJ1ovQVdKDm(y%#N z>zI4~*UEcRlgK_fkv^})GHbw-;N$Q^EzW8Ox>*)Ti4Y9PN6Hy+)^T#tcky(H5V#s< zLI7a#Im*Jxi36EF@E4pZe2{ws967O1JU@k-2m*@NICe6#QI7)01Q4t9MIOxX`}pw>GKh=M{ zMgdDu%2#oU7zcz1aj(@z3DJo`4uf)!iQ@WI2kW#Lu!N8ge9PGK4Jo%|bLs|*kCX#h ze~NsNGX|wI{aZjWSn?ZK1y@)G5j8)4pINaWT#ZN|Mk*of(FMFeYL$D-=Swg5oOlSdG$BhV7mD=9Tu6Ch ztTTcX1ou>!RG5Yk3<}47j+S_4f`d;mOXq`>C<~q;T4j;EV@fzx0_(djtGqavCk#p- zY7CP++7|6Bb}wJrdx6)O?4~(sFubjF9B?Zi|nU0Wm4a z!he1xpyE87@wvysfj3Twq`(Jf&;(R`Hly1D&M1cScZS2Cc5zv}l(Xc0Fq6gY%A}J^hFSIzj+Pc3poN`%XXsk?|xjK`@dzmpzdbzBz zM=U+j@On#Dw*F!u<5QY7YJd;wLi$L#8?1}!ket}tn*G80kF^e&6Z|U$S1_E?`0%eC zVAgdF;cHkHB-_5GEMHCF`$?sYvGs8N?T0Kl`!hZ(!b*;*PkYN-_%|fr3k__QuU)+Ime{Zg9X4jxis5A8^Q|RI%Kuq>a$KUCkP z>s;)aLXt1&<}Clo^6e15S530^rvu9=r{c24Fe<)RjmxtCLmJ-2WBKCmbT0d|o%hmk zK4k6BpRfX~mxYwQS^HyuNd**U?Z)@a8Y?od<9yo96DQkOTfVc}gq*l0t`p=x@EsRs zXWsr~;q#m&3wDB8vT~x6B}78;X|VWf(Y@4wYRzaUlSo&t}n&XcS;Bc{u2QD3*8#f zy=p;O4EK;D5b+SgS3z5oEX*kem3MWm&ImC>OF-&lk#2o>StPS(Bt)w6;>7AioatJ{ z&9MglTQ&yFtLg1?_Mlt^;YoDoz}e4^<{;-Sk2|%z1RenzvrdT?v`};j}Hq{ zzVC3X$Mji+*=MXJU5H;;rr(rfq(1z&hBTFAC_sPKLz6^Z!%fRRE417jp;PXlbKK8%)ww8I*=hj@$_01SR zzesAOYh5DCyucozmCDC{w=1cUNj;@HS~&A1RULIBX>5R|EO z9%@O?iA|Z#hg&#EJwJ8kb{-8nE3H(%;GaXRu_zxc!=6Bv)5lo8?5`OKaW2C_KBn|( z*2+Em&jq;dKdx^eeZg=}Sk|PHvLVAB#V~FUfnBW6I!vF> zn5+jZt4jGX!?}{_Q=dVf{!E|0x_z)@Frc?cxe@q02J{bvl=mA-$Qgr@b!qJL#bixn zBgT`hnj+=SYC@sujNfabkX=^k--c?sta9b+e6Wu$-L;o*oMkZ~@U2V=Xdn2B9^FE$ zAQKoBu1io*IR9ff(-;H*mWI0!6NnX`-5JgaR_g~}5oTj!?NLC?2mcqGg5rEOctSmZ z@IXjT*#9XZrM||XsJ0*`F_jQUFh0YXKDfq6go@8Nx-IaT!1yf9`0yv-xRa+oK9F;k zuU91$NQqSZJ5=Su$%$=PzAlU;s*}?B7_blOlIYVhz9UFZT+XO=VpMz&=Qu`n6r+02 zg5<=bjA}0nC(F0I%4Nf1d{EJbNZUco&Im^PVL%)y*Ml=c@IVNVK)^c;2!Zcl-E~T66rIIKx_%m2z8#!@q@+h0hzT-QeF#*_6#;InJRBr=yiq zDW77`cQ~Itt(@k>YwX$0Dr`h#f4>Eu6O{!=h?z|1hvU+t5|onff1b{8>@Nnud;JV2 z3!l!GA7+0pY*RPZf-RywyboKpDk)k4=dRrX}Q%D=mE zKEt_?<#c0)!}%P~{P|Iq)4t3a_BURjT`da^yrY`IpZ~CW*qpeM`6_>BpZjwbK77AK z*5{16N0sk+sxxn9q;Qm7$qTVf4+E72p*Ri=ifhE1X@4A}$bY=G8CUKdmktHRc|FEwU&e>8bm8tA3W^V(o3F$83?mYV71c<% zs*eu^u~#8-0>_XP5GQ$m5GfVaRaObY+oq5&3W{nE3r7e(26&rDAXZezF{sgWT*K2$f)owh!kGzo2*Cp(Ik6|h;q~-1hGTm#JlSVB?=V?AF`VZa&IpD> z`X)Lb$#AY=eAZ?-*D)MixkauR&>B+S4rh{w5cq#QhI1mrsb@HD`v74Skh2ium%U}P z4^Nz28{NQ3LDk*o7IY*?aN#MKqWr{KfnmG};qRb)8taVQ71ehP(w>;aI0;uwP*n7u9P!DCFBzY?0Ks&^fj0tU z2=S5fvpAh_a^hW!N{BTOJq`^~Oiw@XMnU4_C^8`lXH3L9g1}diV5An2z)4XpOSc6` zO$;HboWOr*xXCZdp%7!2tohm1;gO6m!%p>VicDc=jP^yX>qNp^Fngz7F z^eXWL^C(@KlEooh`gzQs+cSTDmPzOS3`RAqq06SyvH!6i-gRcrtA{e__b}-%v%DYF zA(5x@em$#AQ+Kv;M#1a71XEPIK!a~WM$!vq09H6VPq%T10%L_U2R7)|!yO(9a(091 zbvf~v<%f;(+Fwl^Azrd@P=d)>>%<^M$@-9$#XSttIp%}>kP7}GHHMJRRpMQ1lmY*O zX?cs3Xda{>s+_pik|iaMATS+6103*vTZ}_gRpMYRBMQg<_b=GFV2$12D^0)+c@Q5} zGCBlyE`Tf@0^d?%xwwGk^c`5$(5ZyzVXZzw%VzniYex?#XJ`oTXES}qTM+n{bf(W+ zOrK>y9|{f8#i_8;X+oOPgCHgHfu{s<=@3MS4e=5JS_E;xhA|F7__tc6{D|=xfK2|M zy*Gj1ubTG9*ZGj46yj2*TOujNHP4}|3@KzvLPF)5O5zHMOv(I-N+j1sgRw`7hz#j= zB}$0~q0GvV@%CB&^*(E_&)Ivu&$pic=l7keXTM(OInP;VO?&ONhqFfuLDfmD;SR{a zXMp6Mdy8eVkLrcVhJNlfcmyBS4+g$|N9RhmV*A!|2m`s6g#i3kchiQ+yb5gqIw>+H*Vfz`H#o+t_2cQoqQ|V z*-r1a!SR~2$SZAnLkj_$8q&#I?8B!pFKPN4D@JRG$U0U^w2+IejA|i&_o(&2NxbT1 z;Q^3=A|Rc_uCxKceFy`efH{O~{3EEwzke!4ZD&?vGG8w6nG>$@Lk{lKOP{8_ajJ1_ zrGF#&yy~8)EK6|d@3gwRg?wU{cn%GJYeO~xbE++f>e6QiMo>-D-WX_tYHusD>sVO7 zxNRC!LqtADBT+vjU){u5*Hm+oTZ2}vQoX!UqVjmxd~7bc$qKzE{hzJNHxcjv>Ezg= z4_*VZpeNCYAN&~oZ`j%y)Edusjpfj?;74SZ#Y-k-(I@(-KlN!=QL_6azsgs!OrU?ojdsl z<&+~4u@YR?I^^>_x9c-gSZu{n-IS!CNsxcBIKdJKh?kvpnd~zse@iK7d)_C{99yNA z$8~LGhVh3iT#zO5=)}2`uMlVM1KZyyTRx8=K6$qT)dMK*Xn2AT0`yT`r~f}_I43(B z=Ln8-zvLStytD5YNLFrd3@svX2j@0i6=dE}aREp5y;g?TkU807trjBk=2Y6KUY6S7 zoxGCjuN{(AMV?Ff^k+$WCo%dlXm|#dT012^o!o$Mwn={IsZ+;PQM|TI@lyScb&S>;vL8aD7Dq??@O5O34Gj_j@e;Yn z_~0Hf9rHu%zgz1ga%S>6>y=Fq_rsdA$j9+$A!ONCNs=yN1!NxvoJ|V^w~1Y2dxP;; z|1XJi^kWZv{-@vsWSH?C(wEhHfcJr;`quI^K+tzl<8+e0g~xWIIjB&DKGXMp*RqB^ zRjMcAyL(LUQXrrNq?2zXkK&V^L{R!ga6ZxdH1OfE2~(%hdFAU<>#TYwD-R=hEaD0G zl>vdZ!eSyP*x+~znRe`^rW#7wf%7$$Po&4h{-~aNQud$Ql84{IYS@~}>VnZ!hHVg> zv;X&BKst$CE&u~WWNG6vr&tltliQE;nPxO+Wl}9|z!seIMrk&sjWSq{tNGYi zl3~}71scDY>#2ISi<}=peJOYkJ_s&7pHkv2M#l)t+c0&?U-3Tph{S##;115*@?6lo zJH;>)FsP>aaby1-R zDw~f_IB3b>{eXjBz83Ne;`1`Xu};}Uh4IRk&v%K>3kk`(e1_4WOMI{M`S%c)u$@{}4bC098G9tM7kr=e?eD5_33n z-5nl2Z#cLdH_wGz>THRJ(KtG0oJ4>u|7OEIr2D8Ro&vI<_f7T3OrO=wK1e}?iCxyO zo%(I9%%a|(+!rsjD`O{zr(B_W3ALWrFwVW`B3y#FD z`cfJjn3FeBYh(8`POQ3CDn&baBys)}m174H)gO~5h`fgQ*r!%gwg{d3*-36M+719z z^7A~x0~2RAAbbOt`^K4RL}68rY@JOO`*zC9o!m9qP$b)MLGw6`S7&wH%>))|hssiIccbhbs05ICmWNjT@sGSqmuvF*+$kBZTakx(p_~a^FBM-hDIy5 zU6MWzC4Ig`e6D^Ts_H9}4LdMLYyUF z>`D5(pZJW=&#v`R-7M+Drz@&{Fj=*e4l4vHEL1;H4P|@0R_1*1<7U$P0|?YtYxWwDvdVHq__52o|doELgWwWJH>XbEG0Pq4=1aP)~8~X(W7a(;jDx{;0WUu-FoHjTFd=OebcnZjZ?v>)T zv>uO+dOSpCJsyLdm)Bfpk@J#|xo^~I+9!GAKkg|l&eD4-oPT)c{-yvpBTVd4#j5pM zR@a}6UPFY|jf-4jv@H(zyw&*tvTW`(N#~t#@;9}}=E>)!UwPX~e?^Lg_p0?m?Z>6x zl%(hR6P?_{uv^a9@u7vBML4b(@%HH~`I=@&Xb$m%#~fPh%E!68+&3XXOE^fm-Ga8$RckO1jqt<);?8R%a?h=;Pn#m{4_!`ipQSs}(LLeXlR_KbO;w*|=~Y8)Ra?rrV>705nvSi(-aGk*>W(I;_Ff<3sHNN9A0!>JY8(-s zF||hGJSV4_uhz17=jvsx39n_T@*Ypub^Fv-U4CokU!PKNscY|7H$Fc}@luVoxbU+H ze)^qjaEE09nG;)V)p#$Tnk_Qzo&t4xiR_;^Ut9RxpX#RTrE??qJ|gd?meoZ?I*7r?P9Gqu-H7TdM782%z0!0-yb(O6OWRB0nMQwYT8M5l_`~N-zEEstYvlbwqzgGhZ3J@_J#RR(kJgmZnIve z&}Uz2_bzRJneU}9Db-cW=PjfUuO8eh;f(E@^O}^u#`evLHWE^LV%ON(#oXps&5*HK z%2y=mwwE8}oeY^~A0H8vy|rhK#cP`VfbN_8(0U7r^JNL*4T)O1=|VB(eT8y6bBxa% z@maO{#Qq@R8}_A;a$F}{lFoTQAl2VeDX~eS>g2S}&Lu@!0wXQ{J!cT0Y_)cvH+P34B4 ze%qD_|DaU<8r7%ACHtsulr$8XW`C=rNS`+mpK0_tEakza?vwSwR5z_^K941RzC|U; zt_eqE`qYx5!4X>Z(}^ttNO_0#$@RO> zVyJy}Y;N;X_}KcC zb@C_stu+)mv_R}us9s4_zb2}85!LI7ie>FhR39WN$cg}+ZIlxY`Vt&++e9U@;&ya8 zx$4}RNne?kk2J^KEx$C#NtV6mjT9I7baEf_pBiFyQ+Xda3u;qJ8=N_LeDXTgU6A2R z0A~;2fdGi=H2V{AA9c^5{5a?F{fPq4Wj#5yDm&STVv2Pf&=41>7W$FC8Zsx_P)t|- zPE4k1AE2}96Dg*fP)xDgU>&cqJ*V>gUK@8 zS3gN7k5A)7OWVE9PUxm@YVOCr`hB;TAD`S0VDka!3ux94Fti-T`Zun=KVjAnP}h3) z$(BkR+w+0)#YKcO&2EDyq&9%mLn0E3Cg98T_aO^aN zgfq69;AMo9_tFtrUVAvMdOp2b?8ogBs~Z3X#J+BlH9A={^}tBKUnFdd%lYs+j@&QG zqk(oZN|GgVP32>T=!0A1O#2VpAOK=}Or@CGUYQ1Oaqd+hfP$)%&!-lwpAT-Y`T!iC zL*DW^#h`%T0?zdNd$xQIB0eiyA5)+Yh=nYk?tuS*sIHs7+U(0WeK(05Y?z1_F6U!^ zt_ETwkBQHMemYTga#Lz2Y?azbUd}@vg6sUk<+QHkN|O}5<99ZI481k9|6o;Z|EE zh_BD}w2AzFp_LL5JP)$06}KZR%UYiCs>=i$8%v1WG{W`rVLf zK6c)DvZ{@M7Ye%-K7S%Uza}aJG<~_Me=d-L59@=|Tv~lLCCDYj=OTlE>kzNpV!sJpdyte_3^UEwBA)Rr3yVV>7GI zPyOMlH%;}R8OK7c;nMcYU0(Wh^5CS8?ae|h^J(_oJ)2^>G(H$Dt?gqcJ(E8BBw4mo zpLtYGC30lKS!%rYC;Ln@!`7zlG_erL^LthE3<}=wv=g53dt=Kubxg4v9LK6NoSF-Cy45!r{J@8qO$pZiOTlgPmmRZ0HsiNW_XRzc zzI=Iil&jyDSmeJbO`l4h@KTyNocA{t$@Tlvb`4ry`phk!ZVszW>{{x6%coI!xU^l2 zZcXJgm-Bfgg`Gf?%J5EhTTc4mOo7^?mNvq|=d+HLs}}V}7Vk$rwma_Cm-8!*kH|Fp zV^32a9!7EJ@_8G|g9d17D?bUTPOq{3x>u$?Z=rZy*JqF-%@Dk_c}nU>>g0e_0*K&z z);diW^nVj%PV%h9)nUIlk7va6-l+%JP64Nw{x-#HL4TGYR$?1f%lS8{x7pvqVhC>M z4eeiTLvNGx2n9#v^Cqi>#1rn|Vm~v z>Vw+{Yfqpd0wAh+q_2|;`nUe$RPQgA2h6FCq_jAWsBorRE9;v?^4#1Hndll!b_gSEn9)9=*@3Iykq_q6QfP|IF+2s;obdiOwjjRA zmBX1_1E1IxaNx6L;TjM>sS{5FB))|QKBtpDxyN4hAwahfd^%aLPysrzOZ5f#Yhl3I zygUU&^|?JpjgvK^ahzeTGmka-` zKRosOsjg{*nl+A{C6V~Z$o>gmCC*=`merpVpH3ETXk;ZoAJudI*5=CLEMuSn$JgWc zf9%I08^+@zB<4Qhh2xxK(gV#Q=M!XKLzpj@)$ve zIClc7R@Px(Xk{(ce?C`YFvvQC{3o}%-G5qr_DNLM|4jZ2-)O0Ll>BtkXBvP0srhru z87re}i0$)F`s6mi*gjn+l0H95oJB0_G_pE*FXgXiQ^^L-5hneg^^31UZ$P?{( zOY0kUKBIB2V=D5$Nki3pC!B6cI3nN^0U8=-lJ&eKOXP}#!@Eg%-+LR2+|ujhsAOkv zrLS?r&qh5j|paPXV!hNUMnu0@BGD)~KyBFH5bdru z=z3?}izZt6^c+}R(C;%WjCAQjg?#nd#B`l{kIL>+IwiOEHk0faM9c>!<*zvm-RIw_ zuKQC{VDvc2|2b4E|MBAkVijsi@5-rTOAaND;#V%``es9_R74;L%T? z6n#q2LPMem6L zuTgtbUnX*8Aw}W>bGq=x&j-Lk1)iI)LQE}NW{1# zJ@3fN*fsKb+RLqja2n?BVELh)>&*m_2$T#TEhXbUpTbbaC-I(Muu>VKK4plUSci%S z%go_&N*3gXedTjC4ImX*`LoH|xN~8OyyIK?cOtl5+{|=-bDUr1PQP3=<<_x0vOOsA zD`O)_01W>{$I@jd(#4IGS#PY2A+M4@b7)YeOh zsERFe?+~+LW+C-O`({IFQ9N}IXpaYJqHn+;l=}yT0L#%%kL62-9rGgA66S($)i*Fr zvs?G5ZJ1o!-z74R_MhHe;>iEL-45`Ndl4wy`U(TZ#7qb^5O!RVhfzP8dhR{&I z&tHQ}3v5|b=-&_Gmo3a5Onz|OV|2T11I|t?g3y&kn(0KASuuC>t;_9L!<>!STY6_i zH{f7ob8GLDKCd?j@F^$MR8DZ?pkUr!xHkSS(x96PSid1=RPmno@<_>=e27eF$=(99 zUd)CA#W>e-M#_Cq1PVG|2T@2C-tAIzF|>aMx~`t=$CZ^y>&bhEzT-muFlmyhb30Sp z=!5Md%uAX>x!(?NuM-#V>OwVmjLV4Zi|6}xEc(QQ`j77s-QNFVP^l6Wv6~_cxq6=J z!1Zj41joiRv#6{G%~vg3``V7#Wl4_n#IbW;x;qfw+-Td*gn4HsRw;8HTyI{RLrvb zK_f3LdH{q_1Ig%BL)kW-?tFY{h~02AM6rRm5J0Y= z*${Bzp!OgF37dVsT8F>uYW9PV2mPC5MU?Gvw8GX~1mt3H6BYlLZ}hPrC8HUWTsCo! z;`)A)FPAk#eY!{~<{tGI;bczND44eV99JGnp)E3sgNM1?zO)S7gVyHeJ( zAo+sCfY(Xwxq@FA3DMo72*o4cSZWAlOr?Wawc%@fY3lXuq&QLIkgc@<7S)E^EoX#> zMtbud8l%i~Kj@0RY0iaz;DqF^<@}wL5>V9Z3Oo74-*+5H`+AoXmXdkxU-AP71i^-z zMyJbU4Y383{8L~jGp+}7pP^LGy zLxUUDoS22eDI28N)TnNUWGG1aE&fo{L4FiHYKQr1Kad+0C?Nfa#S>OITC~cgbH(8X zsV*6y2A+{={c0pjAPdN*kV${8cQ$i@kGXHA2gGX(OCKv*_1_kuYD(F~a*lBY^-BYM z?!fy0(L*QOu#*oToO z7Tn*5`x%I@pl(GL-a>a2)7UVM)Z8y}k1PC&S|PF-AbO(wsD|?hKjpiya3m~Ld6ga$H$iMG2iz*8~0()$Im4j`h*9y(Yzd@9EQ#br6_% zLzMsy&^{+runhiSe-`<^WG>%3az3Z$Y0)p+V0o|zk4x`HLhy4_gC|cqU7h5QR%f30 zX}5ZlPWsSPwuG>>$fs>@(U6RE#4Y32-A8scf;S?BoV#4)(%@EVYst=q^%Lw#x{^&E z^b1rMCe^4QCgr*d>LTezxxXC8RYDm$zB4>&gey9-itSqTOv-Ctxt@{sZ(1GJm(JbY(j_~^;!zZC_T6zwV=HOs74hW^Bw z$Slo#{95x7pol=P=GAOqg@s4Ia@uJ9E9`}y+C|TpoUh9S-5M{5*`W~nUncw?+kC8olS%!vplo=nBiOTP#s#aYNkM5y zc$pKaR%$8ES7^$Qttk{<1!L<_C<2 znbRDY+bwoTQ48V0YNK!4W4TGldN#hT5vyn(B@uf=3qPxmoBFX9ORu;)=ExW!h<53T zLijGC$bgwLo7}@DDIj3QLO_kbkui`@b~y6Ad$gmG?5&lnX$4O(E@Hm#<=i(A`$VB> zOs&{d`Gttbysk{TRI>h8FE@oDq7vp0!-Xc?Zhp_+6RXJ0y=Ol1jopv?p4jQ#d19OiEEJ+-;ldw*V+n*P}c^PsB|J zlf|+0PgNI;**w*$F36Ykmc3;LQ34+u|%viQl{2Lx^{h8Q&u6 z!97Sit`fZg6n_=_i&pU?SEw)L=^ew8mOhKG5v@^T#$(okjbUC!{+<9)U-V59so2Bi z8zQ?aR>{TatxniKBh0k7PjUQ`@DJ-{xmUcbK^~6s-FpR!k#q^u%}GvYrCi6^&^Z~ z&W+~!$y2x@bGR>Q#+Ht;g-1<%te$_nrU2@y4tdybQZZ{8kuvmY)by#YQ}Sob_mm~w zcr`4&gwF!P*zkpZH&;T~!TaCBF?H7}`H}BMlj<^U&+V#L_VZRMY>9GsR(1VS_WmIJ z%Xaj6#>G4(yt=BWE6O(Bu6aA#kr;*xw+hQCT*q3+1f*NXEWSMX=Hb?4m1;CuvS+iV zYe+)BL;QWCr^0CxrRRTtk|N`zW@0Z^SH_|i2^`%wT)}E0d4BJ&?%KD$e=tJ`|&z zH~06w80nXi{(#7c=ObsvuUj=SwSp!j=Sgr!E|3;>zS-r3?qdInDn^^O2erJQ9Xb#; zj0C3EcKaRF4gj49_OxWs*luv$3=PhtVK;a@nr2;xtSn71x=u`ehTSo!~*?Fa-GJI_xt*Y>)=s=7RD)WUVWXQBGjgn2!CR>4sIT|s zO6iP4etmMS;Io%rOj+f?sPIha@A7xF+3wkxr^7$0<920nhx6lDb#Yo-I}2bDa+@0` zFZSjBo%(*Rz@-l7A9>tVF;|GBD_yD>p({Avww&0fYHQxY>Q$p`v`Nj`d5QbM;QDz; zVGEqsF>7stB1;BgCu~M(wiYq}1+#41^JMK4U#f0)Y*|%Zerxop&~?UzgPi|9%(B=i z%Vi{xDz46t8aZ9D7_TPMzV!z8LCKy$=Xhw6VxpguasTM?T=Y~kf&o;|6LoAj#J^UW z1@e5=lsaA48wURZ5Zxj%Yilwr^;p@Gr<;~CHIEnB#gNyh2Mu*j=km-6MZHTKl&cSEW`On)=k=886!A_P$tx6{@#uiZ2IE zHhvninKO(yZsMOqM~tI?EfsFw<<@^iCZC`_*E%9L;&Wmw=>v%hg@-vZO(-J+0Iuhe zpPoFQI*{H!Jwy5W^V(TR#fr@Qc=Sf=o_I2gCs^N(c{WO%*SPKrBM}I0f@s&e)sO#` zF;iIIx9wHmcbB_O!m!Q;TIPiF7o4Dj`^U6vnZX>MUk&|}SO8S2b}dBWw#i&D+eDMe zo7obL;X*!itD6pCFq23e!pTU!5BVmN`u^9~Ri!%}a&{$x(EV?g9!Xx?)SW!_nW*Wr zt+-?90Y7Ca(fr24RBHIxp0u0x z=!_PJG_9K(P=Z3( z{`K$QOvlUrJTM^)X9Nm#uYusms57PIR57h*Jor5DXoqU`erQ}0m5Hy^N`P*Hk6%2A z7<^a5%#kbOm|j^VzVI_9Hbm%j;VKVOQqwR^91><>cYF(1WctQ6kRel&gPh0}E4MouKIK7d`_1XDt&M5uU5ioGbc5?#Y@X*mSRXuv6I$AK5HKHV9 z**s=3nd{v-$;Ui`xlMD+Hped7gFa$lboIn3YHH`XDYHmqImk>+OcaN3fgC6utsdiu zdUv`uXe!~O`dP-MaiQF>&uNKQfoTD_1Z?~<&cR51V(>*;Z8*Wf+gf2#MB1(czO~$% zzCB&o|J1I46>M&+b0LzqvQ&)loQ#(q5B8j`nfZxKtqHiErDp@KoyPw0J(D1rD$W2> z!@9y)4@}NFN(j0=e&l{=HxSg$Z8&l|o#*>R(qIx&6EHhV4_qeyyX1_lFL;DyfIqbW z&J_5`*d*;-UW&|%KG1R$!Em&R>JH~(s!^&a&$tCHUZ=cKh=3W^KH%_lMgy^U>~sMb z+{}I)`!eU#RkHi>t!UhL+fHhAvgMMlaN^QJ9v2UHxA@VSr;<1+F9w~Aue{9G0X_Y1 zFkcVq>+ktwm(E@M5Q0|B?o4tpOJ=iZu~l~S&J#2fxqTX+3LfU7fzMz%SKR;jFZ+!DAV( z2mSYt3Ptzf1YEbva++CVb)1!G*Wf*R1-)SQ=$ySNBi;js$-!C6DldcfqGCv(EbUwj z?_Jn^r>ea{b0KEz@fWBys9wr{_fEaB_nybm(!tM~WBcMuakYByt_4@*_vSCIWCCJ; z7$6*LGzzj1XZ>4ncb|nN#-KXuTkmO+UWLDW{?gzsB?xDrhSfhOdHtR~c|+aWPK7yG^3?dSj06JIOB+W0ft@(yEi}Dztq^>|*G`zTAbUL8gBQBzg#l zgM?DQ2$>c2fqyQ%`bNW;NKU;T+T)|swKC?Gn|6yw7y_kx*Y<@4A!7LF_2>E-cG_rK zH^F!1%JlrGF*p0IlCl*I1Z$h#J$#6t#%}yJpU!pl7^`|a0h0@WP;w)-X&+^-9qI>$ zYKyI&owr6lZ{uZFLMbvNxKc}mF7$!;q|Q|K z&ytfS!HaElQZFw#CtUIEL2Z>cHr6;|+c_y5KL`~`jeY&Mb?i=3nXV%XI@e~?6`At z!?78w#xaRz&qHnY*hD{5_t*)ku{)`{3K@&hON>npW0T>p`bh`PECC@gbZ_!7FvbsJ zWF#>C^s}$*Zk3j#iDp#mbqXG2D2PR|#O+_o;R-C7qPuNRa}?yx>Bb{`80_~zivI74yeAdGIo}%Qplp;_UKMA6dup!B05Q=4MJ|KI>=4Z`>f~C9@Gt!f(h)?vt2Q-((KQUes z{wyAlPZuOFmO=n^w-8pEsjMVXrR1a|6j#n-YFfB|tFvEFFZv}+jcd)0x3<0M_$cxKO(Lk9}58-U=nOwWl>f2Mrd$Al8b_*XQam%=fI>$U4ErKhz{3^m5Tk2{eM>y-Kag%OVq4&gz2>!Xm_ve{#8I21wF zG^l<&YYeejD%7_^%$(Wo@v+q$jCC3-^mQz$Qy1TCH;5*shVxl^y+9Zbd_DSfrh0SZ zN$5lW?x}_tni44`_i~cC(`sdhGe~}42{N6`6|^=V?($PhKiXYUYIdRcR0QVZ*R_#A zt)mqO)qER$l=MdM?a?46o>dZcELdacB3bElx?POojh{(Nqq>3>8wMAz}scb(XTKN?GP zYEv@>{kBzun?oC}TX0dXS0sM-JQMD%Frj3`AQVn6Wq-D;xh#sO7G1-OvNaOYsV4M7 za@WJZ**yAGL5}XU#q?(O`7AzOxy`Jb!XTm$GImuZ1f}Kk)clE}D?6^S+`FS~NLVV# zF1CK_=#y8tKU_OQe*gq4YJ6zsTJ6nuI2?^U{Lu3wc{n+&#E&atpoJI%8Z!HqO83bL zVNfnL(EP5tWP2y5XCGY0E=R_N6s;2>ub-nrst<%se-*!No^v%4 zPQPmQns1#jifHaoWZk0kj#tApD|Z3t?o;nLYzp_efA+b5sIbXwWb@fWD_W0$bs8L_ zJgznLxU=Z<%d>Cof=qN)t`9)TMc!9a@%QgpyzZR0$~kxuB;V-dOY`n2^vS zMX`Siq{96^-ctP9RU1nZL=*G$O{6Z;Yhq^n=~mB)-SQt91J>BJX}1-^;){o61cSZnRB7ED3t<^&)uNU8R$M9UI;&mgxHx zD`9|Ys_j3T*8mx@fof0)bc!#{dcOI@`k%_%4T3vPQT^OdKl#}m>^v~rcX!S%IHNz_ivBCf`KldMfE29!=THoeEoO_8Su%Y z)nshk0i3MlPygmVr+q|gO)EL0?uZBBqo842o7^GDB@gjvjGcFtkv2X$q4m`OSV};w zvoP7~nPJIUZypE99kSWdy3Z4Iz?7t;W~Lk|CSV4-OGs3HE3d~{qIrjXPa^GX#eBeV zF}q692DS8{_NnWh5C6#WN~*3XN6*MO?LTO#B$mV@r=(?wdgFWb$r^2{cyCD zz(xG_8I`3|9;uIOm*LxEiAh+w8QaRk7Yl3)(n=z!$AwV@OkxWInUq=g5$0bb0IwN4 zY^XE(EDV+qie}Zob&xX>gl?PtCjdy=5%;%$Wbp(I40jH(j-ad66#IP|;lqElq4=sJ zM%az7Tc4pRWS+{@LX~gDFKa4vlBx31*}~^m;!FWL%VM_IKn4A%6=w8`i$m+}6>6eA z|LkcsIgZ>IZ=!H2h}IO}7a$ZAB}G)FEt+nwB!n&(*94SgNzD9Oti!bqhD=aQoNwQw z@!woe$&2T~k=year)w5t3A!%iR@vQNkKViD<3pE|-eW!9S|5KFeX>P}W}NVc{GBak zl^&yv=*}gajvKo0jt81U&dUcM6v^+?#Yc-h`u>(rV1VcuT)ZYM2Ai`T+;8Dp1Wmd{ zu6HLd`FB)2k%EUz>B~bJio}J1ZY*8xKvk&ucO0)=yG8;vDHR~?`|$Kamp)O=`1Sk9 z087mP^>0}|bpDYK%TW{SphTkDuA~D^wLDrAIgIm9+^+n}SyW$Nd%vh3N?($z!~Idb zeO=)2HuJDqXT7Y1k5SMxu@wj3CY@`&hFFP~76oP5v)E;cN(T@C|Cq+mSlUWjo9?&M z`xQb{!QbAGX-juv6e%Pgo$+O@B$t(w5PN?uNv8gf8u)lHiKPCX(1JNO#3sGCheSOy z^zryPaIF_O0Sg5u(q7QL$Tfl~r|I3Qg-u)8YbD9V!~ePqjiT|V920Jf0DCUi?pI@*Vy^!*pF(eYkPw zEgF9bAE&N&ZE_gFL^^t1K=LRwU~dWI{Rb`AHI(06A6IwhfBB9yZs5+OGwYp4-kP$1 z!ZT~;3c@~PT2s7eeen}wi>;NcB+Z(Ggjc@*`?m7ijfYeNkQsYS4 zZqfXzXXD>ko8XoI`Cy$RvLGD7WUkTqqQme#Iap_2(Nl0pw4g9SM6~c*cJj7BX$y5~iSGHJaa>L&?iE9q zL;ell;P(1rvFFdkV+E^H-xt(PB8wV=d$EuJMjJXFp(cSdTgX~RiuU2ov#ZZRj7hxK zXd&&`4vwu>>Qt(%6o`@nMRXPYO?+`Xh0iC9X`(Uxo`2IkJ-U#FX0WB%!>uDlqC`u> zU!qA4BatYX*H~H|wgeVww^hEl8d!fPIwiO@Hh7crEz_tM)TB`TUNZ^F7SDpbtCH>sf+N zG`c#cHNT6KO`TWri($j=!@y)e&Hl5Y60$M04;0xk?%ZpfrC&O&p>3*VJDPR8w+0^;Q4Yql+&o4NLtOLO^U8YU?wiJ=*T4^&uHHe?jLFXc~jKKOf!}_0ilD$&jYCUu{YFA6~ zI@!Y!?&v-K!kwqm3Cn6j@5_HICH7kb!lb&i)@!QtmHNDBacG+c%}@?Eo?i2BGMPNl zk(4_DhZ1`K%1FlQ42p`npC1%oQ#BP05QDl0Tklxvbah^uwlcf?P$P~wdCti=S(xlj z%!T3-WK{&aFtMD!1}uHo5X9=!=XZI^%FqH0>nbf?rAjFArCqK=I6(WLYQyL&*$HPmaR1redF?&jqM-N_4?5WL7;zv1+5Y{WB&z-Uisb#|Yp+ux>GQDkxB=C~ zENinrW6`a(HhER1Nuc{4FtN+jvfHDjQC@KQ1=dIB}Y8}Mk zbWAZiubm_@mn43f9U~aTs7|3m*ee^Fp-*`@iK}~ViYm1Fu$WMw}NXNYV@f3=?cb`jPRlrG5pT%727 zB|(`+tMfOj)N6d;zu_H_-Zf#44m5R}C`MY2jK6=TBmwA>O(xNm)eAqd|HEk$T)Hlvn(d1 z@4gm9VESu|aOdoZhQjQr-XH7hvC2S)y&Ct&{rf+220Ru5ec7kU%W{VN`k^l=9>m?R zMBzkNcGZ~zv@yDg%ujUT5*967A2~c;o*heswcl@-Gb2pYlG}pV5GE_$w;zzM?Rd4D zZ)>1a>;wbq=mwq2^!upmE5=lZxwnWt;>enTcH!=op;sU>>R*Ny zlVqlT!Y|{_9X?TG?4XdU>#DE_De>O6WJlftkvOPK5^20(ppz@Vusur}F!$2^HsfD; zYfcPyN0SEI$zeyD!JyP41t!h3*EY6d(I%NJqRyhd>XvPRn+D6dHIsj=OSn|HmNY3Q z7&wOKD(L%W{@@7gr;XlZB6LgzR>x5vyIspcA&05e^;bE`_N$k3LdCES zSDq^euXIQSF0*)&Ua*q}d`OXZ4_5G{J@I#KXsl`_E1PqDzaA~Pmk7!7;<<|# zNI-Opl7P~OzxPF@sa%PT;R~kQ#1-yob*;x4VWuXsz6*}=2p!;zejf))Go+mtd3N`{QvBFG-KUcp?6j51vDF=yv4f;a_tYX<~ zVdX5X)B_UZPCkq$ve)0vFB4dcm8gA)w}K7bDNVX#%dKOU6Y}@u-EL20UqU6cM)ghR zmsDH>AE=sTyM~)B2a)Pd6`W2tJU9D*Ud$Zw91tWm9^fkqQP>_An=}GLO6nC_I^Xrq z)~4Cbje}~h3X*s&vdll$SW>6*fOn%_ZrzONXxysEpPT)`Qq`if0e$Cy;uy6l)CL7V zhLGTl@a5uOnEmn5niFU-1g#U`K>MjWXFz`dY0L$MG(6k7#~~Tr%6e4w=(Xhpf~z!c zFZHvpnhq0rW<`0n@p*k(f*8B1eQDHX0!!929{V<~2%e}kK(SEk8yf_971GT2xWwo( zC+xHN>DZ11567>)T>tW5T`Z7gKyI_`)@Rtl>fe2`m3o{#@4jr7fS9M6x6gx!?Le*w zAVx0Q!wor6KVc(;{s@c*ybGKTc9{dg?&N=?%!iQvjW0^{GQFZ)~B zW20{39jLzQv75|iH@Fa)&aK=HAyVXrcW+xnUNK8Gz;vBqqixB7xta7Wph>-_bK*kj z+pQK_%J{mH#iZlTs5~P*u5=DYV`ELR3lgJs@yH=$950iV0ML$VT&YC6_^{K_`vpeO zRQ+7mu2d#$c6)xa<4`urD33X7lJdtg=kV2btIq&bFqxoieB!SA%0$7` zx0~8jYy!}I^c6Pd&^r!@~rnZ{&oG4#4yY9t>@<> zgHI0)g4~gOFD96&5Ry+6hoA5osbt@*xeEO7-D3MTIQGU#TbPgr7japCbHW@>NVa}! zG?I_a2+Y_rloLp|^KI1K)U5J99)I}(TksN!NuP@PpH-pvR z9y~4&8(V&OB3i_Cz9kPgeV7p|`4bwo5=Gi{aP^_lo7f56uOl=1=<5fs{?1Qs1W&1I zmI*xWyDw&mF)NIkX^Itwepf{D!u9)KqSL9+UWuPgHKl$_`R^N=BfWCpeXE?Ej{&3+ zJQZ?iF(Yu1rfUP|pom3lJq)FDH3d^-S0&gWBm6UpOwCwW+mh*?F*2;=zpFVj$CwaT zV6O>%;zX8=VRwkR&L55nG2?GH@?s62qV!}RveB~LfVm?suz-`ouNROzF#h-{%nX9X zjHTo?t%^#+QxfVpDBar!*L3;4!}l@TYMuI&;KL_*HlX@==KN(|a$hQ+W)$XwW9*QR zdi%Fi|76jF5zO)j-3e01A_3kVQ#$GVFA_aG+oiotd9@+I+s#m=k&i<5$KZ`?p&BLU zn53+Voykw?Yb3=EP1(?ddND4l5EQs;M%HQLd7b(G1MengP#Fth-i}uFotG?Z&U}ES zU#KLaM#MPt+D0a{{-r>*EHkigtWc*r=}^4U<2ulU>3Tin^z;JRCyM~ZfB(#(EKqn` z+t*U;3~5$*L*yPGN9yw3GJJ|m3*yg-=V^Ch12Cb`P4S)(7STyRHBvJeAba&}zQxVX z@>YuUcV03nqDcu%$H}XUCUVEYXzBzkM!xVO*Bmac%e$qoplZH!`F0)PYXC z12*g6&%d{1Yc*rkQz%nb^)rk)>N3^*NOA}B{5gl|H|ps(WGp3*=+5q_;&N4Sm>55} zfP%FRhU~(8SHslQr{9M5=J#l6y&YG08s>>9kqo0`=i#iY^^x#t6F*|hp9RV~%NAL% zUtjmI`1cZDww3rfd0(+Hvt;LF^CZPwzEs_VKE3V@>X&=MjJQlORC~2OvV%(sNYy{$sf8I7Dd=0*2S{FwDb4#OPVqg3I7yk;ju=3w(VPrh!MD4+e`(RXJ{=@1uL~7V`;E z2~pi5LVz*+2A=$$7(p2w(6^RjAN5XJDy>FIGI=s~9+)*lXR#+IW0|9~>-qZp`v=HY z)*vk|KezA%$)-F0^Vn3XTx$4)~f`^ZgJJ zLeSLaP`=!^nuZ{I!-pSv(mu{c54JW;aD*liI_41AGQL%`#_rFB%J0T8|prXJI zOvM#OI1yxy`)JR+j}9bs6_p*ct8Uw;W=aV6?}Ffs7B*r?QXX6_;GY}?2l zr$27E-Vu9vp-JQh>%Nb%-IXdUCcZrnqL$LuN;&9^wDY9zG-W>|+rM~F1oY`>86-LA#Kf|hsvqniI zZ`(YlQ|zNGQ;*E3U0WdKLgM0iY_LOCyMTg|!}cVEEj+(olMAI)ep|AXW01;+kmn~A zh1W#xR+g)Ca6@&0xYx4iG2dk{jeul4`^Fe}QjOjS^-Q&Y>QgByw&?B?@!)So^&%>S z@sfxp7j$0Efbbg2nVQ~)5S@diXg-p60Wb#E7x;0Rar1{yckL+roMj8bvw8;h`VodZ zSl^e&;|FsuS;&E9$M-ib1M<7ffKPubM9Zv=1<3T7XcS3Rsc1=Wwz(c%Wpa;Xr)6Un zBxPqYE%B-{1DNRh{qQN)>zLK{{J+8E{D&*YB@c=e8JFuIePa2N2=cD~Q1V6}*Y^r7 zr>z+ONfSvKmeg0d#Q2wC9Lt6GGRHKev~i!MMy)OJ9phg zplrf#o$iplroc!4xIt9e0)i+=maWVyORRoLJQ-9={gUl}|F*~kI?70`er;o31o=dU;a zq~Op14S!KysUY&vpLHbzp^+uY{;#43{08U)Iq$dovp13ks-J$mxp+ErPwM-@cWioc z*x~`5H{k7SS*s$H?Yl%1uGXY}tgo(~id^^g83_b)*elHEPyyV^9X2-(VFI#-2arVC zy0DTaB1x_bho_S8-=jYY+yb3g=`wm~gS1OS4|j95IHB{oF+U4o(+k$wT>mj{uyox6 zwb9@gpKsfcmxm1$iu9ms?+6ub7KKA5U(lAp^k@4^W`P`eD3mJLCsX>QpRDxFjq#SDVX8hF9 z6x*+Nb(vOQyK-Uic*)i^PEdY4Vdj$gET&n%JoJ*2X%Dn@1AMemb)NpeFVOtFkX>09 z{Ch5PWVQW>iKdQ2jIbrQHbLe;_#18$6dTh;fu^HIXMBFW7gaBX_bhDj(k z2TpER%3=*(qBD*qQfELfkQl#rneD-&&}Z%sWS# zrTr42VY8F>+ynW8WLubYy}ATjfq*_-DjMr+Gq>PMTg667${o zulkp%KasY&?D^9d2jf9jusvd~(Fa~PH|8sW@#j zT!?gM9=cccagU*-s+;TkVH!A2mEC?6m0Dh`0FrZ`0GzZEWgt)iO}2qoLaX28aaJ(~Jw$Tc?rB z!c&P;W`?WRH<18y^ug-0rd28TVE^^o%O`~2js8r{I0ICm@h8x)(xfvS0N2&l1D^+8 z{l_MfPG+i|d{u28NY+{0vdp3(nYemR&SX&@l!iTvjTb!V&bzU~&%a9LgG;sb&=7ua zKApYizRTVS%}(PLz%*K3fSGi+N!~U?vn>HGkSJmzIAz~2;btB%eEG*;aursW-ztPG zW}Z7_ng1Oe*5-vQsbAMfx`IA$%0QdbggNjgpYmg$bddj|ydX=Jd7X24qBCT+$vYVM z2dn3oGT_-WL!cQzcVYOWdci`wdCsm9k`)h^{G!`e_gPYhMGi8h$+S3l5-H?+8~9IT z?C|(kA$pVDBxKL!L`0W1bt2W)|Mtwh(&?Vj)8UMOPb2~9lrMHt%TM0@F19EwjUewc zFfKuG4STr>Rg=#KP*Uj3ZG17W;=e$V4+c&O4;lG#sn?+Ldu-@$c-+LW8s40{N^_ZO@H)cJ0hRK?xpJwjX?rmWiu5{}jlG0it|P$S4_Y^t|OV5{=*g}3lGS?f0>@qAnxxYq3SWp?(uO9di>Yi#V|Vlw4b%+*e$D3M9;zZch++g zev%q?y8(xri&^@q$t$!Cnryt-e?i>23n%0#l^=`$s7F3Yv1LPFn~+o}zMgcn?gpLcU%29bwy?W`-H`3U>jUj9RsXTyXoup>?F1hh#OX z69B(6dBD@JTNP{{7 zCvsSF;Y{1>X90%|l#vp#ETBy_Ua&%nQt+hmKauUalAx0Sc9BQ^KUD5?Eh?#K=5<*pGeQOEHC; zXj}{@l^ziqpTJny;#mQ~uo}r$q6v8UuUC8sYmyI=onhc>?1!!T?pugedBEFl)Qr}wQWhuwE>f{Tcg@l1v02cZCN7b zMfWW;uSfD{(BYOzgLL8&GK;BXpe+E{{=~egefZ*i`^;p@U7RI$7_% z8|*Ot&m7b!gI(C)2>% z*vM&OMY*fvg0gRYpf`NTLXyq2lL8L1&!;`RTcQ^+@6L}2YrbDbogcBmkpRH zuAd4f!~~dSAU#m-sw!E^;P95jUnl~$*5L_Ir7sttL~Cxw`N1bEKUdU9$s#?iB2IL z`&Phuco(Goh**IX+^_pK1DP*A+!QBrcZFTy9|GbHQ zStVm}dSM3~M7nqYb&%O^ohvDH<5MSGis4&VvP296jktgQC<$xx-o1(Ro)K5BIZ9C^ zKZs594-n0Ml|o=~nh{%QQujYRePvi1T^B9xuEiaSL$P4N{l%S9D8*VR5Zo#5?rnn< zcP(061GGVkLxG^h-R(}l``r8IgeM6(Gs(<3d#%0p+JSaYLUs&3saXXtwBcAEbASuyVYzj;`_UZGq@jSuNN-2WWX$8e1P-#A}kI4b#j5z|%( zs42=xD7~h;X2hKV@XX(QWYF?qvo)-Gm?dV_(kn{yfHF)7& z_6Y1eGs5GA(vF6_B>DNrfID@ZNXj1)P499ZL z9-$(6!8WcI$11QD;*zuMxJ$@ADSMhn=oQgyRL-2lazZNPI&94@Ljdd%XF`bHkLvh1(bvYMDkpz#?IBFnXZ=Qzj^=i zj3ZPk1H@ogi6qZ4cS1gJoA8g^^%X$?g3lwhWOHyF5J#;jLc8Tb%Vyg`!_Qea=KlrI zE6jp&A5N^o&p(Bq?gzG2k+wi(R+fY$6W*U3WvReT9B?%^uR<9;eF15@tqW8!*QbE%8H;mNt8y$)V zMLGBhXLC6GoHF!nOC}b4SVxa1k>4Pi1`?2?p;=6A_&eBI$*0yz7G?E#ZA0ic3PCj1 zosyiOj%mM;oZrCNh5=s8EaeIhZd5ru@jZ`Tec(^i)UB56f{a(c#E89Q@pJMUxmxtP zD6!(GSF%ULakidSmW|E1xAq4ESu`a_JZttaIVqsst+rhqi&5F$)JE=O*4{wPxQu1A z$`45!>8Un(PS8**!rU5-0iGd}IratP?9*mvRPt6^ppUL_mS*^vUoJTx(>N2Q8P7I; z_!v|6F=a1POFZ!3o4?7tOBSnieo=BQA(#L?)9@kP3QKUK!%qUbGUpEvz=Mdwex4xN zpB2trD~uD~v%C3Wi<7Y;-@#RjGXN=PzA1m7RdQTS2R-r7HL9B}cy#AK3fX!S6I7ky zky#?_%E(B%U!713dw^1V@CSV8T{M;z7{=PfdOs1?ATsnXRQ`NbhuLG2{*Yua0f#Dh z#R3O~CJ9o4z!LxEbfq#Ff9{CuuSvP%K!@im`eogB0f*#^WR?n?m2!G0kIVil_A7h9 zHySfb|BX74Geb~XSG9!_)ROR00|LJ%hEx2z0^7Ld_&6$l5EFcQoudevMCrxNx};i% zjzO045^fF@4D#5p^2#rK_RejZpqbeMPIIyK{;uAw&Qk zE*!)HSe1a7p}!1Hzt{nZYCudU9Z)8iv|^%N>248nc#gv1x@m6apsa&e~6nZ_C53vGVn#hi#C776JL!KO!Eg zfiIZ&AlhW3%vsCA+heqpxrI02z^JG;@8)L=XEvy?7}FFu?#yEMBpnD)7QA(v-M%5ot~SV6^1$_eOuRc!cEn~XR=VL+W?&IgKE z*ip5l5a4=8tJA=>7o@m=S{W7wJ2N)<-7%#5NH`@h>?i>rZ&rT&YvGVoLi41QP$Usn zs`t6vZ?v{iWy^2EEB%$0NS@Y;nH!Fx>};r6q{dzTmX3Z*zR0}%Pht`@hGAZ@g@JQhc|k?*bEWpVLDmaZL$*KA9b2)$$mF&y}iA`=mcFr)|pLIw%JYg0TpW)iO< zBhsD$)vNDrs4A>}Uom0Tp;afkfqJ3y)`n}cnTJj9u`%dT^XSckXm?7|DdI`lo()eI zCePf^b{Q}!bRgXT}O}UCa;o_ zECvl`QCb2Xp*AuiO-IpL&g;o7_5+7ly-P9{>3yMJg&HO+Xh|Ikm}z^Q_9O2|M*cp# zbxE^OSnpnC8Z&~W%5;m4G|Va?q+k*~rWf67h3yL8P+&|Z-zboY{Qsj~{45777HiQ^ z6LMLCHV$1nd7`H;H=rhrTaTj7SonF|)T)xjHP=}?PyvyTRiQOuJRzT}WpQ1tx51JK z+&g)IPl8h7paw4Lj&wQiX+*lVQcqhZ-jZGOjJF6*A7%ioe*t>+gLAY7cKV?i+@ zX}d6Qboa$%f`J`uZAq$wG2636Z6Wia%t;L+%x=GSw)~C~omc)7eF;70=#&LaQx&-~V#8GD3ONyH>Wv=am zjh(XifvrlsXGo4{(+2Kt6>To~t}Yc!4{zQ|MwA?*%oGPumaYHD&)kZz!v9?I%f*^) zmS$D2Ggm$JfxPGHl(}FltsA6x@&<_p)#aVBVI5iYuQRUVRYSy!vv)`gDPy9r`kO>g z`(Tw5!I^l@%7InO`0UxMda%KIxzG4JuOdU-+@;jzm4sHYw^u14LpF)v6N z)(u6P{4D7{l7hCm*wAcFc7me^qR){``E_HKC0;B9zRNna&t+t$B-(V!e7hzAsnPKR zXNCgTYvTumt6>1WnPL0H#N9&KCg%6qQc~_*8d&HML0*q1_=XZWS*t2p_43td^Oy7a zHiHMYr!W$42&Vmv@tO-ZAE4Z49V^ISTmvrOhg8PpOxP1kA2pz0VRWt;w~aYx^z9K$ zx5x_)cMig2nBi)R&6y;@P|_GoUc&%8L0}2oYYh;tbmq=N!PUd}kw{YoGdptRELj;l zGI1x%Z%X9%ajSah0*1U6{*-jIyiO{luKpc@GX+S-h!4_d6p^wOCh$C>xPi5#_=j6t7>+_0O5VDJ3zZA zqy-xAbGZ@*N|<)Hy1>q!1Upo+=S7-X7P3g~kBJ?M3;hct%b0nYgBxK>Bzes_>mWIF zi(ou984yxIJJXX{D;F)_!b)nDRjIwi*C$Ho5@6Ny!|f#}EeVSVKxBg(yK)A<*Ifw4 z^@@fC+6796iF}N5cYG6G+FNK~sb2-`PF@WGW=nNx*5!u5EA)V|zxpOBpz;9Oh=u;V z^V<8KeR(*&@@jvHDnMbg)b5J}A^gq@Fsb}EL<3`FhtU2~d7yP!F;HecGa}ODGVB=l z-o;#XHTU;Vv98ifnwEgGj|wRqfUwz0kU01B{xAKJ%vj%oGY;(&&RbJozS(T>cvDg* z(as%U$N5{K#B^+g8`-{L8O3?$!$;V@)m(GZFJJ4hvD=3*Mo9yPqQrB{=~ti7n|`jE zuqEktet{e*fUa3pj|R=!_N|gwbF!L4Z*&^w$70UEavs$(Lw}IM|6uh2eSeAI`!VO7 z;X+JMZIVsA(6{MACV(&?;O8M?c1>hXh@tXOC?P551%aJ+%U;)}=Rs!&%@oTAHuQ7E zEBBT5jUCNbd|5&6sZX?F-$61OTMis5Sr#mc7y%Sym;S&{x$_>3U0Vbbs;u@VcoV|b z8u_>0g(;5_76PndrHjM=>NPh#$!ZHfwxR$vp&)Dbr^&C2>$Dbo>G@}_L{j)f|Ji(G zYTC;Z=K{px>yoj914D)P}FTJP4V zeAD<(KD7?AJ&7leO~a+6(yXjVjnE2<&+^<^6wX;S8UOBF9tsoBY(o5r zg`$KEkjG9#!{-R*%b81EmHMYym0{zW=a``7P%cLHRLzyb zKhOUlxmhi7=uTv&mme{(iH#mLi2SLj_eJH~$nOh3KkA}aMT%c;(wJElCRr}IZF9K{ zQkg$TC3B`)sQ-DKy{_tf^3Qveetwp|_I`eTeq8k*e+qzqhkvj4T@C$Cvw=^x<-KoqikT38`ji8R_haLI0sA1|8u;gV>au>tkN4Asl1> z_#ckzI6O$;U3_eC+x?(vSuhn}1Sh0pUaw>&8MvPyDf&nkbu#smDoZZ#6PX+Z&*(Lt zRr;P*XBd=~d-xUH!u6V-rO2wG=i1Zp~T^vqC}NOs1lgssjU z?4yMo@WpDK>2L};qN@30cAE3U|O%62C} z)D+}!H+U1{rf}oraNiR9;ttWd!84#5o(FWCrGXA|#f`PNrtRDWyN&Xbc2%3<1;0Qn z2c{?Wk6K@;!3Jx8_d1w)Jv5EJ6TZZ3`o$2}Ks$A{)ko-V%Jflw6;92%U%*_FVQyHY zg*Em;5LLw7kL`Vom~G&jjhTTqWmi1AdMw1pj9i6>m71`-P(u=WyappCjm>PB_^y6t z9aD#tUI^t)IK*Olf+<6)c%rVlblqZfq5Z-Dstx7m7=K{$-6qzxPs)O&ZhC4sn5}gV zzf<;6L+IX7?uKf!RZ{xa!W&*r$?pg{w1>H;27QU4iZE!fK}5B)rw8CczXk-UK`qTs zuo3Odo{C1%Q_>~vUp0yOAG6+nZ`?Oem|cK!g)gxfhC;Ll8JHP{i4nOt50&u#{H{|v zj+3`Un$W)fw$gWz@5Bz>t*0lfnL%w&R#ivSL#Osr%9AxHvfq zttkP9lJ$FQ)J6?z=|{k>NH8}|1^t@56mvd=o=4=9G0PH5N*?Xi_3gysP1YusAKmmd zH|eGj+G#h*MuaY1g|}wTCrJ-&C3tDxNZRYd|39z|E1D51Xp#|op^p*6<+hmdQOu#r? z1S)w!km~wE(M35-PKN-Fw?k?$CyzTx#Rk^|JAy(jhWn7!wQNPv(U1)qf9t2X*HDSt zc!uDWY8QlJL`R^{VxH8yrY6uuiE|{mL+fp}rV^5@zC`}9-I7Qe#`Hm@)HQi}+|=DF ztAs5YH2S?hu!6va`YJD)scQLk68uE&^ypdgEQ{Az`aHYYaA~W>6{9T*YMpfnE z9x>*o9&Eg9lSTE`F?6FjMfibUN&#CoigXVuaxzhXHhq*q;g1ao#m(CY9^#tXl?sq1 zJerab#8{d78f&K(-G0To*XzRYOd>d`DQ1sGnra{qA_5b`fs03OY}VD^2qY#6t>c$@ zL`5Cw1Jx)(z?!##m)Bw+Wur*EBWA;(6F<-oav{k(!AKsMVd~Ip`1MYPJ+R>;%bt+0 z=zxRyz?kPOg-(E5bRsP|krPuY{JoH0u!vp~^U*KxK~y*UZqF4`g{aKM(|>E9t8i5r zc2Xcd7Ni?^n3G50eUUA8@jMh;T%O;$iF?CR2~9hknc-XS-D%Bp3{wcz%Vm#%8`{JWgr1{_f0+TU@#9y=&rO@pEr# zW&092UR9T+bK0ri{@eFYw0R^pY<;Ju_=&%pcf`xmXI}28k9O2Jjk}kkY{@1OY4tkc z1%E&EJ(}%#-9Jf?#BAX_QzTRs%Mlg6HbwU;6E1GZwnX0W{h4Fv&*kKwAqWj{7p<7- zG!4f2&x~Ga(ab35`o#{0MN|(ZUjBj0_$RW@a5Sb3u(Ztp}&4pq8B)c_{nrpb3$ ze-J)HCIj9OsV}aDrLrN4p_5>b-ys5>qHh2Ca<{(=F{R%D>^}G5{avn>>05UOXV%L@ zZ>hab6N+;bYn45XdfR?c&x;c=eZUGl*-U=2#BLMWzUU?iGAYf>!MH4rb2&M9ci_BN z6;GJOxz#1?WE-2L6-s&YeTyb}wh(Z?-F^$CrK#+Ir1Yr2jeBCH6lB{qUUS*g2de3?Gg;Ivs+OrdXjO>M_)t}pls)5|` zTtr<_P-z>!XB79DLVR-=3bH|aw^3kon%pd_s!VfksS+^wYXCz)A;atObB6mod4k>H zS$D?^>-{#7yZXyz>hg39kNn||SIzK1&6k>Its8CF^?T9~qPGb_WG^y$*9M-YKaOsdthusHCB8KOp_5Q>p9Qy3~ zbb#e20+mUDtY2U24y0uHYWx3kMJ;2^=H*Tt4(IdlG8GIM-8|aaceuBuEh?b-ezupzi^V zLm19MiuRmKuggjs=}seOQ9?u*=?*qM*ciBF^sFw zqLFfefl9PF17UKBMbn7dwVV`{g1ePaY?}a`(fF4{QF1HV_olaCRCwv(_*&@@3GZoJ z6o_9x{?B_t71|$a2HM69{b>sFH#63n_@41ibscb0K1K;GgQBb}9&+mxCi5E7OCpXe z!x8ZaI1{a2`kxG`B853k{L@ln!mzvTPIQJpf8uUviGS0f<$oatm+U|r_kdO!IA;{O zZ;e9M2lKqW6`_Z%k>EH*h8OfMsfjcZ51{W$Nea<^m(u zj~N@;hi=ifgTah=7uh+kQD4+HH?FhHF(3Ch;q?%UXDli%k<4JDAyzW<%M$}R zX6h$#1q)ueW?yi8XPXI!)*iCU5(Sg?(TG@xYq9N+2XOfcHN^y(sm=v@dlo?8DmHVU zN;>cwc#trWiT?B#tu@L%&TT`ru`FY%ixg-60f_7>rICtz%2SeEX(rREYqWWkT+HVW zOAP+)TiE`(xpi)nd5lv#*s6x(q(Mbj_4ZL!%D#QZ)?F!52uCfF+weO5qCQ+74J>25 zT_V+4_Yiv2G7oKoy|mty4q~=#YkS2&B#{aYEInQDr~guF_Xs}xi?ps($RA0VaUCs5 zS6F0?u)gZ;LX3J%yVqm^i&qz2pu2~k9>BKPhW;O=;9Di->B{~m52_v>i0bbunXB6i){LguMz^VF?)>;D z`EITL{XO^TReIXbiD_hXl%jo$WkF9UVXbS;Ci38b|M27+Bb*8%3)QYW-@{6S0twkn zSczXSCJG}HkL8JJuooF2GWVY^28R-1+@T|jGxV>py7*6etw1J1C}>dzdNt%Yh*?#1 zDV>Nq5n%ByBKe!9pg2$HJN{iVI@VwR8R)gZtbJn#1bE*9{VMQsNj6golFX!qu2>aZ z2AIQFDFPO~yq{Gzzkv8HfX^WE?Q?;VGLlOX{dXsUp4a^6&jRV9r)XF1sLT2AOAR#c zI!5WicV}neRo{p@o$;saTctvz5qhz64uYASCEQv%xhEi3J8hFJDTK!v+A}F~{JUI% z3}5+U>|0|2^IZWkzdpZdV_)H!2@Arkc;sA8x3>X(gN!#dQZF{s26n7eCMpppRoQvO zGuVoTvwGi;R6sB#y7vuUS1O&iEX@)6J_kwQWN$(;oYv$+m=cf7nDk6l?TN6wx8tLp5-1{LxekC!Jq$`OTc1uGZpmO4wKbK z7k^yIlZj40ioxJJl!DbZ54CzwatTk~-cKhmEc)LmsGf=$99Zams_p^hJJ zmmITmWPvuw@|iFO7<^cT28F>us88h*FVGdK;MqLC`DclZuhK`Im@4Jnh@1g8I5R)T zs!3+R2GZ1L?@b?)5wql}eXFyCar`YB7nW5YiIMjF=nrghDJ~DtfWiZDY{UgYm#aGF zs*l^Ol=7F@i(BP0ND6qhEQfjfb6{7>{m=u{1)5J+xJ_k|yI%e|DvM~WAvi2}+0JX5 zI)T5ELXh*W$V3)(pbupq^#;J5L5clPeY#%Pq0|hGB&D!#v(#Q$8T(T73~TrW;jjMO zi@<%`U8;;m_3fWlcJJtvoK%Lnre zDP-T|1)ln>UdvKe8bZ%>P@XwnuGmFGOtRDIxz-rtPB8PKw=L#edHvxqR2$2itemCc zm1)y;xKxI}w(4xQDmdxLN&HQCt z;(6*(Ib|N8`ZfKL5(A01^tyC8FS)}3<%SG5b1T^Fh^(z0W@R9eE|mWLQ#@$Z1VDye z05TNdI_#|qAy1qbyd>_WR#*cSInk%oDxlHdgrBf44=RkDDoJ6-cKI9;8qqB15&8qzb;qoKr_c zGD$U^NTeuP7v+;)Oo9#kepzyb?r?VnomrJHa^1Vy+aTwalvFMbe9|U@64$V0;4= zqWyo9#umx6O_)CC$?tl)54hrUo0h4{rAUgb17W2EL&JoMjnI04V|;}E?$06lybA_F z6R+U3|7>%U$-g5y*qB^7~kvw4m!?Zh-9+5##U zJObj=R0+9E7TTIO9g9@Eo;s_m^2tKe#=Ro0a2;mDEMLWw_Rpsa%-l8qQxI$8WeLquyN-#y& z&Kj6K4*7)shLaj5e%-Z~sl*j`(dBR@;P_ut?U#?^O+lqeC;DiAN&6`G{3Vg~uxp{~ z4I@k2`KTE%r_=ksPS>uAn{}?+lZD%Jun1IWDu|#Vd|cZd|UNx5mGBzS2S5 zBntOXqP7OsZFAsLX$NEPeS`d(!Rvl4FK^3wADGh&J8}bMa#;4 zJOl+?xB)XcpAE_YLAr0jU*UKZqura)vk;H)#5xCi2INW*E??t9Ku5TtHSm@{^{6(&4 z?iM^ETHP-m!tPZ`B*~cTqAhs-m|%e2z&_Y#y$3RsE(qa>`umsWgOAhZ#@$b>7vl1e z3iN?;B)Y%EeW$Gn$jPEK?II_$kngzNDX7t{hHs4SYQHPA@8jW=R@lVJXC^)lw*CNT z1Q50e;_W$7uC~?#xo0G~d9fZU=G4e787GB#t}aaaix21cs+fd3ETM)&nlR=yE>ZS>9H3R!*0Q>a*9KRF*vR&~@FJx!7X`KHrARnnvvo|5vCcy43mbBr?ajy_v zoj@E7U0aN(>F@%W<#3&*!|nVYeMi*shHH`x_absjqU8~h1xyF*8}wW^(I5XyevYaC zZ%VgdE5IY1Z-^OTPI4RUldtmiAKr%0e3EhmWhAt3-vMWr20ozSlSX{shq^nTiDkvMCW2%w`(QD4W+DfI8*QMLg>}m|fC?%8~#Jh?_J^`&r{mQ}sVEb(vtt}8!08NWvwg+7454EfiKsGP~6bIpbq=q)=P+}vn z5AkZNx$j*@2Vo2`Fyqy}c`E+BC!gl(jVwau@eVXU+zoQ-AM$-qpeA0gusExAwZHN2 z9Ze@>*O@_YoB*WpZy?$p3MjN>$m(+Detj-1f(6e-70`P9d7Vrv!=aVH3XJz*pFyaq zC<(DCkyMa#~lr89Rbc#Y!bLdJ_|6uJH zR17-lu0>0kuNerVkoQTZ<1mf1x98)`LkhmRv$ZH;y(Eq!qUUGyNedmEo*QN}wI>%E zmA@$>K}d(X232}ppr)2}X*32}XiOzli_c>R++Di1;g^oxwWgQ6kwWnOYCSVCXz)YG zFn0nTA4)byrkvuAxdM;p;X#4sM^SHPf8O1-ZeoY6?-L*c5h}e^z6#d(WQepZ$&99% zIYJr*qFDE?rfV`yuI6l57v_#VRhu+cy;z$hgemw;<;?pZaDu++N7j~AHG6ZzOoaVb z;91}u-K}Da3W1{cH$0;@f_Yi{$uX~mUc*Hkmi#}pN__*q$$P2V^nO}clJ~-p?1rS7|onJ`BNAyc{kEvZa7}PRjZ9K$4z$y}-Qaz3iKiYjYk!1L$Un?aZ ze;zdbZVLel4;;5!x(AtHaH`mM2Mli9^Lr=%RSsNu-eK$UX$JoAD3?>WUe<#{I9MQs-N zvoRuTY`i4(eGYRHiFl^l1`9OC0%6V6!Tt}T!zZD?P#H6LNN$lFa@$H+;^lSt`~{%h zgHp6QVNkUIJ{DMt0RdQXYYm~hlcEcknLESP#y+b7kLb)OzXq(Fnl!|EXI^w+a;;T2IF|CZ4zT+m79Ti{&QSEw~kadFpd%EnW#TX zD*CcZJ;gl($F>;n~SB83pd3RpPHi1!V6z&2Rq3|AmJ;t zb^}~N=deNAId(e7_kHum1gH|9aRs~03XC*JGZ*4zrLdI4*zyhbU@pP4=Pa;CGe=I( zx4xlRM=jNuS|urTS5a$$Cb<@Vf&s9m29#4-`^T>6iPb^XE*Cb*j?uA8Z5@_;$NHoD z8$OR`XPYwo@hrO&BkPw@ePE~it(Ee5meV?uDs!EP6aG}s#L-Y%w8BNj;~q?aZ#Uw- z`TpolbMEy2(70ckv<;CZKb}S8hGPw#Ud-`0#;djOhgoq8jr76#Blzhh9V8HJfL>GE zp?fn=`u%Hj9b!?5p3hC)N+G4%5Mw>O-{y_(#coVTgcQOXK--UQ_1D&E=daK+jU0d?F_Pu_q?WzTlp59MeVj0wNt*R2@wy$J=2V!jf^l zf7~D54mOk{{k-1k88Uz!HF9^3=G*rs#c}%`{6T4`S_H?x2k`IxRT-&$11Haaz zYjdo&+P++!PY*zC$|Aze$D3O|ekkU#yA*SX{jP|Cl8D@)HzLyO>W3%dRCC z*)X=I#5iWF@faClXI0` zx%H~d!SqLNHkk?Mo*=(cdZ;i#aZn?m8ZwnF?o7i*o9k;&+sIDV!0jNA`4d>02vhq+ zuuyj$u59*GEEj_1i?SUm8u&3S8ujHvY3k#j9A?&VF%_;3BrU8w{*IbdCAC?}e}tW4 zmz-~3iJ~X1oDaB%KAnR{ZWXRl2&d;y5!1~*`FbNFC-xr1@=?JTWt@2Z7D>!85se

    %RG{40z!kt6Q1 zIIN9cO5Xd}{!Ybv95N)j5O5a87Wc7(=H%7+b;i3fKFTw8Vt>{RaLwqbd4Zlw?96rZ{TJp9uWOLpH+L+t)Y`jc zyuWBU+zT(&y`y2`)zGi?tvMhU^OJhI$P7a|MvZV4_aKXb?@rpswhm^Jz_cwYTs?Sw z=g0ywz3=f#m!92^nYpgeatZ5PQ=;Oas;7MfE1EQXCi8WvJ1HFImM0&+5}te-@Qq=n zV3I0-8KdYN1De1Vt+GjDMz5YLD;ENZG2s&Ll#&O?zpd;tV)G03$PrY6295{7P_@`d zx3Hxcg6$*g0ElZ9xwr6rJb{e=0ka~e-?z^(@+^Em+C583F78@_RkD%eKZD%0^DaiB z4;7NZGxm~Hz`}4&Xi}JZJ4|Y!eU3~3+%gOkf(t`Zu`H5VR~Xs z6NDG)O^-MAEHigtHS1%-@RpYrqrSyU6t;N!=mYAk-KO~JV0kIrc;N@S3iS1BdgLb| z`GeTUZ%xV=@1qqj8uBa5b)k8fXVg7wILZ8m%m2;t-ChCSmFs)dy?JAb7ft>dn=+b zJ$eBc^sH21o3&xE9*7=;vr_rq6A<8?9gpKML+!olxVCj!TB}6Ivg#*%DS5``r|o|W zpen|_(J&g!eUWHyAj49r-1Blr)Us3dmJx%KVsU`K%Fi@}6y-|hf~c4hbeAbSjiE)C z%Ci%~4G=o1Sk#13)v>oEDFSBy0S%B=Hyk8ZwTyVX)&FQRkEzF33qye-$^ zHVi4dG8;$9H(Mpb(s^g$NrS?}m{JpA#(V~DB3szmzUVk;Ix)0w@(yj1z5n+y5B9*v zsOL@;dt^WP%FB#%`KGI8nYKPkRW84iDlGseTCzX0n>*>^j??!QTt4^`lxvt9`tN0G zV6aIby7M&}p_XUKmN?Ee8wQ^0h+G9uwp!5Tr{Fzn(;Z=<+wJA_-90;kRu=Sg$1uF# zeTP25`V-OH!_h`niffg?1hcAa^7vUo6hObB8JOo5jJ~J4h7}LWW5sWAL+ktk?pPvJ zj-~qrY3S`y-D*q3!^FX^rJ@utTbR;v%uySp8h$HGEuZit5 zJ*7_(ZA5QCc}fi?{l#jt)k+L0H6x?TgH!9y>rx$HmI`EojSi0hbt0asVg1lL5!o$( zji8m2$OB{;BG7XzH}DpXOvufP_`MD4YvPDC0oc$aH9`6N?pmT1n(`hT116}!`}yXe z+d=KZ(zhZu~f1*7ayIGTgTA03ty4mc7uvj=)!#uPNJ&c^mmv zpE@sR1g`BJ4l~_6P|dL6)%y#y_@UfqR?!&tp81C!908|Sp#mEQR~tmL5%jkS-eoIzELW_NqId-&3m|Ux z+@hk>1JRjiRV|{r<0RbEo?LjRdRR_x$q`bzMfosG&(D@=@y~D-E z>-TXIiUY+Qu#t!ht3!9P-FRPDdW=i_T6-H>zUl^>r1Fl)#+gdjCsegzbuq%ouw}y2 zA*b zZ&_ilRr~cl1#`z7)wK3;#s<%w{4$ViMXn;8?ye`U4tj7a7fH?sW z=8T>v!t?|y{zw_yGTdUeF%Rl-op%|zcsn`me*#EDgFIobrp)sx^dN$A*0Jvek+6mT zi=?v=$H>X*KGlwy5h{VLgQvKokHT?W9i~un(qNt!w-tiDFZn?uzxv(hkt%{;fecHf#!3P!7Km zJN32w^;;`a|45wW%&MTh`{d=HTcEchanXfB3VI{#%f%(unQ`h9SM1!3;IrE4V$zLy zrugTPyBD{JFS~P`t1%2!; z_5h}%)fa;?yU#hUUC^p}8QpJNQ=9H3;KYIcn*b$oF{XXenQ`GX6yR5@C}=u#`jmdZ z`d+YX2F0a8f?M0rxVw3pb{zIR!k`XIcv(Pm; zP^PoWito(<<+t0e^9jr>YsI{=*%BflER@KUa`#_vB=oggkfG=sO2Jv~HT7nR@r_d; zvw#pF?l(V20f+TE+5RaNa`lZm2qStI99^%o#cbo=#PnT*ntbMO7o=CpJwlUP9H2irEz;0K!L6{5kF=1qbD!ZHh|a=0ZjnRbW_) zJ`P|0jC$E-16N4kS!+~}s7;)7YT%17o<#8-T0H2crjHP@fhO3O=Y&1ts3C}C$#2cp zK=S>jJ3PZ@3FjfC(BD#@Qz*c(lN0xq8_cF<*4?!HEs6({;a)JnCELaOrEP4kb}yBF z?4R8%=A%n;T6Lr2~{S<0H>0eJ`V}9~;;922tU4RoWNeFPp6)Ik1L#QkbK|$6$%l21ET2%H&nQ|$DngT! z{K(1E-g_V>9~v)Kz2YbQsQD-9&t)_;V9x!P;)Q}B+y(My1axkpC$&^0IXNV)b zp7QvHGMwTQKS%|l$Fm2S?%RF-dg{yFMCRox2kaZt`pU#imKxTN|5CZQ$IzWl|48Xx_NaWAw#?M`H4T)^36qhfhW6Sy1;^(tIq>x*t?ETk= z@TmPfnC5B+3!#ERoEhO&gi9x413R4q_DVqgp~273ztcsgE8ZhIk6B=vtos&yyL}7$ z-{0C(SOX>~&^bmJ*Jth*dHz`TK7q;xlC(oqcfw5k3CE-aufweCJs;Y0DpEz#lQ4m! z){Bkk9wrAZJF5$sozC8*S2wGJ2v?E+3clFkxsPceU=4i1QF)+P5tvhLksx&5#>yfD zO-jj`i=R?q&g+^Z&V)EdUvwsJzu%TQ*RI_dG;onSPFv{fZVi0Ut+it~iVBGC>g}2n zye_M9XIrd0_WXJ1PI>zxiy*Mp+PNNhp(XN1Qr3IZVl7LiIrTPb2rol_P81q4AuS*l z3I=I?t?HVq{{?myY~;u#db{&)#<%yNqGQkSK%zC?_B7>x-|=>$se-Duwtf!zf1bSP z(@p%tyZ&_2-*_|H4tM`4BN2Wma)gyXwoaj;u3F=b9Q*F3TSK5v9M(?B8rW_HUQUt| zkSBg_KfEd1;-!><9qe@>`^yDR4ZAqX#Zne(Us{Y?(LhdHOF1lmWiAtZgLZ#I;@|2% zc}rQS(imx;SjBT=1s?fSa5XeU6KbXHLZ&Wa)fW)NxOzhxv~$7oW)J*&3W8?Lb*%P^D&8sCJ4=Jkg9j zmK(-tTdEyThkQAaMp8L!zaUAxDAgYa%oM;3P>bE1JnU{x-?Xv(9l6A8bM)7uJJLIj zeuOuEoPA3_-OhXOvr7ZWl2`vazJt>!C#j#dzXFajT0=Yen7pwgvMH%{RxX{#qN5Uf zTpnR6QA)nc)+bpkqj-f#Jtb(EHyWin)pGrW@k_w8J()f<=@?|lwjy&(bmT;U0H+v#_oBN5h6Tq16?CVuC z8EMF-qMF7<7mC<^Ph5JMnr;`?2B&>QU5?3r2L>qT{1Dz{c=lvS`ssAJCAno6QKhLnR(@zAPKxlMAnfIiQQHVbUA2TF9W+cy|-+wFJoQC5C0A!F>$3ka*2 zmvkR}3q!fk9terau+{(-63{=>x!`#Jt4J5Hr-qs`TpjE_DTMw_-$aRWUu4;>luGX! zbt6P3epVqA76?3IH0{77FjI?`Bq*GUrl782SmmH~il+e05wpe(v|5I|V#u%<(ejB$ zz6(FuNTl92U|v=}Z}sQlI?a)n`_S;#u)~`+#eSn01CZaCiJ%?KOccGMmL%L8>u&6u zV!R1+O52-$uOJ)pyP0F$IR)px5Yj%xX5}-ZWgyjrH4P?f-6TGQ8 zdrhOlb^6Bs=B<0EiTZrqYA<3`<70*;W zBEb8>LHjJzZ72tZZgZ>gu0vV5*d>Sa!(Bkex~CVSl6j1je4NHSQFuAAtTnQk4RN5- zHCi1ruKS<1E;!mYmeY&pgM2evg=BeDBh;I^&u{bd*ih}Ok@hYi4zbw$Oi2M^=4Tm~ z3WHF<+S@Ujs(pk{P}4&RD>Otlz|f0g1HUxF>z90Lme+G46ykhD8e1l?I<;%&|@pIM!Kd_t$#f_{aKvY>>_Or8U#73X3t?Xkp@mM_Y@>^Ue>}ffYvOdldhz_i-e4~4Zi@#*V4yOGrjd*WZ ziNI$1m?QFyw`46gx$Iy8-lHak^cAauok7)W*C$Qh+w_t4n@zugfJk*L2bxJ!9t)aA zT_Ek$IC1E|CHIk*X}%}0(DE~uBV)G9PmAud*E68Ig@1z0MwWeOI-4@srPur<@#Mt@b%zNUI7fOf~sN-HH!J}kWu)4*TSjzv+@22}zpR3IjE zx^j|4JL?3|6qpnG4$<5HL)CkSHSv7mqbdUWkt#}+t|Cb9Js?e`Nf+r-rG+A$5Cx=H z=~AL1MT&s*UP5m{kS34_0YVQwkPvd$?{j~@``mvf&ojHTJDc5^Iq!SkbH@0Etck@o z_CX~2$m3Y!_)#yrA{|BWH}E{S$%vwB+tHOWK-(+NGQrh&rzR!S#f8i~xQD2lJ@@+bNMdDH8MKo8<;qFU+3 zMe=uDbVe?9b}b&3CC0?rx7=86OFH{3G9J+vkK;LGheYfM?Uaw-HA7#Z?h|=gJ}$Qv zaPg`G>2y6G-s2ePjP(lBr~9F`^c+ZDO^wo!eN2D7U6Mnvcr*vy$LdO{Qz)Xbs&?Iu z7IT8NlJ!NfxeXGJ9;Yc=UEUJ|Ft)4dJtvcmsmL8>V7h3a80ZObwR_>mNTSo zen7}cx{skpB{^({Qn35f`zdIf>{Rt#<8iIBEg3EmsI7Q!+aX4DhAgUj*tsMhA4~St zl1JBNh<9~`05jxwDJ)%aPwpLHa-1R$4_pRDSg({mU~T=s18hZc_rGz?4>)@?v%lFr zTfT8kH@ca5)StV+Qf0+uwX*jKku*+Jq^$iqpF+S8f@qo{i)b(Qk?p|MVC#HLHCXz* ztD<{%??^n2WxPn7s)_ zD)i}Z(H8{OzuTf(Xo9G}gfY2P*)w1?Cxd*c5_@g&mW4*)pXi`HKw#R+5 zCbtAQ9tV-1#0#SeX`I`40TfDoUv71T&}0i#EDsi)8iM-R$xf*i}v$DkxYRC(TA zpRS*_l|DFsZhV-NFs*`B>w@v_1Mr3K?sv-}qyMO<;;c$08Cf>rq)GY2Gs~wbA8Kz} zOlYk(_nt3yW)$pSvQFt~ngD8;*B|?!{cYi1(hqFf^Sn+4CaqQ%)Xuj&R?8Pss}2*y zFH7zxGZ(P?X+DQ5X9yZ@-0PuVEnj0rJ|F%l7D=gawsnvm4(a$r*!t4PG>a*();pY5 zwi#_ zS5uw~^8?)Mhet}wmP{b$=$J(l7v`S#zrT`n-}4@Vtq!kq#L^;6Azxj*H(>Oo2s`bS zHh_?FUIz#%dh;ynSZvo09eq{-7a!9<>rc%c zS8e{owDY|{9vVv6KpGaK2onw5d88uCbfPE-+SXBLW-b>H((_nT~y@3Dn!2$hVg~(_gi!b9hT2(x8&7N+H9aT8lON$BMgL|GKSRf01FZo zCOH4cRRO?aI;?5u%#~G!&3vz;F#PtxP~*o<; z*5UK@kg=hk*TH1m;*x%fdp@Uq&F+wK+@gA-i2{4N#%&>RFDM|A+dhp1GG@}R4rYVU zmCK=3%!s>k5t51pUJakIM4gq3=Qm=z9ZbIIa|u`{BhX8&sc+ebg}1K2e18Q?ZC+GG zvfPI_Ptqyek$a3jlI&S7m_*8qIyu#x&&$kwnLM)JD(7|OxaS0uD#Xcu`>3|G#-n$m zD$zLbY8hS9L{KA`t4Za%6Po(S$l8?<-;E)kMH%mHjGGIUa zeyuxr+B*>92!QEX0a{wJ_M6M$PcytOWnPT}`p>(0YI7-numHB^nVaWOybWW!f#Kf$ z@V^O|yRD_8k%22?=T+TziRrVMR)E+3kPzboFz+O4BHrID7IqC zKERLXrHv-JEDYO(ABUPakbiB7ai}5v)qe9F#BbQFoRKaET|k+28f_FN-zUz0TZTF~ z)n_Ahr4>`p^0ZQ>AL%#c;U`Y{1QJS`oHkNfapMRlYe_j{h9x2aK5h;)QtORVSql7!JDVf< zi>#1liOX3@Qo4d0$a==mHYYXkCDN_o`DNN&FZ_S9-=nTAUhihO#-(G@$*LsfcvaDg zi?{4n(l=VQ&mvEHy3>rj7t^L$2DtyGT$ANfNKUlB6Nn6`5FAk;)wZynrcW z|K8V{$HzC93BTk0UcTr3NnYOzeI`qAn4%wLW#M||M`wT4h;@A3Rm~+!@}3^v`Fs0? z)xYm~7kF8g_Nm&m%ea~iZ%7$qyi(e$V?q-bpyWqFNAEi&BB39po@@~LfVqzJZL!MY z(wF??3f7jQ5^>sR_4NEmI?`7@!v@A(7I7my)bBpW!);&VFb_>*N&_Te;0cVb0PuCN z=RFQm&amlxEF0}bmtnJ*i{}yO)O!r%4+7-1g4W5m$EUsz_^}9dMx}K;&aiR5drB{t z>mFF=dc&=`?@3ekut-BT%^#*hGWZxozCdynlzq0t`uUTI*kh4d^T_Qh`YBPk0lB*z zQ_qLlsh*r^e|s)#t>E``L8n>aDQ82!z=wPdN&f%Xemv!sQuOpA8>4D=3jZeZ48?_T zw^SJ`ThVMUx*Zq@EW)*$&%rmj%asQtt*G4XnSaj;O9*lL+-_$zxma{-QZ3Ms%|@qg z3;w(ciO@N*IE48y9XCMHhdSB?3b;GOl~4G6o!?Ny%fhWYm$hQ&i1gR_Zj@dAXIcgG>an~F%N{qX-$i#1$}jzTGBY(TmhNgm2X@FY2U_d4?<;02Zua; z?RkmwnIy&L2$BoN0uF=@BH|y8L0g`p5-u?Qhn(ZkIp|ze9%+A(Y`jJe>XZk(v9W{v zxKYrh@4dCJ0p)2qLT&e3BHM87l6a`3fzou&#&m_e!I8u{%u&(E^FCnLWFZ%lKX-Tq@cpD)$Dd_9Uk_+PUsfk2f2)*L}R) z9u9`}tF4?jq*$aCdI2Cc4m=`uH1O4Y z6|RC?wFZ!EAe+`*dS~^j&t0Q;(?}b=*E5UpKq%Mf0U1+Dr)QvQ*sP$WW>- zyF|+cnPXinTbj+DaYm1ZngXtVHrb2{4(_Haw|mC!E2+iKOoX>QQu=iYG)Ehauelvj zC-?+kG0Bypxo_?+=3$sjfrii6Di<`WfM1yW?0>|R+?V_;IO=v~x6N?A19hWfsjlhF zmAFu3Moq&@FCCN~z8akVM&QtUWi+(m%i#*e$D(&UOYP*l2b1i4PiI16hiA#}mtpDe z`XUp&UaIZIqe1RS_0YWs)U96Yhv8BeLf+O9T;&eWTsFgKm#L}{G5w6u-cicBgW6~y znsw&y%L;Aj)#H^QnuMu73uzv=yx*$wCFI&r04l}*4=Q1NXdlqe>}!6!bPs>~4=BCC zQyc?q!_Hp-BZ=GGUH&wkbH^wNSWD-#&+^!hpM-J@ZLJ7d$wFbWwT#d zXt66o@a?H%Y5*90&YX<&LiW`BT@q>ZxVnn%3 zbgAdptH{YJwMgp8#;Gbbof$fF*da2Eo#&#jGaoqZFtcNEbA+8Fyu(&gn;}k=?>#O$ z-log7dEQAQMlw8G*qr5FMWIMg{E|~V?Ve}f#Ut=-%i^4aXXqQZhcyE$o z|1ZrIJ6Yy0Zcp%jG0oJoHPLu?#?}viBFrgGMpFYByN_+6&o%{F8I3BmjyBjFIHAAG zRRI!@)-j?!3V0^T+8n8$AJ~6I9reriiWq=>v7n$>C^Llr-@{l%P7w3~`7irxynjoj znq~MQ3^u{k7?IPYY~dNoy{!0j%?x#qQdGqk7vCg8mmIQO;# z2$V|ife^qu8~4pVD^TM*+1ZUR{X2YCxwX;qhbXBF9gEm%KSww)ubxRGz_<^F#NJ zOpd5gBbk4~|?r@Uj`+3q4d~*-}lBOOyY?jA38J1HKJnGyv}( z)rzlU!`~@c`~0(*m1WVv?bq`_yxQJBoD&P+oQvW|v2UpZzu4UXb`1;D17MD!z*wiY z?12*;EM{S^A*NeZjXT)CsiC{Mz=x&D;3}@~8HJpBslp9ups%wU#Vt@&P`^J&wtA z14Bnta7f>i<SaJSRU{7 zjE1&_WnE)`drt1q2b8GzO|6HGS22v1UkE@QUx1tTx*KwM1&rCC)^;W%kP}D<+ zEHt-@NFF4nYws}oMa50LAhGHj&rW+Ou>4=ze*wB`)q>s-{`^md0B;RA#9KB{;I>dI zVqsz0#owANH>i9<_mon!PrDK4rlM{M+~tSthhw^^TG|qV7t)8duUs3sB1T>_ z@BFo`FW|Os{JeE{3Oc2sT?ZQvxX>^{k6pI@LVE1LL0ltuDCh(f?rc8rVGA1Z3W~?1 zJmUnQs?}kp*3kB_QvQ>T_9DH}KW1th?GH%*%~=%aDWgb_70;7zVBg`NhgiXcP#Yv( zn8l8^an_v0(s-g1BV0I?gG$^WWbQDjLaQIpAn45C;>7qpG(JWRFpM)AGmauUycIGE zV1|T*(nRmzHG)Or;uA9ep6JyM7Pfi1nPjthO+f@?ud6B)y-AdrYOLiq zAm#@@4`Q5ZP8Bdealrcz6Yo9wD|a`q82l9gFPADPy=IizgOZQQ+uI@yt!#3>>V-oIfaU2^kcH_!U4tl@KPoW~%FP$J35`#cuzaI&W7 znb@%?)+mk|v=j{1w%J=nPG%_pv$l`(1=#tLKY(%eaOsF<?4v`lijj%WF^ooGQ*+CbW)xXAYv#_CxzB@(FaMbfjW8e>iJ2( zibq{UJz$#Wh^gOE6SIDdEPD82;ADA$1&=_X`TY^rc+21$Sw@#|#@57FE0FVylD}RL z1}}`lGI+J%<6yZc#f$Un2i|sV)nGdGQZpicU#67IT;sCV@ZQ29w(g@(fzATy<%k%* zLCj^e)nyA__yY)Udb2>1#1<}Q6KI)A=v!d=DaX0|oM^vowp3~ZwGp)P4k^?;)5%Zc zzn>=9$)*s%r&!Zeily!$J_XGActIU+XfdE$Ixx>(>2QBP#fXcx7qsO?qYFt~6!#;c zn=HV{M%;Ln^7fK%9`o$ge<7mgY^kCdk#+Oi7Thxfc*1(}=hxSk2dDG>DK3JY(Oa^+Udb>^WHNp4$k zo8c|?ivi~^U5_5ReLaK;Pl9)C;Yg^V@D~r?`^HpHs~Qf=ahwt<=SS-22(|S1QylNB|%|_+!tHsFj{K+x+z2cd;)@tGG z-a@AWZZtbLiiK{cCmoG_ z1izQY6%1Y7LUA<(ZdbAKpE3UD(qY(sVsYh>n+uNx>lEHSFDIGA&m2rvGA83G{5rKJ z(Rfk$ZufKHe@#6*Y77&>d@ocB?@;v+?k?Zxk- zG8Z?^B94#l`G7Yua;q~N7`Zu|_)Mb&Y1H?xyag~GJG{e{ft)>n#M35gVPMbqDNrZp z0TeSEBl#EF%l{jtq84y8_4 z|Db&q%SXV@yI0Ism*dw~U+*EE?paycX}})xrl&d5%e45@bd_ZaAjGKBQOx!dE$Ml$ zd7=otw^r*Qo!I3S*fAy(gHVX2C5=QmE4yont#!W7)0B-?kveLbeJP+++@+YBxH5vrO|T^KvDUWm2)`G5{MM5E!N@^>&jAj7 zV3#-clM~5|k=Tt*i;GDk)M}#Fi%A2ZcezYr&wyCk3J^Us|NNv$>GF#e_0d` z+ZoZC3v35VY+^_5h~L13VR`bXZ&giMr2?rr=vJSv9I*~dbf)JZkDK$(1XY{y$v2{L z=bb5D)l6xWX?^w{+6v*;)Xr`nJFa-2o-lDqmjNPH*!P4GIqyqxZ{G+^0c6U-=k(wd5T$N9QyMs*NS3>VCF*a9{7G9@frRk5)NIR=V1Wn z1^xJwTiW+)_S5K`Q%%QAx<#S(Zh4gy@aenh0!!#CBVcU>YTS>)~Q6ws)^w&saRT;Ek=oI;-0naJuW9|K2`jkb^tDw?6GSf7cy zQ^Vgnx!>rg-pXJO0a-t9Ri_%3#qWU^R7=T-i92^tH^6f~#dti!2(x-pv~zs(01ehwqj47Vmrrnw-XMzQyr;61FUI1`1Rx(ZKL;|=@2Q2Pd;@Dt z;vNiY0K3B(F3uLQ{xaxw=ImK!{l#$yH-xTzG+lodAM(@lI{H`RTU7e%Ze@f#r8Pt7D%!l3f$d+-jreYq+t7fsE5Ik*`fhokw{8xT2^c4V2zc<*%alsYWB8_>q9fEeWV*Mv&zU*XMz`;!l*F_G}AMnl-WZJrdz96->x7cm;RE(FK*c zTzuQP9Z1jPAwYxc-)ip~b3xv7o=hdRVH2csciv@t#vl-y7xQjoTcT z2jy=aSxGvu`Ly^h2077}FqIHo%_Rl*eEms@=W=_T532}|iuAG?_BAAFE5BmH+t;3a z^pjnC1>cSIy7P?0+;{w>M=mRvBG4fdlLH&CEqi2bmQs;;6SFj*&QB1Q<|-3zedhi| zS}|w1_$aoP^rYa~D3>vKz3ko|^B`&u-JFM!0 zdDYi#a67%PsrZF6z?}XP^u3YX68UF|xF_FiQv2}Lg#e#xKt94qyR0!395k}svhzmN zK*30N4S7ScJk)-;zG?m3*Eb&TetJ$@7UZd|#Bm`KD?&d`f1j9Pe3YlC3?39`ik~g~ zhTy+5HTyy^u=v4t=!28Sef%x)|JO;@86phyp?QRabz=ih`4W_7cP@3#mHLL`9Y}j;WKD>XhBHi!#Ko4 zhahXW8Aqmi_jfX{3j8h~n-@6iR;kZy;Ho6j=FGZ$E2EFjk%!GDz>jdIO} zT>q55w~GI<15ac~fZQx=N=4&luOr5j!>i?@P{MYJ#wSh@WzcF)WnkPEr9>s^WB5sB-B;`|QB-)djmeq%E=YEaDb#N+2DyH}ITU`bfc8^e3Gsk-^1o!yzgNlc-F>yGhz`W3c za|id=i{_YJ{yku5Dc=jw3$Tub%lj^74Sltfw)`GJE%8+ht-J`MU&k~-quTb=a=r-p z$zqoxy!?y&EaDA5{cPO7T&6WlY6oTTgLubD_w%ag$1#tJv*^a7-;AZ|f%y*~Awi)O zm2xXm=2owSNjz+xn*SDr2kDFhsnpR65kx?PKsc<=&YX@;LQe64!d*&V;ZwnHLMDPclrfZ{6fny%i*nFx08a6WZuWq_pjdS}$`Gg(Tbd8MGx0{zO)3YB;%gf@Ti_6wbW`-JLo+LQ_;rlcQp zj^I^{uu|}hZ?Va={Ke3e(F@&OmKMaKQ|~II9s#*p4$l_#g*KKi6+b%O7h|kA`fxuU zQw{=*y4)ewT&n4D#}Qxf#gqH-7WE8$m?25)YVJOw<{zb~k#P43USJS)#0ZiEKW2Ea z)1Ny$qMsyr47k6RI{NpS7A!0;KawM=1Sef6=UgcyM{(Kz&II zn1Q-g$FbGuJ^Zffo$uks6yhIR%Yh2C55-fb&ykZeb zMGPkcbKgfj@Rym28HCGBU(2;((bT*arqdwaI zQ!9!s1GMZ)cNsswdLXAJqvlP$Ui{Md+7T%$NyFr)3Z(PBGMT?7q;hL>6E*#-j;_EM zh5Cxy2=7o{S*|Mje0}T^@2OcG0{JakgPVB$vS8h*0%4L<&_IZtUsie0wJ{dsFWT(E zXr^%W)a=8vIwpS+3h;*vqfM!px4PJun)K~ug$i z*H2S8y9c4xB&pTQA$OTKQ>Ag!$LJEK{nH8iex1qs#~g3Z0>==?c<l&Z-DC=BEL`mJxm=M?O14Z*U`-xrt%)~=n;4Qo-mO3DKQ!+HKBV+#h z<*nSEqUZ$&y-sZALyv<-MS`sEON5&e)N`bE!4|!S-v2W7o5@auor87kF{80fzF*L~ zk$u)x#?A-EjLPKqS@yONPhejnc9oq%Q_cyEg;kQwi+f|j_F3F=o?+m_Pmb}MAIude zmYoem=A4X_KEuXB#9nPsE3zro1_N;}R(!>lJu>cVx<3NKz`>FuIU5QFHWrDqb-Ocu zZ3ze?NkjBJqqirT^l}vpeRwYu%8@nSEOS2QGqqEl%S!J(#~Xii>c`45HuBmWrv{;= zwml*re3)q_!s#tkCA}EUD>A`e$rt*V;KCj2!{AfH#))k2%mjA?SIghA4cWl0cU;^f zuPY+kzgTd|Brt+ZzMrb*hfY7z;$9eWT~y%Mvs&*w`J%h7?P_gb6g_06&eDT}7B*RH zbtP!-lOVtQ9OfG%@p%JesFY6M>~PoI&jr_*SCssf9Nm|?aPeaKml9hP%>Dw`^}8$| z9&&cQ(7O_az1I|zrPt2!VR=4Cb~pM&>2Ib!i_m)Pm34ngkRq-+XW@$Sd#DY!(%v+g ze?M{BH89jjTb4ijW`2- zuiIc{+c{}!-<=dIt)VpG0s2)f+`_fgQN(BICNrV1i1FBzC=@#20Q2FAZ-zNh41aJ) z4#DlXltlcU3pzj5DfQLeEnnnAu-g^y$!aq;u<|qw1u21#|;H0U(!AOpmWRAxrr;&W(H+f&H4D+{uQ+yx{@4tLgUFZ z$u+J-M4Y4SR^~A;*EN=1>D`=cS$ciApRCq3!L+#< z_yO5{>vQsK&SA%JY!FzvmOpYJaAkb=L}Z%2LA7=8NLo>iuL_2?o~}CZ!iM&{GFfFV z|8D%X4mOQ?VZWnnTKj>}JLi!XI}ic#sG?j+YWTF^+|=-C{sPQ8f2i;wkGe4LmiNgi;!jzS>}3OibptctF&SUQZ?Q*Yocm;>3nB099%tFv zPS76547AOes$bB_=nfmex+Q2xVfUO{x-4Oq80opU$&|xJ8!mp}$7Ut1_)-RW)rzclVIX}&Ei4=@pe!^(5AZf{(7y1tsu-oR!D{@r9Lf&nJJVv-G6-=4i(G$>@!o$WZ=9SXTjT zaA(dIziy{rMvzIGQlwgYwB>ZBhb=pz3~6*7Q8+$c3CTGLm|3^h{-8n=7?|spg-0Uw zouFb4mroy0xnNv=Z~05^(c4CZ?%Y~yMz48P55a32HaG-+6IhEI^#80vxren_cbOp9 zaDnmL-N7oIu~6`CIM#)d!d=nL_ih5Cg3p+4-F}tO@jD^sO3p>R$?&Dm8P4Y9ip{Y| zR@gRiq>Dhf*A-#SwE6yQJPg!YbUuplU`C?FIyNvI2w{d;8I}W&aZ+_tJ~O&OD}imY z@fH=Hn@h>5QXhFyRf!G54ALIy3(ihl^50zz`op%>AxYncQ*?Zdw79Gbe8}Xj_6p9S zJrGI`p+`c?O4W{cEuciO8_`dZlgF6i51EkxPGtKyWg#+${YR;XCvIY5DjYkeyzqu_ z^>ROfb!w8SDJUo!r(cdL@xC&5df=ipk%`L8`p%&3c7T7$t~GVSQiI|+`k2zO|7KLX zN0wq;L{+4V#*F#Lxn+_4-M^P|;Qbm&4VHJuxkPU!G;VhgJhSe^Qi*u*$--s1idp-8 zm2Jg9CoGm_TMWh?A~_6o2!F!`W-B>iiniFuF9l-x1M_q!dwZn-Cv>`p?^wyT2B+U5Fhs{M_b%z= zy7TPK$8|5%UyTV+okO#NuwA(f_E(>x8oY;{VDC?Cbna6%SnAPQmY~mku(-_iqcRlY zJJ!Q=b$q<(wZ1|K`lrWfF2lL63^WH{^J=5MAT!M+daI}du5@k76Ipeu?K|h=`mkb7 z4XgF&nkREXHcBZJQ{L{hc-_^xd58UwXj4twPIGp?2w}c0gUJr_&i_JhZ7_9N{GHGG zx~;%VC0R;#6})r8qe+NAkKx=2zp|i1eEDS>G81Q?$_zj9lVvcc)MT$sL`_NN(_@1= z+a2whWL#hK_Q%wIsM+(d?45<#TG3CEcT74~8n9XCCqLqB%bh+Lk`A-7o3au*EV;IAZXLlBq;{(H#6t*W!r(?z}(EX=Y%E%5iH^Sc?56((ux-r-heD(`>&2aQVq4$*orE%^VW{8)_ku?h2IJRTE#z@n?Sd0*&Ta`W6z_vPlB%y z_x=RzEXCmy0y^}&l215nZtr_elexW4syJueQ6~;wsg)9=ID*_D6$k2VS8GXRW4jZv zzYju}t{)0SD;48a`{yo3HA&wS=xjFr~d5i6mbg zt*{uv``|N@nomeR!*0EXhpskU`!18DNaAS^q)6T4l%qfyulf*v%zDeSC7Rl~S(IaPb*%{M8^cKC|OY%vlm!}&8v<^Nmz?4WESGiLnd%5J9H8Ka{ zwoqPpO?n0@TyO`y@6ylamesX@E03yrJQBRfY8GnquDf%;T`{%$3Z=VKpl@75d01_P zOx5I9$X-|p=t&vzN_FMQyH3kHc1<`^K&UZR2?WwXq1o5JHPJ$z5NR(DkyQS5D`Qj? z&Ccp!zOR_R1u*5eu5@eK%uby-!XQWSec-Siai5ueCu`}2wx0vXWLm6tR&>Yh+R4v- z$$RMu)@b%a+O}3BTHJe++Q6Xp;>sjZ?}MKgZ_nhz*Zf#BS~?vznVEVSqCbJw{T^bj zCc?6GtFKmEW9!lj11T>~x}CK|4^1IljXB=Fou_IPcUt$mTMO4uXE^SxSO<&lXc_ca z197(+%2<^AsT-v`-wFHT@}=||*&l5lP*=kY??G+wXy1PkR^8y1>C}`C%B^1&Q&d?r z5>@c*v3At9VaE39Qd`GSo!GfTsn1?=_3m7lXl6&Ip4CaPVw3yus_lmpRdQP z+9)}48HKSny*Zsu7|*lU95w7k83&8XGrwl0aLA-HtH{bi(GpS~(ofrsjqpDhf8I)aXY((2$yiOQ_!d7bD5+Ao4=hRgns>mY9$^jY-b@& z-1d4|*2tMt{E5klxMo=>=A7R^p;i=}HAUcSoGJ?(ONc(7LF~+Pz}^IFhVEUo`)(>Zy~Bt zy;tqxUR9+P`uq{{D(z;(Df7-1a~e1YcQyOuFS|4ADwdqk)|gesFWqHfjI6{62iJ;RS}7V3XGAc@2YpwT{mtRU2otgqjZxybs zZ|1-sG9e~!`_d~~?S_cS9=~6o80+Ni9a}J4_-(wdHYRpA!m70CGdV}awLe3B5>`wG zsvMky$Fg0SVPLOvw}S5?bfDvs&&XQ@@Rw-#X9Zh^S=j4_x#vMW$`%f%&5}Lt6@B~F zdv>k|qe+VW_WLzEF_-#t(<`>pZl}>NL+?1op{J&k;C%!;DL@B($%un}-v!4W7%Bbb zvrqoO!m;I>gh8$8^N#k9K4b;UwzhzBJo_UE&i#i&HGdf!b8wh!Qka@YTxi!3Xq9Ym z;b7-n^2gc3zhv8+%je`?S879luL``<4XLzVI%RuA<5e|oyRG>u>#A}X^XN)I!$XJJ zHX1)TpK;JPrLCxaldeBoSgQpltAjQ@`C9+Myi#<}4}|FgT)3l=%XTd2zs#_g5+H-X zr&=P;DFdyj3l+cjpw;bzFovUSkOt?~-4bK^jo2_Y7xH%NAAGoIxdnX}KVgztZkZK9 z;cetzlXXSM2I?KDlNzE)x^?6<%~t;qwG5*J*;a8xuc=>ZpDDj^edB z2#K)hIM%Oc54{d4v|i6EL%h?~LzT||o-G&+>-=^Q;>11`yMf8Oy!eZAk>E-^>=@6% z-ONqCfZ<~Z9X}^*JKp)+R;(o2iXP8)+a%4v4`>X6yG|>QZq+g^($rz&P<%+j%kDs8 zYs@V#Z5EV)^1JqDv~7J#J)+_&3q`anfsY30vb1Ug(;;IFiIi-kdZ-h1-1jG(bLLB5 z#?1~CmmKRhXDPPPPHXGcn+kVNb2r}RvgbQTH@GPlKhZR^TEAnROZ~^z_j#w>gT^rm zk7~IhRNlu0rwHk}yh*U$pvDsUx%`&MdG^@)t0b~@`}i(6^4`0#U37$g@&Pj#NZ_*c z^l`oYyrUEPqbF#93}$lDLcH zoFK|knChYa2zJgHJ&Fx!S-q z4{6A54&UqiRLhPR9!i!+-| zM0jqk=g;M(N|*@0<)~Vc6RBTlt*fO+2?suvIU}3&U{Ojrd>3SOo_zyO&{tPTmJuPZ z+fUpaLWB~Q%JQJIobS*sd0()7HBc(1P3lw+|O6h_ZDdb00a zQ+O{;3KL_RK}R%p!7sOs5-Ec?O`D%0wCrEC(7Yo`M0`;t5v*@0+HA~=^ z9raM6LhelXPm`ZUjC&dl<{A04ZYll>G_oU`W7aB=sRxjAli%8G@rd!6su{6mMfa`Z z!%wKZI4!g0DfX%{K8!KzNyDeJX0-2cal4J5wl-P3_02{f`JaRNl1rjM%O?pb!3&xUQ-qP9>`5q+%-emDx=FfhF^z1>`3vG z4h7A8A@&^|28HldnNim2z42F?@^~vuNmg0-$qH=pEc#NhfY=OznoXFnL|4uqiWbzz8rixf+x#k zmp0n(pBz|>dlIZVAzDPY6+EIlU`qLtQ2SWY$eP2t^hJ1j;YrlP) z@A6@06*fkHqIN^l>OtQad|s@zycJ!W4kFQ`4B|>m`8q#%reVrD8^=%C2W|2Rlk3@6%TU^{tHx+&8(ZyJnkE%mTXp8)l#VlZj}Fn`UNum z*?eamN1Sg|4d}Wt@x@xp=f~_2fvmeTbp4FVO}~PVwoZDwMtZeM#-=OT>9m#0EbC9i zxi)6ccQvS2OXp!rA5FCXk({%M|EOLqyEsmpDJY-(9#CHb~F64?z zYq}V(0MmZwNlV=2{CljYd4V<%&Yu9ER;Bl8qRD zcRgf2(bZz}5s7p;u1H{A2)W7|Ud7;&Y~MTqyIivM)tAfJJJl>mFHUg|9O(bHV6Y{( zwoKEAAGH24l9m-O6AC^88*cb1UQ=KHyW|^h zpTgW}kwHne7#8?@Rj9(!eYUQ5OF&-CfrbbE)M9m0hNqtwnbz9F!1wm7arkBA+lg-H z-(ve@*{al3sNL*sIa~XuGFltb-2NrD77VR9%)6V1AV}mYoF~>!dvN)O6whUg_G|MGbv>qa@?!=YR@Qz7bADf^l_`VP+)-$($UiV z)EfMR>FeU!jw)2$LdE%-+K&3bK3H+)PO->OjZHtFXc^xjOEv7$yg$meZe&EGbx8zSsp1-}c)J(DZWuc(#t&!>OyN%=YlCWop| zgswIZYHWO-@fQOP+grY&Ci741y|}SU46mm_Ld%t03@#{=IvQ>|lF#M$Qhbt70{1?m z4?3-G(2_G^y{(e4X3N=+YGkU>zP=DWr_n1aOvo!GddqEpM8#MS9Tpsz>{|T*(Rf~r z6E6Y7*uKj%5j#A0hw#GoWyng%e!CVc*?abfM`h?(c7da^WM=A(|A(Y2foJ;v{}ocs zP$*X@avzo36yFG;k|;MtawSYNvrvv)9dhJYid3%68D?uarm%^y%q%(P*bHOCZ2s%_ zf4m=iJob2e_Sp7#zuxcH`}KOhp3nD-BZp~pO^4V&nkHOKZbK97GRjMYYxnJve&tn- z_XLX5^)}fCi-xv79;S7H23CxgHO{Q&eEak2Xxe^WOC?eIzEnYS9b#{Yw>TqkF@H4l zvrd=EL_7PLiT9wR!4u*$haRo_@Nw8U{tNO=T=tA8>h8|iy@ssF(C{pGKR|BmxvA*} z&$R7b=y{Y$CH{HtM{-<-f(jv{8=zB2*SKSD!)+PS)D@?RaNQ77kHa|MmvSRFJbJ!P zRz*ayX$?2tZrX58vcZ-~j$UCG+sxmz3jIu2#sD;%*OD>iQbR1iUI^xK!A$ zxo$odw|5v67WhGV%RnQ0bc-q)tQVAp9)AHdzg@iD{-K$JoOG#g zHoWGZmv&<2-_LEN#1rNWVdK+xx5;846LuV{e^!3+vY?w-Z%~iXNeDm${kPv>LJ#W zbtW!5EgqYeqk5g$^T$jD?j2;l^_xj2=@E9igM<%4<$v`oXOU&0Yck^8Of(>I!!E6D z_inySlUuFmO+S!ZZEZkp{`Kn6wa?@CpI5&oplAc5XurE(O1=RFx2pp|3v3E8h0YaS z76}6dxC;TBA^Z!dkWvZs1y*c;)CrHVU;>u8?Y&Zb+;?;VbJ)@OJru1{FU-ZtrqVmR z<_-QFPmFX9`{3t!q{B(Ss^hinqrKC(aD<{Q=<8ejwYrtG3+jAbCk7Vva}TBhW@xLf zY`6Pb;l`u&fwyegZ0%cOe>sB;C64IKsR=&zbr0gcE4YA`BaLOS^FXPlc-`VN@@a`!+f_6YDwQ)aZcsy-CVV+s~r>B;=B}*1b zwb)X+^HFXqjjoW0&YaYg1ql4+MjnEvB7>?0`($FCnSy){;NDElcH z-HeUuE2s1aV?LYANYnXYrL9{XLfDwBWt2LFvF#+v;6ihokIz~rgvAr@sLA<$btMy% zXlh}%Hyc9QARiTq=S;`y7KHDSHN&qiq`;%zN7nk~vRcVfo;UUTjxT60!px3+|4O_C zYe$PO{RLmTR*+}tyIzQRo8`(*S?rBnCDD`r>-ah@AlqxqA^|EdhM@F@gK`v3$!-X) zGc7~2I$g5WqhE9$B4BCW&kxR;PM#1egn0rihu7dckqYJM$uB|RnN7A_7tesIyZeK{R%|@y)XA6zH3cA>Uu!~8fDT4Qe`%Le6(GqHRp1nhALR{V>!_32* zEr;r+JBaO(Dzo(~QCMk1(lcP)j{>V7jv?o;uRSx?4e@slPAC}ya%2;3$rE1^bdq2H z{WaQ&e7&vURyyiIWF8zMw^t%HXBIAweI~g7g3Lsmq029(xUIam&gm+E&Qb)8FSB*6 zA)~lQigV4zN=v7>Iu4-4{59m#w(WrU_50^>x?vVGVE}%x7;|O!iX=B%9cQ>nKO)ly{Rlv;WWg zN~2n`>^yVC>G#Ts%y%&><#JSaH{x>Y55?3LuY8&`vmozHr+d{a>gm|l`lpsvo18OQ ztuw!&)h5K0^(FcK)}Y3xl)Sk z&lXuFlGtN|#?ffyzU5%q_Hv6=(Y>xm9@uEBkG`)d>7RAQ*RPuu%o@g|R@#`6FRSSp zke--()ULEx`D4!{NX0f0Y#tM@&srZoH6)S7W2t)&{DzS(|a zi%pOC>cP0}t=Ml(<+w&v7D56Ne-0*b2=;9ZvQJsg4VIC9R?w1i>h^EfI;R9<+m;DUSxy60F=U`;(yAtlLVz0 zBcJJfbR$@2p^Mm5;t|Hk(tMQxEDQq3F!)20Cm)o9odCfW;mCGh2_9w`Sa5#E^Qlqf zsG$DrY5K9J_i1%M6j0!OYkrPGXSd?>EvY*v_ z;iM>6Z%AUr(;$z$Og{vDK81*PArr}y2$}KEhX={eq3BA0| z4?j=R?t?AD-Pn~Ozp<(Xrl}!cJSDcBn*;+7B|=9OCu12x$xVgolJK6exBHn5{?4tP z9Jvs2|04lYR=>Z@fE1RCWoGGcK@hCb_^}wlFOb#c@`F*EIW%? ztW#~N^lLr3;8o}6x`A|g8F3z?sNQ1B*B>s%wN|u+P0rKJNAA?qSs>p9YNF``T94I5 zbGqrCce2WS`3`Ft#Eb7ileFM6OUv zw%Y+veao{QbD)}$4ZJqPNh(YQQJgUimT3^ALo+t{=9!0ArRiT4GXn9#sfE}2mdzAm z64S75OkI3OZl`#Ri~UsG1UiZ10B1>b@V+5^@JN_kfU$&)X>^7^Z6?7qpWx3NN6hFb zY&=u2qaG?ZPbIuahGY`TlR+R~3984i!WEGOQ&P;aDY@N7^UWvjgR9~R<`as2mh)$( z@*$MtZ#{qUe{pE1)n3UfrdSA{!|g}L(&WeV+-fm@vtUWq3s9g1AhWf50WV%+mKtq<&h@hkg{{Xkf~Fj zu<{1=T9dumrblN*X=$crOBvwD@?E=91eTnKBAOeK07PZuw(rhkzxN(ZU_Y^i4MgYb zWGO)?cisoq{!)l;NO1x}oKvHOb+U|@>~B@ZG4{Q{bMl%zT6#%@dcgQ0qZhKf+9kLd z3#Vful!O&0k)I9x?WqJK`8RkADUtztTBSWgESD!vK;L_myXi8I1C(F#b z;BCuRDMfUfm9(#BWvj7iF*aeOfjK^2>F!~(kLcL@)iDEd6%IQx(l+bQygobH5y}A& zRl_cch^~x_ip*BQ&5dnoxVS{ee-l5(7u(hL#1;WMF=SQdC5-cF=4p%{ zvW^Wur^Ud#pIlxb$-wtM>#}-KkXt#^ENVg}30O?MVgM2?g1C zapyGd{(*4Kw_UgQ6{7f-<@`KcK~tF-G_7zr(*It8^KEts&P?eHd3wDP@n6Zx$#Mq! zgSZUUB)?!zzyH|0z@L7!aA^U{9% zr|3y@N!GD%w-Ra*LR9g478FihYfv!QY@T>Za2v>s=Oh&_8~R}ugew@}sSg&VFc0r# zW2I$QRsz-|z~vBAHvoM!3=+^NK+Gb9Cx>4OOZ9`?6@7@dTErb|Kb8@)x7zXxJU(S@ zMmyUtA@@-S`y%2k7ooMdjkONAq&HNqeq*8Y+>$xVTDkNNT1AryLX+MWU(4Sr90IV zYvhT3dJFCR3t{HNeKS+JBs#8VX4Ed$acQD2mU6*tAeLnMa-0H)rJ{#Aa4A(4I8sGm zc|Gc~LN%MbeAVYR=FM+&ELgB5B$#cudlZgp);u3WvtWx7C&-Ai!yLg}C2WaQRJ7VPi>x8NaJ1B6jkQd*|S zy<*eP-?UpbS}F*%{~`u{nE(nTzuRGma~fDvH(CzsMJ8wXqyw=fz34U^SZ+&t%jewA z(a%>pqay?Pn;y+4lU%|en34!SOpwfipIoo`mCXt+Fhw8U$$% zk70%UR>k+tC+u%IiqH^kw`hUg7hRmOxHr^rk4Ol`N4G99Cm3e)W`TxV;3_BnA?qy; zAoL@O5Y!!Xm?SMg<#b1=mU7N2be}&m7X3&Nj=nDFmpCiS{IupnO);NOjJBC!7vEi0 z1{V`gP3oq$kM+BlE}6+2?ki2{{IBm!g2qX{ullV5K|$S6974HDw|`5(tWOlaI)2$6 zGFou1>}_NR7fXjw_oHztE=i-`(SW6r;j6H~3$Tk;Hn60<9G2?V;6APGWlhqhiWKdC zF2G5enjoc{*9yzNwDpv1J0=^ss*Am9G`lLCT&c^bT>AbHTey?>q8ec)ydKM?0C2eO<^` zbs6o%%zd$b{&v#la*Wm67h`&{zo0|?u}pzW9A?$-=+9iurGjuJT8UJwFF9!kdwJQa zv_K?H?;#bEwYz(g2{<^#mepaTV3rCz!@|C`ON6EeaZW<$3)RUbt-&wXR^gZW#-R_}Q{N3v>M!%S9tb`Qr@&*6vQolWxF}N#vN_H2V^tMsV1M3X zEGDj#8=vTsP_S)qm@G~k@xeMyynswBpRu<=US1^;9T||7cg8nzV4r=l6_k^Sp`2cY)@LA;9rHRj0MmrlX$Lxn(9uDNyI99c$mzSvjCV$HX zZEPF7hb*sDp*lw;AOY>)l~2jV*4cR#QkU1k)kkcv{R)jNJ_+_F>8USG%mfN5ipob; zMQ;mnIzE5jhl*AOPx4w5&2|-_SRjxUWBcRUk8tzEI*J)aEn4Kd?{W}+MGudxcK}U0 zl6TuypMFQ1#F2>O=u=SLDoT2d=<80zXYMp})@;JYe_273>*ino6a}r`6kh;u?zgcp z@H5e)g+3fQkRm!rF}U25P+rh zt9?0BkKRgtgpH~&Cs27^vR|bujD0oKvkIpl@?oxijI8PXb2q>Bd4EkL4uKLVdeEVq zINq8Y%qASIejm%YSSHX9!q3TOa76Qxqof$pdBOK*VT8*iT^GFJdMWMyapD-u+>47uWIgQRIhG7UMo*yL99Sy!Ej+6xZQrdAJZPDq#%@J6sj&V$ z?f=r>*>AG-@Nyu)5J@?|<}&~uvgMSj_r$NejYXa`?UYX>8FX1exN;{2ujGj zrIfJzXNAXb*eI&0H9Rm~LBpe((i;dVW7XJc?b!||!ZqeLfIl>r3}1MtlYA*m(sYXw z|K5ZrOmHt5I%FDaB{;`G!<9D5tkO6qE2oi4UsjgMAIX1ca;vSfd%5Yt0)U!;XqT;? zi5Krvt*H01dDhsn|Fd^5OZX|>Y(Rc{TKtKL^OitZQ*YF_R!$c{n(TS0~3GTkHQMaqUT#bm# z+Sz0phm9%v#<RuR2t2MGm$^uGU*}L3`<(UmHXP}{=I;_UUgMtKFM&tCau;-z8!dvuVcBB@pmN7=;OrXJ8fdOQ zf2S{^uZ~W-U}vKU$1lm^R{1&{;NI!mUi-H5Rg5Yxj2{O@TYSDm4MbEwjm$AA148r8 z>;640AAvgboPXe$YEH0&1lW-EBKnHrNvTra+rRxcWvY$otoO8XE!DBRk&0QV9tE=F z%ZmLQPI>6F)O!3au_n_)cdpNL*;ws&HOsYc5^s2ObOx-aM!JL9>HT}a`xEj}WRYI& z`f=Lb!Jh=OK;%!`snj8J-y;Ko2NX{cG;I0Wy9CU0WGC?bUGg$9?{$J?9=TBp17hoy zcDDTjIT!Q23cgHM>mIL}T9{pbw-*FCwo|DdwESQxcuW3~{8AF`i=7BM&w&C=z#DIk z`($CJ0VyX8-c6X>9N!h@`tN=OXVtck>jgZnY=hTaF?jA z!-qFLUsz%$Hj|pcPGm<44|` z_C_j<+Y!){m`AygFWG1CUsY_e3+dC3Tacq0ZcUN%m`5+x*xw7BbABdmlLh2+zah2w!yCJ=FOUyb^>8JQuv>>y&W|h6CjE~x%cl|I zpgmFcMf#dCQ_uCpefIu&mR7W4HHzx1(xQJOV^MNwI_dt;MsTG^ek_i7HJd3a>#ln6 z>q6%K$4rG<1EHb4cj(F{ezxB3eEEx^`S<5_FOcTiRyJ*5A?MXDZ?sOfzC+J#kqXcL zC-OdTCsX1HfT0S0D`TdrW>ZFtM`qky+6~0L#=|3-Z4XOEYM3Qzv-jbYi6+b4l1ad@ ztxO|HP55Sy>7+BjWn2hW%$bVt@CE)YQC=#+K2D-Z3!myf@YsnTioPp#VBqk4p<)rf zM)fPMi48bMTkJh?x+**v{h}q`vM;T12L5>;qX|nj9-g;H(TeaRi8>)BHcxiTm^Brc zs{eQrG*xtDCLjdl^J>J1{)%a*F*0)1&LYuWb?WSk?IZm>AUpaNYa+6uHYDUNdQ6cr zS_lX+CZJ1|v^MPfDJLElMJ~SrYBjvbrTa~sLeXb!Zid?Y-@u^Inxzqv(aWUEqtx6q%j;Ar6!oaf# z1%YB`k#O4F;HeL>pc2BDgWmH7^Sk$2pRYN;SeuL>)89ki79%5{MEr>AU8*d8M>31P z@;wR#Y~^mAz`k^&8ONCI$~=fW)GO0nb6}o*=Bv-?g9^*>+b-QlWPT$kl@{0?E%87e zg(<5GTp%HW$WOXhZ?4d5=?5<|KiHuO@8Jg*$p)M=SC|)gYqP6{9XJM0@)!F<@MY(v zS$ISz%?suqH1mU<1h)7oon}g5a0Y5B^u!j4IS^i*8HK^A7~O(rWQ&VLFUz$a?9SyNXllPUN^lBMC?ohjmD! z%Y?y8rV?a$17f#fZ|=0d%}zk_;dj})kTM{$Vw(k;uzxvEXEg0XKqY{Qr31qra`PSs zhM-2;A3Wqf{Mu&bdIW|=#7i=KHli#_{ab&8X1%IK)fWM+JE5WCsC{U;k>kt}Z&MhC z`?}r|UM0{TwI#l|QdQP5ydckDtJh0~9bh<1gc%1IALdPWr~ome5Y@%-(ToAVSc%5~ zPu_f2tQPa?a+;<5o>+~>>L*u$XvKv%s&l*6YMi9ZYr~#w-`qww? zUALYqu43>_e^~tQF}LrvrBY{`CzQ1J6NXcheBX9_TjwKo-T8^=&v-rNSGh^#zGQjL zkH!|&F9I}T(md?Gg3)18iqLv&p4AQbjD1zqJfiG^PmP>~-u&CIV$#%80cnSLRgErw z#+OZx;(9@_whV$TRHFT9kul!&tP9zeda2y=rN?5;%O7t>LcDMK zPgPYQn=?Y#5f0Rda$YGUTtI|fi7W8FOj+jdTP)7tMTqyGLWN&K@j@{7Q_LnmEvaIy zLRJVt6`9R_9kZuOIMJ;r6=s0|3lK{PwaFkWv=6+~J}%?`w`=Z^F0UWUtp^NV7reA^ z|JmyEn$?~&nz$i7b~k6J_7YVCQvyXxQoSoe&xkLz8@m<^NVeNo$X$*zgVn!8zAAFx zZq+v6HdgtgXQDvMNWdGA-~`yTHn zwgL%KGYF3+)Luy2?ih)chAl?>Iqa9_PjK8)ndM%u)H~3-ewUWCLK;%sJpj!8E(&+r zC(%*;*py^zO(B?IRsyF)`HP*xkbcgsEq>y!oclXqgm`NT55q*oZHW=h?rrcT$T2S_ z9p7*X^ACVZhiL@hg?S7Pt#tpKM@5{}gj7iIDpR2}upsQf57*YaxtC^c39Ho84|5cc z82B`$xFcE zhb;=TrlMhjvNn#Pm>`Zj0B>-dzU7i%1u$Oea=oo&>z&Y9MwwvCNNu) z=kETCNd@IT6W!2}Xz#d*raA+=`@l}-EoSsUG%8Sh;?j613KsWvsPtm{@NGTZ<%$X; zd=EkKwC7}XuU(Ve{6OaO74c*pr?95d7hhTJE_UCi$7`g_GAbK6%1+QrtI zhHe^&-J}P*j>o!`?*2EK_VLx2W$a(yt=~^zq8nQ{`Oq%>ht}Fk2P?Ve=pIlQho$&|1ti-U@xE%cO|j zMS5?K)1!UbxQjmAU^3xV*4jPWz7nj>Q*kZ*KB+q*_xBzJz>aF;oU3lI8vmBY>Kgao z{$+{_v!UeSiqNq51<%(mf;-7YVyzZ$b_K?A^l7EhBP{AoW{d?%`Ybw*n;6xNPjzpjKN zP@J;2tYx92X;I0G*Zks*COIjup$NPj8;QUd<8MCNh0@NtEwhgi^xRs-Uqe^rm-kTO zvg{%a%WzwVh3;X{iEwdN*KwW&#Q0a{!Xs0jL}bqe%)34 z(kamKB!(>nR7MP2K2VZuS9-PbKDpG1DwWK6?6DZ}1=D*F6SqZr@FjNwEgE-y1}q33 zFL9&6;!u~03+NHKMlKpVAcvoLF!7d6tEbnq6U#t?EWT0DEYUY7`>SpkuUKbPbABG- z(Yh^ao%|3Re`GUB1ja<2|Y-=#+K;_U{b z^sS4)mgME9a(6`$yl6T3(r#s*3J)|ezit#E{X2cj*V16Z-KvU zYbx_50sN>EfAQY5h-`5IMR`ZXm}g;rv}BOVlHyvo%E1#mk@mpz5ph-DO~yu{f7-9H zo_;1&Rl6dObhg5ObkH#9@(GFv_tNBrg_7$?C8b#b`p z+mdS^uHViMoRWVb!;%>~OP6~d@o~!-)=3VZ4F275vg2!lqQu$<6)~Av4F0`My}}A* zh1$B@e)>#RY<9scpff&qM6-tBx~@?9MXLDaN0Wn+lg8FKJA(Nf ztqA>dT|2^C+;-1tG5nW}-ZNYjihnP@epFhmK2ZxP#I2lNTXuhX?Zxs@wAO~+x9UH> z4w=_eV{k`y8wuxT`x{mCv?Rf|;jc3Wj}44lnkdoMS@jicCCu1KW})9q21$!B-yOkw z^mkmi#duLyBFrj)uO|d*zW5hBSns0p_Q6jqcw(*u^LXx~! zvZx2{1@lALJ>*4*g%I4@s zigXGTW0iHxWQNk-O>w`E{`?Jgv0tv15`;j*wPO*9JUEErQ^oyz)kZqdO7HI(8!?Og zjTcP0c6)>~86V9V|FLDBvooi>E?^cc98lXG8|4|IQFqmwWs?G>(W?0W;bn5F%}Phs zWq6~2qaC;#9?2aJk6H|{(yJuYJB2h+ecL-Vn6&|9q0n7IYXc#;A+A-1wUVrtGUte* zERuTB0#0>4@3T*>{9b|xUYl%uJ$GuwG?Y`;_JyLs(#Wkn#tPdUGtg8@8a?@7I z8WZe@iyyY8b&=(kTTjb36~V@ECn{#@0K7w}a3uUxOis2G6);b_0RP?HD?A?)D$LYh zY(la#YSnrD?#xd{Zi!Kgw&PAFO6sR@GnB{V;Fu}mKGfu3++!$LjB;^Ruafc<4?bsd zhjA2>46P;0Nv;>fx>j?>WBJD1+^?x6ZI?PmMY>NC1%AtZ2ERHPDn&iK-EUENdm+V@ zpIC}qUsK&Gn6YzK^F7#aMK$2`Yzc3(Us)ES_sMBOYi{nEeuEiT0+}iQjI*)WL%??_ zneBqg>E~BQJR0}!>zGhf;$v1IHz>J6Z9>qgyoqVD;cWDG^i}MgT!AAjX(MQ)|7zqP zurQlZf0)awL+aieXjrX}hy?r(c$)g7Y5+2!Lgt0K1ICHww<>c0Ibk&vBN|u6r;<)o_W#g7)oDZ?|tkS{tP&h+4-VM+rKqc7?e(Dlhqn9WMEYn6NHV1r4 z`PJ#;Lcb6FUO4spvG=z42c>Jlr2hJE=(8*0RETf-qc6pX2J^~oq>}_s| z|Ae}}xx4#d@4sBK#gV=IDz4V{_~`jW7<*@tbSesVV^UoJa)h;A!NZnfHpmUozvq6w ziWK7%`~_h10(R5a-pgTgzu>iZmrt^$ePvih7sZV3Aiw-3Y{5&9{L49Q;Nj_{Qv_gl z#E~#bMD;%+@@KyeiDDJPO1DZ`X;0B1RK;@{^Ayd8`tqDJD`%JYb~Twk-Yx-jX`}uZ z?cy4L?Ybde%Fs6P&!i4CgTl8-c5ceWZnv6PrGwbR^0}#O6#F^)-}nOSq4aoO74P9Z z)Udo8|9iW^i0A)Q@6wUypu3j0Dnxsvu+zMFGGqla@!Bm3;FuV&(Ae*vD9&DYty%^|a3_7pnA zDu@#GY~Jh4AIHTH&4^oG0T|CesA14}Eb`s(t@>q#Ik*HlyVXo)^nxK@$xkxw~0EIJ{g8r|f*;l&(IRvanK}bz=`daZY^n^>YUXfts zR=l#_TwyS#0K*UfU($Q%+ZQOmKU9Z1dm!5*pWLv1WMtiQEaPV`TH{foE*FkHbVZAl*y3p_M5d9736{+&L>(30d`o9h3-rA1%loB@Z z?F;I;j+UEVEUD7E7Br|TYhn4kE;1$3{Vhq_;>9&zrMI02V0NT7e$@+)NQ z)9SI^Djnh>HzC)jrnL3&t_*X1m<_1l5b4hF;EVO%=PLo_?0t)6N7@k;9Tygus-zj~ z))R|3nRdOGE4t;x!k8){(#47nSDuoDq+uN=yBH)ylCCg!UJz3!=~(kv{5i+kRWBRc zEVQVQ46IqlhDq8np08Fsty`rQ-wnE+;Dn`G>*1X-R>?suqpqr>b~*e`eXsy>!EYF{jOVXvbP)%!Ub=YI zuEan?|A*5?)qBBcWu*vW#`#Az7~}G?6fcoPQ2^}wO$Wi>JZ|kyNTeM0v3JN^fLF`3 zDeb;E{8Q@o?GQ=CvH-D+@aV`{I^@I1D!%$jgv_v$OxOWLu>kQ6!9;`3y_nxxHVb(S zs?U$34#Xkt78F%T$E?%ND_#C@*yshp>?O;8zVpfg^OW~uXQ<!1Q5l23&VJ6;aNuG5GGWyQ96EU2BWZ>gkoUS41-la{o_p@0bSF<4~t!!;j2bmQJW zPiHtKLr0Y`r}pG6k#uK-;d3#}1*5Ba@HnvDF`cH$Q^`0i)1XrAjLYurXVe<}?FaXi5qziUMcsf)1Kgs-P$WOpZ+z8AsKeHwG-&*SXS**ZxB{9m{-BA@!^k_P zP-h6AD$K9IXVTrSDbDxcJ%4}fcVu1|d#rQupD@hf3Vm7@SLli^XxHv@d~(Vg-cBSN#wrJ+8`Z8mj#-%m9SdO2B%R%h|LC^Lu6!^aMO# z5#A@)+EDlN;5A^WU!o5U$OC>zS1>IqMmG9ZQtoDZA7q&c7_{6eJ!X8;cB1y3dwKz6 zDKkprdLn4JQ!{2NqWZ84?39aRI9L38$B}-m@BRJOt+I0PmR{eTr zQBTI}JG5IGbXo;F#cwM2=JWR5H{|MAjG0_QqQklw^NF9Xdo({-hGC=?y7x7c5Ud|G z13NMDeQwFL9BjUL!w5bu{xbM!gvcUXiB2axjfwXObPv#UXGjSjZ(viOK$UGY&THAw z%SG<)Wm(K`zls068e_PqD;?$=AS1+#?8Zq^ySfuk@nlD(I)%%8qoDv4$9^H7Sgv3PF()X5+CQX<&{~;1rRchy7icsqe2?8le@jPH0312bjqa zvI)1WTSW{MTD|I)&W%^!_W7(RrzBanQ*xEqN4Y#MREi&5kq#4X!TvMBhdEF&UeYYr z{jHfuVuEat;G237i0}K*iZ;DteF`tZZt(-uvjvRqngp+Utn)~g`Qew}CgvV$F+!vX zc%~6-lKshtKy)4yX^?Hz1Tg3vd3W^HJ=I~7&Rk~!!7_OpA{%$O<$vlUt$?%qyiGNj z{CZ`W@H!@*^^}|zRXM9eDn=Y+*uq-aHp+>4=waC*@`UD2j6)?rfkB<8{2s*lepbx= zr3=^HY{a?c|M9B)ji@@O$2-RPdU`UNZ#w|c_3V-lb%wu0Lz{sC%mQkVTmM9tVO{>1 zC#q%V_0aYfv9KxXiqG@-kLSj4FOi(^KK@gwYp5YGdMK9yv4Bkx{pYCubbt~h; zkBx2Hmov<5KYRy65`Eu+z1Hi#ujBHOus?CT7vLV%ny*%&j*>igk zXBQ0_(C{hTGu~I6jsKb$)GkN>@0aK(Cb0RtZe<$3Bi>k8(HtV# zP%W)lCwcN9l4l>=HDFno6c!*SG*3q4_=&%W@Wv>fycr+)2^sa0g|hbkBP@>c!;`mL z-Dli$(I_06uN_%;Z}#BQGb6i>U+T&q6cI7rkWAI4PFSmHYSf>>=aR%4J%heS0R z752IFfeFN6qf@KV7a(x+g*ys=yO}yR+ItlE1MV7N@lff@%`lRG)s+zcB}vlR_0DOy z>xXkvn)zl%)VwZmaQMJ-JewMUN0~X^Y0w3n_D~;)+`|;*n&<@}PKT`sb?!&~nnND? zFov!Y(Yb@ZX2nD3oGXb_nMP!i;>Odn*<@Wo!6WQ)KP7ePHQNDqQq~V#HEPZF>&W+6 zx7A;`c%k~++0&91gF9_MzL;P}mO#(eX3l}X67g5#4y5F2!SxPF2d`gW!q=l?dOzPW zb}c5V^!l#0#W;U%ipV+(>g2SM@OMrs(G~iFEzOVdKDy3;MXBlGW-p3=(n&B0UyZ73 zWPzq5;IMpV?%ykAgB|+&-+Q0K&5oYsS`%E%v5C(*wRy_EvmlM0A|$W;-C%d0VAOwu zz_J<2vY#GFxv?)k758NT5>BIE00Z9UZCu@2t^7p=X^#3wjS*H<|9G9g^O14)qg>e4 zs48dbi-Vkr)WHV0vIgJx*=EDq39 zc5JxX4izE;F?2 z$MO9;a?4Xw-nK<{f(d9rZRJ{sXOvruH9mepJJ3s%L;B6A>)5+33O&!cBd=k zzwE!dRrgfnV!=HNP_9Bff)eeA3QjeT{ zR-R}__|P`Ktuovb=aFDK?bc-HQ2XO`#xJWt2ip>R*3*7qB5V1vv$Ya`Jx?+1B=9dL zFQ?7xBxnC;;j+-49$9p~Ta-GDId|Mu?O{oqExa_HbcWE<9U-Cp=!C`7#J0zbm$^R* zsWU%O$=;XShZ7cNtSJxO>`Z%PAH1>LS~2Tw{g0EAxihLZb#IiG)Yiu+@%%;NUVkRA znmkITeRW(jS4j+UXchLgHRBGlDs)SEj`Efk7TxANG0c*&9=m=W#-BSkMlJgBy!rY0 zfxU^0%_9wCw=JY{mygBQ?tJl4tsI~4lRdU34x6FRT1Ydrh%P~(!<&Q#RmOauW#7L_wRYWQRm+h`aWZ%z>z+zC$=dCD7e zjc4%55*tp6uB*I;VvuFc#mEjxS1X2We}Hxu_e$T@9OI`EmEaqhjrhEk519z<72y=* z!glKyJ?+zE;RNU2kFC*J*V#irs;5CdZ*km+Xuwj=sh+lpHH&N2VPmtu74Ss4LPkY| zo@AOM)f&UiCV-M5g@jyw_8CN@KxTWzjlY@o{xi}poVMOoZu*5RL5=i%}ak8+=+kgb$HHD8=`Ex!KU7qIdvJkd+^xX|%$W_NS4 z_NOS=*rZzX#(KOJj>pvI$U1w5ER+w~l&4vXbKbO!dld9+3oWDoci-5g#`E@^R6b|^ z8w@^Bu&OR^N-kTi7MhyT{TEGs3GHFXxeKO(Q2l+QbAK#QtS` zh)b4w4xY5muMHv$d;i_ zSx>!Jp`E&H!SKI(ILDv^rpVA)lay|-f9X<;!>P9Go zg70xNlfg5BT+r(wnsxhPveQXmBk!^XIrTY`Rp0v9E2OwSeRMsibUTN2h-6dIVZ8uU zC!NEnNqX9?0|t-4>TAgv>-`SU~K#oYX^Av)Qd`**ny}ZjL#XWx_&goNsU`>C| z%Q!>Eeb4qVuTwGX=Mk|Q__?^(v1XLI}u} zc%`E&C@*y$_=eK*=$^S8qP;Eo@b$}_5#lR7Wp|C*eO)JFahKU51-+PC!&R+89VG2S ztQ@WTk-SwN-Q@BAHTK<6O>AGd*LD$6P(XSS5s?}KN-qjtKoC%nE&)M7q>0oJ5>z1c zDorW5NR!@MfCQu|Efnd52mwM10YVRfH{RcS|GzbBC7GF%OxDafd!PO7Z-0l7Pl`_! z;-K!WlFadv=K4>|^!68N zN59eQ!Ke4Tmm09=$y)uf*>btfiMZ4A7>C$q2T6iw?Lwx;&XeuxGd^)`oU%#A(XYt; z?aEJ)^Czuby)x0Ya)1H*&nDKs9QBj#oLrc7`b0}N2@|-a_A6Q-l1g6mn#rr(xK;L| zpa)%-zOnH^74Ll7*4TybgIr8M3pgyD^Z%a?OXX_B5&w&@?B)T^1Zj+L-s`xeqIe0m z!W}qlP*mLkeYWcF%dpW_%#Deajz95m2Q%BcqWx3ICX4JZRCBA~3iK2I;3!VYA$<+Ic+7wEKDDgU?eM9nygT7Me7YeA$1L zxhe8eDlfh^DdpAa#g-J~<=RzBR|NQOo}r)?yzDELQ_#E}X;P@b%E_1zN;(c@6^Vf~v<}##Ud@)rR2CUz{Z-_Nb6J^OhwS00&4u))S5$ zUydbS54f{)b?@TMy+?Ycv@fwqWTCDqu3jFvm8(E-jJY5%A7GbF+Q*r*smy(j z7h@G0zy$)CTj$#~M323`Ylsp;tHJwUDT!*EQW5x@6J{MqSUGTI;LNetJKHO$)+FSV z27|Z+r>tsl?*M@qaqEUMN}C?xsq)^_K$bvq2Ui$a7Nv5q?lSe zeZ$w@CRFel)iY9)B~;*zIZLRK$B!pJoCu*O~VWoJf@qGf>WVY3tsBSF+QK2D0?28X#c6F ziMX|SXDdz*HX{|};UI&I?fNw5jR&n$YbuAc9lg;k{&=4~fh4BMnKl7C07XImQAsdd z*K6|?k^d8s0O@EQ`!#vYJ?#F2u|=SwF4!AL!NpA6`$&GcuH9Bw`kUWeAF5K_%zJ)1 zb^^AbBCtwQ$$vB((bp8a01ttJt^pDmexL1Ntp5?#|3g4)7x?4$sIkV@Wnxf4-j}oY z(l~YGhhoys5cw zm8}{*w=z8~E8|qQ!t|iBO6Kfjodh*YXqP5gNx4{ewsQP@ zIx20d24&aOBIzBK;9gQw-c1zGL`h_}(5jQ_FZN1IB5G`pvbJ^cbKl@?i{<>2qwsNq zAHmb1pmf%Bn^rwp%fwn%yrdY;f%^?=>m(cQs56##{j+!DB}*#QX{^BE$B0v|n#`$I zJ&UD&;dM(`%+WKl6awq?NTEf%*-!1+ut9&1`y<;cxhf>%?l<%q$ZpLqkAHfQ2L`yQA%&0csZnI0$WUGNLH>*Wl2 z7dF@uw@5FK#(UU9izskupW+1{8vQj1xD=qa4<5K73*-j^q9Dof!APkAJ!K4Vpd z32Xa$E07NHg$IR3MxQ*WP>YBSPiXfSNs8-F8Y6HC+F6qj&?Wd`g8a znhGkKt)`al5NwU>Px-o3%R!S}zIFYjbcEz>t9y7{wl)|yji zpj+OWRDJd`y4y`E51yS^`cE&_?{fE%0VR4%dCl*@{}~TVnjHdv-;BLqK@ZOarA=EQ z?o6D?1^C$&*qs?Rbz`{3I!J5<<6L6X*EPR3^)XWj*l(m?SpRV44G>jm=^spmmfMKa zre2*^Hz}UJ2k`}72aht^HYEAVsoNC_VBU(E&M`5x?7%Z?}%XGd0pM~9Z>t#8NBcC4cQMn=u-Mo@f zG2gfU!bFfy5N08Lc^}_tRSe~(IPyA944MRl0@%zB9lY+*KIbyjzs)JXlU3dLLd&So z+i^a17}So;8h&zkKlDmVv;1o(+Y&Z-vOdk}g%%61@>tA9a5N!3ot{@z9e#)M7Yv=J zS_0bY_eW3OW^)3;0vYvT>uA($Nn8qrm>QT%Tz{pPM>X)=lNC`jsuml^o|{~Hep|oF zED>*+PnjX$>Bhg7IGjLk2&36cqczECU-sHCuWwh zbCy~*QXJEFrhk$)v){~yi65UQZwR?A$)xCH)Ka!wxTR=6@#_WG5g+G7!_{2s=T@3? z7evXv4J)#OQwhX35|skVopmQHhqIvvEvs5QPGs@`^k_O|K63?aFL`MGl}V#*c8$fh zyW5hsu~!gLtFK4-IvXx$D3-j}`!`QhF{G)(l9u#0?^;DVIaau|-J5w{o<77{?vvkV z1Rs#mlBqXJaXmeE2q+}9gBiHR_&MlRf!dmf^WeBIyVb7p>JB@-NndS`xI+SgOx?8A zu)|2}G5YI;51T4Dh}{g2uycpHV(AN1{~>c-HPeqUl~Gj`;lbWbDxi;NrOx?<`obVG zFl*01Y=ojkz1u<{%V2OLHPQ^S{U^sLbaa=8qN)GhV&v2VN(s$T5Q4fvQTb|Qg>eGa zlbzBWgZ_36JA!M))_O&m3ZQtm{EWr%ew^`M07=WmoxLePTsIVc?waQfKw3@l>L%lszt6RH>5aMfu9sh?mrp6YMyw8uuj9)^ z`J-UreIV0=DjsNFz|4LFLc}{5uSIbr{Ma;D+u9wKOVc+Vn-}0}^0=QHY(O5RIVfV#R`vorVp1A>cm29bq;)XYQ-9GuXlPk>p!|aBloJA zED<;Axe)PUYK)E}W2z}Mn){lTaYvxRN_!VWM)dcRV-f*U-N1I3B|hM-%Ds ziZ^y0;2y?c5Ou30MM)!U&8Sp3$HB4w|JZLX*I>F8KH+LN z|L|rx{!rqXQ_gQ3kNObc8cDn-#5oOS z{4D0w9}~<+vUrPab_2@~G<3lCK7&AspuK{&RMnKFno8scC%~HA)$MU6$Y{R->V@wniMm~kV}D%)p)1u6F04xvRVw<|KTu>U zrXxNKfD2$KJ3sdA*+;JDveOM*>1XpP4e0>7g+JFJ&$=#PPdwjLE{NU@Df>)&nhdhi z07!S@B5uMY{Y~+_#2JQiV;)z*5W{Wovhv#WpjNAI1%p;EF!>Ym4Yg}xYcd8RXP|0} z^-q~m!UQ@7ED6m1M=}pci<;vE{%ub`f6@#;b0a#H%v+T*%$~4?pWrOxaCNf(kH@mF zi-LYbsmmE`8@`| zOzmc~7+;$CZQC()hp@&)`e+jHXyKJ-kN!`M9m{Oq66&SYCxfC>6rvz_aq)^)R-h7=1SnRtHK9c%2M z@KRMx7uFQCDjZ+U5Y03sXqpr|0?(bYrfFwAnBKWbFW*<0xOnBDlnKA{Fy6{|T9W35 z$t56MH(e3La17J4L<`%0)!U2h%IxvE>F|_6ZG9)Z2+1efZc^11_+1( z9SZ0}rCo?JhrsJ~VF1EqfBBxRIkyIonu!GPt+evI1($H(^;s1+-Es#xovsI?RLZ|T zDMS8A*i3jKgy6lT0I+S;A$ouWSOKy`JV{UDtqzOtz~Wlt92dDXnT&pagn^LKm?P+ZU`z3CpBRh;o; zVxQV_f44kJ(MXJ*c%lp32*3pPxg>r#_M@-wzU_cUBDm?YN3Y;Vi$p%q+QzwO+aL(! zcn;A`S?;K{${CZUv=mV z9W#Gn&4LZvgk8jj9UZ8JpzQSK%u&Nl-%#yA3VEAsj|-W%nE%|Mj+x5IgQjVdu+UR? zJrRx2qWSngj>EDZvErxE1rMClh}d1+-8&G_c7FbCDoAQWD~j&5++o~29FNU{<>^xs z6|sX>mq~6)giH~%^a5x@D_CH)j`se0kW?2TfiFnwL=)J)2Mv#BfKPZt6*WptO13P5 zqU)&EOm_nbkb0FPjv8n=-TB~i$!lg$s^z8Qd5DayQf`Z+bD_}}{&bH8l`CDs`TTvd zw&Dx0gjZ82ZFP<6%co7CYu4>OA9VyOP*mnNCaEjRXD2XwzkN8FfOJ|OAV=vM3D8`d zkX&6^oMeTbVsT{KsccH?*>5GIT$D}P4HBCx0%1*D%=KCi;yvzzrUG0rOd2U`Ua#g^8@l^%QX zF7wSrQN3@R(7#k&3w-owv4taR4Q$)T2Ms3qVmuXfJ*cI#X}lhlGW=3op?N?b5FSa;;dLPrjWyZI~8O?*M7x zed}O9{%F=Fd+$nS=cJ`pNG zy)C$(6JuQD!h27JVG9~E`$;JkZ9%!er;^}}sJOXUB#yd?oo+~OjEfUHw-QV`aP!Gz zansFuvtBnEv2q|U=tIhsl|IOf5IM!GglxbijmBSzWRmxatwqWy4r>`*yHNw>$I>(j z5sG`DhpCrXx&EV58*$3+Ve&xZ^DGBd1zJe-&%ArENAiCQ;HvQ#)WLYyPanw6o-jM{ z$qZS>KAfq$=4IbxrU?zr+hKaQtFMSJrKz3#^2x+@@Kv9A7sBIphPMvS2SA zR0XHD0^1@<29=~&eg=sJZ*qKE@?A`@=ZctprzfaYK7W(XqU!{iF?UrsEh64she5`o8p!I5pqG?5%r0oW#_1r-ylVoLb)d_r&){GUWuC=?12u%k0c=@3+7ntJ#7~QEw8^*zG{T;mI*Y6b&GgfKiL#H_$aM3 zcr3CYl2jowK)k1t)qf@i$_Q7v_I96Y2r zyg4y97+$zATgxr9;zmMa`o@5wKB6wMY|1$BM7dw3J=Rj4Cg_%wNGLYl2F23fPq4Id z#}4|4C2s_csQgpBp<_yO>TcXln!oIw@u5pqe&Bw+Q>twuY1Gl-QlHXWJoLzL>OS%L zn+*LJuRdX@voCI5%z2S@nVGc1LzUn|yY4 z(HtBpcZ0;6O#%H&7nz^?M^$xsUgRy;C)l)bZ9WstYGVA~^zV=i#x5~PyNq$C*wSxnX-3#)Er5I6bvV!K5Q`1KpNceGi4|1Ek?x&ph*lH+i!x4p`UZ@#2A(a`VKmjT+#YDH1KBE%*Zk7mMiG*rlP3Wya3B(to*9q&xo%3_Vqn=A&%#FBlP_bMuR*w zzIO~}oqyx9`tz+qF?ei-D>t;>floKy@A^X)*4+mi*T9lmZ07qf=IxfES!FLfKFj6D za{boo!m=dKT^xQh(M%`8Puy`WK|+ZmSZ$kxnGxEXDe>OlKu3 z;ty!^we^?Xb6cT8Y{)(E!@uBnM2gx+1FC&%A(_3-SNd@+;2+|wo|l8a`N`DS6bCh+ zzonNpMnt%bPMSHqN;Le+r{a$JEoPKzZ>~RnMKVGTUt_SCzvsnRLO*V=zj#X<{Ox}9 z{+YVH=O;^**M5G(6)c}uPLeP8dh(<+uE$K*>ETY>tyrPVm3Ik4TJ~r1aoVwjH7GL= z*N#l8@;7g+#@uNif+W!oZD~Lc7D<_<+%{>uVcxcW({_0$%}r9;)U3!d>~h@`H+eb7 zZ@ZVla_aiYQ+i!aat!{Ye57(2n@vOMBbKP~&D`C$bGNQDu7s=flG>EfDer8g^-{LO zf3%^qf_4=iKQ^&RgRgOmV&b1)UHj-AD1DN{uXOo)w`Q@J3Zle~Tfg+UO5uB{!$MBa zIYH+qx9MEJlvTSU&z4Q48Y7ke0zVV%V8`E|Kl{^})8<;~l7-%euI`(Thx4pgZel^gt@o-m_h_wyU8DJ83~9q8fc=J}C)n|1z1mhoF167v$@^3}0sqE=q-558G5L;pS<5cYjZx0Y<8ZZ5il78c<~?Sf zo(3i4@I&`Zt>h)`OqtpDrgm{-!GbdwrVf@^4gaV-R98&G^cLTLX!XnfeB94z5;*>o zo0ZJny0wwhg!nH-FZaLtsM~^Jy4qC_pN-q(8xzf?Cg0)C|3ICt3!dY2PdzzJ?T0J> z{s{7xZJquH>gJs5`5;y_J=s>78$_npm5;uZg|NXf{3O{XX ze5cpH0`MIwww%eQo}?u|X8s>FY)Yu)44oV(7i`;_5{1}S;L(hE9K{VqIKKbs!o@;ojLh!jBhNj9Uld46}|7g*AM==ki*oEz1ZQ`JPS zdsw>tb~SKFA}}i{$NlPnt+H$~95h9Oqd3$zj%8Ck#Bx7s$7cm!MLoAF2gW++{CKI< z;Rb7o^@?8n5cLso8v07}jf(Hnt!U_@UYOg$seHRIwY5TfFO{sq#@5O+2hAmaG(l$0 zF|{>z`AxCbSNy==7`|jGuc}IXZi~MvC-IhBqCoiC2RwrWkaz3bHnXh9Y}6**2l9=- zJc~Bd_=d?J9jcUlw}OP_=K?Lq0_Yactdd=M^~KW(H^>P}?M;n}?h4E(OM zmiflD0AN;E2;%uyBU7p{mk6MCIMs;LakqO-(<{gginXqINab-5Opz7NO0IgCyu|uY zOe)@44`3yf$agSdpxy|yJEj*z&`(RpcDMxVmxx_WYjlv6ZOH_*FRj&j0@4;PNL^0l z!opeo9P~SO1KO>vLQu*UA0hl&acB>2r{MBsy7Av;exK?oD9aYO3vhhYV#Q0U42TC0 zoYY_by?Q|9>$xNcvSwltT~1Gh$BCNUgr+RwcwAlcylj|f96FB{&S(vZ%ej}mUUP(e z?v(L|$JSKY98jA?ea{oVQ>AO>8ebg!O43wtT{)Q;be)fniqP>TBnAc6Xs+EwcMt&s zJUeLK7f>++X>x@PGGgv)yjI3FbnMACMiG4uR@GTBRb&!Y&zwM z8O>e%1F?TbF3x%xY-kf6;`js;NlDs#y?14}+$LA zU_RWPn~!CyyXdT3c(JLnZUO7~-_}wr%QqR5#d1mhAiGq#lD*%!`QO^7v2|4#FfKvc zK!N~=8$JlzHbE7J+*nf2wl^K#(!3$X!?Hb9ow`EO7`d@#1$7A~lX>D7MR01<*-m}Y z*;KWW$T^GXaJl;(d<*WM1ybq4L;&b^@~;h-sJz|kY(t%*MnegTYm4Z@CU8Zn+e=y- zYeh=uBO}w$?{eIQ0dBaAczKAHxeVj*!?cZP^=ZUNgi$a&l8Y^NP=pgxv(Xsn$ql#y zQT=sMROZf4X{CX8=WSijzBV!L;VJo4Ys4vr{E+h?bON3L;rPnN$fwR4qOMZwk@cwDhD{>9zaMAYKa0NOyC~b z=Kz!EfaROlT35pI+C2VKVS?xGj4pz5S;K#SSPp$KnIyh#G3ZdH^pg7@cpA--&+zb` zcTb#Tq48C3R&_9j?u13V62|`$`4SUew~rxs$em*mr<6UXN)a3S3`~`x@r zyw7A$__L*1>;F6z|Ez3COS%wtCkkqcKT~W6T-D*=Q%^WFa3?$~I}VcjPB`%XK|GYx zBfT@5aNt+VrE5L?j(ovEz^r>2bOQ@$rWlOS(MV4v8||b{IWIZ$(?}OCl;#~(c>91Q63_YW(XB7XD zZ5%j(8xXcFax8Flj?1~~zGAj>%yLEA)p1|nKZN&!sN~*q-gt^R7`old$9D4AE7DKT z)TrP8EG$$M6i*fHT zSbNOe7(X^|hjFYnopV$j&KUzbr4S){4#stdK+ga}kc+l+t}yji|E0aXk=cuv3m>`s zy)42=M0Bq+-0$o2%ys~S7x;X`AnUq`ZoiMD&2gLRt+U6qq!|7lb0@|nR)`;SADhoP zAV((sEHts7nC%64Pr57a*kZ%l7Rtcg%x|H)KQt9CN%|AA7%%)z{4&rx8-+Yo(~8O<0dBgTzyWUuS(cjQ8P<;kB)?Ez{&4zdlhW_pxr6t zBrM3tdzQl1U(<<>#=N;zGaG{Ph&)rn%eeMr#E8RQ(=m1! zFp%WSL$|@HSfCiFQs5fL-tX|n7y>yb=~`ht)`KZ_I&kFX8NY{Zj z+eJpK<$SSp*iT3;wec3GkD%L7q5jh8D8#=a`6OzWk09e2*!~2Xcr?i_E*SrL$Ncsu zbd(kXA?oKTQX+Sq$*Wnnsu%d=O=8^Y+k2A!p4H9E`@;hv5e2GPJUma7jp*y|cCGpKp{8^`&|3i-@0 zOt%TY-F5QCibdSaDv9i=$+8wymm5vgns?d+>89#Rl)QpbKZcZqoi;JcxHo>wk=!l* z#=k$&eC`Whlnvc(a5H%x*ZJMXQ5`rm%ItCP`n`kQbSJnZifXrn5P<@GjW?}}?AuDb z{EZV&qleRoo5+{)psv=L$u0iwSN4o0yB^l86dNmqmid~&2wFixEb8PlB+Vwx$JpL8RY*r%7 zIQ?FzRC-+6eP4sO9&v~2*E%WxQt4oMBS&cEC}8=w3zTR;yX!jAlc~V}G`rO-wL3K@ zAt)xaBuqzIudq4gwJ2bYE7+uB*-h;rN_3H5j&jINXd2Wfkdd5XX)WF!%(k5T^e4%W z#>EJ|L-)Hr&94Uq1|Ie?2PR|&hzXIJMn#E({&}(7t%6LNgv*}1dj}IKMRVlxG<2jzR||r?LNNk7(Li!Px-I}>&fS#+Q3y=392Xt_2}z1ljva+0t1?bWrj8kjnX*qGI|!2 zzbi1?3pp4Cvplw*-yh1dyk-VyP56U>i-F6lhUK$V*j<%=g;yzvwMMF-i?$g0TJ5)@ z36vl~9{ZTpqt&=glru%X3B}S%=HgCzNU{GYB>sto_tdj@@`S$k_<>OD^hAsuGTFQ8ln*+o%m+`NX5TzIp;R5kkbhg-b4LitpZ$K2 zaQ0B4ag1kY^tAbAmpYY`#PUo!WrKCr1*z~37m=Nq$AyMC78^dcz0r47yEvJ8J2v?h zB#+ra^DUmaM*OZlLFbze>^uRl?T`bc&Ka5-aCOi%!adk>Q|PSsW{XBMSGXO_6*P6p zU^PSh+y%KilC`o|+CE0CJ+z2?n-_B1JMRUtphII3kl+2AR*+qCyIC&_m}9o}oZ~Il z>W!ubG|>wWtZSM;j;(LOlr~@ zAdN$d2(HvM-V=-ej_}hX=pE+z6J&6@x9;p7eB%Wctg*|pd0C%)Cy&u;I=g2vdV!Jv zY)s&-k@S4o-Ix=&EWt6=$MI0*Egf40W)3$|_yaG0_AGRtp z?~MY+Atl8I`OKtDkJkZqjZ9e5(Y)gq`~) z$hdG1a5D&02f6y-N807OpZ%_r*D(-@MyNOo~ zoNCc9(tC(IRV=3|g>Qt3+iIG-ulS#P-`$dXfY?W+c>Oar9if^JH|kGf+AEIx2kK+j8y?X>TNX z8WnM}T*W!`-OT&v#;+tN&A=RQ{K_JXK0 z(P+A_Tm3Xo8+AFE)dHuo@m>Q~de?S)-r(Q)XmUtj?q}!Q~HRysrYYIJT`B`3=yK&me3rMYzFC zXo1ZNg9g@CGD``ko&=n*p47UpFK%XM-;4}*Xx$Vb>+hYbYp*jGfh-`D7Vyk<>)Yb{ z65?gRaS!6|y`N*F?@=^v?WodHK!Dh*TexBiUu+8ngMTdls0_6A(6 z)ftxU7H-720_7m#e)BkmuhJ7iTNl43d<1DURy@1@G_2#`h4+Xkt(f26*VbI9akSs% zkIL8N0Gsae&8}4aX@$Lp!@zu*9AGwq>toW+`A>yH#CTOIiLTiJE7yhosdpZ|x&NHN z7vt~Cn+7M>552`=LSlg`{b4G-C95n+ttLxGD&;U-LFOF=9Tl)kW~%O zcF@@bdX}L^`(;G3SWbLvm9L7>>e|bP=A(Lr;Vq6(Iu)w=9pR z12sL$wnh*~Rpe8uj9#oX(#r~DFh$!9D|@s&PT^}ppjRXB4^2xr4j0k7JMMy2k{SFS zGxgiwza3T)YSBWM$G0{J=ML2ZhmCqhN023;5F{di`k4!G{rSy#zi}cmW%HRcE*Ki6 z80ud{G|&c_olm|Ea`P?+6+R8WGdV~_HN0cl#!0rv&7RI(REfbt*U>b6Gi`V>?Wk4} z)&eYRrn!DlXBuc>ddcR`u%c4Jm?$0<(AHEn148U`aGegIgq37{&lyK-{J zk1m%k-{;99^_sZX>9Cemz8vg7++zafy9#Vh7ljUjPr`(Yw%3G%OR=AqN(9?~DiIf; z7tQ=PfIt0XT?uD?O{dPP^<3ovz_7i5OLVYJK#+ILvMAyELw3<0a1T$_+YGkS1LXDg zTko!2v1raw5S@UUV={2Dk)B$)XL}r*p_SNA@sQ>^SIg%gomDvZcRQ`+JgBw6p)W=W zNwzC!CVPmVn5Ar*QV%;qV@N`Kz=+6%)lQJY;ToEjx}mG0hsB-|)|vmUR^>J{J5p>J z^=yfpYR@aH>R-AXDfR$WHLIo^YW~NL#QN>qKe-t29GbiiQC9(2XW&&*S*r;L|1l@1 zq3(SR4wQyI=J{w@(usVdCw9oNgW^5Urdw#Qchz4}hz^pjNs)hcbTT;1Q*cE1?;8r3 zE1&oKkj}X=)E<9{LaNVP*S{}crPQ)sXHEE_L0u>bv66v@7n(W1d0xPlPU&q~^zNOc zY<(4gc;)n7Ch$Zy2t}6pIUA+88vKH~jD?iaa?D-h4qx@%%rbX{|4~I@>ZtH4Mbo{U z$N%&s385)trB)WQ+V_S;GdMo1_OwjocV49^=c7IIf0IrLatFnwx;eJhxK4YNsteWa zY7|zxc+p>_DCPS{2i;ANKAY{f&*5dqBZ0tw`69$X`+(6`($=0q;g>U)ce&TCF9+2e zIw0D^^BuiQCsmJML04wU;7kY~^^gujmY8bKAzQLP=*k+>AH{N`byf73Uxu#iS-Pe9 zw9iAYRJygYOPX8qY<_>u{3N{XQVB`t84o(SuM!O)=@LDa2i>C^>F^oHjHmkT2H6}o z!P@pNpzt(uE;-AmOuo)CzK1-a75|l8qC7$jlbYk($-)5rH~aS@BoJcGR*<9Qqp7 zo}l!YdByeKGIdL_7}X#UV}=O1b8Kw+$LJ zn!QaBv~3W+@8b~5!Anr%O>ue}vv^NhoV_^?;OmEYH0WYG_+|W-0AUK}AJ3k;RvCHR z>bzG#+J*i#ULF5Jhc3MW2?e(#LBo)oi{7Pc@}RY$=y##~StL-Gf9~02N`A+aN2-y( zx5i=YuPS{=oVg;-VJ0Jv)zByIKQuLz zS__QPyD0=43cM!;K;V7s+AZOa{=NvdARMi7=kj3PmpbUS_H`X4sb!l81q>*in*{E6 zDr7~0L`XGFg>3B=Xr`n{U%{+XtL-<>r=p2n?$wfhj`Sqp*fGmem|^1dKa-4{)L zw*t|0T)pr^IdKVtePX28b3K?>{DQNe^k<#?@4?{;v7dmWn`?yq%{XLaG#WV1qBb8h zN;&kk1Kw1*)ZZ|L?{R({w?y}zeA-2ueP8JPrjSt!W5J!PboTUu(&wq7P|ijSo3_Jb z4~zOW5YQXk#>HhQMmFZ35E5B3@Uf2jX7AzlQ_K>zf~us+hYUQN&LkbeZ_O(~g9MJ!Ypd3A zXMQCt2s4mE+Ht`r;TTv#JIRWG6=c?fix3&WGY5QQ9UHYk8HteiU?c}D8|ouX_W&U4 z$WoyrhP7STXnYYu)1Yw){y90|)aS|2riqC+P=J{(!I39Tg7(d2eapHQlL*(b`(O_% zy}#<~z#m;=L?VE_mjV292b>5xrl1?^N3lzWPOlZeNYR;{Q0?v01DRV(9B!#9M_ z_Ki;`n3M^Tq4oo4uFU#FSQ_1AFM>p_4_o4{AC1whs8~gd-BELhRgI)4>w)$5Meum9 zSdXMcvKb*nZaI>Cjpl+=K5~?o;xn_2Lh(uLW%*{``w}-$%my)@*UX#~936ZwwyUPc zDV2C#mQKtBTG#D&lV}oDjd)Nu>{l#jlVG+g*cUBnf~Z8fODOwUKv(U2nk zQ%#M46+@<$8w)LpfrWxdFtGrkMr_|yyp80W%ungxHyGq%Bd1x>u~@cy12nE*VP{Nd zgR78hw)ZBY>#9&;jf-LomE$m^74|3$q=z_z*)ZEvdYXGaMy(;aYm7y*9LD@?eDysA zH^jm46>!xx#1b+ujjPK|WUqh4ctW+0kS=ti@)8>*<7GFtC5K6JxgAHIhx{aP)7N1C zb`Y#I)F|4GPL8-xhR~xFV#lc;i)36>WoYciWBa#|pTZv^o7UKdK_a{MZ>cJ z)+2ROWZT}q>y(}c<7nVxX^|xV4bzTtNz62d(>0@FuvSp3 zZu%!mt|caNX`;0zXM7C+xz?kGlqb~Td|>2D>IYJ<9n9FL- zj<^xapK z6@IeL(c-GHJ(^!Tx>|E+S_!YBDG)!yNv9n1BSiF*@KW02>JHDgy$qL_O zTreJHbmW?m%8~zXckl6j_b2hg9B9wOPGf?1Ui7U>IJ4(lM`fF3zXqHKTfQWILfOSU zehAGAP9pxqx@R^JvG%3Sn#A0|FGITXgGd^-|15RYPn^PxwPM=ui6K_N+iID>W1x%< z+1Pcw0EI59`O2Tq%O#a7!HN~j)8|AYwt%_a+HFlh! zYyXM@z;fVnviuv-kMRwd)FJMQ5C)C;uFXMP&prDf*Uu;&1H%N!DAluBCL&sGU*Vaz zXP~aYY}a^(RH2|NoN3`)#@?#_w+fRVxub2Frkr(|wAz3uZi&4kvAKB_G=MGXPcpYZF3WDk}VqpnOC&iP^{I>Sib(=!GYy{ry5ZX+P`h3DX9|!2+?47{u$x z0?^BNCdK}K-eYB0_z~IYUU|mAE&fM5Jlhp#$DUzH0Lyi4C^DRdlM=M{@0obp7+Igo zc^^jZuo`Rl9QB2xnwCT&nV)v&j2WhNw)4L+(21zR#471R(EB4U_!!PGNo9)hp{}kK zfRR+~5~r<8oPrEcl#Iw;k;_{LH`*`xrZ4uE}UJ=Dell?i><9DN_R5?vt7EpSPwi>0~hoomIVbTKx%xV zZlhx#!(>*q^n6sx@MGNy8qRptU;%(tvmiGyTf+oCkR`7rF;S6N%@UGCGAZdz`m4zEo6d29|@Mcqn|Awb()5kSbe@E8* zu7;bCZ1<%u7{%xWAyHrHQ&inj!NmX`Z#3bFff6~Xv*7R}QQ&Rl@qx?0&DB6U6o%+n zfQY@PyBxuS*Hb4j6b(4pPFVw{%n9l%Mf-gUbQ6?VAIvFgXB!57Syk)%V9&4({IW>j zl2^1#ObdPt0dC8z!7vpw029ljmqyeH0vqv|nx_$M!cjkUfApZ6H!BiU$W((d*1QeC z{uGFJ%8f=yGH&9&VgFeS%a$PEUi#DP3fDfOq7{A#FPovkXcg{&c*j=aIbVWra$BGq4X%~2(J7YEaUSFd|7Rre ztL~XzL0(fwyYGOCUd1$9m6d^UqtF%gZ!pkManKZzx5XwB4i9(v&uWB(yS+3sBfWsR zu+D><$vk_qs2fw63Fc}SGh<~~Un%hfKCX-YNR#{w?!1gFXCob(9VcCk32oz8ql9@h zT{Ea!mQ14Phf!byh{_Q^wGD@WTYzx}+)xh~-5$c;)_=<%gQ~bm$FgEc-u|p)+k|tvT|ymtsXfZ$d1xpcQRn=omYnXjC2M3_8VV&L-Z6Y2|86< z6OL~$#ecrQop;2Xe#PQPjG1>=Hr82lcaO2QCdb1~CcIctAap+*!sYs~wNv!Vx1v*< zsbAW=Bx{L)c&@3s?~*B0;{hO6rQi|1q**v-cNje4Wr7*IH&BEU157YjgH1xNT|$#a z>imGE7Yq|CCG0f`U)|7@5ZhUY6!$;W*WNR{PJQi<$v8gWt)KO4_lXKLC(rHc(IgEy zGTM{(ugtwoMUNcYjnP-J^wK-^nCaY}58YeVAS_RPpI z90Bi>`z|)LbZjms2rj%?lI(l^wKl@#T#}^-1)bJ;xStJ3q*UY*?&NG2Osof}Z>wQl zewJQOd2W^FmpIs^IE5F0anO`ZL-()e$5$K}>5B;1*nr^|wS7(d$<@L@zslZGjhrP7 zRKwyed)i&HE!Te5t5=@1LW$%TzAf|0`q5 zMKH5L6j2Q@rC9FuNb@BU#a3;YnV#ZL>%&kG2}zre5G+7o&MA@)Lm|#DSt`hB<(ph) znDbTV+VUX$)T@)4WhWh8ecYx{0&zs_1+>UW!~NlQX0zePB2jk8MqfZ8#A-0atRZg^ zy$JpDFwFh&&j@h}ML0?WtO zp2t$}MRCmSTnNCDd14T^MOnp4QuU*8rS0;f@UXoe61BVj=zaI?`*deLf!bb!ryjk2 z(c#?GN|0iW9y3k_owAyk0Y*S=2$;GpBXcp)sRsRyiQ8O|R~J$J|IYcXGs^}zcCm05 zU)rE?paV`-KdfW^A5#&fwuY9Xk>OBB8~A9co1Y(az=X_pW*@d{U|L*J_0@5zfbxIT zQFO~-sXg{760tY3zcZ&M$Eyolg!pxA-)Etj2o!(?_b0d+prIVjW28|v1{?n={4!Fs zYDIcK?Z}3|1Y^O5-ew#!;Iib&$PQg>^#^H9h#j)NCMNZ7jg$bN#1^9}UnvP&yGk^oV!3W&0oB-|itJc%vA}zwg=V z#61tqhtvI}gE!0ZYxGmqx&IVMn_aX>>%WfLx>4GQqmB=6wEGQ` zM1CFP5C8pG+0MK2v($I^9;&{pP$aIiSqUM-JUT%VrLlu-Qx2`+104xXwPVFGxNUDl z>T~t6eEFR3-B09mrD&jR*S``1^2A?m6Kwqi>!xo+c1_|bb7UFSX+@q6=t$3kN#)L1 zKXk}Vb#+WbfMm|mdhqDyB_vB?Q10_^ChkA`#~+#sw<3U9J2qdx=d|27?o{phN3;qR z>cA=1|H1`+15vDWcr7Z~L3m`AGkMv<3=JPuwFNBqahP)0%U+@#!!y=A*y;z}kvO#m zko4a9zBq0S(8+x%WU)1DAji*dW=>k6vWzp#LefU+D{HI({o?%gcX5xZ1p~EurM!E{ zMNNt}7EZT@4Xy6qC(SBllcZ4=zLCabhDy}z;U6)z(y zI-LrP-p5T#DpP}l`QmWhVu9lecSmDUY>@>iX1lMF-*{LHacG(1_Eg&Bm$E$Id00a< z?sVN!eXJR}a#706Nkn5VvEZ#+J8kSGjQ+U#6_M}pw@_Eo8gKaNaz`7R26nxq%Fb8HCL#Gs#vqMzOo zv%ii1$KMp3aSEg%G%2pvOj6=FU*+iiYKA{GqlHZT%$9 zk%7gqGJTO@yYI`e=+xFP84iR%i$PkQauctg5AaFL>rg&V+}D)%wPT9gFLBP)Ja%QE zW{rWiRO<Te<+>aP`J5~v`4jgRV z5Ue;sM`MZ@Wy3a$#IVf?J9RyuMTk4gU>{nN0&k9~>7qCfYkP=HJ3=5XiFi7TY&F9< zvg7baO&130hq&KNc3b<1lv^DkG9bN`Q)?0P-2F}*>@_;JdXdigH8TS-)xzaG^|mQx zQ;)5_FU@oWl-XN-f87MZ2SGe?M$_(k1Oj?Xh$YzMl zHY;ZCkJ#q!)s zFEgd#T4z8-?-Ij0xH(G$nn}c-CE*1osV}@dgm8FQjM~|vQqm4Kb`c&E13JgsE`&S4 zbQ`n^>X8}sq4}oUwB-w+ z!zQmd#kOXBCT@C%M|J8Q>F>AY%VC_eR(*C>6J3c;`b-?G8tC$?j;(fA9y{HI%el~F zq|c%`K01Y;OpnQ26_5D?U*T`)g5dwsUZeH1Jkxy6@)(CKh^tI7$y%|y&(`jX8B-rg z;-Wp23e2tj4}$d`Dff9|Jo&L4&05D1D;$KRDea|cNqNALYsf$X1ADO_w*L3Gm@T4> z)X>C$JEo@95@xmLGG>|)nzf95*dsTk3Z)JN;xKrga52AJy;3Y%b@|_Ph`Orl#qxdf z%2$mIt%tMAAPpYvB)x_w1e5kO&h&EpX9jQQ54e(@>6_+2xeLpmO9;&NVdCt3%@GS! z6eVc7w52y9C>4OPG)G!H^+n7xE16tZkV%|^wZ~_BeonKqpAiu&m?(RmX?!TYqBbiA zV**J7y1^M{dkq9xPzEpKS>)VHO#QSwD858x447>)BJDjAIR8buApr0Ew_?DE zba$PbVqYvCc-3b*J`a7c8|wdHC)^*plzk;2X3}D15Ld1uYmusTElFK*Ca_{md{?0^ zx9?tpz5pSkQ6R`c}+dT_Fq@0q%FGi z<$63<6EYu;ui!MkvwcHLTC}+X7j}iSVu9rNC~1m{u+%7K45I}gJKnfCF<$vA;!?*_ zec=>kV>5(%OBMCF&pr-D7BzNo=`{^r&n&e%E*Ks)A$1ZJ(LRQttuCSLQBhR-ayJ|* zh+%N|p4-JDEn<)^z*Hq^k73qCjP`w?V@IZwJ7$D2 zvz%$Zyt`4(x~1C{BL^IDB_uOXxz~hn#M1zRx2_3L&war0tBRM`eZU~jmVZ9Nhl%Z+ zC`NLERqF4ANV(Tmdi*a3{=#;c$FC#ONtTKKrwPWXcD{uDapo(l;+&XQ!vg-cwwSK^MuUwts2;(jZ9avzbLlYpVAz(qnY&TR7DuWM@vv zw3V{2<#R8eKjN(9|E@5C<|ZQ=8FR1>sqIfwM3TkFZSff=Yhl>VkLxD?0P6`DPxc$% zGS*CVKOO=7M_k9r=Wn!^Uz)FX(?h=#G9|K_+KNQmr{0(wc++6~4B?db6~UaH<=da? zIArx3*jEnwbyClv8GMa1q~04kFlr?AX@r{ci%*e+eGIFFSo%o>X<~JaN?Y{+ol2(o z50M`z;9&LVwk~~F<2cb~WB3T5GiQZageKA=R-ATx`IWh{oB90`oaL04RsxBT1-zWS zE`!9r%9M)ec@pLpQijLjiJamjSv(khkNEfJ7e_XI}_{9pIrxt-gT9wAP^L=#`%^z$VIL2mC6(6bTq}09G z%V8UR&S)pQwxL)~o)4kW0Ys8?h6)#c{0kE!5JZUY=>8!=wsdK}f~dtb??m#4HSzx+ zw(rEjUvI4)nw3sjDS*G%Jf6Zo(ZKCRNv1smZSmv(gVOf%_8rXZN=6dq_7(r8^Khq+ z0%Xv1U}ETw{{Lamo3V7vz%0 z&!0M|$!N_4mYbk>xmx%cq)5$4hF>^VT(isty=hAqC9wi0@#q0T6qcF-B9-lNGO4Mf zieg4Ll~xpFNjC;f)=-ZN@dQ($zmh-dei6dX^A!C<;9rp$`{w9QK{F18s`1$m6HLSf z&#^jw{7Bh`i4mK)Hdgc|_VnHOOBZcrBkcjQs)Fi&7A%fNU?t~~;&F+|BmThDEQsY* zTglbYRqSX*HZkIm8)k-@Hjn!IMs9kE;+IAo!_T0LNGybmpx;QH>$8uhwzk~1pYsA!lrb%G^Y_((C*G47`GUd{sR zIK7bgfi{m|5^5>O=@Pec!{PyHm4XmW_8gbn&T7Ibo4nC61Y1m=G^m~1}Vbgim ziHK;f*5Cq$(zr-5kDylnBMbwRTT;!I(gRo5ad9J+qvRszM7`wV4~nooud0%|TGPQY zMc=wP{!>_Q$Fz#S7!C4qsE3cD?0t8I4wdt6xY~G<(k4tlVq!xWmMOHY9fX57bxLu+ zFr#$xG85YEHP}{CYBw)qBL9UclAvj;!)4@hmXHSj!k!>#`Mc;W$CU%OF}O|;Tadi~ z;`$m66xs}VbL2PW0vDus&DM>`{`)=ALG#5jbHMdiq47L0)Z7X)~4UCIDIg zc))^KWFZmo#*Ny(pCi(3ezBBWAM!V4rV@Lsp5GtNqToFxCw302V_oe!x}?MZQ`pXK zs>j()qOLN%(u%?0_}I3dyWZhtd&M@rN1s=9A^tDB^T=#>8@D-{yA?J=sk)i3?%@Nx z#@DnOu}FS~5A{tBakh*O!~{FKsK>#c(oH-cXt|uzN}sO7KX(^|uuE%9LCuJu!$Xc2 zTb}qK&9haM@*hAfPzZa2>qYuIP7&Upjg9fS=`Vp^EvD2ifjC*>35L=2B0L$5sf`*d zr235GS)n~`@B1%^AkmjaJx9ae&6oi$_2G<3(*}&66!wp(tPxg)8{Yl{Vd@S5(K*A; zNtc)!+RsS~>GUB#INIKmF7Y0QfeCY1H9-aV`j7%gs3-(UL8a*3Bme;hKCKeQ=WkM= z{3m=QXLt*q=qs&H`xWq1w&hD4b-6$GexqX+X{MZ!vgh2)dHQCj4_<)ya%xhGjZrn| zlM4zajtY56DsD|Aj zr7uYj>of+SF1RhL5lpdlKx499V*C50)hBw%0|lGY{y-(f^7wpsqeHrzS|bb?m6(tr$9|2h0HwGiCHi z-Ou~$S~aa+t2dM9<>%L4r1(Dk;4|$^F666t=};flT_u5UiBe4SZY?B9#5PMYOfbRzhUy0EES6YM{M4^kD=jO3T-az1ZE4;620Jm#Tz z0gocVhYvKAoXw8E)%85&>=L?mVe((Dy`ifhCaw=J-yJJ-AXP}X2M6mf!ZPJ^D*PyP zJT7^A-wTzMU?x*9=7j`T_6OH00)ItR)X%7ddZf{zga}bRW%jnlF7c^jqTJ=pary7G zOu1S=Ltbrp5g#6gkl|rd2-=V-xHCE*72oZg&aMCOlzE6RK>a@0VSj@#)*1S)vxti< zl~$CqtC?U1wn{7hQE{wXvO>ky`18CDAAX^NNAjPmKmUlG)JCCyAx?U6a#k~HJV*(N zyf2qJxonl!DOG=qp^tA*#?%#i3K1t|jsm#vm)N4y!09!Fcy+i_ayt_-MH!{)N$&J> zc+lDr5n%;rJB1^>#9jp|N?L1ge~WGd;BlhW+Ill#2^ABThXhu1wk0QRvUuoGDJGs> z?jvVl=E~&AGz3`jxkaiDiwm0b;#UX#nL$kBc(QpoqxR?79N(9#IHFQS&?TD}c2DN? zFJ0&5j2e4NDUB2i%K-=p{Xe8*vl9N zXiD>VN=RXIZ%hw}U5cQ2iP}*vISYd}T5#b|lijWEaAjTqPvAYP%wr1oS}-%w>|>28 z_`vld^fMI@F^T<_h2+BJKZrsu3O1^`-MzXG+Vv748c1${W605Qc7R}j^uK21W5p=u;iVgk)$B%Bm~Dvcye zJX+G-cesOSju6dJ%%a|Ysfij786nZ{HhW07?!w8l2pqrFCwSa-RNrGx-SP?(Qp(tf z)U4>7tX>|RoX*^KFa8KAFMfCaK|Cl#bhmhfj3{Tj|GVLC`wyei)bIc;c|3;TZ5_?G zCM41C_1-SOWq;>XyuTHff|Huy!B?aj z1npQ8lW!34%HrBaeX^sc`YL3iq0~is8||{emc#eCjBs$@U(=Fz4DrxdG$5SU7s!et z{KdS4bh_m$%4I}zpWJ?RXJ`?{Pnr#JhhNS^Nv^Z0@;R14-%8{_eh+6w8A_4+T_UwS zzj#sV;TP-B7wec7ojv~vm!~e&W!bcSdQMo#au(w0n!%n0J04t&JiA*qd*>~?J4D_K zR-JYV(^!0}*Kk`%*eF_Op`N*}%Hnmy&*zSvP5*2~8L2kvOiz*11`kPCFXL%caw->4 z#Yn}d1zU=eC4S|N`7E8=wRK~q)D-r(z$XW)6VV_S;vf^A`4x>8(gvGXAr}5TS6*Rz|1Ex8=O^LoH;YefpFb2S9!6uw zv1$DbA`n#c(f@r$QH-maH^rDX`#kJ%lsL-6WjBvC!aFpmUd8^r#P!8=JWVTHhF&c# zrIc~UFk(U!By(C4=HHU^I|6IY6eF(C>|}v~5!Y|wMl6H!a6c-FkT=iU`49(-3y`ez z{-7n=L4$?}a1RJ?Tfd=Lm@E*8sviUsP+8Ph#i}U% zR6^AetsZKnuSB3<&4*oxw*btn;zOLz9n`pE5o;P}iRipLuSsD6Df9;>V^~ z*Vtm7XbE$qB+ip;{dFB13vOa#_nt5Z(D}g11=e~x1Uyp-4?k4irQ_cK8pb^e;4xjCuz;FuTBLqzO zjs7*mfYiw?z&@0e!qtbunZj`L<%2fHOYwQqXj3I4 ziNp6>5G#q34`lcIO&fBm^_nF)dtxXX-;XvTt(0RnPf{V@LLhwKhV#L>O~@WTlnpsf z`Sg*})JHjCvULiZhx2;T?h|rPt2?LSkkujlB{d`*%#pLImyY9E=Eg*Yct~Zd`-9L2 zbv{CjHOAaJxu=0sA--W_(@RE=gS{`q4^bP_>z-LH3GqZH=Fi0%_0CWVgr8^)Ax7E#nM+87#x(%g#$r#P0eA(z1o`9_jqo-W8c9M@L&ikVx!P&Md8E#02c>-|O z?-@whV#rrh?^)rqU3D!z(b}k+Css7#i+5n zOH0{{?LgtWNXLO0A=|gYa$S}u%#m2_0_!*x;x9v=I{Bx;r$RI}dP`w^JaI=P3pG_W z#cz}l0wV(4(9GHa|-Xg@tkp~l1g~%B763iM>&Ow%t zh{_XND?s>(vLLz{e8B4rh?FBE9>hm6!Cy;)C`_`(kp^cWnzFdyGaPewNr=58$;e&R z!dw@@43+Vr6<18PPQ-_5;R#r<8!;MJfJhPJ(4vDNLac`fQBwLq_cHhdP>vKa)!Pvc z5-KX3RO>jN;Cx^^&d`SzfH7wXE!m_vqnUNi&~urF+&=9LDo+qjEbB$ar>j9F#7C^A zST8^e6Kd#*=NKPctDqcAh$H1%@QDz-5Mr|rX$Zv;m043*hd`OmM~GjU^cNU}IFocC zOnpSQy|{FO2(h~%-4k<|EZ*)gU>Q2|l>mm8WW9(FNtcqWK@h>(6jn;9eB!#_$#mxS z*^==gKP2feT1R{cq8?DtYvGA`OcvEE)@Lgw>vcwjH|2HCw)Vp90v*Q_PZ={XPYg34 zKw`{hgqUvZ7r_2Itm<{ne6K`G96@v(kL~LT0h_l893c)wr6Xu9R+;R!e7AuAt``XUcm>3mR$ zTDYY2+0GhiNH{_q63L1o9Otq;aPr}^W0CkA&iLGf>PHFdF2uok;GDv6c-;?4#UbCY z?K4KIWP3N9(u(*H6=A5pp>)RGNldwLdMgwI2PufEPOb_Aj}Ecz$H6krz*#rqL41VR z+Nitj?)CdoKSi}_;qt_ep4s1DXE^N{&Mbz*@05>4AyHDY`T!N`OFB#W8*rwia8_nG zUoo5w8P1vzktiuX{7(5UfS^m~cig2s(Xca&l?IiRM>8DaiaAP8;{!!uQaIZge1w3T z>Dh!hLOjTD4#{FDSwh^vaPsAY`r@TzJqJ@y9g^Rj`wnc^BcJ>I<)nIXuVlTH0ri^Z z2id7MxxPrFQgE^={^GKVf%8Rs8)q&nHzc3)2XVbo?^?K2m()2I3rC2C)r&M#^+F8^ zqQ2z|LA+YHJke~EV%Qm0WS=LaU!6P&P5_`Bl2sul7kJ(5F@sN?90^oO&PN(J zLYRDnFjo^&{?sV>zzgh+QwvhQ8?AS+?Nuw|&H(2hp$4b zVws{+Sn3lY`J8rA*vJ`E8lQtyaTdpRstgO4#AnH;uauxA@maDywo_bxL>eM@tZ9W< z$%rlLq!*UQIBPPT!uT9-@Btx_9Fmm_f@P?4o!reBt%T?t^<3gpA&z3QnlT7+L<*w9 z-%El>IaQ%$sXVbw1i=h7loEFfDIr9N`9|M?Re%xa6;6`sJ*Kn$+*^|BNk&ynSxJlG z$~Or?UFr+*!uQ|nR8n?{_#nSpxTG}i)Km!b4g#D8i>QznQmHdZI%mG~59?EAu@3-( z)WpXVd*II~A!j)GYEXG%Q-JHTq~znfx$|$+htF+3Vfx^UsVOOa(4lnc9=lUxvk%^Z zN>Z6NlyVlU>9z)ylyr_0byE7!c#7N+Qo-)jNVz${b?HLDMri_Kvk!D8W6XsOR|B%O z!H3_e@q}3~ZXZvWch-b}4ZKhm2ng#{$Na|=877_Xo{%--I|u~v1a6(`){qi+6m^Iv zmV76o&T?k7g~3^b{;YEr!nC0$9x|lc>|?f5jL+@{4xGR?&Ju;n8FeQ)q>o^}A;esEAB6WE&WA(z zS>b1mR#yLzDrsjEr%n!mx+igJKv)tooN-1ROjJ|bd18eTTJP=wNB0Rxb`DNy-u>E7$kn`aX^K7>e&oEiLG0rAV zojj2F>UE3?@316mia404rWK+W+$q)}>Q@pnG6E8n4aePmQ&v&_b3<&R$fMldH=BLP zqn5q9Zyp<$`MDjw3NTN33GtZW37)|7@mO!FJB-W5$_}2HVL0zFoNXA+pA5&`l@($a z;|a9<&YCa#RGOKi9)}R!&N>Fg`BLGiafu|>!WY#vx<2K=K@CuPJWdh>b7ddQ5l1D| z5K|I@P=r*7&c=9JC-*S?utGFr^9^(7A5LQ$<0(AD!}$Da$P&Ulk1oV-#v4-V-XIc!TjdmGPOMhd$>UcTei%3dZhkg;;Ftot6&A6IU{wOEvfNL<{5GKl+ia5281b zM+vbk&b30t;RAghcK)Mv6G9P^ObRj`=2`@gajF4?7ee6uBhfE{z|Vpp*DJ#C6FE!S z+Ti1f?riRd=qR~<(wiA$ijrh z7bb)(9erZyhqHEXWyF^FITxa;lQWH8AjJQm-Laf%S|NrU}?S8#J8DV;xMvoq7j z#DQ~GQCvk{wJ1i^z?I}o5g;AM6VJ1`@o2^e_lT05O&m|G&GZ2_hCb#~Kk)WI#+g5Z zVBRZ%6L*Y?%UZzf{5+$=JCDhFnK*UwG*+*C_cL|Q72;7N&coe*W2CMS>oT9`>(js3 zdeA&wTp>2fgU>?7=S!oe`J9x4_eU^3<{nXn=+5+^C!WYN`H4FrOdk{C@9T#`oE44l z=;^g^N!h{hUiFL^mSa*lpBX+6?`Hvs!XO;}v`#BR$nr7Y5a)@_4bF;0_xb!Fdl};n zyeG)$7oNaVI+Rqs%rlCfScUOf)zHur<~u>8e1^&5&)K2m`qyiBrq3NrpW~=fkgIx` z`$(SPvmNtZzbEMK39?gsu4A&yla@+!KJ`LO#6!+P++*DBs>}QQVMq=iiqHLQojVrd zkB;MoPo(M)A!g&DlPIO}8N>L%mU)7+IuD6#s9v!C`YAca)k&YNb8)9VDIN7e9+*%c z*zqvx1@HNes7O|Y7#g(>QPs&)z+14KaNr3?MnxyVVyXif)qad>2$OXXqw2}14veTs zA1UV=IB-rlf{;Gyv{Koh9ITS;f80y+uIBcxvaRQQA^|lPj%bB~_G=3Qpo!`RF)8bYeXZb*7}G+i_4bNr(4@!-^aeLEv6c z8}*AILY(tSvhVOW1BEQIAGP7PBjFQy#C%>eybi{B1E)@IVnE=&0YE4z&L1;A!vR5; z1uJqQfgGtkK~K|Sf#P!xcohD^q#)hZ4-N7-!_iP8szDk^@wqDxDqMe4QdGG9PC~}g zPskOH8KcyROF~mPk1;-n5<-^GhYW{i2Z*ZpaOv+eDx60Xb47JH{mk;=vUp8LF)A+W z36NC{cz7vUPqKbWPj3*8l>EJ3^P|3uA{C#bVLyP9g5Z3Cl7egjKane53NnW6YG7y5 zain~Z#h(RCI-b*{q&UxrdL=>?gicPy5PIKc4B-~Lm9@^LfUavO#Jt~3tJ27bcuG3l zoHnR%R+!||n&Hq~5(+{-QeI)`V>_G7N4+17{tgj|$-+@Msn5p@@&SXiWqhz+s4pf} zcivC=XnrPOC#55O5^_i6t5|}ZK@cPz$M()4#MW`}cl{wC+MueFKN+4`5&nCt5D`9< zhVLuF5Qq?XF9@_n_ys-`aI)#X6tUUe$o~sY`iVeo{kxBPgZf>zw3FZz@9Q@+}LkPL>zq{AQ}21o6aI+NJ^4 zRLa9XH$9vzA5&o@!CwTCa*ENvw7>#*it|dW1qZN*G(#gqDj{|=WC`&D@X@6Uu`b~0 z5ZJ*r{&#>7FaMtW-vLriFm~mI;7?H>2Kpp9zireMo(_*{fq1oW+4h*phEN=NR2x?J zxvcJZMm{P1Zlmt}IbDD9%Fo?xQ(=39+Hkg39c=o#8wqaMU55 z_!`AVNlE`D(iwpYV(#{ZuX{_hAb;-{ydTTZM~G{Iqb{A}REUcVoH}_~1c5Bl=TQSk zbFeHz}-;ffl1Zt4)sHWJj!r-Fr32p?9ce@!DQXSaGqi~`S7`w@p+Q*p?YC^ zpf%KNkO&b1{epf%t}Mn^ZG_WMKK&zEF`xSkou$k$eQ@nSK&4?me0Cxd$Q2cT26u1c zZH1oL(1;{bK4wH(cp{LkwzdNZ)`{vRC9aZm2>cheXjU2HT)xo6;rDfiK~PBYxi^v% z^LYp!gH7Um4XZyLBIVogP-hZ?-l9W1G11`6V~do}vFP^=P*JLdFYsnfw$kM5+yTsD zXDmwU195}x*R^Gq|CZI1KZp7N%pCNZ!aEy`R`$dS><;$v%4YJ@JXrI#S|#C&}jrGCSn8aCzd~XspBngv9?-1HUUs!`Ar32jT|U z5PxA((y?)>!`})5?`VNqM1TkfT_cI3IZFY2kQYi90^>aXiXrA~M+lrkCUIy#fvBWJ ztLiwO_>+y5qX36eZTRKiMtceIZ-DE32w&xj3QU-=54=B>@v-mf6F0?YP5KG>($Obb z)Apc85;BX`YYv0({U2PPA|FgxFaAG6VMYY4qsxNRlz^#2>ZBR@D=cqbf6&mUFh1(e zh%Oy)DiQ*b zN(hd_XGDmn*9+dtAB_c6Q%~SsAst7`?V|N^X>d>{Oto;??m+Q++3SwlVN!g|x@+c& zaBO=-`N|3fW%=0k$p;5@#tU^8;&bMQSGFb@-KP)Crs0Mr}8=eW<`Z~nsWBJtG zYzv#^^NkWoeWpdtN;dSweny;^a-tEjxhF_DB${5td~nkUe-Wfk;_jsmfp__{J=oQa zIiz53&{6*fL+1nUyQNA&UJI9$)1#<`1qczMFN**VXcbX7otcJD7%LYkE%RsKp44aA zp9%9d$PY#U5Awn{L+h;!PfLJw)ES|uv)a?sPtT~k9ztx>RfkrH z<5t~xUhA=M?3U!$0sK*iAa4`0qj74(6Xq!*DVrL*Ys)Vmr8)KKrm%9=Awq1z`ZWEY zZN$Si5uydd`Df&7XlumrL||B6%DTUjJ`2x3M6HcHkxH%6@mI`eTgGQM#s}wENI_I- z=O%O8c1NYQa4j4u2Nc zTmi!f$#w`98KaGq&^U%i4F=J=Ri*sguo(QWd!W*BJaLuL?r^`6ssK6G6#l=lK?QHv zG$5RFg)sL*)ae*qI=nmB;8T1YtPIuy-nIjmE?xOySOgymXC~;AguKNdc-NhhqB@!3 zoJI(cE1ZWJ4xS4jG=)=1KeJR^dUu8cA=BV9gF$F_7KxQCE?r|B;m}F9n5vV8l*T8Y zTJUo&<{pm_SC_07r?Oh```-Ng1wTE6&kj*i*3f-QL3mqqBNE6J4(>t`P(co(pIMx# z42Mg9h~e~Re0ZC3ALE?!sTaQ^@fg#G`v#u0rljgVnNb}>2#_lroYxajLGtl%EKgF`QEwPO<9FTW|`KHJs`5ABMyA*^N=X%c!`W zx%cwk#CytpjB^(zo!?L6K3|wVyzV}ePERRdf%1gHWbu=dSF*Zu>2ETeV%2>J)TcVS zuwGK`$nHDcY1~(l@?lK;fzo8zeVzyv6(5PH9CL+}4tBN>jlqYUT27|#6>A1DZISSPnK>K;FXLO4=loX207 zuom$tb%IE#1BD2el)QBx`L zL8BxN47rAeY9vIyRMWArQ=xv7h9?;M2w^|%o}_|+ZSc92@i`etbPb7v%4@@HEe)t3 z<<>{nP|AN9wWtudmeFzQr1{)k!+BUrZe2g-PkGzN=4!BvUycdfmYi(c&DTC|x~ypyNn+@29bi zN`r$|K+b7T44^)k5GRgv1W{47m^jD_Wx;#s!cXNf2=N3xXw~S9Gvd|4RVNQ+`Yeoi{R zN=g3-AjaXc8uqjnYM|_skF4dRUT7_{7(4}Qv<22U*}sHn#rhz=`z*=lVPoHK`Q@WD zr*_}W@H#jHP6&{rZ;0^SxZxN0P>=)ZXBN_xL5?(h9-g0II5_GNP;s8jAh1DY;QW(F zAXij90H+#$;iVu~8<2P(iE!%V#t{WUJTc#Z2x0a(a0~+{?HNJjN}OSQFk;Kn)kn(R z4g2sX3-fvUupv01A>pGIE>A3s_Swi{@D!0@F)6nsl88zOyfdQP89ZgF*Gt#O6CWEI zdSYwkLSbF7?ou8R>5L!+=>gx8e6S25m=sQX__idR4-IR?-kT2}nV81|K1Ak8omHxF1f0SW|dA4*i52Awrn?sQG+Y1Ro0LaDxx5g$W^xLnjSl z=_cp=YTBJ~?#ZM-8R5jT%<~fY)fDf?QBpNMgvsJH9TSnn((%bKosX29^J@kU{J&u$ zfm})7o8c(9?mztL`7Vsl9L8r*gcIw0mw_WC*N303;U{Oh8+>fz^2ZE^%POqy`?0#? zZae{%^?3i{{`@qNK(4527@tEJ&NU2Ymk1}eGrl992$8dtJJCLVrT6U#F7u|3V-kwinTYTDb-uz1!uE|L{%X!fT3#tRzJVm^ggqYvnVJcy5!FR(LI z=86^mYboqw>s0Xnu8b9;ly@_n!uUM-XL3~%&%R=v&ogR~-?^rI-kQMAH9bPpCXiVR z7yL&hwwubSxU7|dk8VRL`MD-8YYdb12KcJZ$M#-_x8Y`gF>t0cK3^NHET#D$-8}Zc z0i=BY4^tlz?F6EhwQxzfI@<{}-$eoM4rY8z93k>~U&TtyhWzvqMx>+-?*%<|2<(e8 z9L~plkGzyW8hoHP8GNK{!*D)eIQjAcR$)Rr!~fR=%Tq!~GAT$tXL34eg!SW74U~8( zs;ybSn94k1a#Pdt=Cg*L7{qW2<72+_L(MgGopaq&i0cI|ZTL@K?+!8IswW<2@uxqF z3H+=Ym-T;)il0^EvNq;aQR`7nJ;9&p?8|DA56(0eTXtl8-eWjRev^un-?O;!74w!Y znAg$W0jep)I>yJu5h9UH#;+nAPO2_f>qR124s=Dkti4DcilJ{Oskz9+-s zyWjt2ICO>+3L@`))wsvu33_)3;Ydjk)BtscZCfoNM9TEHi6AQC*xnRNoC(LtLQ9aH zwM}DyDm!C2C4?kXIUuMVCc8fIdZ%1Cp)!#^^j+l*vBu6Y!eYYOA|H>vXS7acjIl|G zS*$m0XN>qlY!-1P&O-429n}tSD4}$CS{c@r2q7vs=NbJXNS)l0>GKuSr&#adn8oyI z%k<&z1-X~$)0x?4Q-e>Q?*(D{nCFP<#Ve6e`Zruc1x4I{|^@VPYWp8~! z|9v^`mAs#p9)c2T;Zl3Ty2U)PvoZIB9X!C)age(F5R|EO#=CF2KAzZy>3qC_gVaf? zazIdq(%IZe_QU|tS?Qo~V4iElD0ut0F#6v!wIE9^=9Q~y^C*fx%UyF7s zfJLOwHB6tcplnI{Y{T@qmFcs49{N1b^!dBn2U`XcwlcgW7knO5-0sDEhmzt=vgm$g zE?JdEkMqPKMo*D)l3H|VI^+AAD5-koBdhRtL)BkavFf!O*hiOcJIlvo%K=0JIod~v zF5##8P!K%ZLqG+=afgzEe9dsG83Z;#4L<)QCXg#WdorA7V3VO5%JD)R_&=0}CrG(A zz$qy{N6=5m6=Wuh8~MB~Y5?PN3*)nz5sl%F7^}rOj86^YWB$JyDYs@+`vL?lffv>b z{&%7woxfu$rXZ@);dtUWRR66>>8^ot;#xQ9p}S5#dY)rO4fd`87( zeQ5CU#CwdYzk!p_yS&O_XH*oe0sp0h*%_f|KTOJEJHR)D;Drz%frP&>Aq4i>jGEeT z()kc)-~($t{6!E?OgHX&z$4e=`TiCucPk2x5DVC|W_Lub7wfYo^NlKo^E|^TjL&)opYq>d zPI~X$m6QG~rTMIxC;q`&u$Hw>D~3}DA0fIMmbblA zhi25V|7^{yVZP%9p6M$Jju1`Re$<_Y#iTUv4|?J(hVzW!hn^^m4?i!lbnjRGBf42b zt>XzWd}0)?lRem6VrK)=kY_o;)M2WHPv}i-pB8RP8~U_0qzf_1fOulYO38kj|Ffl$ zI?*`z8qEbg@h->% zp(pw{cz7m+|7QNg$B=u!}TYD|ZCVq@S;331}*2N6$EP0fP}_t|vL zLhv~NzQKT!k~NZ1QS2q@p4g3PI4Gh*UWyMa9TL*L@V`wW4@?Tj^h{6mV|>i}U$Fbc zaQ*`vkuzS%*%Lfw{>E^2WPHA7I6E<%n;6behQsT%F2i}4@fpT&USl|PehdmC8{)2G zvhL#Iz=XMEyz=wjWUtb$gw44o3!4%~eY)*P;q!((d zaQpn@Awp@uQ%9|3~D2qI|KSiWonjT$ONfJ+Kbv=7ZT`$xJlQ zJc|HN>NDvd0i$Hy@&EA8owhd_mR zn3Qxpucv+!gx+REI8x$c4?5=x(TdHLwgAiPIPkVYgUS=v8M3787vaRRo{n&k7itI| zrBwtWaIegeCB#97KAso_m`QyAkbnqrG04(!Jki8}NLgWU{(ttq1n$$dH)~Mbej&P~Z37|NDCG zwccl~``U;7e|yh)&pGz`{q}zLbM1Rt_Zpt{tWjir>%=IzL@o^dtRi0t>D9>PLA!gs zma|gO-pKa?VkZ=uH)^i+$ez>8o*a7Z4{SMGBmX~75O6|{YEGSuh^&X77GM)-Y{v+* zxkRMxr2~TCa&AjB8R@si^iGmv1vf}O+z@Ea+HJK~@Q>Bufu@nm0;2l$Bstq-yL{ni z3b0vZ&?jSJN-;eqNEUf(BFHxPdv^aLaH-xKkZzQ_lXFj^$%qK#;4UMo+?xAi`ajb& z0y!m@onaMdEZviz5t*KSRM!c0ubq{PKT`mW)mrHe7e@+UHb>wQ*lZEEfV+&?E{xRP zcwtNBU*Hm1!EeU78krvaZ0C!=vzBbVv+s=v#FW|_Iqp zt#i0W9`~rVpxca}(1ie`OL8WDct z8$2FnWDjc}q`mQ=$*r{?9`d~Ej?Wxdp1kpOi$%uVo}YXy-)H|kXs@mrbDGx^*B?Kc zDKgg}?TwBnbM0akSs4pkQ*H~&O2pozt+i7k4YfCXHGfGnB6pjz5>fqPczQN;Ql`hd zz01Qf=Y2p|){FB~4NM?N8Uf zKecV17TPuXjR&Xs=>Q&^d0ej|?VMM+{d`sM z&xpJ!q@c*EA!pi~i^aV5;ZZ@3YU~LXSuW)HMs6X=9|>~$?Si&E$@w+O;Xc#_lg&sp zq*phu4KV>SBD)mX%xM+~c|#=c=4cElAO3_qzXox=733*Eavh!s88uRTK%;tv6}b`_ zku9iBI3UzEs%M3ExUIaT=hunBt0MOYE}I8!<)YNyjiPiGK8tFtXNU4`y_l58;*4)q z>@imA68UP#8}@t;G^#JQhIq|&e&ABwGjMsLmt3mr*?|!y(#Sa!uan7#k5G-Y#Gh(= z8Zl>77TVsr0U1+t4Ak1$8{9)>Ol<&X&p!R)bseSh38CyXa%Vt9qCIxzD&>SlLM%jn z8$4zwXoVa#A}d)-uZ*d!#3Bp-7PzcNBJJx0?bd%tdF(kMAByZBXd3xZpi$k!JXu;O zbUK#J3m}^R3Gos+k1Txhd}t$VAs_Z@lWZMq7TGYwOZ6)2axG)Q`4F{BO{0DC;WUcr zqK4#vTqkm;$-x!+fb`3Ur&20k6LMW6crKSVdp;~B+n$2BwUBjLPhik)?-x!|(5#pc zTwtN<$^r2iVF0safA=gAk-sNhKwZwS0y22-0rX^Ds^3U=9rAmVT+%dcTeL(}k0zRZ z64F_CUywYb-tSVf&32z_6CB}gD4soImrQXJitE)F4=$kH;_j1jvBz6YhvRv7o8OC8DunP zR&q^jf0 zkwsG~-zvufXx_pHEUu^Z&Rarq=gvAI#Lk#}WHsVdb^( zol!fRb*nw@+V}s?cr#E0(5jyNwrhX8`A%D&#}cl2(ME4YjPP;Vf26yNOmw!yo2XXB zj%PVQm&m;-A7ov&t7k~9F>ivnm05%IG z)HQy}a4mTqE2TL=m&kFUEcq#NHO)eH3vVH~g>l8}h-?&kqeSBD<-um;SXXLQwtYu0 z*X`Oxc!Xn+8A1zNNkJ^|@mTDT?lRKIH-dImtDu5C_6iV?r{m#=_JV>_vLMa8eG|7;Wx*Ogq|ocS!rP8Fwi%+jImZR8D9*DXys0q570x_nka ziCDjXps`Ua8d3NN(HsyEcVH=5^|&yiFx4Yl*N3uWefq&;jl70RT&&f{6g`z?Of4Y- z(gGDtSNDLkXCK>duh9~~S=6yDD z6O9Uge?s=$M`dSK%JWY?57qiIsO)S&seD{0an>6iJT@Yi1TNL*1g?HG2Zaw#7seDbFkUa~LJ(BRcZFl)J{!Fsn6 zu^H_FQQbChH8L6yJGnJ**?3q$>;%}r)yNM5V!QnZEsX_#xwa!N)^%j6B|2Rbo7Me_looTFoHbI8%JH(EFZ@iw z(`(su2k!&vH$OX(v=`^%vD=bhY)IFDI9Sp8Zfg;TN%-4l}cu~jx_BEVyVlXGGVl7sJ-^j^5(!2@f9_tSFN=?pyx|2 z+i5erFE_GTYB54zuO&X!+9aj+G5uXYM&$dUEo!SPsRn>B$U%T)-~XhPYz^!pfTrK5 zM?sP-TslFJ1umCUN*>c=L%bT9NRLNDJsu*%9*?pnnVgviej0e0f}EzF=p~KUG$rz% z^i-rwm2y-sz5N00*+=!*Pzr24hT*mLi$bmwxs_=44sv*Av&g!no!|Q7o1MsG)I$~b z8R<7$BG0%rLsR)$pR`-^r>YYgS&8Cx6vh2gq8TLapGvy2J*rED@%Kg!pp_@D7U&kxx)h&Q4a>m0X@zAswM-AJy}0x^a7(ko?=Ppz)ayexE&W-AJvU zfx4VmQry2j)8c-)X|3b+X^Q(61H}F6Ns9Y0-92|8Cs;4vT$Ap|V+fwZ+P+_tW(X(uGwwWh_*A zHEisApL63?`q|ccQR+-=W%5}?^@|)O@_2TsT^+eI(ZB*A_Er*}R%5ykJw1o^c-er* zW(WV68Et?)wxT$^Y4+PMfM+NTvIcvtkT-07zG+0>!3WwK`My1=?MEBjo~r{QawQ?T z1^}CL#c`Ptc@8~uS0sD-uL*Z+vgBJy`xS&dwfuwB!^b^;V7kl5MAyuJYc7@Z^z;^x z{iAA~2UY7-*EF z-A9>kw%OKh2a9VAX1LTPC4hz^hzoz*YJ#&9db<1SwI>1yT-UuGk z7x~S&HwN2FBG=(_o1B%CH*&m09;CFuxw<)cD*t7YXo`%`rVesq%q^@7vj}o^HmEhSsZG zCvv9Mkaa%XnP?6UG>vRaG~Wp{6WhnfR)|fC9M#3c=#sSawd$C1AEQMp_y_MPC6~7| zOT^YG1TKFLl{6wRAvs$FF4b9S&*o&$J3@|XWKE)p`vIvwNVUYP1DEYr5+qBX8Gr{- z4xdVU#`ML{u5&^oZwv9V@)b%h?{g$_ZAj&2LaUB>H?*m?=jiaf=$Gd$>Q!mt=PCDI zPINZ!;UOQ|jPZbo46>gT?~isN$@vUDPv1q)(~W|EtUe?;gV?hbJx~8hat5)d&xspU z;s)6#Yq#)hn&^I8lO^ZnWY23tskEK@D7~hIxHs}AlCyHiz5G1oRRFwCy6WB}=L=Mm z91>_6**(xqY(KKel4E_YAs>qTSFm}o=T}@M+HZff*fzEdA9UG1N5MZ+ZO@y?o*lwd zuaUP94L?t>q|&`%;Ie(&gJfQ9p}KX*;UZIM&zNo%;?-|8L7em7Zxz6UAt&@(O|S!b z?C5~>I|UVQ^ktjP)+Pt8M$ofV)BGWD^;=Ew#lY1F*8saG|CqS+M!%`0GVTz1_G#q# z!G|Kp8xDIAK%@FW;(8i!ecvc+t`86w>)MaF_8>0k$^rI>>{)b_II>{i5}A2BI!(8k zX*mqF&q~i{ujA^TpBVCP+hu#ySn+|JMji=IYLPdl|1-^)UKmQ;h|C{yo$dT+E&LoH z*#l%C0I}IV_6-CdAVv00NWZ-Z?62_vE|JC3{fy&XakTY1C z-au&@cbpK3tHQ_hXB5+AX2UcseK@8EhW%t3nb>}0ucW!eH-uDf+C45y?W)TSwU5^c zv)1!*KEPD>OT>}cskUeK>L+Pr$1qMbvEA!L;`{ql%ZdE}BEx=wuAMOK2PmHx{=_d4 zk;&?pcnh^;Zn3sPt!tm~eD~duYMS$jW=@sUHkRzG*Zx3*4KYOkVrTY*m^Q*aX3q^Y z6Wx39jJZZm>%ing>;JJVU3>MtA*MFVG|_+#o)^JeKkhn&=l2ehS9y$VPL zc-@0<5P(?k3VHPm!)u!P69N=mwz4C%-bH?Ccn+YkdBRCDegjdBD^>>I-xHb46dy}80Flt2jfX2fUBfcwWNi(J~XEw4a z^^|TH+DMiSiU94l2jC>FEBQ6W{k)kL_sh)k(i@F@jN-of0C9h|t(naZfj8>lND-}+ zUYx^+>F%C`#~PVi)BSXtR$k`1e!FtT{`raSTnbOtx;CKp*mpu5Znbeh8rjN_oU0*I zbxj*p%mIkkLba}$w<9Yw*19G$UbW;~gU3{F3(qEzo05OP3EM_w!(gGED<8b7`szeu zmsBV4E})p7S=yue7$H_cwltj_SctpMp4X(ijHt#{qN;b3oa;%>1|;V#i7QJMSv<6? z2HTbN$RK%ck#j_PTxS3HYIlol8+!JwinS*Q9nH$=E+eYDwG<`IrYUvshs#-pXn5Dw zj}XmHB8%KdtH~&EQha4V9Z?b19XV|LFZZm!_qBq}(?9yX-KBRKL zU93+Ga}@22_E0~I#OM3Ob`6>=dq(8!kbfq+-!j*S6Whh;TB=v?pt^UdKx4a31sdDA zJD&o2_VM5fjryxo*fhpC;hIXlcCwM1+~&%RY}3jIip zd?>Vte6?xm!!eD!#M}B@<4S^4J8J6pi>vLqD)a!`N*s!5+~IsoZx8urL=K{u{;cSh zg;zbmV+ii&rR-Pf!{QYw(flVn<3SIC>$-ws`gV%x2_ar%dS^gJGHJk)XBg7iu4j$+HczY0fg(-$=dC3vf3@PTRW5YERrk|&J6;&1L zDAn(UTGZBH;?ER7Bl14`Kr(`J9YtIV``_auOPU87PQO&PapuXlQlw2ZOu`UVVvu*MALEc z?xq*x&lH?%f8vTWiyC<#v)I+S@*5fca0}yDKqLRO|3=ftxt5@GA9N?xT23!`!|Hj` zbpX-aN66I6F|*s?{&@wZJCEnSJG0o;xloqj9|Ryuv+f(gTVxK-m0R6Fo$G<%pJsfm zN2am0|Ms)i#XBm*UVV}8Qo6?(K_Y{ccka)eljUTus?ML%9iBy648B)qofa3Q)am4W z-8>IH2#_rDX{tB!{5t##8tc!rZ^-E647ztHI1pUUe`ZhC&h1{+yHZ}wy87*z_Hp|Y zGO`?1jE&X^_Phdu&}+Z*euJ57L~{AcNIyAvGS+hPE`^zEgw9#x^*eT=6Uo7t0u1Zq zY?AIWqMA#0MjCl`;sR&SKECD}cO6X}bFqB}KwzPx86i0bI7van$ujjSd%KH&Xa;NJp5v8!_}WWQ=}@Eq5piR)g{^;NQPU*h8D zAjfNZvIjQ7!bXk>D?n8@dA!b1BDn_0Tp}+Ba%?_QKpHuWp0KgC+{j~Lm8k7^VPoqi zstvGE#HK?Aq?jz0{JdmK>0dMAx{&1jj*uNp1Y+66l+)chN~<)Lwk1z=>r3liZ zl%x7kKnBatw^)8I<=AXYvgdHJCzcE8bN1qGWX~x#(3pUo!b++#C63;8NW+(1;vuG^K?i=sBqo%&Mv-$9+7yS)HAq5#N%FXHdA7 zng&>n#2y%tf0E6w3my}Rv+_iavi{5*V4IyMo)G8*(#ReGvA4F+W3PH2Dpm^}*S8)l zU1eC4?b}yDKxt5rkPeZOb`q124y7BVJ0(XbjpXP?q+7Z{1YyJ&>1ad-lad$=NA10z z|M7m=m&LK|zOU;%&tF|NdvwcL!>C_)&610*_gU0tAeNrpO+Ie=mO1YW_L?aDwt^%W z824aO`%+h_nHR?!G&shGL$kZ|Wl7e^AqwY6OtR)xw^>J45N+-p>r1W3o9znTwI;Eg z+3f5OkEp16wC2pM#7o338eCY;L}Q`7JHPFG z?nu9lUVDz@au7WyDx{Fm)&HVKF)JemEa$BiP4A9OV~HQ66YgSb^2%N=16w_-p|=N! zV-Gj%!Arly@lQAoNrJSU87J5g15SMoK4Q)&5bkHa_F&xuROOpXR@RDlk;`1fraw%5 z>g+8nObW&a7Sln`PyU>$VhmjfKM*y&w7HbHD>T1uslVrJoA)}cl0{x;qhGhDBjYl! zv~yMtruT1o?rE&eUNx=DLm5@9XTRb8yCcKf)+sjZ-jws;z{e&kK25SL>h(g8Uog@x zsUM^~S2T;fl*t*-ZOO=2o>awkru8t(l1`aM3q)F8UazN@uh5bJaf)1GG%EPZgP!a2 z)2ln}F8$BoisP}n98N5z%1S>eLCsK3g&rlLHg8k2=Zwy##h9OUt2FilaTC%W2|Md7 zpHg$S@9Zs9x3YR5cEzCO= zUOjl^qEWg;DdJVH2djZZW0QBY2om9hdAAbbBBT;+t#A40?xqL~Ejuc&ZU@KIa5g;o z%rK3bja8;KdZ($N4}Lh7w0q3{*Dup5wmVUnSg83^3L=-n@o!7fBgdYiy3!rwr*Ruy zlO)87*nr!g)^%Zt(R->lpl9$uFmprJXi-OLI8SDhecXoyCaT4iurj~8YhXyp;;}_^ z!wQRtN2>$ct6QyTbiYCqYd6A;x3jDJQg;*2sgUIV0RbGB#H>j>!V!Szngn59YehGWP7`EW$rH3Pbwkc3~zsPl|0o<*$~`lLik`-pc8W?d~mk}E5?-xi*===nr}=o zs#AH=;#Zr6c=|^-3C8_1&We-Cw4NWTTu-WFYyb%$%C)#-U4wa2?j<~{Y~298Z1|Z( z=Sm@axl!{*oePiUcT{>7lp4W_qd-zHv`PmvcM8qX7`HRpbcH>Uu67}2g!ZaVnkc75 z>;);OY~nMq;wL>R<<*?U_AV%p{?q=)yR2r3G)r8ZCnax-5Bwa7=9aSV`!?*xD6Voe z83O-An8YY3K-1{{S#|;O11hF*3cJ^5CHl?RGLEdX3r35urznGjzKr9hG)*l?oVYhN z?kKs~zS&oIAST~P&>>o8eQJyi*x0S^(Mg_=Rxb*X-#DSl*vnm$;YO6^91(M_9-5=X ztn7D*YZfypz36doW3yll3h-@{GELIv#U&aEnmv&5Xm+31G}JVMf=bDIt>vvX_Rd&sR6@D53mOMUTnqxOIN2uJ4%v z2`C-d`BbTI#6t7WZ`M5Wy&#{E^cG&1vK`nh)yomBJ693Eyr=95!YNaf+qe#59u-FT zkpIR-s7eQ!7ZVR`eZ^wbAcg!hUS6SnA38dO&tDm8`*!*AiPS^Sf?$gho`jjyYX3LQKzgSV~ zgecbeG(`Pu4HW*m&g{?0qwSM$2VIx*$GT5HWzQdeuZwBsqHlW_mH@FP-h!&e(PYjtmzg_ljCg=`7npr zb55bZ=#ki9c+~L#v-xDbKIeuebL5-L59Lr5#l+Ge4S-$#cW;TPZGb!M`P>^0-Za@K ztDxsdHtbc#e&=%Jw@lt9w5)A1IVmwdKR2;)?-y1^a84DwE&4%ry$rj-@oE#%+MtMC zaq2Y&Jtt3ox_v`F1%gx05%`z~gfiG2Rl`k0A<9}razg0%NajZuQ~L?y^h)*QwQ3gQ z?{eu^A3JG(RrZ7X+WwJfx)D(lx!dk39B)LCgpxLY94Il`Icoheu>Ie2xH<{qxxFC4 z@U*hrbo9Cw@uyp+^TPWVNp8ZX-ipkn^U>OeWvmvvSqYYyFUkGBb8mxRF2h3XssD(I z&Nrj~l7y5c29GXTDU$jG{V@4-ZO=BzAg7ee!3F>?u-!OpOb7_L*1jmmYKfff@3$S3 zRK-CE`V6a?vWt+C0ep_KuV3B|oJG?SSv6{a%>UxfrP$UhBqY!56+{Y7C@1-z(ES z68VHIyPG#+E%J#TF}aWioLHWkX7f(^yKc|XT2aJEyo2IVeP)AN6`6Lsr>N6g4+jjV zxVEow>vSfiW$SckZlIUhQE~y1nhwSzY8t#OA-I@&z%Y9k9@83?FD7FBdL~vzcwq&G zRAi0VoelSc77cKd0N0XZvC^g;941Z>#nf3`&+|3%RD-_3RU}Fg@po*4q+9 zp(>U%W0sfzf(PhZ7%$t`w?pX`BX?hXE|X2HrQ`Am`pE13s+7Bm zD>)JQXNnlobEl!TIe(t)sgr))XZ_ejGUB|v<23OmA!vuazh;<*xmRIQ-HIsVLgcMH z1D%$`nZi&PExM)_*lqK+HVO7cmSwMJY#b`lC+j z4L3xAEoH-xKxbTqc7fgZ72^3lg;6)#$4tfoowX8=3Ja@?NP6#bhRY&d>K`haiZ=yS z-mcXr5@I?h5$9MY23^}zu;?IW!|vv}5xIQL*`qT%epyCy{m!l@z%t?>^^t%pis@9Q zS2n#td5zh63>%yOtQfs>2xlQ&SZzkcqAbWi+}UZ@I~jRW-XrvG@knON^t4K{zkGs8 za_!+sbL;iQGPjmuceOEpk_`US>!+_Qqe?Yy^F3+OgMn`x>h?y9D)PhgDH)DORI1{g zjGImuH3%qk0L6qfA?uRBC6yi%B^k?JyGD%fqyaZ_(pq$=H(ld4xIe({x7bWGP5xh; zKM$cFffMTBcH_0FLZH$03t1hoH1Hj3&G|=d74CnQn|jGcKI%n!vCuSikfetQ zY9h1-L|Jmhyp^y6l0S+4Owji;N`i{}yARDPZEnavL2oL^PZGO8rE=l}j((EZRU#!q z*^jY;0HtW3yGcNg1lt5P9cOy6LR$%}5i4vJR(W_}yjfBo?Gejdo@Ml~ijCSupSUTw z-f*gIn|x~E5t8xu_QbEKd&{NX+us#0;>|UPvj-`Js+z~s!kZ%BzOo^*xNMDd{ok{H zEJi=%UTP)s^4AEIjp#pcERfcJe(M{mVSU(JHN_e>UTHm-(f>mB8C(pXtkbh>}z=eqUO9WN{CYU*m()Fv6Vp+TU+IB&40`HXzEG@@> z^2IWna9+v9n)a5z6SA!6Q+u*nO&k+Qj%{<-bJiGb{`q|s_FV^IPiK0^P)B)w%U+B$ znTvE?i>}bESr~8w*_%s*)2YUZAE-ysY#3xqD$uVnbQ-lmV-)tj`!g$1C~A zar|cFqGKHH4pc#eHh%l})(}l+9jcMo!@a&V@ATD0mFO`jsw1Et#@1;3VdjoEfLQo$ z$ZRk(n+Tjuq!+E_hCvMeOM>kQ(n-Mg?pSk9vNwD}`5Sw3{H@k0k{ZLU z$Lw}U-N|Ln-+S4F#-JL1xCMM}^W6SRqrZ1?*W?ibN@tQGlj%N${{E>$k_GYVdVo+uqM{vWi-8c%f8DBc>6Gbk{HB}Max(|-8T?@U;;`FE1y%k4# zrmS4RzrL|cvi8|0n;MQU&kdU+k=M)4qRO+d`XH7n7AxB$$72>#qrqa!T1%;wD(jOR zmJ;-@gA$<=SfW_&$12fstxn1`kTXvN+<1Zly#tVG`2VU{fZUZp>)Z3@RI_bDXt%=U z*L8}iiWuHLnE33Qu}0>Hj#v4gQk79=`VKcAI?6*;WdBhPg#2S_^87PcUpkS~O*5%d z_G>&{%nGQogGIF#G%?uspfP{wDa{|dsY3m^wS`<$tz?HxeeaOAV4_}u!we5v^1}if zUi+AfDf{YchiB_QLriCk`yDHd+@uDci5c3WpXmYHw}Ok$@4gRO zMIlo3WLx^a_wriwmj}CB3Z0ryoO3r}h4TJRbz438`wSNq9XYt(4(!8(e)^p;@r#VYGd=WAd!ETM6NgUCBIwWTC;23fUyl;Hia+ zhNB5L6l)9JcK(qP?Ev$nXnX8c`q(co`K$v*4Raa(M;IcFQOP|ynPUST@-8LA`{q6I zZM(~NwEBJa7Yl`HkZQIF30q1-v-CzP9Kl(B4}hK?2yI?p`Apv0X$AkVZt{}_0|yhl zdmM6LP}@ozKGmGOJ5!}a`T!QoY%Pv0A=hqhz8=Qsl&{yLWyHuY$>z+npkXJBnooQz zly%SIlVHBKh&|PlVJ=WTHSJ=oWAqK*?5XhyB_oC1eaY{TGGR^g^?MSf1>j_q*6k{R zlaH^vt`WLf^KUkA5(}m~J3Q5y5e0fKkw|rLjP>n!=X&Z?vD4(sN{7DP_I6oq&!-^^ zu=ej0vdawOGW-TevJ%uawheJcRN9T@-dXH@t13T_+xIe97V|k@686R2zovQf_)G98eH}S*aX{u7HDtc#eOxdPTcWwnVFYi~$r|iFd-?UgNhFsJCEaf)s`c)Eb#vJ! zw3IEPhhQPXXHeZk^T0&JuYS|jE*a)W8w9o(V};i_KO}j2?Cn~T)x47u_cf^6j$JZ_s!dBMS;sj zvZXJPIY7ObEaT^UR!F9%CbxrTKUE5KkRNG5vF-L$>%hInx9^hAd5!?69Eo91nrKpn?kDR20u2wlK$ zdShQTLds8bewnuuZa#*A)bjSDJ z50|DH7nkL?H7!%U1|0UDUl)g`6;@tZ_d9~?IU|_CAuv9lpv0NolhqrM3_6Ys7gGN` zxWLeCPn&1r;>33w*mo`1_o&K3R;-ObV&EM(%!E@STr5Vzb^&1(G^lorIV_5OH4q z`ndW_{Vs9JUA8ves#_M`mkt-+C~1N}7hAW)&B)&(FvMTcRr^IoDPeS4IvdmJ<&qJA zM$9_HkfN~s(bmKOR7dyznmlY-70FgH=AQF#ao)E$BTrt%b;;@Fnp&5f{P7rXjY8)5 zu}9WH|GG-5(OC6J=f4*7eWM%#RAneX(D`uN*n){w`aHUn$pM9;Tv|H%BM>B}z3tRl zCM!9TAn^adM3JaURUbD>aam0wgLEp8Wt{o3H?h~l#DXCS{4H-!b#ID#(&lDo+qBy# zb8YXnEC8wGru25kfHk#qieCK=w3Q@I<`Pzx#JInq1-Ev#s7U-(m27eF!zRO!gEx}Z z?Ta7Ootoi~C_5*$Wxob`NxS=@I_3m_s7`_%ISqs=}-(Nz`&C?2$J5fH_sCW{If zIGGBm@_j{P{-NUEhXc~84E}j(niyz3WmowUcqCO8ttSvLiLD>)*du$e`nK_I7EMaFY6Q zRnvo)AIaLho%K?C3XT<&Gi`X;XyH@fvam@W{1A?7ut0W~S>jYDE6lZzZoVcf8jGow z`C&=e{U>Y34y~6aTM=!NUL96}!apb5K|!wZ4o85h~ESY%}>i!U_y9ibV7~6pZB$4z0-O*&=Ym#~;(&WJZ1xGSH#5l9Y0pws; zV6XH>z>PN>xP`$2;;|P#e~JJ}!POI)Dg&>jS863)T2C?(&1oE!)*d+O+kkC3f5Pgx zq)yCg8s9im2Vrx1^!(BH&Z?hkht_VM(ajojB(AVH?vstYEa`d^Fm@V`+ z?a%oQ3*>nPw&kz#R|i#-G|g7og^!(W=;_zL8FPFOD-u^|TXg2YgXgpL=49(S|z-qO(|E;!cI@KMBMG4dwBps%%tbB`KH8M|!?sGLNW z`ecWqDikT|_g-FnoeSow~B zlMEu9X=(O_BrebogMg-+VYyKVmXFwFpK*t{ZEc0GU|otyfZfg4g30FmsGp2FA0vD5 zy=B zC++!)uuq`4gWakgW_B|gq@|J%@$_3FBOag8LwLKNFUlq|IHhAvNBp@OC_)JR;CGie zEx?fw@zIlJquy+OBR2mz_@97Tc(qfGdCA7T`4;P^3HKY&xO4x{XP4fjBD12zDu#&v zJ}G$2w@1Ox?e~k19rlIwaJ9>5wM)lOuQ+u`MGRyUI{Fl0q56HUq~CrG=8qNBBU9=X z)lTRl-{Ns(U1$^fBK01wo$vYW0493;9{}tLZzG(p)sjQeri5vmv+|Nrp?CF4t8x(mI zDw9=r@fBxl zkZ^l1r?+SQ-CD*v=;eCBVKZubMpewj+~uJ`+_KmqcDg1r2DWw?(c+b+Tv<|Q3msBy>{TL{hkDsP@!_*jy@H|t<;P0P2fx=~Dxw-+r~_@je3bE&IO%&? zGUhTPf_X}el&jhLw&vPQf*>5!{?!^)^Llr}W0uebWV94KoxArw)#jyYgFknsYjOca zN{6U*3CrkOg0Y)oDl6M)_CvkpK{wZW?q_$BQhX(vbW%#X2+LIs#s3i8R@v5FWoMfy zoOXT76m)RzC*M>Mtkh_nAj9e#cO~Vem9SmGRS_mImi@`or9#E+3?fJe3Ruwz3+h8i z9EjEZhGoz0=UtJ_L09hu*2DFyI{TnK_xT3^IrjmRKLdk+uVpssk&Yg58hiyY1m3E+PjkMAh+{k)!|BHabj!@3l z)kApsgZ%BfpKo+Iv--u>9Y|RVSe$s`X~{R@ zV;6VovU9z(a+?v5^y!B=bS*7Mii@A?+DbtL`M7KPcpGm;o> zR9B#zbkO+r#0ACp1^4pnh+!?EMfG%{a_vXMou*YTReD0?Qd%{3#-)E<>r7_$v*cu@ zk5`c;utF+w1W zN(15WH`lh{VDu>RcB!L-(To{)cmx$A$VA=rD~`c_3iv$vK1z)@+ElaZyrW2s{- zAkN&*T;e;#utenvssBMy>B$Ym*exF@c- zoxKA%O$u4zP-^SyAexNFEhTRs1CTF^1gVcF%1Ym%Q0s72V+IrAr6APG*xj<|hyBca z=JM$W;{YbH_QCFaW7|kAuwm__)6tu*XfQ(Q&BR?$Lh1!)s*^?n2*A$9$^nAq0DmNX zHl>Er8LhlGXHUcmFJDXv(UUFXWDXpf?1Yl$E6SPBXF^>GMUk2it*PY$90kz0{ZQArW9RSO@xN+!kT(*mGf zL`yg&Mt3(LWR7bN)(>Oad##yFrn8|O%G#m7`6q9ect@@GZ9sUefY#P;!)haZ_Hf?Y zc8CrB`>U5qZKc_5o)_M^9+9SV{uLANwJ|{t3Lh|oBAl0A@d;Wg0w_`QOWVRJR&H-Q zm<`sFO2(sR_fK3~pNUki9KdE;1{5wi@v(cHLVmB)=}uv30N%e$O``1))6!jil$m@| z-FUgU#pKg0Q98e*=CZfm!A}o)^>9I?IJ5(bwMQr`l?lYd#&MpC3XI(*J3$1p_cAVp zypgECx3&6afB)?XA{fP*PiF+#q*gcqyk5L>1JKJ#y47wrpIi?bcvR#OE!bxOnKBEL z#3lj@|3-{0b0i$iw0NXe^iT9=2$8B{E0!7@oU(GL_PA*KYRD*LHh$Mw{3QN0-q=Lj zLki%N6oE6TgHMZJ#6ZlxPq3U*h9bN63qcihwu4{BBmHab>6= z8)t~}g*!##BfW%@jAQ4E3C(T^K-4{+GcwIay5`9BbGX{@n3&a+pnpcl+tZ4X(}jQw z^2BX2+p566;LiI`BZ5#KxN6;s>aM%0=0di6{U5Na3x_g*~sH)IgN?N1?N1b>gCz zYaaPm9>^!ZPefG>EwVuTh%HffC^Nsl zz9C4cvsLm0zryJ4!5J7+le9Oa?*|lViy?{Nh-m*6gbm}e>!6h5dD7*G^M~L(8J^Vj zsAJ-j>VCfeKriS9QwwtXZ{h~idvu}+p2z|{5rsJju#}Be=57Ddo<|%PuhNdWWK)%0 zH8~083bdLDC7jNe{($w_S%jyabBtPX9E?!I**Gs9Qv5Qn$Yy+Bz_TybkLLEIY<6dh z55EudOn^&vHVgX;Q>>`H@3PB`-?8yi{z;V8BlN@CL19f3=HtfYB8uwCw70Z)cfA4X zh-zqNK{A^79|srtY=8MFm@0z4C{NTv{rb;N33aP%cc7}bl0Dgl_l2&sv^L3PNvsnz zEFb2ngy7*47sG=MEB@Cz{#p&w5_+Eq?w;$pk!v52?+1W#KNm|yuN~F?Ds%pmIK$*v z^`-RJ=FQ?1-o5|vK&(&b9c( zQmxHk8CBG*&?Ddx2{Njdf}++5OQ|Pn`E81WoKswV0=};>&BF<1x*V^@WhFyhz|4zP zADBBcsn&cm&vgTeyfP%sRs=1n?mkFm!nt+vpEFdhxX-WU0=!8JGh=^dfn&O`H5i9>0T?hgY?gNp<+48j5^>jQlL!y!f_Mi<&(oIdb=Ct+P-?6~q>Pnd&c< zYG06b{R6=^?c!;XUv9tovF}B&{9%qdkbO&J?H;NE>pC{jNm1UXRm(Is;AF^)S{#aU z@Q0r%>inU557N*@><(esK@+B;t@RkS%h}QG9Qt!|)k}FXiN7Uufx*8F=A){#y7@Cm zYpaAimHv{JjoQD?8*$FKQxq{n;D- zYKpYRMjNjXJh>a7XaV5?kmu-2 z-X$xAn@+F{Khq+&s$t?;b=_Ra+&lcrgOg&|#+)en?4&$crekE-;~<7pnl6QN!H11l zr92HS1t;|LWpuA$bqXa~bo}CE+YJav=Nkx>xay<7Hd7b1)XC+8D3k3QwB5`?uz8>` z=O-130!(972e)W-TRG1BXa5c46oFL8F<_#)^ zaPpU;o!U$sg=$C`D_U*;0@7*)H5*7NaU?pc+ENp!3PeIsda6X(r#0`}eZzsnRUx`b zP2kk9i^IZ5JT)*HDW{JFSapEet@)lKvnuC%8AnahQu6-9W%?gPcxLrPJ474iahj1& z8M4|Mb-^p^l5&PY9rcQ;mlN@q_tGsjpxDub%gR>|S%+Gn!F0;XuJ75pIi@RF(!C$w z+{)S=!;&XW%){K$#Q+Gc8chvvS6qpYWs-Uy_{8^k%pE!yo*!!d4QRy7(>lBblx|Q7 z2U0CHa_O!_C=#qd-Bx~{;|q|NWcRMZ$8jW~{L?#JQU~>uKt{`ze^rfDqIhEmx`6gY zo|I5NB}Cu9qGRMHaGChS@6e9pOv#!r@3UfI?9l=H-Yb~L^;3U~w?)g@h+VkE4iY+! z!uTqvYg($Y)vz6tSPOQ5wos$L@#IPp!dbSK*;;1QUIcG8I6YG)sv=2Q$!=qG!rwPn z%{QG~Bk=6x!bc04O&>}fv~%`|p==MH1!naMJNPPE`0NQ4TrPd^FBnT}<@__`$GSDI zqev2KWZ3VmUvLXHs94@1n!Gi2u=&;rkq4OEcr5R8QtKhU>HfOsFS_Fk(|2s(V;cKJ(8KR+scKO7=GiDY`&th+S1*W-sKv@j4@7p>*ks$!}LY( zLlj4tmiX6Os+?X4HkwA|t(k)&^#6p=MUZ`?g7D|a4kbq1`!U30B&+V?sA~WJo(>qf zcBLS*b9lb}d(8{~PYxj=%IveVi{5a5WtTW~NjvFoBUCM=7pU@A$Y!JS8mw~FXgs!4 zc<>T}eHYoeP$=)%RU!VbcwEtml5^0D7^{QLzw~VV55Ayi>|6&uZxT7!jPU+!;qJPv- zp)8pLGfX$#N<^~jgn`e{iqLlq`@xl6y9AANf={RQ*Xn4~3-w~98b;a`1(#B`#GAstn)vQ1MZ}M9 zoc;URXtn5S$&J5C5D-uORpifWQ6QVc&)Gb}_jHtdUBN^w-7qvaZ!f>lVT>Pl}cM=y-W zLUL3VEM1r@)$$BXJY8{adxjX&W-;eZe4yBcj&xH);&u5cc$bja;KbdDkUGk?auBNM zSZntmTPJi+mEutLM0xM9j@!yXxDjYXOx{_<9b7V6JMRT6QWhROrv7;=;Tgx zWSAVQb?nVQU15k%5Ny0+H<4F9TsVj9Fd6ijfD!N$Oo$9Xc=Jcz+iaT|+s342DEx!l z{T1|~8L7PEh4QF>W*$``9h8a(iDFfDNwmk_FYkXc*MP~3Q;0UEy*o~?@~unnxtS73xV%)mEG!KX-L%|}+{o!Ele#Dv?GByP z_B&&K=)XhVxo}gnm7l&O`IZQ|0rCwQ_rwOeMa{X;9{v@}d+kFs4V|ti)^{tQsVDoqe6!z5G z&d+o0{ZA;*skMIQAQuYy=GHurP2Hp5_LzV;R~yBUGUoYlRnf!F_V)qJdXGs{uP63Q zMeV&f57|zaJk}uO7&CPtX+_?}w9bnIwOB7q>bPN>u0WxRcm*`9kZ#Rf$&ds8nX><< z@5?E>ioVn^Ax?a7I+q#t-i>^}Rh>)I3F0wV&b8Q?LwT0hc|lSNDOJ#c)Fql@P@`Vi zGRr!*b9gS5V~Uv}1%%PW;RICJGfDok;t$rv6x^T2<`Y2>+rMB=`ps_8|HNplU#);a zfCL+Si{Uj}VlDKqsI^$ABEzZJR$?u=5c(4raSG>6Ll}ZYz6uzceN_H~{Z**p!uN6z zl`4Q03#%di^5X(a-A837D-&oBCJxGTAk1#@PnZ~)0mxQuvn~J9o)1rGHN4S_O5R%< zo2gL}SXJbIOeS2YKo>Btj;+VS&QUt$rgRA| zG3dDmnfW!=*F5!{2#-`iF3f;!=af_MelBQyuUxg&y{@` zM^3Y!2k``33#rC!?Tu1ruC%WBjM_zZnr<2Futb)-R7CWn74|RVY+jhV4Bw2_`>^(n z?oKc>$3ZOPG%MQH8N_KC0mmmfJAzXvwB2+~OwlEExw-bNdg~WSaz$|Z`wh)BKsMGb zP7(f?%-b^;k%rBo_umJcwN@!DI`%6nS`2b5vw?*Lz7D9dsol2Tb9fAQ)|m-6tL-Xht;e+q*4?I4$$s!=J_2| z(Is#n*lL~Vm`ezsWWLqm1eaWlOjP}zKV-(}jbZ{m&#%QE8u}#}S5h)9%6e8=GWkSl-E}r=J+eFKQuVsuOogpI^tNB>M*j(ptp>m7oLljFFp=qD|vIpp5XIU zZWckFCLTI3_tUvg5Ufw|S0X)Oam?~JorA8D8*VSP#vv6tmN%m{3n@0{$hiv#xiRpv z77Uza8a$>u1rz1t{Z_3*TKZi}~-~YOvCDL}=d-oY9YJA8SGVsTIor|$hWoVNvFw$-gC7)Cv z;L)IWPRg%Be!o@6E2?YVnCfi;UGwy0RVx zRR(KRpy)!;Y>eTaLXWfU!4Xec(3e)|3jN}D3sobQkcisCko)ZS8hIIeNim&iSy2vl zoESn<1H9Ujl?n+06vxY@eL8X1l3WV*9Ht(n_=^=9upJJoP}n7#ecZ{1uI!XpWf}#3 zkREjlv-eB)Mu}!@BA%MrWZ*x)l`5wrG9z~5cH{9ZP!~c@vd*&A5s)tWSNtv zH+<)?#Nf4o*VUdi&3=Pc7?&YttJYYO>Y!o{jJdw^pBZA37vg?Db{9fmOqTH;xAb5;L}hMhmq;DwXJ0`?s0YXYC>d2yWAP3n<$v5-)lD2mg>7 zAh$G)@hDs7#Loi=quJgM&%CuBmkgj1pcF&2naISeU3pU1#_CSlyS$5u7}r-$*Sp`O;2#Ve|uIB z=j^cvU3b5YBI$}bu%ijPZ9@`Fhc`V;k`w=yo>3K^N{m5_n7X_Wf~4i@;%gcA8H$@X zo`Zp}4?sNs%%kPUkjoLWiC5m4xRJ^FrsG*rtr$$7x(6BhAbss9!IZ=A#^}l2!7IH> zhvyz&YjBraMH@X0yjaAM>ul(ay!I~8)wBMT5!A@3 zid-W@r*-J8Fg<#Ae7Ro)yrsT8#2JAMsv}oG25x?qdH(Jzx~R_8&{GTZ7C@giWPPXk z^G3}nG}bb>#Ne;HA=4qxO$yhOW%`B7E~%A#+_k58Rs9q0IEc3Aa8tHsIgQ=q1QxEx z&zx$c{{;ZRDv*2;+p5S|&ZrCk6uyS!{M|3gLE|d$(&dv6B?rO8It@r_cvtr4l<-*r z=W(zzDo^rGVZ`iz7MI0ndtWS?QGy~k-$lh#7{ec{30Nu%Jq~tBirRfsu8FGv@5?-v zpID_SUvu`br;hs5PPx9HoC0k9PmkdCm}-UW*qe|Eo`yNe{;%0x=*E8_cxKVkgOxbl zXOl0|{0cN4H$2{_D}=3m#o0ufLrwN~z1Dfzb84UnLdN+lz5DJe|LgmOa4hNrTCx29 z_5Gk~hd1{r#y|5yF9VHJhjT#sC7#R`?4pgS`X`meU^OB@uA%y#PIjyPabFY35zq^;|q1iy>a_ zCmXHlV->Gi6v4I>EdG-*UJpOOR)m+;kJ?Kzcdxf3QS$iIt0_U^rA<9Si;N*aunpXNi>P5`E z69k~8L8gQW0dj(C37iAd3E~+(AZf?sDbRmg^jY6fcS6^wHt(A(i*cnt&Lb~8DemlW z3rU{G7>GP@CMZ%g*iHDdu|N3o4M zgV(bww%$}4gs3OfScFYs8n-j5Y$F?OtnBtA*z_kzkyp4wLO`y(~+a;GV=PpZv zrAe?uK77x@KMh3uPzEe@*+a0=1Q2Hq2 zN=sI*<+v`c9K_>q?zhVPmWhP{q-BdH@ftdo1qAe(Q`NQ*y9itUiu5p|W6FkyO)7d@^Py)5 z6Lz{b(OhdU+QqB958|olU+f3edh>b_sJIIUpc&8KD3VM|xb*bVh^GNU7j{9_L(Ch; z4?-ylRV0>G?#m0IL!uMPlR1s^$V5NCboCen5Hco)d$BP0xbYju&i&O-R99IMdt?3` zFT9_5UDvaD=i0;(g?QymI9WQj1I@YW+9>f8D(Ou=K(MD&vi+S*jbQngHysBTKDlQ0 znW(1ldEBMLb~%7B94GwSxWSZ#MWZPI1zVxi8;H%Mw1>i3Ma#|mR}hMy#}^$2$`ald zjs~BG{FNb>q#=%p=FA-z1=2^ZyAI2oJtd0_&T~n>n->hp&8xdVk7g@0^bSi<-#9Sn zEt?4d#w+wF_}Idjup&IuTPc&&%@isK1CJ9knpxQCAas*0fUjk^_%kQ zr&b(Bkyipuav8IhUsZfJUkfqjfYbGsNu?Z(R+EF&1M%qQTC%QnZ!+Q+ zl;rl2MIirw4nBZUZ)k4VrwS~VBxDjMe8yYrl5c0(di{zIze20~I`UFXxZ=u(d8??E z5AUkJBvpEa*<(}jB^U!=Miu&5ofH3;PEq&*hju{~ZH z`YxKtO@E*@-$nt!cT#tdZ!cr;AFc*3Yium-oRZ-+WU8F3ocJELboY5u1?jOMCm2|! z=E|SFoMSv1P;}ey5=TMfvtd`{`EBzia&h+X2^BI*|*6^-sqOTZt zl1}dV-6KXI^rh(NeJX}$Q&85UJ<^<}gRxWEiCC~jqnqH}fp5UZE~+XZ8)eb1FXw zlVeI(Rnw#>I6bb?QlhUg0bfR!EdG}w#V{KN4SSCN#^PxQ;>ac-U|?W?s)WO@v1WZ4 zD1lU4TM%GwaQL;G6(+>v@fL4j91DbY6lvYy_;E29M6iBe0$%_D!hh&<{9V)S*SSz? zlg8G8{Fn# zCS$e8E{ObcP{ZRCgYc9otKq)DIXq`UBEU!K$S;p{sxS*RcV-Im*SxlTP+~J4;9*c; z58KH2&*x^34N<@FrKF0;*2(Kgy5M zC&4SPo545-tgzMK@dKS7mU)PnO}+xD=uJMm0?_nv$3}p9R>=iC>;JfV%ebhz{|gie z3F+=GN$DA4=>3feq^JfyRxbN&M^yYT;j%bVQkMwMxU2!de0NLX|iPCRB!VN~2D z>kXZ#Ha`hi6R0#2S=whA#bLy_wc>!(MRdL(a{VEo|bB4vm8>89$bAFV$( zY3x3izyf@v>+ek({`$@L?=BqUu+b;{)nk2Uhs-Oy1mu$v%n=7lqjW&iPTj`$>wNr7 zOhW(efIL@9H8;=4=W+FGV0$`5LBPUCE?3$EW%5aChqw;A$Z6-_7m1|uY7L*q>VdH6 zPxPNyYF~a{fi{OswazIe!+IDD#8xLecF|Sk?)O@{9a5Q zuZ9CVMO!B0zmH#NVuhle4rLg8oM)!amdsLY`}-%7J@3OG`?XNJZKf=S(RT-XNu@?` z<5Bucg1c9Fum24C3q(oW5prN4*WK3Q?$2c9u5lADBDYU@=Boj}m0xyao_cvF{uGZ! z`ysQ1J~yWOqx*4dPq)dvH*kY*6*=$jkm@~)6ay?Pp=m4h>cTMMvg-G<~qH|RlcdG?7P}U#PBGi z+ncgfk_i3;5egc!>=y%;j^N4?u+-?YrH0A$ZsBVm1wrEy-3Ri35|ruy$i(W89xakC zrlhV+UdN@z9~Mf_TiSpK6^mZ!3WAbW=qKu%&9mcW`I@f#HIxTkmx3mP*VySH<2O40 z33hp`HZ*e09O#t=FjsKZ{wA>eQE2)CyI?Ucfqt-(Q8fuuwzgRqvjtkb?vt2DRA1y4 zOHBiTH{o`f9jGL&DIXcFlt}T=2=U!C6#0`Cd=Z*de3UciCZgyuvyd0&bfY>?KV6P|fh{w*$*D0tm^$rd#f%3{1kQdDBXR`ix-oP%27 zxw*A(kbjzaY__(;dxClcK6}3d6dOjFIG~0;c}N=VLI_hC-+#l0JY7M&%V(*iGXCyw z;vf@($!SOJ^TJslr66;rps@F!a%fECTPdtVaT~76@b7`DO^SMt9u>w*o0P$DiY-<+F5%F3oTQUaXL*BsYBC&}~#72mG zGMLtbHP=rY-h5_k%{C-9j#wexLpKxA{$BIP6#EeaEV>`Eg#Ci`;CjKnTVtdK+a&aK zPnZisT2(YYP92ikx-B5R8Hf&mmd~BOa)5KE6mTJv0Ewd7Y*%C57k=L#Dj1;(|9W3< zkLSv00+7c9nIeZoz`cK;IwUzD`>3`P=7#~)> zK03KQ!7{7p(plcaQt}j28TrmR5#Gt1wdim;d7)P3F`+$!83^Q|137z{ z&DyO>0UAqZt2`O%7o=mJ3*j)XB;(YK%p(7guVW@~EcOhe%d&v1>4Ki02~k0>e?K-B zJs5u#n|FHoFy7Wm7xoxSo4qZN)KE&q_#B{rzRh%jUpzduOX|E$Rm<&R%apg~aI{|O z>(Uom$$$~JV646e2#Cku3cdI*CGxv`xq+1EEXsr3CBfv2#2l3c$xDS0&qcXJJXP3a zBMUnnFvOG9QPMm~&oI`^1~f}5R>yO`d=gbcc@uTIqwrzbapShYfLHp-Y_qXeKyEXSQ+UALM(Eg1gFn^N?vbKyo;(w z1xmI2mbnx$BXU3&Ii@@mOiOFk(~{2WO#~K<%62z#6A~2DCa&~h;$$klleU4Xm#7B+ zOG>QP8i{Otd}bT*cXb=z$DV_0(0?=Nw-blt;06iWtm&DaDQR#r?fK^)sc`y|#ep#x ze%Ix2Jg?6Obg!oDQZkEa;D0K6P#|v%{m;E2G$ljdI&|J3TOVsa<9_V^Ui;HnBab z`0#X>MoOwAx!}MIC^)%%uO#Oq0iUi`Jd-7}w?2v{l;qzd_zKvNw;#*#<;>OV308 zol5NZULvkgCHP-{1}XqaL2w8|DZsIiVRTRuzLv)P_51FQS%BR5kN(|o(V}op>hJDsZU{GT$t8pE zCR%1Gd>Q>i`O=x-uVwVTylLx-GJEv_nm&=>xKZL0F-ElIUkyhlccLfDMO31)pIGKU zi_x#puWUSN2t8hbHi{W%fZ)X=Baz@UuMak#L)SOjy-ANQWmsUZ<`5XFV~CJWO&)8< zhfNG0`sfxNv;6`N|13M!Tw&po=u)UT2UQO&sJdjblhoa6L|0PKVa_jKwntzi3}v*! zZPl3Nib_*G!LD5oWp>kf&MN{Tppuzad=inD$VAqbcnAbtvEI^#puj0(CdpbNM z=g7#jB*W;1)}sBl$+%P|aWg`CV*ts<84chHT|>SGgsPnI#E2)awxs0};Wo{b7?R#qw~SSCGA3V-Z2T;D5t zpQ*G;-)hin`}W&DQ1Y^ygjkEaR9Mz$CmK;aDx<{a$jv=o@-trMZv5wLSfmM}mBnZH zFN0~+@~6to*h~BWn~j}h(>?$jO`B?#H}5uX`XtIv2AnUu?XNH{A{XYCtoJQ0HchH5 zm`rRY8He-U9K5;Q61L-Dlo3y;-p0gH@N~OxJXR3)Zt8o=7`hYt5rzU@Ww#Ifod!fc z87o&8ErAX#ZP_r;m;ggctxM_oJ$r+%9@>SHiAe~LEz~`E5N`#9PTesECw_HFYuQrG=i>& z_=b3map&KhJRKZ1?1B1WM%QEAbDj8n3j@UdlDI z*?f|NXkfWJsR~zd!v~3PgxxH(PqgX?BqZS5?2>E5`m!nu23~h|A&ORzMa7|UoU`@i_ zWY~RV9Tg+dG%x0Z(Yje^jSz(b>m)noXTC0&6P&%?&67R-{pG9iRe`zJGp0_OY4Q1o z97UhK+U&e!+JCH~9~`ei3z!WFZZ;O1752yMmdvr)xkKhZaKYBMOa(X8u|;`~BC0P- z9pY`l^WzcKKS&wd)>cv&^g1uKXj?%GS(=~lna#+Zxfe?*e+g?c$70wpH=_58Vg z$Q*vCTf`1D2>Sog1QxULrK~8*?_vF{HGFW798{5*HdY_XC6EE)mNOE6^2!zvfg|qy zG=Yep3blZ64;AMD_KFzHg)k1tqq3`weGio~b(_%Ws4%l4MSxlju-OYyRx0s_kziIg zx2(`f(Fd)1LZiMu=N!2RS|YXLk;}_~&rd`^l47<$+Nil^7O-TR-VQxH?8nePk7}bu=~zr(!`prGNx$W%?@hZM)l6THmXv1N-qV1iY1Q0XQGDpn-b%?bB&OXJ=i>E&TX9Zq7zV>F zKrws!Xp{bWAj}lhKY&5^tZu>EuCgG6+bKeQaU~k!-SC6GDG*0QF1-%AdSaiL*_B`P;@J{JPbo z+$RXUCI;GGI@l`zINe4;tm^WE24>i(w(;%s?)5QvhEP03F+a4DPNgH z!Lqd#>1U1#$)=sywBTU$qh5AMAZHbpl_uk$uz6k!x2uAdp*OrO=}0k07NsHsArk6N zeo?1gV(0TwmhF`C25LMFRXQmuugr*o4rL&Ag0XsxuLB0 z>LsQ$6-<^dsqqz5e>oH2l88>`iAnxzkm47v#ME4*8(E1{LFimxQ8pC5w%(Yqt zIk&leHK!U@%q$t#MX0!4H;W14-23R$OghG-Q)3pf5`G;?I9039L<&EJhOPv|*)`N++A zWh2aGXD1ngE5-!mDZbEF_|w^rMB`}?C-EUrM;>HI{={0VY!;j2HRT|^h`0RkLC?O) zltU)s!fx64@+LfK&eXdm;;#WSaBF^($!Hbg)ch9qw#m5gB zSiTr#e0?FrosCw%JyUiZy3YJAqX~|~Eff$w|2(%xU5$!LzsyC` zJF*?cO~&M-&rJknz%)C$RiyUF%8I@^s4H3;9NRDdbeTd`cKCudr9TTTED$z4RS(_? zk<;Chch7@#2z9TeW{&z^D*;&7#e#@DgZJxNmGw@Cb}J4%8q$_LIOIs4qe zAbBGp&xq@5${ksX#-78(QS$yH^s4NClu4h7!tZu_zIjtZz0n9+`|F-A z9YBGxpdV-oUI=}a2jxIq7)16S0uSH36Xn3#1U^ZN3%u=T1SP|n&22ueC{tt|`@p`T zjO^eYnnC@SzKC-fLE1Z)o*;w1eX1I#l&!D%A8^AJ8R%sUv_3cJ;yqvFW)Xro-~GZu zQjlmZhB%o`O&C}%fRSn_y(#i?KRQv(neg_fnvvilqye)xbRo1#1@s8P_g-HC9xFSa zkA&D)(dg(j9rzp?#F6yfT+@)0EnP}_xvWxJe@% z&8|5@-zY5SR3u3pioJ4l=7wA6rfn-tizH#OL*;dkM{=gT)-Qfu+0I9ZfI2z0h!qtfaV11V7f3K>fk5 zl7fVG_^9l9e$0U*YJXw9;eWX*C>}TRFk5KJaoJACu^-CagD{m}%d_F3{e|e>j5Ig) zmbVN4UI4|eSBNW}s+A^BjpAAAF`K+!=`m|ztiPU;Gv2c`TGvxm_GoByKCllOx?bFkf(=7itX-@+ODJ%|b$?YWCbBV>XFItyr z1YYFs@_&s){`RWMcj5eg&&8K(dM8+=vGUt~OGaW}t*=Qs(DwMtF$;!7Zh2ds<*Fpm zI}+AoA9-I%K8ycBGb)X*I$4ANEMTS*_sbux4qbQW=>DWhJ5Zu#FQ(3pBlZ#--d)R& zHnH25ALK0Lypor2_qWIFeaX592Wh^dBlRW;G&cNwiy4eDLJTK2_h-ZzZjWu<8nATP z%X4)p8uUVKV30D2w(;*%J$E!XV#eCM&vFe=5D(1pIDBw)rg!RTgV@HuBdO0& z5Cc1HBYrL}RK91nLH1Sh@aN|yaDHXiYpILxp_`kNtyX?;X1EyP-`By%&eGftP!E;1 zjaTrzl6Gh7)8Ck1&UAHn**DMJ`b;K?&K*HpnZHIKagMQz+!?G>?Ni_Q$84>xXGo_1 zLCQMQZ)ON}@ADtyLKzC$vl&DD?R_n`&gf1Fy7Lc4JH@ZlT1%<(m<+KZ76;!ve|q+_ z)S{mJypPGk0lwY-Sz(+;WJj!67rdjqcnt@XMZf4k%r}$)D;z@Z7>FuJF?? zDd9z^xWMp~OS`Q;afAbRKokpcsB5syp_G1E{<9~Tk zDO4NS0dw=NuKaq)hxgZ#h>5PFkt5kD7&hsb(W;$Ox*LXl7VgNmG-c-<2dy#Kg#OVK zwrsRjZb~qf<%u)CwZy0AisNaXC`A9^CwWE3NDUxI_Knt*poVR2joIGYvdz*Ov(ufq z44D6)q?E00=TQgIYP0utPS&=gW>)4~M7Cb;k%ogojClJ397l8?*c0QQT7*DiGud+a zLUHU25d-Y(QRrHyc$=VsUv47YSg(|aRlgT(vPw&Y+Qur`roZ2{GYYD7r;pVpDP7~> z@Tmft_*k_^opS>D*39iwC&Tkp#nk-VRX6%}U;25L& zSokvMR7LATOB9vBms>rHJfvlYH5}@H!`(-Rrv`2`bwjq2~!@` zi%M|j5wGyS*>@^-+lma>J}-ClILx&?`;NqCvE@8bCov9yziYY<-%CDKaB4F9=e+g& z;p*R>3`6qQIe%urgC4zh0Tm^UcT#@=F){YIGGH4pRq4n08^Z8MH@s-C@mo2P1Av8p z3Kx`@LN0p#nR_?iUlK#8JU?~-OOGpf%RRl(n()^+uMJM&?_zX^RdIIrct6lRYJ3mD z-zBr``o1porfWuo$CX0O zUQ?g~6Nb$$S&ZD=8I& z1MyABwClq-%k=Ln!4`*KfBqPMzgZ@*F+6n`(_j7LzZT3j$mE<3--}@uHDcxRlP$L& zoU$=)Q;rx}6vl7+xZ*TX98p{O_!DegU}7qx#kjjn4yNowkYZ1kT#Z-2h$56p{kBOA zy4oO2wDQ2|`q^2}xN2UtCrgSZdiFs|%uBwhYl{<*V>?o8yt?{!NTQPX!?RD~MMbj; zD4F@2dd{&>1&L^6{mLbw|v-9r1 ztSkaU5B)S)(3imzth<;*T5S#)BgZfShzke&N_kuid$Gg6_{ofSe8q|tSRFR9Zg_)C~=r|OMTet=xx z@jcgY6AX8l>R$&Me@tRR=hAS6&jPG8er|;(nWi#Jnq{zS3r;pD)E#j3ol?8ZW-}7a zROcry%|8DI9VTPSeQGZIC14i*60EjhLsqY_s%m>PwXxfpBo*bBl!0(ilVrj4Gu8TP z&N8_l>GC8<%qZ(u<2i&7^#}4&S2**&ZuDiQT;UJ6?rMjm;bx9Vjb|}s>~OX(hTc4ny)g6rgC?F(;hyz8Lo4~ zw)Z9*9J1!J$cU$+>7g$D-Kz4iiQUFCy+AX1#_Zo^HDy&dwLs(JsDbp|1RZ=dvw6M$ z_UVi~l*!2U874N72#0&@P^w|HU85cD{||^i(E>pH;}18b

    lgmv7Dfm zzvPj>v1wIRtV8{WIQV6d12P6NFGws;Sx|I{SR#u@`%B!>p19kA1<11t?#Q1k)K2=)MhZuz`j$}Gvun%#5&J)inK zyc|%)lFM{#H}|A|?uM4Rjq-7%*vLxt>RMUxoNW#F-`>jWjSeTN z!V382ac!Vx@ZXYGa#-EWR{@tL=4;mSgzk{>W6*tGSK~+ZuX{NFkv$*_@amnVA;03neH{$SZ1=h~4ZM^!S>rS`!HO2Wusbq|*+Ko5RAx~=gt=F`3ZXP1Q znZZA<>j`$Zc|7jFDjjjuc8`H<+B4qA{YPJ=-WCnu6>$sNcjb4Pv$mGEjs8(UTT}zlYhm z2tZQ3KrGlQ$BM8~0_~jXaL))}`sP#*PY-4Da0S)`&RIKfu~PbvyBoNGtOhW(?i1950E!azNU!Lw>8nUd!~BSNUA?l|y8Qvu>@LgO8o51T$haJHIm6 z;iW){fv1qEhHbM@n^22h>1}*DgmUk16rP{Mslbg5|2cJ|I37x6Q+3I~L}>!E#X#=m za2g$(QFd44w!HZXd_n}SWz$v5e$rszcpnrfFnSs;OHY89lZ!@reNzcu((I!wkX~A-Wae>Xi*C47Tkm<4xz*F zXHaUCT@#cVx3#h0;m&hfV)$bI+OVqgN<7Igs&gUX531#V4Guz{>yp`G zEVUAi?l2mTQLC{QMbWP?RGr6)p;t2tEmXQoY~1QuI~gOc@;Wx7#!jnLjDV75Y3{Xs1AiME>Oa6i(jK+ zw#-*k&WGR8%nVJRdgH0ww5k+$Bt^cU%bnaP6LyIPYod!u%scRR0-#4M=bQ?IDws0F zisL22EX%rl)V%69PZ-JR=iPPxieQ^LD&a(KU3*nYh9a)o3mXJX;yY91%k)IEu-zN9 zjY6lqwA%QzcU2sLR;qMjo2nl*!8-FqX&8ZC1A{`g?|tcjQqQR^$zjcgamms2QzB&C zo{e=@zlD^sH>pQ2X5Px{b8{~RMKrY+^(3wF1!mogS@D%3xf>MH2?dY=i;T;dviQ3q_Z$%cX7!)Ya|E&)rRLcQ%qMXF)|t-S57FfAkxFt^}Ue) z&WV|prI@YV)9SM#I8&j0#s99xF*XBf)_bTIbJFU!%P3IlJ9CmnoG(iJ2_Vh=s8cRy zSjmMv>_)NJk`Nj_-N}P$v9I0oykdtZpmI z3p-xTec*1JDx2JnNM!$uJ%5iURy&LPwJ%hkqsWhdIrUTE>cEDs8dx5d&k<+cLm8Th$wdiZM)u|VX7!+SKi` zWgGT;47ysRf9+Pi&KwhKxtubB1U}=Ga;h zXFXpD^r{vD#CpEm_SUt^IQ}{{k?Yx5J+70@bW6WlQ+>fyxA2d+${+d1Y{Ap?1|%06 zPQ4p)TB5E7{&c{2+g)>2t%lL+ADf=78;ukVX<-EO&updcV3B}OtpmpPE5h+srSYL% zGfRDVJ!7}ki3er-;L1I$xN0*fWID7#oZo^==ry7_A2(hb56GAO|J(LC$ORi}mmBcEnS?IqO}4 zvbRc%I$dO67KTSl7tn|OH~6)RcE3J_1d~mLI@J(vSqLm=+-i6|n4#aE^V#M{+Q~K; zh{!1QEz+$vz^4i%(uErWDyAa0+Fi)vpIZC$9(y((y)uI#%O?kSCkWFcuQ|A}$u$NP ze?zqg>W!#P5*?wcGonMaMYN`Vcm&2(kyWhBg!vMbfTmbGvCfb#vh(s9j)CLPWF9cyMJIW zq#+s_-ea&IANO}iJBZE~GKUxt6ABlqP0&m?a^@G|VT5y5P1t=?z!5Nw@=$O_cpNU# zOn>q=?PWa{F6?C)u(G9>_-@y%VSdKN7CnPs2>R*Q3FbNXUG*98PsJh}ZDH9St)z93%Z zdD^w+tdTlk@&;7KUSZ~l{eD@ubqda{VEB= z3&Ml4xGUnw-phSX&Efa9{~Wyo;CXCYvl=#v^5WR);Zv28gxQG0-&`>1lk>x)$}qa& z2(K6A=O7#(HMTZ+PIR&^OFjdh%V7{{C`I?p3;}H%K}@Rlgr$KVe(wn9PdMq8=r%p` z;8Owd;r;^8ZBYThSn03=uiTdrP~al_EIj<}A^gqpqH0m`vcs46R85~&1#F8}nMzi9 zPm7O>t%|-S=KooNsD`apjJ;IINj^(xIK0ToIU3E%2g%eQZx86S=Ns;|I4428wY4wo zhVHvz$bUyZaq~>QmVHU6(l0^sxd@XyoE#TBrV^4DufvL;RP$2c!cBYrg+tk`HHNn_ zCdrnTQMHUGmxp!0b!7#@<7#u1#7=qo%^{;rC$0J?JxfWN?$PR*IP9K|QbBWZ8j}nn zF}*m|G80E3xr(*tc{HHQlYsw(thoO#ArkigU#Q+i?4?lAYJaat<9V%RXS)n5~S3scbJp+Pb=8@kI)s&Rba7No+~gz8>1Ek=>Wvy?E{@$<3ldL-x34xe+Fb`Of(b!RF--mTJN-9Hvya*CydFbVv8U4OmQ0f4+*N z`KxU4y=I5;{xSg}diB=h%f$QbzhVJ;9^L9$xh}1?4Xwq{bM=ktKqkZpeT}2D)5E!0 zI1V0%RgOLC4g2g6Q`%F?cwbFnSPKX;xuh~($I^`RKm;^PSU6IaBkLEmX8V>DnnYAM zeDG$o-kVP7!8R1AA+o$TrmgP?WQfcu*&2|%6-rA3pK73#{Os*du0+{Ut}+Rq4Rhv3 z%!b}eY_<2T5y{3Tx%-GTH**C>?UZ${ipO)aYg4�p=D7Qhi7fiA#gtc=DS9cUHv_ z*^({-D0DfsqM!b8^k)iTvrRJRJD+n1-74-uk;w!2GRFmj$9zh{R|}m5&g9+8FdN3; z54QlmPZ>{s3TwNzm@IU9r?r{>DTcHrP+vFCT??~B8$yY^qN762}KF=hhByMhg&k&30=FA6L_21 zaFfbmi=|F3%k1`6O}b^~52{<@`CmeOdmeN@53l)diCCrZzy9-B+zo+a1kp~P9OG|2 zSsmRvLJNBB99YUA?)kaf+d5<9Gz_1ul=Z2ZG|+7~H8B;1G4h9-m3gg@yx%FN3r9}qo4=82E>Cr1{rfjNx zyS}@xvU+#t_dtJ4i&sgP7H6?5=FYNb6+x>(T6`^N?b+Mfb1|5V5XpZlfrcrlP|n41J&|EuFA!pF+RQIK}5XcqWc3u=IeZ z$uM2ymncLzY+BuJV2mDGNy0oUuP}%ZOVPS>7RL4Rh-NU4&)`6laPSztnx2UB(UN>M zBJ`HeJS@U6wSlj;@=(uZ%oc|CmDenuG0001ZoTZULOT;h`h41|pL+-Xs3!b(;ta?~)BIq%+o9R02Hi?s| zQ1Hi_HK2$Jg2$OR?|Tnsa|~ka2yU3MQ-aQ%$e|H$CckhVwpZ6CFnK0f4Z6Lz$mMUthcdN1L7SLZWNS diff --git a/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.cuda_io_sim_cache.31um_ff_1200mv_0c_fast.hsd b/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.cuda_io_sim_cache.31um_ff_1200mv_0c_fast.hsd deleted file mode 100644 index d47667cb9ec5edc886d71aeefca33bc74c6b2e1a..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 388256 zcmV*LKxDrZ000233jqKC0001L0BQg;00011WpZ4R5F*Y(F zF)%MOGcPhQF*6`jN+4HdVjx9iX>@6CZo3L400000037QD000000GI**0000000000 z0HEvz000000C)lHy$j4GTUH)+6$=6kjEzE+1ccSb;t`r7PCZUN2KmJuh=Z=3qz4Sh za65hP?H+oDIk)M1xr}3MObEn@qF6|d1s236#EG5QJcNXU!yq#l+ez%0#Ky>R6cQpw z#I`_$i4#OH`M$OG+I#I?b?VgV{{QZtzI8`E=hS~z?Oj#7s=oF7*2lf@!V8~*fA7RU z{&xfaJ{JFe?Kiye!uP)KlRoBWv2o+wFIKz#&3@<)`>gA@r*?0YZCmbM8?`PA@eXbpy`#!ky+HTMPUf$n4$S3X=-M(?F+V94j!@ys6&5f6;dRJ99 z$9}A_u+9F)ixuyz!@g>;er*l;c2_lShjCZ6nLO{5Tz-FZ+>h<}a=XXw-L5*g-K%C- zb=m&BFZ}-8cKZ*zs`vXJcGZyW&%40y&uzCqj<@#v_q%G$_8*J=x$XAf=bLhM#%_Oj zxo&ZG)vn&(><)(pH*wY7xcBg_hr4^PKfL?a-G?{cy#Il_yW`zE4|(I=e(mnp-uVMN zjJB(G_CW69vWV=x8PTtK@Z+9F6YFV@mse;LZo%N>>D1x8HjG zYu~){-n%;-IDd=hmi_eb_}*9EpZ0r*r}>pTuf9L+tf!@7HpGmST%Z+8RIOhkiaw1c z;=Ei-xt;hGYde24O_zxK%DV7Y2#~Q*;R)DxYXP{RAW;MiiDp6(wX3)8S%QKU{h6! zOG%LLuP9hm`%VShS|KqB66s8^tH%Lw!>Q{=;U)?44Q7IeVc!724mS@tR>jUFNTf5t zv91q*#1?{MTb>NxX;JXd66tCP?gPlC5&7~m!C`20#PKjfBf#6;e!}I!n#awXhp}p8 z?s05-!2vJHS6&>m?kmA#PF@}*up~)#ecS1YH{H-@i?bGx1#YXAnCwAQAa<{aEZ-H; zkIfm7R%kv2$#OW|8&!mTPFdixjsThB@3I-N8E8fHt->cRnrss+3#?V}^x({UFbBIF z9f7Wwpks|!K&B1!#4|S`1hNTbJE3D;@9N5<>$t1yY=7Po?trm_N7q`kfuxPpz|$t% zp9-trpWA7ET(E3YE>A+|!>)!dI#hUf9H2AT@&wno{7{;2VJrUHm76P2LV!Fx7bo7m z9`m0DO7IZh_CUUFi8dftHSDT^{I~zhKk~bN)5j$sZ{*cyKrUOrj9~;U1JVG~y_$oa z{$xOIg7_Uk4#B#v1MEgY0rDUSjr?#BG~IVKaca1kMwHipjR;hRwG1Gy_b_sEK>>0T z?Al(DZ$D7m&qfp=4}wGA5ud3V^pmLt$b(=H`&_0TnsI0pA@wJmwE%e#JRC4LN5qQ~ zm`aO2os0r`kR0oM4gCcM+J4wqrKA9PkR0lEz?Fn#)zH+-#}pv9F%SELocOr!#`2oO zoWt_jn0Dr4GvE>wWBTRkoBaXT2(#C17qlWB@{frov57mz+Y5R6v9*6${la9N-_ zR}AD&*jYcl9w58PpC=YO|N7t%)B@Qkbp#zQQwnsb>`&>)f}UV{LC_PhdQnd(jcPHH z9c)*;Aw6uCI1lW5qB!3Y*K?fDya+Y#4u8Noumh{1*SP(gU46*(ghDYAsCRlo;Z%^z zV|oG<19{Nx>S7B@m8uO;3~(*$9t!ZKHhy?2Hr3$Swei<2(WV+)k81FlU-cO;e{oU` z##R+`^=9i9-wE|7Xxi;-zz{Jd{1j9J6U57*64juCH(Tw^Bq(na86W=frXileKMozD z9FeaP8xiPC-!p)G#LJ+VT3#qNVz+Mu%{urO;8x9!k*}IKBD}1kmB3F9|7b2Ke#4jh~l6Of|!}gMtigC>)--OXDzCM&D{?z9O!b|ZCjqUIDJjB>pI2- z=!w_|=e!$J3^+T?hVcL%W_9RQHE@z*s+r`zs-VI^vTpkF%+-psGqTycx`*c^KlRG5 zPgluh=j?T-DvZs3p%NjeJ@NX>UxPOA;BX9H|Ec$1usruRBrH$gL@WdkjtCye_7jc+ zVDSv)0Bdl>CrGW}C|`6P&^E`@lOhKlZGWe9Kp@9(ZYK>T3T#rKWU;gCJ|37~)DHN*RS$N!x)NbgAS?7HXaGE!Otn;ukSP0vOYig?NQ&0bw66><{F zF*9F7-vpJbYDMMBX7|2^e(Y)}DKIK$oF}4C*zDus(BstU6Y1ebp+XUUf(9uHg-H%j zcvP~g5m+iDvjy6BgUmkGSo2cSuh7u9JWl#q;6BMDFH};ZccW2Fqz#%#8#I#{r-x`T zP%*e`p@`JwA5ObHiam#v_aNg#Gm3{6aw2rziT@-GB;P~<8r}#;QaC+KQL#_h;i8~8 z{1<)1>mOyTqEI*p(U$}l9$Vpn_|a6nZ7n&o^HQ#K!QE($iS8qSB7ISjcV-5Mqc=sEzrkZ8~j0p`+()Iy@* zVW`Dr005s%O^`D|rVYSj0O;x}>NUt8NF(x9WrAH-w;D@8*g?|=k|5tnNpRn3cw$D7 zZ>uPX+yxvXP6p_%_?ku(EyN^y_$tH&acJ5;mt2dlM4nUs1j%5u``;6IX>P(%JqO4x z5JYPovL;a=V*!7%>BrT%LLk9@a3h?E7NlXh&ouYX+x(L3mmpO04IH9KILDd5lk7db zG%}kR0-4P(+nJG^=Ocw6UlfDUny&q6X<-0ASibT+>z7?(q6 zxOdOIpBcR0k_IeiET%Nh8Xrlk|DG@Ur7MF_ zASeCVu%??lhn%hf520N@nvpypDImvM51|91=W5U%kQ!eIdI%jLDGq-eLr82$IOZXA z9N{1rV;VS~!`PR;$3y6$sRvm6g!vw^g!~vig!YgOBOXTeqo=7e2wlW^G2{(w7lB^h zl}T~|=>=t?Uo3gR=CRMK#r`d4 z;Ieo@smh449?fTJBHbgH&*8Q|11uZ%uLl;O`iA`>&~&CagZj#|p85Y-|KX>9)_u}j6|}^iV(R#c)uri z1iTfU21VNDP-q^XZv zFcmhQOEH9Z-4`k{T_JOIoJkL;C8nQzD~ujo;;pZxbi(Zq1tTj|gh1wMk?rK0zy-^) zEhHHusw=o!QvP#k5@UFDHg)CM%{f@NM4PK(y+(OSq2llSU%%`}Ka^Y*T5MwKN+>cmO}NX zM>z@37J4_3w(YG>@g?R$UXn_%)hRkAglR=O-5pz^xo!SOg^ zMY9&!h!tQ;Q7TR?I35I%-q+|cB66<&iInapI35HCq}qvQfKWSf5%QA}9JdjnV~F*O z1O!t`;?#oUal}@02T>_#YEBeX91oHx_(V8_h+lQfb&A~qwV@)3(uzq=p3fabUMJHq z@mhxN6cm^=yJ%Tq_I@16KE&CLrpPYQS;RMdGDVD|b)tkTt>x z9>#>bZzAb#>K*boS@%0HDMq)YTS3d!3>>7U27i*>R;Xzb%MX9H$S;F+M2x7I(A<hUSzNkM!)>=eTx!1JrfGLg=2C0;nEU;? zo%S#CVVhyscq*_Kr;~Zen!-cY*1Ogx&^A37&HV90Ae&#d)BJ6_Ykk#nTkTpr3+ehn zAm5+cZvSrAdY59`?Aq-7+v5CX+wG6z^%Ym`Vb^8}#4TTb?)u4g+8-Cp9nQO7Y~>AT zHA&dQ?H9|Ov5Bi0H{s4~eR}XMT-*cAYx5wohzXNN52Idu+syK8Ub}zqUd}m|h*7Be zM=*(kMly9yE@lPI*%X;op&N)5PUbkZYfJ^^u0kEQNME+Zn{}GnY=KgX2YQ;#jZ|(> zsU-C&O4?=fv~QjqZuP3r%2=~1^Ky%;!d(J$8856Fe(P3+(jk1QyK;$?I4(|Ekji}& ztP1JQzV%;!aIo@mCnJvsddqnC%Rg2(81 zam1ce!;4sfoK3ZrIO3yu5raFH0aqAm#gLg75tZa5rlDj#hU%R#`B?=>JaDCN&}$bW z5UO_k-}#-t&4=*jbPtg}lVPm0C5NERn8wplrtZKb!F{F)#!x~GQxM(F**1~yn<1tc z3l6a+RmjLt&LB?Wu=x%S(5rS?f~52`^3#O)vO$X>sP2S@$K=9P>=qr|JwI|%>9JLDS3p(f^Oln1FI!H4R9c3 z=|U5d?Yh$H)4r1wmzaP=K|anlVuxy4vC1(tIL>G}g`XfuyUGONxX|QdbvE7$pxCiBxfr6*IN+({>3+@)DU$S~tsMD&|NN^;2Y~{9+c(hR4@{$H@lH?5R^0ZmcG8 zueuF$H@a_c!RPnRJZ?VEbl+d_*S`K+Kb$aEz2D4v@d2KzXYPP0cbY!KIl|#nU!czr z`!|G^7U?rwr~+QQ1@;WFe`By}f#^c)-yn!=oJI^~IBd=Ij-i4q`nJr7;Q|%tC9=eb z;TLDhcF zW)5)XO*oU%EAhrOoL-(}TuZ|5-Od!(L(vmPZc$R8uY+yuL^28k&~MCUD`3iE;j<|f&r4~OIu z;PfjswZl)ikYYfaWY-;73k7F3+I*-#z)2F!qa>0u^is~Tb^6um;FMQGe?Jr)sb|5Q zuq}|SM!9@VxiqpF@c5D0H&27Q{1WmFt}Ph=S|0wJD{tAb{2H*_Im+cRwx|S&v*0$8 zJ|JY@%r@8B@Zs4wgDH$lJ`GIayl?vq+I35`!T$AR(0=p3`4fNSS5k9I4PuWB2P8J4Wd&@G~ZSg)F0J;4kKVozCrgI;O9_SI(#@8wOqv27cUE{o2R;#&f1G z9A4mR7K;|(RalFeaRuH*DZD^OhKa%EO<$OuB$kH#3Cp$|(VM;?M^HX0HfmOAOD3Q< zeepXRVFFcWdO&2RulCPEq!%R5rPb|CUsMK=LxF;9q?H@fh3PvA@}u)bpro*4d=#)G zx;=1Q$#V$Cza_*HJS?j*EXAA&=1Fw!n@(8JIQm&-{KHu`M;SX{roD>FPdJ6~C}#;w zl7Z!&!Zhrik9A^s;?4} zPt{ig*Un6UA~c*qe1NSyf)pM|c+tG3O(^ z{Q*^@V(P}rQ$%5L!SXG@y9Id9r2w7X!FSv{l5v7&e!sg6<$AaZHbu}ri1RPcMzK7M*@HewL%~fS8X}r2{H*1iF`Ei&H!QyQ`op_#-F>JzZn4PLlH6!4TeNM% z;sm%0Roz#O#sBo%KJ|Oj1PkB3c8frJklH7Mmc#x2Uj{7%8}8im8dkQ4kHG z72R7f4HtsM;wWed(clgaWxi=@VzCK=+=LE!q?XRKB@(+jN{)N73PQ7J;7%&$7A!VN zkgy;dOC;f(&mPvSCmB>hc) zPv5wYD;?gz_|}ss9lqR=9q!*VZ}ZKOz1~ZD<&NxiOSCz%*Dui}#_#{}Wgq{oU;K_l z6ezZr0j^~R#af&>9$#<#c5MUSupsl4p4S_{!`Osw0?0X9N^=7Lh6as6)e#y5nGyJ< z@A1Yj8Xb^Xk2dX;p4S_{BZdsx!<2!;8@~f$z!;Gw8dDzM%=ks?1kHy+`+?H#R!6p( z$vA7(3L4n70;6^|XJM3(Ltp~$Udk{QzP|9+5EaIs&_mZit^8D3qanM1uPb{*HO%S-#$x4h^8+L;0KAAB<~R<^lw= zl-45(}S#{ln-4FDEo{o<6f*Bp%89Kg_e-CVbO~zj+d~dp@QNXy#-&B^L*rmW%5yF-oK% zqmBFS;m+JyORGY~dCjWK%Pp=7ciFh_93vsQ@9zEef8iT{Fx3qN>jugTH4@#zCra0# zgRbV=fgp5M@9HY~b_VINffovBbimM)d}0(#9xS{u;5-r!7ASABe%TaE9xS{7(27H} zra3B`WCYvgR#=?2tSgkmub`vE+bDK)Ua$dwTddsgI#29m*LW$hC8yr|_ zo}+u&i<#iSrlh(Vm?r4ubXs zv=l3Kw-h`0VOYvGpR6dxbVw}(ZZH>*l-(Av2x9U(h#74oE;X!BXHbwtQMx*! z<~mknS&d-02^}70T2^THr#NOE$nfWSR`}KF2KWxdDN^B0s^-29g4I!1M;sGec0qF5 z-GVq`w+8ZDwMEugqkN(q(=X5H$RTomxiz- zWyY;5{Q7=>KImzG(Ky^DAKGa1zWYVte@BfD4CFnE>Tz{*`gp&|W}J_rfQ08H*<-Ur zE?YEeeW>HgqfzUYXp2UzU!qH*Q7?bW&;Rm2O$x{<;~7yVF`mJ-qd^q2HeL^@TFqxJ(@8Q6G}?sxkITV;#;t?0d%F6?TzPd50V`bMBZdMwKtxJ zuGM<~9(*=FMTJvS0f{HGZ#5bP5_eP{<|o5KbfK2Q6U6nh1R0)>7^yZUsDL!Ghq1vTl%J3&ASQ{n z+tfH4@DVj3D&uDN&JA?ef=>gk2vmj+s(?62zd#7ZpwdPp(LAY~JzJq(r~q-5_C3l{ zi{tc51m%=Hi|7bJ32Q0o*9fzdlL2Ga7L`fIDHVQp?m^|98}kxHNz@Rn8wo)f3(5F^ zj7XvW#PlR~FtYCxok{eSM$>ZS`=Zt)5}6*vf&D+7e4W;$qnWOhoIf0IDTK%@l}rgz zrN%2Ug99a{3rh4 zKoN+pFC@Arp&w)Xe4~Uhf+xmCj2!!**bllx5+u-?V9bpn7rz2+5+u%=-~r~LL=iy2 zfQ+AfYBA8lF@h8VIE-VQqi7K4a1caBLrCiFYp4bJhy>XS!_71Fo)h$w84zc0hKJ)(Gd=2a0-&gKyShqwQ z#I9eWOMDF<{;ALZGapR826g-x#F|GN5e#)93?q^}1#H4kKu+&YM>Ah?7O_6G7Ahhi zNbtc97^bx2SwYfBwA>4NXZj-dKHraajEmg+gjf?Kr;+gB9xC)8#>x1peXs+mfM61q zlWBzp*9#J2ZNx*}kSSPVK@z!vS2P6?pbgt5m@P)_FoeX1enR%Z*QJdKmSRvTVsfNd zPC9;P!H#9(f4@4*#Q%PIQdp!$)@ZTdn%8Mz5tPQt)g0#}{Q@mF6YwkaIL!pSRv{Wk zqj?if5it?@d99saC?FpVRzCdm&<_$`Yi_2u@_B1|ye_Ey$-spK zm)V&m+EkG1Q9*v1I>qEH1O!CdjXS(7v=RnZdaD47F!S(Vt?mKmr!xFU+ z_-5sAR(?$X-Uub$pRy*UYx|9?E+VJauv!P=rnw_Gh;>@flOuQf?7#oRzrGPlu<++) z`ZiLyx+0yFcG%kpC0>2?D8};fSs|2waY}cvhvSXi>9TyqvLb?Bp6GNBz34BuX*DeB zN~*RLzGt(B;M@{zHtqG;v=6@Rn_l_fQ{*~4FMuAOB?byW(mx<_jRgEMPm8WKOMmJ? zt}lXfjp=;~UthsFr%8d+Lb)tO41ISco4xPr;9d@s;TY+)wW&b?!0<70w7{pdl)@Lt zqnJjAD1f+((})Rhk!A>ov6L^&)#zpEVO;|{CQjYAU<6=@JB^s9@YNMMB*0mY=z558 zJ4v6y*Q33UxYg0Q0A&Zon0|3UprOPHNSh3~`EE?VIxyC?;V@{iKvC((JR3I`A9Q3! zmaX~dxf!|MX>ETK6PG_6E0>5ClG0Avzp_J?izZ{YuE~K;=KjDLive|*6O9joc^z;Z z3!!GUeIl;Au_IqrKTuXE@>z{|j{JRj$f-6-{ywg5hli#@aRP(r@P~Jg5JV66{TbVB z3!<-wk}D6QuUn!G?bk2SB|-F`{ByteZ=N-;9ckhiSdVnoXpts70kLDfr&@s6IAW_F zPbiqs9;WL+)+iBd3OYhx?Ovg5zGdwiqwRI;v6&+#w8w~D%h))3+SSr9!627{DIFYw zH9GgI;676eD45Wm<~8!`W$G5S`3@W@n9v?)4f|Wv0%#A+F+hHAx;zf;Nqh+kA(^{r z&+s)O4PQ?Oe3iaNqB>ubFW6Tiv-~kt*~unC!3MeExkk|Cs}JIxEITw%?kQn0XGRsQdAH;fYV>G4CH(z)t=-;1;N4ctdJNEYcrpk zfNLj%q&0Q}B!usSHEL6zwML0wf@zh0#8N2_Y*8qrPT6mTUASUXATqN+Z7lnggPCms zl!n()PF6t~*o9B;OWr!uW=waI{^YWwigYNg6-dcabgM+mlPwEZccu+2fo7Mk2qDt8 zv^)IFD|a(P*S~VF+zbtGnlnqZq2l@_y2K3qQ{Q>}XI@IV+?qs0JBxnJm{O$7XK*_2 z>wq}nCkPT1O)z<l%~SOpIUN&5D+U%p%lIs=(vIbs#;)iiZh zE*079&{SbkB__l*Hu+-TU9E}kp;Vvt;t7&&&sT)tTqc}~@ zsO~~PBsXTZJ_mFq3S(AUxowhXRR=-p3(RFj_S|$9Bo8LDeE0o&6X44?`P~x3WMiU8 z@UIUJ!Fw$m-JC01M=}St4rN=#q*O~>wwSrG?Gql>k}ytEuwBY^N%&Us<XqH2QV(gB~ZVX*)w_gA0a-G$|E)W}{L8ceeg)?rdD$A-S{h zqu*qy~I!E7mX3C2GZA{=)z0Z+>So zwv$)Zhf5bj)XBRIr|wdSI(fHAM>7(#p;ip7wAwXabV)~pUV~37mfckcbz1jm(^s;h zB=0ufX)P+}2*OaMg83doHqg49cN>yuTqKfRJ%WYbN0TAxW7!DJqZ=W|gtuWrwBi>B zFIXxIP-@Wh!TZ7Y=l$TsJOR(rCm?^EDXYqC_duPJa8&Sh0oRjc`X>8;VNPc(FgzY# zAAU&t72kB24B7*rFZc+gs5{{s8C*-i-f*hSg}wF`{+ z%lPeZyq#AlVAo%wP^jve0G;|}1ayY`Q6Y9YMu%r*!)TX1{EXF5ty`iEqpt^}fBTR8 zk?;Ad38S^<&`f`;DGrs-=Q(RbE*AuAv~5vmb1Y~Uhj^&|g)wN^)ZT$Ch6o8-_# z-=T8^(QQ+OdEBGq zl7|Oy1O8_h_}4Ab2K?6p{Qu*Z|J*A-mVjR~D$3M027NWF8q{Oz`n4plK*(nKWeu99 zNXWf`k}iOe1jUj!L2W7n1HIh`m`;LX$p^vEMwXWR5OT?rpjh%Y;t0!J@UMdxp_p1M z`5+j&&%osGNVq2Uto{ToMl5*~gi->Ou8~z|P21AcV#x==s7lQBEEeDo`yqv1sM6_f zsLbk)bE_q9V>YcSJg{|!G67F5`5@UrHxg3yLtBL)z8&VHfwTZ}$~rfTUH)8H=mNKS z2wnbkc`=yH5;cU`;CTW3^ueb;rEeU>1faOb_vtvn;-STVcG;PiR&oSH2oyOv7jWB%E4yBr|iC~G~uBYsD*FY zbx3XKQ+6E<{QeO<`{7wS13Fte-Fnd3(&N5B4j&Q``OVHxt`{M)TTT5Ji z_m7Fo?uPt!@6N;9caN{##)kXp;qkq%yg%*t@IJQhyy}VYj(0Cfr~8Ia|B284gP-`q z3sB2bq}V+B77a#lo3Uu5_R`^~HgSvw`(W^5A6hZ+L)7>HKfRzhLiOlPmdDF|s2=dB z%;SeWaJmo_13!!yE4CpxX;>=;zD>QLZS%aJYPDzv}-Ab9NYrJ9tP6H@Vm3)o|; za0n!LRq3PF^xb}Nbb)(p1<>cWV`;*NJtyIN0=6AP>R85ONQyw3KYg?DrpmxM6#$pl z-P5}eVnLsfwlkkhS_pSj@4C9vegi!+F@qm0NxHjw7o$sP*&}%+8JWMkt#<>`E=A=W zQJk6QVc>W6f7=Od9gw7{q{jSZrOGBXC!5@Fy z?pn0`hzfsO?b<9^2Lk#2V*1AeZo6IUTTHdhuFcLL0{Q;=`L{S;zy9rE*Jjv<)cllQ~Z98l8^nfyAytDjBE5 zmeWfY)!Ea@!3&x$50n(wN!j&;*L(aKr*?#TEvF=scG+@4IT7mNc@b8;5b=!C)uy_> zW>x0p;(&9Pmb>t%!gbZs?|^K?%EI0l=T;bQh_0b;`n)U<5c%x}RPigY(Th89*!EU>U{s zJkCW0B6z{#zKeRqXkA;SVx|hrILM}|z`SxsxV03`qzz;zZW;qczvhiMlGI6c zBq<{z5>*ASvLwwWKd|X#cFKf)g-R4Jb9SWj`#$U5$=~u9fAMF2D5W3G7yBaF7_=OW zF(SuDJs+u>l@?yux(W9ji99ICF2pp>k|0eN6YQITwm)iFJA5kyY2%sTh=8D!$@EB7 zE(K}Km>|Xjd8UjA*6d>5fFyNbkZ88HuQofP|+bTK1Xkp1*OcD zA9G$MdKD5`6L)h{A&f;Nu|!rSq7GYNgYH2q!4c5_q0HbF7r%|Dg2<>jG!_hqQxm;y zMDT+#@rOCVRcMWorY3ruAl@*{3C7g;76PSlMDad`sgdjx$g=lHGcHa>LNGxPX*tZT zhT9cYRS<+jJWVa=ZF8fS1ZlN#Hmy|+IY|k@gfTG^$D|}qJezziCJX2;R_%(!Ob(79 zYOL3ht$6|t`RU2m>dctZ8x8ektR2_W73XbOGj2SGh^Q$$M*DcWo zx7P!=uYJ~wfBqv0ZZ-AIQh7om9Qz%erpF}Qnjl<*r|B^z&KI&xK}|{Xn+32Id=F#5 z7Lbq+x+ulMFdgbWS%x9Z3h7r}!R*4r5XhowEdPon)5cJJulZ5XpU4CP5b|zHBW; z$vJAyYHB|=&xNNP=?-dhRko@tpdv#va^vIV3zS!~kPnnEA$`_Ui zoixMchx4|$kZf(9^py6nmFhmcbA03eoA+No-WLCWh1dCl!2jN^)^>QSozc)X_`e?b z|KJb5<6Vu*Cy0TrQ;o2zXIX{W1Vei?5L(Xe+7U*4!XQP}z z?||9CRL8~EsJDGG2I1~yBj&Xz!99f}_0nmkDZJ|I zK>{)OY4q{LMJjH6P2mla9Vp!OJ_QwjNO;wsa6L7J*T!_o`;uz!J#m`CYhzYJsJd^A z=_kEKG=(=vBJ8Ec0qWU{G1uMuS-P#3;C}8qzx>`l@dIe!)T{^HVvE<7T(3~!!S$*NYWN8%0yVBE2(lT~I?&ous6onvP+$M-17~OId z1n*8ENM*|e5k_Q$lHsyC#1q3$n3_mvf<377U}lJ>9~cLcpg0dra_k!IIzNJP0cQi9 z&`A<4O|on8LK8i6t`RMrhfxwdL&C;~;|(lKZcM*AeTNcBIU$&2xHr5DA@tQV4y)w^1Vx)QI|2kQt*1 zoFKTmBhS893`LbP;4Enb(~dms1^`&@(Z|oj9R-u>0GDRlvxSWuzSIiGa z?k!HM95Ja5I2k160Fxnj7y=VdI_so5z>4WnWl8vi<1d3`NvNgy81^H+vdJJM$121K z(~wz`ZU;6ajV2C=Y8DqLOVW+XRll#{dd)ATxYFY6m1G?=owv?3z(?xcL*Os}3FgkY zB;k}1cnw|=`R+&qCTYAPU>Ki#H1f^Qsq+;s8QJ;rAmReHgZ|c+5~I8X`L51IfO?(G z#D)_OoqCc_fs}-qPe#0a&Iuvg&Ip$dxNd)-U*?k$kDl9Kw%z`Z+sY5e>wPj;O7tHn z1baBtrIZ&A99+$m(2Kh3M_~gFH~EJ2&n_GAx+U6>{(6x9%U}MO&;An$={4Rv$uMdv zTEVEYsjazON_QdBhb_hdSwsSF!b}5R@z7eDPu&J4zs~Y>(}?1VG{MvcpqVtmcSXgJ+=eUbu@lfPQuXdfgIj0DV0Geee5z=l6Zv zS%8LTTuku}aH)1-ShZk^8(1v1srLZA*rq-M=%w^p573KE>ODX&rs2{Qx08VdemV=+ z12p>BE+pa76t^J{^->b92WVvevgIuSx=eju1-k-r^ZBk3kqety&`qFE{n+LaPk(|bGG>glDwO*m<1mX@C*L@Z zktvBHs3aSiVDgPq5Td69lW!b?Be*UIo`(j|TwIe-A?(S9quW^!%P|*5z-MUAAcJUFEqU>0i#cF~#lg z{KFGMDd_Oa9&FL)Vv-obubD#_om`)6D|#Gn=7C4j<0TGpb)%%y;d7aC&v3QS*#1#D zBwPy97F-r~C`zTPAxHo0q@l4x_!3ItqpIH^MgLL~ealrhP%>On^V$zdGOHHdmp_z7Bzr&Tth5Zx+8lx6}ThHiv)S9Y0Di@VhI4C`u5p zgWtc5{__Ej+ReLPl!6TuM`^enTezChc~ETP(lYpWF`ZU;FwWpCQ{gv$kEfJpw{D3x zRp@$Dpl0$iy;%Q+3(P*C}tt z90J;&33vrRJwulKVHSE5Jg0A`CgIszZ54wK#KlM&muNK_0j1w!rrcTmi{Fp5?Du~5q1m) zG?+KC%Cske=D4a(PXs9i+bMFT&XH%J{E!WbA$guWqhbaMCC`#)pg*L}evUk&?b)ji ztKZcVq6@7B)0<(}j~yadyrWR}kl!abcXvPUn607ur?_JL9R*4ZTF~~+4o|0e_s)`M zkfxQALL8IP*yiLJ;P{YAj{}ND%jYvA&lo^cFX<)lwH0Ty?#_Q?@(j6Mv#xRam+a18 zN1+jRv^)Pq)4I7QU|D-jzXm;aqt0-Cqm4S_@mkz%)EVY<-KaBMJ3L;D(+ys>=5>MH zTc;v>f8pEx7!snvirNFAh=SJri8)Nk_}U z1jhl@E;1rC6IEf-F-im@RKEDDs!&D_IR=(44*=Lx)dwng-6i(Wcd=pvATat}g`$VTq zjfE%KQf@FS%+b+oE6p3UHt5R?KY@fsK3%X+YiU#LOG{^6>`SzNA3H0egGg<2Pf ziD^Ox3$xrQQO^B@atp=Wp-Hf@zA)GbMq=Mr_)2**0#AnXKFR|v7o9zcg+;@>kHzL% zXJmK~&Um`EP~Un_*Vgy$#LYCNWupW2S?_!I${+jj_q>%<3XQv(N)e*4fFL-!G_eL` zq=Fmar=U`pU|*3&pLsPB$`dz6%z=?mpKigMfuJBU>i~kNGDpd0)g1PyeGx%$Ob`Zr z_K#1haS25&vTJfdaYlxzL5@nMP=@+6+~!9VXJimuOeYfOjg7dNO(f2nAPGGIsE@gk z)D#M$(uK^jo{$9{GJodsj>H)m$E-(no9$=vj>N$hB)j1dVwV+?CW>XuvYvQ&>I?(( zhw5kUIQ{wzV}HP{0Z;;`>m=vOFA%cVkSUEN=NDCIY|OLD2a#EjJ_~c2+F_p}5sH)K zJ3KtL=cQpz(Gg7jNGgK-c_z0=r*>*crmW~-Q-woEv%m9DmxG^0Vl%XXWu^{NVPvV- z{kh~M-dM?JL%7d!eW1?3m6~#Wq}!cWKg4WE>Ic*v&u>a~Cd=fW@WYCckoL#%?tQKi z_-3=#!bpb7bCtNAj$hOBTdvXVc_kunh*F=BMvF21Fw5be-}hNpK;H37U-wV`amqDPh20boGjT)K z4NY-2sq==b)-9P}#`IA-7BZg(n&}7{bxdC>M)WrGVXR3v3F{gZT%}{d`!s^z1;+IO z@04Ohc`pSI1ZHNB0F2nzL7NJP4{gf(G!Ql=9VpHk0kP8W;(Z$EhXigU_nD-YzXjm8r+UWkuyJ}_rg)&_ zE7Jq`oJQckMK6qX2W1H7OGWw*3B1^yyX<7Y^TD?w zt@bleZ57@;XEd`d32MD-=#p~f|Nh_p!oTzh3HCL+VUl8u)P95sm}1;n@lD9HQP>Yj z5hyo8FAouH_kkue`~*l9zk}|EcteA_B#v0I21j*zBs{)s<;pf96b-x%P9%AVSsO;| zSwbY(+e6haMijq85FF}(Cqs~K;f2qq7E8oN1S<%f1gtGag-#}T2}%kiM)Ir@pX66p zlViUxBUSP16NN>4$=D}|JN7&8oQ6_@LStv0ibKU&r&@WOyy+mm%&b{)f#mW9ilCW$ z+8wIR6Rk^Tw1J*A;?%CW@e-pEmk@QTA{dQpy*k#!;ubbxYddE=mBEw>?0zLEW}9n4 zF+5vm-ng4He*GKw%AN7+mS_XT^-FXKDE|B_@A_+>n?O-Dl&LMuQc#J;Tc;~CqH+;c-rqT9^QQgrWrK0 zf`%Y>M%>d$6J;_ABERR}*4Z^t?_ zWdqIiZ{#Zn&2>w(f#&)px&$dw$z+z~QlUy_Nj^rM3JpRr5-a_94lGGSLbD+4 zbF(BjOA^L0U70sdr&3Ggjghz;dAN5Pb|V;zw7N(odKd4tGa)zrVO>Q#5)plRr&D>m~{*#CZsgPu3zs%Wo%YV~xmP;;euEbQnbSwu;O zN4Jzuq`~HJk#;dP4F$7(xgs>9qM&LY(2xeU#iDI*7dix+O>%4pa#3^b*lxU#q_Gqw zp=}}3-Qd2dgInH>>F(JbY8Dt1$C#iE(^Nz!Nlnhi91voLByNbR3Ylppx&DSYT6=r8 z__pQtpK|#p-M!Nyto^3rthgbv63DsHZKRVo#6ndfsWLO_%B^`6b$;&=5XV_^0px*Z z4HhQ{hvu+*2SefDAe)wtVIX1uA~r2HAom%5=${UUpPLW@+40ME@@Sx6Ii9+7z+l+I zv*q_M&R-gwyZw=o=bMMI!FuKqLWlBv{>cS%4kFNh$-AG07cZj(09(G>7B-Ro7c=w_ z#&3L^&0EL@M0fGT2gX1@CN~ESe|MW%)FPG?&TyJgC5!4?6g1w@l)^VJ7YCfv6EjUw zH=tim<~X%$R1~?Zs;>;P$0_qDyQm-Ow@m+7ph8{HTDIE5H}1cA|MlZ-QR-FOk179L z6V>IH(;}!8KI5yxw%uR(Ex+sk`_xn@pgylMHu2F=dl<6n7@J^b-$HBxIdjk`CE5Y5 z(p)f$O)QoFWwD77JvGG@H1^;n%SY7ktmOzINf7=jIE@e%nPl{dpE*b_R}@My$^wVWtC_ zex)PXRyru|zodQ>o$`DFN$+>qivWLyotp2Tz#7`xMd6D{IRJ9nGi5%p z_iAUgxk($yoH<@}OXhz{$^2U^=4rZ}KJv%E?CW1n9O`Oso01T$@0&yH3O1q*N#Pc7 z7ChQbtwAY|*_cagcB`dZs| zqOUfakYpqB#S%x9_YeIMprk7O5mXXP5cAQ+0m06wyu(lMp*jBw8gvVDJOm+GULH{r zY{HI4~$N--WuXz*VUrsVx9b>5LdZ;zU zC6ZLSI6E9lT0+r)xvP}S*QbZ?32IM=W-+C=PhS6N%xW**9o!_SeaII`kReKH0SCu4 zW(api*%F~il_-2Yic-r(msEl{CioMijABkwk_MurwY>qsY@mTnvrEE&NsbNNWwJ*5 zzVK|F^;e0GqAg|QYYf)OmZN6Rl$z@(l~ir0)RrE!u8Er*Qy2aq8@<~&9xhi1Fc;=6`e|OgI#vHDxZ2NfD#y22M>u=Efyehw#ByDngth)yab|) z209#Heid@4p;zHYzlnXte!8@3GCUKTqV?=@$gW$WE$QWYl3srD>wfjezBqYfbTLXr z3%U!c?1<%i5U>^)>7g9_<0J-H5#Iw567&ctS_l%AsOy2eLug2$vRp5+rWBSz@hKjS}EsLz$av5_)3-q1h zHA3ymL>ZOb%sBf}ST$F)t{|>@k}%5D5&KkaV1czkRDs5+1!cLWY7RmFP{qM3BQ{a_ zsS|ri9mw1|I+ATA6@=qBC(l&-K#FPBj81(x4$MWb%zZ8!XG79H^zxYY!GvBiz+Bw& zCEWL$La`|n%rgm7m`JP%1sq=cQCA27s`_{~6CskG7})A}SQ{ z5)?4V_<`XNey5&5!KsTUQz)uld$@uwDlkBVF#y4tLa|42iuzgVz=oIvy+Sd7dlJV; zhYZ-LievN&MZa&ADgyo(w1+*dPy}6un#YVs1mVGS+PIE5ZAf-apx#UenJX0SfV3wb z<&6IzS16PziwRJuy2%c6t^Yt!Fy{Lb+vG22{0D}m$yWDvdjRVMnZ-9nR*$?ko_)$O zIL7;8fHZA?5}(Z*P<|rwSGv(AV_$kJeWTzWBtbG_U58ZAB*+(o3F7_9)*i?i9l@$B*pvi`-X_?Oy+%n$5Lr$w z0o|DPFtTL@vpCR+tr(GSU>k8nF`z&#+Tw}W3uurdTeX}zPtMr4%xk4& zd)+k327>lkfS;h}JjBXRmhMpO#?b^;>1?;5{bvHz=!GwaNSoXbHn6?6W^CZCY1?JA z&!X2kjOD|b(iov}Y?Y*wyu0TDMZ=qELs93ZcydKEpZVr*{F9%Soa$P^RB{r*r_Rb1 zG6-2_UMdjfgBrG$`=e4zj)E=FQAV68IVFmk;9`GNqG%Lc>W@kkH9>OwX+k2h4%D?A zege`HMNRO~hhhrU;{@Fy2@*x4;8Mp`K~a-jY`H2nut^?T1kkKB@5GiYp!6H zcxbM0xvd@U?K2R>hL`BJv2(UcX15&-H$3q7F_Ordus zGfBI3AUY~WFg5a>!WbCQh2C-6+B_bT!=9dlTr$;=QWCBJ(Gun)Q;s7Sr_t;^RGnsZ zsXQN8SMgxbgh{xzaOTaBWf@jC)*^bu&67EKf~tG1Po{J3nTI6P#zws4a%K0 z`AoXaU47|nMac%`*8}C>_Fs*6wxIXWADAfZp&SUf1-;eWZ4>>5n!lQzmSLvg@{GZK z5=Lc*#p*+yE6qJOl#Vhrx&uBN9?v-Bx1jeH^uBxN;qALD7JH<8C?zYPsxEB+-kuy+ zs$lKUC|DbMUk`f!;g9>YJO9JSp5wSe9oflDv}EeRg}l1haH)_l8RCf8Efw-5LmYxj zHDbvShr#d|C1L~x0hKJj%V5kt6?SGIZVrD={!M}DR8E)9Q3Rfgv2=usO1|I=u#&HF z=Q(K*O90KWcO)|v&!=<=?{RV@BV{|wh!U4TE?*dLIcXBY-C0??1PT29g|$~YzAt4( z#_@U%Af~Wgl947!5XttGi(_u&cfY80kCxDdEnLf#&;|M;Mqjr2E>8jTT!f-GY?@d0NCa6I@Kc5>Hgffm%$zlDAe&mRd-^@(Eod zs<1Rq3n*7o-uQ$rGzth^@sNL|>Db}$gJo(bvpS&*5o>0GBTWmPY0IX8c|sS8wt{3E z(y`Or5{kCTQA0jzeb;^uzdc>yPSP(9lFCDa!5XSXDVePfoXbd#lXNnW4)`(8I+-1+ z$FEJy=n|xbXF2|~%v&j-yHs42Kw9y+M0lMqAiHc>nYY(3q{6!#>QSM7b2X#dd%2wb z0#`Hgw^cW>+IcwgjZ=rLIr%07?%gAxe|Tcfm`z)VdA-@RwKOw;q+OFlleQ5_V|XzmMPAY0jY;%3N!X<*K8NHm8tIIa z%$5i7LW$Rp=uH^X%hK%G`s_PpFB)s8KB7^$F|!5QBLRWuj;qS1IZSR#WBL^Wi?a4P zgyI)68cgyrwG^)#7_+u04}s@Tbd8wRCR=qG^aHuoM(7VFM}&rSk^7k=89jhQ(n?;;y?M@ANkP#eNJIPiFXD3LJLzg1}HHxgTx9s zZmJAub+lIoJR+hrnnmHviBq~bFX#ag8F45Yr(jK@s$8@2c-Wy$lxDE)l_1cM=;08l zZgf{rVNtd=FPJGTBY6Rt6IW?jwiomYOK642V?>AG;utf91t-BgbLv2d-It)9D=a|? z8bK$l33&$Eg~Ec0buJE-AhxS26oG7Y>b>R{f@@K<#7t$#mZxsPS3@PJYNpCXwmyeF zstQD1>Yz6jvu6u597t7!F>CPrl#AyR|HRn&EJS0U)=AiD7gF5j)ge07ygF2>GYCOE zQFZ2V+5K?5zT*P49q1(PghAJrov>^tbx1fP-KJcgqz(xeU{r^&g;K#7u7T>u^50GW zdH(9}H~r^nhHJjz6Tkl-{6q@Z=weJ9HX4th{=>3)4<5P028?-RhY@_Acy>I%CXH%9 zZGSPM$JfJQpx1<+9n$Tc)_=fTQwNQzmxOn(t$1{Wk`hw=;fJ9z=LJ2ujy1e0s-xi` z3qg;qu>sYr8-_2%aF3&CfPgOA!i*cn>pyUeA?PHM9lRI$CC?o;BY1^)n6oYuum23F zLX>OCFB(=do$9O(AZV+u3~=H_@56k=CQ}w3vFAbZRitmC1UM&%9MoaPDUvA)Y5(+1 zN3sOO^RADrEZ_=bHS8bpNNhUmpkfQZtT&Z6Z^jAN*hgph<(U)d)Au)ig~!#;iRbR(CXXF;M|)5ZzE|3>3dLKJ?|;--i(m1$pDC& z^3r9LwAbG5go$i6&oxe2YhM2>BK^kA2F}{IS?^Zj<{g z^9~ku9hxiZ&{%k$TxXCK+5$C_#e;N$PI!Yo};-UtRQs|NnM=y_|F{zRfx!9rydB5N1qS#}@ z+ou^l_+$UYAAal06W(fiv_%iB@&HK_TF8h#IFlL$`ln40L^+_Z1>S-OKg7MmPa)mY z1c$C?H)063V+gt@LE^0mq8}lXA^^<&82a}mLE^0mf>?)v3qU<`Gs~I>zB;4eQX^#I zZ4_MUgDfx4SdelU;gx3*1woRY{&b9avB&8)$d7}f9>FY&(f%grCD5kDmlyUbF2K)5 zwNJtCt6}A{xV(LW%4g&5y$pjTs^l<~k7lIuL4AeP2*q?oT-_$c=gI8*CJr?axf8O8um0vuEu%MztT0LQIM5Mle5Rb5nP)v)~&}(R!OUIO( z>JY5O1WFmgRs+NC#Oxt3>?J{uuqe0CKt9aS(A_2pdW0R?O0(Yp?jffw33`M@m|xBF z?tsMp;;cQwg1Ddka(FW60$2!U2)n2+6y=l$svzLk8~f0LFCC@6Ndvxvf{>LYlr-99 zC+SxQnF$M;fL|W)A2R2W1)@W7MrSnv0o@qMm#sPl^qm)6ehM|1hS>JW-;#A*z`Xpp zvaUgP^`ky0;`#h7jW0uA!q#j0fPF$QfN6X1rn2<8TQHR;eQ)6T#*>0f7(8mt{>F=w zx(7N*5|9EovoZph(ihpbXhoCf-NDwrq;8V6kKVSYYyXnGLtHR_I?|##>IJv3xs_6G zoc?bl6^^t_yL&SnP2zB11>+47IX)hb6E%+Kxv$+1yzmWQzuDFbq6Lw{BBXlcUq)1U zO&dx-2BH$81T3c>>I~WDI1B{Po^jiH8ZF*zYhd^#{_V}SzH@wIf|An26i=bO$)l2% zamh|ovADx?;k^!qK*G-K#LfM&+1A%%TmQp9{qMi;t3Uq9)9|7AOybWS>d@3$50q7a zIoj4?3r_21l-jbs*OI=`eu5yC@+4Sm)qIbZFY3KcBht2xf)WygwT=M;VL%e3ZEb>> zPrKQnb8N=2PqtkmtO+hs1&VELl6{K^G(814n?bAE(%fQOnw5?6@(4rZRcr+TgQ_3;@`t+dqhvbP~xcLI1 z!;aYNDAedmJY$dvrpY%i^B(IkGQS_`Usy`InYbhH*1gsr_Y-EK6ax71?0{?L3c#ud*}L~C*Tr70~GY(Sxi|5AXvDl0hzg%K=4#c>4VQ60_I~AxK-_xP=|O>q1)mrs0%6B4!C-Q~a14CFDj4siYj6CxbNG z5APq}`^ueH-+wzcqk!M#lB~Qh`n7NTmKTzqr70VhB@hxg(Aq{g56{;a291O1dljft z_(n?Udp;{~?4U?URAfI&|J+kqd1H-&I2GKZp+H^{NEo+cL?hl_vjmVLN6pQTNIlC0 z`=;9Kh}8%}h+L2$Zh~wUEK1QFaTPJ#1i?jl&|<{8?x`2}sYTB+Nmt*NTBp99=XeC_68S<)ZdC-+mvOQ)gsfb)Q=78>! zL2{-R(l1b?_rijMyfeQyM9;D@kq;6oG3O|${1SyT0?t&3Bb8%jYlQC9SR=AVfO0C6 zTpN{%uB7FVjA$MRl*lLCO8ObLQE(~S&{g<(S(rvbEwWn}=4itVI_%NaUz&Hzf2LT? z@;C0|=%&o{x6h4V;iV_ZudvZAWS*Dj*yR*nfSb!HYBM)J@Z=gbf5-3}zWWb2x~W5l zAhNY!v|hl-xu8-&Tpo=@K?JEY(V{WILj&v-!6pJu6cnu0Eeup4tC1tdzJXy3j3`hW z1czE27081`K1Tr1IHDk}2{wb`C+IJWj=|c3(T;K4qoX20@qp6`qyuq8!Pzik=#`8- z37oyU#nLef%mzX7CrS1vc)8oaMiEC;Rmda{Evc?*47oSn$s{SNkV(QR6;!~kE{{^2 z_jW!=(P(7$*_O_Jb-+i(i;fe*MGe$YX?DLn@XwG9jFQw!L~Tiu+4|s2G?}CZK}0F( z7YLp}X7FIlmQ-WKn4+wPbMG;^IFexaLcR5pYtX4>trYiZo)%k*IF=kPIUtv!M`lc`TTlMK~$%ZPxdAzdCzbrWf- zorH^UxJ>lPB?;(u;s|SynGwc1IIPR_k@$*9hMW^hwv#iLvUigNS0{NPc`=cCQ=XAu zpMEcABx44rf}PP>2LRQ9TuG3vX@-XU`9w8Iva~5>HKy{tEv<6->x!HVo$mx$gG{I+ zncXj2?4OYiCVdOGzo`Z@39%*rg77Ig+aI=lZpxR03CP{JB%ze48{T(7Yx#-#4ul{g z`7T(b()m;jG|R|OnA^#BA;CDeDVN7mFeGi_?-mhjbim1$P^W~ zJmMCUf>o*xHX<~T(AaB+5`u3b6U6H$Xe~385L6vZF!4l#mO>L!(lLtaV3HkLQR&&# z4e&lco2nLd4SC~qD86JpW)uaw;t~9 zz5ej-TX!GckVtwg?z18WI^6A%+3@(;#pCdA&!K=kRzycD~>- z36<1ZOn^i0ui*`-8QA$ySsY5i439w!j1ghVkH|eJfa$vN7!@XZj8k%k43C$<)8p~u zVCPA5))3S_1!U*r*afI9Z_XoUK1*T576a-C>p*kHaK)3H5%&p*dc&1|3Rgogaf;)` zp0No)pxgm>B$|qjFSmQ3bZIHKPnf9?$LqTo(X_WHiBx)>pd1Ec-&koLNF@)puw|4zR0koLMI+F*125?vC~{?J!`=+}QLdBAlsN;4L% z8A-2$ay{f}mqdFRY{ml33gwRl8hY3ajeqb+gGsm?(ZeP>6ykTmSE$Uzh~A9t(W+RS zwq4f*pL)2n;MmU0ShS@M_HF|+H8&+aYzA&9lnEZtT&)oFunC$XCdM8UgieeHuFGDTy4#(#@d!H{m#eglq~0$-0@)SgBL9JR>9&HsuP5 zgEO2Ui67Pb4}C7=Qrfw%HTFdvQJWnJc(OHh!RK1V>8gRGV2Ez9)UsLxLIJ`NUU}Je zl>=!gn|$swNiBclzK%E9On>`C%>XbkD^L{bB@ga|XQ$1m8JpbLsE$1s!Tskr6J;Oh$qn@r z(UvShg*Uq(m`Oek^@Qk98by(>tF9`#$XpzwSp<=tb-OEQ`{r|KOEDb^#qZbt65YS)s%lPCA?T6r11y zrmhC*TkS_Ajz>W-8enRq^L2Ib z_@t@%o;5*~JTg3l3_+9$sB0(*62(n0dd?0gs4S0>2BirOa3YBhX#|HBd`Pel(}-DV z=3(eZ93ym*86SjRC_!J{gQb@Ffd|2sgIF zwMj5X$g&d5>tY>2!-qs`5t@)3C=|^Sc<$PEyIc-ik)Y8nsnM@>h_Uo95UrhZw>kT@J2Y8 zpi=~00u?qBouW+e*h(SzSUa6aN+X;S!H3yx@{x6En2%Wc5bpdTF?>FeLEP}B2 zO9mfr@1sTn)dXV3?#W;ZGGl2TMy^oX|l9|(ruS4mBoz7VR7JyS<`-6zV9(+aM zL=~do+-XOBg4ZSJmEUYeolWu9{>INVl*~DUxk-ZZQyV^(KWt?WFR}D7bH)q(xW`*+ zmkwb0+`7%o8UN_LqV;ax@b($T+f_@n;qCR{?eBlzYyadYlYd=fw3ef35!+Cm$NU5| zY!KuLKNX1#_#L+Hsze4huz=vXZW|~zMwvWJ*&cQKYD}i7iMMu)@aJo_fq>PyH9#D{YYl8j0Rhx5eMB;4}ltbf){TQ-7!%t?IneznfFxoY(|#8|%jD3J0GVS};@w9~!;Q(eY8>-G0bqCswgg3clRS&z1Uv!G z2;j2S#DYde)d$Inz08nK(0cNlpt7@{bw+15!{m;Knc2{DL+WUS{cJw?@)LNDc8#rz zHEY3y_sJLLWXU^7r+yY3mpluHhq9djkUsFN1Oygm795wH3b(&(yZy^-fJ>BnMNR@ab?QUDw&qlA(Ed%|*ChH#~oK@qFD9ZFqh?c>blgfAy39%2_-|GJJsP zGkCs7Cxlga4iy1z@fCQER#;-2&NapIcs`;#w9qa0LyJC1v~~@wN<4>Ys(3zaiskV< z5GFJjXWGCj!*ldw3Mf78@FkA+a3rwA(R@PYM(5`;!!u7zY+H>RoX7J)2#SH{doUCg zCoYb5n|(m4fW#u8sT7*b)#16JtbDrgx@?Dc$ zg9s7k>>NE`g7Y&xehJPYxJcuda@avPsI4P$+7lYTvw$jiIM44xqcj?(!7R4F2@VPb zs$reDedLeJM0*KD<(rL}=9=Ruka1TN>lq4lovZ38uH&0ECuJvajuCLlNo zl0^yq2w7i#hth=Rwlg8iEH{|sPc+L7$D6qTuCm)Sd6FK9g&;hMn??MrTg26wCE6_F z>#>O6{Yk(1m%rm|>KS^}!Q?~03Jm)pojK$eg$(kLFokM;CB{L&n_%&UgaH7aM3jSI zbcMr43qJEC=u?}-q-kT8dKMUaagcQ@w0nL?%^uJ3ZXePJ5gdxG>OhiU680K!r_a%quUcnHqm-U{y%XOQnVxCMOi+Q#>L^@(o^!5iPNy6o@Wd3c+}#q%Efjol|)9#){g z`TFtxtGm5Y9^JbOy+P{IBM-Efkx<8xGC5cD^fFTqpdbd;uP_0lWAIGNX70cP3H!=w z#eJpcZ#ua9N7o183HAg2_P_Oa{?_I)h^Fi2GC;5dD#_+Dpc?XEUC{p-aT&}gD4WY* za~a&7!N~RaN7#GYCkCwZ>TE89>v0);`S1DLFMQcMUU&igpHj~~TPKIqa5m(FGrp(N9H_6%;;{!ziEOk5+Wqa z0Zo$2v(~7FNg}}_NNSCKV);4iueWIY?FT4+a~tZg$6s3|n&G!;QJTf{38%Lo9JLS* zUo=|)UrIMU{uCVb{N|hg6#jbIwBhGI=j7-9#(hx>7mzmAz(u7VQId3vRy$1sXY8YC zSg4ULk7o|rbv1%)8YO8$r^a*-Ddm8!&u&ENgOV-atyJDbN;wcDLDIxE=M4`JUsA+my#0q31c2W0i|Oi31%{;yN7q^tEFt-cqR@x7ZR?LyNP$hh_X<< zH&L2d&hGBw-6#bj%+;yUQZkcVe{UZBy?J~P?#*MoH}UPvy?L~I^Jw?xae8kabvtHs!3&LIXrTg2ZAQA3+krAQyo_VMW$z0~A8#4q3?MaU(#F%ep9qAny3V zx`^XT<&qq2JK z{=Iv-lP5IOIkGrZfi`)@$$`53H zGZ%eHr#UAU+)O;s)9$mqngrrNi;{NPyr0c`<5sT<_2@OLGA|b|(cGowF2S3}3#+Eh zx>cccaOUZ*T%sFThmY!2`Meiic;Ou{|Ke}^&NA_KQWx6{R7ua*#dheJDVhulOR{`g z)|~PQ*DOBP`=Qwcl@4eWLs|Th5^o_Wgcf)ap*R(lw0U_9Uzj#xOa?+xOHdEOPnepz zi3y@Cwu8pblWEWoGar$!P!q(6r@5=CnMA=w>T14aqvRrWHQ%REa*1YIv`3SC9GYpW zwBqYhjooX<^5?)+A>WIHUj7ZL(43+yKb_8^k)N|lns^w8kjSeO7FoSIY}RT_hsyqx z4k+mB_BJU$k=eWPPhOT3Bo;=3bTK1bt*a=ETdnKs$Bi4BmSl**&8Y^W;cQ{L_rCJ} z*bM#Q{o{LIx%2A#QzTG=nZ)SN`l0Xsj9)4-`jLxcP!q#iS31k`1_ng^gwd!c>DdyK zf@n{rrnzDc1rtWoD5^uR>I2GS9io=SG0Ce2Y6@TLO2LHDurfw8x>B#&KJ*w(QzwkZ zF)mg5B#b6e;6kO3t96AW8fJ-Vf|?-kVkG^52kB~ES(&p?!s9TSl6$QNo-6iowXV39 zN)-ve4V)aFyFzC7%Y(96dSj%BC$u}aYjoBhBKZ2K?Ilc05b*UHfc!ySD#uAdPr_vd zZSNJ4!)YDd%O6;~V{`E{jUeB2m`BF`vPegYZk%^Ox~Vzq7D=HwcQnv0Gp8pRxK#WS zSh5h+`wu{aaS?A+*(;3x9QYQKI|BllIWF67eyJi#?g;vSE^+5*AG%1Tt(V7)ZuV70 z=dnk{erNJ!Sry3$uXbPa#v92n6zlZ*frYkSwBv*EXlmr!CPgQ_RMN$tT_Re@Ph*Lk zQEw*wi6fo51e{f`UwwMdqFd$3HQ@R~5C70V|LdO+<6oLCN-Urc%#ue#mrWowAVEPR z`~*RI$4n44I%>$XcX!zplJAcw*s`vKJQo#PP7nmsh{S#qL{SU7IB@D|?{0BuhK7`( z{0Br&2h@TTBNF>ZrDg<%I%psAsl~-Sevh5iAYgT|^ zKjJE+M8wMCQGwSHf6-i3d`Z+$23ABS{7@Lo1$?d8)NzgzQ`zR>r_Gh37IOpJNJayd zWH`o|pqAj6Uh^GvMkV$->`jA9_k}1&VRMI{tyudI+$>Jg-Mu?BjSJCRL?6rc@1h*p zn7G+OGmia;0&l;NP|e)^yMyjVR&q8pN2CjpFMs?*1E1tDDT(c_ka&f?^TFPbEB2{>D!~rI8H+sQd`s5$|^Y@Y$Ow(7)3uakX9hhO%XLXJ- zy+Q8~^@7DVADZR@m6@+Q8xghTOo@Vm+wKERS@;QpR3uDr91jgZUovj2v_6hVJ;DTI zQS_mQQ%dxRG$Pdq6GXRM6znj(*l5s*RPL_z1bX@tyk=P@? z__J)2&-f|?8;g_6}znB!xaI>cJ?EE5C*8NSMPnjfyOj1UK&vWr|_Y6C>L*2`lk zx>2S-ymNfx{+suo!?06$k0hAgTu%#WI}Z^+dVk6inh7Y;mw7|Q%y~K^#o!zP_uu-Q z5B_gIo&Z<aw2<*jOx@RlIgoF|0FvI_S$`&tl>AgZ3RkXvh+wLY&_K)vve2|Qm(Fsa0D zo$b84V!>Hq*j;{Z{Ho6szpAsxW`Tl+)zgSkWJdNDMhbfH>=M!PeHu&TEN=bkLqXY* zPVIH>@T^yQf6=G>-do>(9?SQv899&TfgBEk=n_^Ye0VHBbcfJ2d75%kr^G%j954$kujW`|#KA+q!ia-Z7GV$+ zi*9H0V-vj0UfAqx$F2M1Qas*y@BjUGKAf;wBgJKk$1qqUvB=J8A>};9!zW}+GB=3L zVYi#ZA^3t3_6{E2G@^@;BYuU3orGNEJJw|`hzpQ2;)WS1c&LY^6c2PbqjQ-f4xoA^ zlBTJBiU*ouqd_{c8Oa1?$X%S2As3`aO|_yUdV!XLg3Wf?U3Y*RpNK21w)44t1_RCl zoyg@V>n8L{O-Cu%9LGcggSZ1av^H04Hp#QtOc)Em9KWUrzx)zlb&lKAfU`hJ0p&oW zCf{d~S$+vDC(A*9n}(moR3|qn>oQ9af5m?2v(REuWZ;g>nhfAHn6`lII!Z6#Nq zx1X7>X>Qp?``H`Xp}>F+=^E$SzyIU^#V1YfOd(jg3MYng3Po8rze0s3Cw+yxqWfu>}Grt=w6}mZ4AR_HJdJp60qxCcuGI8MNAv2|lXIGX=Y!u`{n{mUk%!VNEGl_$ zA1`{>Gp9MMXXIX8Ru?&O!(Xq{JR0O@>mnb+ZB0~q^t#Bf_n9KH0=v?t?tToeYw&+A zmPmTMOk*s63pg2UT_`K+R<%#01Nxu>N&{==z#}W+Y+0n121328&Xz@XBQzLFo-Knk&gb{4CMexG~eRjBskYyktnkp12SV#ls?rz}Sp+*b)Fed6ai!tdb zGLK|mRV?4c>{W}RVJ~@BCzB!UD}tiOj4-2q zybf?%RpeF`={Pu}$A3<{&GcK{fL1)Iygaq*#@`u&kdrHBStq=v&QTfV7FUJ4Z2X-? zRpdW-=imF$pH0al$s3Y#2N6zM&)+F&nB>OnGf&KfzjKL}GbMJy3Es1nE%b;vTF#W% ziK53PTFw-2f?z#>#2$JP5f0mAN*0IIGmJ>liedU>~wVu)!T=GWD>mRGZ#dlC~ z_+$$v!Oi6>L|HI-NqmXP9-ca!++!J`gQSYM6PE3ydPzXaZOY}bf=eWt$OF!N9&kL~ z!WM#IG0_CJ!DBFio$9aVf#YeUEo2(O+q;D0+!Ae>CF_%aE-A8-H6udNr!c zG|}};U@e&fXx{^OORL>`y!v(^ml2aX(a1hC+BLHzSob#<3BH`e;N~L1m-82(wiLbv z;vF(oC*BI5CYtIt$8j-Dv|FMK^Bx^AH$5I_7+4JqVTMO zDI!15dckx?O)}9L=MqlRygJfJ-lmftv0G#%$OtYaz0;#CJ+2OPNJHQoWFYw#K;m~O zVOj2?ltm$wohb{0JPKKZ4Db}>6Usdk_n$C&g2NKdsziHqj?ojxo2eCG^t^-?K~sq1 z;fSi2xPq_=9bn7Qd=6<1%pV%P$ytG+sX7~uUJs7m|L})iaXdn55HTs6BpmF&mKS8pXA$R~egPhEn zQb?3_E>IBo49#wono=BOgWKL&x71uRT7v=YI5fAT>DbQB5k#D|ZUxvh;UXEh^2cc@ zg@d%{-kEFq#4GmUihp)gH$MxMvF?6X>RI0^!$`b{ULlUkkapsISmP zeTz=$M=5XIu>APi?VON6njCIi1?+mcA~W`R$MRqKu2;X{|4L41(D5S(WKL+^LWCBn zW5_bA!EUoRCR`C@M3YXyD5!Oi5UlH9JcpkkNGG%j!Y)-O^Z`B5X&Vmq69j2In_!3j z7r`9gx5P;=NaHyQqRdZ<`_bGAB?Y-4jb{@adQ=iv8eTayvD^d^jjm+sp<(X~+OOd! z93!#Z1P=!`8i30HuFC+sKt#Wg8kz(J%T02u_w161(A|F6tCQYIGTdyE=)i?Gnv|>> zkQC*Ui=)vbM-UpziKEIgwAXNB`qhbN4%(v-B5;y^dHM!KgED*F8U=7z@C!|MGnDO9 z4e1ABNXz$={&GS0STdP#|1{vs9uuFr&KN?{I_0VObgnFbKXASTqcG%6wYN_g@}(nu z>qW(9+Jy=v;#>rz-6o$`=Q)4GUR2@cK4E*ATb7aFEdsr+aQ@1<4c09YLHKDb(en=e z@A#76@n?Vc$6e5i>RGM1SfoDk5$HwgBZ(%40un?GPg5Te{4GkpNPUz=pnLEaiWWsT zzxu<&AkZgrq9O#ng-+?vwopNQUOgn4Yi6^fP63n#l7YWRT3#XPD`Jq{!@ge3)rXo= zO8NwW#c~tPBnlS^?YAD8CP|QZrH5Z3{22HZG-mD_7V&p$T`k}1+0se*$?+@5_EV%w z>T}WoW%YOA>N4Ctq3wrJd`Wzo9U>nq3+?}B?`>cvS+nx6i<7MJ?m9RmM!^^{63K!+ ze4)Fmx~s}|Y{RZGpfxeGYgi@r9napM-SO`2JKTGTh&$7-+bT9cV}y^uDa{%({ELG zRo8QVp7R`;2krHFve3?%I0?dkHgOXqH89J<2ZllJB^3Ms`6YXOoxj)KO8|>aUp5vS zzTMVYY&0SBi$-@;=lE$LF&nr&0*^u`W_iGgK2 zJ52-Ly5=bG{by^=W(h1Gz(?Zn$Ph#`k(U9}X6mBF0^kwrld;ec2e~2y9pJ zk(LiKOI^rH{JN5DdFp^`1erw33fSYS$Z)la42iuC1@kLqucu$b42rC|gXJi)<_=C= zOs`H7z(@V{fBDWpoprYXDgD46Fs?|UWkRDH66pICyPB}f72A~Ga61PR$ z4S+{#^(*i0=J4)LQKi;&eO|_YjJ&(KQ?$m`%e|s=8pghM`{kcA%exC~b13A7`#+2N zjNTNbz(9kpuyVsOSy$uM^b!mma=~BU)(jn?TLVe{2xnZ;A(vzM8U&1t*6TLa!F@%C zT&66ywFIO4n%azxQC!g>cZ*)OhU!dY#Mf0hjL;z$hE=7Ii-aD8d-4@?-d(EI?;$)G z;gP$}d3Srn6cXLG&;Tm*k~532OCFV8&OTDJx65-oA5`{V7_^Z)jxVP&_+L zSEu<0RgF@7{6MoXE?BMYYV!2So8Ol(yywmvwy(kU)dtrq?`}ZuX2{>`!6dGBfB6Zw zuGc)t0ej`$k#dJy?8>`?bn!?2%{P4RTReQ$!N}IMmc}H9_AOCoAqb?G09KNT)p_*5 z$tsQI+qRM?kA{*bPljz*CFF(JN=kqCGwmf$-W!6TE=YCQSZ295lstJdgi}CWId}&c zDx`qw$0&L7WZ1Qx=E>1^j-++|VI)s(yT;I7aUMIKG&J5vZApgu(vAVr>&2UoZEi%}f7BzMKFde4&GK}_P%o*%8zZS;&LS-*3 zS%tQpPb$4-4E9N>H<8e?H9-bsrhyE0cDxmPkEiEQb>tVq5^TWy6U~PEg7YOWosNLK zP$%+voyh12-J_FW&uQy4wITwS7t5Gm{%9n%;tg?C*4}N~9^G758FJFxg72ATr>(tf z=ds$+?1$^#!+-u*L-;SfYa#rX-*Qh@3$HE@|I-g*4gXgX`Z^czT|TZz>N1~%TXi5|hl+%rUv%w|DQWE!=}V%^Z{KK69_C6G6;ZjLG#wy`fjY zNie%K_0A2mwD}`s`*`4ak5NqNv|Ls^X+!KO#}hT+7{oWcm-zJpz1B% zYN+RmR*(q8h_Unn*KeK+_Kl$PLh&>gRq1rtN^|FW^GAbZxF=8iNf-^SZx}2{zlP=(y+xgmrzWjE6CdOP>e5DjLC#U+aTG%C>=UC<*s8g!KHJM zO(?StSu$b2Ynd8BK)u}zQv_Ku0jj=giEi{fK{1QC253aPyAK}hq}-i0QiO~@rvf~l zod8}(JAE%`_vy)a4nMtkq6BaI2ehWAvp9VB!ErGXm*H`b#OO(sthWm8U3B}F=`I$I znp8OQ%OsK|E|T&Bu9FI-l;S!OcuH@)0QrNo3RvzsmTz+UN4T0VsM)NRkVY=AJuQQ$ z`)wMxS}t*x?z_kz9k4c zl*cJE;Ow)b%TnuWn360q)G_TO5w=-E6{(SKP<)?7((Ak{`WUb1;{&^*@k}WtcaICN z=%ZcH$K%8meLPNG(b%h9(b(;rXL%QkE%hzme)5t|Jr5xfBC>)p5hC7`SE`sPITWd) zCd-`gii(*Nxx#vHY=_KCoc_y9&^ehGO_ziNZZE1VRVB%muz%V1R81v1iT?g-a{)98 z9~cJN@yoWqUs^_dv#Kv}ZHMI!7q}^np~zeXp%zw7sgHl|_Du3vDdC4^IhrKRzbPjv%s@L(UO-r6_2@~s?A zbZfH9_5Sfa*xS9ANVC8D!u_MuGxUA-k@6lL7{2GCe<^zx50o^Quqw7*dGUDv8TmZk zQ`%eh3uT7#LF5B0J}dOwmzXq(2W`rz|lx5S7~1S{!W9a2nMkXvlkjtq6%n@>&rg zz_o}8A{z4dY=)>Zl9qzoMPuGddI^S}K?CCj#8Z)QZ1&ASri$p)uL!1#3STv7v=y($ zSM&@TR@_y}vT0C8j2Sc@qi4`??*Xw%h&%A-1}laP8ZGx4OQ24dlpcNGLE(ayX)@&j z9k=RHndKtyhd%RQj-&i>q~;X^fCs7LCimvE7FvJlUN>`$J3Gna|0g{pcrr@K?X`wS5jv z*-=)tN?a+Vr6w4w^uEn76?({6tx}F4y_7K53{%$$8LL~&C}u?FhQwSmoMfyLbIq`8 zHc8M5QvWQL1iFX9U_Rr%;*OnY5UMSOAC);xbw86B<} zE?ZA+L2vv}@~0(TW_t(6nZ6>9bBBJU@;JkVi^Q5u%7&bGem$Ol^&HEqN76)c;_>Xn z)pN9~=Qw-yAhn~dO-%A!`_(g%9Re{cqxG&+G0vNcQPPxypS32!Y=&EBbjQgSH-&*d)U-4&W z`lUNes9ollVzP<`3#cWQmssYPHZ=t6v_RPoLZ93(-F2W1Eb~jP=sD|`LYr5ma(Cbh z7MGdyex+;V0td$dFZ5vRloq}G#ymA^4p+L;vB%wXKfnl{luYGW^XkO`9lc!W}@7fQ~721zEBU)9<)RYA)ZaZfkH% zS3h+vSq>`GM`CWhHn|}RuUb0jqEz_q+Pl44ZfPb*jZ+*%t^HVEK zh!;aB5tZ4c=%+#^2+pK|kcGl60jxbid(a=XZfIzq`>BbEB`)&5Ou$7y75js) zjTj#;@}!V2qhxh7dJ3ZLdXb+>+#Xa7OV>h-kh_Y1FR*>VCRaI5PM*>Ic%p4=QC(%| zW+dmrg8GqK;pQR|Y~U_fVzuqmU5AdWo{o+9)tPx(n=pvCPL ze@eYi@;r#@%63q@84K5K>9NN#`?0_Az0-QSMX0{&@<}^WNDdV3P1>C3!99~%eQ*z* zeIf26vn0(s*xH6}9n`s?@#Eb(OXK=doYeLcwi%%}dX54_t|`*i4m zA$J;qfT#{^PoiSCZH<82&!CYkw{Af`eviU44R>3zw#fbM4JFH+44Ykocy#asaC1Y+ zawo$y01r9o4HN-k*oGB_B%BOewD<@hqk~%djiaGtxsxF@WvY~5N2x-{eN1 zYjY<8wAmS#Y_lCFoH?pB;Il)$FV!p3ab8c+fS$sFHlxzP5NANWj2OrJpNoDY*$vyL z=`rX&!^V-#*SgxU!&?5{W`Cb|I|_AQmD`Ic{vyS$`g=~JL{9!J1sou8CeO#jdRCLr zK3S)6%C_h9A6lLd#~W#&JGcTF4HUfAtfnB_zJDoMgiZN+jLHeOLw@qs+l4I@Ec$vn zr3l%+0I}+)!Ct<#)a^*u{OWexT(@J{DO%l*>v21N&)5IL7k_XzVNkU?wFfaN$FFA* zF@Y_)j<*jTWIi-u(4;004~QkKAhG*t+(kTLUc z3>%Q1ztfmtkRN!TV8FL~2uKg?7#c(t)&6= zKN4ZUfN?DiAYvvA8h-2JtF1IJ!unfF1I!tOq{Lbp5K*hOs6UkH%IOWUQg89gjB~Xj z_w^w6S{lfT4QpwDmD$^CX@DIC!z6)(|BtdXFyeNsrGd3H&?|JVTtZhURe93|IUV{E zR#{!$j*!!Nbh2eX^-sU>lV9(pffIdqs#s0|C{*XPKt0}fw?Q*Jv*{3@f{2)w^UyPd zURsq3O&ve%u!S}Q4YwvfYCUbrB{ai*2Zn+6-GSs#tSF2GJrF8m(U3xDRhmrbD+(ha z8Mc^SBNF7OGh#eXevIOFB*Qdfh(Zz=W|$dXB9kuBwo8}AJobZY?z_`Kzeu1L`Edc% zCM%A+MUgzWq`5sJdA>H@t&MjoY7HP9mzZ9o@w1}wbn{wa@v*?-pMLA-zU@oqV9|9E zp~{#TUgNMhg`dI%OOS&}u&41;h+xUE>!_qDXtyyMTEFWEi>NGH;E+n^i6ODOS3_R^NZjrl-((b-6!2C}Hs+9uNO zhmt=*wDLB(^+^B_Oh%bIg7+*Y3k+(F+S}m`hx^3%i8(lek9~n#wkBk7|HDv0WP zDiGNYMXYp%6L@?MGNUIw>k*eScgPhFdfZFlNHo!B1TY!-8+hlk9qPbnN{>@QNYp5a=*3Hf!RCK~6y9w(lPVJW$#F{b1~!MZjA!f-W@0%dgkuVwAjRe6|Gv5L?XG zE_fMnaqqnzKu(RgSkhvB<>TpAFdj}Jd2})Acl_h8djG+}SPQzQ4WnCIP^d&En6OkQ zLE-cgLQki!!B9t|5<=Rf4daGRUxSt_NNFmNyl)eM+YOz*hM5JulJW+9T>?sO==3$* z#ei-SqXKM6v6Erau05FymOGdNL7QdErH@EW6K<0n0>suURpsoEA@ZI>6IKhGnc< zIAGZh;ELl#>q9RROvd&(;4GX*Urw;L=ZtaG2cY_V{&%{NXF?@n?c>lWS!HD4<19Nx zYi;>@YRkXzkKXuTfsD+Kea>J)Z+5A5I<@&H08Lx``RhC=jBy&wg(QIXhM4gvjcgE4 zODS1jkpOCjkR9fnQ($)o=UD*M4GExT*r2INXwYD5r&$1QNC0)inzJb|jT6Jlb)vc( z5Oo2raccF0&-cm?fsylh6*!?LKko!Z$+!{cj5pFsW+$fXz@fV7N~2gr-Q zDx9?C$cy2Zal8PLHyi$lxHoe(WZR+kq5H~2o6eCR6f?Al$K&S@I(iQB1NZ)O`5F5r zf2;xdWi)9BtL3-cw~2*Umv@DwYkBnwLIU;Pd&79hEaHAFVfC_8w0Z^C;}v|uPkj1+ zd;53v6RSKNj7lX9qCkYKREL@F3d8H{2&dspS6IttFc>0Y=PaYF&&@@7lv^^n7vN zHobxdGqBaqaKjK*LDE@?n!())kh9SyC2f*yWaYh1E6XYvH|A zn8sCn>5>BOKRV`!;XIBv#7WPOS?*i(w~41Q))D7<58UW69vpjTpW8@BTy}<@`M{bxDgj~5W^+i>8-is#N7y?Dnqke|W*|o-0dD_^wEQx*=B!7=WPx}% zbMBC3CB!&Y{^fa^(J4MpS!s|zp3vwK|49t2;vgG~Wq4`*AhXuROn_Hcvn}`5#?vFX zdGxql_$=y!M4zEZj|`gE(fppJM`mU?p`RSh>cL5Yla&eT!XS52Boyeq5*_js-Y+we z!U4k|d%tYElZAohXx0z!$JC=NJu)-ly!6@R>+$A!nP*NlXwmG!7FhpQY`9Zw!aw70 z`0QT#^ix>9rkm?EEjvZ4*K|Ez)0;l~tH1C2ycnUv2Bm}#e4s4=kA>Hv;0}M)CeyM< z=};2=W2!e&TTBWyiQa}32{d0z9UXd2zM@PuqIwYI;sxo`OK^q=%4P^z8d3;wjMV5U z42j8Rh*AX8IOBplyn&h_${Xln2hfh`?GTa85Z*v1zEHP07;(Zybc7j@KK7yAGuMPA z(bb;n{cb7DfMiKEAl>$PBzjyU9&@lylf`Mf`J81c*Bm(!2?>}2}kw1_@ z{gC^Yy*!2fj=6%xAt7=Z?9X=_Rd>$9{jwb5xWBg21DbKsgyar?$}u@2dAQ#1m~5rL zgPPE#)cDf%zC!uUh4N*mXod3Yf%0d5T5@Q1N#D;6C2MLD_r!WBi?sD*S!A-}AgNSaajM`Tu~0IctT$w3 zk;!rcq1?Zp%aiIvlpy#nmb1=wY$Xa(5o0oY&tfp31{eGah7qBP>3(ZoUiW@#Id z?Hr4KYWkbR%EqFfn*JuSa?d-TnEocQvKdZIf0J0*cHM444nS5K1Cj^R-y~KxL$DMj z%|1rAmsmL&wh*!x%EK&+eh)gMHnu^2zMPP(a<{hT)aW^>Fxbgqwh_Jx$$(b1NG~lW z118*-hn^#F3ccgs1&lY!)|2P@?qf=WJBk<2#5T)k$5r`Ujs?kb`+Ko~Z0ahP$a*UY z(38L4+tUR`E=4aX)~ zT7=-JZJ%b<*DY%FOy`2nU()Y+kM(0bK-l(#p_i7i>rDl@c*5guhq`rsjG_FGPs89t zEh~(sEi9SH?spE^Tp{q`V`JKFVd>lqoSaIS6xe%(1VLuGC_ODO*q5sf4CA8$Wuoql z0;a%Z56rSv3%(vhmRkOtV)I8p*tg7ddJGlm6`OAaHm6H{#pauf&C5>Fip|%9&F`tc z>5G5Hv02LmmYKFXCPuK3_QnD(Dot|xPcJ2G?hVl*4mcYhC?kP$s-XgLYb^>La0|Abz+NTn12Fq>An-{Wd(VyV+vG2Ov%mR+ZwXK@DOwA|Ee(r5 zA`~R($w(E(gqDWY=5{ko&D%sr4rt2_V~!kXOcfW(rIDAO8^#=Yx7m{AO~Z{bur!Sf zFC*s2*>`_3qaAbPU5kKS5qu~h6LaK~sqZ{T4syK&*Hx9c_5Srp7;fJWzLZK>1;SOnR0ZliIN$!2!u?3uXowpp7NpA40 zsC))P^um>C;h5y!?;35(VlP#hX(qbbhw0GYC3roiF_R^^RR;&z@PWq6EEEb1_Hn0d zohb)6s;lT^@NZ=`G%kT z)m5yt4@zSQxr&vJ#F`F(@=So&T8E3*h>(2!!T##SN=M4Gt61rIsxH#w9{`s=XaHQ9 zB_1M9NdWZ`XLxnFs>-r(W(DKrcluSV^n}~+>WnzQ{ZD-J-}ucBFCtDW-Kw92U=3|H z$dxWoRoNikQ^Ofm6$rH;>|3I$(y6p))9eQ$v_0a4qmJeyY0{lnRl%eTW{4q{lIf&U ze#IkB^j~x}`-9neK~A$Xn`r2?DttYdT&=rqK!z#|CCIQZ5>rGNx?|rR^k+&-r&TfT z*mfX)@hhKIRoNaSk-bD!g>vnSR8@AY)h|(1>1t(MA7)imAn7hdv3XS$NU`g;G^eW4 z*1I%k9NpA|Z$6$Q^m<6+D<0r(YloBz^$4p52LAahcYRbmz+J7 zD_wIEHz4tzAmW5`VW()#Ni6qN&q=($<^TPLANh25gR~FN+@REzhX7Dorjh;b%ppiG zrNp}#w!LN?ROl4u-H=gDZwSeDVX#5{^ib-)vaD@}WRGcv9?Bx6A>AM|?5CKZw4P>@ z_^z3FH$zM+qW~TSVuxzCbc4(gY(4CehWlL_K;|nFV$BdbCM*tcMYNTPbK{1ZYqh@a zrh}>*?_}F9Q2~Rc49Kv??rsOoUaSds5mYw%V8K$lWRv9{W7Gt;58F*Wu*^H(rB9ub zv*-dU*Nms9*R>x?&c5|6s)Aw1iQzK%L=?Pji{AHHr-2atV5T}DHh~x?+re)z@D{kw zo6yFV%*$9gbw7@v&$UmXE=jbYi4CRUR`-+W!WiOU5e$W=p2{g9q;?@Td$pE%I$&E zT6y21D=g==3FAiV1SsKKK^>g#r0vz05F}BW481=cD)ot<-5W-x+#VPVTt_?BzDG7BkpR}Giu$fSm5n}Xbh*Q40D6L37n9yxF_ar%i5cXIv-KtoSMeo< z?qr`E@-LN=+#$SP9%T@5$6-R}UOzZ=;bI9x_8!j;X1=n<1IFIS__!eAj^o6fIr-Ly z+`bfN+i|9`dGs9M-4xxLtlK5gz%~ z(Qc}pe)Cm}C(-iqev9}iwKk*0`7^zvgE-xM8vEOzBp?oqI4c86qWsnP66(*y20B|> z4kL}bk>{uT=MN?`qpR7LJ5gLSlm&)mH$^08Xegxr6Wd+Gq{=(x2mdsi&xhlH=do;%JsM_KmYOH`>lV_V|Y!d5a?p&xdUQq5`S(I9g^5^1(^13 zKm(9FfaZ^}V$3_Y8+ORk5UKexlb)3YHW)f1o)RX}sVk6@K3Fl91)8o=EXE+2gobV? z*+NUBs~5r~KB)P{F%k>Y+t4Upakd>?D#*f?yN+dne)7p-i;q*Rn3V-EERLOaOw8Ay zG{o;`7G4Fi7ADaR^5Ua|mqY2ea+`pj;c4+Ut|&*czc&6M?);Y6T~$|a#5~vZi&#JQJf)$I}ST<#V^1e#ZAr#-S^MUIT)L z47*gY`V9NpGoCdhuPOE8ix<`u{lbgK7hietc>fu+c1w>TTeWZTuc0kF*hmi}yBBXs z(_-JcJU{qbRN+|@he5hDjqT7(I zE}_}BB}&$K9QrX1)AIMB20Zem=o0U9M|beRIR=Wv6HjtFI@l{-~e*{O`Q)wRFvK+@Rz%5GW1t z#)xn&k*7RNk(>r!T*cjxoG$XdT1!uF!#wT^#c4q50WExGDmf;nC)3?|a$1V+<7V<5ReDO-8_i+DEL0& zO$f9r_-g_Ehzhp<@kEwh_Ef`L&|RMJD|Fdpbv(>E7xR8aF*rqw;GqVLqIO;bE}G|v zq)Y!6t_0e^lpp3RW{?Jy7Sh+D zE*rK=pJTh1fFFHw1Lq|A9PI{oGI367KyY!4xz7O&TlHe<#3J)!EPM_~6zIpM?p}fA zOg5x9-bTVi)#LZlT31*`wgMP*=fC+7Rksba)JUi(3qaQ=dZt$gNJ_m&O6W6Y* z@MRTykLL$1glxlSiGR}#EVC1|LDQXjJRoIeYhEsa@dW!Ez)<{qx~B$&T*m5iT)oe6 zel8>ZOjo~R^($hbV=ZE^)I;IhU;o$s+~4|TFJkELrOajY{r87CqFuY$CftO`Plg=@ z(XQGun=eGW0cJk$*b+k7ox}oAed!szF64%P64Bkdy0J@95Az8PDS^ z^7ny$Hu%OsR>4=x4Dx)uz0QjWXN7#MJl+ zrhzaYKQ(gZrHByy1T$>g3O#)|Mo!(q*CS2C2T&@ccb|TOS;BpyA4T$Fg$~1LIg7sn zaT}@Q%hR1d4ds5rRzI)Z!`}tZWZ$gvmwT}71#5^2?a@UrfwsGg?KY^n z!IRlylCIb3rK}R_+yjOof{UyhT^M!w8<4$;h4F0@cZlJqZMH*R9`XI+R`%~N+wVy% z!1{i`(rzB!KWsnW)^Y#W&_!^5l099!C+>Qtpt?fnV}#IUr)Ukem!G0@6zTr?i~r5% zecW8AomB51NAVsqET@C_7-~-j?=jS#4Blg?JsG^`q4q@Zo`u@YRP>%D*{8$z7-~g2oE#82v6=gAsi4*Tevn=jVjx1)B5yN8|s=#wFr% zSyNZCNL-h)tzxHzr;t1boIokhBUA*?u0pq&rvqW0%u|u=;OS_j-JzaU^ZOIO#wmcJ z%5%P_um;;}QvTjccc0_8u=W&=%%S~=U;31v40CAFOokxQf^FS`Y8#lnh))@8BS|X} zZ+a=w{CYzbhKJ#9o5<^KNGLMHJs4?7c+;@%l)LVRL?SahfRU!*I~3WJ#O8*)qnIJY zY0x3asC=`lwASo~ytkNPhuBzz<`Ehr;Ag<=hP>;T;Q_Mx8X03M5I%Jk~N;tH@E=F7j*}~&yqczwL zWN5AGrk4`PnIQyJQ(7017gNs|s-SDYP%_H4BA8z&Z4%@*;6devl2J~EKufg2gU{7r zu8LxpuP7PiWY}%V&!!=SVN;H}-?e0vlVQ_g3L1CaR@8oHRn=D{$oYp!vh;xXRHAl6 z$tc^dcN-nRhN0|m2J#gp9JVFV+>c=^%qSzuPxMs*W&6CdZr!;@1?=d@xlD@kW>1SQ;L=;&p%f*HwHa*uHLD4#f_PoBh zC1YsM4=U5;yW;K5#oJ}4XvN#>!Q0K-zV1I>d&hdg*O-2uOlZgS^JGH%lIiEQcZ`{! z=bpX$?7dg+srOJOs(U~W1#EFM7WoqeppW_z@<+>8kG$c*b@i*K=p7nJlBABw>a}-l z?H!v_S7SL{+L(~Tkf+_LDYHY`5#JzMZ~9(gAoaBpVTt#$ZYzU)O;*3-?f0H}*GRJZ zkACnAzcetzw74_q9h(}U8Vjdj!KB9Uk#VP4-E?Z)X)K!_re>JNvUZ0_@0uAnG%2g? zH11f|Mn@P2xsUg7N5A55fNG5zVsQ!2eZ|bLn4F#}`AHLq2Nz8{!Uoe*7e(iU(jHE$ z0Xa)hHBBac06a8vheScj>F8X+G%3QI099Lf?9q2N{fzGoiEz7j*&{;pl^J&4U{;k! z@>Do~nIRP}3bN;i=4-uot@p0=-k0tlPeJH^_J4o$E31^;XlTAl$*~D*m697*sTX(U zxRmpkWF*j% zNhNA#6Hi90Wai0&j&VYg-Bnif3Veh6$M^U|nfpgi7T;QOiq7e!y7-RY^_RcK$zytp zH-@xsfg#;B6_`w9NY`nYW_l?@FBncuJZI9`R-Bx8?&!4z#8g|I47zDSHzP62ckSrK zLFN+^&mFyHCZ03t+#loAEOFkgdc(<4;=GZW<@A8?;68u?wKYF}A*EbAZWq{ma+B`~L^H!@dqg%{`kFlm z(>CVNHatMeqJm7MJ_p(!x=*5F;?DUiao zkb040Gk>4~n<0lTs(zQk=IzXlpAp_WUw+xGWR{kJPi%X++HqTJWZ7f$+$mZk%j=0O zU;3C|fB6G**c-Ais5vAIJ$ zDN5m(#huvIZkS;+mXuy2U|JTdvbr6yd4H%moCK%`!xHT?Y~DfHLk%gtp2K6r=KdJL z#;$R9Lz|NyqeNi#vC-2H9V8OF+f4_=%`GD~bM`pO)?&$EKDQ+6zF!kM;#%OY>ZBka z_8!j;)yQd zZCGCHX^A|jk-H4T?GadBM!gcnMl9!r7^B{f*d4$h&cHcMPQ=cb!9^?Y4o+pz9O0!B zc8E7b%@aT?u-o?!-{34P-bq*<-VfgZS7O*d!8-1L1iVAkE?yW^M&fPM$bzk*@Kdqm=%;LcJ5!l`H}cuC}SDi*Qa3^5CgvR{Y{nqAT` zbHkk6h1n%yi0P%}F-CG1vI`)8ao5{jG00;nMhYhNsb|@`40p>R?Bp{+$R=^OP9~uZ z6YcTja>Wa@pN?@Q+w3r*$>jhOl0yhEF#uBBtRHFmxWr|okI%wo94{IITQ)ylRJYzt zT+Y(%Gg@HMx)*SfZd{0QB?1r1nS8l3Ih0*^bsf@WENFVyLZ)5`(d$HTK~-Vcrxdn1 z9;4+09j5U`Klc7Fd)_fq9hgCG`sycwS3Am3G6-$Z3Z2K6G5&4#Rhpr_N9nXMjPdW} zSne4ALZ1W?HzP~*A*)=aMS=N5@X4XvG5(#N$sKdk(=)ka{EK$~E1Jn|uxF1NGEUCU zbRZOj)jaj_+)3Qrq3*0G0LLRa=2F(a=i(XP6TlcQ56QCW zEPBnc&DIp_^`uz8^+$j9AO4x&@#u-T{g6hD*?NXjiC+6gN_-^Zg1lRRQbaF^J?cos z=1>jD!WnvrON?+43pTM_%3XW86nHpA7WQxvx2Y+za446e#95*qJ*e8(Cgnb`S?`$R7?VK_DAV*pTdi ztU?ptD{b7f@@KO?7Am`_1bYS>64I!}hLrt|zHms{??g5_yaA=<%uRto`Gy!)S13Jq zafc153}J^b$lfp89+p?Tiz+g{cijcW`@9qlgZ%y64)4eDMp|06y}&OzzMnt&@PVs5 zEr2g9E~j5lpC!O1fAuS6{7KCctbSJDg+Ib9!E?X*hTnF3*3a74)S;elctS%|y{zF0 z!!uDPMk#y7&jK48BQwP_!RU$tNh6FOHW&^mhTx8ukQ@Ch_!?k@aYYD`lr2;d#G6gc z3N;OzL+O@8497y$9H;lxrSaOq*YLDFeWHe52`y`k`YQZ_h~YZYTfXuU?Fo`(a+k~snu)Ld*c1qe2oS*0m;pbdQUNnvv1)Gsi!mIJ;f6Qo*ji`=o5{2PuUr2 zs;z#XgO)USdGQoMk{w-eShLyKe6#S)$8&_elQ4;Iexx5R_p>%wb$rcBWRb+vxFJ3f zotF5&xr>&EYkR_Sy5$yAV?yine@CycXb@7yfBLt4#Iny}? zjuaT}kJORE@y6CYI#L;@5S~%)2yxpTDRI!lrnpV!2)*fz;)XS>N^#65w%}&kT+eb7 zUoE4m)oWV4rj+}AMEw@bim|&;7$ZrGufHW^RK=kHAK!b^=YGEPbASK0edTNW?37NB zGcrS(CkL>vIHa46b}hUjf_-`k$qN3e&2SI66U5mAP1SXAL&CBdVxlHx&M-LSfU145 zB2n24LG~x39=pc4&ZJhpx0#v*Wi#yBj+8^R6)Tm+v6P=uem4<0z7Fa06q0r1j($VOM2luWq$AZuZB%#vrxG^x75)^Z7j zogL5EV_|x7lkXjJ3UJ#`zsk41g|15a1}|`)G|9z$6#Pzv@#!Tdx#&)sC8#JABEU&G zY*H6Uuq3SXmX;F2=^+;-yB38#XqMjfiEal?a)mX+WqX0kRz(YNL6~@cf00Sr&ep`s z%JqbLAx7jBdk+`x1@dK-Ex;$j-qq)}y`O*e9LuZc_`t3nJUemq9PR2k&R#voqg_3C z7F{R55XP<=`w)(LKOD$nr|3$=sNWz<|+^ilcyjJc~aS%zfW zS;?}Rj+y(oOqOMRAC-_~yoXb^-6^aN7x;~Y_ruZ4K0gfd1Lk&kza$64`;lJDaV=Ch4>C)!`=gWd7})O1^2^%wz|i zz5o34x$>{|IjEmuzmHqJq0tiO?0QAtp*NyS_hQ0qdJ)-#!9GsCwbv5nTSZG@Qbd^i zkp6HA?#R9O2JXnc_by8q@j%7v^Hsxixs9+m{Ue?94>u}`JQRcIzleT)|F-GMEjcUW zcKra#KsCRDV_$9XF!{x*k2yT^r{0JXsCr5~sb{gCLp1vO} zAwre>Y+Zz2Vmv*E7PAn=atF^+y)U1jH^|Q-RUvwWf*?gu4jL<4qSZc_q0|Kyk!a52TBhxfn3W z4|w2WpcOalA8EmJ(s;!qJSKc_g>=e%)AMG3;bS(R`g?+dBI$xb-mKbl z*a6&$UfaTQ07c%c*K`s_RGwCdc{45qti*~nU{%qo&s2o;!|fJ?7}d5wF|AC83I6HZ zV7OP+L}Xr4Tah25z+W6Z z6kAi}L^zRn+vRB&I1{WkrcZYpTy2zLB|%fA3Z{Q>bx_ipExtf;5r23?nW?sR=1A zMQ|acv>IKAQXK;joK>=fb(X&&a{(S!9phnL5a~J&F2uuSiS+9+7vc^bss_J{vz-L} zeVn+juTH`ZP_az6o0(IzItkZ9{r=v!e)v~?op%bW&V*$#Opv0jIV_k2A}B1CIuoo? zm?07ateFA(5vXcC!<8$DLT1=(TL$T586plA0Cq!(ZIZeM^sls27;K)zG;%}9vnIo~ zW=)MNf(l*Aa7eUcD}t*mw4ejZa7uFW73n1O$5=yELq1G{I!2*EROjF zb$1HR!?0go1;|Z%2i#r3;(*O=I#a*V{S8Y-MJC}K`Ku9tUZpJ0%TY+0%To^G zDtajao0pQZOc(Xc-JhxB#}OiOzkNAEixDS|bQmJ?y?s3*MT_PyPA9-mmmZdA7+-l5 zzw8vP0DV0G{kmWK?|$oJJ&M91{~gV=G-x(5Qp zWfU)e%$Y)DdRVajAk_uTNf0k!6QcD2tF?snMDCC`W^G1sNu!j=(ZHXu*UH6=M60XW zmRs?CU<)Pxpr?8CH|mw{@sMQ{lE4= zUb8A?wjV@4l$sfzSIDImdGA0s1gr=x5{97DmI?BhPHuO5atHx~%@&?To^sE!{Z-v4 z%K(s7X1!y!zXQ=xpbfm+syxP+?MIl8ks8QKjPY(`wmVJ4VXOWgzb_7tiD{Vl(jQ-u5SYeT&8T-azIxShmM?BE_4@O~_h*2Q5D0 zVY;S@c=^7`-)I@e2enT53Gc`z+=6Q;LB3V)Grr&{t{_GsEKBgNA^vh)xbhHx*(q9~ zd-*9kN8$QszU{j|?+-Y1s~@$7_~^L<#i?ep9?*>tzD$_M5Pv$zCS5DJr%*Gwe7;<; z?Tmo9guVjZ1Xxogd+enfktfG6#7GT88hB>7A_~EgE)Q=ABa~w0o8De1WMhaFPjoL? zS&odtoQjN#R#whs+usj98C1f&5RLYOv*fG+sQENV(ACZ<#EVboha)tN0I*V~KfjQ@ zEVx=hbom|n%0YD5DOy2v`6)UFM8Exid&mDg&vrvg9AZmGf>wV`u8-02jiUvLE+w&5 z!>~5Szb;T+)k%2ESIpwy7RnY9C?mEmdpcwMn{=lUQ48rj4;W+o%kDx%t6;mKhF-21 z<6mfgf<49<{eZN77FcG@kW7LyqQ=*QXL$sQ{4+r`eKy?AH)$l+<}irnv-o$9T}tk~ z>na4oMNFNu-R$%1AShNGX3loQH>hRw9lq7lGM=781#Y2yNA(7BV)>U@u-%MnS0hW3 zSCv;)^7lkjdl0hM;t=$KxVQxJr<=icvm|+X#JMv{H!wCElc)sx zi21x81LiBEK7jPfc8gK?%62=xeE*3QI$a~}$0^hO-M{Onp1D02Y2&U$CK=goglu9) za_y3nV38#H?8!~j5mAb(ZYXIsBXh+OQDqcMC&5JVum^3&Y5ELwv1r7f|#<^IpDUjI{BsDBJCT(UiI2EKv5CxK&8( zDQ9J%YycVmp1`pPl&3f4J)=crTUqzy&^)%Du5z+?Y&bZAi}3cdk%uzQBH|()pn!{T zN%G(m5na{@CO%94hAen4fmmi9#vStFi0_w+#e?sn^NjX6Ap zo9mvv?g+dwA94+?pFnx=bbp+w{;#3+^@P?R{FVRwfBHtJpsDDe<)?cU!x|#p1R7{f zX0wl}sLc{oZ@7ihh8Ut4tBB+>f<#R-gg7?A5I^Y_N{)g^Zb;NLL)3wFs&E5wX$@Q5 zkf>>fdyuB2Slu+c6fwIYZ-i!u;2%|8{V;=F6E%B7kgHU?5gp_jU;2tfO*7md_SB~$ zVXI3VaW|CE+AJYmjuDLr!aT_+(f!o*(E6P%V_gk%-sJy=Nx~UXL*P zFq#ZR`QkX^8NwYwDSepYjjJSDUY!?``3To3plv&fkK&kIfoyj2O12~3Ndfj6lm}-) z`?y^gXKi!Gug_EaqiycJT4dSgel=b1=_fXWglipOITBuZ9bnlhTAh{Ur|6tIz)yVQ z$Nr`7d0np-sh*=bD;{UdC}t$O=lJqdU1c%EZ>GA+Vu(LUTF*lKDbl(`Z7CPfO!cW{ zA^sF)J%;#`Rric9Kg~nW`0@|QLx%?Cre}GVI7xv@r=Vl2Affk}bdjkfvf_y*AMj+n z0`G}{WIvil(AOdxoqL~8Ct$ay1)dGEEwNya6dMYi1rUYgtWy?tHeITNq$`}3!u!%| zDIR8>fVLB*#Gf5>aOOz%zqigxu*KD3mJkTk$fQ zN>#??2tDEBP!F<+Z-AC%My`QD`G)B7);Qisu7PxAjn{o}4GgmP%eEhIy}PI*n+OV& zb@usTkiTE6};C^c=q z>5aAEv85Za)f*$-$W1fmrd+ALU_~uoylrMw*(ni6I_~}5zBgQ@H8-L*xk_v1x!qoa zikFRc8&7u@zX}9TDXsb4fBCuB|IBN8A6^40^Wjr?b-QJTO45Unu`Y93F{Rb)FiLJw z!VxW>l9`-KIJPz9cIkV7r;=pX(^0|iiuo+4SEwFigF0QFrF!dIVmb?LIS|U^id)md z@)cvk5%+R_YUa`^(ctt<-Xg~%xgCV|2WM5^+#O!}jQbdOGt7OLqgdc9PdFFmTck&z zh25?Zr$nTJ;|v~aKq_G=1!z=at3wNtW0^{{c*(haL`GK1BC^B%ex$TlQf_tu*-6RC zJR9+eVG3WhFKOelcaR(rr+%W^l|7JJ!4KZmtg z!Z&Fj8Zixa1KbL=#o<$#ED>*uP=3G}1wdz^yd;JqU`f)`5vr(OolR9K1s6fO%p3$s z_=Npy0;u0VG@3KOM9X50)Sl#FN3m zdEW5S+YqjCz!MoI;8&Ea7Xq#s9=09W6pRF${a})Nj4d&RDvdXtoxyrQf?T3i&VkK8 zOpGl%@NFddj=A)Mar2SPa&Xqm$P9UA0PWLYmMf_rAhV23hR?_>V2SAG9+?@MlUX(t zc$5&hE@sMJ9-0NL&(I}y?2Hr4Cn`yTv*3{EV;o>L2F><22jJ;v1w0!p+vBQ}aJ4!K zV4}*88*NfD85CRa1)PZrodn@=VfLmGmcS0#4{c2Y5zPaGN>=doweLdj)5kK>* zzwLvb7*gu3IbLGov}y3$FVxJ1EHpqPt>0U_Ga`9xL@5*5wQ9`t|Cxf^TLlm zFPyI073pp+(k(khE7DyL(*4di{L%mMr)QC_2BCgQUih%vF`ngMoUP58Q>6o>dn3~A zTQv2FA=L-6v}c|dZb_zMP&p=*mm?hun#>E&BOO1CosnIEZUwp*p7RN};~MoJ^7{5G&^^wU{6GAE zz2P%I#+wV3MQi!k#Hj^#fRHO`#0~Uj1sjZRtIdUGi2Bp%-hBdHZ&+1Wh#B79ZqV+| zkj@N|mSkR%tpdpJ6uTzSnPH3BE|O*?)kmQ-y#$PneEoeV++i}To5_~^r0UO15{73M zyN-N)?3o!+tcccqZQAo)XMBD13A!9W`9(?r)IJWW+CW+0_I{I+!C_4)WhoaLTEfCY z$6;>wsRuGx3jfk9H=MWwh#yt0kEf^K`zW_Oo*&G|;n&W+@6b0%x54(l)Ef}Q?+dlE zHPpA4KkttzKuwZ9fx9GtJ+E^wW=Z*n1L|b^F{Xt}y#Ohyh9c&co>welmQ~YLxaSIl zd(suVhQl{E9A0*c)^PZG!r>Qwq5AZ%DXX@Y_|=^J7R6fhf(uk{>m;$4UIGGp)fR@E z4YlaWr$RrJV1^qKhHS;uwGYE;12pu~DIFBA+H%(iPFaB=urRjG)6lE7;Fn-Pe1cUd z(iJN@BbbJmIw$yBH))=oAEQ@o(bipW2&&k1ji#hxMX%bzaNi}V{!NvJ^ZAP6CD_M? zzo7@&b%b`dyaY?H+TtiTGVl*UJ9@xk!#+QEsM^x9rbvTW!k3F*^#*!^eotlRz4=c^XHZQ8kI9K-`GU=w*h255J(Q%hZkr*qr2!_*GU} zo6`2yEH)Fy1cUnkdJGiBB89!JW4pJ%joFX=jrJ=x$Mz;P z9%l-_)C$TPZ>2LiCJH3+lhd2M4_IXko$Y+d>9Nah{Hq$y@*Dp(so{jK=FtwY6`Plz zqI1-6zW6hK{Nw-GY}^b^vXFGabxy+T9`0q>tonHp4i5}7Y;HI`^+Y%ve(ijR2^S=^l595^Lov3NW?Ee6d=Ngg74X$Y`?Mbci9HbF** z?2&o~b>SJz;=LfbD)GqEzKK}2Mgnp9d%+yz-_Ydb&?|qaGzzc7-`zrNKIJ(S<33T(=Uv~P+z?zsd=k*w&5CD|5A_MJ!tuC4|(AlHI=U|COB6KE& z)+&gYoYQH<39eBkgxH<6HVc_K9RdhCWO3nuXR{;ArMi4wyg|G-k9>EEwfK)xwo zk7GaP^Bhp(g80rQ$kKDbvSR;oU|F&MM3QGnoS?t*{Bs?Cr@iuqzxRbHnGL?ycYohM z-u0}?OUHM-{aw!1c+M_x4>)XVE;FL`TKshbL`gw22n68-fks<3rO#vqAQSP z<fKB-$L_ls2IogB6T!K`-dU+_lBsL zE2MWe2gnU$W&P2Nm5Wd%_~qqidr4tUHSX+hj%s{0DS#ROTK-ffwB?TrSlHZy?(w0x zX#hbs$c#zPu2bdC>oyjj&|Ly)9$u%)*^P8~gXVqtdE72>gVv|GSNGj;(h+=o?%qpx zpCk3@-h2HXtNKZ{ZvN7e8}yZ9Xu2=?!LRYN;A?-1t`$RH`xT$^{om;{Y>%gip}K{~ zs>SGY>Fpgh0eggoULCCxk2e8({7l2TMnq15DVqb6b_V$N_?b$CU_CL=2C=J+J=#EP3H>3H~x9zVm4-%{@a7&pd^`AgD`t^D4TvZ2VlC7BKpgA zqAQ$;{e0(q&oBdwvo46Xxpk+zh#?UY?-=wFLORI{gpi7IP*I)7?t(WQ$ zGci?6(Ry#EYfLhyoK{jJkNgTj_R(kAcY9Y>%K zd2V&O$M}~&dWzQU@A4r1oCxqo{^a-mv4cl|dNKyADKzqk>H|fj%cP~F7dTGZZNw^J z-IW|CM=#tank}RWB?VhStT0l2B6^X!gc+t%aP2UWr6{;MdZFmq?1->PT7eUdDD`w@ z79=B4kwCaQDB)(=-}<1E)MJJ*`->=5@o-<2xl+_yc_Y)W72i)qc@3$z0*tedJ&T-z zTjToXhF)TFs)Q=2rl{+2p!_jrQ{@kju6(+Ne?8(M<(kiRyAeah)0I1OcU}Q>`Q7=- z!@p&xXa&&ar|292eeWm!)ZGuv0Vt+#r}+XqKxB5`&uPbL{MeIGKAo&}MgZk>axKWB zOQ|GV=K!jVt`nusSUycN(&36grm^IHW#v=MkV9RGpo|Xa9Fzgy(YEGmCLmz^y8jx|x+i#^J!``H*+j)3nO z2EsTQ76_}*qgMx2*D;2s^yFeFTnaZL7Com?m*vYig8`xDdBTk-U&c_J!i`xhK0{@q z*i)RL!1C-l<YL zVAv3ij}Dl#fv}q{D2B@6j53M@M;A*{t>N$*4r{?NT5Nd`T;ULB04#JPhQA#fvgqmfyMYAx!NVGMl z#C))1IBb?pcTgR$w(43{^$3WJuuv}m{SjMx{Lia;c?r>lfz=LHxJ#Y%B zxdO*!w=;1uxiVOTmSD&BjzmdvamFm;3Bn*IvbYhJRp6zS#~IHMShPA~soQOl`lq8| z$2se0MDIaR{&c{uPJr^S=yOQLgGrDeIcF7Z7-VS&+4hR` zPO=7J|A@P@iZ%@L_jB9duOmj?qsdCz(1(`ayyZyBS>TwWh~MPdF@CzRXV7oW*DpuE zE6>+2J4LI5viuaCldu1#Z~Ntc@I$k7E%1-UK^dFb;2B|fGE*}fyx@&oB$T`)#oZi+ z6Bazi$L(Wk!h*;6xbI9&L&)e_4>1g(2bVr;T|!T9ajNd@2s8amap@6FKS+W0O4edq zTXpNroQ#w~8?ZWqHraM)BXs$eGTAIY{iZhx@HIC1fMW+qf!Lxu4^wbqyv_-{|H}P* zPU{mvMAk NTV1w(CK*Z{Pp$5C7X9dMhj3LT_tpNrI6GLOUDa<83WOHZyEnp(;WB zvJdXukjT~>LOKIfE*fI?fkansNMtiZ#K%YoGyd(i14AO488!`Cei<#I+?sTtd_^Lg z86IS0t{85TY=;|)FK&HAXyK7a6&ZHPBe(+OTi+u0vSiq7HrzFG(jdAiFU?n!+g#W; zMXPDqrAFRh$BqP_`L<21|zCy(K<{Um!0hwaN!s&?*2Q2NlX6LgXvkfqN#~*DZWG zk#b*~c+ZQsmo)LJ8?e8H@K=7xefTRazP*#6p}TD^Nc}RRwcpVi{CdaeO`B|(?maW7 zXmt^;$3@uw-oO6-*Uq~Lk4;G%QyWkZ5J0bzMy7$4_9~?B0j@DP1>=F2bbb zc61RYCATvdVNxHPIllfNQDabt2W0OYdXe>xEH1yivY<_9f5J!)QLFn zA6z?vk}ET>_)y~_RUn?ggr`uL%cd@oTy1^LC~h$3%*Lr-ExY9eXDGy<$H2L}U&BKBH$#=X0ne3qL>d!OPxe-1@Rguy6?S zH^2|;ORq{e_e$>Bz0F1Go3MWtA05XV<+YGaKTFb=x;eUaHwQbX6rSwukW&>M_MP*XYDiL3d{4XM7zamP+FeFi|88FHsnGLjZ_Dylu zKs*6F-*x0l^*ph*YX|HvBBSjZ4Nw}gf=p+!C6Bd=Wh3YdDp?Qp8sNooLLm{># zLwqZUBHr@yi||>_e1eup#+(A6mT$=L_F?hzVf(j4`^52{{afeAVQM19@m9Vbt8d?d z_W&N-`FNYI(U~x3#oOh%&y|~X;$nJr;_Z8%{@uTJ+wpch62-6j^!&zHBL##hI&yxa z_Fq{{rsVOoMRK{%Z!F`+7>R-uR%<19Z<<@aVvIx+HyN=lioa!S8za$d*kXB%StQy3 znF)EAb~qSD8Pn4T;I;@{9x$dkFUOyQje>=}aC3=>@+vB|@$Z6IQLy7vC;)?0Vi8qEwJX&So^HU)YHo-LucV z``#BM1;;f?U8B^yb97{O{0}p(aEffgi&AO2ZM{ zFy?PlsHnWJQWiQx&8gIJhMF6MAcAoa4=ceiq9$fC;?M|&hkBd@8Xy5rjJA$uq&d6n>7q zG|{-MdpQw%`r>QjGN{pDCLy+6K8gXbhs;FF#z&!6-v}MAblI;c8cJ;U-WzoGGll0h z*ZU!t>;0AA_miLTFP$2txtc+)7phVK9`@T(HzUt_5=AXTnZ^gh5V2?38XI%Hn5)Eo zsg)4N(<{i#4*V`gDs;r|8M5hbGnCk^6nxtr~h~Da{#$~wddll;h z_7U=8;yUJf4{$B?7`^W1qdBf6-MNOn53R<2eGWzY{9_C7Pjg z|D_MlhxO{{#K`lME0E`@E!sU6{uPp>#UGaB(&e4)QL_XrU&{_Gr!E_&$|4(0@_6KZ zr?TtvVw->_RPQ}B}luM!2wQLhF<8^A*G+_)?M8_ zT|=9k?Z#1^e23oVtfDR5$?^T-F83+%N)*w;{#*7d2QLW*S%pBhy&|7d1^wY<740{@ zu@db9%Oqe6-)5glKp!~cle04k>BqAAKsVP1T6T(9JANF=9`5%^&LsT6$N%L2@)@%} z5HJZqhr8QMz1v+I8O)etQUqBHu;8pg7RDRVJBh#@F&1#BjbWHT0qL;ZYlskwnM|h1 zqAcmSVurANf&f(Q(zS^I3m*xdf_&;>sXgLrw{0qEhZ`%kXFd=HL=)XnLLaHMXFd=l zSs)-MzQsrlowrW&1HeE~U3Hxnoqy+2)ig_&WWg|xfqY6lJv=&ch^g`kZYNcropNLO#k(t>nO7C}Q1z&)D*pDWE9&tMa-8BbuRDWUK^^o@rvnldt zcpp5V_`mLYnHo#s^t4vRNFK*TO`GsKipQy` z`lsIT2|xdt?o((U(()=PD`}!9A8OSJG^0y+51M(>PaCgJ0IPKp3EI8~o%30B0^^+< z9ik=xrNwrHc6J(QG(V;Hdz~qwIhhLpQ?>u@AN6`ghLD`eRL?Pmjnq_ zzqY*}w#>kwGPCJ02_}A`Sx-TL_>6js0{3ZJg8+U^R5*IeGkM+o1lbsH8)O%w2@3Nd z!b+Bd(4}mv*y+5dP?GtCoEJiMLB{AQlfylOF-8C07vN z&xz{&JX)XZsZ(KLvnELc<9&To&vc0O-e>cAG`V$EcY<45?+Pa zO}@FdtdZQfwyfDy(IE1BwS(oJ^hCv{efcl^*4MgIp&p(&6&B%MhsrGa&ZQQyS*|iW zC~w3#xDkG!)L?2MD4a@E00mX39V$Aab{Y+1qM~UJ%zkG>nOgSC7gpX31%} z#Jr?*hUwD8LYJn(hSs?4I`&%78l zZuunceb!Mi?B}C+2*Z+!naImYB<01- zmg%QfTvnD4S0uU)MOmTrH2~JD#;o}&tHx}~*Y_9LOjFtuDjCOTY0B zXJo1@;$WyM>LY?Ikb@r#J!3%BkB6BW9!LD^4Qt5h3-niLR1gG8F99hDe`W{~84Aq9 zN7%4Ak5J`?L_afxchUlT(bir!sYu|4tfQD=@?%iK*@K2rtVs973>VLYJ4{Y`BMR7( zfPK~1+k>MqnBT^nhgmj|8W5Qshz2EYYkKL{EJO>=o{>v1ytc=L%SG@me~t53L-Zdd z-2H96i(p9v+kriX6;5Of^2GwLp{zEnor7<_8J&aaDqWciH=doch2_ni=cu|r z`(ek|t-^MFre9K$mOw|^R@j?hdm@mI3G_n4W)-%3?)dC;&)$9Z-YfSst}gMu2j3@5 z*lr{cUxn??BV=Bk`0ZLHcw)lvRPLPd+g7LGdYpnceAYL9`Zs!&z(T#ZzJ&$!UEoY7h&AoV#`Mflr}gbE1& zdP0RzL7mW`bNY%RK|iji97G3VByR_4dJo=vskwCNBA-D*0{`P6_H)0YUZ+xa!twu* zs~IdiMXR%NJ>=mT>#1NaN@QKY!P$qxmLmE05ZsFA=*xW-Cx6HCT7Q(Qrbt@W{P==X!0-F1Jk1B&Z z3^a6S1s_IxFHo+iEASw5Lw8nSST|{SY}cS^ly@rMb#PYjv1{D^q*y;P&R%$u>5cd} z{H9p~K__p7b{?hhBzwz72GY_}^&MkXB{(bnR|HFxfTFXqov%X>9R*@bCqVuf@)Cx} z<)dJ4PY`g}mA}{O5-jPxly~Y*0p#UgY+F`ksls=3@)_R~fPW+@QOW=dvoYYH1mOV( zOF97@!RaQ65-Ce2B-jOylnIIBZGx5rC*Xo$5}26$Er$<`CXL9%R3@$a0sNhYo(Jj3 zIKSs1UGOWGuhobb0)6#aTIba*IWik3T%@sEIsi6{{W*5C7l4*Bxw-`|G*OuGcHGl<*ms z(N`pV_J$ZWoU$L#RW5c-^fbdm#kmRMwoqLwKSqM588$mqKNOG;rVu7y&%)5&u!TT! z_rU|#HAsIrp$w_7rU38sLh7ekLI@OHGdwLAN1;w)dWp#yzc#lQ2Y4!QlyH%umsV3Q z!IG|Dzh;NaN3L6$V3D6{mC(==dco0?dOf1yqr4uqGbLFe}S`P))AdsOmkl)c4BdL`prdN}MZy1N6mk!wsn^7-Xp_+4dq-RbK!b z{Qc1Q!ml&FzesK24KcM<9WL;D3h%Ejs;tQu2KoNE9rlmojWfuq{Y90@iB!D*ht!&E z`}^^Q#pTdt`1?qI7oMw2i%%@~^%SN1Gj#fYol z%*a8{-hcl2JlEoK=qzdVKabw<`IM8HvztiXSc!}=coJThq|aa|=e2Ww=^;_10=B-6%g>Ox>xOh&qMf+8MRzB&er=I%AANYnh#tB{m zx&u$OLPLyts?bEuFgN#9_lK5r6u7k27B#L2&RSJVJ_Ir+o1{Aql80uw+}j9nPk%rs zilA>M$+Ej)=Be&mPCcQMjM7!H>*%TOw=HL5;TUnVDIJ~Ysdi9AhuaN9sCJYehW8x% zFu2F+t~=zKu%gue$J{ZfkhOH3n2Fm+lXCRrqdNv7lgOztZ`Gv1n)bP8-5mNV55}0e z=Fo`2grhT<QO@r)}?IXT>;?ro?7=1b`+rtIZ^_h(!uRKDyEVUKGc&JF3qN!O^~ z!n1x-2i29u^4!H^nnkkg6cOYe2hk{iv_6Fw)&I(~i)je)3e5eqO>v|8_lm#M`v=&H zZqjLAXo=AMIej?IAO5Nj`%j$3q902J#@jFCi*sh5(9?krcc=*zCwYY>t_s zq-B8>!96K+O&Q*TqM--{%1IfrN4!N|NatJfwP z(y81h#7lvv`HC6dVxlNkWH7X4ckUG4l5Hw@yHAv4-*<+$`;OvGw1kR${smnf-kw52 z!N1W8jU%8uQ=rt+&m8O;*vH3Wxs#g-ZtcfYW))Vry%xCjXjoV(@E|oBR$)$aNRH7AQ`J7&AukNkrWk>OprQ9;1*@Ol%Ctrd=gtpGKkB*3^07 zSaXY_M7gF^BJ41migKF#hH8}3ioJ`Ylq@kX8=PENwqs*T#8a|0_j@h2b#RnY)UPkG zVj`|mvTWfhNLj7}YbLo2Zke5+4J0_YcT8;~v4sUZw~S|~g(R6&VY)3Qnq-z|x*>#c z@i&G_S?Y#pokO0FsDQg`AU;Rb^prm!d!UcwA{_3m1o>~-*FMO8qq^1~X2vn`z56rS zT`B)P;i0TOFjwCLgQ-nP#q(;KUY4maG3EL=Vo~n*L%!){*(q9ml=kKd?0NbasRvo;`_NB-jCyDhZWIBMutLs zBkS)(bvb`OxBdNeS$#{t9Bc2qgH80jT$TlSDm}Rd*f$`+PB)b`zz&pvN0;mVcYpq$ zKJ{Im>mCO$^f;<&1Y$j8o*5fC)bOrkz|gi3pdff1&~R7^Eii&J!)6N&0R=A`$K)&W zzGQ|-nX4di116%T+1-%wn;Ev~H;_mVqc&w6W<16SUd^V~%=Flbyd~L+=)UBxp#^c! zVWn|J-mT0KS$J&+IdnR#G#bi{thcN&+ls&mLFN=>rk9NB7c2q6ME6}TgGnq79hV_3u}|+?X^i0BA9d?#C@3)n-HT!xs&Hb0z!O^Q3`;(a&wzBhpCCR zN%Ja4eXmWLFWo!7eE*gEPpp3v2=5ue%`!-9ZvA?4>%aJCzW)FG+PNmpeTC7|Qev(U zVrW6OXV@`_NqjO3m4#uK65LKTi&Q+&dO-b_qP6L1x}nsU6CZAmgou*YAVyj8;UX0e z&2uzF!5oc&C7GV-2|LCXQymgVzDj~pzH6!fr(I+EBpVFLQ{N{2B{Q6j`wbG&oT;FQ zCn}_vwQI(p94{1b=t20>XM{;KY@W=>>+28LN@u&~W3jxga-!jm??77KBL0MZeYYLb zCxNGUvF~cKGr?1=g(7*9r+Bf4#H!R=aLPGBJh#l+G%>VH2?A9ET4iA=qOS3iRq=3SCg&;2#Mts&8$tc+o6Oc*Cb}R<`mZD zSJ2U}prc(uv0>9rqnxwj6p=?al=3^PFpdH&5*devvy{#otb3=?yzlxG@G?ElTE4p zj9YeQzVUP3{;sup#ybthMn}C<6vx);89F>CvPmOe?eT_I&xZMx z&s>?CXaHKPXODBl>9@Y-d*1dlPJU4uE$c`Uqo)=E%;Mkx4fcY7iv=g2s`Yoh?Gd5&Mb}6mciAp)sQ0Q}DPeL?|%?n_>=nxhd4w^Dl;dkxJQsZoPR%bdPo@nHS z@=A=n(4wn@zCxzLB>Lp}8zRx9tWAM8`sC=9gD4}vaN`JL3#=R`%0SKha0#N!vJL=3 z?%JgBxHKK~Mzj)T9t%_K?axyL~Cu5DQ5ydN?%~FCB{ld3_Bl7Lt(E!$LepJj;{z+N6;V1f2sL zGDzt>pyZ^0*RL20N&PX7Nh5_?$?djA|EyFNcIY`NR#d1@wW$^#R?A|TIhI!|X2F&K zMzE6FRM^lSN&Gd92jMes+%Pb5~-QXM<}nJqu|=vLFu z_}a{yZqW@)r;p*E-Dth(i6KjkF(circ1|FU6xEY}cEv&O4n^;_v{xQRXWO=Y!w)3%vuw)BdVeUi(VJ}OMv->iE>Q`elc z>lYj=vSc|i-GHFUU>~R6x{8vfuxjv)`B`BdYk2l1&&pc*dPX#Iv(ndJ`JBJ~H~*05 z&U8q$<<8Q`Xu`JYiXDL8nsiAo!OP*V&kS3W3uBA2@~GU9xid4|Ljp`vLkA4~Re!_{ z=}`BEo0_d?>^gxWf;a?jNQc@Cv1jV+*O(%_OMbE&(it&BG&_?Nh85*g(Zz5>xo6g_ zxP!`td!z0ec`o&S-H;Botq4^%B?>{iG|_+DP#o&saw~Fb*!Omqn!L<%)@h+9f$Y_o z%PKD=7vlk(U)cuDOCR04cDJN>Mnm(Ta}Pyc!Ps7XjDGdlf!N=Q|iXPTtq&Wu51rOVkd)Hq_HxXlWm8&hi(tU z@n&f{w1;Id3&${-Jpf|2XpPSd#V*!tx!JcWfq=M6s^I9bRQ$M7EhFc;)WY zXZ<49ng6-MVkp$Fuoxz4<=4)5+?j@0DMH4$^9g{(PyP?T{x7}DMY%PBP^Nw{Wr>Me zB#q`$zlfU<55f?PM6KLfYE!usY3p{2NL7fK2sP6zRyT~PUo>(u6opF+ykGc?u8 zJht8f(?K@-ZUfc@zMmbm(29c;ra;=mZB)RM7&)ZD%g?R(J^SFwX=N(AN;AOxFeNi; zYaaG3NC%Siu2VN@u#B1c1B9~?g>%i#p-i|7Q^3}QUKzPAOlI?d^F+F&SKMEH^UQF5ZKKU(wJTa11_B0sFZu_!F zT^7Bmy3~m#RX-NKFXX~U!tEk8t*Ped5%9_k9sz2s$Q=h40#apXNsXa9G-|66hcg#O z#KPhZa~B59q>u~q{gY#m<>F_$O)nwoXERhMyWJi@~hWzbG?pbr)Ukvmlre6nacGYzx8AP&NsW)p`A09 zDNhn$KopW9nXblA?Wj~r_k@{pGu$I>3$KHj@=d11NkiU^%rI4bVF*Q21*`ND4C!^4 zA*NTL^#ah3bag5?yCJ;}Hv|fcVT~q9fj>9ojmQl5hb`JeB(vP4xteasdr)tv&ID7? zAh#7O^5$iR2jIQ(G9g5&cTgLMPNm^#~sf&Rha=G_- zg4%7h6;B3Ll;p&Py~i`uZT1w##W}Cp16zFdc#2w#ebH|o)8g_|H0#L_;Kg+)O9bjq zq;h@X$;fNtx-9MHjv6jfilgkiO6FTQ7mjMoINok~oU`Cg zAe`?l6{|%AJptC71|WYb^ArNP^2%c*>8-7$=lLR*=SlV)@O* z@@1!J#q#UH@~`+CU;0PB!?E0hLBfS@;So_iK!Ah0c8pp3IGD;v9^AqZ$c)gMhE19u znbv@z$F@jdvf4mcK@TZ{@q9%OZQ{{} z`6wT%3;@wUF2BBXLz;1}R^+}O!-n0od#vAD{LexCTrrEn+XFQk7_KvA zkcaD86pp3?7>cfyh)ZRYv9l}QTTLZAJ5KoWFJot zM5Vw`ka&HI?;ytOIL_?+pqL{b2KA-#$R3vQ1mWA9$QHyi^f1|ifybfB1Ez@)RR>Ck zM7C3`{{Y;7@icnJM7B!(f!Nt62Ej3r?MIb57~wP&B2%Ooz!7$qq@=xLR$V&SJdM6Z zTK*ggKGXKGzm9c>Y`m)II>jCGItqDrr0uiuYv4$n!$|OcjeuG%TCeio-98_=WrUD|MJhj z{EMDgP=blMCy8zX-AVN55mnLqJ)qzb0L>7HkM2MsI`Y+;FGw$?#DW>N^_DZnL1(N{ zU>E#zL%D4k_pokLw>|YD3qzuxt(ZI`GAVaRMG#SaMS`Chw&=2#^gDLlDF?s}rSNIH zR`-OTx=XEkZpfRJt$2V`r8+!)|D79VOiC~x+E}zqyklr)?Q#kLXc()(z6y&P&RexVj z9fp$?e}nk+82cyID#6uP2|@>j{EmC%ml}d2f3~@_gChNmR@}e21%+j&XvO{O!Tq26 zsekL&{&&ZH%@G;yr;O3<6wd+yXQr+X>y(* z)Bn6*xZzIa0h>cLD0;+#f`%GQ)wfmZ@;D++&9CX>CnJ3vI%*N zfy>BZ28P2+jbfyjA!!L)6S9^VTYsXOkrfp7d(3*5(!N_S zU@epO2o;|d`mYfBllC+PE3|U~-|iJ?Pp1ogMf;nJ_RCJuiuTuo_P2iS2maIF^df|w zj1ujyfj-cH>Lz&urN(E3goyTtu%SLjY;H(rpP&zHP;nPS^g3v;o31QCZbbV@=0ZgK zN#;UCdyH>5=&naRk__!Jxs`KK@oB*D8~6p@xd6XliVcyW{UjYi`X$r%KoKD9l&O^p z!p+5ZDV_Uf*`RA3$2rcNkRpUxVn*o%bs}@eCaDwI8JeU{#8Wguoq(Se&k|$8YBw{A%9XT}hWBIqWhQk9gRG-MwnOcM^~JDB zZ@n*t+(EM`bFF8qDWz+Bb%Rzn=!HuSM5FulB+Ev;{4d`B(;s|IKY~MlFQpsQqSIfC z9hlM-JL(23${hz-NiJiHPR`tEiAj$Z^%GzXe$s8-l!zSNppbc}Wi}SOy4luOCOwk0 z1?iS1Oz4IYWZ65ZP77Fv<%fwFi{4U|7H&4s_su@cEFKE_sl2Z|Rqp?UfS_27w&)eH z``G3q!McfBz^bK`_5O+f6-Wm~m0!zW-Y+1y==}o1nOKqT#p7M+bOa^hNzn~l=8UWX z|Mdj?zw%iheEpi<@3Au?T>#l(PeT?=S|j6{-ggyVh3*G^2EI?Y3oPI8^!{4ezk|?x zn`r@nM0k!c(q#YNn%-Y~3=)ka6B#t!h869v2kl?;|FictK)0+}S=bdTS_6X+qJ-aY zBvv8b`K-Nn_wL*ra|`cMDE&-h1mF@GRTgvK+;_U@r%7c-s=fOENc3witZ7JF$Ldt5Ew-M79v7LR+fj(aV-t0^h3k; zXyYAoG@sEPIl1FTRSr4SGREFqF{3>`4492zCg2<)OjK(kh4elw!|?3E#}37OeC}?; z9!5N0$E1OB>n1*r4&MYZ5Q8maE`g^R()+Y*d7iZFG2_uAdWV^qRPtNUydr*&fd4D?o7>L1^(ri=o0q+ z2j23gAO62G@GGT~Kp;;CHETlpjXZmwu9PU)0|jQ#X$6{lkVsxdFXngZfJLevkUI zTDGE=6uFa0O)y24#dV4rRvaN<9D>hZ&BY{J``652_x3lt|2Q zkmGj=Wlu6D+3kS%L7=>$a3DYR)B}ibw+Fe!yZ_5g3MW8Q@A#I1Tg_GD(e2g>Av` zXrz90)WzgCX>YlXfE9IQ0^0-t^3{sDjzB|5+z4X!V8~U5eA1*t``m)=xV4c%`5bc{ z0Ze@rH39}BT@Z&bY;MiQ)E=l3Y%pq&oAPp`d(KV$Z$_l*l>pZx&7MzS<4TcRDRM8p z04niwuiSaz^tL<0ry)UBhP5i=O-RS7{8D83$M=0AM(f&><_v_aMe~>Lp6+BS|0}1r zzxAE@o_ggjwx4_co!LTes9S301C&Rscv%Ut z&}ckj=Mj}};I>wQag3l3TS&ioO1vID7b7(2_W=wgfjvx#7ZEh1Vh~6&)7{vh_#EZO z2pUqgrlo^+^*YlXBWS?v`9yb&plvkKnLM|YI4yS$3V>(CTa&TNCs{<$7>7h}K=Rzy zRUa&i2pXk*&DRXl-1^59i36k@$3XS{XTOh9w2k`t{`6w#3zIF!}^i*q7xC)(rRZe8D9d?yvit zr$6$=jL+&UJp@u)v+Gr&4FlEcA#FJr-9Bx4xa6uqi6z9C%);kjbcR`$gHh7OcHr9q z$j9hnIT)Scl|@q9G_8z}UHw|;Xk|=ACd+H1mDLdEXj}g~w*@{oLEAnGE<-jH4GxnSg%+FaiHKufE7F@5tGXJMgY4cZelj%|&OxBf?)2kPcGS zBK#VTKbNY;`4+=-k*dCAc&`>eGT_pE&fU@YwgBIk1Fqe_Gtt(X{ayYvzxM3!vP-lA z?($1?3E;l;1)u$0AMv`>9j&^&UQ#xdUZy{(8A7FcM0A3B_Lh~D-O$9*I4=$paVtaq zqTTS=A=*@OI3&(t80F##xXBQ}3}hyYd?TYyqagv;4I@Dtdz35k(dmXzV`Wgv6;WNT z3<|Rc8*xH{?6s8>Xx9JHwdzM8GFmk+grGdf=9^`nktfpIBquJ zpD~LcEaUa*n;m#*bYC`90j@0L1?mqCN%;uEAhkD8>5o?kqB|58#F|@t4fUGw65)Qt z;32Mw33!9g9G*^rD%0ezC_68M@L3pn;xFLhD?j^a~fgCOLR}e zD1Bdr{PMQfz-6P)v^Y7)$Shp>ci(ArK`(>rioV5@MM8nUI{c2|&dT4AP^jGna>u9P zzAi<>KPWN~H!Wlja(zK|M=8GWk7nlCQaz@LWcmIw^%!xViu^|KZ=QLhXvJXMrb^^d zY6i77FNIf|XbWI+^5Rms{e)L%DCd`7dhR{%zVf^=HgaOwa>}WkF_Khf0b@z(8CDA< zjOpR{Q+DPOtYjP$9KX)A<93KnX83hLF@Pv(b35xo%Q@{>9Y9MLGw;f; zQw+_9u{zLUEE9q<_qe_9!l*O)d92x0<-B19ge1M7uJl`feuet zVa=f;1I=N1#A`8vE>5i7U}6BjORDm7dQfEA83DXxRF4E^O437tEpXeCq$j#o(LrqQi^IBdIoL zoedG82=+_3vgv{N3}bQu#p=n z?_W#c!E3sNPY1#rIt;_{;Zzk#B4N~pB#|-fj;Q>#8weXq!7rVTem!2*03T1^HYWsI z_%@Rh0%L{&7keG{OPztVH3hRA(yra>Sayk4uVeWox+DejGw=HE{@zE;c^#>r4_=4O z+RYFcaSdc~W>&=OFcw@kjIaiQRhHb<>!?`{1*(PlJ_GuH1T|<4ct2l17+({;j)OF_ ziF@J#b@?3S8Ds%V4e~nXA;|PYz*Y87;^jfaO{b8)1fKWBdETFg zAkciDYzF~mLG7s-J__si4Bn%Le+Ks2Cy3kGYWmf@U$A!aUzS{1(#fxm!2Z?Btb^zhU+2x<+X3tcpju67rm=jtvmg&xhd<6N5hh|4PIvl#) zctP6^JsY7B7l=uB?%9Afyik0@i`-D{&|{`!6V}c`&)6Hf&Z#H}`!W2lA1fD^r&jWU zakk+)0rH%K?tgKi`Q)}Q@hT1I!z>^s!ZDE}f7{BP(C_pLxqnr)2Y-Nxjna~VyRX^p zj#?&x>wy$UWy!!jSt`W?m$2I$jFXV=9_3Eh(K}E;lnjFWL4BVocfu{vJfb%m1o*V! zB7CB7?_TXuo58)?@g_Irf45wI1D*T^I{6KBI(Gw|?p@CfbXsr&;aCf9Agpxu270TL z5B$#Yzer=(T*IQtJ!7=@*Uitm??7@WV2el%fjB+Il=VVM2I#aD&7kR?}T z+%BE)*jLM4Y)TKmSiMBct_rP9gg|%YC%YxzZaUL&3zSKG34k&WlTjrd)A!Z4K2!Q7r3MhpHG8GBlYT~0Ye1KK|E0c86T!)TPU8L7#Td6GRTLNub3K1F~87C684rk zU~UM1o-=?zu0OQX^NjP9;(c!(bY4>U8onmjqhOuzEJp?q6%!8e$mhl3M83xK4Smg7 z7swn{P4twGG<{He+ZdX7zs(Mb`?zOD8r->e3PTOBHJ3Tk^%l5A_ZGQD_a@w;vtE($ zTkPw*0?(B#P2|i#xKipz{>{QN+|F0l?hH#zs!}I7Scd!g3Q-Xtp^(Zv$XD&e6=xe}plCQEdN;pq6CAn96vA`xnKON`Cr+;80d4dW1280{^5 zcJO5Ld7?_HF)6-+>e009?mykLyT6D6(%pY@Hqafss_y>rU_frC(+xdX) z?y8MJ1zh2_2r6Ju?$7P8e|y!&prYMgwZ-RetDr)*!~O^YA|t$QuG)f;-tyTOzJJ-y z`%BD`HlKN8D-S?2<&l28jjd1?9=vt^WUxN6ZhlK(;P)*L5D zfa05rJyJS+OFYtXyW}2@d8FKBjT1I-t&ygZKxgq)8TbD^49U^kxZUJc(a_+?2vMDV zUZU4}oba3f!e9Q)AI!B>MPsj}8a5+NkjWX~LmknbRl#;ZZ8)`bDi|j~F}?Off@1|N zaw^u$B=o++Koj-q(R5ZFI=EGwTdiG8kZP|4$;vnZc}+5s;De0J#Ex--^{&wY2fMsD z^dc7o4v4!OmVZ2xeY~FBs~PzoQZcZAM9bHVpXnH&GE2j6O&;8m zuu1z)CTkwE7N%vFh&c5qE>XZoeTi>8ea*$qG`vLI!4hfuGVVK>$z+z(;k`^(Ubn#6 z|M+n~{H>pv`?<%V?f}ZEA=nPOWMza}K-~sFvIy!>9Yf4Z@T8Dexnf8mfX#|Rp8(SV zoTSPyLLFtVH6_;V2Ku0Mh68RRYeA?3bE!lyZDDdlYuw`eY^PA$TUAO7{l}di`hdBi z)j7&@&6h;#jN{dCY>0K&z+I%oIy6+{bJJ;P2A{h~iFLaz=Z7&NhgS9a=<({{D`)Zo zq~`{#@Y*tZB5)Siz>hV%2F302@`$!o`b5ZH;M)Z4_}C28R)yb_EcXZWpot}__4S&? z6#|W0zopG#kPwL}9;7#SNPqJCMB;Gp&4&~Z%Kab)h~!Z0X;eA&*&XX|y5RYI+=P;i&V?QneA&ihMdFl_Soo7^C@ zeM_ana|YN#k9kTGRGau}`3p`dg4JiDzqR^IrnejUYdI%zz7#6C8!3dA{`R=vW3|U8 z(r5atKlp7Q`2BMYSdXyndy>2@k4tNpLM=nZ*8%f`PAy?Hm|+K3NpO~R8ckv3HY9m~ zx?z8?*{sl(Q9#ay#A9coPd8pmEa*#4HeMGESd)#{k_@&rryH;N%uVNczVX`1V#$(4 z8S$<#Np;Z7O`p~+_t1%#R`PCh6zQSd)HUm2h-08d93ygNC&SUZ{ww>KqD;nf@f4=o zv&2;WiP^C#@sN5r>*<#L_k$j8`RBu#j&AcRJf&iPas7;{yYjiNb*>7#yiphm{aWf0 zSz$sws^nypn@fEPyY#ce7 zHnS6a3J{ew)OP}~j%u?ls?CBQ0Pi35Lf2zUJY@#@$q)-E!M=sModo51MPim4_D7PF z5ox2}3zY(91hOH0ifo9<=W4hyY20i`pTZ46c0%P7aW+m2FUl491aiXz<>zF@Lo+a> zPvM5--y?Fzr$%#k{b3{zUCuRB5ca0N+CS+3(M% zAP5VR2gy1|dHMH{wTS?rYGFcN${h?Gr8{xH-hAK zI)Qj8Zv^O!qew%Cp4MHyodtnQ`Jf z=Kbp~ZOG3Q4F%E%6pt^SV%{I;8~XzY?nUWes3UQI&2zihsd$NbHL3GEf&Q5{3SE&s zy294S7QV}r6vsEY(i88#>+TaMCu7g1721OE+{2?Me%}A|@t^RHJl{(&ASPd6t+O^chQ73Fbk8?}<^67N~?owfW5xsDOAtG7Z~}LtViFzslS?JqExQIC3u1{ri}DlA0>0pDon)4OJTEWqFdYA8>=kgnjJuY1PH@e& z*ko!$T|C(mL#x%1el3hW3)fX>Y8i(wsHOagRyT0wt1J|Da`)~TA z|HXVHuEMU2#196LIe;u8aX_t*r4fls%Um3RT14UpwAm|DOMAhhVT{Dl{6>IcBu+NVY3AlD3S_6J$! z7<^Toqd=}3b{Gl9Y{3}STOijA(?l@LFbIUh* zN=2PqmGO1hA$fuDq~Ud@!9fT)ZGU?^1>6$m1;?&ydr=4ZrCA3ExIsl2vX3^hD2vK+;`B_mBezp zu{?P;Bs#lce?VfHq2|7&D?!}LhD2vKgq$u!e0h#-Qz+iCa!+)2!>;YL0sYu-C@chY z&MQhloGg(h5Q;U{F2sDfqy)stvJn+M_F(ZCuwN_9v-tyO(BwFsfE^W8(nNs4~{8W~#A4!MwvP-nGoNtEZ{6*jM zxBtoC$t-8cuZGEDx<$NYs+P#Jg|>!3l)Ytmn+zq$6BylET{;``7wv`tZ(ECovmx=; z4ZGt}b=dZs#;UH_ka+8ckbnaXi!i#`nbv(aB;L9q+MZd*MP8W`r3D?cA@SA?JB)#V zmjOd4)!|c9W?a6WYl1uvGwZ*CaROw@rG_?H{-+3b6&O~V^|EohGCjM=eL@Y^;m!Z9 za;zYeJ$gA-yDL|a3uM~IOpbNgC0ar5WI|Z zp=;9j8k4?g#FdnhcPn8F_21mBgp@ESf2%O)>5lMqQ3YCsLD%8e56+SL!FT=WyMHN% zsFp7PJW&E7p*NVmQo5X*8)6CEC38bIqyWu}sUwF<)%9`d^PCb!I_q#~r3ncQLxUlI zIVB9kJ!HRS#SvNUoDxPkt-&-lF&t=mFU`s(Ak;$UBbpncFnFT{&~=Lb!>di!||MtiK`9Jw}vx7r069O5`dC`gM$GSbHX-cv3Ffx>*#JZifvSOa^ zV7N(vb;7A2dLk{WWFET(f$$OQCSf*4s*^Aq%Aar^TJ#SKd}0`h=C$*&L-~`$7|dD~ z%aN%i(k5g(qT2}{NH5OFh>={JW<-sNW6Y|Lju^?+X&fCBVWro2bi_z5Pva9|2#C~( zM@Nj57wEu&EoVlI6j!L-Zg8Ho92qeZFOkWG(Q;?3>F|BVYt;8!8!@u+?&)k<4i4FF zwM2awvm}Aczxhe8NhUzJ<%76Q$zMuBC*r#dd#=W^31VuR;S$v+Z7xn%NBLEkH< z9lZNmEDBN?|K(mA{3!W{&i+9*s1NQR;xuf<)-_=>mWkkgL(R{ijY7%GI1N+>j|7^T zj6032FHy-3G_B?#PZq(r)+ld+>9TmRh7*?AAmM~%JJZxK4HlDB^7&)%2!7FVfBxj- z{w2*!QVMxF{d$~chRGyF#(}eDL&l-n#J>EIt_g@W0bvrn4{6|@Hf%q+z1!dS)&JX% z|D{|$&<+bPA6UNyYUC{yMxgY>AHO8hb8iuU^T`n1N+?w0A+zn9+K@kYH{7Ga8VJpb zb|Y2yb4^-kVP;D<>2L>`0TvC3`Mx5?nsU-PS3Dd@R*!~+d^a?*It=hDkepOsS=4(mH|#r)BpMsg|-ytJxjJEkfg$lk}}g%LGFG=y2XR-X0HT z6c_ENa#k@4uU*}F!Yl(V5c34DmwW(#O0 zm>&<8ILU^5M)->8;MUxKhge@REgKTdvtf@eDL@+FA6z;T%(EfEJQ*GvPP>31I;`ps zLoj#4Bgz-znc(>Z1TI$;Fn3FIuCvjcOKy?us4V$_cFP`QJJprJX)3Ya-XcV^)Z>HK)Prcb#qCjB7MEz#qM1M}hu2cXG}E`#?R7|fw?p2hT2p(i z{Eh>qAD5j+Z}G*(^SsdI5eFmHkz{LzULiI&Gek`f|FBXaPKortx7Z#Ie|7^e2XiVNbUi z_jdK2ZpL@|rO$rdA6t2p$YAi9Q&y*-cBjn(7+P=MST1P9%E!v1v=L=iVIWNV*!7%- zs9uRtQRwg-hW{0`zp>oL%A=g~UCOG4`ql}`#eq(_jg?2ay^=xR$yc1wH+^Ez+n$Ik zdWYoH!)vYo#y|V>+-D%UQ2lK^i_&xVn;ANq*tp^|>sqjO#(R(lIr zD`gB*p8>l7V>^*l*dte8Ywk!H!)0ouR~?ZphKKGns?RG*8N&^CMmMaRP zf-qcSxj}Mf8G}aZX$OMY(}ucsG%pcW{pWfxNC*3E~Qr zdUqM6t5;%so}1`?z&&>o^mvuWAZzMMPC}F|(iW@Z$!6s#j1Uv*fiJ>42zB~;fZ2dp zfV})eB^HRbTqqo%kgzX^1@xLD(o20RAw4*kh4LN?4_&dpPz^R)sw%fTR4SxumG+l` za5)dJUr)-zlV-8b8+{^&vaQMWN2z@G_22tVzwemCbG;ZfF#&4qV5Oa52ShB|Z%A}w zQ5RaSbwN8qkx}Ew4rpwIn%xJ8Xp6$PW7b$PJ=VQ3(u6{;U@#nZqCy|}2GLVEY=pPS zQu+=9Q)1z{^c1>&(<%sVTBGmfbBvw>Qtxz9SjwIwCN!LfBbIGNBA5-j9Xk`C<#5~I zQfNCj=u`l00l`mUFw*)m0{W5suO4xX+^4GyDShQy)6q7h&SNbb`Q4czruA$V#1{ny z4cLGc2G#1bn1cFH)n{?O#ne@(&tBpKC}AZ-Du0?G+7{~J<>bC)59l@8ULMLFH@8&u z&Ih%PO!Rp11z0WM5HN)HNMt4FVS|(ZUL6V!wPe?lVbGUx#*I0-xejW%Oc-C7>4ohV>D)u>jSVJQ@i} ze#_208dvmM{%E{*^s-0C%q3dUYxyO*1ik*rCw}gC{|~e11@-Sm&Htcqx6)^ZX&HrF zIAJcL7rM}o((;6%V0-0gJA`Ni=vZ^uv`Q(4e2o=9vtdLpWQZZ^O7t4huPM+=hoTU@ zx=!n^zTyL-mxG8POqJ8ju(9-&;Mh&`kG!~9|Gjm41+NvnUO*+&<~of}qkPR5I_=4^ zg4ZKq7yU0!@BitW<_ewr&Er4ddU70fk-nT7M;)7X5bAjYmdm1yr!V{Nz+u$L#kL+t zX2YVd3aw$pY!o`T$7*mict}N|b8-}RS?HV^TOE_j6Jx7!^q5_SG9ChAexhA77y@1t zI*|kBU=^lrw^f(gtScZOKQq)zL(TK;*8=g^SLmewp@-Czth^rOC(D7;By8EbFRjdvr|$hl*h2m}X}wmPtcgM);o^vR&F4P$vpSbuqBSDEnTYs5|MA!T;UCI1 zQSF@fnrM>j*y17)3;P~z+sdC!G9ZL4H{9=_q(U&-ZyS+liK-?tE|ds%6-6 zUO@0TP@!cT`2_uIyW4WpO7DIG{F~RGens2Kv^HF*vQD|GuJDH($x-5t=3I8kmC`bF zCO56BE2mfQK9S@mdmydZfk%=Z_^FS6=J}7vsw;XiY9L=Mp=JuNfL#o}u3mJoSNlq~}Jq$pbJ3?pc!}T_K|Tg|2O7_s`hFAD75_xIi?l?-7uNM%(a|Uj8+xsK~aJ z<>j>%H{|uGv~1;K(C`#Inz6UN)FHNgCY8xSM%3i=;Nx|EStDgMbVVnlRsPMe@=H1I{&guv}QGyU!qIu{NMDecR%IDkkybr;JOie-ypCTj|9@CbxX2jHLQKO z+Z}sLR=BcU?p+pV$P7_ZfR-zQc51OIoh=&**!qeXg@>e+q5?r~9t;7W$mQVKmkq@k@)hy*NV#CY z!&DtOF0o^~XvC&s6nsEw*)~!7ykyac-Q#263!)|5jbhDN@FtQ83TAQjFiJ<>Im4wB zL@Wyr@=rEfPF|mf>k7ToX?Twn{~`Xb%gyrXWLfSPvJXRahTFG|5~`Yw2w)}NUI0t9 z7J&wy(G960XsE^=Ds-)Tk!sCYX?2SGSLmveco_~KR5KRmyAS8THeqjWiFnD%O?csz z>YMQ4@`vEp=o= zK04hHz)dMvoFi07>O&K7lOd|^652x2bug2T4^uZpyA-P|tmGdDhZew1mW+L+l8-~z zd_n=-WZ7<6MnKK~xCNRM#O*Ek4^l>OORS{YFb7PSuP=^dAChGQfmZp7fct}^(;sq6 z6i_Jof-iG>uo?8>=yZsZ<)-KLAx)8EYvOs%ItBz>OrK4_oa9j>D#zEd!-r{sV#GzL z5r6Hz<_|jfM3;158!Z6>gerSl@er!b`=uq=Z9fB6YXH9-tgbzPUv`OBe`5J1x+H-A zJ74?zp84eLPbf#k{Rv}?;3v+eW+aVgHRO9AGz+5sWUF@5K#ZRL#ExCyl2}v?Z@{J0 zHC@p=c8S-G)qMQ;Irfk{$2m&#H-_DoD@tP1S3HU!J`CX}XlQI};L!9Zd_`k;0@9)4 zR<9_jPFoR2Hf4BpiPw5E71y!loIHN)Sy2}bsKdt@Yf;yhT>m`qqXf~>C~j^~{yc?- zJf75BNeYZI7^S%Ep9pT89KG8etYY>Uf$flS*Y|ewb68Iev?2xyXztH*~BoS7Z;sIQW+w>Av zL6;|z^I#8^8AopQ5^lyzc>k}x{k<>cG{0Vq+Dlk0{Wht;7GVg=r04b5A_`6FuSG9m zQhzOa2}a-mu8mAliC)6w5S7A9n4F;!rQfC{)nZ2ksvnO1_dD+-#_RstL6_SOxc(mh zjv;G?*Yg>EL0>P(%MR%Xp5)iVRc-XLA1(5Mb*bW?@|#?BS_Edz_Ro=LcGyZjPe5}kj^H+{B zFNn@3{fHtupL8V3PQ*!1BKk$sg0F>RbYAfFf#P_<^D~^k=u(t!g`oSchWpyF5GxmH z&FC+$^tkX3r{m1cG*q{sqeKzDE8bsx2>?pzz?V2cCDBi?b?1>Z zIQ+Ix{-GcIcjkh9>nqd*ew{^+4fcm!i*!8m@?B%YLkvZcZjZq}O4rm{l>~k}RT98_ zQyZF!+<1NHh-p|)hpT}2J2-R^>`&>z#$bO^4>kt-4_CcaFm9e>U!z~fHG#iU#WlZ~ z@bq*BYkgfg4WrcizwvKg%3wAkdwx@1(SAB~U-etBQNI;cc=ab(m_R`STTsJwNWBdQ z6@y+c=glvR8dr>6G4{?2&`lV}Nttn@I4Ocq2`6V}%zZdu>`(rNpM1mT%?0*r*?ld$ zXJ4t57+TBj!L69~AuLJ<&aGv4{-By$Z@$(!DyzVdhI6lZ)xu?7-&!kJ?)6<#EBMJD zc<~$FF&DN&E{IKOXp%o}(Mndbr780z*rW4>o7ieWqY>mbQ1VSA4;B;|@R z7Y+F#g!RmsLtjXl=Xu2#w&zBEXb9H4yCQ5yudoQl?~exv?v;m*VLLtpRSVG#cRW@k zwaOsfiGpPU<10HSZ;jIs;@blS&vb)MAw-Z z=WoeBTjRX{TgF2PxXZv^{^^oby06N=dNkz8>wmnDiKw1EAHnCfJbzggKnqE!H&A;O zy;c6cC!*SIniG^o;N?wWYZSlydA?@K&)iJo8Gy$rediugZ|Cz1Vg4d$)rs zy+H2vXdPF%X*+hm@AnxQPm%QpF~tHO+Szf)64{Rg};c5Zi8tv`{MtXeDAreeuXJ%DCZ7Otz zx;H-`(B1^#WV<^+S#ZJLLM@t5oD4TmvQxvEfink0v(nIYWEU2ZCa*{+_7x#+k47rM z9Qxu7v$G+gI2l5{PFuOrd!^OwY{=->4SSH#q~Q(tX^J)3kWlP~5X+$&Egw3F)vI$P z6uTi*Q> zIKC#navYQ?2T1YMiU1P(xFu%$aHJecm|b&FPs!fG1($@nTkel7bb=YrADdxUe!M`S z5?DTId^?+F?$o-gm#-B@_{^A`4I-mO^^6#N7Pqybe|HT11qYWhQ1?Nb% zZ(5w#D+Kjlsf%N1YM{vpibt9pA0K5aJjD9K4&db#Q)8u9zTBa3QKXeE>sj=YNHO16ek>3l)m+gFfqZJ&{VcU{dAYYGXBp}{L z)mmN(YeY#6AU*So0YypcY9^X5Xe{DBYS}rB|0xA<#EOA zGx2e2_z9k$O&YK@V3;9!%Y*t;#lS6R@mSzAB_$km{ja<`pOMH}0s+%TQxvWK zsS5(xxO(LW9s66Ep55fWf#f$*09Z+W*GTdc8XuUt_lcm}6+s_&1f9D?D}vq(g8umL z{4bx~zW%AFZawoxQKObrxFcwlw+1@R6#&qkj)-kR0U+TW2I5Q=01%+E=FUS81$AQq zpu^xD&8=e~f!5sZ^Z~U*Q2#Ld13KCSypaQ_4^0G3h7h+yn2bY@R0_%hfM^CSC;;sH zjidKaQMV`n^t%nhec(I}Udi7g?q}@br+H|0R2QT(vVp=O-5dYli%|K_Jt*Q4Pw_&7 zWxUXE8861m^?0Ky*y{pOzvj-pldp2J5cw>e+TMf4`Uuf>ia{}FY&l=aMeVbVLAF74 zlCbee8Fz`KXzaVY!e6Aj>1aXd2wr0$ek>^(x721YS~d+QF_)I1nsv2DC#R&RF#m#- zl+rTX%~#NM)G3vqk}xY*T87&h=jl#aw?Q^7UVeO{a6eylNZd)%2|j68|3Y+df)>Jf zbW^dGaS7#`lA`g?-nBawj>27PbQ60E-zPfx(rtQ$x|~kF!q_j0Nr&4Mmf?oJLQahS zI&SEuF?p-7CLMM^`o8a(7wq_OfC^|_bJ?wb@>~DpxBkg*{nNQy|1^5*pNd=mnC{HIAESKjhmwzf!AHn(mO_v0MMGXYc*nY+)nUbPs( z6ZYp%DjtyA`TX1Vs>NKpo?SEK)3)2Iw)p%oDEBWvf4jeG;%JSwxoV5i9xy2PAADi) zbdXDIJ4CXOiG6l|>o&fv_K-H8d1EWjF)u)3D;amUZgbO(ZPS+;c~|aZPvhNg<+Au@ zQOkVW-4|ah<+O8=l8m7Q9LdnrQge2xtT*J0R6JZBM+$v|W1QPn`Z2i*cP0De7LsJK zC$lIsPWEe_&$P*I{%OlDPoC&YFP~lxU7*-{*UP87&&x>(#W;N=u}ljUhVnt=11!EO zj1iVxm2tbttHNEZn}=U4H&EMKbX90=G$7rTpX|f_s=VQ;r=I#NKX>~Vepk-EDcQ~| zmPWn9Bgm$VU!(G-3qU89O9H3>KBJ1%l@&GsYDcxiIFy49Z;5bLMM02espp z9fYN?xXQe%vcyrDa8vvzj9Z831l*Fp6r03vDLM^s*fH`VfSv?K0rUnFIq?fDP)aJO z+2I`jWLmrWV^NlDCe;!&D;RA~^9?oC3Gs-KCJF{xVCvdd8jc*GjK?mKDM%wgl zcdK{^pM%9!o8lGe1}*DS0>BC63jBQ}G#`8PWT+e^MH9B(@#?GD>MT}gq&^S)8TQM2 zXXwT#xF&rG*)cr$g5~zHin*6YLNpyx3I(ATh9U_yG5mGbn(C z-~(yNDjSN&ZdeF@21z+I#PEm$XG*#1AY#!Q**C99=h+W^*zR=-Bsjk|u^}7Mt#(7y zz%-Qw!-0rJ1Wl}m0htKHI%sOw=NLira5#cBBOm4{v%?X2CcG}1TrinKnM26D8RIrs zGC$#G38f8Ea*%ZxX~hv-aZ89>k{C=&&Xj++%*Y`V|<=t@N32^musyb@Uh5 zjDwi0So8kefQ0#h>LNbCe3eZ=J}Om;cOd^7YHYF{=q+O|MD2vc?J@hYf8##W++U2H zilH|!a(avvFcOMZIrN1Oa1p2?SyeD;kUD#~f>#(b9z$=A;VhgQ$xTG3pbWib%y#e* ziV&EB-k|ver$Bzn?txJ(%imp{0*|u!$VmZrK+LJg`+Ri0It8yhR}DUY(w%}=f9yAM=gI+?76~sKxEXwC zq(g679ZdnIE=wFp_1ar7q*LIAC`J$)$H|?1k|e_olpP6pkfAInG@DzqfS0gM%T>tO zM_}>Sf_7DvY7Ahe|4m?Swk~x|5wH8X{`c1H<5hgpYy2C+Kk;?KlU@Vj3~hkIA}KBv zuF@VJP>v6QEU^jzx98!8%Q)Yd)CN)k>Eh?5yBN=3+{bkO;v=Rl{c=3oMSYuZC&nhW zkV{aULz)=Ia02d^U=k$oiV!7df*(yV#e}0oPzq0y!Av(-ai+syiu>e0UG%>r;$PTTIP1egx zLk3i~g~p0TyCL>XXT|Ppn4t~KOYlLAPpJ13f-XWyl9sI!7(tW5s^MW}ae2d06#$Ts?~wq2*u|@fLF*GyL*X%VW-$grtLx zdqf9r(J8Z?hgAVg9ggT2TK_$ZsREM<$5#4A;amQ(tyPZXUuSd}{kVF(v9h*Cw**uImB@r~0Tw7`>NJB{+d>T6>r4aKS-XBV zWH9T77*ue+34+0_8y?ZluN{m~3oN6$FjMWUJ;_=%!-m?@mRX3;2tkHH=)&~8@LM1^9+qrVWB7Z^P zhe1(#knQZ94f-KE;e}*AJ`BqJxt;fi_{4+tL*RTP3xfR+1vL^44#n|hJ0D-v-JD5 zufnTZ1uZkt(NjLliyK=0Nj_sT^aRG7U0QIgOjHz^bOUV%gJYcAHPx83;Iwuuq_k4L zDlAS7uioTU36u$bv1`WyI~Kn9{a^A^-b7o&C?wj85D7_P4p0d0+{ z7`5-okQBqFkMs%FZ(=d(umzV=3_(Uz8em>=v>1gFlF>P{VJt?$JK{M~vwT-*tntvX z7?rhKTZ)^MHKtgMnk<+V#VBh{9j|YR|L$Ko6k1H~bhm@^QyyIWQn&1nyA8^9^o|;n zZ}ktp$U8dMFF^<9Uf@c)GgSYjow-JEjItLb|NaP-NG#trY-^@=cG*K9e93^UgC2-# z0w_r(1(b`k9H3mb1NPy0Q}8Qgz-Bn$Ow+;x^DA+_5z0AGw19Gz^4e@0M2hXsq`mU_ zOLKSF6i>$i_cqz0?EgVs`B@xiabtwtrTH;Fnz-Sk`cbg%dEB+kwU)WwcBgFTe2BTV z_kaIieCH2lY}V-5vDso}&^kmxn{psCQsk==$v}!CW2Pakg|qW#<7iZR#%LuMXd4(*wp- zg~*VG%#68Ve?)V>^aS?ch*pL<#zy;i+vpUp1H_ABpvx6=jE$8w7)KgUhHK}bl!iIR zMph6-GGT^N`?~%xImVXsAwM;n9vbvc#ub+auf#&)o5>WN5h<$CF~_M(UkQfZbl<}S zE^e-h5K^d`A%v9dK@35wAQ$9*q#bhhSYo=;K4#z9Xb`jK40#K!YpFTK{duE=7_knS zrA03uzsi1BAv2p1V!4941BIHBRGs4S%j$uoUBc1$y~sMs`4_n%w|Eu#s^X z5$Z4tV=Hns=!F=J6)B+3i~U#-^D`oX#dI=I7D;5Op^we>$mwJ}rVa+W+so!pD zplnDd1CLvb7qd^(*RDWFClhDI7hnO-oO9}FT1 z<+T*RNxl^dm$)0YbZnrBZbz?% zkj#2b$z9r3UX%DxBl&*$ck3FJ*O=Jhi{!k&ct>T1LH;S|BjikklOn{TaH-?~ZJ0$W z|Ipb#$i^XTj7%uiHDNR6*YUiANV$hY{?{jY<)S@Q_-2#@>X{y(Srny#UFGM?1ELZc{4Ckd=P#_0uvwG_FcU-`1C*_L7HU=4 z!nf)9g?ba&2mYK_r|fh`!gHl4Br?F-aGF&USAnIA+GW1^AAZ*B7Yi&s#;Syt`k)+= z&pyrSL4sYO9S9q-eH0g<>CMATr@ zEFm1B$`Dv_pj0JJnIHVH+3i%~2!iGV*(3tKTXsF>eTfSLP4|H%oiew?vFT+}Hi=?X z zD`LC*CG&*JMRZE{3+lfRN2t>ylJ1D<4c?9cHdl!y-lVDMAw1-ej|n15(z+u*=2c?p zh11*ajIjit5FHA8Xsx-LP&s;dU9{iu{J->LtL|b_NsMm6s=K)AE}rTPf+Y{UL}P#9 zra4ZnPQj|X_?+n-2jzZY5sJWnNun4B_SZb;aCHjc^gS}p;kQ3^@Av#j<{WBn+Bt{1 z1%;@-1s7jw{=Ejn_7*A>xkNxIsdkHKl>Go0#TC-z192)H6b=$Q0`i!7uloTo*NDsl z8sfid#athtI2C3kF<_q;r`jNcPs0(-sazd^=9E@O#tm};2iaH@p-|rYk@=J6{-v9Pb^k1{~_Gt?X zP%>iq+S~U;^!AO!;1%srWAd1omkM=mbp!9Pz_zuwufXQDx33c3r$6xo)#O)f&c4m9 zhuGWqFMjmTKmXpjIJ=u_r;m}`WIKIk;xUGuP>aq)JQz-?MVE2*BNKt1?vZD2pC>FP zdgKv3$2fahSUQvN5RpHCuyl%5AFJp&ofRT&51w;aY|-YuQO&- zjim_j#}nu)IFHmIR>IsRf%AX%*T3yof1(Cwrcd+pAK3Efx){1+YaId*l!^KGx?!(Z zntB5uY;B01LSo&pH`$z!180N=Q_TrE2|m}GkinTOsj1$C49>8e>?4R52f~V5q_)A& z-|nC?n3LfA;36Yz-vhFg2OY794n(|&miLhaCrE}4MA4+pRhC7+tHr%S(#aUiDpeiPoy`@=J6{@cLUnqyH;myr5nTXK>l2d3rx31{aYI z9n;i?p}gDn-bLAD4vZV zsQgpiJ5`}w-sEYdQ<+qv&-;wMs_=6pR$RvmUdG!JUSHr%@rN0BQ^M-HoHu3n=Zc^q z5P8gE#buXhMbMi;(0}F6e9?Dovxton(mnm_jkd>Lv_c>`v)S$I7GwTU-4GLds5cJ7 z?ZE_M>@7pkWVnGkml*CGYO^5`)D1!OMC3p>XtgeY$<$nPm$FzRI$=K*t?sP05-Yo5>v3lqOg^ItcU_`$NlZXZ-JASzMwG zbnVr0cr8W5CVzJ8RPT0BTB^=dL~Ps=njD%U=(a7s*!YQNy&Uml7WRr4uE=G%@=>2h z{?T&>vhfJjX3QZ%LBkuyYF&5GsAqWDLX{3gY!Jf><~j6gSWwAA?sB)KwrhybV1`fF zAM~z=s#b&ZZR7EwR#On!AwN@`ziej@2wxa}OgIIm1$JcjSc8ONs>1KSVU;N|m{?=7%X0)k%)taIAvTDs#xf4s9xK}We zHd(c1=0y0!`%Z3wNE2r-Vbz*hN7-C?tTyAht!}~1xCKA;6~FN5@6Y9T<7FcO5=mPJ zM9Y#XIc)l3)*DG%boHIHb=V@pQH|Oe3H;4Lo4}F9N2@dAR$Bur@}c1?LJExnYt#iU z+sbQ39Xi^P#G&E#(9|n3VD}Z_hVGHJU@N^c= zBJ($@wRD9u<#p~e*-U-BDmtU_14M)uWa^nJ3IQ$KvtlbVy0;3sQsMTM_Az2aCo*L4 za{!DCJ7n(T@pxCn{VQmZR`0OCbVkShc@M<>C5e-v3FnK}fu1qxRdegjY)>l!aI~Y9 z`%7vk?Jp^|v?Z0OP!K@Xo8`Gx)qWLF)uhKukfBM#Q@Qc3mrr+}zuIUA+lw!7v@A{1 z+|+2ZT(^d(Nr4+ItS@n6x<7kshO*Bccp|p15Y^MZ(vX!;?(Qk_`yQTB+OvP)^jkhE z^TD+`Gc2j^_`pY2UWDF>HC_p>$Yae8b2@1VNe|UJ$%e6{4$Ojlh}7OW8>U>5&n4UK zX=H?%=SR63s-KEAc|~Rb-EiN_>{IgAkngTmE30WJ|K ziDeNfN3bmfagrF$Ge<=cC8v5t040w#3-2P;tMVx>JH9}>f{!u3!_Pd(;smsHJgMu3 zq(}9LaEK_NU9Y@|J%na15fSE5T%so(6~FTP{@$Palq@UWHS{^WOs-qN35aV+On2P& zPN^0{kLldd8jE&MWAp}{W5Q=L6janL1YQWO82t4hTp9N|844cYBW71}cJs&FXhF6jU_BzS-`8idb>mi9lL3kuby_Mh?j5s6;bc@(6E10?*?H8sOFUBW3cuW{c81&hohFOaLsb$$;A}yZ&g> zXWLG`q4=FkB$X`pJrv5hX2W4B<<*fcRkuXnRU_mCTyR|Z$}(Ob$e;6T$C^^DkCxZ( zI;abAQobD~n8@~^7(A&!F31lrME^#4Q2CeM-mzvZ_g(70*^`)lW&T9%N4S3h3GzA= z6_K$BuvI8IP!U5hxhTHgw2GK$iR9Rq)$bzqmf57iBarRv0-&~COi^dG{F36gee%F zqz|v zbX3OeAf(s)JPC))a1S>@qU;XnThs2uP4Mpr;W#i~cw%_c7RO!;s(0?)Q$~7?UEC(t z!N72kuc~-i4NjW6zH@H?srV@Nw*9{NF0mvzxS^%0`K531zbLHP9|mrLfd1eXmi z*CCcmc6{!|1IlwS6(}%`4lz>LA1-InLI{I$f4N=K{+y17*eI1tz+XhaYxux0DE61_ z?Bj_?n>U+kSRUrl;kh4|6GCC8Pc|J#(&giGI$q&&!k|3907gE4oNwe?HW+bNlzW=W zN0;qE`M9`EoD3Jk1s4#&z^Z>tJ}t? z#1>?QJ+F2foA@@E3qt#TQHQ{G5NI zYn`H^WatXEb9O0XH6|v+rIQ}NZooMm9OK-sNr{NNYO#fVCh3qEom|&5@_S{i<9vV0 zgk~L2^n7_|qaRJua>>%awpa&>)uP2$h2Hd%t1@nvzJ{@{Hssa##p)$mc2#I?#U|aA zm*|#^uug~k@VhE+cUaZ=!)E{Yp=JtykY%Z6{PerY~5ADV6m)q2h}hELq?%;(C6L>D(az$uoL6e?NP zsBh6O7)*w*?m}qi*p8KZmJ{rQ7)weH6779ycMgkq^=>G{ZRHz6qKQ z57NGqSa2SNwXT&g=~1Bw)o)g`4ICS#U%6tSJp69h5ps$cXBB-R9rh91st)r6v6{CthB5-E{ z3K^TGU&%g2$;gk8PURYyl75@le*uj6DHKy+gbEpOLNZbj=W4Peo_t00FLPPS9SS6= zD@>P?9Ul}}alSG7C$QrD0x(w5ulB4aVAkg0yh+$h!1&A?EjeIr2ev>gP0=g9OMvj@ zu<2<&zkDVA&7aAHphxjc=5b&1u(|9K5qBQNCE{_PWG>|gKK1v1`^p;8iX@_L2C7b- zSXm=T#;&Z9=vDaZY0o6TOZ!mZ8i}rJOkY_eRSI7u6mklDWsN8tjXN~M(2Xv}6A@i6 zBlfIr!p*QoUhd!bv;WbxMc0$DaEz|O6*(_P6r*d1##1qgtdZ7I>0ZkI{I?X*^_0L+ zjIO&WeW6fqM^G{$FBGF|RNE)ig%~^8DRVsUgt}0s?U2uVR$XXu34f-5&+@(F9{&ou zN${Rx1q7{&rU!qHBoL~FifBx$~H{0z2 zZky0J(WKx~@+s8i&(=fT4)25c$Zn4vxRR_y+gpf<3CM1UHhVTRpxfiv8euIP5|G{S zxJ6}I0D0Sp=w58OARxOTrn5s1UnJaCm?>el}3|j7~^&@TJ}o6 z+o(2QG$bJViU#pqQF}O|VbSf;q4!feO*R199q5lycmw0N{`oOs2B zqv)3Xjb1i#{YPa`@3_9USo|Qo){HhWtyTe_Cx2xz}13idF5!#9SVEjqa4>_GEV^ zHk{II;Pm7qKz=2LXh@NDTk~n|(YZ+{=Oqh0ba>}Pv%FM(rHdACay6tK+~=wPdJAT# zaf^0wOyx^*J~Qvo`>`??a~7ha%3%|_iI-O=>`ug6nl&};W{mR+LN%UOPj zF7a}H?0^1UpRsy52^k}Vu3paS<-F~=cRi=h#Omdcch4LI92fZu>SE0VT>CRF>(5xd zoWdVliz_P!g^Q;cJ=%=@Mv3NOPG|3y~^_#T76hb;@st3{8FL zSX@CLE@Vphsk;O673GStxbkpXawRHHSSwoto}>J$wbb65pWh_mds9dD@~_r|`w*HO zy-G5~P%1JO3W*>c7Cm~Bsfwh_UIu)brDOE#KYL5hiueE?E~6}oLP?iX(pBNR;(r0Ct$j)Gd&;6a61~a!pX%TQKAg)eSL!2TBouM$|is9yQ8j z5kuV&DOAon1coAyuJQqSMPjHM?xCeDx$(n6D+u1}H&8S_>~vPr0TY82@$!nq(6pj- z>LS~Y1x>3e8}b?HhBzJ+s%QxAgC_8^Au-eqP4f$eu&lqH&~S%6+C94hY1y0XM_y9u zA!*G`uPy-$&20&m@#0v!AHQ>ezCeAjEUwP3=h4wdbvR-M6rF-#87~jip|?^B>M+i^ z{^(KHMTNtRIH#L;PqX`J=Z%PS-^$!N)L%j!IfON4s4RAUYs zeD}3wagDay4xecM^q8d(?$ddqzyz-i*J!t~L0dL zk=!A1gF=mk7RhR)z9Jrvx>lwKaUrop7AX2b^c1Xyl8+sVD)$ayLnpCLM!Zmu7{#^@}~72pidB6TBz?(=o8G$ zgMvN*^epoEBi(>UWB9-#U=TiVDQIxps3b=W=xdq3k*~)E37&bQC=yWN)(1$TB$b#Z zcr$KdU)=hamt^e5UrREN??kC(muM}?+)PR4gMaF8{_>kL@kG7ey3g{%VH)S*h}jav zM+%eHB3?zZVh2S`G3<_eh4GvxDUuaaEuJx1F-dC`N6QZI^kJ&UQyc26cN658iOHFC zw0zBJc4O zB$r~8!Qls#H6Pfm2xSdqG>}orD64`A0cGn9NnHm)2IiuahSp8;0ZAY&azKLH0oH3A zugN3gCWI4y43tLIkJv*M5l#>DQRZb}3ocmlGRn=B&HBW&m)PTJ#_wC5ikopNzT%hP z`YAt@D(g!X55_(iCW2UyCr5~ z#iqrukkU}tta}VGcox*I9R-@?&^`-1uPAI*GlXbqgES8(dZ2%#T9HnL9~wkk_!96w zpax+QqIpF=fs!FAB{=jBhR_nNR-{wmhJBA52UmoXLVpI4TwYPxtbUSUa;haLs5r^e zlI7SL_3Scj5BWSjG zsI?IQcTo&NZKR0rXQYwf7Zjcg9g|BO33WMhZG}J4P+JiaFirJ3;}^t*#RSPpc=48yG^xXksa7H*7SPVnV*x-vCIx&E*Lx_e(a$F zRFxfItwP)lZ@36+7TEzPXG?(r?v@pSf@Qoq0H&?UM>jS&Em+3O)1iMxj^6DKaF6I` z1N{zQ$(GmRMhK_}a)yGub%F$SYVjXRm!g9H5Z3GNq-n@*HqPX9*0XSD z!vBt~Joaz24+RHzB>XSBE!WJz_0J#)4#k=o2)ci-6>g+GsaA&~RD>Shtk3U!<-hvs zFMoX+SEB7WUT(1F9I`a5D|KWB86nFJ!^E&wMtH{VOvwyruLb&GF#t^b1kCSX;2RAk zt}sJWGe#7&ZB0no4rUI8AKC_Sv2zxYx?o^7l+1vwXub@)8-_E;Bs1XWxJM#H0{)Q^ zWRe+3EAF5;$;`mm1TqRw_(^vCo(>m#JyANXPO|KC#mVWx$7Yq$q3|`q|6uuqy`G&- z-p(Jq>T?AFL645_zc~6V_&b*JFHsniP=?6^H!=h3?9?sP1nh;TAQp^DNiG2ArGzR0 zHWy*PpGKTvVdv1jY7M5geemt<7nnD2HoagK8vCxVY|sfN0);iZe9LUInDi4PWR$Z<>Wt=3kJ93pGuzDBDePGf}aXbWAIbKa{kls^?ZBqQ<)m& zQZsL4Qc6h0NmVGM;y8Mwpvqu0$wgF|hSZo`QzKQTNQLS6jog7P+nMIf(It_l3?>cl z+APKjgMwQm+hKnh{SuB3zDz;Wo*AVgOr)?}HCaU`rNqc4@(}tHNDO?3UL=FHt@jzw+mHeKJS6{OZqq_uId3 zZeZf_?yRX6shBgHY>|p7Gd%Ook6?@`O-zG3KY}r)G$%(eN)E(1M^hsh`OLA{nPZC2 zw{aCIO}MEnpdy`$Nz8RRwM=Qsm|}olhY+ny<<7c5>Q!Tyi$?_Uuf1tmLIe^%c zi@MBdq6ldgmM>fyHSkokYcu_7L5vV`zgK9Y>m>Z!Z#ap%%F~A2?-eEwP|J{)3Hna8 zWw?*8j9iXnKbi=qa=$Y}8^fLKu?%zWBSRa*tvoZdG2F{DLmR`*d<7iU2xX6shWfKh z+~FysJp>;SB;ytp3Ss$uO5EX=hzuHh^RV2u)p*BcH}h%gWi?i1|dN5`=u(K(?WtCIvEMF-ux<^&tT!+c|+ZXiB(rOk?fq zUD}i&)3dU#gXBK?2g3)}?!%mbmF;}~+SHOf0sVR$pl`Fq!qHrs3JU1QOpEj`u)f?Z z(sNQ|PdS^I1oGHA=YooYd^CL&bCZe$pJkO&)~Yzu@odr)F|)#>yYUb1mxzhBM{$Wl zw$F2YXKtq9CE^a2?$eimfb=QF?MgT8Ba?*qL%;nye)bPt8|+VFZ-o6x?2WKLnP!Wy zKZ(5&_9vk?!amydj*@QJACa1?(l-(IAC9b21-#s>{}=Q%L8NwB z=GL1D_Ybvm08fd+y|_+QCG_k`7SpR|*g{`LDwk1n>3&8oFME0u=pMz>dj(ib#a?!a zh)|E>67jfC^6bdJ^}~PsC*P3)RtYE`R~sD#!hJpIU&zYs4xqJ{>=#CEZa6t(fdK1< z{nUsB0<0TC9q9Zt1OluZ?xAKRJSKz#CU9tPAqpnIy5Z#11OluZP7X~Vz`Eh|%!F}n z9$E+MFS6w3ff6KT9JD5w?vQ_+*(q7`zNU!qI;>%QW9Kl>ME)prsZ~r+Ynyt-BBn)9D@1b`4m5>hOuL859nO*cb&&m=h-rKqaRui4>T*;cdG+II zaXsWI98zEN6e_o*pbDSPbL#!sX6bSSmmXTK9dx7I%6WB3Zk>7h;; zVE7)}cjy;fQvFspGs7Z9ml7=A#+QYe1(ze8-L-4@99osnv%_9Fz5T84%-HbCU2H%1 z{5$WYoh5mDOU=CZVZ=&sW`Q%pnAjgIGnZ%$pKm67{{Dabr+@yV=jCZ^($2#VpAS2T z%S#{!!TLIejo~wJivyb_hP5u)%-f3L^JJVI!)L5_KF*FMVZ~O-1+)Xfn=*XfqccOA z==-+n&_F9MA9;4pK-b;QSX-0^BFyHGQ-sf5kI}&rz+z{j%d`@9$Mjf%<#U@hK(3C z?2qbl(Re!}W-COokT{pG$9ZE2h&6onfEeFyP@jdD0WsRk{eop>(lxEV9CWTdt-kCM zt$xAsOLR$E{jER!xqs`BE7|HtyZ)?hZ2?jZV?k)grS3R;tB8Q97P|p3(r~xIP?;Pw zGN#TE@D{c4b|V?yeY-Px)x2VkfME!+SjlZOaMeU~Hp~$)484-QZxJ+Cht3f&hm})= z4i3bz$EOhu*t}wnfN2Op4m0FDBB&l@L;3}_h2H#z3~?yH?slm7m!Dev0=Mi@M%Ryx zOoEcmeaQ>))*;RRD&$RqY{oW0zeY9NTK!YQY&Nc5l?R-{*lNdW)9}@K*&_+(b^hLE zV)g1R=E#~ZVTi1SHGVmQ+Wk2bwyg-d{PBG42)gVNtq8jO5?z9zU-~t_@x9;hktv6) zLdlMxCYuak+#7;!dlySnxn4t1H#|ZnO7gQnDQiTxw+unu5XoqbTKA9+Gi{}`A`#RL zG0>0d=#)|S)No#r2$~Fg#G(S;=p-^ttF$5!)D3~%lv4oSwO*LcD-uE7@Brc)pq7T5 z-9}(^!&$g)w_AcdwZLiRv%FI@Kw%e zqUTk)cZe(<0aK|}+t2Kax(|v~b!ORH0?XGbVxpe&`>5$gM zd_3`N_88Bn$risZNKc4_ov+z&{}tAx@8?Iy0Dz6U zbg11Ar1itC3snYE3grHw1Nvb1Pt^L7YbDwM^`%T#yU_Z|7jNv9t~PuB;CQq54@JEO zd64RucK^K9ZeR^9|(0b&S;ro|XecKIDe#LG7!00vF3p5qMp?r;-F^0d$@;B|U;F4R;FW#9!%ofssq<=<^Ni`Vzn0M2)~!u8!TBZ1a0euF4ypdg`e+{phEC-lxqK zeb$ME12SSP`m7TR;eUYvW87ll)2LA=w%V3%JuoVW#Mi(fq@3Vj=2# zD{GR2KG1IYfSwpUiRcYrb1ehieb?QWPj`g6hwyJDLcV{;CbWc8qHRED_>1Vf!|3_~dX*DK~S5YiTy^&?3L zkTttlv)L>c92eZvop$x6T$kor{PRdZLQecOvUE!KmT3N|7hksXh`F% ze+zJ!{Uaff`f$Sudn>SUA!&t; z0>&nEJp;`0b}`0-$4JM4I&pektNe@|^uBud*0H=LbLzWfqh!oT>h-}sGRc$`D?$?p6D&8K?l3pAgC z)QIL#oIBqjAJKdgdn1}pVsAwAN$8DeKHV`;p!oxI3^?8;oXeSDe@nvEvydwgdW{M@ z=|n~WSB`c`k3bz4V=V2;VSF4ojLRO-D~2wAK(82j#vvOfEkb!&Un1+1GG>#N)sqsL?%8bUM2s9?#E80ss6 zI>s=LoH0zFM6&kWtl1;l=&+(RAP8YC8WKZ&#f}mhx+2*9)r!PWH{5TUJ;Hk&8u~uW z>c$m`p>7D_c{b>AC@nsgB$Vwfq^OCZZU~Vc4ziKad;=*x$@ID95v)mk8W4Oo2h;1x zODa*%zR4bJySvEUZ3Q^B3)g9riSxnumPE*8n{ODjY+5Fnwwi+{fVNusX+y4r9 z8z=L6fIJ7j0>+OxdR~R%FKZZ_6pBamEL2agl(W0ALrcwewd|wd3@*Ibru6igP7ES6-m*Nlht~KP zAB=}rgCW-UPB!gbkY1PYDKQueiN&%DkYAZyf6qEYPW`YC(VY_h&3jJ2qU}_D`}5x- z;ynHUvsnThEZ_Bm3lVN=Z{mREzBRU?EdnP}`r^}?`=0%-TytbteYmZ!IQ&z%6YMFq zcAfOo6W&x>Q*$=BVS4?g#DVQnqIOFR8{z~b+%OHhPT~#o`EL<<0=LA^9#YJ_3AKxs ztv%`J#bEjAVfEq0#vn=zlqYv%bXa|O>|CMeNu?x@-N>-|5Mo@J)D}Kbw;6o%>VfEK zUs-azWS8@=*h`&?ZY?cKQT}`cpsEWN*|UBeM`1VLAN%1TcWG?eD_&Bwdir;N z$^ZAcb2Oju_el=_ITqCscFx4o?~_I*vh@ zX)norpzc6wI%23zydtxlvX&(@78nYVN*-w6SNMtpG^NiQ0+sfC@qwhM6=KZtJ zdIbXg3v~>{7HN3-J2KWnzRF#tLn;vjcc?qkAK~__`2@L}!y6kU(1|vUb zPikNb3I~Y>Q*IXN%o4X_iqf6q8MIpYuFE67mG64yW*TO^nMmMZ5UF(7lrDd`Oe-fn zJihCn{m`fX<(D$wRl&gdu8zTrg^{j5C_e)A%}*GPN{kN0q&iUy%8k(j2poyEqeC$n zYZng1`A{32hN5T0J7Bt9hv>ZYQ3{6w6k2x^?pJUqhVA}Z@OTk1wF<`tdCzMtC@{ye z`yhUoLsQey&&4e&%>`ZROkB@>rW8YOa|l#1lu4+nF*nTIP|*JyOmjpgpb-3nLA?WV zMoDmxQ=sB32u`KCMEQWTC!*jWg?+YBFi|KZ;e=&7A0M+YiYZu+#}H?Ga-4ZoMu>jL ztFLA&nbemesTOZnymrLrFMmyjPl!cccOZZJ7vB4OU(C3xl?m6%)-B9k=+MI; z`Qy#eh9}uumJD}8WUQg3%|!E#g4DU1JD4`nQ281$C8kY4!KgIMWnT=skh#bj(AwbP zeCS;EYO_2vrcG#+nhp8r^mFXcz#&<4@Z<(VB#67oumwYnvGkZBan}vO;WPDZ zWF_iPEx4O352E^jkBy>2takJJ5!_9dM@-j{F9^a4njEmV<~5E4;ZlGcVa}oM-kHp~ zV4j7;Ig9=Pb1CFhm>jRq!q21v-3smVChez)pex`$9)N2%>CDr74Rjx2pu6l6t<~q{ zm*|qr`Okdm*KL1f7I2TupAyWrt<{SC=^qCi1NBse4~EUg=;7Ir5r%EWfl)mgNzMZ< znBF>$7{e(neVtc~fP3uVxJjzHJq(aJ0`9)s9^pL@>p`Kf4jlnE2>|9P7WvQ-a50fX zYwqA>4IsP#+zu&t?YB^RSuruH09;Va^>ZJ`EJ=#@uM$i(Far2_8iW_s=aJ00TlU*# zE4A-^L*88c(wAnr9Vat!OdvJL6)MD*GQEzr89xQtm3($ec%I*uS7L+gcJ>|l;rnN%w?5QaKi&n$6uk#xFI;C?HX(ps{km}iXx0WWY?KWPqJd{|^ zYsoK(%&t9Yz3dXLSbj5D{*V6IA8ThvH5y#ZV>zM9g6Gae8tn2SIE+}nKX9I+04n&{ z1*}AkUXDLO)!M4Th3YTE&4}fIdX{(@Joo7KjzAST)11KA(=kCzJc5!D%TfH^OCfs9 zu)y+7$HB}Di4SPuEDv2^`4JwDer(7kltUetqN$+QFc?)()856{E*U}3RqqAC1mhBn z%GUXu^a%R-!u8+E^z0`03kYgFvRENrd1RNRuh&tHuW8}m&}+1&pC4lS`8~IHcRw>n z!{cRNV^jg1piN&_Dz1GsBJynw>wrfX^7E$0y^0+@|37MfE9V@ z?Z7aWeNoxN`vAsnzq8Ksykb%I-E5gGCJnr|7OHGmm3Z_*S;7@As+>}$jb&Lz`k~4>2t|>)L_2&Q zJSUPm`Pk2!r%GuTEM^Qt zsZS%i3^N60Zt&w5JZeE7s-Up!UxJjM0#|EQ&b(s8ObnalP#T58npqq%6XJ!GDxzUO z0M`OD@jQ}lqy6!KgeqgieCQH0QGu0U@_4Lh#VQSGyP+)^c&>&GR8z_oOR5w`>*%N1 zHDHQYmQgGpd2Z?j5r>aRct^{nI9$hr+!na~@TW>SCfi>Cg~P;3mBKLFVm2*+vv5LW zJ7BW>elsQulPqI0Cha!&k?pmg#)`Wu?#8KY!cU`G#;uaxK29?>Sq(zJ?3jM#MN~b$ z@8eEU{*LebjvxM|xruB&34 zD-A$nkux4gk5TcJs-<;>WyD~=|NSp&o2y2~CA4Jq#WL2>;mWhf5uGBMz2C}aQ2j0* zPb)3>G0>#d(%0N2T4T(ci824;PkmhT59ac+_cxHOj-g_VIoXXHW6a5J+!$j{cHyhaf`gHcf*d!a}+V=0nM=5iz^+|F>*9ou*a<(5_dpk#+YqeN5VyaZ|Vdd z?Zu55fCuTtO#x~aqYas3K~pP?vaYJ=YIW9-K^8;0Y!j+$~Ckb}pU5;`qHkT5b z))4pfwmT)ZW9!{{TK9ABxs#*cM?z>?c8ONlzZuy7=F{hV>nF|2dbF&vN;!5qwX8iX zQjQ&nL=~{#a*)e~*N}!CeZy?PoD`h)M!wCCeB&&uN>bB6NFAXE?cOX7V+YkbL z>`@vPuzv)PSyRhU!K@4m*atb8qV=FY9gn+uNiDqDwAlR)^=EpD=%(79acKadfzqA~R;h)m1IbCT;YUVnjEomgVjW*nUtpD~i^-*R#i!n<@5ip|wYVAs_XF|2)c66nX>Jq2g&A#%35U;p^my=k$NaE;nuG7ARY z1Zuoxc~x1@9wQgpUnN{A>)8z_$G;a&!ePU~HY`+QP+SG7(Mgyb{~n!$UK@eAVuJ}c z6`)6{jw0Pqf9%3Zm>g?cI0@6kWeX?a{BT)hCZZ2FJt{utZXN)!Ku*78RJ`DHYHgOS zfjc4pI#DneEI*fR$o_`B65DxqLJv7NFJ2dOCqlO7XU31@9coIMD1QWml~FShVQXm* z1kV>qdjP_tmI*tJF=i&j{k&!30p%7-fj(RUxDeSTp^I{fOMqa%aEY!}{R?tmwGRRR zCCh!`%MP2Q&tX{A#jRA!5Q_l4>X2-1t(k{`Zn{>~lZMw5S6qMHr+&d-`m#Bv!bUqR zAhn?mtyTE#4y3gbUL$JtyPo`RC4$$65w!N(#w1WM%(XU*nFr9iNkL=g0kL{@=;&0S z^lpstwx(@={#&9`(cy^7(1Ti6?avfW#T1pV$UN+K6I{O1spt9Vgk|m zC9JmxPE1J2Ae=C~nqm|d+>FwTk-H{)F*x7YIzX?c5NH!WB6>mUR~*3yYQcsVuPh`s z_{$B64Wwz@&yebe{IFAEBfPsZ=~c*b&15|H%AFUO2)HqQx+mS~_`}2$auq6d`{N4h|?z2f*= zf|?teL?|jPJDpV<4f%9Rh8?GH5T!RgMIO#h-t7-?OH^1!iF$EGIvu{^0rW+)CGEdPAlS2giVJLvjp|o{%4U@&xeh z1~qbs!omr;JRf1V{z~@Gbce@{39;-FtxSlUVM6@azxFBJ|D6etdNGEsfsD&SZj^CP zffOp!X4g<8z5!Ek4Yru)T^UB~0aegOdO>51*c0)&a1C_!7hHoapt>?FT!XgJwmrB8 zg1T`Cu*8tk2)rT2(4GvIetqe=oU`tUtaShbbi9S~8 z8lVy*tc4>oJhe9_`3V0!xFpEGU`+4TP_;k|84F@nMi5R`7rMbVvF(o}j#dJ%48WvlaYr2K;~aL*MgL^Akd+ zN(WK^HS%6Rm6j*EcwT%mD<6~Hn3+xDAfWniJWduPrn2|37;)SI%VkB>@#~>wWietR z%P%=g`_$7({(|9fz88NMR6W9$&~{g-jBDsywhOv>4yZM4e!3{s&SAQ|;-W>I?MLyy zeDnHr&R1o(%h(HAq)KUg@%&PFP1$%1W!~`AQ&0Vwf8%?u zz9t0Fdl2!T^}!-g2gMfTL{UqZ$ZNkndR(k;A@Y*!trg#o2g8aIK${`B1P34;wey^*Kra?S=;goN|uH|0|s^uP6bu8TNex^>>DwQ1L;e4fXPDr~$N}WCL4iC1^KB zPY9N5nzqfS54*h%^nx0+hsC4boSj+%D&y(Gttt@u3H{0UAs{E zvP-lEw97BiB|}Gk?oa;2U;EJv#_GI!HrvBbP-8FwTexu0g%L!ww+xKkaFSpj1KLS~ zeG$-35$p-Z>Cl^wV>m(c!g!MU9AiK`NwALrE!yQwbsbZ( z?7FVsAa`#~`UA@-88=OuXQA6a_=Aw_E!)f6 zgOYSQ4g>+x>mUY@_s_3%C1=j(f9L)|2YrO42D#PP&Bm#i1@(L&-&)by0A}ESM_L@VB7`a59BUE02f^No75Y~Q#e&TlU#+S8n2~1xkx^)}|R+Zw7kp5zUWK3ejMF1bZWzKgwCz`yIxX37T(}tykcF zf##bQxpU#5w-r%;aUwe*;(7gbn)v@23I!q;q_M?rBCz(AX?O1|Sxi@18eG@7?Astk zufg^82iGg)u8>O?jUxOHX_7gi{z=w;{qf)P|Nb98ks&wFkz-A_AR7{Lli`$_EMp)yoK%x# zHrov$wZpMx5Q#nXHX@YM3B3Ke~lVy9Lavr+vkSW)kd$5cb2c>dW zxN-FD&ie9#B^@GP6U;Ia;V5Q{Ddojiv9f#yEM*4`2( zhj2vK;{m{l_cLo1Fi-msaw8Pxg9g_`{<6<$9o~7FVveLBat1)?;3?#Oz~P;9`l)M9 ze0hj=?KyFK;H*(}AmQIV1v$b(;bw^_z$H2HFZinWz5b8n<}bY%o)dR0IH0de8p$zO z49wpWE+c3hwvfG4>_-D~WymPnR|NDigAL9}-&$+By=4VzH?-O~;S!Kltr#I4RcVa= z7F=~!`DO=gq(HcKQ}vLjfXXh3j~);*zJdHIpTDKOO6hnye9H$ z)DBeFLn{Zq=7YN)9@+cf=yHhDP#5RrAE#3NV&J(1*%;0C`vLivR`(9(cgQYuPjn&o zGjt{2s+VK^MhcvN| zkA!)WQwsh2e&v(@*X(~N*fLg2Xo$ zL`hn(065|nNLL9G-dqr6?r%8x9BpS5FwuTh+PKAI6oQmPocM^ySXNiZ_&t3(({l3qw)FrB`*M~{$B-_snl=lXRh zv*RM7SQvFdmd%+W%0#W%WSv4b*Axc%<9WSChG)f{a&G&BY`p;u$2A)Bu;9US4+~@Z zMI{H>Vg^nwKe6{g++pOmZ;JgSBw6%d2Olbr}=O|TEb_d^DQ;~Kuj5Ey-OhSi?my6`iU~(0UTF1{8!YJzWXFAH`NmN z3$ByCVgb(wipvC?U26K#I~Nrbu4lyp7pzznH6|$*@I=A73aJjN)ri3cwq)44)bx7` zZN0q17Cw9RZ6I+@?OaQOtMU#(`O{QAp+l%ERB{&q z=wi290v^ivoByR{>ETjP%ytv(kVYWEfE`Nknj<1jEmnIH+$ddQw_jTeqny&m&yZ*I z;Skw;7j1+PGBSrn8c}R^8*z8sus1OT@t?*^@`(I4`H1LT4B7?VDoAOxGB;^Nb*uP6 z9$79x+)hZmtWI0qDrs`mt>TiXpe)o( zNLIOwaO%X{v)3DrF%e;@uet=_{oIlI!g7z4+;-)SoD9JTTG!nPh`O?2!f8>UdU99@ zgbUEm4#W(gzK@-bWia1Drb#W2s$pc6`}fHo!8L(sR*`<7!I)sF3t~n=(-&lMO+3Y}iCMR3aZTKgYvLn+^rJra7eD%$XYM@zocR9bX7sfLDV@id z3QOaam@dH=!yy(WP#oGFE6gah1myj*-2gxk?Rjgcj#>hOIc)ehjKGDKaO_wBm&e!# z;6h74SP0=9K|mv@WK=D*1T-U*>_zAZUdC9@bGkr44`*@~gFv7;+Sv;@iaIQvO4u7h zAjPO_V+@=Isf+c{aeZjap}%pTaim+XiZ*>xmL~!opQ`|LP)CYPM6eVPkjXuCL|rN6 z3)8Z$sw;VZaeqD)aeuBCmTF$ zAUTQ_5G-YRDN|8^uywwrY)|)sfeQx43i(Tg9wfV!eq^c8W6-AVc{c)4F?OlY z!>V<$-);qyip1v;SOGso$$K!YBb?#+<=G610dDl1ZtOQ2ol6qo%_k8CFcwEj0^?A> zX9454klT8mzO)#mw_}k0ssH=SzwzH;oj} zkAh;5njp%|qk6oIh?Ib8L~&au!L63X9V$#;umQUr{K3MATTj)SZ(0&CVXCe79x2f2 z$ek0nwat$7!bS=%;F{y&R}90*)kMMox(IVj*z6X4urV)mF%udEXQ%CK5d$Yqe&GV-?;dcVnP$cu0J0D+oCXCx z&iT50)XkG%)6f@;)Ix-q>k;KkV71wc0)Fa-&Qpuu&`a0v*A1Xo+SFTkHwy|C#z%zD zSo83aGN|B}1%)JIf;mrNpyZG6V|>JBt#xV<)V3VNJfeWPtG~VtH_JrKte3OY_>y6$W9ERdyws1sEW*6hkJrjB z{z%=CUMnwK@$-J(7E}6`-mC+);pL*dd>>^5q$tnn{(#Klop$f^AN-8(`>Y?yJTCp% zyFr{k{Vgi3!!*1>3>9NjF|-5|tskGJ-VkGHl@8R`ix_&?wwhD5M~#}XlC{Y7V?1gOp&MpEn%ijU6TSsg6>=m4@IL zN|lG9GJwM4N@I?e){QF8cxl~2$7wdL#N?qrNQ1n1HMQlYA7`^e<67zu8y4^{ue+q@ z`*Dt!>y2v8qm=6vJ!7qg`bK|}P9obTh-4x&T}ntak=n|aKc3HgtF;oD%D7}YZPaXZ zFt6GxYX@J;T1=&}IL!Djh|Xsb#6U=dta8&`_mhGpLdHEZ~yIh`@jCjzTs=W=Ix1ornNF$xv|O_&w>X{ zx;9i1bZ1j;wHJd?2Z0NY7AYcnCc&{HMFdY5+@a|Wre^J-t#yCTQ&YLgf}J+UIY4bt zbtwzdL68IwJB9@~V%MuXNaS=ulu#t68-ge+p!!7~k;;t=0<;;r;D{}do}b7pD9VjX zj+QeL<;Eq)s}#}O?~-gkAaQ}gnm%;jxFp`Rhpy>E%)EPjt;zrvR2{&Awz{*;rVrrH zZRXY4wxMGFx(Q8q1cYs^=ds8L9mR?QKR$m5^82*mPXzcggPn=}n@Zpje^&+kmywt7 zSg*jjG`0pZD#mIyt&Zv;gVK)TTdL~WZQ_{W!CoD~=+vMS6yx606`t(X9<`qJ$wujh zx~i72=xmCW^4<8vq5@_8vIt+!B~i}`f5Lif0?CQ`p(3oje9la$+m_0n(D-21upGfvze~Cr-?C7?&*4Mg0 z7E1NDO8Eho#A|P#6fBhYXqG$g?#bVmlfN&g_`aOHdISXP_vLhb_I)`O@5|{l>wP($ z`tJ*74KIhhFPJv`>D>E*!N%X07tX#gS5zU38dH*d7*$GsgF_%m6~Cav?pY)u>>z4m zxkKceHqA<+%2X>^lnNCY@R-E?`5eXl#pRtsRn2aN7bVZ%ph!bevH}9-{$l*){h`B0 zes0sQntd@p-}X4a*z9S4T(IJFP;nw=#x>6Fga7+Id^4PE?tJ9OUqrXq!=%(-lBPTh0;6^du-Ij{ieSwY}EPk_x#YWgl-}V z!?i7P*r6I0ZIQ`3s7;GkoI1`9%2tK*L1}xo+cS+;RUB)AnU#!aiyU(y^1ea9kCyHB zELvo$GJ>+9J*6pVZ1|A0oO-$rDzkaiGdNI+w6H~5^sp8eV#oHQBen>LM{LW2>$71# zY$ZuojGqqlI+iwPeNmQdkCKym5LF0j7Epk=82`ziLmrN-TVV1!q=oYD$j7M^_hbs3 zYR_TbVkDzd^@)d2FAvQz^f&G|UcX`?0^d9lIKyu72|ov_o0UJo`72&T3^T57R$uno zYgy_njNEMCtbk_2gM>H5kq$#h!e#@6wC^ZHKV^ln>?4yeesSV{iwJs8;uZy*c9cGI zr2ShIfLa&f3d*-=OjCM%rN@8bul?``7P4=m(b6<9!rHS7+4uhGrTZ`4ed*ro_Y}fY zB#`hYX#G|s`@(jnZ3v@SewRKXsN=nmeU)o8Vbdi$`&TQNFJ#{*N%sBzkNjJ|`8`ua zC9_Qt%bOzmrl23#K6WI+1p)5vVx*Oz_Yl zkVexK_Av@d(csJ@ig(XP)V>=K+;Ehd%D$jMLpExNr`7gIYv`PJMtPIPW98_2Kb zq@6G7KvEE7Em4Ks%9g*vT2Jaj72@D_H}qvWrUF%FxNIM%2kCSc&XASC*oKbe@eEm; zh;F!MFrXVl3` zD_jtOu30xYuwLayetujKwMlVT43>Zn6rQ2yFTHQKY5Bdn~cQC zX!RCWwnTzaJh5iv#c1_t5IRPyM}yEYT0I(sCM=tQs`5VhgZQ@@f&S9t&$1 z%vk{JNL)Kcs}Ue)^$WzcQQo;1*ADDLc=&hdWJXD}6)Ty5v(dXxg^jT4&_a_Rw<0pL1t@sl)-G>vr9cWumjB2@GP%TMS}HIc&CnihIM$DS1eJk>`ruqEx8If z&hRvYG1{{HIk*?wEeN5J)d0!xIO90C+Nf@bg<7y>ad2zVf*}c4N;zi1mfaswoJBYl zAhz}-!}GkdWIV7ha1{0PT(D)~-XRa2l6YI{+2cLxpaJVU(zH_XCNtLOKlwdUYkau4 zEDx>_S$(N`@=yNcpP{tsZt2NCr6>QCo_s7toi;zj^})pTEH%EweemRuv03YWX2NbA z^M454HM2>XAy(*x6B$)KHY^B>kChE8En)NVlMP$2K|yaV96qw19X>*^&Z`Z`ypbH* z2)i|0Kd@Dc;gs$g<_8-T-rK-t1u?Fmzj8fK*ki+XkC_b%Ht{jAiOGg7*umdDTa zeEhgzVHIpv`S>L^E4aq{>>tW>ckDH7n+C56nQN-*nG5JVb|gv3z%t{|y$#H>;QTz1`2RH|-|dUD92 za9xjrS);-+A`&KUv#w*soKi3=R1{om0??3Pwhth91Pez_reV4ItWR<3U>|Ilqo=W} z9ej7C150(&`n4dfN4m9%A zO1G6*50((>g6K?62xTjG82$oJw#gvW1yd(?c3sh2lq^UHbwRid*p>zPb_i8jKxvSj z3h%*Avc91Wm`5a!NC-_Mu91mH*GUJOjygoLpg^cg!iZXnQ{afA^F2v^5M6SQFQZPr zfnT#aZ~0cbDI-?RcEX%!F4?^_CzRZ_lA!o0_p*LouOJ;F6P2 zA8}o9wjXYD5!rlut=oN?_^VR}#>#1jl@j%3ZGxa;#FU`|^>y9ZQlXK|5*?9sa9 z4G2T%_#So;uU5H3L{3siWA-U4;1t=X;=zjh(?gq)x2~YWU{>L8klgOLKOdE_zjT+$ z{sLCeDeUcuhVxpVDJ8S&8o26cXAADRy5iQQ|1Jgh-ot7s zmxPBz8w=VGwf5}K*MfVOt1I^KPGBJ&28*L&edpEF2VVGKu7dIE1FYYB@q@WchE#qq zG55a@NcD?V3~Q9sK`iIz!wAVq~*$nH=uT{vP?SJa0h>Iz`H!ngtqJJh<@5!aQ! zT^rar5~CeGOQKN=IT$h6v9MHE4v@4FcR=I{vf+gEXM8*$QK&1U5s5-w84XDk>dJUb zBHo@Gib)8{CU&r_olyfEqy4wS<41^3=&a_9xTaUa=ZL>qyC9V~&px!o{X$z?$9(@q zkYaIFJPodj1^b!OSys7v%6P!@Zqb7Mw}bs(^dG!?^OL!Odj_{iH3cbf*D*WxK+lrVuYbzS`5$^p(id6 z!A*vy!E#YUyClquW5;C*h=+b?@9J;dFTh=G@&fLb{FuVfy@C9ghc$FVnVvx_YB6-@ ze@Vg4Q+xPk4c)0*w1D0GTeO*Vi#BVL+Wxyg{wpv4_H5{CVlItHiQ0J`0mNt3enm-k zg(j~fpkQZfs4L%DuNT;cbq5!MoeLtx6M=YO7!116esTy*s@HkHquD9WGd(ICBf-u` z+#@*zrZY$MD$w~D33e`cSR)i5_G^d2*42mvI~PQg83q|(=%Q3(-Moc<`6MXyC^>bn zOPrC~lB9HHB_gknR)E?z-I>HsL9by_n`|-|ytZT#&rgZjDHVj}RRz9ljZ9;JYReNW zySNbBo(5u@J*11j_~{LA&$~qnjNT56zVhOK@rNH|7#+45EsJtSrDJ8l!NNmTpxPdb zHY1Y0l;MS;QQ+Xb&3J4Z^%uj13G^!kqs?dmb54!;X(bqK#{GUn7@bq;SdubS#G_{ExAmE4J6b1cj4w|gzpe8IslmW_= zE;@=YF&(uYLf9XLgNhV72*7u--r(^H>crYme$;hy1Z(lf84>Dq0!tapTf^JFXZu~w#x(VAWfhU?i@rjtl2aCt#3!RV9a zat5`Gk;6@r1U@HHvgBw+o2~08edx@`!K3PXf8jGp)sYs%^)e8a;C%p5#!v!?g5`~R zq)RQp(_QgkYYNv}qF=ybxIW1e!@uTd{`b%MZ!?IhM$;oYp+gUBJxOXVx(gC*bFdR^ zVzl^nqElhGQZO5?$OG2!DMp+iI(7RaOSO>b#O^=Yfa8eSa23*VXS{5oC#Uc!1+(Fb zV?2=POt)@}UZmBCIoQb@7lb=?>UGiokRwK3b_XyP*Yi+5b;-+iPw`NmbV+o=rIhm? zl+2N6UdjVm%;Y9_=muI|p_1r?EIAw}&%7yY`^`n(XTi@&`qE64Jx^g$5H_Fm1f-+FmRLo!u!LGT zVWmtNGB(%iUQRGS14$6uVM0Q1yETuoC*>-XyC8h9==lplr286gDGSEr>Ta_`9+YSi z4Wh(lx;CNQM?4~JS+$6@@|I${Hlf@FJG32Ey7U$m-Kr4@<|VT#d1Nx&+Fpv%>;skK`y7L}GKy%;Jc_VS$6xlyja< z+wVS{ZvZ3_Ah1i`Ycb2U3w%ync8kIZVQi>7_c4nZJ9I3dn8%GKD#yGAjhE|b5b92RRZ0dMZGxd)}de$ zHnxK*Bw0tY;=jG>d7>wYPEu<`@<;dW$jTt~p`1LVsu$8am`81NG+)cA8prBKZlWSo z6}ZuYH9;@vc~78t6!Za9w7H~Z(iU`u!rcPJ>KGLf2o#EltY<|8dI=QppvW99Q)Ezq z7!`QJ{@rC2h^639J{-L~UQy@y=TJ}>UmP!Ji#7P7QU%*;75nlXzBtTdufn1=J-u4f zyj!$rO}C>pz5R2()GJUWdZ`H1n$n1Clz$QQUZba^ z=ni>meo$QyTF4e7l9R8+0YN=W83sgT7ew;DR;1l;b^vjHbhDsnO-T}|^w5PcWG^A$ z5=3^`tA7b?XX*Wddu4f;LHt#;d{W_+fVX4|76s)HeJG+8q7nu_0O^4dZxJ^G{V)J@ zFCIg+I|CFHvLd`eeq({RqOrvZ<#9Ijbqr|9HSA)P$2Bn z+uT;sh)cjvkZwCzbWAo`Bg9$R>)E-Rm!2@xb*mzw4X+<;vK(3#WhPpfRmvSK)NvD7 z)RAP7YKC60NF|{y&c|mW&L^s2-oH-Z69Qn|pHD#CUlhT-KaRIB;bEa)Vo{3{m`9Vi zdv+j8;h5w=Cb^RFI}(0?Yq*jyz88=2l3hoS2YS`s`Oqt;S5{t}hAXeXa(eJ0THZ*u zgw$XakyOY(%1A3ZcE-_$I7z18B#nqFEp({;XoIvf-jU&bc_7kxM?M%xUQ94qn6 zV9D)9^^tgg#`TeSgHo2{AonfmSJ2ZmzkpSHYK=`|uKsk4>p1ZqJ&HFX=s2=CoBJlx zV6DloX)4#CqH(>)fy+9OYz``qtec;MP48v>$tgZd0>Dis0D$)R zSfL(+EC@y~#u2%YaFXMdM$7h^<{fbs5oA_YppLQbIZz_Z?vY2Qei5h|HF!ppMGT#x zanY=bR%JYys0SfMf*B1I#Nd?!rNCIUs+SS>TlSMJJuRgkgqVPy&3bDg^n85^JqTKZ zo;MsvR@T=gA0moC{ zlz;8N{HuTeL3TYTKfzrO##VUNjPNb!EXcSQOtDP{xh{ybJ2r3ylA@%YB54*B&!f?| ztkF6Jy{u0bB;@*t$dN)8KFwwDxaz41xk>QQTIu<=F4nFIdPxwK4U~kpM?r$>WFiyp zxW?yMrf$7dPd-LMu8+9e?pa5P$JnA|Ps!F3$aP6385(Dwt>Y?5njl$Haw1t1khKFU zN%09g6AqLkNqhtQuva0!WWw!G9D-pBl*q4`aNx|v+jH1-?3Y8y#?+F0>dJGn*-_`8 zOa!v));;3BP`mw%kU?pZh;)?M1(QmIs0?*ULSnFN2t8?J9aek9bYB)dKnFq6TZQVf zF_`h)WTA_f`?6zzpdPNn#(0D}DEBIsvy#mH+*#RE>_lp7! zQV=&sSm<~t>I+NAAWkR`Qi?Drl`ZTKPJ6-s7b0BTU*77xzwq_L{s=-8deKDT0dZ9Y zR-RlQ4|rTL{BkryUs!qaUVC&2H3`zz{hft|$Kd%=#qi#%cL}|bh_H|qF3~x@`t*T6 z{h8U!SAeK>4!rU6(%X(r!=g1%C4g=<6Fh<%ICBJ`VVZu_InW24wl+hZ{e-zf=NKc^ z3+c*Ga+Fjbb&gS5d(=5@h}BMfx=yZiM=k%AtpnUXLABw6`4viL7=6sH@|dzFe7=kz z(1}nnKSCzesenK|f*!tmMXemsfXW@9xh2SgrCyrymlE_IwZcA*w;)9zWgW+t35`;a zARND}hvOGTG@p)K9*0aY*L5>M68tSz=f~Adu5!37pk7?=8#gU+A^=SQT6&zjd6#Hs zgI3_eT|e_My*U|vzx;#Wy8in&2ATyH)<$py&CzQ25j5cvKVR)Wf+pDY^tKC;sI6__ z2%4!*3wqn2HF;?QN6;+Lwa%6+KvTNpz)&AbM=uTa_14qO`}yc)%GwdkdO00<)9a%w zQ`Qb!X3fJ*utk1-bv~t`zB!;auo%^?^~5v7@_h^F0w1 z&#)cc>;n6>jhq2rHWxKsd}Nu)YWVA{*$bcd&r3Se+-fk<>%QcyU1puDFDFAiU(_XM zt%z$2$y~|b!XQuN)1oOG zdSHe`TDt)f;r{l7HgOnpGhRs;!y7S%)7JS8V|!@Nm@7`cUToj_ZJsg--=7^rLL6m#6tYYTkY?h9TRfhhCBAlRbxy$CjCtxgIO1ARnP zBVm(rj5yKu=7r)rQCXKn4Gve%R z)pTc;q9B^UM$PKvESEpd3_WKA7p=jJYFTavBhemo7LmfH)@kd~^MlHR?3`lGI#f|+ zJ|9-4M0or;C=s!i@I?vEPF}ZTIhOtIK4dXM1M|J(PA0p9##z#8xynb1t27WF4z+i)mUnt%0n|r)R&@;N^%9ZH?l0ehq{FwHRm+ZTr5p}`s+zeEqlDz0W) z#k05*A|QM6Zr}&S#og`UU19pX{6U{q_nUG%&c#=YjGUJqy!^7*JBb$SdcTr)(kqe# zz3k+aTpDnQ=iXJ@1i>6e#g_u53~fYcKB7Zq!5l^f zgJXkMRysBNqf;%*g2bydwFZyn7>iIMk1>Q%G53CFS;0evIC2=3k{!~9dETH9$Ka@p z$*;A~ZaN_}D5z(u>G@$!YEW)4%x)g(7KeCYk-o$AY^s=-HUDA8lI#=PXZsZXt5cc2 zbap@;22xpq1B7}U{#Ef`1lX2Bp_j!^H6J`_DVp-+zZ<2_BJ@qi&-AnxFlA|j z7xgGH5)Ed!9su8?2J`W_-$}^fFjV7`s#3a^Xi+58B}f`|DI6!iwn-9HYe>Re-!M_B zI?i~15cpsoFAe*~a)W)$Nu?p7wZ!`hhRGrj$Eto5j>xF2*&f@3e7=Q zX3&mxO0(B}UKvw|b2UQ8^R+ywEOEbJyPGNjw}<j&(Vt+U`C%DwAkJ6RMM{ z*F20#|9Tqpz?#_@6F0}b8|g5`Kyyjx9UK#Z(q?DsLKrjNoSpSu+<~ES!3j8z93jUUqX!(;-lM=O|+N00_IIGTRc&}4d;IG3LNH2dn6o1O| zRN(KR@4xUPNJp8fL`C8bUDZWAT^L3YJcZ4Y4DVjS%u73TJ!-*B)G&#B z3MN7o1cZsiB`vy|PDgZs6fw8H(6D#??&mcnG7o=H+gEd-Nx8hvC#GfjgWx zh3G9VoF1@3iRqiE-1n{FNzm`u#4TD>gWI7(p^66@bi!N& zK7(tixj?qbRD&d_ssRMI>z-gXRgvlpKBD=z*Osr8N6eNg=tJ;si_4sj@OtX(Nx+Cr zOI{$RZX06|<`J_efh9?fniCE8z!9JeCwvyno&*Z+HR?Ykm^}%ggsmH(H=Xs{Es~?N z-7Pu9{D}MYIYK2+XZ^NW$7ufM&J97X0%5LV6(PB>w*~yP*~O_9{B%a)0O)2|lqDZ@ z=(iF*yE=`pOA68rrXVrw@lf?I?a`h1JTC}3|MPs~zW8~!XhG2Vx9Ae%`rE($_rCK- zvT?1PayPDxVGiJw%FPXo=WQ=jRcNc0$V)dj5P~%P148M<5*x#eYabEzDie9Nx^&H$ zCxW`*XhlsTs0$vpt!4b~n_m6_5i|*IP*anJBdS&cO3Py;g1X>nMNJ~83yxOQEE0K9 z{YyjWd$8E*>r>RfgdN=2Q4X}U5UR0Va}32AAgq$IuIT@W1jM@o7yL-|O)5BkM*Gp~ zo5lTUHtcrAG=-fwKjIxP8&xz+0vE})my)fnRX?NAik|Tn;W2yYik_ltBqxBZoh?G* zs)9(FJ3yAF`Fj-W=_c|==M%_E&nMth@>iyUcv>!`bucgMsiXN?R$#bqsAqr>cMb4%VMM}hNx3G}n9@&Kf10Jroc))yA;sMK}lT3KF zp@=CR=y<{JRn8EQ%$>Nuy!3hh%E2M|6p2}Yt0lTQ;$QD4vp`dJ{9=?zt6O1as!%tjRD#+>bTdu!ze z{&0y!AaM^t`clJ);E58TNAb0z7$`8j6pYGEZr6ospz3PRQ%B`y-!)nZZI4FaYNBVs zh@6KGYyj1QS{y?;byRK;YS)r!a3_FJSPB-(P3jfrXARks|6z>nnn+H>F`Z<`Ta*l*~T^@Fr2U?5MWIkx!xYK0bEn4)7`M2m2r^z?`wSVvz7e+#g;8U+i z0sMuL@GMd^7DhtIA{6Dq{15JT7y$o;k+3ilQht6&f)|c~+ar2<(Ei=9Y!NL`+hmLc7fiH0xcJTd&4R*) z)1DxR-5*$;6eEHWuhwrCq)D4bR6#`hk;JG5bruw|qK$Y!DgrnT2rKM2h(wgfC}c&S zdc9_%A*O~)**J))Q;DbsCfFUA14+U4-kFz4kS+&5M$|ZE9wvOrD4C8CM-mMi6qBdf zjZ#i)BLSu%m8@u!VhiCC%_Xh=w3I5Q71Xxy4|B#NbjeU{0Fh z<(9a;5sQca>Gkl>yG4s7emj=<|NJ|?^2^>k1-F0zMu`cId#AJ~l#tv20|`rjgWy2F zuL!ptBI)9|M~!ck%!z1XxdApJ`i&6jiK6RXrGN;xyG_fk8${85zpn_lU;|kF!hwEY z5pGcjks}VL>lbi~#5*Jr02&)~ZmCX2a|7&bkd?rsLy>eIzg@^l5Oo|xot(6&1it9v zj$js-1sFA0kCK8t1eHJ34(?I(i-X7IvpZEAxX)-f9bt@3tRJV9asr?TVgx|xG4k=v zC^}R7|KNy84Kt z+43}IU2rs8p6Hqc$Fk*#t}Y0Q8rx2@4qZQ4p5IFsJnWmjWZog}Y?Mgbgp(nII-5@?7%NzA4Mt@7Jg&>;f(w`C@M1hV;jL%WEe*0S0o`U$V9=M0iOCW+;CBFb9n%0G> zD_uN;soXQwrL<14jZrqYpqj&2E^KRA&-e(_8Mb)XAJq3tZ0kqv`ibL>#rT;Ua0%6a zf%|)>*B-q7;FZ%|iDAQ&vLw&XEnB?6{q4a04}aAke9w1HTK6C{BW?=P`%PK*s9Q&a z7$)`(Ej25DLA36>{hnNQsfCBSbab+3E4Q%j#jVc~2WsI(>)xpT7!h`}hKuuv4+r^A0Q&vQ$t+Ey9MMrB`~&IWaJs`C~vX_8zYH;_cwdX&Bq-(51+adks08X!N)>@3E5iTrPg(l_?0neeA9=zTlr{kvcN((hPG z--xl!as?T^m(n*iDrcx1!d3rUmKtB0#@8kCeVKJWC-VKU2pU>}6(!lcXz3E&p8t8i z@#wZ9=+rG*5On@6x+J>&kH7tU{)NB!_KE)5sq_s9#J%b&G5;8(ja*q~ zDA!jfyX&{o3&rerWR!!C1}nUmM;`CauGz9k4)e2-sa>6r_T;iLVaKqfE+ye_EhJ~z z)aWa%JT)vRQw<9_pBpP@dSTNV#?~|RarC41VbAo`tvX`sa3{pteJL8+8R8xOhkmov z_)?`3xTD;Ep`NJ&ST8a9pl;dphjow#nqP+}8_ln!HUkNn1I@3)qmAa*D19LO$${qA zC~lh`*%e+Y?VyAtgnsdX@NlmV+KLfx0h(Wzj{;g+OZ68!BdeiE$&K|c$ghF$-fVT% zH7vIPrxr>&STn{TDV%a26P1|p4)QxO(9$|Q+^Y_Kv^AY%sHJuI_MlNU9)Eqf(G;?H zxN%0|m*agwG z;p-lcUta$-zcyq~t7vSE#@hLG(ARpRQFhhJ8jZNyA{d5V*Twv@p0A&_z77*gr|59~ zAyDowuUkIgx-<6fvd-A(WQ|VVVg3U`eXOKm5^8e6ZBNf=Vw{9;c$>P(I;HN3}F|0NV9s&dw!Ss|ej~(Gw=+?2u%2lhUpj+3x zTeNspZ^x_pv%mBA{nS6oI)}Nq;R1?jDR8CI3j)QzL*lFWA)L6P-MVZI#Fkwv{zW)L zi@q3j&JY5DtY^s)*Orl+N2LAfBkngH9UIK>ahFmSq@k4r;RjS2&VGv`6D9p9vWkwZ zwJ9T_5m?M`RD!bN16Rouz-0omBqaTa%|-NJ!RNieE< z*E8NCbZLRVo08jgk0B=Ln~rncp^7<<^RRAr<&~f1%(8qb(KKe)9T#cnEb?m%s%s=b zaXnZ6l(kr3ME(${Sh8-O;gbz_x@|(1BWC@J{GN*4tZe0=_CU=k93rgr9r@SLlP>Dz z#Y*4%sP;e&x*0y=m|Ow_BW{Y91Zx2ew*YXA*e+`0GYy47Nj2Jg1e&ycy=hlU6(K+%(`H=-Lk$H`-^lI zI?W>zWnJ*Ng=(RK*(E{B zUWRcwN0nS$jin<=JLrnKTKTr6Z!DH4i5fSZwHfLCziT1di4RZ4tyu6w}V zg#{L$-W=n3w`hUI+kwU7&-~f{tIM#czM8t<*m10ZMqoaSd+`JD0rfFQx>!hf+UP%k zrt_^SBo1qWF#3tegvEZ_t-yMCwu2R*(0$mNF`tbs?sL zOP+6aF=?b0Ag2VT;!m(AeLemP{0ZnO`{|?zJ)IR);ijx|U;%P3=MiV}jnaZ*Xz%K8 zlwV4AMYspXm3hp!P_pZC({i5wmf`cI>-GB1tEUgV@Imz9zV|9q^zXikZ2cGRz4*bq z34pQf#)-@GZqb6Fw}YWy`fXqEd%rtls46tIX$hAsjVu^~+r}C^ndVcQmhkL!({kT7EGS7E6nVClu^LTFv~Q&O3qx?f#X@P-M$;1AFiFY<*d9>b zyb>ga+Npu{1)!Iy2g+y?LtXF)kQJvrIL35xpRX~!JCan*xj|r7H*>y_Vt=JEw_r`G zO3)f{IY`on>5}MLX%=vcDxSspOyaWOp>lzZU_5OE%RV7(SMYhQc|x*Wf^-F(vMOSa z%SViBP46Y_Gx=r7jU{RK29tJK!2}-kU#b(w#(le``%B||+YC7|X;^PYj{GnRdkf7ESodqmq9Fzt)h+i38qV}eUy zMNh(UMo$G2lsr>uiwdI>Skwc%^GK?Jr~sCFblv7m2>H9kf_s%c1oQ{(_@_lhfL4`M zgr$MhWnplsQkL@)qz8NOmP?R4s0i{V`^DA}`lUL7J@XTQvzYet0cSDo&pb^1{0r(a zOt_HRYg`0Aq_2l$Ok}m~NwtsqHDCSm+y2$86KGdOcaM9IMWpU&1~G!i-i9GHW0a_| zu94u)3}P^X0rh6FCqXgoO%T1JneU6xTi04%piKs6ftUs+*d5XNLQVUv^p`$*=SK*N zY43s!z+ME`$o2$`ML{v`O>l=w+rr|48y)4rOF=R1{TLgBzGcL%SnS1!V%nP^T5{mf z#9&wUT%1~T0>6+4WTL9KV9WN+<=kr8`_yB)3)&!~gr#hP~Mbhzrmo^wen#tzApg&xK%ZqOFoLSD`e z3%xPp9YWYBX}`P)Be^)5=t6I4Rx-J-=YG5;1_QW)hsKD_#KpPAzes5QI*u>Xzk7N>N&gnjG#n1q&t$iw9;Z07lA(^P z8|dG-mY{!M4x09qFQA#?>Y5?GQL?r`y*!rfRS>Mv8JADHR4QzPdr`>Y@ zz!$vjfB7v_p!sI-=3B+HVc&ZMO+?Vo``!!C9CLn`pm{sK@7k&pWAdEOdj?=WKGgI# zq()B!#23`OLDak=E_$6B;f51b;a@}a3G4&SvtPX3Z@CEgJhf&qG;hby{M`TH`@iRn z?CsWsH8(UZeQkb!Nh{aK4Cf6TmUIr;81RzP&`cwaHKL>k%mv}sPjpP!yzYB>ftduSXNGaWsUu zcnB4?5%@UDJm_hK9wEy9l=@as%vQ(EhV9NNh?ZxSU{uUj9W*1= z9uWc2z(;<-#M$d#i5&{T3)2$SJ$eeMxXE3zYf+a*)UC!tA7RY!)UKeCTAd>-lqWPh zQgQd>wa!mg>pb3$^hBJlZ{~?OO*|2pXT}qOQO2K$k)q4J5X8~}lonQqc}V5J5TvM> z1z-Z~Vg(+AK#CBI6#5Ipv1CrHgaGEkMJ01sq&gOjMnxX-qH@dy0>$ymdOrRJMXF=W zhE=wU$_fxD_m|LSius@>HmDC-8)gyiB4#yFG#k{35W+%@ANl&b`iQmIAswr@nn{~P zd%QL714;95LdCt!>H?MR)S+V`;9GY!Qu$lC!lJ=q|Oo;_Fl$2bC6arkICi zl6)w_?$D`}Q=iFyb;is{%;GxAYjL)`QIob> zHbk6e)M+1KQ;{Q3B?_Fn0=1!N98SHdU;sj(fK6G?u&Z9CaKKV9I2`Z2o=+UAdO5gm`BOZ|hT{=wb8&J$qSLHW$XG`#QAPPO>P zPyLn`KL4YW*9P@>(I?#NALtr-jC5N7E6r~}h=ycrlOe1Nq6C3n z(E5>6>nDQJ{>XwvSQkV&U@gjv-e|j0a1xW`^MAH2w}V9en{hxu!XQGH+l%enRBnASD#*5!6@y)BO0?W!`a!@o2_IwZQgtnSYww{d- z@GMNWDVpKFtlbBe;U&;1RGV}#a%ntza3!dEIkMOTdgf!WAWNC8|3oV5%)3SWtUn3x zf2$+Qy?^_A9{hMl7Ojuq$l}FYW>?l3EpJ0)@exPM+Ynh?aI|U-HE$OjEn34biwo|X z0~!{>(%Ql5Q<$_(=J$3%fGALwf*>)L)qgGpH3yaWE4VjG$une=pQZ*i`K#^DisnNo z6{oA0hvbL;#{K&E2HZ&&)>5!_loe2=bg3<$P)>p!*$;_T5aTb$IeTUooO^oX-t%tJ zf^)YM_x^={@O9tvgV{%^k-=Wv8=X1;4dl~pEh#*Q5JLo!{v>l|4)k6j&e?9P-o_pz z)94j60APmpU}olcDJ z;+o50l1_(aF|}m8J18B`W-@G4QcF$(H{ni@Sv{n(cs_T#234*PfvEgV5IgiF$$vZp z-!gfNh^;J47KD}Y6Zh@xVGIL$beY!4W=o`(uXOMck4Ji|&>~h5_`Mv`?e$zB{pp4D zdADeR^xJ{-|LiaP#b5ZGDK0(W6g9=>P}#P?EXDo_E`5i)a4S%g*KjV+3U;*iSwmj35=}jFW9qwV&YyqUB@yG<1o`iEujV>y^V~mt7 z=p`*lQw;AMQBAKr$Vk}&`wP|DMNI(VzefXO{Kz#A)UiOnC$0HrmD9HwVuRYh%7k-4*EtzLKx~{9K3t#xUMT16h zaUW1OuRKO76zOCR&~{XCW9Xlckv@VX2zVAX0W7&IIC9O`OtVa)BuYL%WEUOIes`wwKZJy4D=jlE+(X zPKxN{<7aF7B3v#E=y?2m$Agx@H#w}90drg}FrqJaJJ`d#xE-Eex5KoR&xC6_|&6~-wL+#mTn1P>VCuK zbJRQX)Fs<_%{sLr*&-1vN3eaZjTUN&!yJZ9ujO)eWWxK-P`5?T`Qe|irD5@iz z$7ryglT7blu0*dnG4Dom{nwR2nuRnO@v=qVt;RThEKJ?1zm6S`w zmDgW6J$MlrTH=7vOe>0{G@>XY4vwXG+%wNS^Y`9y=chmF;}WA;zy39fqTniRZGt08 zb{8Li%|mzKIc|^4@Sz~g?n*GC=x)<6vz_3GN;j2YL{S9KwY4`ZuBs)O5h|i63e)c~ zB2o0%tKk|^^sqz!R6RyS5UQyoih@jSQ=@E5nc$;^aQv)ys6``XWA^LL2;Y8;lh{Zg zA?B2s?e>=pkB(8cp-N`fzry0o05xOD_9{HhK2JETISI{=lHwlKj8zBfgE}KNcton3 zBhCoBva4=)WSodB`vY>oqCx_9fMmz0+O$G=L5uc+W(WsQB^i{!74$DQ+=Ds);6NSqP*IO(2}S3)THrHU1v;1%3X4HQPsel!Y3m_0ngDS+RgMcP|LFb1D zqvR#XLzTFN+QlyK&-z3Ko&U{M48Kl@*97-){g9zvT#h<}of+^KWw0ZQJf;FNgS~Lj zNJ@He(8zk$C7UC&(DU_2!aI`C;{l7yCZ`f&2N+Z7{xy=ZANEHQdqFjYKzYJqW99ux z$x6dL|6HrfVm4OG*VyN6Yj3rMSY7F(ngrB(x1xVUZ9gxQQBYRY=?JxEo=q*8ix z(us271w%J(#q{&2r{vOrLouNuqNFRR+M5o>v-HpHngU81UBa%Tk)#KwH-gSrcGJ1{ z;Wx6%c<;lxQ}G>X!sADRm9U8iD#~U$$*4)P1~CvU6$KEb=nP5|L4v%sG0cLQ$^xf46ceZjh&&+(5~&i@*r`kShzLAs%|lR5 zEjc}pNL9iGp}Ugv!l=pt8S>>Z@-vtOy)q-xHFl~+S$2PT9MNCk4sOPUZLSpyxd(nW-$iIctkNHBg?a^O3YWpZ&OL&?=c{4rWp$ z@NTBbB-DzoHCIv=6PZNTLX=3>C*4|@#~O$ka59X{ zSRxj&IHC`9zOnE%cgTtp1Z&N3qF`igYBP_F}_xTgPR4QGw|ihE%vgcvMQ52`=@`caCQe81QBd(yU6lId=n|cK0hJ6;J zt7f}YSL8Q8D2GyQFOd+g{N z`s82wrg#67Z%>g@C4aeZ$f#iOC7^SU=nz0$b@|vP^9{Km@_d-|!tmABYBXm-`i5Na zxRqpIl8q7Z5)jXV{I(=Plv|bn=x&Fmla(O9GA`Jm6rwtj5T#WOHIGPrG70Wj*bvGw zImGpd^bI9JWL2x?G(aJy=H!CMV*`&FJw7|AzcquHj_f3f3#r~2oPUo&Oy5wFMAHsQ zULrlJW@AerFiGM(ppB80+*q#^+cfR*p_-uztJQ3@6?NA@3pS?@m$Lp-D=NB^QG=oT zZfs0Af3gl^LY7SgDKw?dTZfkHSeT+*&*3P?t9`Sz0%cp!x$6fYJkBc+37ll#;Blq$>Ng9shvyk}(NS@n4;6H1u;?qv@IYhs zRx<&4!1cQ%v}Qje)SRI@j@MKAjaOraN7|#dm>o^&>e(eW<74i@ppzN|$OYKdvkOPs zC69*<(%a-gMGk#+MpF6FCD9(&xq+ZMnDl{EF#ztDCU>- zFh7pBh@hgTd0{0?)F77tcNne?=t_V#o(|vIpfocm8f&maiEiOR$u-m^q+0F}LatZs zmQmDv{SmN55H;@47((1%2qdnSU$yP3jWyU?1YwKwhd{YM*YgRt5^>J+x6P_87yu9` z_vd=vzY1vM@oM)l^MQQt-`%-t4y)FSuCs$+E7-gRXup4R=L%~{c(1E2%vF9#GtaV> z3%^8<@7_PXbhnHHOVl|48r^+NcKpIh0DihqHiHjuKLsb!; zBC?m0plDPk*zKgOI<%klK?2uNP!uX35g!>(lLaYVW|}GT&-G8_ioxV{WNV;e);2vwSX9N!^4?t`>qzc5uJ) zFrim9mWP=o5kAR6dAjZV#G7@o-cVU1djlqdlXS8zRS2F?{CM6iTH?pI6F>gt-~aqK ze@8%bCi|ya?`Ar~JpdF^KLI$J3N0c?*cZIeHE_DE5)jzv7HD?!TU!MCh=6d`;(ttXF?u+soQ7{C!9CU6Hfi zAR1nc7@Q;MpbV~@ATLt#ag1fffYRRw=D)Zn8n}q6A&V*m$1OlwCEK1?vh??D4@}^o za|Fb>V$4a5PViR%w18xwcTsBSpFpA_ytz3Z4x|NSb5emvoOD` zhxu{5u`>YGg~B&7!S2_*kmM?mYZjFo;+9hd z(s4`s5z#FqohdBlbSpx)3yx+#il5K&^GCBE#i8ek{A1aVgl?aDH2aaz?SiA(kA&_d z_&Aap1+kKWD{F}%UBjhpt*|qnziD=^Pb;RzvFuXCeeV=&^X%6*QEhVGKdA z03lIK0tof2V4z^(5mp=tMy)(R8D{D#Jz``=D_T6cVSjmC^8U3^Ek$tR{#8VxHmY&F z(IXB}M;24qU(=HE{IZ@=S5&&ND*ku(JF|KMFg>8Ay5p&;Kt&-($+zzB%tcLm1I~Qt zBET*N?-Me3=iMSA(37}D3Y8t{M!NVGL(M<*&wTOmenw68J~(QcF9LOR)|x#J?x{Cp zNgmSZAVtQau}r`Tq45>xfr}Q;-LfkhIZ_+>8$;E7L4v|P-1sx6g6bFx z_w{khBL8$sLmB8frG?;PN7?NV2{89{XH*!wSU5qt#{D<`vW7ci{tSNY>u3A+kW z^D2kc=_(8^=DRFB@A_)}3k$aHz9tUm08L`CMJ%qd6t#Pbmf&zv7tvW+58(BvSSrnC zf(3l3gIe0d<^2J*7g@kU8V{$#w=-CSEk^>yrNl< zcv3JBv~i8W(KwdBJM{Z^u9SGn&f935AYtqeV&;0x8B z&8k!@tif^DZOIMp1x)eo7Tn;TxhYRZ_QXnnHCt~qUkfsMj}Il!LEaz78@b{1EMH>1 zN^~HNCf6qUVa2e`#itfmDz6#mJt{`8OfUo#A;+U79ib_fcZB1eue1UF@EvC!4(f(Mii0C$Eq z;=xjoY*S_WLG>ULh$EuVRAmE|rXMOynvG~2n>=DP{Va&eA{IE@YQ$*zfhM&TC+3j( zRhWKj(2!`+!K$pR&PiY+9u1ads=-DCt%AiP)vxes1?OXr_0(rUt=dpwoSRzy3peM| z@4G#27JqPZK4iaddn+bBql>{cUzX zvi?$pmI^&)tcvkA=r#0l6(w^@$k3oV%3P0O;F)%-VndQ|sPN$9-uG12r3?M!$+ zO=Eqp^I;02B+1m!vO!PD>V(9>=aT67$s5i(8>q=qOUC&`5PGBB z8r2o#F9R7WishxwAlRK)K7#J@r!kI?2uzeAp4K09l)l!GHH^yq9mrFu5m|hd5z+ZT z21M_rfi7(-vkq}Z{_fJI@-5k5%RVY+u-A#tivfH)2JpXo=j*@X7eg~^pRFB#yAE+2Ja-I)|uG33|uA}tW7m~&88Dkzx z>W4oX&$WG5LV7+;&Qjs5t0{Z zIknQFy|ROr(@SoZeA66FCKtHKE0P4%s1}uuj;)k33;RpuuQ(weq_{uVvugr{%kb2P z{h?(QZu+T8XE@%NSHwzZg<}Zar;7PyJM6k*h|drLm9CjSCA39!b$ zc=oY085O{K3+LmScOdeSC7zIBh@`4qyv=SNyqf0*SYY*O% zo`Z!X)~^Ek_()>^*mv%K`pa^DklqaU95{YJ6F*Nurfuqi-Tu%Dr3?Bksv(M2o)AeG zsaBj~kM=eyxMx_P6cl5@1<^-a5{cmAH`~xA1IE!XO;exeDr-Kj3!0sev>#MoRL^}L zu|Q4q_?AEfDt?R80wzW}8HttpF?J~ZL~lHF{;E?l>{pr+J~wiHP-mW!8&a^#)7EMQ zKIR&Qyj8N@KP2@!*tqU3_g}RxOHX~a7{!QG&Nf;FW}khX9VBuy{Pa4TnXmB>#EjKZ z3X?S-N?ziAeLi*w_AC4bI9^h6#V`%!F}R-XRtY|ZRlcCfjAf0khf2w{XMMs7S`5|s zR?v+bs`GBqVyMo)MVA<=U;WC*y!KZ!f^Gjd=N`a)XJGS10*IlAk^U-|K zqmJCQAZHPKkMMat;HCEM88|b3k70S17d-B9_T)%*!3BN2KL_abP-mdM z0(2*x`!C4nwYeeBp^8>HvrUXZ$27R(al_ehiNO0)(qWLK1(nA{%P@|40Np}u z{U90d57O4yrVnFoNGhz(DBd7AVt6MxW@G*pAM+v934!#cVEDWCz+c&H@ov!x5{pmP zF7*${PCd0EPUy=zacs#Y`|h2}rz81V);73*D4;puu&=5}BW^w3ZO` zA9V~=mlif#a20`O#Da^oZ3q;BW?9cBd9TxLGt~o3Tw*DsfPwayw#`z|McOt5%K6Jc zyU;Z9;b``oCvL+-FR!|%1YiwUGY7iMRqRVO`Qo^@J>L`1wzw1KL)(qJ6XxBbMf;e4 zi!N~|{OkYU$9(TE<>WUdV%9BVM>|8r3<0#HMEeF{5&B#@Nl^LrrhOy|IyhsZE9?$y zFO-=C#hqX@{n3UG;!beEv4#)gPB1~R->EAI`@Pp&R@@0Dcz{bpoanoDQMvIPd}N{6lU>q^g~S1%|y{}X%TC^+vHEhsqu z7F~jZpY(UW=HtFFJJmJ9;WoI3U!lmWX}w1clRESp*b9E^#qM%QW#93tKk=Q;_b89cAHvxDc;4Rv@MTif`yi=QXjh^IUHQHoAYNDV| zy+5MJiJS~dU)O>$jt!fim0K8{?nm#7I5tXbqC&MuvU@u|8q1 zFG&PfyEx2$X}2UCZ_#}bw%;Yq5XCnW;8reAFgw-A&crZe=_j)j-kK$By)eaI%MJs! zFUlpiu=4sVrw1>_zPfirB=x~s`W+3G6Z_lm{md`<#O&qPkb@(vM@B7nJg4z+!=}D) zrcE^--fj*s_Sjf{s_`(`S7N!Y8)>x^^P~$!PIcCln5M;=r{INhDFZZJ#B@3*cwIMq@~xIr6OfeIuv<`3*;>C+aoqFo6!yq6yfhX%kyO zH!;Qu$MTnPLt8{{Aqy)_?=fyy4|GDa!nyJgxmV_j-VC^;>%yD@-BCB-6B%@a9yY_J z3tbPzWbr6B>pRaupxz;pzIrb0OFJ1ps!LmRk*r%V*{tBePvnkC%s=P`a(RMoAaAwEq!y2Sea*f0MbFT9+s z?=wE=4QyY9)2`P`SkTAZwz~$PCxS4p>vA>%J}!vHz>4Gzs+%bIn1A%aLYa?n>J@NSG-JpNf!9%F$t zR^r|^HLr+(>wA(Q39?{4L`DV zlsdF>F1qrw=2uCz)+>xQxn7iAjo{M?O7F3QCYJ|8k=)ZzU zLmui1*~V7|7td0nbdC!3ssVL`GXY>8Y~VvVvD$x^>fXTan-2Rf1gEzkz1qGX3)Vf6 zJ{B*$?Kk|=yRvbqfkwwV4{{#CLQ<^TY>koZ5lJm>mISwOBnyOX(E37fBn!sS?hY+; zF(SObs9shJ#?Wqus4CEtG2pGyjPr=ZI-eS*B|2w_;L#fVWR~6Kv zIq0yP4yDdL$~()_Bf=uI*2tPgNO7s_G3Ha&%=OHNV$dP+p+FPXa#rkc{XK zhMjge0GmpKt}qc>R2Nl2Rxd9FqlpMp6CPHYv6ERzD6&atpUz6!v3B>2tR%?MXAOWI z$~EE#&@VZxQ&Pqt*=?*^h;8zCtxE#4;k1=8(Xp{Q@hU56j|%9#mTM>iwIsC~9}^w2 z7*}Qn5fiS;iz(%&lH{1dEm1g^#Cv}G7PqSaqI-;GC1q7Ww1Cl?CF7b#Ib|IImVK#* z+=Rwa5w@1Zq$M%w{=HZ4-e+m3z*v&T#;5y0YKcH6#!|nx1r?vA4f4_k8P8AAWD;wS ztN-LDeetLL6Q7zDkTZm_HCmAnvxj(Kk&?7K99jSu>zr(Wv;;0E8kKcU;2)-`J53lv zgjW@i-TELIOp)FtL1AMM# zam0c!)-=d*hNd!27(*7ZI2Wb~W6c({H=Itl-g~zgJporhoZJP61^MzMcfmlbuDK{6 zF3H2w*`d>vG%%3RB4G^NGi}C#{4onkqw>(_gr>dh!ZZa z@|8_~jEC=DGK-qDcq-8q6u#VCr zy=t6P6wKT7?6K|0j-nuwl&C1!Ccs06`~$9-XX+5 z=*{8mT!^#J(n6wAG0>T-*bMfa80^pzmIkEeuPVU;iI z#f8W01)D&(#cZE%6E0@^nTM$#zM-q(X>U#b`fvTCKlD$%{h4Rbswc;{T>NNTD}G^fG@Xg0u~bBTo6S{G~F4cS3##M1&MDicx)RqlLTsk ztPV$iDM)y8L1;ee4-2<|C97 zC1~EgzFsG4y!|250__i>MmgliIrF+p>^XAx`eYG&E=5kDpsejM2+}@(_Of&S?28|Q zX&>)$uLATiqN-im6=D{a_J`85^;0SuTawhGL{L^igy~6!2YPkbZP&_}K^54ZWO$-i zV0lw@dCUC3n5LyHbVK$Aq5{9ff#C zO=mrJ!kEQ9+T!CRV}eCnejp~bRe4ZO_2YjEsUjv3AOF*w$NyA3{-^Z#V`=#DKMm`s zK7V-pG5?ImALE^U{9k|?Ni`Fy(q9y|tF=fZ+ZRQPpw^XIXx+sXl?94)jHxcJ z8|KJnwHI1qQ&bs}KCD4)l=WOXplR5NHSdomue5P;B?Sl+2Q2Ghe;n^2?vKu{uzbS) zgsnI~*Yo~T=pe5)`xPGVa5y-fB9pWs6ouhh9B&tQ*sWTOpXY}_y+fS8-LBfGb~oLs zEs7gMpxmG9`GDJY)yCq+?Pk>$=MRB$|ML9X-KvRpPTQ>7!t8)Rxj)zQ{J3CY_4(&o z`2u=1VyxluOQj#MimRDc@hrBn62uiQZVOLFvJ$TJX-!syUVL9In)bf*;N_P~I!>+# zLGvKJB1!ONqxMY6jq-a6hL5g5!S2n`*Ddi>h<3?}Zt}mXns+{Q@566^Gvu_Rdmp}A z`L*)4l2XF`{FE?Ay<6H;?8O5;Uv_D&`fFPY+NNElGUs|~luD_@gK(D{7;Q@|!ALeJZ<3guGxu*itWj$lM zSVm!0Gyq3kWD-$>fN=p0bPbIYR~H5W!VJ6j@1^3c~BA=DCew zjy@mpxa-&mNd&DQKo%4u!T33-YD{M&1kruBrUucv@)6;zM15!oqJoCyCgl+&_+TS~ zp^8#gFdLDv+dG-a&q0inWLA8iHt6gt-jh6HQCpeyq(DoeB);Q?zfw3l+L?7PUKpB%v$ki+md;uPoeIMchTh|?lZfLjEOBs7pzmd8 zxw1xb%O6<}mMDW!VO3n?!7$a$ZkXcgE+sCKPk7i*`j%+CyPzgBlnclHW6UsJf9sEa z?{AwjOm}Ovi<4;ddTae+v*i^HQ&?N)y8}t+%F{fW4SN01)ZKbPiaerx_T1jw(9EQD z*nyH-m~vVL(RPFJ49O?JleHQQTO?!7=`uaceb#KdM8WeYG@9s`|%mGf2 zXLwT}DwPcsL~CDc1knTBpysq~P`XGsLdj5!-rdB`L+#*pe(hbe)_wrUpE=YCsv3K9)n$!Z7czS<@pRG)E$~`{J@HaDT;Piq z6i#^@8GB_(Hku=w)Hqpxd>&~B`T^iyTKZg;KQh|V=L(*KMC96mYc7?cP~^m7z+vnB zcDnZFOgKBq!g&($M9#Y;m+FUT;ubCb=-cr}f8Ia)DKCBYJ5p|k=0dqr;5m`|rFPR; za_MoY-SkMcn~<%ct^zEmvj7V@y~K@n;yx2Qt`nULYl95}kwFqmD(Q}iU!T)UY(w9P zU>nZVbK*f{P8km(dLew!zE8nN^)2REs1*xWI1nBY!1VmY{dP}n7I_)yST&ZNyV`?T z0F9E8$9@hoy+>)x-4QLNDcORgA*!@(;_TFXUGlI)LNrxmsLIw-J4=cWJxMy#u|sFe zIx|^HM7!kf&{6lqnC%)1ROG{$^t`(y++pNtfMM3rcUMVL^G%X1tHw}ry)_Lzj7jgF zOM>!&Q-hi9(-#z)uc=DGqCc6|bV@h+8 zC;N2fWjys?#-U^v9fN7v1N#5n)9KvHm~vhGAmRW%sK0V~Xxma3SsTfFK~_nThN5XD zA+pp+tSCp88st61bZh3F6rnT-6dXxe&#@<@A~ca>*|ZahB9glh_V3EP3nrcvc{fM| zDd!hcH>F!M?<9uOn&V}8Csi6wora=mCE@xZFQhmeq;eonBy(JucTyx8Ng_yyUCMes z{}#s^IkreMLFz}Gkgr+XUmWY{{CDeB8#%V^VYMqli4Z9Em-RgVPV!{J@ps7Ai}ORE z+`o)HN?J}D?)m3-@c5DBs<0uM~tp~Ajtgt`e+ivr_QZ@1cVbhqn_lxm$9At?Hb38It@8?9o* zEz0n!u8~F*^~D9j#TQp3tK8T9Q$>4mLG(=({~}88Sl)4-T9g+T+#a;W4I8bRnv886M;n|17!OJ6I&WTN<9^)!`_tX^z==J)wN_!=t={LBpcPd_qyBxw!dm zFX@gelqLdGTP3}>eI7GB%qvXF;>#GbO_o5G3{Ue4RBiQ^gXV25pu)L_$9aYRiAYN4 zRYK*d^4)y2hxAlDq)7$$M>-Ba1_INqpr z!xn_u5wupA5rx($>q$+#vL;L&;#e(mr=aE`Sw1VFG$M*btzPnbot_S}r_j139XnjV zGClhWRss^R!-SIk9gn}#y0JsN{=IB>By1PwKb7r{<1MT%WV;tyH_V9Q`pJ5}exeuW zRXkoymTy?;;(*JE+;v<&U!_e0HG7Xz6z@OYxzbft!XYdQe6B0KsMs;>yyTKCspWTt zhtGWSMa6zmvg%X9KUM6X`}Ke56JE{~KtWi;+4{0 z;l?k@OfomUP)NkjANMcafVBpO%yguV2S68%BkW&kAV5%L5abg^uwX)iqt%=dScxsk zE2o#o9aUFYg}RjeT7~CoIMbM4Sby-dn`AHzilPfMV0~u}7wzV&KI->#;uaAtpTsQ+ zD6Nb5fTp*+c$oTbH16=USABfv-+KM;{#*uJt>xl?Yn5H#irE}s3cbzg7v!DR3 zOLn_XdsG4SnLz+(4w3|1mqbc?D{1vOTo&CcCHd*hl52Lq1h@=I#=C=J(welsLn-U} z%;kgYV_F#-`rg{=8qJ0K1h*+MKwy4=F6j6he>2hwr0W={q$g|bQ0#CZq?iExx&@%i z^zPhB|Qd<$epZ?yT`H#M%#(rl7>a79H>{@i|V+O&AkQ9JI+DAVs38 z@+=@+^7!RXR#irp1Cu{sYb5PtRQ0+1SLe+6d|6V4-uG!fS%PDXgY#0uZK>h56qvcY z)Ns>&+;2{^{rkS{<^S}L*U;TF+ri}pI*8d0A9F_J+HBvi*OpxldO$>E3TEiupe!2s zt{kx`n>A1{L-&Rl$Nq)G_I-wKI2h5CSZM}uy{lJS!Hpwk=%(O-&`tXu;9Q+dhHf0A zu#7o1h`(cIJB@Xndfng{%F7d=8)t*_7Rf;qJDTm4pz2Q)Wy8pJcqR!jBZalH~fyUKk6a=R_$ zzc8y8(#*JLMn}Qt+V3rY45%#Yk3UJ?G1-3?Y<>^J=LO;JQ7WS^ z*!=2Hhvo&F7i=CVPk%{a)^B?GU;3qQeA`3{hkgEOH?!Lz0W#B~3VvB)(+@D;iOsef zxLZfk*%=}3kkn9)NNjdN1lWk)G z3gUn5BP=F-BzN-r-b<~;F5$|;3($iau!BdKcgPULotBHeZ+baBMaG8R7CbsgpRVs; znX!TH*tmgsBtUztA2Oj=`EjzKl0J^$TdBXtHc=A_5AF(CKaFxAwkgLv@g1c`tdDs_ zwz{5CgO=Kl`1r(JWVzuf9U|UJF6&l*d`|NopVO?z2Xp2agwI<&h!|-`G~xwUlm@s0 zbs=4$@der)cz6Ymy~oo-;sNyKQ2DkZzSlgxs=G9KdY33Jy~K-4yGsi%G4KSz>?;xv zdVK}?*$X^De%$gZ@+QizeK?(h_E_g()R_`U1VL~!TtCSS2t3CsQ$RB-^6@iYydZW$ zptxbOo)4Jm*^wv7{PN=brxGu4yfIS-+2rGW1*>#%T(Efk@OYtX-vJ+lBb)BvYW@H0 zy$#SUYgQI^C1hlVu_Q@p{E2W1Ltt{)u=noXyLTf-!@WgFybwGy`~|qjduQ&26PWKF z?%YfUVziPVDh31;Bo!h^NCFjWu%J>EEdhrZk}{FP6lio5lERcfL{bPMQdE)WS?gWz zTJPJvyL+GWednBert8$HuY33E_wE1n_pE23cs#E**oxX{w&>y3;VRBFV>GqS+*S*O zW-d<6MW+tGAeh144=;BeR?hv#9`9j+#{(}ju;J7bn!+_ z8qG)qkLogoXqX0a&FDN4QKR&ZyB02R?XIaG z4+3BH_J=r7a@j3ffs!`^l>D*3fB5jzta4sll{i&w7@Y6hfG;F~LO0anF=})IN?#No zTytOy&nxF1%>m$~BbY$QWF;7t^W&^aOpr<(F=X&BEU*`LnB(od!j$Z3!-me~8WI?zqIo+=s>L1GObs_I;)*TWby0I>Woe zV8zo8iufbH`KSN*zx$?~csZfI?eK#6x4H(L9X&X8GPfxOkv72_W#T0Z_C0If;1X_| zIZ@ql{hkl!Fw!i+( zPl`r^B9wVilf7?xN^KhiGpRotE52h_1?d z@i|7THIJ^s>_?xs5S`WUwX<)^df312YbRCdaevxPael6c{g0c-1xW|B2+m5STHL)1 zPM5K1xil6Jy(6vQFNfQu2XuAL-uvo;xZPu^U|)8NR_E+yoUqnJ zB7=zq+FQR+vsm+$)2U;7IkbL3xtqp0U67h33r;mimye3IUfBth4Z5n|rQE-dD4&lc zn0Z@(KNvKFlP`;nIMw%D(mP2I-#t<&66MQMcFBzCCg@W}PVHol;%QM3f#dqD1qyGH z9Z>k``t-fJntnF=F;A0EHeFXM+|FmRnR>iC6V239;@XZgLlWT;Cp2U@&Uk;008j|- zfqd!;#5c*MnqM@2#`{(JUse-lj~QiIPnf+JS>^A@V~d)WB2N^^~W$gjQ6CG?= z@~XCOiaUo2%cq{;&Y?kJf~-MPFBzrhWraxdaD15 zavRZ&^7~`)mQ4eWBsI6C3n>BG=z&)FtU3t_02r>X?>}YEYX*vjeU9J^&$Wp~mnB3`={0v?iYpz>!fW2*!zO}g zrCvLl(JR9T55OEocxQU8A5oiz5$U>G*Cun{RLP|^y0%Ky4$>I}kIQ3>UNe|n2;||2 z;Iyd(qt}d*bO3$Cy*{K?T|RZ;HN&e$&AJS^OT2hNlAk;~N;Ey-QR2K$ZRL%oA~lo5 z(XojGYYMi5kcNguEex=0*m4(Rjx>)K_2Od!Feb;jM+JX%>H_yRNg&Lv^=u3GIbO|& zvIMBn$I92`_X_pouJTUZ-@X1xJTzxLTd^7n)RWE{^0hi$_<cX=nz|W zep2|3KrKte7=iV|0Vd}fgS)yL{_b1CcgZxj19v)AO4SGbdp=$>VDMo}zA~sHik4uDHL5ySY%*BW>$wLD;8prIwaiI27+C<5Ce}Mdk zjl(f_U83C&lJN$?9ORwkm`yUZOmf!Tpeh!9u2w?ocNq#4$D$3^gvtDARBh3P>M~sci$w$%Gw37}tcT|;yr~vx17gbV&emo_c zJC%FU-Ufbr5!HY|k%yOc&%>h#4DEb;e)a<@q8c+*Vr=gdtE5=9RmxniGpcQclr2|n*G^r( z>=v!o$MRcrNiF}+{N&-epK?^T-VEZp3hM)`tWZYt^tFkxj)F7vwarm6)TqoZ=yPi8 z1NBen!bt4~$yy6X~&Q+sgj=r|l*5I@BwOt2Toap~q`r6%A>bqy@ zYY*rh4oiVH#XdESG+muS7-<#X_Z@rp(WbyPnzBB?a)7ooqW^2j2hjhfZ{uIly;Xog z^leuDuGz1H=vL>eA`CL&0YA#Z#Z>eoioeFgNxD8}7x*9sJ;-qWDJWiMCoT!+56aUo zZ6our<>@%yn9iUfbBW=hK`u{6$mOvp0~EiQuUYZq>K5YC|DT`#U)K7o%)3J8!|Jkcpex*3f8_yv zS_q;3Y9!mg)?cmlS0&nRSlmM=U1%YUlB7SU?8o%ZCL} zo0cpXs4W*kfmH;~G7`n;NvaX}vrprtxwYWMt1qj6@_UN$rBPrA-jA zVy5viVx}Z>K^oyEc<37RScA`q?rkaY?1Bu{n;zZGO-HCcRCH;t7ZXg~?Feck z7kw0*^)1DVQxEa}((tl*o$gO2e=h;P#rJy>KyAP!81fj2h@viP1-0QxE8S*bINWU{ zLvdKW9#C6)VAqJSJA)GxPD`ASYmW#oyG5&#yBQ_-FMZfQ|3iU9OPzL8a=L~h80!=2`DmG%;ce=!8ju|unn4iJXi49W33@)7%0-Qo+(9oZ-Q}tvJMQ zhC?e^i>rJ4sC`AO7^;u-0s40+Y37i||uKAJ&>J;n@^B zftaaiu~fEzxboeynynF6dnG%$42BJMm}qzG_{s)Xd#%~}P)r1`HCvApOZ9`_{r#_e zY3+s&P}5Md2*b{!raM#?D}onwL^1SDFtoej_z0l;ra+fbkdzoZ)tIbN(-@D4WMG0v z;ME=@$B2CkbTQoU4vd}k)b558DupIi=it>+$iW6T(4_3JbruZmF>(kVI(DQW!Wn%r zLa0D$p*%)TNwmw5v)OM`;kTc5h>=5bi&#I$?6xf$X?f&(dr{;&>OL;3^L#)3UB*5( zrZemCx5%hA)xob8RXFjGs^QPBQa1SQ77Me#D4_<`NkKg#VCM*K<`Wp)*a2A?(%3~+ zkm##&heChV;ArV(6(^KBp}>{Gby9O%pt=BwX+Gim9s`rLp28-CtZuG&AQa+5WLCTpNZp_W30ajGm%n-ccQ1P{B0 zEg2Dh?stSMvE5zviTOsGAi5?|ouRV0wSLzw$jG+|9)S8nTAG9>E&5)UBU0s>Aeu#@ zNfZUaC#pKiM-)>(F^(Lek#UR^JPkNcqu<`|o1G%gj_hYzPAwl#8xdboO-uJ3GQ_1| z$+dFWlR*V>e2|3K*Qa18?RH>fRmtX1GJmGDw4FFil62yGYY6TqUU01--2l!Qng(Dn zFDX}N3No?26Q-w;dcU6to)S)_Ga;Igb_N`^VL*Y#8P{mI^ zPg3Z~uSUj2&}Fe7)LN}{{nbjnVfawH6swi0)dwwJO7FoMwSRJ>_RDV3s{L<9`+rpX zckg|slcea)sI~uNWLldfP>b4sl0dD{{!;{MQTum@2?)#$lxzv@e=)7(jtEhuWL*`slW+Gr50iJh=T z@pmOzT4yAXq2!L#aFt|ngSIVu!c($lxSO>-M8W5rzpRb-&XP^j>3=Z-2DE7MYaK?A zAB`x*Ero2s+-DOloibS>G$P`E9X3gUDrGQlHEJ?KEQ(;ph^-^4uyUnbBUj2DVhc_W z5LH;Fv{w3)=u!)p{cZJ;aT!EBrsCGVUB#QJE2`CFVP(N8std*2FcyNN+Teq z;dn>H#ZiNxW6qPWop>jinjl{bEfBktvvvj5t?2Iz%9k|{YeZe1g;PHha-oTSSHt8= z$EccHs{xRb5UIb)6=EauJ4_4t`=}bEjKnlnbK*%bC(;AEhAE!hFvYT4w3-t)V@|y5 z!@vGpzr>RidNT&_{5L|^lZL&{psfJ-2M?KRE5=;hoY>v&4$WTjBLMV6W0!)C3t(ly zL?0D~DfD7VHJ+j&#)|9$mn$UEmsC_gD&kn2o>eLjGT;Jmlt37W@ ze(luDkFI}Zb=se=JZMp|?&-ce=*aXiA+JIBZkn(%BX)Ey%RRl|?_0Iz%2L&mE{% zQf}Vr+&r3H1fGFdQ}^@$RVq5l1!LS9Z0xL@W450fmCDQoV`8A&?)Osvj+03h<1QEz z1IH6UaN}1qhBOZEthWIi4skUE|5N{&Wn)a9&4eGpfx-C5Fb)0-on>q>3*B$UOar*ze$Pp%$a zc8gX$dNX?TKmDA4_}A{a9!<_z9SDDnT}aT$EXB9c#X@vc3U%NZV<4Ojs5K&sMqOo` zHF~ZzdEJO4*KRZ>bIWE5gQ=W}IG?eNMv}%9$c+Zfraq#k4Q?1*E-B zI-3q&eb*<-cb&saugT}-AM|TaKBte)j9Ikm+~v3ElH~I%U;9_y{Ht@xXOwagH4R96 z4?34Z0aTs8&{PXa%LTnRfRcyu;fO+amcyoX6&d=Fn$A6d(lqLxTIT}GF8R{q9{BrG z(4)nq6>WQATI*17U7t+Uxv1aL9-1g%0~o9vG3s0lr1<=<>l0KhXwq0kc0}Y?4TG*7 zcIk4aH5P+6;I5G?Icy~onAWmszy@W*ULpc&O9z8EI>CALu^aRWuTH4!{B=S-oVe}% zNH>u(`Fv^=C^uF?7mcDETtIz9g1?2U6z|YspMY}ci<*G{K{DPVpbgP(j7jpbs=RoQ z&{~!K-kAEX$GjwJAXdq&4I@%1ejv-qju9I|!}y*8cVj~~*O3JI(ZcbvG8#-Mj2Vb? zozf4{h0KhZVL+iSe`8ax>GCyn?TS1#3~V1_Z**zL5$g41J=EKw3)nI6+^{QIQSUd? z1#B?R(XuUlZ#OmoIw^~9WKy>fwy_;8FeC_VbgHrn? zw7{2GLVx`yfA(kJ_U31vx%J$$u81v)Zz>eba|`R~!M-X6&TJR54r+OsCDfBqkLWs7 z4p!PbDq4>|%vwSd75Y)lPFCngEsG{(?C!;5ED3an_4GT0Mntk_Bi7QmDxwdQRsWSG zl+l9omJqFh1(pyjKbn=Wu8vJA1PHcBVF^u<4xlK@&;`&FldJ>L5<(b>#ge$5V>VN~ zMId8H9oPT@tV2$KZpYatm<*tpgXHxv8HgFO*k%&xg!W_aRx@Jw7mS4R=gEZ+RyGqp zB)Mp>YQ(CIRf7ouBdRbV2Axx37F^UhRY-Y)%>x_k6m6D0pPy)Raouee+B~sU08Ffl zY#!Pzh0WJ*nu429te!Bxto!_8B!yMEJi%rXbab|vaCJ!_0<7!{$6I%raut`BZ}4g} zUELM_S-j+{&Gf3<6|Y{s`ueL^_uh%iB8DUxcyGNMzkyx#9e~L2t8BhmW}MFV zX{G}Hl>h0S-}8-i#%6#uR1N{@2m?kSSM@ZmB2Pcq_gV4>!97~SRDwi4sOBZ1|BiiD zDcDrx^099`(0QQZK|G36?~9i8oZ3|~dHT^Yhl&@!Da$aKdZ_GWVvt9O(9Ayjf;qeuB!s%$BFb#j90i?uVNt&N;GVP$G<-G z^b+?8nVn(qgN;;UEC8k*xO{>lm*R||*LGFm0Ah0J%o=y76ft&)idcKRyCMg-5n7^$ z{l#bt6UutfoR#IlLcZx}4OMH{AIDn+U!d5VV*c84;kxg?()24Ge6|H=IN+Z$-Mpd_ zA5X8LXG&XTi2VNeLh-2NLU_3yN;ek~mqMHi{MYxB$i6 zvwD;&Cn?dqI1i98Q=p z^?eVBUP>SVFCXl>-K{&Cn=#LLX|^Ce)-$2#6*sXwDSz#_iDkEF#Z4@~MVDj?-v8hI zzkl^RYutosSMyUR9op#mPCB&F^PO~PJsLgZ&=#KWltUXm-vdw%s^MS?DAA4ksnPR= z{-YaDU%KQApo<(m-(*v;zz@WRh3{E;z9%sJNnQaVJOE6hmkP<~`A)jsh37l%cgMTa z16?2{O^+fD*gmD-UEH2Y4?W(WBl06SBb%j15k=f9uo`R^x)0&Ru!28?Wp z1&Z>+lS2tmd*%pP&$QnWX5Qe+!xcx%$F4`%8jsbjXOR^sWAa>gS9*{W0Px~b<^+hN zh(yiE30#Dus3Q}6bG?lKP!eK^KNz%O&d*$pmF1f7+KrX;;hC`~S7T-QExN>5`M*Bz zm;dJXy=e~G0IdNnx1d$PVvy$)($bY7;@wk7OV@??R!rgj+*si!^ughNrjxu6{FpZ^ z+HGl#;8|Z;6xw1yACF^fC>_OW6I_Dwfp|Z~vO`k3-<$%f4u;gO2rNs4BLtQixsIQI z1h$Jnu%Jy#`KY}R7%#ik2@8JEeuKz49}?Go1J-{;;tLeu9P2-h7a5NeGaj4f*7J+2EaYh{-Q#C+my9s#>kw4F~aUwNAwUvKjGE;YTxeTV83kDXz<)<8D=!2;7I zK=)t)RKRx$zyuY_D2w}lFdyJuUamsZ13Keetp4Bf@AI{*&}Fx1RiVpo(Ix)hSAFX5 z{rSJ^D%8Waf}u=J(@u=SDiD3T$PWhe4r$i$+C7hkB8!SPGm2hDp}<+=JC{J(ZPh9N+E1YJ8@EZC4VVv68p~ zHr?*FQffBZRL+Cd{PgWXY)56=@6iI!!>|IEk2iVxHqMom(=u6*acDDAG(Ib>f=>nQQf0NOsVj?k*2hTx|dH5 zM?5veP{XTFk01<)sO~2Xh)Ug`Hz34gP7~ZlNg!+GT47om7mN~A>lX<7 z0b4*_>V`l<5!k=!Qa9A($HW5CYlfSWD$nlvC>9atVGYgIi1GE-}h} z?H7Lj7k~Ok<{Z4DHw`eDu$*ApJCT4F2=-Yx{s~M&6htw%#y8R!DUD$xo=zvW+Cg?= z7LE@%o(Lk3p(d1%NTbX~1UoUB`*7-6IKIH<1dgA&5&MYL_%A91n- z&CSB`K@yxKaRJ0Av$zqik)8K{!;+`1gT6HY@?clx2I{ygH=>Zh}mkAXuiab&5%T0rXbqv z9^YF=@7%B>HG;77*`5>V1_q@r=SlygBtdWx59N1dI`M1A-*YRvWVy z_APme$#WGYmp_|V@!7mee42N!^0SGhnP>CLp3N(JHm`=y=2d<+vGfR^&6jGdiYMLb zkeP7#o~NWJ&F@@-S=;|niEmp!_JkayJ4O>ix&`mz2vzBe7fmnQ37|qOI zslgTVyD~19FT>cSXSU zHwI(E#*3%E$hb1wnwB}NDqF4p%I2vUoLk@$3#$uo<;U|8xOzIS>y!^4cQeZ>{lyJQ zj{Y5GvT#KY`*s>%Ti3t99DlUT6jeD5O~XsFmrO{;>@7{n=|Cf2aJLM^sR*llS+jOW z_EX++ySiAz)y#1>vUqgx2`?-RNg z?U?JBLI_hJx7Q&WCYA*pnGMFUt#Yj_QX3}*d+6C0EVwydo5P}r94<~fO5`XJ~vr)SsosI`` z4#)*oM;XIN=;yg5pSsiqCzfczQvcla`qRL8Y?N)Wx%JKA*D)>secoT@9C1bX-AtWR;$2s-fjoJW^~$7!P5y$25E;xBJHkb z;T2lRD%?miCo(vn{m1CE_a}6=ROP(i(Z!D5ec`k>9Xg>kMto_k?zcyCJQfI0 zkUAuH`^vpXKBl-qdtkwMoIMr>A%l2_0KV2fssv$|k{6mA*-am^cZv3&Aj6RDf$rL^ z1FwfPDIMLo0ySC^a8{ZLD7kA6%<|VoR!>eJN>gCO=e%Jkwpa$`!YNx&1?a1`@06h zpQqDZ!1>^07rI^6gEHo;8&>&(<{b7NX7oXUb8(hBJ-{;`{#7?GF95xv8r^_d8_iX$ zf$-(G=#oJAXMW9(|KQr4A!mxC4?5}o7Fp`GJA)U4xR*S=l%lv3zU|tb;W7>m!7c<1 zD*D#FTOqYl*w@;fK|AIdc>8I_fGrA6tlITvwCl%z?LYjbSLQHa2yg;c#Y`rs_{&&l z)%ysgUkUP!5N;6^EhD^r&!DM9cyr=9tGF29%_&E80#5w<9y5*+Ui2?y@1y-FVk<^? z(I{BCCHfJ>R@APCQ?GOt2=`fDG#_K3U8UUsW5!K0DBsjHv+j2rVQ-v-NRnJL291;F zn@$dr9a>jYa*yP2A$f^En_XMSbcr9E$f?Gn&J&m}@m*_x_E{yG=RPDT_ULTfcyaDBun9?mm;}9s_QLel8M0HgW z>ShwOpi&rA_c31L`wIdgtkSy;?yLaDFL!6t^SipUA&&W^fv#7D`>wlXHQXaislNZ8 z{K%*Mz+2|n4VE?=1hfbQ$)cHC=!;UJ2(Bw>9*1#{bR~=m7cF*EBfpU(ZB)3EN!mi; z?skB4QP-1Fx;n^a$sT~*VJfR|>p2C6xlCj{*&s0#7NEuRygf2U-bQUb> zKvxNm1YUwkWV21rzY7Kzk)-*|4YdHS{RI?0*dm}Hkm@|d^~Wd(0v>&nu<(>bmc2@e zE95PGD1gBLp8#_OV`K#ac{9njQ}%4EC(dxU))exVBmsE?ypRvY$=XN<$?)WEK(9@v z53#NWU;u@@r7=(7J_E>+Hq$P(rnWJcKR=`kkehmC&ks2R;*H~SlCbCJ>fxTBtNi?6 zDRKwY)5i4+pPvbyfI+tiv1}%i-UHJGXs(M)7xDDn^rGoUFc=MFi+ z$V#|FSrOwvLQ;^sbdux1gh?A9lm{xDR?lx$`h*;C0=+>nc^8+jGZ&M;zJtGvK=ZYga#{Fr53QYP8ZUH8MLpJGFI-EYP}RQebjy6b*muBRHb zxbCM@G|`~Nbw7{sadt_WBm@t-AIEu~5PVjnTcji~Fg~z4uXL$s?m89q@akNLO-g^c zN;ik14$=W-S$FbW`=nH{32EdVbohvd4{0eOIKMnCl|D-D`Hkuey3K0^#IjoU8$wwI zmYmUBwBkT+1_$!1pR{?~A9acmw( z9*<4kWK?32xh3dHd63cf?5MSaKB9aHOt5d1o%?v~l9%p+;sqqZuJ5$*8AeQe)-EVv zNbB^u2emR?YScFXIYQ^#1*y{08n$<-8gZm;DOI`&_Pd5T4MaOe+Lmf%SDu@E#&DC5 zJ6y_YZpppanCMpuJ_3=fFVG_On^mwDHHa^pSh*k6m98|gtUkQv4Z|#Tq${l(9qAPe za*bdR@beL=362ru^tQL|U=7fk9CJqi=z%I9(j&g=Z2?|>^L9NF5wEHeZpYO zSThPdteP#rv66QQ`BlG`MTq@~$bnq-zGtiQN;2Lb@C&CVAPrvR`i$ZYI_;VrEEil( zU_t6zE>Tr`iok75Tq=_wc%JGp<1IRY?n$qF12AhLxyW)6Qzb>;7o`GZ2Ou&cNJ8uq zB{@vJ8?1AKY?)37h{z}&L#9HPhiqMq!iQx4<9iY#k&b3-ZbWg6fNV9R#{NRx!Yz#) z3cz*Fpe+Qu>F@xM=UhhiD^9lW4}E_TJfg-!5Uz0v*$)5!K(dDU5yvl(wKbkX);)xP z3l_5iilfb00jvu9$E9RJ=?z%Tl_%F+S$2z7bLD2tm5=@E-}9%xW;TR?G7e~Xgb;RM zn-i^&jLa&8fPlLyn&ZLv>s+u1A%K7vBeM1y0KjU*7((a{ZE9T50pnT;#t_2s)G0Rt z5CMr**Qbslghb#EwTW7iI(kur5DuVS6#d$>gFzWLpz)k7;@0Wz`hqG15cu}n^ zBuN_g;S=#|{9uhAy!_&8cV8y^TIl5HZE++zJp&v2kmvVL-^WD}g^&Zj~)!Aa_TDr6H3gi43xnWs&#E~t=A zutOFhIs1D=9H@{@a8@UZ!c&}D)J$>ttWI~PSQKOK&Ug`U;-gEEurxA~qJ|3&0 z3&DX$*vRH@{!sT*vx;ullCTv~+Zjc7=np#$TrwnF=!S~K0F`MFL@e~!z{844 z72O2oK&j{^CU-GDR!pgnYVew-Jds-{w|AZwseKCJ zEPj)pqMR}Um>c4MRbAS@^PyPSjDzjQ7}!h^j`+cAmi4-`tWF;$@ZU@yrqp%0cBx!l z5Cf&Av$u7|-&&R8@-ObSE5-EHoVi7-Qe1wEE>Vi_`>cQZ%^%~HOKPFi)x>?@lFAE= z?fog0rMfp3zvAx$u3;t4uNba zWXAjZL{#q=vT%tfka!cstSu}%%%kpY{C6hkIm z4bFZtlaj!tMTrwVav!p^6prW+t4{v*P?t89mO{faWQN3dhZM31W5&CKkFNsjP>+Nn zuxj>rdq5kbv!lYi860Q4KL9T9vUB!*g>{$>$Wz0Da8&-(g~k?Qkc}y}ejgRTvYu$) zW4M98FR&OyEbV>(3qkb01*1XMQ}cjF6?i~xn8l1&=J$tVe%}>V0wVHiMBwrYPYP_X zKt_&@aTWWbDta|3o~^aCD|*P?KX$QzFZn%hvh5)F=` zA<;!?Q>sJGf^AP#1i@xIpzxs1GQnfhCfIljrle{_sw@)(K{qH%1iO7|OJa<7yqzY6 z34)dk9NMrH#yCOvr7=N(?Qjkh9H9i^C&~r4K;@kgq)B0dr+%jdWoR&jR6kX9+Jws^ z!3URY*?|Z((1;i)ULiW79oiAs_tg-Ld>6U6uc zeM%}Px7laaKUKV_^Q`+#>Bqk!F;?E}E_*KJ5^X+g`RY;ej3mDmWP!CL?U4F+70rNG&D~dS9dbi$nshiYDxjsFf>& z9gDQ7sVW5A^Wq~W8#S#dYBVu)*>C*onpbpcX6N$XeTnkJ>geb`uMObmsK|tf#-r+j zy7X1PoH@u2_gOca`;4pGz|vzMFtqjp4iin{F4wWkoUt_ozuXyHL-0?Pj{Wraf5adA zRS&_N|MZTxyGw??7V5k~$D%qqI&a&;UxsJ4+qdus_gE-BM+&;X%uX2{J#daFJeJwT zq@erDz{c%5wuJ@bFcaOu8>FE7%MjcG94>+g8%L*=g6=Q#WZE7cI7WoFtxv}NWt_~m zRb`B9Lh8bj%kri!ggHHXUmDiujD2d%Q=m zr$CU4;~b7TYu$)Bv7|Sd*&4D#3LD5Wg8bYjR>vqIsn{K|xrXw~j}|(Rl>x%QrnM<7 zH9_h7g|rT4q%b;~t(`S}%%!luASD$plTgVfEnOqDonMcbZU+fy8%aG;`gSO=@O-Aq z9SRmtY33CsMC88cIV!RDxW8D{+14Pb=qb(*m%RWT&X9_-scRGWN7GUqpJVwUP#nLk z2U60~5)xAzOY+F zcl~knsnHNaju=RoB7YK!b}hpWwQq!I!x#MVZ~LV`;n4=gkXq&-AxfF%W>g-2_YOTt zL@}mKP|MV{CWzoQ-e$=h><}d2=f(x8YO~;O#|9%zF|;Xu;ez}sn&5HIE~+xM^GiX~){Mm))XOJ1@UQmp}zS@`G>wP5*b$&Ztt`idNyznfky7PbjJnVlSdTooCM*c zC(2dS_)(Vv29yQqwb;pk!*mNZ4(*HxK0$a?xILJy+v~vRsZzsw~h1%6A#UP-L&BT|>~-07ES<`%8G{$_Oj-~Zp6KXA`= zy@o|B@1KGsw^Kt8rW*F_nN?&EV$>T;2r=S48SSU8x2Y!s^F_RSGA_?(Wgc=eh8}Yg4--Srnz+Qa<)4#A7OgnpTDxYH zB~g#(HL`3jbZnvVXM1@0Y&w$ZVgEg8WQ&6(jf{(CI)m2dI1` z!i!M1bYv@ci2gN5$>EO&{}>K^5$c{{F|T9$aNlPdj`0z3hZycR0th}o+;>E?!wJv$ z=gPR0BlmiF+`pFYW^45ODa6gE@6oDrgIV}O z{!}R+-8J<`-CaXMeJ20Qhs52zfxC))@UWS)ajjCj?H%6CIM{r#)BNDkH zr3%vmP^tpyCF?;MGtd)OX*gui^BqM>Da!e9%TletJP_7#2dP%zs4@n+TwR%3={HL) zvDWWOA#Z+C-_2yISML_tRM}=(Qml9yHGTZ^exo|#+I`UU%~^fW#}f4Ytl#igKION0 z@z;2Pbz&mBR{ph#l5KG>jE6Mxuhl$cRO6|<^Y&yVHr2QZPF7+IP}QbJJrOji@H!-( zmNW)5sW=@;Fqbu8DvoV7f6J#kQSwcRI3~92J=(^y|@1I0AjG0fg2{zkS5QPC${pYE`h#4jQvocET3;Xgcw| zMOea^OeEN00^5eu;E9j1ug1FrmRU9$pZtSA=XzhW(iY}V8EfFE`qZ;@%L3&IP#8LaX+8kJs~SBPL1Ac2&gvr= z2wOt5$`PseZR*qMcn|~OAVpQBAioz`P;C=L@6muoE1%LPc=OczCfI}OMht`l!sBWn zxS#{EfgXdF6g3IKWJ$On^}daG06bj+379&SQn{e${VciPfsaOzYt4{$)q&WU94xY8 zOTeBX_8n!`VN3@QKoXXdT%l1(>V2D?m0psL0OTMQgNHHW?di5Xtu0s`s7Nob(X53a z*1>~PshA%g4F$0flS@AE^Qp;0LX;epq|ftVY>j0p=c!qOUjesG*XJyWBPu(TFF zf2KS;?rM0=mwLHD;(Cr{C~7y0^0-gYg0HA84J3tyN_Hm(J)kjuFQ?n>sSu1(k)wR%{ade%{XRkEBPduN1H*>)L!YmNBGxu$k+${LE zt1~WnHut_4IO8E^ujzV=*^8@gG5a-0|I~o^mf3BqqrTj3yCg{er$77KzUjBPQJ{!Q z3(_ZiB^kfj+ykEIzDZ87!M!WqQV<%l#2Ot3q#gBYjo zKHG8~0$EHir0N4{6%t(W<5+L3mVh1#w&vZ*M=4?Pg zlylugHEZqA{l)AAvmI)!&UF-=%b(=z%W|WRzbuFBzpSIsPyb$xMh9%lvA<(R#Mp1# zHz4@TaIn8HAXWt5lYrn$kMpD5vI6ci)D1W_uetf<=)@N^|Bsu&4L@C3Ry93vIAwi}5fijk0y zF;ySJ4TOy(I#oB}WW-2Fg5WJh86y-rTGpW7ODU*Eg3Y~e(KUsVyQUeCqB4_jm%K*? zK~AUN9uv;cucQ|2*_d`d=*Tj-<`OxuF=6W*B#+U96Cm-wrxTi0=u~)As^fL`vSk8~ zU#U>h&Sy0Em}rrj&qreU0hzo`&C3*&@bToQuK39}RtkM2QLv);E=%ugK}Z=O2$1{- z?2Ve9OK-aSVfSSKUb$@k?F+D#Gv?rB_T^}@fn*iDi0cJXHu(tP5zD&5esUG`vRkxz+&ANKzx08({>5*2%QMe_+Cm&Y z3H{b#`NLGSJqCU!qwPi9w{1F2TJKP9F8asgzA@}O8AOj^`N<%949mkZVCnz?Zvg%4 zsf)1uzSmCUkV70n9O)DpU!?h{Meg2DE-DsO0b;?se3_aV6l6tkFp`SWvS&(D=TKUe3TpDS_-%5BGzn>W?{n_ku3^Ye3c zV+2MonW;2*fYxNi9>Bzhpc~i=zks7f*cG;*)~~zBHt5wF$qmt^mO)c5oq#}5Iw9*` z>(I0txG`bE-G=XR*q@*NP` zo^KADrXY8OK)Js-Y-wE^azfpe$({6+F&ah19oJ9!q(v`X3AwB z4gI*hT3|`ub?=o|q#bFF4tV~D86bNB^Lz)6P9%oUhx6`xSIf#cAQ;;06npVNg&fgGef8?q*I&K5_f9z*vKUBgM5!tXk;=P6=O$}(Wsk^9rfFm2`j{o zU{$GM<7XXXN7Hd0JTm$EJWQO2R>OUTMR-O=esoh;R;QvoZkSN?DkWt6S6PxxPegnN z1jJEiD(zy>c>_Y@8pDa#yijsdKp2!{T~Jszw3T|rI3cxI+`rUngZj)%8`|P{+n{98 zpXZ{6I~r8XI#(}GR+Lyp`<=_{BbNma_)UEry~tI+GUu9At!t2(UgWA^^S*Cf?!;xc zh)VBq+#*%BPj9>UXJ7w~|K)%7)*RpQT6r(Ohv|%>f8h1-0`~jV^Hc@5DFKt#@cP3& z7Qs`C_znFE7o-w3K~N_FdjKz>18z?-8ZJmBYJ%V;B9lRL8|fE-5y}y%M6=))7P*Yb zR81vFd)@?*LQ0MFP*7P<&2OR!cE{!@F(@R7QVt|-N}&MdU_+B;sFMN$Hczx6&jLrqU1!icC_Q*&s<1$0YHlDH~L` z1@Uw-`)t60iWPAu;mB^%btNbqrJGX)UE}?%uybV>_44>Kz+K z5f+>qaEWkiV<-y_TYxIktTRZ9Itr2Pe8h>ubG<>UUp9hb(r)<72*x1Yj16A^JT#Q- zz};Ov3gOA#fL~i_GLVc!V5^c0kM;)I9lT-kR-s6^mJHAKrU&-U!L;e#_aJP^(~r$h-7mDlS?&w`1ow_B{`iLbJ+`XRlhJX zI44iuRq?_|h%QE7$DaSI;)S`ovKIzR<1frel+NakFAOG6t9Aq`HTJ?_$l@*XgpAavK%_|Y` z!h09@=T|aLD2`#aMHw%OrY+-Phhl#|G;x1%1ATv>hY%9-_!Ht`g=s$%zrqEJ@h66q zx-eXe(<$P28dch&YX5~zyT5g(-EZ3Hiy++97V$d>6vr>?e*M~Z)5iE+yW6xy{0;); z{#+0HA2)4`-?h!AEzZB?=Pq17Sr7Z;c;o$V51Y1#-?h9zasR;u3y1UEv#opy5ug(e zHmu?CvwE&OSYKCRhPSwnhjNUx6Eo{P(^ zA-%2g|I>SANbjd#d;Sx?(~H1Vw_CPZ*FXlQ_-GUhwN{~?ZJ(d zF?&GPF?-1q7w->9Z0RjB`P#$Psb-Hi2&Ua$Q@?F9=&vf}()l=dd?t9|M|!&=7i4Db z4le4E&l_haO>LZg)?75VWe^{w4R(J74JW_D;FG^8u^ZF6{M}2@WG&Ik%W@lBEBPS} zafRTitS5-T(AP5Ku>R{N;Pg^55Y2h%0islj=f|*ESMeWAWV_~0& z`5){9S$F#a_9Up>+&&|rtm1`n3#Q02p35-qHpy8FDXk(P8Pi^mBQCdwP8Z*zHFSD2(73nW z{+ZAE7B^P3)`JR>-c+t)+NF-Bh>TLp;l)myAV_1y1QVw_R4ls6&<2TxUetzJ5V#_Q zPHC((lG}@dRE8!9Wkh5)6c-A;s0^bZzhEYaffE1h$ka4eOc3z#tyZn=06MQmq$V`M zzH88Og~zy4&Y3thjTIA2fIxVoJ3uC?%Ol2$N%lJ!Us9|G4EvyLP4Hi-#4KU8;ITHW z8z?Wi7%O?qY=WTnKOwMEi5bkAcy|z8B2o-m1w6Q_+S4F3fa2|eg{W-*s6IsfS~YvT zKd3y=8o*J>c!N@N9>gpFwjW%jc!z+gfz8L`-0#}*+!vW3rqpQy07oW&g7HyoPO)8) zfwjPGVmWA{_bM~!xH%x3Q@APztK>&Z*~-cwyld0i6lUj8`hFp;gINz79nIGKI5M7tR%DeVs9 zpk*cqs=7ZV40PI*3=|VYbr%I$g=Z?z1*rkEAfgJ$nv9q0@iS(E5g;|F>k}8tAXjK1 zBX$S8_Cr}?rqPrr_oz4&PBNf};Zvk#JzrLcm% zt+4!25?}Fp_IP^`OF<@$r#{w0C*B|Apm#VUjES+;l(E@ojR{fB3n5=3J0Hpr{Vghe zyg#07demWz6u!XiRqbYfS5BSh9}=c6p|xy7+@VF)J%E*vp4b@`#BjnI#<14u8H@aA zy5}ytMXQx?GgiXef8D?QCG*64dsHi_LvJnGQo)mjF|@`B@qg%O5o~twn8p3gQu0)@pt#9N5Ozn>mMHA1Dd5CQHo<-e20th^lp_wN7AM)JhN6ZBM4TSr zmU=`9VVCgG8pcSH=!Hi*Jw|Vi%|KGZ7&a!R zR97A~a+>uBV@ixENhU-(&Ide3DLA2<7@UwWhDqWIYSv?qt6JTiSjL6`4Ki4Ajaqcn zsU_q6f!&yB|60%_6_O8TC1fvuBJdz4LnvYs-Jb&wZYi9h?Ws)#4-NQI3_8@yE;YTB z0u8H?;K>QV+>7MS!_~4~laXM>o7s9>a~)4w8=YmhXf+aU#z^?3U%mb1FTFX##5MG0 zp#%%3C-ys}G8rjVO_0OsF`9k=mK4f`#Cy90qwr{Y45oV>({2mJRi-dR38k1n{8J)I zsaCgw{*DQU7)tNaITuM02%^y~&5kG-Bk9!S5=uaJL<+~EV9a%-HaZ-!8ze=^ z`yG1xV)mG_Dsmk;CqshbGTQ*dBi~X_G zhQ7kE_wcxxF_RxRQ4A&tSU$&O{seT!^%=!`)S;!LkUxMWaggVcpudfYxsitAn0obG6h!$|li1r&-1@Az5rTHB*@_Vh`1c8JCgGmS>TbQv#l_ z=^%e7p!XR^EL#W^wgVf15<8Gs2QFa6mHm|LC_L6Vl~Cnf2)&qwe$GjHV0Xrd$y4efn8m!;cDhYO|^>n z4x@-`-sbAAn2TD(mn+~|X^pzE{H#tE!mp%=QqnF(?jQ1`h~N5MzwI0Tk{7ls5OIKl z?O~TB&7Z;|jxgn0Z1}}D0t$8#vr1#jPG%CaN=0sh(}-23r%f{FLq^f1G`3uF3WrK# z%Otb8Gm06FcjsX+qoQ85B2O0gs*2}f8zMc7o>U57$GM6~(Msa+VMJkEmeuCcz#srL z2G#Nvq62m;;t+8MK0N^-Q6m}z0pfa>>4-vaE9%mVZe%?u+S*V7>CQy}5gczU`XNAM z+R%}lUyi%n48L)D-d)M9ag!%4ZnEqat#Ol^iJSbNKm5MGytX=2i~^Do5rVO{IwV-5 z1*st;EY||85WPN;HK99g|3#H^2M21(+BmOHt@vZ1v5jbdYwN=I^(C2VzSZH-;a^)F z!jQNG8Cuf>F~*r4esU?I)I~k~12|q&sWAcoFR&6PY(3suipyM<&R-E1%QeomcQIOa zi&mpx`7OGni_zErJOA|GyY2qH-V95oq+3HQHtPt8q9pzy>If|y$$|~4HbfAa4FxHr zO;EVv1QFi%qhNJ01rPG(Og zp;9m!1)!_bSov|^4FH_<(Cip-Rmf%YKq{1qN|aQJU1z`9G?@KWO;%Fai00ac>Pc5> zXO~plJtCcH0Z01_BqCnq$e#d6$gYSPOHoYpeQWO;AL~Nrh&Ng8fLr6vX5CIuoY&}V zixzTcS@Jqz|Jqp>&_LXO##zVlM#2g@>o~rN@{O{BQoCaN=`ggDbtaS~gF;ATB_)Zg zyH6?<{1CX7D^uOQ*YCah^hL$fw`V5Wv1-km(V9Q{e|*x1eWYtm#e-N>JfTBC`5-O* zpyNj1hmzYebX{gN7=a%O1R)6?+Y<`uB*VT(z@4fqO$PNEad1Z@wiPi4UW1f2&nUcz zQ#^D??<@Rpmw4rTM1de=v|cI@1QuZ$r`Vv@FoE?Fv73!Jn_+$^Wq4NOo!#(ARAF)( z@tM|-wEe}`dAo_|GkmPU(i#+leEFkdtJ?HHF%?l)fkTw?g(9p;U7>jkW`RMNTFfig zJP%3g$~wl#EC4-wQPf)tT>NmT__89TU6Jef#ChaqfOMf3cW@rVRuU-{t4n>!^cc^4 za#vq+`6u_bK+ z05c~>qS3XZD~@ZlCm7ra_JL}_kVZzGePMuzZ&~gT4GK~dMTHJX;$>;%hvgY~BH{bX z9JAF*SgwMu-AY(?i&iUP`7OG{O8BJa-go}nb4&mrV&4fWf3{Uh2WYm2ruT{EJ>A|a z;*3^$jOHp6fRX{aU=eXfgL#a|(DW{`2D)I3ID;_y2th=gQJ_|4PD>_$gvw`V`k3Hx zK4KAZ2Bb{N;Zckaz<(KA;nDN)(9iqgIlgZGSgZ_$~z8k3O?lLY-^lH8Iyzmkjzfg_OVa_ZP2aUh1- zi~9pA06C-MwntH79CJ3nAVKsQCIC~+_E+{voID`JS+Vn%i_j}5+z|` z#hnT>VENukf5>9H1l?D&CBh1l$r6d49|&Yu<=-`G5yBcP{h=BhJu-eRfO2)Q0O16l zCt;8#_K;Yd{LtrrM!&6Ljph37+QS;@Lq2nhRug3TExIJE@yy@;>!B_sev z`Jhx}SOc_#HFh9E0{T{<+tb(CHT@$|HjvU!EtdK6fr;C zg2I!L_!vDtOhw|0;4!*9A|%X6{0=lMF(j-?(560iZA!zpHH)nFOv-|A?i5XaWXs8N z#E2$8ZIK|Asaxyvlg5BXkFkAdbT;JSF}CRTQ;ry8``rQDJfeSv#J3bIWBZd?009Os z$^sBlY$x7LW&w)3GnoY_ZqNBFz+(L`3OIw~Wi1q!sd_HK@r8;O?Yygz^789s%-*%P zEa|)m!|Q}8N^djSUmZ3{U9pj6HB7ad3?WHIR-S=Il0U;Xme zRe?Nd73Rxs(W?G$M*aWpUvvNC`Z@I)jwQm zbcy9MEn9rHik|qr}T2Qd_Xo zA`5*{;TQttB`pou;x2*rDdfZP_Ix!xj*P3dmX@uhW$$rg=-sbgy}Ehj#neD$uo zTzUP~t9$R1wD3-s0sE6 zBSYgMr;4^dK%NzXbfHZ!RkcA7oqwTh3qk5o7d$H9ZcLCmGz*@Zqt>7`h&WegEjlzy zHquB4YPmU8ZJB3vsOU|lJd0W^E{jNN17n#|ZWp~JN=;qKtE@@o$4GM~KZH&)uJU{- zPFXLz)btYfTNP-5Z+{{cXnJz}ySvhyJ!wwpvRkw|p*Q1%{?PY)^>@G3v0&;v)iLPY zY3{h)54^1CgicbQ6i((Np(4^+|L>fiKtYt-zO0cAD#UEb^xRi)QaN5Is7f zrxtl<6(s3>=}|`~6yuQ;pCh6zZ6#Pbp_o(R->3^8wCpSKo0^w{y79zxT{#x0d^`6zQUj6FV zuD;}@FGENm1r$cR|6P)r{vZF?3*Ytqj?YRq8r)@mIdlzwn-hIjP$;3Vtj2xQroyiV zXu3bLSc-xjd{Sys7v#6ZMuaCy4Ta7HM|zs2p!?PM7ITq z!@o{IE*Esa8lS_a1$2xfZabvMEXDU>qtUDi?n2CNiCAt{b3Nh=;Gpp$VUY*(}H?z9z z7OhV0%{aAx?_2JC*l9K@d_+-7iVCM5*?QLuU7Xgyi?jo%3C<9Lxhb>ig08)GfB^3? zkO;P^RhA37_JUyBX;<{V@916?FYpd&{UWN-<@`k??UD z6-F_+oD39WRK>hJMO3&uvT++vd*7$dTXx#Bnp5=EvZ!!WbE45ikIhDeUDPUzD|Hyh zJ+D4xvWvk$7$*J-!ga41#bp&hn#1C5@dt5BHSNA~s<2e8z& zoZ3|=9YD6%q~NXtrYQP#$~$6HBlNAfs1EJ9VwQM&YgUbA*DD7+qgJtH)h;FOwo*n5 zRz(xtxC3||Ub{Mgs{{D5hnmJikb+QA!V2P4&V0nj_kAk*Fs84{nG=84%U}NDR|4#_ zYOkBoULXHE{-a+G4xrXg8Z5{PEz!aU?HLFQ+BeC=u|mtLymWim!wZ98yY1^5Pdb1$ z;tAPN2{xj^Fe0PWH9jJ>R~Bqq%|W96s;LWBsl7~)jhw{+JTR?UjmYq27Ci1imIYNj zRAEw3U;5j> z{pWt-t(l==1{j1`;Q-H40)qrONK0Sq$D2*Cl~M>0O?WH27k!5r~O z6cg zsq&OdbIcNV?*k(2Dq1JDVjFD40O_{`6~=E1qQhnj*k7MvdMVii=2F|6ZhvV`g(rK1 zyP+X^!qw|?Ds2j=cX-po;KUP)cL4R*gXb$e+nXK;co=h(M4ztWzG3$8aBqNlLur;6 zbNy}rW(!aE#$j&_^B(0>BRo^-5#FTm58i`43cqT}@N{p`8ok#yX1`0(8h;NIwq)n7 z`T7R$*6CEAJIJ>4d%c0x-su^g+64a(V}_@D)AhTpyjy@P4En4A<>X_Ynr)K+a$WKr z!kFRnvq>fId}EHNeXp)kyhpf2D0Sz%wQsBYzU!!*Ff8X(ODl z={IcwCxk$~LowlT(;mY4x6P(4N(o!OUvWZt-}3Rdr%f9huD6FxTbw@x%KgjpZ*jcw z{m6xoW~( zE%74)tD`2vRUZ!Yt&ZLAk7ZvY=U&b8>mWp;>G3K3X>NZ>L3SgE`La znj?R-i)kR=l46BCCDv+V*tZqd@I;Y=*w=QprFqQ*JzsUlk~^H5)ZJytJWwd%i$#2k z?+Sf_C3j_9E?<~STs`7a}m^q>hAAO_kgRUK&eDhqJdVxdu-Jc2Ptz-(;$n?%i~ zxF72SlP$lzwQJe|H1)O=`Rr`UvA@%XzHjBeQ}uxfLX)olj3Hkb$diVAaQ|iu`S9?< zKyLVy)9~yEv<$H>WOGEQSL#Gyb=S$tS_uMS$8YGA_j&Phv-dd)E8DT+=^nj23C8tf?-wT8y&rX z$_mB~h1m$JJzBx^N(w6&W_>wfX$8Z`hu07e7+y(X1#G@%MfntCP)r-Eh0P)rT(lNeiR z6Qj`a_Vg%a7Pq3`c5T8kLvjO>M;tvUu@eZN27mpJP zbGJe1Xvkx;}zX&T8dnq08(rv*Qa5?e%I?q%@@>|y0LnJ;ndt5az}TA)?u z)orp|*oHoaFX?E;?v*S*iyYwjqlU%9A%C^O!D{#whRf2Y`wyUk}OcHedRlM_=s9$j^s7pf7!XW^NJV zWsl<)g%F=ELg(afK=CjQ&7u(F)5W;&6M{zg#kYO-$NrR8bm`47nv!&DZZEb`@cI&d zH*cflGGown2qru#)@Y-2sMAtZ-Cn?Qg$9l)Fd7Q{NECum19nIQt1+{0(P5$#j5f-o zN{==Q$VnJJ5vMdECQPTMaFkuhY28mp*CbEi21W3OdfEb{Va#ZwoKOTVS7@{qRJ2h} z+pY4B_IobS@3t`?K=)HY+J8~72}eK$tjbqoJTahHDcijWP7-&@9-^63l;_4`JoZ+P zXnN3a#Jr<+t_%?e3A=^7SsfFC{fBzwxI^XQS1}QdA$f=aID(5xyB@kFHjX!jILL!i zgji;HOEh4a^M-nPEQkP2FE4o3^tigml(&PXhw%QmYWjPxUfmV-qN$~vz)ScxdswG4 zMlZfEfZB=_-x5&U_2Ui~HSeOTTs8gn){J={u>|u|8=hEwT{A|`?`kEAUxg# zX9B^dsED*FMI*A{4sbbf;L#!~@`TWKpu1~=2PVasg+RF&3d^W;d_;Z-vLNWV1S^MX z@C5#IL2CLeXzKO=Vv%}8YI+j{*>&=t`z>NDWi>c8eHMf{B0#2oM3A3q6GRq=)(9)I z%bK@A?RJz*G-GLy#n63GqC?=k=~~mh@vkV#m_Lyp)Iq;$Rom8$CR`_1OE$1h=w7z{ zUWuPwrTmKAk12>JlM_2VpJ&{g)mJV-GD|+s*RDaA-J(^4E-$XQM1%fYKhu5M&(3Pl zrR*n%6S_aB2HmFOF4GW|28D+V@GN5G`xYqL^BNTGr$D13L3K!0f>DE>PRA4~KadQw z95HH8WDrz?!W&KKc|XReLHiSs_!6dXfn=ygj2aX@G!A+)ZBsCo4K(N^my5*n)1k26 z#(C-$=^tQ{C+K%X)TzJssr!|mk1%Fs$*xJ($e#7^9Pi*ldKU}Kwn;8IH5c1W1ZMIn;=5sLN-6#n%Jr zSmEcw?~HyjAI9KVBMe+NqgV+&V>1l2=6Dd-IX$kc;t%y;Pnhex>=vzx|7H~b@B5K& z{R{uPD}DtRT97~{Od&Ofz=_r;_c8N+cjzpaCCU2u+ zY&N@scv`HQpcoNxEko2$ltpQbgk;MdaBnAl1G=>rDNllCxc(H$lfY@y7UznYxwY-A{)J5=XJ&Y9W4Zl_Cg0b908wF}iJAmuHsKbG<6fCs%1MyG5(g zycwnW+kg4b{=Hv6t2C#2D@Ub?V$}1!m7~&}?5!M?=5eaGa#Wf}V5Sr{jx-ARj3I;fT=;~NIe^E0Y zK*A!ZAn4l!y`=Y<++ zhZwaes;>a5rg9`nccJp$@%E@iPnzXqJeZ*9s2eLS+MQZbk-~V`6PR2{hC+JKMoqP1 zl*n6(Z%?;PEWH2oZ4+^v(a3?>KqH6o=x)di7p)u=+Ot-T7DNjQuXskYzO`s$Bx;d0W%{(JqkXR0I{bTdlk3?&xMuSqCCK8k^QBL(LNm3Y*SHl>LtumDbU0&84{C z5VeKdXSiKOL5Jk6gn&cHR@l!%$a#Qj2GX~v^oBs0?UlzW2X!AB)r@3ugmE+OTg1o( zmmCjR9v7cqV%mPf06`<&8cujm+c@qowsPLT4w>_f4KZp&yt^2qmKWMCHcG4VR+d8* zt4oWamg%e2F<ZI ztC5}(y`yGSya>I=fGi_pNH+6(dp7>~>RA!5o(U{!}tRNY05K6H$ZH^*v z@N!m?@ebi3Q`%5GZUcS*QL#4rsROcr*RyX92~Zf0Gv1>PI6^6vMpG^`RLTY#c#h=JPO>(I@MUz8Jm~pOpwQfQs`AAi{STkyvfw$hR z8CjBz=i%?N+3YG=L1{Vv-e(3w77i%@BP)!x=VgOcj_qMLK`aM=Y8u;%8)2h1J&usS!-IqJT|`wd4Nc zRpkAZ3N*|w%$dPd8j$*wrXH9*VVe2=;Jo3R>Tm!E6vr>?4rfrB+I%=#uI2@3!Yi6f z&9R27sptUMR@Ex@r8luwp0D+2$ru+R%bO3e(a1~WlDZhH$De}A^S}N*pZ0sdY%YG2 zh`14U*rElD=*eUvr+T!sc01KeGr7@;iEtKF2Zbx<8=2!(NBf9Hd;m=BqRfxz5L3rS z#IrM2#|%vXDSe4-(?)1^jHnDiy&AtJM{x91Cllj0UEgVQnj=fxD!~}P0mUCt>Kw68 z<$8WH!j5Becc83SEFt1I^@UXQR63om#g!4W3>-c6d5f`SV(;vND!r)~teu0rnsr%+t%Uq7qkB;)5mjo_4F_ zbN|fee9>CNcS30D1qQbG4YESEwT5r4;fr+LW3h=8qljrBWJRK@u4Y1T)g|o_wY=C3 zu2vC2y9ny9aQ(19ROKTzD^O}t#71CNT#`nTIG~3r^zxWlaEC_HTEqAH(@1}_*6=+} zxXAne;1Bm->Y&SXGoS()Nz^s`g%1qx6Mfggx~8st+LXc#S+GIDJ00)th$V48U68pQ z69ff6z0?Ljw5ds+3o_hbf*6mHc8wAO$w$S|%69+Bb@m%rOJ zsfn2jy5eUNx<#gj{&C-=-g7RBKvZj5+QRs|T$lc4eu9YHg1O}B0rBYV+?H_S=vzXikpE`{O4cr!B_s-n;cFdt;>yZ&U&056)!*(0O0@{_BtX8 zM)y<__S*6MHqe5k!GVtkh8aa5dfRk?QzSv8!8H?&*6vU(<%p85w-L7ppG%}0f%}xM z*G-sOvh_)@KlMmH2vT9UOIU#{D9QRHcx*@u$76&cQ6D2!uATJ(jiN-80)Sy;{%OQT zTF+FSsJS8LP<|fiI4O$jTp+sAe5pmxBj_;zT|&Zfe~kh6 zK-9L0Qa=kdUW_4p8l=g#+jmyD(#I&2qoIG4R;1WeAHeo(it_Wk7`0>bM!J zSU>c}ThTnDrw4;&$wUcoAkt|QOk$%?VX_+K0%LMFSyeyl1 z686tbL>_Yz_8$uwreXiF&SV1iKO1zQI=*{9b$`RcI4?amBqoF;U)4O8A%?s6ul52@ z!$RZec&w$sJ(mUr%em~Deo#u@bpYbnsv&t33- zCW*PV=n1$~fKjS3!vnraAXntrkIkUHl0f@yOrT})eM0!HKXza+sm2_+M+gqVv>Qmq zd(yNBT z+v{~zyk1xN^}^El>ow9z+vdkR!l}~g=<9`Hi#I79OfG@(!;~cshu3SO$97$gqU9yQ zD3_kd!6-)!2kW&^WrZiJx%E8kPTrSLKf(vB+#zB$8}xLJrNSsL;Zqg%=ff2D=emPB z*wZ=EX|iW^0Ud=vxj)y#{!%aF$B&lO7xkEy@*E$IU7%wewcWD~bU2+>B846oZQD&7 ztVvgJQXx?8&-F0B_S8K0S|#~rMp8D+-mVii|2ui{xe6g{?a zaSy0V$Q49)^l8nIAHDdtS`>4?>)tD`NU2ArYwr8MT5@VEt&vkYTh0@@IhXxL^M%Oc`_-mLv*fOf%jE&bE-iOS1wp*9dW)9b6-tx) zM^0Z?P#671zbovq{T(0tJ-7a(R}rZtPGhhNvw51GY1`3>Zr~`(2Lig$&dB$Vebg%^RMFRFnj&YBs&y`@|>FgVJ#Ss5a zx^@?4sC@d8|B_3gVYF36zA!GMr!(0+vnbh~?ui-i&U8=Acze(dJ9PvB&AC=4xfxoN zY?C1eMjMn{bF}nxHf_t2?a4ly@eVyqpUr5_(QcY(1R|SLmX=L6Wj&#i?xT*G>mZns zKRf*sDQ4vNPz^2XA?YjYj|${upG^BJ6)+{tR`QdvG>lC&wM ze@(Ch^hClXD6dX6!7fPi!~`ed$rb8&3ZG2##Kr`4npNPyCvVH9U0X)UA|xEJZF25F z62vzp(t9?lV}TOqh>PmomZ@mju|)qmW1A@8tiL5xB0q&tsYP-a$B}J8e^*hK9BQ#? z>%U|2W502~=|bTOgas>VrWZ+-b0xmH(0ntnDb3Nk=41^GG`mM@2BrdSTKDz`Dcnmd ziUcO+^Ak#SiRDqF&?L4LG`GCjfN5z86<7Cw=hzB`Q9T7behw}a;e&Ib18|q77r{T6 z#m6@KvnxsavR=&B&IPyZ7E$#*j$5SaT0#oCh%fO{<4VK zP-|`jFYB$@-Ja~>N!K+CPWA9~&5gL>R1Z(r+&cufCwh3g=7!)@4^P+JhzC#g@T9Mi zPiCrzr)zFpp0Lp zU#dgV-0EUG53KyyCbizWTCer4=x1!I!n)HGTHHHMoKU7jNBw-L~1=Nfz$$5>Ef zk^pE8z+OZW5&P-_6-k2Q>43s3O77t6)ROV;@bGl@-BI{wi)02r$99|`R6R(f0xYOa zjX)`q1gJM7P6vnpER^Yl2Z#ZPVc<1eW)H~E@ZYmRf!I5j-3J$oU@C;~cEgZ5Om+sL zsZD2pbz1#hmW=^53^rtk*U{{Ejuik>c#P?+!WNUiENrR^kXNpFQT>j%5bpS38SrHe zt9)g1B^QGE@~+#}#Tw!z9uk02gtz$OkU)AbW^@#z;g7@L|Q6FWDr~m>pNIH60f)lGP3MWCj@tR`)M73IXl$~yP$^z zz?-n`KvW9t(*cmI+Q$VwB!CaZksR(61bt{prJ?oAX*YP=9lEe_YWUJM*NBG%SRI;! z`6Sz%3g$QDNJrHFa7sL_evBRxz%h2njthkdX0AsJApuA#w<*a+pvkMb3ks2hh=3$2 z$m^6t8O*n!Nw?iM3QzUJ6qX3PLKEN6^ITb#&B4DmdxCV@rZfOCoHkYg=X8U_m$+}R z08I1SUnW(;BfZJSBm!s&M`BkOlHr-&oKWZi@i6K9)9sF@!MzPn z_2zKeC-NS6>VxOSBVF6gY1^b1WxwAJW)IKxrt2G;vUmZ|m#|C|;rqaRLps3?CRgAy zBw?wR@07$VOcD@jrk?N&g6g(DP61PANu=8F(V^sV@LUV-!6Z-GAOYWq76wB-d%QUZ zfhNzZcou=Jc6Z|rF75%QL-s#d^@+)Im{TvltriK(DP%1jfUHllpdo-Qgr;zvq1+_0 zw(u=gIq#C*Jut z|E}-u-8GMIJ}$~3{I)uJ-2Tdimwksob4Mz&qjP$uv{^)d46lm&ru7KaK* z-2qFJgfi3A0lYnAX_8Q;9pj--X~}L!h!WD0eli-$G|2`%5NHD(8XAJ-g;cz=N$wF% zRLM5cH-<5(J?wm7_0jLcnCNt%R-H*Mx0b8`Q;d%L2_y_RBjp>pGz|5bJ)=9B%|!nq z{ZhvkNBs*o`m3dMEaYsknd-l39=aCQ&SR_GJ0OixSP=o_6#Rgz5FX|YaAFAK;u-DH zhC{Q>c43qIkycT6+3~hQvgz17ynFz{TBxJ|_c2J>3#?jFVrkMt&0L+~}(C8p6JS=^(OMCT#d0uxZozWm|0 z@<@4_uI%Bz$`3!5W*+`4d-&-v=rE|2^k*>rWX|^DaR+r%J%R`Fn zwFL66WI5EcOPL>EK^^1389e7rJe?(wzkmO&Z(eH*q@@XSEFpf2jLBMK;DCZRY>mMP zpkS>rxD2_t))>5a^`3imUy%=aK!K%&%*(j70tzOGC6@5_Uea&=kG%EAny(DFM2Rfa z!Mx+HJ*G1L#z1m81QC2|INuXdzZlF*C_+U2+AWx>%BWU|e%zjn`i0;JxYQ=1ej)ZD z&DPIG{X*yi=>l|xmna!92=y_B$Ok}}0Q_m(r9sP)@??r&9{P-&4`CAU>>WqD2#z`YOdc1Y`)Q z6BwZZ)UuGAjnFU%k~pl&l1JGvQVSu!kDwn?cqV3ZBksXa4+ZAo9O6Oe4nawsH2lC& z3x5>aDp_Ef*F!O5OXIOg`0pt;$qt??e?d{jStqS!-NOlWsfWZ;EF^FTG0X>Qh-$&i zd}Brx9CLmvsPQq?IWD_JE2!~iK#kx2!LR#gf6JS+s6l8ph_0bL52Xx91u#!&Ik>b5 z4mWKO69lx1AS?*(p>jlfxFD5W7HoT_U?A9(4Z_IXL>*^>pb;QB5d^nnS>;#2N2Cp6 zf`@&_`V9zz1u`O=I3hKi38GWFR$IUzVDGk~D$%0{IBO(mBvI3&<`Akd2tJr96wNb4 z{!^F4|Du9YPcm6fsR>zbXB3kwt2ku6>{8Q9+%Kfy>?>-2f$U#9v=k|nnF2;JNQ2H} zHH;%;V1$CWz>zZrHbxXz224Z*hCSI1#8{QwS^yY%4$Eg}7#^o`P1J(A`2Vx_HZZfK zS$WuyAP~D?V=RmkJKCF=Aj73`cUN^)w=l^@vtY6r$?~0zLNL4I*`1kXvg|jP+3|Y$ zlNAZuGKq{021~|?77#?{Cy_%ymM}jCd9yJj;05ED*a0b#ZDL{U5KJHm&hwm~_dV}h z)m7E^e&4;{+^t!yzOLJ+-m0#u?&thG=Q(&z(|5dhn8x?D#5(uAmCgLW^z$G7o&~HK zG^{Bk?RM6UmSVXWXm|S)sIx>G47sd}?PVkf^($U4!uZqhw{^`{gV210c(c+uFQ&n+EwNd z%DeWzAr8Q{q^p2xRC9Dk&q?)K(%rnh1&z zZg>%67`GDX6aT#sf#+4bUO9IX>Hqeh`n#X@i*?@1g~K&fgpL{ziKRyu&2Z5BCh*!@ zMcxa!r5)z7XfyAOGUpLJw>0cDr&O$%^Ikl3OZ9Gc{dRC?%0uV87Y@B`Rh**lKzFGO zbKVPih8Egcvf{o>xz(&0s}HjG)uR#r)U)me{cMzg+{?~#Sp1jxe=)2|KhwFWv3DgU zRw!1)W2Cb{gP;HV`BhxaB3p2uko_{JA{Kep?AL9{ew|BL#9!F8#PgU+JofW(Ca2`L zXf5&FOo`{|@A`9Ze`}_h=x9cVIvdo8-!}FwA*t0N!c`uHy=746hTz{*iU|k?5o%3A zWkco*k|EkgBn8#&_m;BBhJ-pdL>dju4d&BMm{}nRmJJDYZg@n0InEI|0AzzSTaXP2 zb#B=A7_?`GmL|)F%oVsHl3tV-5CvUK`yyI89JkG@%kKnVWP=BwR2fO)QxJtj;su zp039vM7c&t>(n<~g$nHx;YO>v0H{c((sHRkAZV|xHba*{-bAlWhHHK8kS!oTQV+{O zonMKq_w&ofsCS@;460Y79)d3Beu1v$TltXVUP5kDTh*`FXjHWG4?2_NTaMPX(>q#~ z3&RtgH~EcCRWeh*LatuB(<}9>YIFC1Vp#TlnnA3UH?kbDu3aB{*)3X~isiTH67K5f z|MM`qM>&+NA6%pBMG>Wig-Uo3Q`TYvE3e-ZTUP@|!FY7P2=fHR)wgvJ=r#-%7 z;2NEZ)9$cUZVOhdy^q4F*fwmI$2o3Mt*Xv3Iu)R-uoakwD!zHnsQ~u{g%pK#E0pCA zQ#ci%mnt0=UG*j!@b?(b;v*wI2>sY$si}-w^y2EP@sxTOhrr8qdG^%jOS9n z&Ig-*#r=j+dBofOW=DZ^ss3R4Cwd=tg_?~JbAy_VPN)bw;76mttAUPng;(_e3+Q4O z)JLEY5G>2*$aV%el$s!e9gctL01KRN99Iew%0;r+LTS#Yqn9U9FR{6g^DB##X2~q@ zNRGJZF6j!P?|0?BE;|)FrDLe zOKJ!Lc#usr1)UEaium}6W6A&lJUN&(*?_;1X~iS-A;b{c5;fN#l<@Du4q|`&Fz8zt za81Y|_a#9|U_zaiIE&)?EP_zM$UOWSvJ(zq@{Vam+=mRK|GWPcU@YTT`KL2DI*)$7 zaQ%0B&-^a;1A=;yd?c^EBIs>EPNr?H5PFX{azE+ zkWv~Pnh5IWsM#&9cx(nzud#^RLEcG7cs;7Z3f}p{5J7!KQ?6?85A88_VzAmv1Wkq* zd@L=DfM#Qg<`pFfbxRw3!H?Yy)SxA^?UpvqiQ3kU2||T6nb~&BB(Q}+jE2g=lKzcb zf=!2hMBw+RB^{h(c{r(9T|ZLjj_ShD|7zie@UjoaKwjb#hy$Ps&+;;KI?DFK+;#@& zu~*_UoX>3Qfzh|9N3`}v*{DTpWp!Q7`y6Gf_pR#i<}Nscbi`d)$2(kOg8LqG);;`I z&Z9vhqT~=w1l;!gukOO_4Y-%xqSalv8F%5!fAib#eNuK8H2dN1g5^T+>-N|R;m~>4 zDMyqOnJ26q-X29*ohV&Z?%*YDB z1F7@nMIjtI_nt5xX4W-1>80o+8er%IFtt8dv#2OcmduNi!ugW#ks>2(n6%WMF z{D3-a7w{dK0GV;xk_(IU4t#Yz;xHSL!Cj8q4GY@YVjTHns$FI~hs?EP!h@ut^l*gC z_aN1c`Neo-vWBCyU6eb-TJS^9YDOu#38{AUZd^3M5gL-EtOJtcg@&StOvdvUrc176 zSCs?$_OZ0BZ+mGQR~M3sY1T8!0uSOB*cWp33vRDpu z|J*O|ae>_tLkO8h0Q1E2$71M%osuEm7lPagyf;fpCqqfZ>lQSnWsoGrgCMqpjC7p6 zD2aG8?3;!`KadoYmziIWhWy1yhvshu<5@tjBqpMvB;tKVVX?`I=xnQ2ltjE6LZe(j z{MazjE>#76%hCvb=mWwP>7E4`fPq#TN*ci}F;@m-tZKlzjNw6%zB;;;N!)S^27#=Jjy@{`*ax~0?Hy?SuS2{8ul#-3 zqI$++L2Jx})W0_DN#6Do82g*|pMJ%Cr;FX(1w;tT+a)B|32j4@Hpwq~w`Pv*#3 z$=yTYW4l-10sL(P$80D8f|JK{V2?R*f@9gR%m9!G4rl~Bz%(4QA(1{E+J~LMaFA1o z833$j4ff#c*ExkE1JIvNa0%D|a5`zVKOeeatwBkUvKGt$U=(!qX{rpsmV>QPoIhcF zUu_ww@F2~zi;b2X%1opWM~`<0E)MDS$QA6iaGxs6m;sng0KBUf8|Xtg#>`G+y+d%DSc%LF_j#L#;=7_)gV-3 z`ZHZhDI|iO#0S12v|=O>#uzHn;R0A_e}w*YuMn1Ln<05hCaI4+oY zlLE&j6K`r(nj`@HEU#f!8uIcCZUuISoV~G_S-|x=`Fe8fgO%j#Bg)bE=5&CbBK_$o zz1i&cA-#gOs@MSx2#VeU(Qt2;g31|L2PA+Bb zyO>-n;!5F!Wjh}q^?+R*;)L=6$m-z(i{w(=U!2JtmW#)jH|Z9pDE%I;7ODl8SK^nk z!dBwm@?Z0nxOc`i7|QU_Nx1ETjDP%}J^$bSVgOvpzz#F+Euaa3=8@lLMLkQ^Dsj*A z#NC!u8K&lUM;|(x42z6A^ogZ=?67UXFE116G2@PT>PDtVj+$ipm`E=&?od?`_8jC1 z1(~B^%($DV3R3gveSj zeD&^kKL4)wJeRWif^SQBk;Fp($vOj|-m|#I*2}%IOE~%;`&T~n?LVHOUK1S-^_KQv z0CHYbrbz0>j`BKD9CNyp%(o)tKBW~CQ|^;mF-6K

    bR*CZ^m`)+SQ``SFp~^CIOw zNsx=AyKa&o$Jp90`LzB^k#c_s{TG590dPJF7prMOI6=~7U2_V$V^wlFj^*F=n3*G& zK|8inAkK}0#7x|T>jYZf<$?RMH0&C*M7ig}t8Sr7SN9~$K zG>4e1>Zu_Iza6N#6=>dV8|_&~rM+IVD4?T<4<|=Hz(648ZAz81Pe=6{b~&=xy~B8; zSQeiR$DepKuTgwO^Q5A~OnsrEBO?l8W_=`;o)h&#hfA4th`5Qfp7?Jie6MIeGjxX@ zh)mkSuZ5?3)3YinGfAf%TRCvPvntSBLQS9-@g&bh`p94WzrJsQ0*&RFk!1JmvDRk+ z(vs-4YHimxy`uRJO=6npO@@+&H$zC-Kuw(-E>xH6sV}kajnPE(52!!`CH88?Soem6 z8zkB2NPxsv%fJ+MZy>5v0Osr7SGHiWo%||Sv>592Xv!JP})PqTO;gjNCeG>;II?MK>jx2&}>KqO@?UKwiOW?SBEBo zx*;O=tsrO*2DUXHt9C)xI5!4M2J%S90NRQ|)uMRB;nk<3xI5uL}(+*SW z%j+ZYuv;Ry1;o-4u86|7y@e|&zm;xzJaz{GdUT;T^`#UkOt*wiJ^2V!pn-&3ar6ho zZ^7#`YB3R3`T8uN3b+SuxERVmx>`)Ns~#1MGkI~C=s5<(lhJbwiYKFI;ig#xdpd%#F6X#o zKEC9k7eVn6*#ZHnP0N4*Y_P4_7vzrFDp7a}m+m#BwQo&2LxFJ`VF#l2Cd$mT; zOmY-6H?j5p&GIT70VYK#QBFm^w+-qFTCNYr|!Jv z>D>BL!|kB~@k4+IspDGQyntKa65>9fC?r#AIEirE?N1OTqv3AflxXs{XA8JJZV?s= z?0{2Nz|PyAjc^OO7pkBmV|+MSzp*`82stC%9<{59hC{jZ2)9sK)nQ)n9W3DV6=xBT z_&_X@q4)Me3DEeL+y~_lWERu>tFvH~-_UigMv2qmltv{D*x&J%*-B~6s4u`R?gnk@It>Ob?yifI&oex{8>Ex=a-NtlUo{Rc ze}XtUUheCa&2D?{>f94_b^m&vpsSfD2*;_?7Wv_kS9-ozGm^INJo^Z(L)#v5Xxm;y zY;$8_gT}m~F>ufCXrK>pE`6NZgF$ZW=*h}}FsOHk%79I~!LNBZ;BLcja@e0=p?E-U zXM#f0ZSXA(`!}1W7y<-?a(`}z{c*mrld-|v_@a}tAqK?bb35;k3l=xg2-zH=UEV^< zx`RN_Y11GX?3)eV#l#@wY`1)OxQQdB0X~gfY2Pfy_e@PBr*D{kQj?)0y3^*BfH1U6 z4#JqzS-73Lfh5Y{80U6P%m?nuRyUBmLN6N+!Ce6OH_{u7G)mnm`ecGWc>s zXVRTY|C@7})CZziq>{(DEhx%c34uL22$TXmU00=>fMWnq%< zjQ(-u2ZA+<*5}}siQg04qRJ0azcIUIbPM4p1-Fh7T-YBwL_ccaR>F}gKL4CsiSvyD z84cXZf(F|#{Sc4O?cmmxUM3YKylth2;|3>@Ltju?eg`)3E_~43wnAMsq^e>6KSseE*BD-YKty=R%ls@cevEi4=`9(ez8-_r7fP-g6KksU=M* z(In+dxWnbrmLa3!`ILMP#hDI4JET zE+t2_#n9QW-f)QNi)f%AsTI1yT4~Fjmf|d%_%WPl)F=pJCyXAJN?SG*H`-N_ApwkV zmn*h|@kc~ZKQ!uREPr6dkr70azO@xkJI-gM;XsLDHaX(I{(=g7B~{MH%?3>JC*(vP zW7O;qSN-?Sz2l}2iE%uKMIyh-NX0kZ(i0R5vcvstI`bi!{DQKwI3f zcWm0d#7r^Xq5xq`<1^qd()cha;x*aM@frmYV;Y|#Ohp=hMi8;g;uo3sd!m(?gSjFT zKmHrXWU4>S`P*Ooa`i8KRS34bji1cx7+FkTB$ih-a(}-;$k@yAik| zVaN@k4G8Id21yVLjEw^{TeehNq~#GJiIpW;63G&oaHY*+E={#pUh3@IUV;@`9T1<-J>CaaFHX$7*g54tph>aKlr>1_;!Hx*lWx z%1=!!Phsz=N4;1IN1Sh88VZ)XC4`9BP{}3Xd(@W1a<{}WNvsvp>R>36#&CX`pvfZW zMjdP0KnAKhdb~T`;mE0dv_yw&Z5eM*ciNxC=Kz`08b9-W6lobh&%?e|Kc?F;_OFg! z+#pN^k~}=w8|8JM^&|wdwPbGONTWs~EiLa|+|(&JA6rV~A1?7J^tk3S+`s0wPEQJ0 zMLp)KW#n%t&>|o#@&mgnK`5Jt#q6I>J{<*5QPu!W$~esA(4&0@=

    -%cnS9&Hc=nfitquPc%eyV)x+>^SCYoo1cFh} z{862zpPeWEEkU$vZ~3&Juhr>zEKbJ<|LY(7$T#H#g5Hcl9$SU^C67HAkQZSM9VK|* z^4PUlVMMha<-ow8!X8OPnKKCJ<@WZe>e-~9*hfsrUbsisWx)nZ?6>A4UfkqC<@~;8P zWg8g={ToG!bCB;RHTE}KO~0y=0{+A3e^-g(XRcM$kOLd`k*&2R_*ooCZ2c9Va}9Z| zGhfmp`Z1sIR+H ziO+6$fI1-}cmzpU|dyJn9K8wcc@1rvaSiwL;W59M2kEQg8w+5%^_bb ztPmlu`}>KT4^EqS4^|*}(8PhPaV(i^dRVJR=yAiw)l^YJmn(`D=7U(?U~GbLH9?S& z48JZDyfMR5x{UuLBb@n&OXB~% zj5rMW2jn9zVZ=+qAe@d|o|He}07QJZFdGctvfc6=fjzr30q9CHSgtvA?Ky&Fw`e69 zEWbsU_#mJB4Ild_0$W_GL^a8vJM|Qc5ZJbSx|NfF#`HnFG~U5maY}$S`)5OfTr%A6 zNLwIxz-6JbA%Cdc@PHDBPy#U9a6nri#JM3PoU}TCNeVM0gRKqj8{rPn2k{j4J*@PGHy{S@BDT2G^8Belc^qJgye}i^~zzp3fCQ zLkjnnW!abAq7^}J20{PH$G-YC|3;4DHFfJzd`{%HO4FMy?N5yij9GR>u^J(x9&D0O zb}(Qv5i}h-=IzUZu+sG0aB{X_%(7$ht%@q+*8~hedgl4iG0Q$VTQFwXkG+iDWtOqA zp}%>>BFhdbWw-(4NPr|?XZVXOd&gn{qhsh%O_%mzN7q5}@n}seKaZe{Un0}Tq-8r8 z*d!G0WC;apiK9D6D%G`(qO=bON2Qdo=Bcz?>JtbKYs{Pr_U-`4n@H1hTLHUt5Cp2r zUb2kR)hn@e=Rh__C9&i%v%?5=G4~O4HQ&m|9rqI=1rXHs7`P&koQ7=;#RJYFQoRIc z+3Ou6b;hQJV{+4xI(h-3pYf2AM(Wk1NE@4o`!Dws?7?6Cgxl*UEW1UkpKvpN!iPWj zyZ+=G7yAjI%nv{-R^yLHCWncGI~U8d+iTf=2cl!UXR(=v2Wz*^hNYj7rxp$jV>P}< zok2o!5Zwmj`wBl{chFAn4t4&zY#;rEBUem3bF~M_1?f9J^-<{4eVKbyJ)K@FB-u zH?;w&3*=;Fdpap!Md-+>$0k6s+8!y#PcjnObD<}4NsfQm6j)>kkgw>F{i14%aP;K6 zZ2D36$Pe^)m*?M?LC%_gUk*9fo_}9G+2(9W8!=qP#X-EfS@$V}pyK(^RjPD+|$O5bT078AWV&N5_Ns_uQ^dDNt+LXsG zvj@i>ltlF(Z2n=sK&4lp_4+gZL%chf$;_4rT9UL-9X;Njeuqg=YT4i#RF=j4LAN5# zlm5eY$WJV8&=w>@vD}_)c9egjMVV{{_aZOg0G26ASaUI3$#C(@^=12lJ{`SgKC1pvOf)cdZaA! z(T{Ndh46xuo{cICYlep%O6EwB>DPBFgrt~w)P4r-*1?tM%r#zlv?kYIAnjZ*OU!#i zCQrUV{UG83sUe>y=e^lijbrM{FQqm#*qSF4Bc*c4F)+3JuS$M?bTnn#n!~;xi1Ov5 zBSmUa7YN&Bn6m8ad*xr>ap80MI$Wi%FJ9T#_iEwS_iFg{y-HtSyoz7ntE;K6FOKbB zN*sLZ>x*TR_y4fNZ%?tmlwtGzJBUY+ z_QwT_9|w=u!Id))ckbb89b8wFA=yCy-9s{LC1c2?bJLBvDNkz3>mKC7`)0x6o)YF} zXqF=GWav$^S*2y%E@?Rpg`jX-M8AXnnfy=1JeCP_bJs+`){RvzJ&8l+yTYh#c=smn z3U^uM(ko5P-EaQ$@BP)>N#&fKw>`@r1Kq;k117~Y_(B^q8AvFL+FJ!n3WmEqvzRn2 zMM*d~m)}}n5v9R{gexE-A)8sQ$nSG9+#s%B#G7@1=pyC!Vg2o)eZY?tM%Bi6(ve@OH(K3^=Zr* zwzsgPL}_lB>c)tBtt~oO#+#EGsL}6ju~)FA z9IiR*k}(>g%a!}A)4z;lZPR;|fD2b}9ndNesy4;aoi5pUOqE;Q6vwYMe|rP@+siAr z_BdytSjAgzr+71-T(1T{~+su>SQzLNZXt4fzi!pDI>oL!!SMB77jMl-mPjagtaP<14h}lTWgUaxvo!#9)9d#^u^z)L>aexu_FsG2_I6!R2WR zL&H);`TJqGn0!6Vo3ebg~{w|vX@Km&(8T?Va?(OZI7y|f}@+W zjEa6NL$U!0B97zHgd`J(f3zXJsYY{6Gi78ECx zmYZaJJxCw2J>9GCaeIA_Ww&VcJ#NPL_>MpNJOB6hWZy#x0PcGj9ROmgZektOmt;8sId0OwPBsY3-hyu?zDKr{q6X+D zJ@OXfQ3Ol+9&Ty6B~LsL%4ihJ6Zh@__+N1!lF&)z2h_et$YYEvs&R+JmzZQrB(B7A zri42op0oGA;9jpz$YuH{O83e+4e`-Dr(sS=7DT-sufx8YtJiURy^dwKX!Sa7#_Ra4 z=Rf?(Kb154>H@mgVGbec`q!TEkfEDWT*rv(Dol?V4^xD15Rb6!xs2zFfO;zQju{VV zzFlMF?T{A#3Lsh1{r+gqzJk25O@YD37h<0_k&_392*a~tfK6X21lY)|6!~uadKVlp z$-I>ISTAEiJ;Vg%fr2zAS(dmzpOLVC-2n}yl!Aj;_dzL1IUgVA8#!>S%NHCx!LE3G zaZl6!;)A9wNpgkoTEcDU?P_?9EnLeKUc>l-a{0@GH8R5<$7HI4*=BUAA z7tqMK=vcExAVSGs+~9qK9Q_1 zCVf&}q#&eAKTh0Vk}zq1?L*I-{B|ZZz6W9fv`W_6`72k?2@aEb{)FJI{ld%y`zzkw z4Bmdq&wTI;KR@TM$0NxjB%XvJ4s{9>Y&I`xt)0IEKU0fq=hGE&c0Ad21Cm&u^v7-v@_PjPbjgg2El>)Vho|} zg20Q4_3-YvV{1RvK6aKp&xR7e`-My?eyWNN8 zJFVj^S-Pfax9@D;NccpO6;@#ZBtaUVG1emC5HHe$x=cWalK~p-aIoyE6Gzm3i>=tp7!YdvzX!bIK@hUd<1SKi#*J@2t1V+NkSMN`)`!_p<{FDC9|MW3`Cp#7D z;dxO&x4<_(?p3NBDf)uo??r)R*h0KU^5{+3C{4p01@EW;Wa37cdl`GlX_$jxmLQ=3 zM8JluctJI%VJ>&mkR#4$i01RibEjc0ccaJZgbA9u;BBVpqjkKYxj^vJ z-hv^W3ODRUpb{3HYi%bcVj#hbFHQWQW7L~WUq6tLX) zY|CeT1p@H3WlmDS68BL*`N-gu(8i8yL{&Lnqp`^;f#8oD*`aw}EJsGCh`40>>=?#| zqod5xpny}KXS_o_WZ-ZsX<2rE@D54j?rUOl8VfwU=@6`{*DUVQRz8ueX)Tt117Z zXTWDP)+Fj-WGG@3j0PD#R_+iP4ahRU^~gn-i-(cPK=H6YGCV~QN94NV@g;6ZRTF4* zp-d9?KeAUgd|(mr3j3?5SK5DA(}}JSDmV?kOb?he35qTSl_09VtfYtSoyRip+6JmgDQqb~a$`sW&~J9Bk9S@u++<#Zd(1 z7$I&634pF3SY9zU5lp5yV$x(X#SxPxlNpX8X)>AMh)o1gC7|dK+7dB+wAPm_nh4P0 z2n`{zY$5a>lM%ppsb5>#0);W;HNQsgU3eq?gx}1QCc2 zRh68Y$oO4&0OGB^~uS zmGxD0{px)$zxMJINY+^MAXhIuS0+2K^{Db7zyGg(?(@HpY5&Tc@jQq#^*G`SK{?=m zjvRS{6thIIPzk8{gajgA5u^_qB7p5MA4Fi#-a@ELKfw*tJQPeMVZx-)>51e>@E|BU zgcZ@nuMnJ9q@Um`B9p@u3~7fxvMn3ZPjJJ256J{I++kEZq1p{+LuUy_mkIh1b^QMs ziv*~Yy>Ml{`1#F@iXJ3a@A-QCE2pv`F0Piyn_MKoqL&5yFUkX}q)!o1&(I>Z+?E1l zaSsD6ah3FWQjyMnJ=Tc$u|&k5^cipd@c%lCbW7U+&;qEMXcA1G^9jCj1 zf$sq4e^;Ob4PXVj_r3^G@aJEB?nSum<2`FvFB0N~PA~G|=>aN|)Z_amDficNzuO9Q zk29qE=8wPs7d|nUwl%(H2{C3D4_lkUJv&G1g!VPf5u@N*B`jlZ5rV1|bG>Z3CN$m4 z6lhHSqCJfG1=1P|@s(jr{r0<73%nSbX{~lSnH*EU$ChnwT(RY-oAS^x_1mKoD^>OG zup0~vQU~7Cw8uu(;gL%pPRAKAEb4N*@npqoEWk@`i8ovGYm z(pY_Wq_k~2-tC$bhJQ*r86vsGM_(Gv3XWe=3$7i33XCHUPP~9R1uXwK6XMEA*?{eM zyy{p>fFG#?ab6^|p)u17{EjU%(fdXqV zY__1j5lOQl-Ga0tfEHmb3}+Z`m;8>-l1qj5p8= zHRI9vXwhI9ZxFmNOca$2doyI_#XEG`kp;?1q9@s$Y+y(2)g^SebZ__?zq$r4K!Q725OXymG z%|*Id0P#Q$zodU5x4kd)_ho)%xkEu;1KlhR)gxc-5+*%FXTgRK^fS=u3PBzilzcn! z_fvKq>^5iyg#A%-E^-(%^fw(NnwO(PpOf{tT2hcYAOzU#mecd?sh&Z?)md4NgxBt@ zEW1Ukv$FgaUE-|#zW4mz&-~J$+0!5r6Dc0zVJBPo9zse>=xZkwn=Q4jZz0)1ICR4f z!mS3JM;Y~oKHX#}WocXS2qKp{D?8*AfHKiglJ#!52k)Ms4Q{tF=kkhDmNvt~0ca?O z{Q)@&{`h1=DNCDSf-F7=ooS=B0YThwuCpzA*+UKf3 zzO0E0oIXg}Ae~5E8P^rT5R(_Ffi9m#^@pDkA*RAKSwjSXocLHf!sI$p8xRczbfYk^k#V3ARz@15|{!NE+h(S@QIL% zvVu;4J?;0FNU$}4QW0{~1Xzr|F=}}>jF5|w^O$SOIC-~6CcDJu2)PK7*>J^-Ln9Ma zB8W%Gg)AKt@}!J)oB>y$eS}=JP9{h?D&E}dMV_DEl&u#9&Hjsvq3bYEDsDZLUY8~6>+nS?FI{nVE6 z{-9vOLJ^&ay^ZF{H&TeeY0Yh?&J*$omOBcT@eZXd3TOD3M4yIB>V+)7MVAErf9Zqof9p4fz+a?e zy-MMny5)TIhSTwghz)v76}^%et#~22g&#hdU(O`_7UVkpa=ZL*Wa;7GC>l>M#Ap6x z-k*3OzTy-WUNFuKC#mq_g}5P@hLY|WQ}q=u#0)1n^Xi58NluaG)eG@8(UPwI3etx@Ey(Cuy%O63atWyZ ze?5|Qn)yGDuCT1J%u(6@B1Zt3`Xb9Ne1m|_1KIm(<_wbzMOqwiU7)jU=T5A;4!~Bd zqN`i--q9T({)PR4<`G?47Pv&37tX`3=xPV+{Sxm&sVyljua_sGGd5AAxV)>}p3@bb zb0XljjelQui&k{L8Fc>qAO5;m=hO1�Kc%=Ku{(Np&e4=8rh=i&SXN2S7VL< zL*1!fN6_sBQlepuL3@C%&8uF=62x;p?e~}|Vszup~$f0 z(((?m_1`ru{|rOeOCVc$rx|kj1$X#@cR>-{>$o<>j z_p^WgM{_`|v;+@`ogIY&ZiAXI0kSnU6?@An;cobPpzTeP>cEx-38vCC%5JJ zmg}GgUH(LBTV?V1NNouBFbF4eyb#PHxQx*=UB3{_B3ebX9CkqXU68^g`CIN#M8DFb z9rl-8b2#9DMJIhA2|HCZF7Hn$z9jku=MIB>!v2WH;i2{?@<*HPou_9=c@i$?U|xzd z0m_B4cR7^XQ@lpcw>NrTc8gXhzZoe1&p-8R{`jgndjxAwsC*I1p(ML%&VpKpEXV`{ zf7P75Z`J!N{X4I^FJ6n0`K)R`?#Rf$dat2u6!if9%cIep9oFu(Rv+MAO7ju!>23yh z1(LGx2f_~UFILUj4s6(ciynwobM{G9*jfFX$0HRNA1Z(BtHdG!~FlX4ek_dPeUb%YZwO6iQeiyJ+bQr0? zc&F5j8V6*NYE(d$9n-gbMz!(v0Onu&)_?S|Z_2%WD#YZGxhur2BXrjhy7nx9HKH}* zb%btG0I-OE9ii(S1E$u>qC>%vKnp{mGz5ZRLCUy>5xSRi6qZTQtzrLT4EvYeqLrht z{1#o(e|P`i`S;)W+j9^T?|$R^ui|C&80NEc6-4?{e@ zJRIrx?7b_R{lFf?`33f#^qOyXY$Iv+BHCA+e@Q0P%aeQGq%&_t#&uGfYdSLw5#dg`gqdc)6r`M12`si*F|3)5iWxg=+kVeCxDyw#J)s$kHJE3PF&sc!2Qlay=^M-KpA zS&BUwNd`?ONv zM^E$pq}X3RjMDd&j;?|V6gX;JkVhBcd4}h9b831vcj7$Jyf_dH2oLVYnpbFvqRvA; zJy-S9bCsSRygKvrT=~;;HT(2jrKbn4Zv5$a=h?@H!&8e6dhKZlUPP?`P_qcZ`bP_Y z*x{y|7HU!=9F@Xt18cExn`Aq?UC0+)XF_2QuPgRYaL#?v15yhEH$ofCZX*PWSkKIE z8w`r`m+gH1O}k;q5b~YS7isQ1(?C18Xxe~5xj(nV{@n)Orm%mrX^MnO!yozJcFK0x zALkqW?B=j(3b(hZ++Nwv`{RPe)4}7_9MQS7LpXMTk9yiPlz`XGw>;g-Z`K~ae&BlF z!&WlxbkmKo*>L6Df6|Ei><(=)|KWv~UwWy`<>wg?OxmQJeKLH>CN<63CFf^Y9MCd- z8tTO3NKs*MjB~rDnNHkQ{VgglrbAYh8xDWX@4b8#WEm$~Lnmg&@@ za>^Fx4k(fju=uVpPqXB%jN7HlFm`FVixq8nVYz`!$D+GJYa?LjuKZ+or=iHHqenX`7g^hs}ifJyY;nuuV+q#Q6Mc`K8XAYV^2KU*J zKTmFWWIBfV8_4t*;|{nYf7jjcbZSX-Xz&jlcgWw|ydr7qzzikht;Uec#vK`tXaDQ6$A5 zi0JV+ZjoYKq7BO3N|$-&76ru9mw1ZPzPE&O_}~At-LL$OTzgPfkJlcY)dq!rj*MAC zIV5B)p&WA1JCWu9sUK!9B9l-8Ri(h+?VBCv4Nzs_BpH@yC>a(rL=nR&hr@XTl40=` z+Z~cWTyek0go5(Wl3_7JkR~aoe7%%Ixmr-MWc_b)t%ML{Y zn{>GKzP)skg^q9E@6594Z6>0B?hA2OaJ3AW<)5r|FG4b33vMcCBgrmXk)cq<&GP zSC@&*$1~YSe~e~s(Hd9Z45#nS@B5jrd}d|;^GAm1XsKfvu^qF7=>~{c_{#n_0wb=` z%Kldu<$>7$9=(UCeJ$2sBm4g?PowtEasx~0$cbPJ*?o5#>P-}?nb8B!3gxB?X7t*Z zVFt69Hh&zs;5A*p+c@ER<`%7P#m%@CFMQ;`_{tv+A-<%l>vVm;!}mzi^+%s>Z@Duo zHG5wFfFMBXy@ws<%U7vzA(o|7I40(47QVw&zA|SX)Ag9Q%TSk^18rN*s(stDFk^~(3oWhi3J@*uK4t;{h7Pwj#y~SB3;~H#}~84ptzqtrbn6NLM3}yWyd0=;!bp z+qPt(0dxuEZrSa3=x{`x0I7$5U`YbMTlQ$ckSV=DbO;WWA4h=PEm51`%sm_(u8fux z>@7k*D=BUXZ%oA!drXYb3cXuCc>bR_dp}Inby$~wN4JG<^RMLI1(>Z-LtZzdeHb}U zFGhuMpR6656y+ZnuMdt^FLS1Gk^7-j(WCc~T0qTeTjvb$`y6P1lFrYRam^y7#>aBb z0HS#Lk|QmrQ9Q10hv$?Ga*)E=p3pr&*lthrOiZ|j?aQI$+J*g=-J%ukm*1jGI{e@K z=0Eo9znalrT`xy_kH#-x*~xVJd11ePPf4X-M_} z=KfWNF>F5}s@H=1jxbrS7{hi5<$?exE4D4k>5<@9gzbBL3K||84!e~Seh#r?*q(;} z%(Lva_@N|*pSDm7uGfrVJ9>`DG=ReU2@`{A%P8yzJJRw&-QG(#IcJvV^Nd-Qez)T% z!qFjqSTgr6^a}{1g2g$^m(7Y7ApeHgJoyCKF^IeXnq7quKVy0IN(_%d!1qwO0(wCE zM_u-eBcMwaZ&tp6$N2tN;0huHnJ|y6^R%b{RRE$s4zThf6#(+!3X1!s6L_W8o==$V zftT>=0N%w6CC)Uuq@7Qkf#53e3!8hu(B1ez=)WwGT+x3yv|Ky-FS|u6`Y*plm!SWD z_wW7GFNO)eTI6!{w~`kCwP6SkWQ7X6sutvV7wCtvmxQd4Kd1A zLwJO;CTpJ!iT>HJhnzfCM7ja!Uam;=cSB?$b_(hp_;i&ae@xsE?d7N^km+~oclC;q z3xLl+4I&zzj2f5Ek?8L$qNag`#IUisyxCA^KB!a?L!FeUSdIu8l;PqO&#@Aw~n>3d$v0k>v9 zoC>d7J0!~+5Vr}rTh@7Vu9w)H6S%v(-G0kFJPg4ij|p5F=JfjR?%{|qQ4Jfi$5W>@ z4Rd-OhelMaD{l8zC%3bLVNS1OMW`DInjPA`DGX)9oL+|^6kiZ_0!drMvelt;dL4#X zQbD{$!&qgQ)9d)u-cg5i{cuQLPOroA)HuPtHDZ50NvV_jB?mO0^yA>tmzR7Nas}bE zFnwPU4ZY*?j~RPqvx<5n9z%2{qg}?=!H8YO%W16{J=V&F7dI^>oClIOm(J;xw)GGr zLnEe;d_fRi=D)cef?9rY!=_}*b04`x;FklgJ-=&)d!4hp_MW{btK*0~4K+|c`3JuB z=e|7i-Sf{szn!{;zlRgSY`jdJ0T+gzxJHU}P^Qj+OKBx&n{Y)W&!w3=)`~OWqQ48h z(N-&l%1J;yvePr*;+LguAf|#~7-?Q)d^3d8Fas_-##*Z4%7+;oIs+~Yr;5)RaB*m_ zXLoxho6AEN_3Y^?b*yKDm!^OV-kxO(>~7%Wl=Wqc*X_%8B zrf;pb@0W5hrbDS%Q5`SJ7WoL~c(Y2$6QLnXtciuJWo-W(U>)ySQJk+rdLEk#67=qS z@CjzJigY7DBAoV~$8W}E(Bc5{9HmCN8 z(hr@#9o*FFVU_~3uX#E&U}a*>zS|44Bl_l|@9&n!-3iGcKKFZM+UhmK^NjQC`3=GI zj7(C@ABjU59_S4|@j+5?u%xJa$p%A=nXthR@CsA?hgaU zSMn16iJ+YUnQyVA_F{GPc#jSzo}O50YfZjN%OCwKfAp`W9{sD)M}H{9EKlOqRebcX z(xZ=8@zGzb$gGP_Jo;E^!J|K+&J1=0*c8Fl6Fx)mZ3nI*9I~j;NME&ZhHE)u+0MBo zstFgqCFFSvb!Qk9&a`ZY{h=mIZWc5DqD~CO-a_%Q;e!%dx(M(-xnO@>LJ@8%?b6hi(;w*xq?8I#bJT5wYcQ+@b)74u%;~i-&3GrvyCIm#D#{ z2l1BZH^2AkXTN3bEJ^(p%oTV)&DvSAc9y)$1SyVFoh9ZUAlP)j0C}vnv!n=xC07ta z;VO5qc9tleGCe{w(4sLfYx?-#>v?*^+F3$T?s8xhhe@SjPQ_bG9JEV1OFsS$KlO|JMW9rCaX4?!a*m=3n2dSP#}O+MiBm$``Xg3AAH7A4habl+(ir~9 z9mn(!|MKU4))%}nQCU=G-TPL2@&JUrsV9VDz2uh#r_?ZC{QYkANqs}xMZ{sAjfL0JR1t2+lq%>%aT6M@!%QlY$$;4 zhd#2El!iO-ZK`t=KsQ4WRJbAwd^?UTE+#VwpeI98floJUyPci^juj<3Z?aK7* zCielR`VU~8L?_ooTpqn(8x&8DV36SUw4gCnx2K$ZjUFI8zOaPPH?R!P?*;@Th>XZ% z=?%K!PKE~-6CRLJz^5oa{~Q@b zMuUgrgN#y;dB83yjxXE!_|@cbJYL|kfJ+CiB*$%oT7v4XZ<6_fn+1m2mhTQX1J&am zXenWHWq#!DBb^?dQZ3JaAii}z3zH^pCn81c=~`!VOG5Gqna%yL{rYcy`Wr)rOuUr` zcBl^xo3QCTq$cYO-@zZ5_PixSX3i>Hc{GX>=DVHsrR5b1hx)i<4>}C-U+pIcXXLIq zwQz+FB(j&$LGVS;jkiUrjVmVE7eDl34Jvm)3MdsfHSW&`B|{NBPUxWJ!^8i*Xc9NM8|4`Jx3^;mppA{G`U!sBALB4 zpJo#LOrj^FsAo+{oVz{tej#}x5U6Q@t5(2yqIy$dXuWvcu1wEva-Wb?8i88D)_`3C zr4c);xN`uO_|15fU^&nfm4@SR!qRILz*@F5Is)O~9E1;yJV4=G2tLOBiI;hQNv(y= zB3Pte8`2(^7i#SJToLs4BIvSPv?A!uAn5mg{fEEkpJoJA-AHF%Bq>T%>^0Yn85vrC zae+xiP&YgtF}Q;bkZ1s&)bzAGHw~c{A_$sSB!c>i7)n7*rb_71R5G%mgbOBuiDHW8 z*ikGp;f-ua1oagG$-N*b3=hgw$cB=OvlX!(GuJpYg4_BWiJ-nB=7pT>Q=eq~SX43< z1WlG0zoiu~a4^)v$j6rGD_L$Ks3tDPi1MiZR=WCUhmwU_VrW-&DTR6AYa;500gxVw zqHU=Y3Z$ollPtGeDtglrzv#u9vmtef^s=RG%fAzPQyT$C1Vee~96ICBU~x)izsK;87`4+dht5Dj zXt4=O_gJ|WXUL&5J~gz}^w3aVs0~Btj32b!!G>&|D2-BH9|3;5T<~-7!SzZugU>C% zpDeQ;+lfSy;!;Na3?|0uOu&NVpH zN=c9&nka+wEbdUdhmVKzgx6N$ecr zuUv%7xClzs@B+eHo)#GmRIdD7 zAN2h{@O^*evlb^ny6xV`cILgBALM~EejHVFt%Dy_Cl_Lbjp1LMM2@dC7^~_qKEQ!2K7qoC*;yEtGSV2nFJsYZ_*YN7w{F~8p=_^Iix|t)cDJL^3ZkCUst2{^% z9^d4swggWNRqBvv7bh?7mPmf%gP<##3p$kmc1jRk5&DG(I*;aaesMQ z^8P5JM|mMuDhfuQl+EM*k{nC>v$7Cb1aRaonpUQHv9z3C9!Jr8*hHNnl;PQxgn@Yq zs({V(Zg*#C-QB(pD`?(c&|G$lR?xf|(EPkVefaPjbMioM#@VXDqX$ihD-wp8x^D(K z{s@|(yg(7uh+LXHu;&bHw8IVw4uU4ff0u?4G*Pb5@!&8V9J;6)h(wZj0Gy6qu2@zL zKpw!c;Q}06HX0NzKvgvW4Nri0yC2RBlV3)!8XOT0t0m^J)oU`fH6kJ-8CXU8X8uP!jI~3D8P0ZLHm@1S$ zBJxE%3$Sld3#iU9hSG@0PiTYVIi5`QAg>s`0_Z2I(AQ`+%HMQYdm3bI`Wy0foruUTq4kC%~jY&l=)Y-C;Mr5#Ig z52M$#!6-bIT;MgKA)$WIqSv%RZHoyJS|a07-EIjt?Otv0s5RnO!UqIjGkQ2UdhnVy zD2M61JLFDK$EqyDq|KdB1_xM#-QkA;ze%crRvERr_-P$JPohjBd1ov{wbPXL3=56?6Xm43r$qm_>p&|-Udr%)C z8}cX54b35?e#8!*O1UC^2{%O58NDz(G+rqwBd>zCr5Ce=+^<+N}B5nl%fgTe~w~*Q%b28fe!l(alteKJ{Pu;-7u%T$RWIP&lG< z)C#Z|fiH}Hdz-D6Qz#*zzI(*ncqOfZIZ`C$?~LRbK2dRh z4vmf!1FZzbxA@s-9R=|QQopHeCHAJnwtEcRme(VxFi(W>{X#IRLU(!J3rVsu$c4!v z4yF()8(k$ADr@RWE)c3q`BrsM^C8@4zyr-l5Pg;McMBh)rhZ7mBE*|Qstxg`W-xMq z3a-aRU7Sh@&HE#ye6$G;;iiP+L%pi#q8-dmleAe*P{_AAZ%R7DL*RQ%*ZAx!k$lkX z1}{1;Hk7K;yNtJs&81F-eHC&9Jt|wt0QPanR%*(mpY(%$Xcz7bymjUG@mBy!kW7FZ|49dfO7DrSe|ZaGgBs5LhN3$-c| zD_{tpq3-nHkBuMNL``w%EyS~{bL7uZGR%D*MC0SujRZ?N6>f>N2pU_k zCM>PI=9aS#Kmzrh_ii}s$G-~0_Y1)Zfn0SRoJAu4G$j=-1`hutDDB78<5jRPJJT`H zRnCBYUX_c5)Pcc1E^&hWnlUPFK!klhhynKNLIx?KMP7Q2;wfrJ8FmSjmxw<+wA&z* z)_i=@K8v~lR4j`REZe!RA`IHFDVN7#AJR_rN>if-w!o)#_K0YRcSvrnBf*{%U&T2j zPXN-}kIjnofd_nEj&g}JcF9nXd;j8-zjq~>re2SjK3GYnnrK)_rga-j5TzRN3s!B4 z%lHyYv?*4Sskxm+recl%A5)5kc?ZeMFjr%9U{Yi-bBnlx4bIk=@Zr+Fw`5T0Z~WuU z&wR}%=E)*5WXj`zpD~3Plz}6tHVk?sWVW}wu&%SIkhZ4V4ns`O^6GXPTP1~cGeo1v zPFFm-Kx1A}3hQQgfKHVp>@iae;~~nyUW_aJ9HH4@#s4iQIh2M{Soam7DT^EhYWirz zAerynsIU{{olsauJp=tB{M6BqMFl@JBqY(r3PW_ES}7%e82atW@&us;BvKGdp{B37 zhhQm+w|17ee5${tdXmgi1WU&M>FB4P15m(w+hd{|PP4FN{GTnUXoaI6PnfY$SkA`( zjHSUg)i@q6!T^a#hBdRQp~U_bQVWc=bL6f6Uep2XpNx3G@g@GjCS`$#P z43G5&I&J7YqGgM3LUGS<^zd9`(u(P;K~LJmWx|?tcWrZnUL{nt_{1FdCYu&4!;`%^ z^yKC8c@;s`^4Su8o39BMnk*EoiCIAPQz%-M$+9I;otBVfQz~yhx@bDMB`TDZ%K;-3 zy3IcwmQ#vkB&V?!pJA;sM%gw#(RVYaQ;N$=kT*|!DjRo|8gg71JY zE5l7fA`L~JBCiC_B&L;wL=*_8MIvm#Xf0JxNk}aSqTq1i!ON2CFenem?VP!4Fl{9E zijz@W5QJe+?$7P8zf5b%=TE|IQ9qMuCn0kv+j)N(4wEZlG14+{U7;e%Qi9i^X`*S;=aNBL#ND#)%lD7E#Fevxu zcGzF0wdC_}51Y2=wQuAi#~$q0eb8VFGv~gq$Cy% zgExQ`caS@i$OdCkD!(B(Y>0e%j)!BcbTo~6cN???-nMXP^se#HV^KqJ*Y3iv<8+zv zpdol`Sg57PLPNIjmA*|8hrm5$brF^*L)QM1)FJ&W&HsdD1C`r)%_0u*5zHs3`485N zcL(~u76t8GInXcBtY_t}lRGMbu^it@Z@k zuBixVk8wthB106>Wu+TAk0@c~2$4t{lCGC-wColUqaVjD3ci89j48w7VH&yz+~KyT z8{hItf8eM7REBw_=Q+$9S?}&~ikd6|nyIc#(tafpN%AZcv|kC?%n+Fy_O!zv7}182 z*u+covZtiB|PyJ4@VGv5MF}&JLu#m%%>Gkdk}raaBGPPdy5Gv zrW@UInr|$jvLF0B-*^!uGiP8q!5W4W6jyZMlyL(qh`SFG8&SG78$V)JkOS~Y^-qN6 za%`#q9dZkj8w|1OfIWAZ4>5WU_Tu!=4fj(b>E5zaRT^CTk|$plH>h4Yu~ z9E@v2eOIsu7SU)m0-PPb$h4fSd=`3>D=f zAWtgFML?b;`$RwnL-D*$Tm)p$X<1Vsa*xQwML_N*W#S?rPs_wH?skxW7@0W7YJu;) zGJACloX>*iBzSqZDpL5K8JK5h%4E|S4A+ zWqvmkGYqM2$={^fGp;W9y(!fVQB(GuJMU~wbw4o>YrjS_)rhtBd^1uP|I1hZ?l1g` zte>U&i31JEU8_9y!@hA2m8zb3tBY>kh1TLhnPUyUt4fSYhJ@Ip&$vYyR^Y3p^rNt{ zk|8114bceGC?NJbs}$Q?IJ8jA?9kwO=@55pdzVdGkr3-Ep3vbUDjNs-0o9=;lC~Am zJD_-oZD;SN6@_AEhSn29K}^ussucxd)3G_!69P#{dkgIsyq<3u`Y=5Ladcu7D$(p%Es}FKBKFEi^?$7~K`^X?hM=VPJ0>^KAr{b8 z+HKRZdp2aA@fTQbw;eH$4mx9EdEltK^5Yaf2z86#_YvD$$bLr42SaQD$no(WW5Z-B z1x-QHLFORm5ag8@75#kf68K*^36$l1yILTuGAz1tpS9Fpl=>e*sa^90BAY2+V064d zs}#gJDa5C2LbyDL zt;tv0Tb4^mhTRq-&w?pOgXe5W9CyRiy$)Fn3s$ose+Jy}a5z~mVcXbjt87RdcS9&r z^axb}rkH{SI0KkwLq@7@h(<7|OVaSfEPH849M6U@J!eQ9Plo706Tm? zAz8MFllA&E*52=yOEK4hZS1dt-+j&K*B~g^nHcJ_r3|^Nc0fqeC9Y=ZZDXe}hPPw? zHjlMys{R^N_4mM82g&a8K-eD7nJ8-wgqQz1U%T$~vRkwQ=<-{1i5lhK{cYd%AAh(8 zP;Ug&TLYwMFnoeJWQau(oX+Y_WAXvVeA2MT^vBXL1E@%eAiRSiu$d@Ute625f#Iob z0ZtUCKG+yZJ1a}Z`#By_x5J@Xz{8BnYQ+qoIJCs*vZ8lA=M^)6qEHB_YdthZZfaDY z4Ksk^@*rTAptbLhrZGg5T6BM~5VDI4%l}De2Zvvj+vqG>9U=8FpdDF=0^4b#I@_JN5r)V@A1KH}| zxRR^p=+P{_t%r*ny=_!0cVWS;DQ{cB6a8~v$0dQ1^~Fo zZSVJ-{e*-p631l;wajgwkc!r9FhuthhVqIrw~gF40F80`$S}uPy+t#Qu88SW6z3~4 z(M4_>)2l6bZcuG54U62i=Al_*#$*hE-+Ycmef|n5qtweq{(sN;bnt$DER( zwirQA{hkp%pmy60cv=xbC!zMXAgCZ`;DI~9)qe#h6X{B}_5tl43`OgMaC;gz^SiVS z2x<&)MElNB1|aOBkSHj0;4BBakp%pqc^G`SLM#MH26P|C9q4hDJH!&|pxYWjaKUf7 z>27PBZ&ZH02?Px#T=9HU30IQNUcy~#woeTIdqvmB*<$skFMQ)~e=YF8rKi|a&er_~ z2@fWaksuzMU?AU86X>7RB9-{m3_0XjsYP3aivZ1Y5~CPld{8Xh?`48vG?e(%R-9Bf zmH5;QC)G^_UDJva>ZXFOW;m&Cs_|($_Jq7?Au&3sZ>rp@wC0q+X}mkr0;lEe5rO?P z0;fW)DL*@450!Frlk_cQB$WScmJo#Doy3}8w3kAx4;h;~$cv|eOt=0uiI(frI?bMe z_##IuYzOIhKau!1?=}5u-rK08R#ZAAIuCp%gY$rfD#W)z8wJ`b7iptFUJOFKN#~r} zD7brBCw^w&A;uu@3u!bUc;W5L%t@v&exY*@5?3J&CqnS?1M_Phq}BLk4x4g$T$=^` zX7XKkUk+OkqH*_vgO%eZ9i0cFe(STp2KTo(xLd6{9f6J2LR1LkXcuy74i;8!LVt`Nr(A|apuBtX3E8cdRoV46SKE1M}`{Cz_ zPBwINAvr&483_rAsE0&|CH(WnMCCWHd1_=DjvO5twejeeI2GbC>{BxToX(p&7!lva z7uYUIDu|`i;AEp@1 z!1X9}=itg*N6KQF^EiZLGMEE3HvK=6g&5pxSM96lZnL@wd152hpX zhs6^m7-Y!FDNK~i4MaJ0@GBM!L_r}Nh6@Iw?2erFh5&73iek)GAf7u(>IDomulVPP zT{^`qV#n`4Oa2^<=+JH-l(D^ZikT&dgP178gAaz6z>@BQU!fxg$SDzib}(aq>cHTy)1oB)S&A}Xdh=Ays9;eXA*2z0uI!oR&xQy zx@^ILjhZY7qZ@6f=nvrC!Xxm*#=m|F!9C!j*z^V62e9oKpP=>y+(+OY4o~*X$i%y^79okaU0WiAnqr&Mz##8xJ|b^kt%}k71|;O&q8>*H|Drv&9Om> z;pyJ=Z3BKNpRX~?@N{n=Z9sYsM=mzq%y33dcoj=T7{!zLwr9nqvG0M8#l$xT-?D*a zc)B-W@L+%{a2mo7#!|~k7~$m)k7PRpyEx*$+(*y+SqeQV>n?9Z7h;;n4(yo zFt@XZjG==VQW^Hg(8{8834?NfZs+~?{F3KQ9rBo$)0vLpa$qQ9v0Od05pxM2?a)RH zPVB?y=g`LD^UD;*aKmLgd|;e!445#}5rY`x2j&He`wuQyxPEVW`XE1rp8dp@^tjnz z32b6QW=e+QSv;U|FOh@q;o=@*1JFHvT2uU^7vDFFT5X!;m=uolXbP4z6aFMa_nPPI z(t^Wz1^BE{HQhR#&bE9%}4aSS~q8DAp0f*HIijo zD1?AP{F~a=|H1b+-}COwchba{Glq2wU&ihP{{jdA#6POiguMmFEhpV}9N9$Q7>4kT z)pN>*Ip+pLXgr9!4Ph>uX_yV^38q71_PiY$mf|~P!<-Vuis);>iWG&|7db@ccZm+Z zVt;6CoIS@&S1aa}C|1;YllU-gQ*<FCx#Y$9aiu-qFN$v(mwE`{FmGRBC80+hlp9)vlB2&qPT!r zgES-vR)v%C(L4-F#yvfV2Btlw8CnbR<_xQ={?v<`$25O&*)3X&kT+9={6lwt`5WhZ zbTkYH_Ke6%NmkMHmAbY;m`=nUQHzqm-i4T&9T69R0tv?{hA|Rh%mI>$+!;QeVHnG( zB_4W2UQ(iZJ9HAR9#e~w#EYLJq&Jk@yhUnL69xK~A+DVWw4#ob2qlg-l>!uSRDumZ zcGKe1s-+bS{nO0iXu_{h92+92B3#yOa*&HCDz6oTU1M7Q>Eb>eUp)TJ7&-ll`wT^* zVqI=!7++RbTzhmR;5dWzW0CQbGN?g+UCw-PcXCz)y%_}k$zT7i-}^$2S@rkaV^)U< zo5=@sf`Vg>B@sa_<2??w1%hHBE#N`Hv2F~N>V?DD6x2#$+zcn7HX-)3a^~ti_<>+HugjoPX{U22ZGqfg(o%-?LaND5}M+ z9J5B069dD`u})RNQ(a(ensJ6kF*M%pqt}UwaJvSqmr;bJ!6_%HHJ+OVE(ZP8hD1;|geVi0_c5!mb-m!cqEK-3L=bd$#5)*vt%*uxLn5fJ z2#rTh^x^cwz12Chp^!>!MU+n26->jUp?o%!fYq1m4;^PS@nfG1l!GOiI&RrP%vlbe z2MGjA5=q?>Cx=-}2!gxa7Lhn9Y{4?#9q34#<<>_O1xq?OzGm0&?4$2pQaJ$MKp?+Z z7Wb#y?S$ypZBLfFcgqJ0tOc2escZtSyfr?dD0&BHkz2e6F9^%VfMqI&Aki%!uPPAd zBNZgLISQQ9OYLtI&sbYduCe6=?X2=;cS0AopbU~2T-7G~Q^0jkgsugcC2oa1;;UP6 zd)g_4zTii59$d@%o(H!gKPgAVO{9*uD8CAF*<0`y2wZM>=yzNZfKmQ+no#LrNB5%MBsPNL^-Bl2NX$*-nwWg|J;4I>6l;%v9hnjjxE3G_|Uj zi{2tRKp4*FNVmcbkKj)kvU5gcX&AX%rhWzP)?tfrg;U%uRl_{P@}gVe2k%-C=J>fs z`Cz%7m3%Nvu9VNyw8Qxe@$MiCk1Hc}Y>rioA84rykkB@f!32ndSe62_HjhjP?gH#7 zM<82~8Ychn=yL26xW5WFUnZj=Z8#yv&aY`6O323B2N!M*eCw4IcH1%p_Tx3Qo4o_Z(wb zhP6cmy4y3V1?HoBPIVXZiZMd~MP$`u=D6ef&@n@RF%ddJxdRuv{xC5^0G76jOCy4? zF%bFCF++g)3T&KXhJXz3^1~Dv0x;Zk7DLx@^ipLRGX$v6vmK6Wj^q+zh5$=pE)t!c z!`9|I=9KATatdf&>~60S2O;e||v z3T@?HGu71!G#jFTGC`iKmiCoZ%RG9@m`%{5QKG|WqE zE7F#>mtH(=vwt8COe$kwD_1b?+&1UNez)?;kNTghb8|D!>RM;UD|qoTpGWhvzAr zkOt@=rCZYv8sOSnmZxyTL&J!khKQZ(VG~5pWVl6hnHaWPRO$Jvl2;^nx*;UDIdBOp zf$(z$U%K_e#nk|mONfg@Oa@P`8U zh^`r7%efbVq$YVKuT$C~qw3fzMc|0g@o0Sa{;1MbUQ1Uv)0s94h^f#w^8T;lGH1@$;&{2i&dm9z_UzKn6t+5*jx3p<|W;qUD^E zi4q2srUo&+(7h6eVv2K+ZvdQx^PGcOcy`!@6qOd_BTD*fA|VVaT7V#>zU7iR4<<*I zAy;1cQNyX#NNq(J*NNT_`JjffAx5xrT z`U4Zg)Gf@9ov_qB{(yU>gSqhngq@fu!J6Sw-tf88YTOaEuG%s@%bNolK;h=X*F0iy zXl)rD=1q@8K0IfpX~2=GEyL5i=~20|B$4Z^hffq9=MBZ5gnY|35%mnSr8*e;IAhKB zXlo+dSRGxG8h(|KoU_zmgJe}QaTzFEUPX|LXH&2WG=g?dcuu_RhT)=Ns=o zl$F{-_6r8(@#SYQ?T-r8IZ?my(<8jO91qlT&Q5Rlj?rGd8B=Ce}84ed{RpLfF>Lo zUXHVqbW_})&qdr{yyOHkJ~ zkaa3?h>@7aGrhaRzMu4NS8o>3x3=k68YMlauctbd{UT)trAQjNbF$W{Zl+H4*WUiY zk3VHl)Nr&;ZzU2A2q9H)T9a^uvB@@+VP}gt#+K(fw)-POXl>J}6Ad9JfbvwQ>g)%k zkZQw_61ba+MQFC2B;lZ-1%-LiTM&}hD~5ysRMB^onnsBXtdKG!S1m+~-BFZ7=}U7A zThn^Z`2eX?CClxWpN828L@bv@`2wG{T0{l4vN<6bQ30%gX%qMy^1l4*qbIWZkC*=i z*sj`4w?Ui99^RQBXvThzqyC^Vrv;!^ib#)80VQMM>&o@mcpBj5s(4R&iRgd+(%<-* zU;MaKBFfzv%rxm1COJV^5UF4w9tagG6l8A|Jt^2>w;#mSbDU_zZKFg*c8|s^lip9<%DJeS6yY61L?m|{&$Tm zZy>VFiPfdyqCK`N)ZO0p%VoD{4Hs`FT>R9(_&Hzu^EK2ttx<2y>qI(#O6WdA9V&5M z(@3d#tXVQc9X_=V^c?GeTncppFk0Qee-`Z`BJs5#PRSL_VO(rboW{U<*|tPvHeQ_k2yCUVHlVK|wB51dAxi6K-ofxBM3r70>IHF0x)n(Ewx&Mxc_&4Sj_MeT`Xq4}I>ze8b9GMI;>DFWntW6X*6 zJIsXj;5S>S>-mMdYk4cjybi*v#_ak;jJA?$-2sA;In3 zW$A7h(q;?pGmZOo9aCukv5v{7G1M{ZjzI7PQ49<_+%&JhYA|FmvMAinH5f{vHM5d9 zjk};x%}meM_SIZCmCNJ29}W`-XYF$2Uo*K^=vG*}_IMS-c(go4t*oRE6Xs8PCigFW z>&N`!+dp9@liOqJu0R_ywWbSTNr@_xYob9*GP$l@Fq6qWVCF5b5_#7iDmsFI`Oq<1OxDxSee?o!u#9PTi^6%$QNFFNkRZRW+2Q6% zt7O;1uc$3!q8$~^ZwG-_Gg zBQ$sDBR5hL0INFR%@YOz>JzfIn9Ovu0RArRU|V_h&Xh z(QkR(O_e|X?4SANFkV4##-NOV?te`TV1_}2Gt$%ewbtEOZ}4az-B!^nqG1Md7(&4S z5G7KIg=<2?jN=%Ci*7N=ha9$WdjgWuFvB>i0}yi3>HrvBICL=vH>0?mBb`RXkm}+U zh8e_R2>(f(jWNTF;V?XHS(w0wX%N>{=NSAf%!G$4r0thYXHzBY(p^-9_sl^3S23;> zFvvLxEoWS5IRk?LX?-;)OUS>vDp5`>$gA<=<%~r(*Hso|Dk=np8OE<+$y#1V8c_pY`+~%;Je18iCj~@wR6fXz3PvXw*eyXW-%4x?y)}3S<&{)@A-m>KB4RxO z9>JCr_sTNfo$h!-kWNdeRaO zyg`s|QEwEmP>J{pTKwZ56RdgWM_CZd43B%sm{VWya4dV2 z%aMo2=E$K^R3&!Kd0tUG3S036rC|xR5$;>dST+=o!p{-PFvvt8)W)Yb_ekG@qaYrI zueb-DKo5PgPO!Y9dK7MnCNv;5MG(;wrl^{hEEEe*sE%MwTp%bODdi%pDej)F2_^$a zS^=p~4RQ!fMg+@vcaFF_ni0T7H9sLAJ>DJ^3aH+Lj}F~t^AoaVaeweh;3v?sFq#yiyG;J8D~aiAlixJt9WhjrkPph-Rmt`;Mti|YdRl&?NWNIF0Nfsk}As2PvP2hrcCnOn5_AUETK{Mr}) z;7`03d=QCp*Q^G{Tmn^h=-rcC&YIQmGzRlD;)7UDWzA}=Sq*0z)TRB~oYjE#jl>&X zg~ApZMY2v@xe4dw!Q2o1mds$=T2xt%ZEFhT%)|8hEUJ9>zx`)_c@2R~OTZ3O8UlfqfMd(FEO<1dRLw{&OjE8vLaYdb zZ~!8;5YsP^&p^Ups5byh#;E>*CM67{sFExnLc<{C8&X$i`j?P_kgNbI80o!AblS2I zIp9D0N-Uj6AsYohkq1Zzv8E3>_^7IZF6ML!UCp=h8E`!mK)m2#$%ja(SerlQSeRw< z<7S#a>~TKxgS{3&Zb1QL*)3X=56d02O9~*r`P2W_4}Mcde{GiXG1?baoWO^jg-wlu8LkbIk z;~7hpxwkz_^mjvR7~r9M$YC?8$cL6HtbLdsbPsqas6k*rK>cBe{=Q<5BTK@5bk1XJ z`$X4;PlRltcT^s`X!|@gls5vVvr41IihPzu+b8nLI;*M!U8DRwv*m&U8kMaGsUZQ! z5u}!XKi4%WNIBb~DOQh8W^V$Y+s!UYzOO@@W{Mly7Myw@bf#4*4zN}AL7E2anO^bv z_7(@0-J%trZw8+~{{6q}_XWzMI!lhv9;_X^qpIhj2M*fjgcW-WXd2@`8`{k1bGKEj z$cDt{w4x492I6DZj8^KiAsu%&?D|H9WzkY+@&ws1M(04MlK^=y^OMVSETVJB=t|BX z9hZYc$LKswa|DhTjSYk5Omsa8nXlWQ2Ip8r=P10>EdlD{(2BbG(+EEM=SFRwjel%A zB$cE5I7M`hwnHL0(h?ZcSl^s7I)~_zkO?4(KWIxWqjLvoM*g0@D6x? zm>XzpUbI`zdIy5{OSA|JH^N3V3(_YNfo$!AeuMl&;4eyO{r|J~_OY|1S$WvVz>2V7 zj6ey=0=g2!!8_ZbyQ`|ZdShD-yCzmN0&;haVoS_uc6Vl(>~7EH?s~P39SK-tLn0Y4 zHn6b-v56pxorLgV2V#p4Lu7}<1}7LRG7-jh{vj-egpe#B&hwm)_dV}h)m7E^{(kq~ zy;~!VeqFauy;a>+UC;S=&U54+=L2~^od4x+q)g`UTo>}NUA8WdX!hWJgh2p(zBndD z83m!BFRZ;-&=-n9uE4{qKt(?6AZqXB4)7xrs*-gW83?SoKT-w)vKB>WBNzzRupq(O zj2}n89(NLu@0Z^>xId`vLEIkSZjT2E$TrVY>%QE?z927LPdBi;d-W)uT#sVeC0ad- z+wmyAWBci^{z{Jc^1t!R`K>?1!)+Z%9Y}6jb?g-49_b7nsDk*pb4%W~b5esgF zW#^&iP$O&fDByXJZ3$rQkf5j_IC>N(h~5K%8wg08j7*q6O!O#%+yk_usug3t|AdAS zT@j8~{b34^0@}kowtSHTZ>I1l;Bn}Hy-`0Q=KEo%$rBV?2GYi*M*$Ts^*zS?gy`@g z*P%HK9KHJ1XZ-}?uwd|_e7{&Ciy(hTCgTWNo3vv_^y-xug*<|6-}ZzYcN9FU;D6EX zux9($Z2z2&5IF`P^K+e7?57S@p)>FZ=Q_Xsb$|Q!glvNLo;dbfC(7DDxl#>Rs$rc> zxZMWIk<`Q5KzUvBgX9`=CPjJ~vZxW+gAbBTSk|4lvIm!ksw;c<c)xlRfxZKX&(z ze&1X+Vfh%KDVkvnS0`zPMK)oIW*D;xlQhGaO_(GF#%#hQDKKUetTX}v#W7Jv@(0Xo z2D5R;+{gu~#mF9r~%kd zM`!?4hEfagPcumfx3F7c&h(gSqw*yR|lL;vNuHNsNg&D0|mw*&J)Ux!l6Wl#zdaNn(_J^ zwi+nn_t2(aQTBqqTH*FpZ-ng=72muN2DfUyqc^|S=Dm=sQf9EPI}>cN;V!F_{z;z;s;H@ORzW0vA19t16I(c58xoO zt!QsRk_}@z0p0$_??3cLEzE{7odCEq9|Ia`byHnTC!mi42@J;H$#uvp7U_g7ycGe^ z(-Gqa$}B-lCmar7RH`9liYvpIPJrjYK1K%LTLapB=pvm!4MlB1Kr>~Tp|}QUBa+3} z0(m3+yo_%OiEgpAfe>Rs_@u2nV%JkwU_Y08f%sl^#XZ||k;JQ`> z%`&CV1fi9tdOAXJ`AMfx9N#YJwNB-SG1?Zc2Uxq&*Vz2Y1=wYmXa(5Y0od>VjGukq zvvUAzd~*cxC(J^wP%Z-O z2|at7H19fVOUs9j01HI7?D}D6O#5tD0j%}^?=ds5I&=ZBUE`hgt%)@IinFjOm{`}l zVfb|7xmu`f!&g$SVR5?uN*o9M;mOE$NMoVa!YXHd2tYvHK*agLKY0%^0eQgbsZlF(}t%<2KM_F8FO0h z8cL^ASv()TxIQ4@$=8nAK_^Xp1k2(A^&Q!dSQGP*2A`<7LR*YvR?E)E3+1E7OO&qV zsp*YJ5RP74qh^bP%F)|H`OVK}H9T>UyqbBcUNXHswIA`8SbO)=Q}@aKK{i-m_cJ-O zIxn8oRYiEuc$kM16nr7ogTOy$K2)10CITe1&xwS6J^lycJw@gN2Ddu?M|#}C2}69S z$b9U1!-ULV61B(@o z>|k&N_!vxw)*;N}qWy$dH=GphC%U@f&G+Z&JON-U9HF)r8VYPaJl-IdsCqlccBl$i z_UE;|IA72|hI5t4iOkSQPTfCGci^mI9(}hnsbqVLmYL0mM{`F5QU^|6RB_8iK7e3q z%4o<|E^El@dsO^AQiz}*x;`?qrHATF7-!2q_t!3mG`~!>iGqc1%l`FwA3=}kuu|Qt$2Prc>V?3 zci;Q2xqJp%(p0?@m^#CX}H zh>>v8_EXP=7o)icBvWcj325|t#W8!GQbeNsAWuMN%g*Ill+uw%V5AOKg%TC= zX$qdZB_76*D~4r{amWM9;_~1@EZziW0s3I&bit*-?rZkwN01ANWQj>dhocu4Xxkw% zgS-pA)nSe#ULiOp{Faza!9_2=rEB~SkK{3dz(aojtMDIY1>IEIA$tz$FXZOKbT&?} zP`6_`<4`XL>-z-zf+`Vfy)F8E0vSPYJ${OH}o!xr*6Ipa`m zZgp}vwMQZPyqJ7pKb{#hTnjME(eTC#Fv~8{>aZ-oMAsBx{`D{ThQIXVvk3@vo+EQl z72%ojf?EjNsNoS&aTzuf5j|=~`2jG@oZ?&p0-1Fw8XO_$uORC|b8II>taCVV${uOX zZKaSK+X;~}Mny+b@en~UFwQGR^2O=YYCFQQ@2$%}8}ixZALa=7x3q%Re&T$GA_0L6 zfYx-5Cr(i)zn{=fh{&#O*|~u3irEANidp&%ZBN5t12F*s31f9_AbnH`6JO&92-2o$ zM1>Dvtp)c+^n(?jja^Zs2L#$YfZ?I~^ntxdH4_nm)KEDLqTNQ*~ ze)axK?|2=glYn$uIg7v-t;P0f1L5?r#TyYs^0&_01#z z3^7=+j+$eDoAmz17#cDEaO$@pJ&>!g1EB%{7_$XMc-!>s7l7fm5jc;AF}m&0^dR|n zxG2JDh=wu$aKe~C&1h^9hF6D<`G*685JE4LDRg4uibehb=Vn?5BcG<=Q&`GJ$Q;s8 zQLGvB52qtK4D}n*v4(|ZygEova9ZI7=0&_b==i6OfaEkF6F|2kWEJA|>7j_AmhklI zD=tZ7+Y$H9L31ysJy<#Qn(+$t$Cl^G`~yfDh2^Z<5d{0N1sNQq5+q<}VZhSo`W6c3f^%kw z0t2;e;0j=Ju%TBn6qs{EDCZ#(reSOPKXx!wZ_vfdahPyFPmMeB`4$N`^5%efl=fpI6|h<}+{qiSVCzCB28sI%Y7 zXTO!tW4H1--O6}%;Z{ETt$g-d`8;(ipVO_3SGRsEzYR|sUdiM}dXftx9qVzH!jO#x zE#8;WyQ&LHAf*(|zcN9x+@bKl9+m>Tm{BoJd^YoRHfYQ$+8NU4D{TE(>J2hu_e#s1nqat+aG+m7KM#(4+ zj&W(%)Ml+bB_tyu1p0m~VuvhcL1koBey<#7#r^yvjqH}*D)!=uzUS5RtKo>)df%(( zSKbclR7{d4%d}8oC?DiyW>Xhm73K<;T$ORV^fioqwcLdlLOz3Tpm?_Es?eIBBkjsh z_ECRT-tf#b&-~=yeBU=bmve~P9AC?XS#fL+kD_nYm2{boQYH*?V)Ra_VzRdho7qsF z2PSK6WF8uVQ!Ch?4f%VvEu;>cMtyDcd)0<~X1HO$#iNK`541UvBcwNxSESS9h8^U@ z#63b6mrWqehVlrub38$BOxlg8d8V#*Hk4e0YjmSxC{Ek~vp{Q6cJbc**vAfKNd0~= z)V(@M@!s7M5{aNU(}@FrN&}{RaMgpdqvPb5LlluRXv3EuTsTZ=%`K*zh~*a9#M&}m z9u!UOqwkx6LYj(%_|XqL=1*~S6eP>9eNmKQj+wb-aLOddmRU!datmxDLR`eQ zi?Vb;+EZyc>jEUq&CSDsa;#|lfZ#6xf4Ryxj{oU=Ed3*AV7*R!Z!DpxD|vTQwxE6J zbMl|J|An4gEzh?0IZ0$6+PLM%eN~^6XBa>^mfx}D!?wtONf%V692c7lK!vfm|KKh> zs#n}EQw&Q$<;EGt%Um-8=ZgE=J4-InHMsxXANa?g^~Rh|(8{dizOzX%=z{Swl94kl zQ7E`TcAJI}>8QJi_-ODIF;0N62GN+2-YMd~8y-Me7N+f3@06q1# zgi?@@mqr_%VoOwyBB@!D$wLpp7GZ&rxbJHo(BLEtSB#9CjA?W zGy?50qagnfoC?{trONt_ymLFu12x5(MhS@(%jLa(% zq}&ibhUMlvbT(CnbRx1LJGmu^Pf#savws6g0^vTT^~6NW%yLE5pV=?tHUlr%p>F*eMQ2{2FLwCvv? zWuWs?`C*!3SZl3;j}_UkjamXYtkD^vVa84zx@FEm3^|@Xdl;hG#w3Y`HZHnW+LG(Bg|U_nDAAS$vD9#U7!))}*$&6Y`9>Ngos@z`DLm7d zp^(~I%5aDeoR<^wP0CQPgaoCy2gT@1HRrXE@dOky>{&D8My(iqI~e^1-~5p`9BYiO za}dXEvy;3w$OeP>oAu(Fl$DL=iQ|97L+2puc+%h-ZxEoN_cP zNp!v6BfU|cW08aCseq=IP4%&7BVmaxi>~1atMaZvYW#PHSmzFA5Y=|$bE^X8mm#%6 zSYKQZIBXuN%r9{SM06sIa{dzNS$c5v??6 zz7YHlM~_qhG^Mfc17-XD&Q#gm@&@r+ptTB#Gmi3Iq^{*p6B+_8Be<9jf@1d!yaQB^ zngKl@F~8E4W+{Nfl=Vshd?KXI?Pi^cwMYSc9HcQGH?Jp+$yX8gR>iMSmn;@^79FZ{~0 zIU_LM6bSt*mTS=-2P$~kO;%|Qpfg^&2+)6KGvz~6Y!FE{WJbUZkq}TG8k+5lAn%4(M%ohB&T`}(%J_F0#Kzve9AR@kjjXNN-VanQ zW07^7-H|Q0Pw224!A<;>qlOTR$3^j0S|38{co2^xekxRcwEh~Z&2j_fYHbbFGTAFs zi-lAe4=A@w-oF|{K zNNwBs@GLzb^By|vG_#J{{`2gx3-2x;+F^I^%p;j$>>7G6>({#R(0kb>T2b?MQ1cJ| zx!-^PS2Aj9Ik>JwL$HOjCfHoY?((wK8Y|J=)5M0Kq^`$G^fYrlv&C3fcUjRf=6Ynq zAJD(A_3|;6IiHNF`aj7>k5^}!JD$mWcnCiPcU*9Hn^|Vb36M7ecib^qf%>xWjv%ri zbt;}`kgi^dS&?+tqXsuX4;TJ{=P|lWK}^dQ^L~M_&H|}SNM->hYnUFe$iTb023H@N zMTWk%i0fpLq1qB~oi|6sb#7-|$GCH_=JE$7HNNm`X6B)b8hl<(zn<(Dh*acSLw{-~ zjIK5Gtb}t%BzBUD)2+(reC|8`&M$vz#(s6gyoPRW8GrSc!&ah;8zKm`IoF57K-Ep2 z7q0zs=sCE9#L^a>RF@-Wu(Y+ojbpZIMY$L;<9(f5h2pb~*Rr!huwF*YtDa3QJjSE=iJeQ1_Sib|Z?qpq%y4TGKYNXsuS51F5%cxPXm{uu6+gK>RLd^W8WrD8 zRQ&y)^#|Ye%^4Y04&I|;6NjfDnd#f_8&^F^TJ*wS(k(RLio890#PM4dFmZu-?V<7& zfnJ={2*Z}69f&&FP}pU*B9!@!8ouj&0CqaGu*>|=CrsxS>hl32H`R*5E;GZ^9&#`Q zJW)wQU<3eA|Mr7YM@4tc-ggU{l!U}EBY%T@|v$Kdf^#TpYx zE>&aYpNuzl5kAGJ2wvmSPhIHvU`6;=O-7PmtCS2oo@%7 z-~H@&{-Gbu=zJk(4fFy0NY2{kzvN!0m=%S}3dLH9&fB8bmyvLp6ixkD(+Vz-Ki5${ zfXIR73DMb8w}3qx`q&;($u0BhL}xdI<_JaGL>q-;>kIK1qH{6?%8OPjj$HIi^TQN5 zYv+DLZ3RJgxnj{hg=56~sx{dJ@^y{f-nm&2CQd&oiacN+S*M9}s6NZan! z70aJoEMInsRxH0AEdR(qyZ@FzVJA9|KYux`7FDxJs!?1~OvNuq}8WvvndR#Z{#6j6-QK2|$b zR3ukBN&6~PL8Y7-_vd{QprzIvk?Luvw$5mdfRqKASvWpeVb`#@#JdZpLl-U}oyeR8 z7MA2+d~}+Mpt;WZQektuzgHA|a#3*EC0bGNc2Mwxzxr3+_=o4F9A~YKk>SM=1#L*G zz$GSklxgIMf@v@?VPy&hA;pboQu3}KJ5?)26a+{zJB&l)Rhf3xO03;yAeT%GFODdf z&T(vbaglFsPll^|$Z1yRSmc`#@NyJ0qUk**BNvv7fR(rQ|70D;d=szR9UlcsdI_ra{QqN z-&O||haE6ixp0PIQ11|(;ijHr+&$ts#yHZ5DWUcGmWietb>P>F*o_}%znAfLv~VR;d!SavxBxYaF5a2ke=Pi(D`NF zzIMqjEjZTt&!v-afNr2UU~r5}yC&TL?katDlY#-9E|*RRB)LeLyDTO!!KUMhUY>`z z&LNho@|4UW{)Yejr(YA)f|OiSGac~|dw_v~TDEb{pH_@)>)9S&iFNY9Wfw;?8y3BR zsv^ryzN4k1vY})Td{*JM)%p&c0xK~e5Rj5_dvfi2t~`dapudymNyQ<8lQAgXnhB_DvvawlIU#{llD zkK_TUwbi~*!eLscI6YeRSLT`tei>^!RkBvv;3=X{ac5&d+&p7zl5yXLF=^L->U zwlX?ykkNsOJ;d)k{TJVEXXp;V#+z{y;Rl)^t}hwc9iLIV(BR34y|ygAv{_pgUl_Vq zOww_Zv-p1IqWL4@PH{7h@B5@r|2_TGPyU-9ntsbGMle704G@=EwYEk7 zLWzC_n4dbGfs4G=R>>N9#R%q^CvrMLjlWzmf_W17J?ypv9Rw{9c((@B`K%KHskmav z#lV}AWi`-on-B?uibE+Nr*B=E9kZP#DpT<+{OCC!lHt`?8Y=H)8-rkjUc`#p>fnDX zhi3yJXYZsX1E#z{LSVHEy8$mpMT=2Q9J)r~4&HPqW=gv30B@?iLP#!!TX+Mv?4;S* z9{iyC=;0p5(RVt1vBNB;+Qrp&J-t6RHtU*>8P20#Gu*`+d>$8m&wLc1i|f&AAE zCd`l$lPJ#{#IS|=7hYdx4h%S&Hqqyd3|V%m?>5MX1=9)BH zlVX4*%>Ae&Bcf+9^Z5hUE_R7Nk!rU513jv&Yj>Cp>bNQ(b!s z`3P`aPCA(SZ~(ij${mDs#Is<}FiD4$8iq^O>4+kwwp0n^v}=&9xKQ>gQflBBS^jG% z84^+vcq~mwhLkC_8_*09fZG?`YQ?-#N6V85DV>(5FbjCj7O-+|BERy?#6@gE*3OH99jJ@5LW2inPH;r?GUg_zgP%ZffA_0gdOnIC@3kCzbbcNRp*4tYYU}G zq@v21kXQ1v2Wno|&VTd0Jm5A7E;$MJL6)GJc{cprjc|BH^ z8pAS$JWcnHkYVNRQT~S>Q4{=n#Ia<5KBTTRO!^y+dLe3r3$o(qilb$aJgomSTuz)$ z%ZZL7{`kI$)cMgo3~I&E^E+OsD7K{EI%~LmYstJWWW?3Xm$?7R`JHcj@$K)*1qqoW z#TqmY3F3wpmSL)Pdh8hhc%0f)9+a5#|MX=SAB7Ux(7;g4FtWo<`LBc%@l++nJP#} z?{;hf$C|sNNuveJczqDqX|D|kt2REvEwAwm1dA`qeii-#_?_GqWLDX*9A#I)U5|^D zeVnSI&>ow-{4n)jIl01}(1qMB(3N~Ep99yKYVNuV>1D*qA$FFD5i!{2{TO0rZ3qrK zAVpjBPt1%@rc`unW=8M~De7=Q7?cMTFF6J4$Ph!SIv<}>*w;u;?_~r=*dJ2ZMX~?} zLPalYwyxPI$M6{=!Mi77Wj61+|oNJ?yy*0ZX)ln?;jw$ZFl!f>XgX7 z&bV+KN}VJlKt%5361alZf&v7?ZGVc*T%t8Hzn#eZYd*Do`?qC}Ld&Y2-S+ylDT2%w zvMGm!$b7z#4b5uxQTkJB%(z_9C1UxZEiy+^V7C{YYdi(C90CmH<0ct4#~mC67(x|` z#r9~(Cyg6Ih^s}?nu?lW@>Pa>g1aH8g*3#9dlBg@R-{MahH*@_w28z+i$~#>$FA9{ zSj)cM6a5QI=^eI0_5leC@uWJ;v7(n!Skj|NYl7hjKY^#o4^~+c5t1du*WjgKnnA;M z@RDYry~B3&>6zB?`hYCSSzzc~-tEmtvcu6wDlX9e$R2b67+zSPe7ceX6@H%ZTd6w7 zZs;98T0GCCUWzyYxzX3!e7(t+Exux5F2`7Fi@a~$Dffo%MBR+;bjFeVhPW8wb@Y}4 z>2n&R_FlN1eUj-{=CODTYH5wcvqiSPnrWpDNpv8{UyB|~<#7;pAF0P7<19*#<5+k+ z{3XW|=5}^5_Bh|zq6-HFKb-i1YZr~%d4Fj)&zmx$D|#&Qt4{@Z)E?-ByT`KCwteT@ zEx^CE0G}pnzW9M0?kYpf!{H~Ocwi5v)kO&$iAR_8c=l($_HAFCiw7$CFc2JXw~B4u zoZz@p=m3`uGU^I0rW~d{EhZ^}_xINPiEf!G0hn%o0G3lS<*>DqzROZnGH88|pqrit zo+*aE>Cob$=oUwbVN?epeMCoGTof}zUWSK;;f^H{LCUnEJgm$RnGCK-DX$s9aTCa) zoGKb&swJbm2~syl%)#X6Zudy$#DiZ6(}K0j3-*Ggmy-zmEJsLJk~a1s|RfZHJ9fGS0ShT=7qJ5*>^jGkig{~9s0 zLH(3%-fMZ+FM~PodR> zbiz&=PSd-~M`GIVcIH99rVEzGqBou{SayllbiwjVbWH)`?|tqc`L$ojlBAkm^K^kF z+fa8vZ<^%n5yRGX1qP&U*d3b_LPC}cdec|1w-7uQ8SdkrP{VQoscD3oY*-|?CA~;P zFzV~1Iit~h=p%af*c^9i26=d5aWX`W1bGD-QjeiLG_g1ts!jzBy;;`JaTX38Zv=-F z2<7F74!4{7-wZ(2D?zL}r(W6QO+DNNMN%G1mwO=IngynKxO?b?q{ymD8h}vZ&Isi6 z-2(EJZD!(y1t1h%uj`rLc6Y8|7owWWYDr5x%?C0b?z|&+9A+$jcr@8ao|@ zWc0LA578QdeO>B;C%}aQWqkztYXNuQ)}k zm&nBpwKUBSgG_bxVG7Fnq%M@iJARgv#QLZb1ieTf3^pS8q`5^q&uPV{czF&Fq4-4H zgqV}UzsNq>zvO@)|5|9In}PWR#Mbz~z8jU&b3C6we^e#i_FRw^#~ID$#c_xwN;~EY zr22G92f!5zclHLe=9D6d*>fhFkbeR~DX`5M#i(#^LpmG<5_fEC-LX<%Nvj(8xnQ`O zrkcXh!`%&iCHlzxVPVX9EqW%UV?WRSxTC%UE!}0zYf2K`EiorvN6lhRyisz4rKHF8 zp4~uaZ3mJBe_JT-RKG1D2Kt(l%2uk{XlqXDRjFHImXmr_LJRbxAE)%HgaVi>A40E6 zZs@cBwkTR<{}O&%mBzanO#QYBrT4Ml)`P29`O)WUPLRi1^4pqHu>wt@4=m6IB1L%U z=PJxV)T!Cvw#s!XkSY)n#vK?q1&39XgtCX)K&Cv>PN|z-&`x1c?k~UoL>q06lu6IW zr_Op&_JTpVzx>kk{y5)A0-+3hK>~rUdbz)VTiSnLCf}g()Wl>ZbeCpS&>>w8j#W=$ z>!!K$Tm#v37G9Ab=46LEs&pQ{KT$r<-(P#!w6Sakb@R5M-NK+af7uTE_nY<@j^B2h zw)p&Q_4#Ex?2q$}*S|e(+M;aO@(YURKls9Mf2FSzw^zq3IcYK-q}z9!ZkIOS{H9K8 ze*Ch~UyQ9}3>Gjq-I$v~S8@jN-Hu6t6bco5nni*Ho|jTvO};9OcZOGQ@~Utb6Mf|utCwimRiX9MsbKY< zPyep_@5#wc1>rirNlwOWe6z*#K!XejI8@$9bf7_&K^qTUp#!8~E5qOgA}VQh4DJDw zh-&XD#y1BrTZAo2k%>BD3O*p}a@!O9HSkqHf~h^b7~kNCbnIw&s`S*gmB>66^xK@Y z(Y8A^$O$qLX>T#TC`B8KFyK_$r`b13hFn{IXhwaH&HNNK3^kR$={5AcJ zWx2%>KWBp6%5{e_Q+l*p$SYoqAy#(HZLn*uFDlx-njg`t6o%*>x_j{iuvwQ~A|l`8 z=!pto?NEK;W*T}?0j>2Vp25jika0K3Pbm9z<-K%?iUB%H>b}=7XukYQzVP#ZG~=#T zc%99vTkv=>&;v|S(iMwuyyrXJcqgBKP(Pcy8ZZd2dIuXF# z2D!WH!!X8h!&Fr4T99}vL&06Q1X~P&1>6nv5wVp7k#9bBXyM%3=$3g2YsRZ{Jhg0I<_BucKXA)yuw2kIwcEVARvB_;xqs-iHB84>oajNTaO4dNN8Uxw^ns?W z*u9z`p(~a@X;{AO5)lknEceFc{xC@Wt83eR{9>n zm}%;U6QQ7Z*9Wwp75>onQFmSt%YDUD*Q@>&>`ofU+FMp5bVKMuK;eR*KQeKcSnh@= zw2^2JDJVzQahdu^h~;hw`faC()^4RO6-w2~kXY`9VAq;qbF>)R4QHWmfHO@hdkO4J zr0lI2291d^K+69n0Jot0HDCN%l%Dsnbp+~GCTx*gz5ynzr<&0cBCN605+XcV@7FBS z#ijI9Og8!ry@KEqOq9_l(99)TLGX5nGXMNveamOOI6HD|iq=99^z`Q>ttBRsCuuD) zkvvIjiHYP%wa6loJT;pvCX!FvmP}sYFD6^n8D}Y)S$cSyfKnuqAA*45xJvK?uOpPk zucDolC<^k2hNgI7ipkkPUg_cH#yZ(aJqof(NVm@rLn7hA+FdUYL&7~gO$?DHPfx*3 z5lF@&&f0=-AvB%1zuZNs!Vkhk!7!ofM&Z;6qayBK5(dB!K(B5%{yKK7Ef_j7 zW-NSv>)5fEU%rrwVu3#;5)<(vh5W;s0l*cztfY;th>R-hq==1%Ut)}p#=dPYq|Dh( zUKP6QD`_KK73#nKdq4HszrISLj3%5`DHP?r7Nb_P80BdL@n)C&#%7k)$=QF2M!Agi^OP|kQ+nofY}BVe!I=haaVFB%ftfxLhnoaN`WT< zQ_0qN(uzNpP|yC(s86)!y>2J(_3Phr|CMjbL=L?egW}J=2SI@upq&q$_cDl$097zU zg@*IVY1r&gijkh?gmba@1C>umrHCO3lBFT@Uba5!POgZy+oMygG>pX`upBAO36=sn z$x0osx4tD7e;|SmadS{4FjXDYk8;IW{6Vz|Bg=S>BXuXnetwQU+ODNB1|!Z$UjgYN ze?3Cva7zp~Q^EMtsW}RdK3Yni-@eIyw=)6@yYs5Elm;zJcH(D%!M#H8ur(| ztDKsEN zs(%qruh?v)rWKnXA<6QqU;665{nMG4;iS8AP73CPfR2b(H;fi3D3OCXDZ4Gn`!JlF zld=V*5Fn52wHD~nLvzJUvcv=H0O%1zOaqMsMjB?4B@DY3GG<(HcSH**Avdo`Y_=`d z&w$-D+%}?Wo(*TQjE{Z6CXFC1@m_<7qE|UW^CFU5d_!>VBC}ABVSNLB0@Yf&!nFLz zWxmB}6MG@S0NoXEB0fielUlPAa8^A2rH#C_kMM;Dd^xUC6fpyQ4e(Mbml-eWkt4w0 zA~Qdy0E+W1;176x4g8jQdi`=7z25vLDf}Ga;z{csw(wm#z2Yv6fz;Pyo88bWwmrFg zi)EK+jXQ5A?tK2=`0cOyLwRC{mXhnny~ij_f`JviR+Ue?fiOYjTTBu&LY*2Dt|!KL z7JQ3-Yl;jQ*->{PM!v=57*Bc3B|gfegh^l$JbRd8g%rXh(`=8xB!C75#%eG^g|hfi zKqb;)rl*5OKFs0ar-KSiCuc#na?~rE6Dnqc-`0~Luj43&zmVYvLhFa4Si{a8+AkGm8AAhN|M zI5cd?kDwJDfI&lk#H=2T_@d5g4n4+(F|M{-5CM4MQZ%~;2s}^W#FS3!^&3$aC|ruep<~ac$O}ws0YK59 z(T_7W@;i_daZPqQi-V3rsV{I%_@6QXLpXPgReT*Lvop@hD}h(z+sSKq1o}79?Brh_ zRXW?BE&dl!zNWKpFr959I8F(>@$F)IZJLi&ZZh``zZPQ0AV%+&kLXy26l?B#dGLAT zs^!Zr(V8Dveu=JWO8olQ{LsJsEwf(CE8BnDcD5zI}tkSlhBLq{-2uF_HyeOsYqCG3Md zf>eePET2j^t%ZGh^mu554#A@8(-gv`DC{HE&scs3f2Ou9z`UXKt5~+CMeg7EA}~)V zo+{GBSyvW~!!JF>9{*dO}D_#_!EbKFxpNl9*(4W z0rGbk^HCWRpp#+SsFojKRVH@C6$#L8*n=f zU9LYO)n6G(wCzjcYvGU-jup`%DNrm(-;V&aTSBlEGeX1?X@q)B0<>G=l2BIxBDbfb zX+(!LKnM{P8=Pc+8ysEDF(>+$C2L1HYrp8S% zYZ7VY=}P&4S%!GDpaKY!EM~RIG|RHKXu^9qW|@qy%*-}|ccBt2%G~kz;%%p_I(qnu z;hyXgEU0)hO@G=mnwC={f!Fp~^N2|6>IIWtV7;&X-@JYohb- zd*5$--Y?BY=YUgS0aNYdN0%Y;14ZYPv2;wePsY+QI>&G_RV%_n0?hUD9Ak9e9~+Kd zka*vq+N0Sr)ed?&x$^kb`_|~?*)Ue`kw8b@94jI(fT`Byp^H@eaWalCtM^lJd=Z@= z;iSmt*&heRh+?b=t{ypgjQCs3IgRHz3+X9_53{+3N%>?oq5CKCd91O^Ka8g1bLjsN zW%ir`kOLhrme?Jdp~Nowf1$)KzvGmq5AU+X zUTm%=GUJOAmIfB3(hWu&7pEV2A7BYlq~I-zow zkq&)aiD~B;>1e-FsUk&TVpS%Z^LgP!^RC^Z{|exXJU+_Ur6F-Y8E$)O7^4EX-I@52 zuXqjmNo=c9MV?Lezw*{dUsXn+1+NsOtT;Uidw#h1cfrD9!hJ$Vl|MNA@146VAN{8I z=wzG&^skRu?XFy7)+d)9w(JtE*mXPD^+T`y56-_UGtM=E;EZ!iCxHIE&cPNmv@Zl{ z`tgMIItTkj?Kb;ZNLV}2*MAY?t_kZKOjv_^Mkf`+9<&(^TL`EV_l)W6;{&(`%W|b_ zI(s?p+;}Z}*(F+CgXNd#nsoNJecd1X-M^8;S`{W3ilCgpwvvMKv;scH%`vQHVz;wN zF(!2YG?ikhX?z?|QI;UI!`PNui9~AIW=P_=@Z6|GQc8SiqqS>+ppXl~IL~oj0pHY8 z+O4&><#Uu;wjKJo?`-T%bMPXuzIA6&{OjSSM7iUsUpMi;63Z&AKC}Y99JZ@kvHd_2 z+se@n7aFm1fXsa+Ltw;hg~SJXq>J3E6zN0mwPtN2_gmyjMRTLHkGb9f@QTF8RB~C< zr6=5IEk=}TWjPmanXJAhhGnig=8D-*c1^BY3mmy{E1BtBxRp$H$o2B=!zzJKsj(ql z=&4EW+9PNthq0pI?V#X)`IX=P)jvBIAR}MOUS2Y~Fj=h%6(eT|ZPQzAIl|O59fwomcF|=yU;_B?T$Y#Sw!5BCpy%k3<1AxIlfUqkm5Oy-k!0pS`$3X!ABc8MNo>HRC0M2Af{X zQ_~yWJgiwx=&GKl#w7JoC&Q zs6OErr(6Or0T{F3+2zyXk6R9-l~!^v$ccBE=_NE*n~T#cADo8J9IXr^Z4JJKDZdz*yGe%@Y~wcpp`Dt*1G*c z>GJThY{*{z)Ed>=J}`#qqFnzLaV4 z1DM~zB`^3I`4Dp%m$$w}*xq)jHeG`ejl6;Lu<39W!>ztKp>0y{HvBe9LL=4;_d3QZ z$%smg59%MTYP9M-v^nCh9R1K}TS|NuDB7Fl0XZ7|q3uuR_viDJnK=0qb@tnZUtj-z zM6uN^duUMLUdNAj-$H63-fa+z43DA>J`fT>w1jpFjZ5zG~p8k67A*)9|xbEzaKmt>hp}(=!i+z_Dh3wRc%>Zqf^&N67_&E zv;3u@#Ynmx>^w2YT63O<9RxV4HJc0w+YQLR*4*2miM6m?_Uk?SulMY~-UlB9CBL1s z|9a0Ce!b_j|9bH%{(3Ktgwl2MulIa!^4E)H{nyLcP=jYk{^80i`}MvJKSvg`@a@W9 zTo3(w=Wf$%aChYlWYcYMUxo~3!y7kbK%3*Hi36S*xXFc#Bn;{uV#>5RZJJ20Z4R5J zNLj+5++Xgql%s5S8w@lGC){t^BE<`XVt?7rPJfH@?ZW<;f7BwVM`IkIzS-V+6Aa4z zg|MBDk1s5KoVMMxQN_AFY}%rArX_TR@2|!A9^>(wOyZaTc5 z&mRWG{<57vf4ARsQO2`9ZMx#~!=T*1{QMoxH(vj4hlhS~e11XU17nAH{`kV;CT_0| z{67))=p~V< zij9L>Y?=zOZ_vgLA znH(?e+_oCvPFKt4VaV&cZ%O{~LX_p}`d`}KgRO68$4gfkb}D5H?t?0}KhNsFC=0K$ zpllfqPK|^*!Ku*DCpl#rgXT?fp&a^67W4r!x%#8az_T_WuVbsOnJgAu3w?>FzW>_i zCW~>0Cq0w<*8lYfjtjItAEW`fmcNpyK~o6N_RuNOr_XPDMV1GS&74O&;=Y5 zg(h*1lnC5}dc}}Cp+X9CC&=8OU$@R)gwzS@v|f0JVVS(B<-rL#OJ<^ugG^MJ$=%6U$z0IB%FoW?G+|6&Fcdh4+%mC1E<^$Ip8XvIP60?k z&nH9c(|@V;U*I!ZY-7-`G_U|y;tK`18t}Z<(N($9x@vt^sezBxIai)Y_a9sdkuJkd zV0;{-$ewmE|Nh^4?t}mPEd3h%V~ylzW>6BNV2A*)qeN#6089FHcZ8sq8ty<`Dh&zd z9#SIZYb2zR`HnH^N+CkKtr$Wro9ko_)~P{A3aX~(W1n{3Utda(KUTW|Mh?Knt$+R zHz%6~sYQ*-MncCJwN8ePF>0MumX1+t3iHOat76oOIgXcO%ow!-+%Jciq23Q5+-gG- zlI6#m!BFsz5C!eP^FV|-m^(3xomGSx@zGy9cIw|MtQ6=plI;|`SL{su;}Xrr4> z!sCmZ+&3hCM*vh1GZ(it(2Rj)Ra_l3AmO1=Bu-&aRAXg3U`{<#`N{^Qf%4_GGnH|^ zkUoW6DtyG|&T~M>tHiQ2nUN7yz8+8fBCyojHCIQs6}Awz=SE%#Z8h-mt|j;`3sY8r zUHq+b*l1S1Fk4P_jw2QiQ*_kAfq_2pkNC`PXWfX<& zxS~XoW(X~6&dMN{@gVivXehev*Bk>lp52V&5~m^CEnFV zP~z6&v9E~<(fBIC>)AQ+FSPMU@z^Y(iV0REk1kWA;+nJYE|{$ZTa%iWf4Q7PcI*{1 z8})Q=(1UZHg8DIR7WnTqHGS^058;Q4f5-M8`!|F7iCB5nrMy91Nr9y_Z0LDO!8dQ4Gdf9*IhN2ouc zZvf82I4)OEkI@)nI0DPe5oVvsJ9c*nTWSC&@7XQsHR!>2XfdcQ85Jc?cnaOGmA@bdKTQp%Cq6JQFsXT;e1;UI~XW= z?TqJNirbpKFu$UH~ zq=&_{IC|?Y)5Bs~d@-5p(WJ!%s#4r6TWLCD0cS>VzBf57QKDDDNuyoma8~~H(dWgz zpzMD^xLWh#H<%Z{i^(DwF|lU8pTcn1p1(70&WfS8gQ36u@jv$yub;!vNoXuER71^i zXp9&-35^AYP8F*ohE5i%BZd}(mTLHp7@CUJW1QqzT863v+1(FINgjvA0v<=kJqY4$ zNA;I7Eaq2YZi(pgqA-&ukoTW{McYs=b~yCEfJOX{V=pwG>yU$t% zM$#3@WhxE%*mFb5q)0c-ky=WXAwe@4ZXtKXMD7t7S09=WLO1Lo?pwv!RrW-4d#tWNzUkF(p{Lh=L^_fXVXE zu(Zo>vN468V97^kvfMXl@?};48adh(6)fq}xMkP2?6O8@QUexu^<7G@akA`BY}|$$ z04Yf&kcTzn_30oegEeW%wx{w!3g5}sOyeVw$Tsbnux7kM-M$5_4Qpb2wK2YfWxPZ< zx^`rvh1OFWsl|zj8Qhjn+dya8})2 z9m}fY?hN1zec8S*X!SYLV4RP*2{eiFghaa3vA6Tz4^WdP+3EW*s!M79>A=b7Od?PMq!Xn9C zCOpIGIUJIS$nea;7KN;w_RV`idC#TYd`O#+d`U(QVM_ZfP@T2j>&k130$YLdwp3hy3DO)X)2rBE$4fBCau zMdJ|kzW9L<^uG9k9MW1eo4(1BaF!ZkOM+fl6|!gJmxvI%@Di3T#!vY_{->|V zXsoVLotJ=^>cTP0O$*%?VJr`+>5Cim*+9Zyu{=@ z<{0%N8Noxt^WXNSrJWC51ik&~1lUH%4ZAuUQ^dS2WSuoO-wm@3DVrXh&K9tJr7)B@==rg0ABw0(q-e70W1o+l7KUO3u-;76w*04uyh;hvVz(=XM9L zko)99?y^g?LhkKA?&o~vr+w{OKi8-e8U7*wp3?M+0q|Nsf4k+IElPD5G_rQUf<%$tB zPY?)|`Z=6>G-H*9W!AXgnF1&VnA9J;$QmD8d^7U7G3uuNI4WG>`DKh~(&RR3#P#Pv z?3QWu82^ZQpZqRIWJM*Xn!iklqiS{=b@BQW71yVO4w}U1yLPAbb6aG7xU>Y#7pUm+ z-b`uQgYO(9KWwU7kBZ4CzO?*nIz{u$mPox#*DlMKZZy#nqD< z+0#_aFp*hBA=zC|Dir>94(FL{E@p7ZT%x#tnO#PA^ggPtAQz3Es&K;6Oda=^`z2+k zp}q~;UN}Ay(1q&E4ajweWVigH-DlgwWjUtO^ny$(>&Xim=@AZoC1A}|7Z znuK>!QBRQY24u9D>^`6)Tp)j*ga@BM67oRbnl{Eqj(=(!MalYGZ|Iyqbxd}nK^k&0 zOz|Ie;&r6;6(qb4s%b)l!xTDj!su?~C5vSD0Zrt>dt5-mV{LjN36Dgy1tdIthh#K@ z*vGkU^?Al(M0bLQswVnJ=z8%2K@b*GH80WiVmTrW)ElMi#Y@z;dmW?=(x*8);roo& z2u=CyBE&Tsq3a1-+%G#O80wfjkT5Hf=4{cQZie_B>do9s?Rj%Pkvc1;mL3#t`0s;8 zc=^W*TIup$Xx)?T+b%$OW@VUZp@$Ktj-h}(+xXI;YS(v$Jo1~(_n^fN6K8`VqxrE;o=G5F1T2-orU=-dVo4~-l=dGn|x+o zEnR2#_66kfKz((C9-|wy>=LcHl;xM`8V24ceD@Fk>@VkA8)W(ffDWWa=(LOp z%`wt)LrTfOkZNzOEE3nmINZjB=d;LNygHyR@H`PXHyEo{ADyW#UlW}Y5D(Hdjqcz_ zU*rmS)`1{=FU#BcKl@*K`_b|Alas>4hi|0$vU$3!x(*j+&2qnKCLnSJkr;3bvprq_IOgwc>0`d!z$K;4`^R~#uJ&)0`kd>9JXikoR>KF&yvNPeD z{e2@?qkJhet8~#IeosCBUD+ozdT;nVaT#x60O6n}Sa+_*T8=>*}$F z(yrNDR~b^>vjx|hhPrk9$u-UVT2@QADQT|&bVA4vRRD1ZArOhlGr-*N?sCez-T5<5 z*fsoJ{)D~pD!{T!w1VLBOLR^6`z`0g*L*mKznY8ivERD2LzDN8$!iH#i;ic;$qcaE zu@5SqWQayAHN>dum=5QPIm^sLpAe~WMN|u7qd5(8l9`#tJ%O6A ziV8z@=$vDwA+_RZh?acC-+Yca#f;P)CW%YA0Qg&Q<9XpnD<67w?@B@Kl-)Xbp`$>op`^SxkM}Q-wyEq z@$Y~C7p|S>c9+0DT1ozi(^%TG(OqZoG36%jjXkm-!^iqXH_F<$42y{YzP zLx$o0VUEaYYuI`^LTaTvM`7k9syrI*C2BQh{BzM?@Z`!Al~y9&#`H-jx(5wrMe=t~sef>iQ5V)Br^i-d1BIilfFUm`o1e&t0A-_X7v0C?=PdhmJ6c^*GrS=!gP!?Gr>8E%e>% z6}5tAFgiK5yljkviX77Y1YAy&DbKQOjO)R@R?F6gljRRyG{&LXjg16Yb2rk!7cURK zYdSBqY%5v3rEo7$o~YdfefgKr>n7U|Qri}2uR&k_@zGVd{TVP4T(9ZuCxOgmzkv%6 zYmd&>I`;DD?8fWZ%P!FxKrg>U*VM89-e>)dKk&Lwe&!iSC6YGc)k+PZbqnIr000E1 z>^<8XQ`p`@q@C;7*nLXljnLvNCSv#z%Bu>NdBt4E1_cXENI)xgifspK_PLG?!)>Rs zr-)7}(Q(|zrjt!pz^={#jDY6rM^NP8SjYC88RO;AhjYmnjGz@iYeEdU0 zt~t8Iy55Gun6XeGiRDJM8DE-2{ds;EZ3q@+Y*e9AZHVm!# zD)YjKwD=bb)A=gDCy}`tcp2KZCeq?&VVzem+yO;fCHI83Xnreh#z(Onbg)Vnms_5iEmeeZp3P}6eLxz#+)`O^a8V)h0Mbc3`m&q<>^J|} zZ~k+<`OoR*$E$pU%e{I|H$PtG_wvnuE^hwwdG6*vpZ(^?t2hZDCd=yAISV%Ziu-|p z@wUs!s)5#l5a=VFpZ8~I#M`4^py2PL0#eW#VNmaY$7(8|ppg&soX|heU)TB9NN-=> zAB~2%yTS>>pg6v4hvVaXkKyhs zei)Sdb31?Fw%auE`nS!dEf_2?DEF6`J{=!lSXl1OZ))ZF=aUt%h5n5+Spl2)Hq$0_ zGuk6#az1l*7&?V7w?!{l{s&doNaZ>G@_n;V!+6IlFTY%>SLMKfp@BzvcamW(*rbV} zps)k+&Xws?C4){&y|1twlEE zq)PlAf3PR8wI_VKg=Q)^3a||11*YW@pZ1-6yk7>^C8Gh?R9go5nM)L)S6{{g-K6jH z=%zQmcKhG`sXl|K_V0Mhh;{R@D1*Lv!U@!j>gGj;Gj50hL{vhAVbfae%-%wTPCwlZ zksMIll-zFLDUUxa1ab}wo`qRWXT}n-%BT^W>E5q1kto2 zbf7eWGSZ1j5OqVyL?Fe%C=D~6@bw^m%qvQ&%`H0!K&mBj9>|{r%SD+1Drdty z9T1ZNbwn<}n3^zTYauCg1bpvJHDFZs7dI{TM<|H@o<}>4{6#P*f43`yJ|Pfl_wI$K zUJPr#;=PZa1VI@5n1|41mxvhjI4%*7`!w@Ze*Fi(;KzO>L#Sqf9YS>rEr6IaWDwdG z`EU|iaIBxAvCWD1!FNBX{OBSeY8FvdL4iajRHJrb2^$UN_MLU z`2$a2s3>y|FqWhvS*~d63$V#R{Hg z(RB$9F3TGHpj_q$<}9>IE{CMDsOE&EFjRjE6&_T!pehu1sIvIjA*5|hU?3du+IfHv zE76SejahuE8WmY=Q5^~&xKbg)7ZyK`em$NizKcz066LgVk)kYv&WO+c8(N`!?W26K zaT!lLl>g{o`1$|jvu})e(nFw5BFzIT@O7;|LpiW*YPevAay${Hh6`pWpW%~aD94IZ ze3A_1IL9fLNQQEh)~1FF7Q~Zoa=KuKa;*7ii6@SO1XPenvgDurgAqLOmNkYh7Mpwym_-8H){Uz4-o7#XM2<4ptwKLJ1D1a8$zmyg?F3jswLj-KI@gj;%=Pa+P5I-T1YmPmF_x0?eLJcJz0!b{DNDVQgaVPTDiERn8{ zZn>~ovU>{7&!c6Y6nFo*zWdMj{D#FVZHszgigZ%l(TQ*(cmMgE78|+ySL(@1Jpn?k z)RXP=NQbV}llw2cbbiMRV`a3W7^WL+vic||Ih2FO6Wb#(MPh8XQcs*{bK8UMVxsz^|oqV{aj=R8-B9OFNv(bDYhP(ep4DJCMHZU$PfoI4DzW@9cX_zcNq!vHIE!q3j#opp2-LXbjCk zVltKqk&mRinJm$luLVVL_(7fy1w~jggmO#B6IS<8WS+c) zwzz+m`K}A@pU3R2u2bwloW5qn48E|KVy!36=meSIeAc{i60;-Gd5;k@1<@G>1<_cx zGf^45Z9K_yikigpB1J63?vNsu?XZ8%><00?`250Tj{Eb$ANH4#IN|spPERt!g{++S zmkB(16FnW^UE}EwU41a0@oj-do;Dl23syPmOk2J?+{8DP(%Ybnhs`3nJ>>+^@AJ~b zkQ8G<0elFmKjMB4c38XWCNq}28fK}ctSEG^j-C=|=#uPTj30q4foL+TyEqMl~A*B3J--d%opW%3H2kl-V;|JH3Lw9JnJHi_(bAut_ zM}{(dTpFUper3Crdz{v1WnEV8AoyQ;5bUe+ZT_A12*%#!DwkWR^ca<}ZMBT9a6#La zCpD>Wf7-;p7&wQ!BDo@dWq=FRy?30EM0VDux$_(bzZ88;QWr1nBfJbszI#gP20Rt7 zFYeE~B;ZLsl~*dNaev+easLv}fk(Rt&y?~lCdf$>V%(omOg?^DPu4HT5ejX|Qt|Mv zAXcl%P+&3}=mukqym`>_>?IMG_(**mG#-ULei5lJC_mU|n6TV8wFX%~N&97Qy}YsEwGrDUhu21IJ2t%v!lSA>n8aIP8>mS_8$+U|>Z6ZLE2<$(jKh_O zm5{vfghNdlXgNL(R~~bAkK+M0HM=&JXA{k9bkb|QIMb6}qvtU>=`}XG0|Rby(ko$9 zuy}%yrC6;3ezD71@OeAq8i-LKWy!Xso-Vrw(e=2-{V=bcxK@byKP&aqhP;(Y(zHvB58>Tu=pYuRdF1y1S!WWH!f5X z0W`J<=6iYfA*(lQ^U&hU62}9`CG=> zL$$mjHQZA5migI33zhgXksHJ3BLw|4k$V7TqB4x(Gh#zD4Qpx`a`NShF?_}&h?4l= zSfcn{vN0FIn824(5gub|m;fYaQVV)jxFvvi9L_+U1+X@lTlZjHA!~HFMu*DH zEJMIY7#+UmwSVike(DnwAy31YL3Aj3<%qm)Pz$PoVk&aYn7Fd9RW6TUF~(F-6>+Jld}PBS zIz%rshMfa{4~N#89D*e?!?yYCq1jQc7M903t$3`3h*MgH2lH?wLhv<#<*F?^sy|-@ z@1Txr6uWKV2bAdmZL#z(sbMp*#JioT<%UlbFA!XcopbzTRmA%W& zg0?l$lWaG7t~HKkRoBG#a;5cEq3goUG$ew3G!(u6@u&Wo&;O>n=AUfzNpWewbYJrl`tJC-8J*quO)-Cca4YtjbA_ ziiYH(H_j4b`egnwipm2o)3Qk5C=1miDQ~ZqV z2GETt$lxL+ANMCKBJ7XzJw!KR$GNTX_~b^!{kfg@7eYkXqc|psob;2!-zhKSQWPid5sXnwkUzr+ zUH%#2^)$K)pr_)vY(?2G0blvoN2i2sp*U`0i4O4<-Wda4(>ZZ0drk zzG{C(EMK+1p4M@*E0#mvp#-w14FqRNHaNs0~kJLAGGRqZ<62cMeH()tG_6alQ zE6Z3yI6`VuWfY)JT7Q$}xLE{18j+7op)X1Zhfb!b0rgL4VXu!KFVArYCZYHTa1`po zO<6+N!$Y7YF3)f*ZgGL2C$5%Yu9r2QrJjWN2?a4h{$+%-KITb?Z!nS&$c{tE@aKQL z;7#a}(Um4Ix~hfEk1xmMoATmtp@}W$4Y=QAI~yYUBIMT)KKd2BGh@hH$LNUhuZq#D zQUQ|VkG51GIfI;$~3#OasW50$Ppl8f&OjjVPmRa+TByCpJfJr z_fYE6thxP<=7u(Vj<5NHAPM{Erf)p^;eY>qzbEGp^kOjNmh7O{raua33zH-Rc?>Nf z8AP9BvU(nUj@v4pJ8=15K{unDk8{>DcwKxY;P?2-x+a^$gA^r8S?7lQ3TACpRFf8lzZUi@wFb#8vaw! z1ioVCumYKgIeuPLOJtzmxL!Px(b*)rzZMNF1A1eklJ#GU&* zINJ{t|4y%z-{n5jA#5eFqG%gKSf(N(9M_^K5LGEcKoK=7cPPrl4-2OVdE;~J_82K>_K;b_ z)W;I0e%Al;J>UK(bK}@}m^zur7PgAVv%7}kW2jyu|6C_ui`?r}jyR^qCsV{R^Lj%6 zv*wb)M}NS!W`Uekz>T;t_F8bIOts2CHGxx|5#!>kv8DarF78ylLHkKK>Nf?23WEnC z1F6cUB2p~$wSY|1k)*~(jszU&R0L+yQalBHa%bd6e=S=g1gf}yT~(I*An%X!EszXV z>T8g+%%zs8Q~}xRVQ5)cvBJ<}grQ}Zh^*qrq0;iS_b6<6(_~IGTREC`;=H$ zjQlXa5Zz+HRJ4s9Bhib)WQxe|)UuR|D&>hf+nMhq6L&J(3SkFAKSZ6hWM@`onb}sf zM93>K;(m*{kdpw^{!>e;NZV7|uIx%D~u1|wW3brOcjT|xdb zNYAlz?H0)PqmvzTH=zEzDtA7|UhAS54MtSq2g!D*-VRa#u+G_I(5ns)kUh5F=1gjG z4cphS{lfUVr#)={_CNdK-~NtIN!lzJM1?LMCpqaBs-|1ary{ape5#3$*jvC#LW>(( zUos5$Xk`{)%Z5Z2H%ygX@T;vkI2-ao;f4qBi=}Fc24=*@Bsyk8J~G@8Gp?v6229<- z2dE4Q{BC&aWqub8Im4n{kzukzTd}ULg=~rNLNV2v!s-m?1 z>pc>w;~5m2XXjgU-67-J7cSo6VpCND+-~7k$6z-|{O}G&Hq8|F!UYfa`o_fZY1vm7 z2Wy6#eRBYRK-a`5!TM&GMr=F8#<~b_!J%eQUT|G38)f^2Z~aI&we`M5mT=jZuZR)Q?aDvbwb0YrswjvBwk87I^rLEcDOar zVH{Y7n|*^8N9qXElEZwePgGo^1K2R~iSR=nSjKB)f(l%-v#ynJp79#lV;JAki5d*$ z(J?zMJv=u30S`erI&zftH!p%R{@KABL72qvv+pck@}n>R`CdEvFa3DV_r`y|=i$$H zY;3jsn9i4ezUP`#qUPn#7iSQEzBmdtecj?mnzE8FVBz8&`}w}j`Y`bmP&%Ujg=!Dx z#6`uR26NwV8S|9o!Q43vii_F=m}7UDOUz|%izuY6 zqL3En8w;y#vuTTLEDXxyb2}fu!}&%{tM<6*@P58vFevty?XdrT)5S?h?P=2$pTDa< zzify7alY~Tce_njXj63jg2MMF+j)O{Vd3Mv`AwZX8J#7IE!=)7{fbR|n`smGVn^jV z43m8x3K^J!-EF!UrQOxLHAQjy<@;tqx?N`ne&GF^ap{VQHcNhMlm$g5^F zJ-_mHnFJ+c5z{zCu}sG(42Am32}5Q3(9~67WRxCU$rw z0pW+iF)r=;bj}X^oZtV>*RS)HbWoC|Xcv`iiC=CwS+Xstwd;H(`Zo7ko#b$DLGhOM z=O=o;lDS;~RL8edBO{nya7_>sNBs_RdX9S^fRfi{ClV@kOQ( zIS1As_h|ND)SnBL5+*svce|sEA`+X(jcCxH4J95h!_=rVR?%c2l}ev2jwvgS6f~u< zV=JDJB-AV|WUR|WFUlf#LLiGY@n5F?H&~KCJI(&d>&M6Ob@(wjN?CwAcUO*Y2%KlS zWy&yIk`x|T-d#DqPpb;kvU=_cL(A*A*C;A}>+gH*AN?DdC4`v;sNK2Rv2H=MuR#N& zU_lZ}w70xiD7!(7E(ruT2QP-{TQHO~lpA6pZ47C*rvIN;lwy<_TCtE7I~D^a@10hZ z^t>CQ0ET2XB!&)quTv&N$Xn$S{N9{VehSo%HH9onM)LdJ zgYOI0!Ck5*g?w|lS>%gL!e-1i`p;>NCZAlguRXYCF3}3(w*%v!_9H+0gFlx$M)hJm zFpN{Z|00$~7@x$_2;-9w8DZQsna2?_!Z`bG;6V`fI5;7~o{BU$l0tG2E)5N--dq?i z#mBi|bPN_V8RUV<~RYFHf{?6^K^6jK(6|Nj~8*&+S#W@!Jo(eId z73UtO7}1Y?+5PYR>#xn+R*8WII0u;nFv=J+o}Am7VkaS#S?JU?AZ?0a114hu4OO^` zk-M7XY}$PdHWBAgjikI0!!|5ltr&6c0CKBPJV8jc78JX)5Z^_dJ0bW4K@AGXoDpBG z7{gUiMV0f`LGD%+e)Eb&xY{?J%pN#FRCzL7Jv1QJD#mV2IU+AvgsaDWr}O+k9C5~~ zedUWl7MxEFLrXen1YRN~-bL9m{;R*%cTudg7tSdy$YdFl<&~KZ8KCkmSN3BXP0SDG ze~VQLT3B_YwBQWWGsN|q1BNdsj}N^^xRuJRLb#2=^xW>01l%;#H!dxMzqaW>VKlBK zNtcynxRJqFLK;QACa7Umx1CH7@CPCKzHx8xmPaf2Ag2*-9A&imsF3%orN?eJ5{r$ZWN z!FvRev|JA78+nf;o)#`Kh@ABf@qplIf+rddcq9)roIebT{bf6!KWLobiH7}8*YQ5V z(=2$eVHcSt^oB-I%OL;g}{?q%bOT z=i177e1BjkzH(mHg1&Mdr8)g$o%8rVzxQ+g{MweT+zGh)l9ezf#oCq*>iNF0>1EDe zS>$pJidgPs-FwQ~(nk_^wKAJz#sX~DtP6O>#msIhuoO6d+tsLto$Dc~zU*hLZRy)P zW$kR7MK7HLaN&@N6DGcsmUL%l`H%1WRHW`!rpe<>-TnASUjKi+HZx72$rhL`?!FsB9bB^`kWI7vSvF+K!wpZ{hQT}%1nr4KED;iBLq{d@;-)9aoHtWi5s^=-1ySheB3|%k&KGkHsb{?-2(p-nRQk0#vEo%#@kzl zif#zuj)NAo5>Yc75*6JL8lxPu2%MdpXpNv$pb7E^Hn}mcNL2I{K|)j|lDUc27-+rM z>^jOCS}({e5*2+#s8&GXg2;_>fKorRAyLr{ty+K&(|WZa8-^A@e32MaEzpgL*26i~ ztO59%hxWj)9FRO_$waPO_9!d}CXXJSK8sr(QBAPA!WOOuT@gReS@ag+1$z;tPw3aGm#^p?n=^ z{j|1H*wbXj+ghRgcA)(J|NVb{_>1NSTHD}8U=>2WvRkeiXpM>101LGK?e;9y7p;GU za&Oe@+dZilG-Q`XX(&$uPcQEdY<%FMcLPI0d0G)ogo2WX_Sn_4q8Z@2VMlTbK@x#3 z6v@j&$ILdek?c{RGV9)ERoNPFUD;$gdEUkF$1O-()LUfK08&_B*PkhcPJRkk0MmoSnB zuSa!B$6!o@^M(x7dybPXG6cZIqTDZfX5|jJQ4{5Jz;D28t)g5l_58ShS*}(-M9xr< z?oibCVNjlM3F~_q%^CJbTKy2q^)M*+m*03ge<=&(k0bn>T;s+j$QPlhLw?RKvak>D zqFi2XE+xC#%{_xwYi?jUT0!ea+tfXofB%*9JKy%=+uxNd^dRBZia=%U!253KCHP}` z<`S(A#qvvZO>buR=D+p@-;{F$%0ctofHBh6-psW((}uIm1p5Z%@@GOR;gP_&2lw^g zb@s}0bW5&rBkH~yaT;>4FWr~d=k1*e>ldi35j#e#*u4C~f8*G^>=Lcmy!;YfgUuiQ zjsN~L{z%4V^<`aT-8|c8`}V~CiSf`phLflxGbhgYxUc49Y9orT2px3jF4GWwCQ6LA zw-89jV6ul804f1AM5@0EdSkGEY$1xShvsCEGCGdI{sD2URRUTx!<2?au)l4&BJvCv z`dJ&sV87cV6Jg~&wb~qp~M`&E0M;zo(E8|m}n>A zspzqWGf}GJ9Ns$~b&Hu*zb8`W)v!=)){Mb0@4gV54%#vC+$e%cO(Jha5Wd~ZFq9Y! zBV5Ed&m4?0XJ2s7HM|V_Ydq=WNe7%X^a5c0%$=X9UIR7Jej1K{x-OX4G*FI>ydtPqMWX3i{)KjgQ#8@|+Y|SLbCc~-L z%+Mi+V1Ay4vjhgX7KKKWL3ydjCu`HBu%bYV%V@V$#o9 zs|>i4bCp3W1=&wSkK}ZZCWl|drb6_9BAyD4;Y?HIpo?-hH+@5jcgYjfuFG)3(xe!V zUsYMKL;S!E&No(>IQ^q&YK1}JiOY8Jg~jFIMx&<_bYXCsS$M&y50Hp_v%$NdHyC~j48}R4`$GEg> z>QUgXQsxlF<#b4FSp*djetEG3lPHxz$dJS)@H$3yqGoSjkfAJrE zUgml@jSoYx@N3pB{B1cX3Xdx=Ia*bkw6_Y{CJc87jKvU18_nuuLq3muMYKmKEAe=Q zKBVMevLRj5YzTS@vpOJfSe_^w@(Gm;@vsn{Bg_W#>G^1KLvTkp$et_0ldcX;*VGMh zhLC}PLq4`*rfuS(xW$7@R%9}(S~1Wz@z6Rnt$vzgYqFyG(+JnY4~~_feWG8v4b%#j z@#6ITp_2sJak~dWgD?~<>3{i}2rM|^4^whLTpgTead|q9ALMtmqc~Z$X1qQKETJPq z%WY%w8h-Re2`xHC%VzMpmrsqd+H)&-pxNuHUh!DT1(S`VjnETAITiMF$P0JGWW$H$ z`Eiqd_QiC)3!WMGn^H`E18T4c9w_6ycw59_dpt@?5@s;Z=z484rtW9Umf z{*#kg+)U&9+IT4LD_&sQchbvy$r>NY+XEDcU6}gn z;?QEaHFv>=GolD`q!)lNXk>P;07usr~u^i1TS?b z&^{c=I}7bZLuB7v#S94V(CL58B8{`XhjF&{Z^r+?{wP7Yea3RNver!us5K6V{c~C{ z&Bsk(4O^pi@>PU>KgihMeBkL<+;4(A!}>rLOc{E?FL zfyu4aJt$=_+YwW3_vB^6a%`%tq#^Z|#J6-}Al4CyvJwDSjM zzON`;OFk|hzs%2xrd(LA(oj=PwDA3D)-2bt2cl;XO)K(~GsA8N(`_MohMz+&e5>|Y zI3Ry%`Gi%eEPs9uyDdJy=$wVmFWX^%5qS&yLnf`rPh$FQ@%_to-XA%}a5|7xlZT*J z%CQAkB2~(<$#kU`&T%hd&~6Sln3BY#-S7{3x2`Emt*=fxvoEg0W+hP2R{k|*$?rj8 zAx~NUt^e)E|Kexol%-M|YQ|b@PeaO$&sO6=SPWuYh*3m;McUKgl$3_@h_X(pNe-Mm z%e|#4w5OTaa6Ys=w9|?c)HXh?{2V8#ZSvf*6_NSmtUJ>0_VC@y4-*+{Js54`+k;hS zNziwLuyXN-YPw<-95y%NJKxq@*=?Vs3zf#s+GTb6#sD?~h5?Zq%{RB5e zR*&fg0On~+HdbLsKfw);7&j}Kl_Mxj^`Yq}xZw#1j1|e$ZH#}N5+QiqR z+WkmEo$9j`KfzD4Lvl*Lq3!8Z*PSI->6Sf4MhN;Nw*zVZ_{nE6J%OfG{xn%K0_cvi zim>+*(#p1F7Vj0g62aIB{(DB{l-` z6$3s0GE;Gzsx+6XMJt?_q^Biyts5uN+rwtY<6`aZacBTO?WM=R`k#ILhks%g&Y@i< z(dsOm1EdW1XW%@^*UZ8B4j#FJFT`I82zu*VBAjEOu=bw;rEShk#?%tdTZZ0*bCfHq z6(gJj^eFctxIb92fOE{^QN!a2`f(8^5l)jS?tlvZk@2pM-Xxb#vW9cig>dkBI8UUn zos1VQJfi~6yN(77(J|Vo^r3DJ&J*rieC{yLf6s7wC4>hY&-g7XkA&xX#8_O($IY+g z40;dLS3JKf)x4a!2V55|dn=x=GV1p?FTZ;KrT4#dzY>&pKZ;=K&$<=Q!!YJYM&Y`^bJ zMjd2jrM6~6hUdw!X^+CI#SsR>7m4R?c-oQ63jpj6fWYb;iRZ}>!gh$dIRSB~^&;g) z@9@(!K0gdA1m&j|%7q#BE^r4mT>$3g&aY?trf2#BqVNs6#i}I*&;2a>{mJCxn|3g# zQK>|J^!<@+Zw{g(Q#zjJH7K2MShZWnG+=2G{})J9a#2=tuMpFSKqU<*+vHb*!c$uA zaY-ry)rcWPmWP|3dg&>iWbn4Syk z=oG^^yl}!o!wDxW+X0?sAadUSHgsWvyqo-leHxld(|Mh~XbmcvoQ@0ZxbffX3@*_0 zam0DrPef<^V_56m9#%7#Xbr`0r=R`vf9Qwby-q-pp(v~;@Of*)2cBIgpg2xfz<6;GQ$CQ}2QyhIA{!&;)d6LV!4Z)zc5=YBHy-&%XsUVB%asyg@n z|Gj_TDP3Kis=rq4UGHx_zolX04}}gWGpS=aG7AfdL$(P!o6wRtC1f@AQI-vBS za--j1-?XE@NV0vrExa4Jk0^C4d^vXm_mTLfzvve~@YH9nrI-(JCBx;6M6UuqWpRIo zoXkAM92rmI_ic}$yT}BS6!U`hvK$dzNHpLZM-&?+vV!I$ zpWWXc^j{f}GeF4#-RbD>c4PYc)08zc_LySM1I{FU4FDzuPp(MjH)z#|E{<#Uf^VnL zF!S7gQ&)OsrK{&VB%~pLEt}lJ)5oyE-+FbiNe&z6%R{nTIicm^r^ZIS`jqq*)muQ}3a<8nG4JFUlN>f=*HH(6WLpJfk7twS zu#t0@x=L3TZJuc-dWD@2NXEcI54^sQL~{YhEqLv5(klEX_5A{(M+eC_r5DPK*}uoU zudo*iSls31205m(xZ~>Ld9-451UJxr?Q-xAPxubr&f+{@i@qnIzw%txeU?f?;Mdee ze2lH^ByGF%`sBX)yf^;PKmV`Sz#BzfFgIb#t|g$i1InNTa$rd36pJ3b(L$4r%cc5j zLTu^58wFZwna@>!dGH34W|$h1Iof&fX3G^Ar#zWzq7Kl47*P>*VuFfrBTSBwrq?J~ zqamr7y8~L7FM&7OwbQ$TFWF$F>|qe7OBr}$(oNt!RCHMo=8GN3u}w@C0H3F_ z+FI}(joN5SpU+eij87`c#=~Gf+PISQQ_6m6#+nXwCW(y$IRks9NNh*&D@1U1f=9{O zj?pil?l`WKk@s3zuOoOY(qHcwz4KEFK@91v|LnK_#J{4@gSPZ^TR!Arvq z>qsg`)z?MH&N&0xiO?7R1+gfn4aAviZgBx>RhTjpyue)KmY0|rO z&HAsTcgb~RlwXd`+ftHu=l6Ec>Xkdcx80)M`Mv!XUE=)yzHhkqpT0l0pRM3a?J(q} z4jnzvEPbj&)HrMcP(^dv2ULaYEAgvWohH_E?Sx zx)Pkh>Ph;7J9wiU4Yr(7I93V>zr+ZSpjLS{9z`1WywzSEo6Ew=YQR<^;Dw zpFqqxHZsGZ{8un1HC!X`Eg)V*Ct1pe_3N4F*;Ved)C%D?G!@Tv4hO(7$;uY}#APpO z+wYhzg{<&|u}eBDr1HK5T5GK1?yMk7vaKd*=X^w5L06Vu#sag&W|>(DHVeYg4W(zm z_E6n=h6QGqWU-54=MIM{kz>Qd+qhWB1-sl}4ew%fv+h~oH?!H%4su=(d3X#Zzv)}= zer6G~z~@1(>48}aN$mt_5`z`|)GAn|IYv3iA+HG_NJv^SSo^+2x-`u(7{fUldXVcO zsg^AW#nk&6fj9xVq0pS|rYVxHgFHqLa!pmDX^j}HUEpPjBYK0igQR4E!*U&bMj1y; z3|1tGYeyy|SY@~gNq+Le3^c2iICa%vMK2_}vT246p)FE8%*0^r5RR5`a|7BJADEWo z^g0NdTA{y;8o_~C-i_&%kPd2(1iLXN*w($avZ@IXLTA+g`J*#}WgQV@kJGjs0a}y; zPy9Vm2t>lNx@9G?f@PZ3#BP~TN3uw>4rOcch-4`!3g+9+e?d;Vx2e7?F+49t=Sw@P z3Qvh%(y|&8dI%aTV;yPZyXx@R#-VrB;nAoLr!RlwcmHIxk2UaM_HhV9pg0I+Oak;s zyH?M1*aU2(>R^Hm+^HH9#SS^c7_rF&hZ3DNR3jLW;+2M32~8#lTvS1#E(Bqu#;K_~ zm|!y;*?^61Y#l#Xx)@#2Ww#~H~paoycWe)L9icxrbe z`Q~OtZ@WdiC-{0i!9VvM@A&@T9*tzJFQd`Kz+tF1!?!Ku9<7#2_XP+qSqgkaZnA(w z7y}~`>1@Qls!($+HN&Wt&IE~cCWy)&miEA!9#A5Y35uI9)GLo^Pi=!H!kHkEZX8iF zlciJ|b=?Hnc#K54QLrF^CLj?{Xb31rK^n;>h%hmWiZEhlRHIQ)oqtw+-IF;~PJ5+P zx^0T6m}%!AVjNvEzh?^(ax@*&bW|_KdS5rViC5y+%U6 zWn;GJoGK=Ii!^sNylwWa>VQD;Id{2i3>nteV|cEnN>F>oeI5bZfxE__*_caqdxW`P zyM26x_VIn&!{LeDwS=3iC2YGzyOwZ0TEfr#ozK7XS+9yY_u+1YM#aVaNmr;pn9Gihfucw2gw)5=;=JC)&Mg7 zD+-wnD1bA~H@4088*xP-WYISpWwRw4Z0n<0JL0XKcykk-jJRz&#M`t|7wt__km~7#3&5tn#Y4Jhr;oTJr5PcMVAem?F zg0zrC-$=FAh9g=trWeu$X(?G~Rxyxu+Pg7k74vi6GeMh_8mx5oV?H4E3KVa!{W5G6 zKn`pgvOHU$D_gGH^25bp(hyKmenI)KzX;v!6}eYfk-Kwh1n!3M0ZWp>ri2ZwW7_L= zpL^xbi>DXvyzAxD%dfqBy8Apja>zw_=|$8o@vkV&osl`ch>xoDo0h9Q%1kdawT8!; zQ{xYO`j3A64@SFCkt;`U^hYL+frg^6-cAAHGW70B&`XFQ+9vsgn;gCSy3))kr2R~q z6?^pVpf^bP6K#+K(Po_5qc_@A!MhAga}Mr2dc$~O<4K}j_28`y=L|nVy|WR}4C~IW zD!lpLg!x=LPb|Zk8%jqxTL^O=-e_hzJ5bC@ZwInhWoic|8Md&tD&H|da=lV{C{m-T z#)RIaW5lI)WA26pI45+Bl9uD=PfbPS;lNII=L@?zF|#Fc$L}w3hVl3%m@ux1#x^GX zJpGigJEC9Ahd^-FLkh;qOBa+CKVgKJA%b zjE1TDpAFiAH~Ptbi5fZ!z0sV~Fs2$wVT@py+K7w%%t>H$iJ#dAMhB2Y3ADj`6%Z>< zE#I*aoNW7J2xEfwI1ePs2P+67rwAFoJQ>70^OH#eql+xg!~i>On79ip&f;aXqg-Th z_JL7!T~;EDwroNCoCPtHyMr><5JIhw7X~p2s{*Bt&ndc(WlKo!RlrSCeKXJ%yj?Y2 zWhW6$a(u@lX+m0)PMGC5$$pfGQ|nO4pW;T2>io+A@^PITFY?M)#P z>c4B0>MnX;H%wS!?H% z6tO2q-NVM5O?FDsqOK{~Nr2iF1(~U?06rzTiVA?HO`R4~qNDV6ySq&($bVs`!Xj?G zg)H$@uOv_tbxOjd?J+19fts6}C{8*=T-x^dK|4eMx4jF59U_uYw!pkUVTJtxDTy`B zd{N<}Pe3k@DG98r0`99;(Az5LSflO2<-qK%ocBI5j^fqhJNF7ia{{6G!xd{F0d9$TZ!c0|k?> zy&!c06GW0QDXHNR03Qbnm%Jc70w&nHKFv+e5KbamTDYP?5dIUyEu!)IA(xdWNWA9-TL+aECOmaZ=HpWDb z8mPZC?(Zc1{Rz$@NFK`&rgxIb4JtbpW5ETEg~^!y4j~}|krK`h!jx2UYw%r^jKq17 zt0S_$5QmrTLASVG6vZFdn}|C1MChds>eQ3RZ<9_RIW%CY#J1X09NjfIN z+wdf~T+lg#lE(_|EV41Z5&{A;mcDUJG!e-qy%I8>IT~aHqS7#3u7q?Is6Ay&=!&7j zJe<*er~w{e4g2{wSw5SY5U^f;UJoIRF)45NPCRoR-igbj65Ehvr{La>ELTs#tzVTD z{cH#S?YHO>3hu5r{nD?kdmyeF{E-t!VBO$>7=7+X?bvt@{CiMQtHZz0hErb9gFi~j zLQNT58o6!n!GBRf@!-Fxpd{eGsG%eth!Lop85Kp_W9WN>D)veX)!?g;a%q3!5|uE8 z0`&%iULrKoqMDFMdJhEpXr9-5q*&X=TvlhicDAg}BzI_0o$|tBIa6}5wT&k} zE`hK{+ic+b5(@-;!C=!>tg%CZ09^A5UQv(^kg&#_NcvtN2uihFkPtfx%9n(KJ#_+p z+Tw^rSQA`qHA;Xr!Npdi{4|;%A{OWSeToh=N-j41gX#-5pZbGni2r4~MFe^jS7+>IiT_Njprazrwc~)?0n~p>}*8tyUh5S)87dGI(u`{=OnK?MBQQ%!(XdA z%#s$EWtkYr;#F}5avrpoB!_5VqfD_(_&xe`aHIGoEj> z+`u&_I#$O}6Bjli_$+uEIzG20yMqagmT0jhSQa`yE9Z`lh)$BUIswe6_6t}x3JTta z5&NoV_b)a^Q75_YHZ6D?1cOJJBZB)hn_BSJ1kp2F5S+?Eh|Z5w3*MR_%zI3Yvqqjn zKBC}l5L{$nSG+YzTu7)HXkvo%&##Y$5lj*x4rm3GgeH-lPSRz&XM-1&tU_xNyE&I4 zv%urjJDvljt~o)Y@_GDI^3!y?9E}^Iesjl$H*@{;o_xC>j%fBT;w>nDAR5rJ1-=Kdc?bQ~MVMUy< zDL}UgcBrWbG$Cw-jP%@B?Y-X}^8bNM6zq~Vn{?Uoy|)otv_c7Pa`u`|o!Z{}-J;@8 zY|9Qfn{)km?>FcfRcipDe1PtFGT!?=fcj6MyTi!&$$0NKx^=Vv7)%S8{kh{k@qXjj zXjDV8)qDo;qEG4a5Q5k9F4OLC;?wrtZ*bmH6(NC8opgOGE{$yqdX|En3Z$V>aHh4k zY=?8&@Kpd)z%}py$a2Wqi)bE~Wc$M^AIu?qhwf(>yT7EmU=g}^w!ED!FDS(cO`xe_ zJ9u9Yc)#+~-}6cTUIcHg<}~oOYV;V9o@t>%yW0c--Q@LQdy*y;o`p@oWP-N|_8lyE zn$xK44?g55Nboj6aEzTV!zXx~;K-~4Gj!6Ngy3z09V!yVqDA8v5YJK_h*J~1O|b2O zhH^6SUgv@YZxe(!0`6^|HAv<)(>;zT;BAuNJ3w;3NYeQCb6;vB8*KVth13}~p8%`! zvzjm_QfH#Zel{-aj2VbWByXoQeG$L8|8lHYdU!imuo%nEl{=x}%Pacv)wqOg9lmDx zy4{3QFz+Yi^Wl8Y*a45h=q#QP1fb|0+nw(@OYV{iHMc*G*Vo^{HGYxrTl_I`IK4bE zIZTqp;6W3=m+Yr7l&vtmUS2B-w^LYE``^wGZZMSGg*zLFI67%>^{*&+g z>wkDPK_2y2V)d>?l4IVxG~gD`_84+bBFV6hC8P?kEW2zbx!U3uv-Ix*)$HQgM$J0i z%RV;kBgqZ?Xkt&ofJgOzD(EB0AjD@+FFLGokeMLAm=^YBWj>~!4`Qd0XF^z+~!2Ft^4hEl#<96Dg@H*`}h0A8XVcBWhZqcq&T#ruiTYmBHefr+}%%f8V zHo?f1&wuSorlQ!~k{>W%o|B*ZTfi8@6vG-@zjb@>eTJ-jw!^#iln}}}aLNeg#A`De z*J65)&?1)l<;b$FvDR*_ZvSjuxwX3O7VXHg{T5wft^URr{k9LjAzG{II>`OOfo>Hs z$f68gz=Dq<1are070Xb{G~+l<{J|CY;b`E2$t5HY#Sy(fIO)Bu5!EQ@{lTGei;~Q$ zF%$(8e=w|GvDwy1W*3~>-XFZ^+)n(#i{5RqR_#hIy0_KuH!|UuJRex!Gfenmt&U@M zNck2gd6FUODj$(#n{QD~0GymCJXli15laddk1Hp+Cd1smR8D5S@ zc4wK@yfw={o;F0GBD1s1?#bDwFL?WN&%ZMkyP=8BB}&0R`X^udZF_RICK*D*5f^sI zk46>Jo}7KprFYGN>*+$*aD>-vNs#)QlCx*L!FzJ{6=rzd2JH{1TlVDa5Nt@&oE~H7 z-j+YV+w<3B&-ee}cmI+1zu}1|(2zmOXa%LviK1UG{qDCrvsn4)8x@TB_!EQvk`L6meM%Ude73UphMXze(n zhi>$s(_}1!r;O1&2yRZsx^pksv{+E977MY}nt>s43mfJv+6>{AS9P4zS!>qj$;y|q zL2Z;XHB7;z%{|wn2m5VrmC(FiszY0##kz#Ns|xM%$Yy0_UsmKDB+=Dg6AcDjZ zY9U)Sa^mYCcqEm(E{uunes(uNtzXqkjzxSXw?9EAdxB2(1f9-3L8mKvf=(+>5a!wN z1YwlrC+O{GA0al2L1tczJ0nuO+?|%m<~~pjx5`DSaSV)mYJ7NEE=pd1U}}6RgO4Z7 z!2czIda1g1aeGw7`%FdB$|Y6yISpL}?l+&PfVe*MZenU81QIuttj7tf`T&<=JbqBi zG0ny8&(FVeV_^rM8d;bRKNXJG-~XyPR7s)&1k(M*$2;yX zode?OzzbLPqb_lKp{ z#24x~J&VyN$8Sr!=A6%PklpNT(_T9~P$|J5Pm*h|y?na+yqu4m+IUK5i}ee2lfCpJ zPGIw0q0YMHuFT7g2RwIaxeJdv+!Ld6R^(`gIMJxLc=dh*bT&fg%%n@pDwh#GLGnD zQyp|-sa3!s9P{{D5}QKA2`53#T+#}C%m-=^)is+OB^*?(7#%8tY91#~VpC)~(Ao>M zfK0E@CWVQrDYe(2Da}NXWNSz@yaz5G)4=UlRACC8e7E8FSC^$IQc&6Tcb?YAhMoJ( zwC=O3+}CmV*cZiq_e#U7tuzdNsLOLl!ZRH|`H2T7g7ES1j#poeQfD#eY6)T#y7>m< z--l`~;kH{ugnSgY$bq^p;%<#^dGat#RRV|Vy6E>^RI45y4el@9{gl7%IrGFy&V5a7 zg%WpxT`<_?cDU#80bu}31cA@h5yUd7AIJNenz{((22~yE`=bXU@in2Tq2N@5>cmA~ z6S#mRB?NLtM>l!^ysxP__GF{OF#_3g_k;H}4P8lc90;y*_(;YKOsi&~LnC#P;N3Rw z3Q7>ryif2M=bxXARyyc0gC1DQEP&)yCj|c&xG4lr^Xv_eb|P>IbKY4T+=8<@+ZkAo zC_YmO5U0)XHaIDK6~NA!cUcvKW)+RLws_M>1Y+8OoD z&y7gDH9>IRz+RSpxDDs zlJ+o8dyYd;>UVNH_=n}9yV+)=PNBJ+S|VF^MUfzl{Q%r!x83E0=gWSz6FW+{Hc96R!ko%9n z<`?!rtHxjh$c4zl9%vO%M!vuNzODnUGmhU3Z52LUl9OcrdAQ68UDBy;Ac(yLUh%Wj-#P=(ubln53uRM2p_3mqTAH!xT zd!Y67!ksh|Z6QhRsvW=o?l1ktzvb%j)Fbc!j^C0=M)O4K-toKW&-ISqMSm_WjNro{ zhxvJbZsPbYD;Ux+Yv=gUz2moQk91DY)1xPj-z5)m?)Y7B5qrn)Son`FqDTy9$U2-U zvSpIk;*kE^XzAR;3 zd;`vS<{LU1&pY4xhiZ;g_ynzZKX%jldQ9uD`n69l{?CsZ{l}_D8FZVGIr8fu2Ph;e zg-yYKY=ZqzGpz`;IY&5<1#qGup?egp2Q>c_!D&`BKMW=aUohFxNzC4tX@;)GY@=XJ z#vVy_4$V^H)P!ym>}wPasvx_Jqxo;U)=2;J+a4$|R z&~0;fH7^Uj;oUH3bk|Ax9A>no358?>8p~vpCppZBjx(SxdC`+}aLt6V>}^ymT3+;o zCP}}}L9(p|7SSOJ4HtWQ>YH5Ws4Z0IITq9jj)mBU1uM1YF>^_6cPuSrZQZ#SYWA@( zn<$OH2Tg%XAvv150#;YF1(iCRoIU#PInp$e90Sf-9+$eA zN_W73V^$$6{(N%;Rx~!r{-WSUUl(>r_D2PwFF9pJ<0Tb@sN_p3C|S{%`1-We&&{94 z!lWWIo{m-##_Ly?hdK!b))MTB!`1bn_Mt$O64ps95CX~dlXW*?d#HT{W?dcXBo8Bcv z4U)MUo=mLa@f*8YU=>$$Tt#_ob96-1w{dYBeB(xD>f4aOR06e8AaR6)N9o2b|FV>L?RtVO?pWY8>4a#g=EB?d;r zxL9duFBl9DjoORzpZgzm{^cd^zju`KN)G~h*Vj=>dPX5^Fw!Q7eSmDS&~8~MHw=Rl z3_Ztla6H&!9Y4fLVVwV1KZ=rq1cg6TJ&57+vT}=d590NB5I^UAFMi7&`~%+-^?uDj zwW!)2{0ksT$3)H*#lJ-&&e6+ux`DwzSdldAeBSIH{M&mP;;QVJ-*gjO5L_r$HCWzLFpd;dlGqsR3j7PzOF+Z5G@WN*$pqCh@f@jNl zE(&?k@4aj(W?Kat(aTVOJ=M=SlaznY206RBYL*TM?@31u$T9Jxi@EO{t9h*?l28*z zx&$Fpd2bW4h{HS5S%i`}(kEH2sUv;D-3V+>9O=SU=;xPk%;?BvQHiG_WR`8>NM1>r zP2IwJam-T-mRoLya;R=$@=Ee)B(WdJ`5+7y$>{doYLte@dBx%(!t6%rwmSDKry|&P zi*}>*dW_P4{Rcj^{>A9>)!?!rTL?8ji8wJGw2Uox2(YIyTNhep5D9d;^t%q-Bw*|! z%TIPg2j4Mp2>b$p{x`<8<*PNOVhjw_GXM@^oos3sSAKE;E3^uNXF89myRh<;U?(E7 zam3>!OfQkIF!g}qJjqC%>&GPDvLFajWVnPGs79aPGzlcQeCx3EavFz6*G{-tr@~1e@D%4NFSBQ{Z6ns*V zDa^<*PRxqXBy74;LOCH$26J!{XNEu$c9r!p&dL&z2O~}v?I0dMJ0>S#Ye^Mx+JdHz zL~S8cJ|svT;a<~6#9hF87N|CDg!^n2mlmAMm!p4px_9)yx%HykZqbhZ*Mt7w_vb(Q zkN=Lfrjb1o+XDKR26%m4Kz+5JnqJPT}GO-#7 zp_yQU{xul{DcBhGzd_sE-kPF+)8ZH@*iXoVJ^FVDMkCBUpR1ape^<-YP?9DIZDQnv zBLTZaF$nt4Quw;GKb{5q6G*CRApVP|lc0Zh1PQWAR!yFynSTm zO?$MY)*-N9nB+C<2?Ei92}zbiJ7~dah4f(STXtPUaE15W1;D@FqaHA7EqR9o9X3%5 zbg1M{ah{+l1XuTQ#^t>?jy#l!UqZ0nYYH=}K~!AS3t^f9J5B)nU94K262VV^b_nBE z_zBY0tzLrgbnkk?t|#~=1lvOt)K5Y-nJ(hHKPIFlc@Wd^26qUw|GMb+y&(j_-~K=T z;8*`h)Dw`4E}0^4dn3(NH3=m>;?G^F+tEa1>UBT4#QNCdV&c;k6>L1Qq789nhE(4`C&1^9@K|W zQFx465$XjcF-)!jQ%@@;Pil_J8R!M6C)kLcRI+fy5?N{4F^ZmGl1(YJzu*G^$&|V> z!X{iFB?u0a561!O0WSG~(iTO3Cz;$FR6NKv?<*D*Q8#mvlD21KmIbO~aEXQjO~7-> z4BM^%AId7NWmhDmPHe5f0YipKeTJW)!5i$s|19_k*x!ev-VHwm2hs83DJc# zn{;jmc>XU@CR77(`=eh|66AnD>NZh*Vr16H$|Fkn9ul`r-k>Qf4`4$lpY6|Ti6khb z3G1;uK-Q!7lY4Ra636s*TrJcxT-|1U{)cBWpHc1vME%zvXoBNfwTI% zA;o}#R>C(H@HrHGNQnkBJ_X^MjHt$7!gA_B?u5Wwn-q;r!WHrmMelu1kaZz}^im9JuMS0(I{AIk$#8fIvN8Hn_yoOMn^$$ zD*~c0V8~>Mg8TxGf*qRg2Qso=B^0bz6$RHz5$4P~%bZR%CbO8_p? zLs$X(Qmt2XTxUz?u`UxF@$a6uBkhpvSBEpBac+qCH}11s?zOWmCpPCws(|WN5$q%} zAUe?RlWjRXox9C=bHQ%gEuz7;+l<@N8!u_&^QNEsQ$O}nv>CO!E-#lxH`^ZgKu7Wr z>@lnI)s_Nb4fVnU3_A)|$B>;B1;y`@n((!UZf}I^ByV?R3OKF=IJigDc^@IjGCXaJVBryyA+X#Roq%P6I z$e~#1+NQT(H^M6B2Dr_gv(ZVL=cQ||n{(0%=amcKPBO`1o+eJ#wM_CnHxAb7C4iTE zm63RUk#z_+Dl+GzISp&|BKl=btcZZi*V9>-a5;m=bmS=68io!J=?=Iz7jU=Tq8)Ir zCtd9W|NR?}-?j?4D84elUG7ucAQ&6~cezh3+?fWri+yU_x}c|&SYHNKIRhT$U<_;c zRtT#jundGIOMu(;%qasrqL0u7nLXg5ZiYCDsj;MP-#E2|I0HC>rjViX4S);1h5RIt zM2H(<=!Ehl0bKO^)!chb9vJ1~356Yr{;5q+|ww1L;rB<~NJ1teo4y1}FTdB*e0 zzXPEodX^gP49VyjLPxRdE-^D~p7H#Or#C_s{I)AaU%BUYZ*AbQS;EUMn@kkuk@>`J zWj0x|y2H3qkkQ*9t?DIfY1AU&7JheZV|%lm#NnH>qG|0gemyY$TmO|$u6LTTS-W`* z9Pcz^85SF|&;4eiXIFzEY@e(du-(I~ml@Jq!mJK#CC_jK+nr|Y@$50QqwAyODtYfW zebMjztQdmThK%Nw9$UV`pa|*BMJVwJn-DPNTRI93Xd^3jWl;rdIttS5Wr9PGh-$Da znTiZ+F$z-2Ho>+7r@sh-s|2>M6WnS78U~y({%o`TMm!MnFQnAU@+0XoYsQ}8kp14e z-5os9HQ7a+6PD(__rXFv#Z~Q@ihrnXJp;QiNsti>J(W+$L|_(rR%dASD;Y(6EMlJO zq#jyas_t;t$Vl3>p)SnaOY)P_q+Pi*W581k;LF{w;Q?JS3U;oL?MA_sbA@cXMZ4X$ z{T5vk@BHB({=0wcx2{zI1u_g)0U>Wx!*pY*hvnUL5X(;!L9`B z2nGATm*O5@x+3nIAV}AzI!g<<88Njl#Yu`xP}-}YcI<>J#0yHiGmIEOjSk&f(uKUB zxL<=H8sMOjhj@N9N7f7a3KKNxL4OPg_7$71OB7SbU?q&uL)+Zwv-`UPIp#kh$F!6lGZ>4fG&T@fAqFA2ho?!^(9Gcy9i+0`MdUS*DcuzaL--Tx7W*Co{0aeH|V!3KDfgg`PC~;Bp z4OD@aIre$*X*zU@BcP$c6d}kI^1W_=f)ok@$x&QO-1PvR=mz{&=!nY|!d+9bj~+*? zrQx0*(d!1lWQ_{XmEL;YVC<}cZ)@NtaX6mn1`SR;AUn_;H;J zlptrwr&`Kc_F(RpGn4!5YOt0KGjppMM9_PL_Ua?Fmt$yn=vO|_JBDumKwmkAZo5T0 zhHk$_mtg3#cYn{9zkAJB4!$f9D$ujN#+cO?!8|W(6Zg` z@6dzT?HVGq-w_riJUqe&`8Xt5Z}PM33dr>+Aph&Hf8~GiSE3QG zmEvZ^hq`g(WU(_dP41yYQ)j-4VN*y08wH1+yqOT}>%ajX1&MGb7=ra|Syg}xDjksk zXM$}7mp!2nlpXN(r-H;c6YT3s`L#Q6fU6}PrzX6aAT%FMEbD0QXCv~PGzx;KLxT0- z01q@?aYTNtOc0yd0R*Obk3y_$L^0w=$qK|HA~~=)J3Sl8#2O`=BYYM-Z{!~2=Pe4z zC|MkNbJ&8b3LQ3hM{WeSEAcRMdT-_p^`j(EDf-qx23YbZa9@pR-K+>Z}F zJjlEM;O55Dx80&0zOM(q|Ms8%E&t-@M)+1|j=^_u?L67nC4mg6d~7DoENG#cAh3q< zbm@8#vbe*h%m?2c**uGaz*0r0D98_kjfm83CDMjqlY8~O4<6$Y#mI0u_3C>cJX8=Z zOolZrJ`Nuz5R)P}%!lfTd_g)x+HP_(sSmznXDs^Q3vo2~5i=ir!5lV9!q5@;w$omP z+63U{xw#bk0uSY)3tmt!4QEG$m5t64j$7iA9nIWtlv-X|huGZ-e}ztXKrHhxkQ0MU ze)ht`)nzAFOId^e;C|Rd!UMcR?9GMPZMSHL*z1AVH+|V}JiWm}ZrL@77BX_cGsBJ$ zYt|ZgHAv7*!2+P1T7Zd#e8g=R1F&sFe+4t^Qm)Fjt~JdHVRK|=r6VR5GTLZKHW+$V zWWAcT`IQ|bdO2&W$hi?y3;D>Y@!5fKuA%sNjH!h@kZc9OIYX0~TF7-z)TZQ=Co{E> zYxMn)3pCTCIy<9`SkK@FQ4u{56AQNhDUT#i?sTyrT39NaJN?40X#Mi?(nTy(Xe*-z8?5~ z_Pd_^O>c}5cGabF3;FesrPjgb3>I=Fsp;NK^%nBz;D&!2E^#UZL4$-9?=9rTytl+c zUdnq*EacXDJD|v}$rIlMzQdu=TYbK-guu5+E;g1(dRH!I(^0#!F%d_-mTWpv0$i|L z)?KE0Zf{2mo02E*#+E-g7rLxJJhR?D)A=t#+d?fCBfWbi+Cytxxixn^JmxEqv*+Dy zhnzj{?##pV`s`HskG}NZ|Cm1${pPBo8T*N@p??~D*P1|ntVuyKhP|miM57*pN0MpM z)m*ko?3sems7Djvt|^p$vr5C>6pUf-qoE^4gqOe@^%RUoJ(xVuL`mEPNCXQFpTglo zFowM;2){VZ<)-dJcf%+cjd~m-DrF#uscWmFkAl&thhTfuXaU-8!n#i{k2C7oh_2Iv z9MtInE|)l@GwN}asLvDgA4z}UiOI&K|1<2ENDe-Xf7~4Q7H~eQ;XdZW%O4$r=ZJ0V z0>{b#ehMuR1#;eso0^AQ zkIm?%Omb=<=?vti*oD*RUCUeR|%rk{+9(II%{Y^xZ??Eq8dIXinhEk*c<)u99TD z?I=#%6VN^j;j;A-BU~_C`!b(A^_%?t;hW$yP!4XCr0wBeBF$*6+1qhqhw?KI)9cgB{*gcZyT1M_B9trRtC`t>{}udX@bD^> zBezRHEo_1bORz9OVB=A#RM0Isltqp7*nHnd!MdP#mzZC#bmBnTxK3R{ouIiHr{*`p zMr2Q893wk%FhwpEB$S(A(;@X;1&<+VJdQ{x9|ey+6Wei&tDQKcFdhKC*7~aH3xo2t zP8?;SX?d7@(pW^x+pI}eRf0^9CterAoD;9m*4VkgZ*FGimaYh8(Q|>@%erugVJ!R2 zW|!NnI@_LEK0I4%CfS2Az1>JVJG^LTzNfpLeFN<5ZMSH*v$yL{m)P0A^0WW=N53S3 zv&M|*UzRk}k#?kJz8YX^=qCYPg0n?b7c;#@8MhIaGQEB7IErPFtq#kyZAx^BB<6<6 zf)CuLMt&R%z?nPVhvd5`m_)`QshS3AoE7e)ppT3%CYk%l_+pZI8W}e`d&=K}N^RDj zkEe5FV^2VCi){0hw`MEk8qWD&!8~ULD+Y#a^ESgmfl$S41f^wdLiQ4!(6aryYpruD z*aFiCNaq~oVja6$>%A|fW{wZgVF~zK`ci6Qd2=gNcEz-Fp>?&i^F1K_*rch2&(Dg! zw1e^Wfbnnc{_rRLL~O^Qn$dk*%8TY|Vks|~s{~^+R}n=cJ=?q$>Mi9(Bh*{UsDwrq zx>}Y-BsyC2-cs&RZKi@1jyAEq9|R8#8x03-oxd5hEh3sF}G`^E5EA0{#BtWG#dj zzAhzijO9xs2;~hZh40(%&xgPtuV`p-e;jYpnFKy?Xo&9ksi^t=MRAM!GYaAkN3PCD zI0B6fZ0VOrcej}|yGwZcSM4!N)Zn00JxkAuejkYyXfonbOSmd7?a6hlpM891b) zAhq@J7%Q|;Q?$fV0$Dz*7~fN*`T$nRLr{msOy$LH!)2lw_YDmePjpevWnULFr-a&69f*Oug1fyOPmG|6SP~C*<|%?EkFq{<x?zV z3$;Uhbs^%r*BK+0d$nCV1o0O%fZR(EF<#*O2G$t|>T`CV97{Sj2Rm;)wy zfw>6wuN%47Cwqh2ixMTrs2Wzd{hh9e5x@ zh0aAB*tqYz?w;Q54({&hj#J#YZ9KPR)0T3xOFRO9x&D-I`=}etKC(zELXUtYB`uPQ z$d_ab*n6!2CV3;y2zUmNmy)J;iFY%M2-gCuqd=Kfg6b?2OmeK?LC~(*OrrZXiTf-D33jF6DwP5xcgag` z&K9g)MH}pGsYH9AV7n!H<$;22w`g}3Y`;a9s0H`_=~sQ?o_am&EZ9@8Nd$H?Q?F-i z^ehOky{moHo=F&K6g=VNK(X0nVe_o77WIKkvc9wrmkZlV+bVa!5J(PK)?=C&S+^UP zrohHjXjC7w&V;1fop2!S9<$!0W+G+*$mNMyXD+#VPrd$-VF=y9m<+RtAujg&!?Dqy zu73GISPs!*#HHsSJ!jc$@i1L%|+1noQ0AvZb67vk8*CI2$tg zW1M#04vt~*;LZpa_0W_hFF)?n%p>CKxep{@mpn+gU+`3=w_Z}_Y|Iv-TJjDaS#`U( zTk4+V0|SrxZuCgA%*S+(@}Wl;w?GVYoYtMYXZa91)bbHMPD=O@#2z2LL(?NwL(aV( ztXb+e0=N?iFn>>&5kYdQ>kCTV=?dEiyCU4~078u!9v?U=`i|QHGt0XIP zg=+PIyO<8_TI%KpGfbjhmV8XSdMBRBQJ<@DyuPNQ>`A@k)I$g)`^&mhFNr{LwJHyl zPZF)_19GkWaD*OrI8}SVS0EmLbv#sUvIAeTbcbX@9B=3MZw^(Gm0A%V{Qlz79nZfm z4%OiI?+$fx{t!s^m-TqUHICO48&$)h1}kJ#uOX1`Ke=H3bfA3K(9XezQ9Rq-x?NR= z8kf&jYiwojiW>QN{jJ-&sv$54V-+)vs(CF}+E$YQ*$a1HdP!mxCu7Tx>;iOh+52&8 z6g0(VO>P`;O8=r^kC9xT^9U2(WR7#YO1y-la90iJJog?SGkNYkdCX)yoJ?2aes)SJ zt-yjB#{)edLh@Y(M#*sw*NlL{D2qsKzAN-=ZMiG+a^q!~yR_UTM6`HeHKsMa^XGOA z0WIB?XLbu0^mTn#-t@#1Pki91cfag-fHYIdsvC7}g+-Tdp9wCq=u$B;L3GXmi7eG3 zbd~YhoH^YtHE`9O>mn)on9%J)V;02#DV-BuJ!&OxYF4n!)9Ue?ISMXu;}Tp10%Zxlun7Ll^-RDQ z0ttA^dIVpf zjQ?xfEh3mcid*Cm-S9jwhj*t*A57s#bz)5-NWQD1q_O z7G>bI3!8%f%LMWHAyELE&7y!(fFcSKoJYZ`!a$PNG41$Dr-2FXIVy7P#Sk4sW&+N3 zg*@UmKzCCDtQP_<+VTq(}grL6KF; z4M|fx&YciGbm$$AjD-2d^v8 zTQkV@Xb-k{k{1aXQ?D7N;epA}ei56ay}W|W9_<$aH$!{!K!FeeiHP|zCTQQ(M{x9u zB+xuN?F8*ZB`L=&!5x+AB##d56D+Srt6k96rK+yv01AEwkeB82*1Bv?pq_`I^98t< zl^p7I*aX{ksN_#kAy_xxcerP7SZ}`XaQ{58caMupqFs`wCJ;WRlz9v{>uiVnN5b9k zWrxprd53$YWz3BG9qwN|z3}1-cV2kzwdWLIixl4_RV8@ zyv5h!$@*rqkDtD$SNf=5$y9vZ!viJs1}6Gc-r`lc5$z7q>LpU91H z#@vmwabFj=o-bg(`m*c?kGqUG(mh!0(C(> z1SpDvM8Q$eLf=h+1ag7PC`c4E!9h+D$2g!d5Cl=L8wH7iCfIgWExiE-w8G5=>En)q zLUF*_uUv35Tnc6_$B54(S(IKs-BBw$t3|JYM>^FkWL^H+18L zE>+!n1_5{UbaQ@F@!op?$xTgss*_CPNlg_W9tSZG+O_9F>4$K7Z`Afcvw1RSZPL}*}YN5)X zXA++IcUY+z_Q_v8+{zyw9(!)EOL1=`56gRMce=hc0vaN!16aPdZdJm#(LxovzRU48 zJk2}ay13qr%(QYWA-ga3A^Ku}^T+;;f9cOeyj7Qg;jMWk+X`%FDLRHt0dGw(mIp~r za_TwtzF5L6(2-bXG$3(A?~85gssrvo5N_a{@yz>TQ3MUsh5*%;v~d!DvG>Jx{Xpt^ z(gs!&LGOzl3$f?iz{FcS>#^$&lo4i2!>)}dBY10)P2UYTNX%Uvhm?{p?bcWl17*u3o)?Y8js*uo$4J@0>R-4<42 zDFS4TsHHc|a$C3{b14K%w0Fq_6I-}#0_0XjX6l`_h5MvRhz2RKIa=3H2Na^<(9Wh# zY+-93+n~RUZ#2sh6I-~3cUtv_9&YpWtP@+fY2iVpEv&iUz-DLRlBLNG68&{Syek&& zuwo0>2-E86R8?xRUkTz0(uU;Yx#C}e&l_h8TTN2_iyGtI7T#^)H2HQ)<3OMHPR}Jd zpt~)c)1i*+a7p>`Rp%xB(7*QHpL#mBkc&7$PnE9Ww*lZXISBsH!~x7=qUd{uAXvYF z5^O4e1W$d0sJ!Z^N9-1bI;dLiYXEO5NLAaW27fM7qF7^`dWtz>#9Qd*bwy__1>3@- zBLySg(oC&^o_aEqsUzMp8RW<|^(+Xk6WUIW81WWUw-uO1Fm+pkMl(AZ=X=Ikwcxju zA)7w%oP`s`kk0pvG5dkF)u=k^(V;FM)88DJz~oM2ebNzB!|A0=YK$?Gb{Xi3KxusaFuhIf*U>RCwUk8@RnpxrGR z!pQL{YtfZ%hPnO9=3s5#L(x)DALJ*GUq|!Y6+eljbE?bwb(`(Smt#c)bBj)W*CF`%s?ojtdnl9FP-Kc64BKd8+;RX9nz z63P^54d?gg%k1_ScF`ESM-_6}CG+#0_WN@^?vK!SvdZ_>neRfxe!2M`p5@(qzq#i7 zwp+BD@7H6#zvFMe?;}4sy4IB>kA|!SzCz2@reI$f0_z&vXAKXy04r?cyosxPZ>)(c z{NNJ(#VZ}SyaGQTrY2k&mt|8xpAfKwgFELBl`l{jvG33sM5nGwwRhu)@~N?@kq(Vx z1pLnMXv#+@2)2DeErEh)`yu!jN95vr8|z^TpZnYi*_8n9vuCQU-`d2c38zxv^dic_0Tcr$$kFSED!e( zYVrc=c^q9tJ&&U;x^@XX0+>UNwjqz2(jl-^@1xMQynpbgj0-RH$R1rvE&XFhW?=rgx{p!c-u?H}kXPpjT`i*^j%ev2+itNzq~__4ol4<&1K z1!iz`Z6kGe4<+BUv}(iG8NA&?$(Q-ACFtQ?!PIb_?{`nDKD~PPF($CwL&=XOl>B=? z_)YKsv=~ZO<>&r=zuP-K9pRv5D7h>{4pY#(a^LTgxt#cZm)y>Y&AQ}v_P*am1Kj(5 z7Y*>lW<^w(`DHw95`d@1zZPN;Z85MzikU1=+{@8OmhEPY%gO`zwRJGF$#pbai&JKHx#N`V$9MB%IOJwW1XIW;^_`~D9C_#wz&mXYrZo-T%ERF4(N@0mbX!C@;Cnj_q(ZWHHFl>SX zkYuM7=+vx&T~bWUTqRy#HYv*PdX#5pf=PB-bEK;t zBbM;RXM$8IY-+^0;em$JxCCVFh^ge;OPan^`F*^+|PJ#=VHSNunq@+{lx$kxZ480*S$x%456{XOQrgwogJ3Il-yx zrUk+AqGmqwm;m=K>D(*|W;`1f063TfypU!NowuJwqle90SK)y@^SLpi;T^jkA$D!M zMZ5cX`z^Y}{rrc1`MW;lTVe=TZ$^$?Ju-JBVH(W^Q2Ux9O@duZDcByn7E`dPKO54t zKqMHDOqec?Dbl2w)kvqtw}FC);pQOcvCB1nsF4Pqo=kK<Ix|PI+UtXoYe4%V0dJVT zi)F|l)BrmNP#)z`iOoxuC4%<_lw9EUVkc&nA$M%%xKM_?NZv(aUc2O|LmO~9ApR)J zK>QIl;V8wIZIbRT4{}X@i$D>nN`C1H{jUj3?eb*v~7IkkMagjrdO1lXzQe)96Y=Vo-STw9n z5JZ$!Am6Y$sIVzuvk3xlS=$VFBvq$a;3l{g^yVPO{w5GOLx&YHM^GQn>0y3YX(tcraH!qC3uQ|A<~W&TYFzJI-ytMVH{* z;rrh;{I<>cR1LZTsB<=EKlzNEz85lMBw&Ob zpyt@JP8Fp+!*L?$^QpS72N=N+Y+F)Qq$B!#s@H`J0^_?y#ed9 zKRBCK1RUPW`XlsABW&s^DUc3zOeiHJAIOD)m1M)kUEys_;>-|$ueLa%xyYd|kp;x{ z7&o49aDa8

    l8_Ztdgb%-2fd)+_l{Lf;ZkM=wvLrv^hu8(h(nA0=<{m>=b~GCwL= z-SeZU)`&+IPmJZ^jQZ)j$W|R4w9mcA=kwk$ChPExT+!lo72$eRg#YkAc;A2WQj9UH zzLuN9O$Aq|;4~;LG@HqcLd}l~YoxCNq7cv}G)!QNg5DHH+7oHM0Kf2VDOyEAZwj~Y zIYXC#AXv9@L2n8V=sJk0*?!QFKaS{4;U3gf>QsipqfX^0NEIQhQ7OTpVQRoR7^+f& zYN`k(*yHj9mvR?qzT$|YB8-wq1r_LR$^mI#>Gcs6VU)yasKH)VAt&9&Tm@+Yuz>DS z=%!^c))dQXNMfFY>IGJ6fnNvD5xD1;#=~gPxGxf=D_XQW=74KME$%e*|&a zTMO4`5`W)h8JmNgmMLsvTeV;L^NbA~-Q;4@ripX%C+Dx1br(*LJI5uPaPBusEiZB3 z<@C9oMEf$L6io^l&0#G!AMIbP!u5Z70$q5NSH91?vwpjAb>+_bZMSH*f4ASFOYGn8 z|LSl21E04Bp?$SLALdiEy0ShZjLs~!YSLrEXJ~ckfu(}A+%rO8A}uB7TQ}# z+KuJKEm3`mPcH~A(sHK|x2o;>Ys_)xjma;EQnYnUkngBL2Zw*V|f>`HaDd`#-j3? zEGJYLx(P$4$Jm6*Ge4QcOzqG_5tc9V0Qx#h{zg!kVYTqV0)5zmF`Xo-w@cQvrWpl< zZx+pIuL3=YIW6Fu7he-iWy6d{a1N~nqsIVqMCPalJbL3gs0Fxl23$zGP7=fREcFM; zQioa~FS%V^Qid9-C)EN3vb$X^xceCLr)<;QcGG*i=5~qc{R!XohA)2Cn&};Az~K8@ z=>ypf9eJsNq+l`0K|H}7BxbAkxsl8f&Qm-}$)?JSFUM&D6oN}GOW8dbAMxqwPy1BB&E~z$@s0A>9%p+ch zT7dAPY-U9-*aN>AuTb+(X}uG3{X>N;$an=XIq zl0U`Cc&yIlJ!7ozJveSce)_hL`fiSI|ETZg_+w>`f9_xSrhoS7Yvy=htwyoc0MN?d z!n?dtGESRVYQ{UQ95b{?qsBct7Q-&pn(EIQe^6c? zl#>DEZGOyEC%zzYZn(=IV?bP1gBM?xGev=a%SzZHN@q(VyWE3Ar(4n#qMFf~ZXn~X zXbNVx&u9vJ7mmFP#}zVdQkglCyOaMuR8!Hm>3zE~zg_RU#F+o6|KT4z|3i^*EtX(; zvh1$vSuM5Rg##8UYuPBc(uJdH)#_jC!qK2^8=yrdbC~r4S|N84!2l{1VGXHj1E@ME zxYC7VsOV>)V9}Kdtv2E+4APbq+!+~Gyd`YQB9Vb#hHo2I6{>tN+r}S{g0SZQn2ovx z?94fx|K7U2_u)v&sHE7=@xjP?Bv270GiHG?dBPEWqbLkdsu6u-4Lwa_{2Jxqq%63< z8mYA$-rZo{{^7iGgE@Ry&X}QljnuoYHO>0_KjLS8Wdnms)4&*o)mq^d6o4^0kDNiJ zVG1(@kA1B!+bBqjEUbZ)q^Y#Bxh*=i2csYjG82RoxDaEs0Tks^6WdG>s7hiKGN`aI zBrUf%A`NB}>_LSlrYIQE7!jA+%NA)eM)YlhO+R2D+N{MfShsOReymKeuMmx-YKLsEwO&62p z^WN$VT5Z64BuU9yqJgEPazGXHcs zd3G@du9Ko*0W?2hihn5k1*FBIVu0mk-6wIpp3ZG&P$_OnY0}6XHq9v7jmhJ|K3hBB zK9ahoXW#V;U;4oq8CLCQ!l2R)!A)F{l8iW^g2X7#(E+eS?X}XN*Vx_(Np?b#J9}5HcgCkcm~P+n#1l{ak>B^1zv-i6bh@pOmMLZ@;YHT~ zNG0m0XviX@46Br&5jF+vH^Bj1pb(_7U9-OwD2<|^7~3*FY>WoCHF}7_)l!A#>J|H$9JO|@3s<9m;Vfz*0W9ZR;Ya&VPx5-;rbvpUc`d!B>DbZ<@ z?2DG{<2cL`X>QqJN_2XZM86c#%m#3dX>8n$>2FU5%}wz{96;lk)<4g{dy*P zc9r`qncHcl-C;nsAR-l1L%ZNGig(DT_8mYAps}2`DyP+Z?h!t8M>G|Y=Li9l{K?dY z(R$ktp&=@sIJk@^DTwYNK7^bG6k(!mPhNMRtvvJ;#TT&&jmL7KxqIZ{1aL5Ap$*6G0?+!P>G8YO6_J_IRHB<9Qk%Pb`JU^E5u5 zSXzBNPxg48&ODx{lRchT@;MptN%N0mz8s~Nm%`)uc1uAtWzc@1wq%hN>PXAn^5BE5 zT_}d3luH`LXm^(w%0bU^l-0S|69iIzSos!?sSxCs^`Ru_U3@-JmJ%+M`uQ#q%<)MOqcO0&&4|v4=aC}!?WUFcqcwXHO zV6<(M9S9QX4!%)Ph2!n~{>`CE5=$VE?k}&_c>U_)Pz`?n?ocP^4}oNVS&t`N<9Pl3 zuZBYnitu>-A&~AbFg?zX3+7Je>8EO44_Y+V@c50XELg?W99KcxP$P$^%F&B=b z^wL_)(Ckw-dzVuZ$#D+XVBWWw z-+Wi7QE#~`^K#>5n7g#xCA6sLh1D$DZFhyzfp|f8fj~p1_Yy0#lvYRh!8H6XgCE0 zt}poVOeLQvXI|4@uDgh)4!&m(Sa$&hTO(k(RuL3+S8@T-xxCY$VXJ#;Q5VnDld$8& z8D=v(_ZO!Qt9G1c1~cziwmIlNXH_4l<^nyY$X1ocG|@FVQzaqk749A;o_Ii^bfOVs z`jjLJchet1<{>6tfzWd%>7L~SYL&I4WLqM|+In;AcGVo}W?Y4)FP|~jqUt*r=BtjUc7?s_~7sz@+CZ(UK3|LGt9-mm%3V-}sF@Wf+|2r+;iRoQSx=cli_|V&k7v(K zEeM~Xc5#Xi+1A+4Q*Dajr{qT$N@zfq0h0Kr)~bybR(NSyIe%JkQfzh+4V`v@$Y!`)hKn=UnFiX zdRcCrcRmRki|Z*puz8#qv3oC*4jS3)Eh1Jwid&>UxIta9LDRBtfmSYJEJT0mAhDf?s=cL#s}(_irJyfNbx}?CV%vYf8~3- zh{>A2oM*NNUQU3{=#sER=QOh&p=M?30%J(%u^k18$zcsiTosv8Sbfz7Q#%S0lTEN` zI?$N_7za2*5Q0lGyot#sIFh5yC5;o4O;Ftx9I?mQWFr!jqhPJhh`Ho)V)7`6Y%xJv zxEcaAP1uACyd<+7EGZ1T^0bcu=1p=HX$9iu{bh!zB67~J5WETzV+Bty$`ZGE>{sWD zLC6fv+YMCX<`oOSFpJGDgH!D2ZAw4@$g!PGD84XfQ%(}f@zNy$Er}PWJ9w)bo+#9k zMXURWVzeZnC9W#Jzi4pL>V);>16t(i#Q4z(AZ{tQzq+a7{y1JA&_XHNMYUz>MI49I z%VVahkcmU79wSs+5i~rX@xwLyd8Xm{C_c}L_4}cUpxbT{A?Q)uBF-B^TDs^_FnO3> zp9uQD-TU4D@2^Lq5iR&N1l2W^AC(I=)>M2=aIwak2x@|hHP%E>6I`sZCW4NFeL*`J zD1ST#j_|MvFh&G5LG;2#ax_t_20V*p83p-GG{H_{2qJhyGCRW#Cb$ZBhA|{vQ+TC4 z*RHKy+qUiQ+O}=mwrv|zyHneCr?#iY9(rN7*zuBw8EG(x5t66F$d3Rri*o7>y3NQ=yG1%yj3;UsAEul} zlPeu%<w#@J*OJ290kX_R8|`lu(v{zNiA z3KS!H)RX(~Qz-+!6kZ)+d@~wkj~mcCCPo#S|JD7+haUcGO9d9!psY>L3E69O?>?s_1 z_CJqMb|l16qB2I7bxFUdmGC$rBkGkK38k*(emMiU%S(w_HX*iaETr+Tr*a&uLp;&R zDZlxulz>xo5hr-F5NRY-UsdU5RF_oacgjhb?=Ee7nt%;;67x4`RI0r?86T2Cc&V@= zL=d`~C?V%&oA@;6w|h*oomj|0jfyL-d@kij278L6beUG|5iUU%I|iSfi2)j&mR!m) z0!cpnj>#rQ3J^W@x(Gy?1C#%~m@hNlJNn?JXs^!bJzd^X;J^g&4EQbYm22dm+m`=t z=r5+lHTHwJ%c9H#r)9Uq-xZ3VCwYmWlZsg8WHf}KJ1$8^-Cx^``WbTcUl}kK^j3-L zRSRc9Cx2oM8emAI`*&)MRbH|sQ%1{(X4-UHeItL2^L>ne_1wKZAZAc|XIL8zkDrR{3hPT zi<%3WLJryv8RuF<XsyqpnjGc{_Fkm zHFd7O#?SqmR=1t$FY=P<^oMbh5X|GZ;YjWGb4>O06MuY&Z1wbr3z}V~N@ny4WoK6R z^_2^zYNo#gD{LWXuU!v}&dGIM^a&@&PInH1z(7mP_GSv;bw;^r-D{r76Rv#vg*P(OXJ&$D^}AuQ&d zXmAJPX3M@=Ti z138~xe}2AFBodEpmV8KhA@sCMFD1ELi$<_?-wsqkX1koHqZu!oYN9~kmL%IFxxji5 zO#UL?NQW0B_JGyMKXBoWCEbJyt_kPG$tSB#WI<1Dato{HV11dK2>gS6mSEud>)E6( zC0nux*?X*?ppVeJ{l-`5Z1vwuL1q(c^b~3$jl4(VaM>Q}S zaS`z-5=igo<|9$E?466#FoP7hJ5lad;$UIln$W|R9pWtt9{%FD^4g^OR03D*g^{1D zVuFfBz!)sn$urR9FEG=F`7>{nF})nGkWnsLSxf#JZDjjKpa2)p;sy$-5_)4xmbO$s$9Nb(Dt&l)HDMlLsh zAR5cXNP?Ugu6t*e`01qxyko1b@*Ms3(vl9B-w|TGkdlZmEbhYDc))IS3Y6AUbp=}kL$7y@i8@wC|;*j{3@XWaUJ0e_eIMeDoL5g_waKA>a`?nSN z)l&GxCwTb3>J7TS;cxyZc~BGYA!*&TZ&HpT@hOZJ(OT9GmfxggX8@as$tSfI0qnt& z9OFIAIDjI3I14=SoRg~Jb0J)=lv=8eND>&H@S5_FOx>zR1t;SoiQRMsXGfK+YD)g5 zoTTMQMmic@(Wh1#+30|t29d~Y^5cXRziCLjJX4Y&j?>dH^i5PD*Wp8sbq{2pZA^ur zl7`a%thG2wzZi};7XP#<*e5AIJISQjx1kesL&3o%@0$-#5Y>K+n|^MQnRs!h2!8{m zsj9P59GX!0Df%fA$*t)wlEDTB3wpy&z)kAYPTq`}kdY3gB0fyq0;?h%KSBHg zA((ZG>IRm>eu5{lTtv~;{k=f)su+)1g{}xp8qv*M zqa*Ox1%*#|e>-uG{!NxT)u9HG50SKcH{Y>!$K?3d+0WUSQ0wAt5(}DS?DnUaDh$gP zGR&iJzyqb*rEuUIsC5PVAFs`4X#A7t-!)UfR(C;AdyQrtRTNIWk0=~d4kmv{+d7(y zo$OOsyOI&bKtwx(onxMq}ggQ6!f8sDIH54bn?DNCwAsm`+t3~Hpe{TG)71EAASD`a~3?qLXag8y9 z(+2H7ci@BQi&+u%!HHTCnI(lV8>>1AeB*bM{8fS)5d;pu)3|Af$PyB7QWUg$CybU7 zViH^QV^I`@3uxse+HlyCBfgYY9uwv7_iIU!0BboE^tk&bF%gHSND0A)nTDvxi0)trr<-`6vgDgR=E>9VQ-A8mVWi^Ovo++zamk@J>~ z&vIYav?t2_=pnbJf_Wk|2=={WoelzIijkLVY&u29*9yuRdAmF`A|cP~USjHWD*CXh zHs=cT@3OKC@1|FTUeRtqwI-l`AHa=)>&t6Rq4^m|&74DV_fgcZT z1hl8*Xl`uGH^DI>W<50K*N*>);j0l)Twa4k4WXLu9toM;UD!fzkkhxd$3!&qOl51% zEj-BwI~if(BI=`YbH)D`XG9BHhDpgGHTOz*5P=gXhzq|&NeL{H-#)r!uEFdqwUiqB zpht9kERhfz@Z`>$vw@tgfhKXrb2uF0kBeZ3AE>=tUR%hA-m>=_cS4G6n4ZcXn-rrC z2_q3TK&$EuWA{B#mYF7kn*{T^<3z(E?Hv5r9K`_LD->Rrg8i{Ce1He2vB-BmdL;eh zFU&G&M(3ux2K3uwIX{+Bby_ta-m52}ifs)_$$FgYkT< z+z2r?Gl_64=wjwBG9CumrJm&_-Jp*Fb%lH*c7SJg$qX34YBy3L=2JAF?uq~l?rdYy z4_o31tQ_OEvmE_JE%p5f&>;G5h||P~lKw~a2N>}~FtO{Ifl=O22vklJO3NzsDUc0h z2E=-}M!{QVVE(l6my=ct;FLQ&-i`C8-`e#m2(U8>`kS%SQB+gpVySx8*+K=96MSbx3 zz=dZF`RANaCoIxUj(upNkcef${soHT*~>$hv+Q+x~&!wn}Q#n6`v}+a7Hh*X{Tg zO7G~l88Tjt$Z@FWcC7oXJ8sWf$|cYH>GY>OQ>8@R)MIdv_{QytHz6dWv!AkKVrQyY zG676qBxBSpfyV`0CJ}VeV_5)4V#toS$jY}U zi7rA23cj0G9!q>^2aCs{qT9D`5q}a)qjA<6-PNx=%>6C5bJa)Z^sqsP--@9akgz@; zI!Cw{j7%q@t({2SgS{sb5k^jyh=bbMP3()l0O=%J4~=nsGFW(jI>Pi|r%faj{=Z5d zMG*@$BmidaXlf6_Hzw_OH$3P>%CDo*!ai|yfAo&1-%xd{;@rP)a-HaSmS|L5BXbpg z{?!(Gu?%z)%Q!KJPcAafG4IkeySzshY4tCFYrO_bD>AQxOFfu_T1xhf+`f(c1`P z|GIq7 o*-I{pveOx_vDuPkTus}KnY*7Je^~4a`)0jG={!4TzOO#B(NDXEzGsNL zlH)4~BwH+z?a3F!4e{inP*)aJ3FHF=uiju{j1beN)Hrb;{9(#?O?-##t|J@@?sZdtKRK4n!h-1A$c zeA-ioCASCl>j2I{MC6k)l{Clr*!4gjNp->S19sjUW!GkQpz{};G7(?+UvYZKyt5QX z;!+p1ukvqltcdx33Z{q&O`5oiwMCM_Z|SDhWL9tev5fgk+D)h_CUuYfjLx%n5R7=p zrt_wS6_RFCEZme8wBgHQ$neaaUZjuWROlgrgUEYfqZ!;4(-P%wmR+)dbKK^%d*_kq zblDgSqn1DZv*XF~&cfr#@icp$sdfYJ6yNBP?y%9B2rGr zDKvWlA53?)XZU6;YM0?k@)3?SYju2dLYSXZvOvruy?RQ1neRw48}N%Y*-qIcKmOuQ znb_$ltJC1y2u9MYPpNk1YLiIJIz4yyS1DnA)N{FgGF|?6W~sbgI-eTHlkNXr>t#)u z)N7JbiI#D#&Oh55Q+ZMAg=;8IAFlbp{xe1^=R1?YOy^5}ay2@LtV;@Z&%M?#0|nITssueBRwuv=im_-F2+(!1PSHy``t z+y7kCcE5M;z<*Z<3*@It!|=xqh5uV)=YaoHZP-90#NulA)F|K|r&#~W% zOV{IgbjUdb4>=^GmEPfCTakVO;>?#Ys)2@eqVfpamw4)0Kp8PYp6=Z>dW?44{H>6i zv(1j^#<+{2Bcppe)w^@3f57M~lhi{e2m814$LEdXn;J-ZFQHo?>G%p-K2&ubX{}GuVcvk+Yn$?gnqA; zi2Q|R{$&W}Y$G^Lr-KNA@6vCFrXu0UN?Oe3Iu}Sqw9e2~IBZ&=a|i|GE{FQP3OpQ< zRsN^dE`RA(hL)25BCY)|dewSWreL0ZFqHJRw2a~CsY`hf6j-A4KBRVyiVa7Ndq$bO z5*f+un7_(u1+VMTq?R`L>D&N}Zw`KJ;M@vl_E>Y3+nf>yRVcgG;$nrn%i|xxH(#Yw zm(1%|>E8Hr{X-h{`O0PS{cgD;Ze$*n;qWte5{*8J zZWJx^CGgYkTtmGsWh`Ja{!r#^SUBexQGXbL#Cju8=*Y>(TTZuAUXn==q_jP`3KFT7WdA0N_mIgUh}LxxzJk)=|5sk z*8Q4AA^$m8_%TA|Nr+=SU(72$O1d2q)Cdd4e8E|D; z)KdATBVL%O?MSGIhIykeHWFYY=d|5m0#XP^8GLq>9~c5pWcv?3S?f3X2#rdF>s4`?w+i>SLj72gg(l-g7Z_xQmX;5oKy$?dh(8_KAz?^_dxX zr7kMNguID%A|(lf4iO4 z7>LAj;oiCaHW(Ey1%=v?QCc>)Jaz<_K>F=*`^HO!X6mgfRK-RixvUVW(+_l!2G)^z z?rMmOucZDf?7IufETerPY%U_cpKy)>n_itfkj93900oD~7WRys2{ABI26`X9q719D zl=6d@nn29JK$!`DhW$auz<7q`g(0ODfgq2%|EyI|&v*6tt8mCzw{?Z==qdkvaeL{i za6(IUJJZdw3Y_l`OTWf${8$O{JpGg9iJVD*dg{h1tT=RS%n)dI>Na-=ONf2?MBNI4 zBhltV6|qq z=|BX)g2Ig@0o>GHtVK*lM2^v79I{oshB5v*nPP0`g)-s;j^u}_k@S!3A&!$2kI)S` zTOit|Ukx}kjCf)9w@q_!mp8730aIAxFdYt!+gModcb@WY}!S;0{raZz4j)26L`&2z3w(iF?x|06k^kLVQdCF?nLjZ>@s6n z)|&O_e*XyeHuW9b#huW4c$|(gkHmS1X4UAm(|T~^{OO>K>0&7@I1@9AP#C^rPU0y^ z*+Q9;jY_{xUz^mEwjV-&%n08VYYMtNd`f>R1mBgamq@*)R7%P=XtD`|Y03CG*#F#{ zaEHKHa}@h#E`kWjQfwtg#-vfjrEMmOEWh^;1}VDu795rSsXo#NSu}FQ=?rv}(OoL* zZLun0=iNFY;1R`l#+Wg9frMqpk0>C2+~lX_3y=I2&bX)l8fh+MnLS#++c5CN31tZtM!Xwd8NF)a`ZBH?0Ix}#QYjdSniK-##Yp|6-j66T_^@Vp z6*~9zWCuwow(QkW>5z$YLVz0p@>-8&Mq0b!g8h zb95Y>U4Y%P2zPB7oMpWL#H%C!k~nKdyo#=?+*(b6P3YsU#3p=}S)XcAJM298gcouq zglr3f$p<9Bpf<}H?`u(%wMGr2BHj*0^dFY*G0L&h5kJOK7AM=Kz;wj*WO3x*AkrW! z>WqZR{yP!T3xfB?*r>P|7anv%$y|A4W#Oi!QyCgh`1GF_v{bZ?p5j4zH;QLF$YbQ6 zns*7Dz72KC_1qh@Vfh0wG$M%fP*MXy2|W4OM!nn=3-aEsubJM>-kSnHPRYJlaKh&Y z2CrRz3QJPr)AH7w{asJIlfX%X)alFj909Ti|O4h{)3bJFx+=G>BJ2KidJ5Z zNM7sOs!be0e`V(0z;`(enIRs#CQQ5ERyQI+$cK8wR&B(B7jJCyZYd4Sys-$|&ya?k zmY{uI0_E#FRb#^F%!5sbBM$`|m6lNxfGQTBg&XyhG?(Lkl>fHC8kos@>mB)A9(LnQ z@KYUOO)oxR{&bLZb6DQEHSe4HC6^$;Ws+*fW2)-Yi4K1}N&T|&Zc0Rbatk)~x%L7x5-t8(jiFJJ@ z-c$xfTUyyq4}lP|O9%Il-q=^iQLQ#!C0Hy2MUM5LMWtCyfjF006a{kPEHRToNSs?b z?6iyhr;>&gdYA0!g>r$R+88oSa(w7~-$O3#d{}fec^!~45%9T95Q-cI5Bw$G6h%h6 zsl=4fh(J;fCWqQxDCcYT_`2YG8^r5<-Z%3F*kNcnNu1w3-OUjC-lQRt9)uRj+dqy7 z`9CA*pZ3HdhuH_fqDCeyi~p#_GtPPazT_dI$aXli6jTo=eBnYALX=oX+5$6b*LY@! z@0%CBLpJPwwVH}S#uc*6=ZA*h8EXnL-pHjSh)>G+|1B$Ur|<9fyut7vcw^2ofJ;t_ zy4XC@**0TF*^jmgv#vCM@w$?8r1$n@q{yx3It;vAQ*+f#y|x2{e*?=V4H4MpgBW;f z(-?5xZ9y7%4^ejT3xKQgkmVhCr%);s?Pc^4+-~)rUS6|NszMgCQTO9ko)H&)m-pv- z$(%{CpJE?y`SiWHdLRpAV(bDQ{d2q&=r=Sm!C%>96%c_4N8E%aW!`z+=5fZvikAgr z==zDO#b`Nt?30{8PRL7upl1MaO!Yd7@1%BMTX5?HvyfATfk-n+ytcS}_;I9%2I`;k zi(9ARg&pHkd4A8lbRcsrb#Y@i>LGLOC} zY%m*@M#-a2>WDXjBK+VQDBv!1oUfk%!-ELR8DE7OdP^PrGzQNu!*wDRNR=ZsE`?yP z!8V8o=>wliMug0#E%B3C*BdwseeCK0Ri=t<#AR(;i<;sBA^B_ceU>LGU=~Zp>wN1C z7*^N|!sH(O-uK~m#I6h-!$Wgl+rLB#;Fe=z->Mp(-q8Z?8Z3H(yQ@F7#u+Y3lpt9E z`;iI`rDp?M%+k z`?^pyP9f9>^qRe>?FdsGQ?wkd#`{3(S2)I|?$i1xrL=}BgLn0ytl)CW;Zcho+suWk z)BuJGwp4Q=7DQ~W?o03O2H2OD7PRpqfOrwv7zUoJr+d;T{1KOBOHxoYDig+eJ6#~| zn)Ht>a0$Yo$+Ycw$-L!wG_G{M-TzNAi}qe-|q+7!d0LksDY z$2gHuhcXI#PxBME4)D)Gt}%}BE*cH@!II<^mh)QTV=)qCcOK z;rhL!FNLX?hv!2@Gu2?y#5BtU@|UdWv-85tX^18xo0J+@G5$exB*+LoWf~>bXt5t2 z83&Ls344E3rRFDip>l{dqRH>Cyg&NG3@45k`9M4hEx8Ae%5>0u#+m@MpQg-^XkRR# zhCu5z=l4l`wEf_)V)sw#P6xSt%#HnUrNJ-O04B}drvg{fvqa=8R3+RjyX(i%fvf0> zn?VwOB{M;7b_iO}tC)6wPt<3FD5QCnwxcn+*goph^%0=DKh*H)QVN5l@+MI9g=u}7 zitm$;E(w#dlSzk&B`BNVA{v0|^zhip#}MMc8Tct~R#Fz8L;C<=3L1J-%z(N@NR+!h zWnnTWrWids4Qpk0kpXqAa50&}5O{QI9=fI^+}oUx)Yn*EL{<1~cB3Jw8Mc{gm_-bi|s8dGSODG5Z;F(@72g79Gn#KANx`1otFnU=s8AZAU zw($MTvT0FB9v=o&89-4$i5!+>&0}IYlePZJchn0l8uurf^_1g_>YE{Vn2;H875ncj zJM#)yyoY6*uuM-Po;cLZHKB%@d26`#6*f{>zVzCE>q4KowR zZQ3j=nI$=tVjQoV`R=;YPR zg7w`RdIKdydBp$LDC38gqhMbhY9-XRftG=6zP#xYRq+K%n3+|Z+tc(CZHs(7N7slr zWy+0|Ry`W2T{a48pNLpK+$RpNDF40|m4cC)$;z9Ye45SLdCU#t{yrbFcAAY{_|OZ! z-Xwb|)}`@nCNbVjMF_%ocnS!;p-qa?3sZ1&PAP=tQ8nu&UYo+;Dc;-w~Ik`kirz)`V{1er8*jsJm=0$Q*}frgNmkYOy<>`pE- z_wPj?EEGmVr?Gnw(xX}02??;N{+rrO&Z7gnrA%s)?&cLL#R)LsBY5G3RXR1qgu!h$ zIx7dkzo+qci>Hhi?~pauKP*(mKdo$GfPbBAz7ph>;x%2 z4M6U5vzj7p_Yy1#DT}uF$M|GV7)4lEFv}xjEh9Q+ams4&hZ1Vo(>J8a$=s&GB!Wmv zMsjvBh2=xks`_jPZQ?Kbpo&8#ku18h#e7^qK^Tf7hH*0SM8~lqc4uBR@ni*z_UQ=> zfO@*ujl>W$7x4DHTsp#SGYk2ke4T^U8X<8&5TJvifW_gc$Lo6ypxS347j?Wq%_9mp zh85gTAY!>&U|(W@v}I0W6etQlhTEO_7?xyeaCXavX|@9THIa70bEAIF8$u-<*(ij0Gc@SlA4?>3}jtH zr;iE4QnXWEMRk(V-8&H$8Jh5uvTzdsF39Fwz;ffB(4RVxN-q}@QnvmbtlgheiS2I) z&~{*|VjR=3M}MCa`0CK<@i%}f*l48m2QkW$OBo<>83uc`4iJnjuBH|%cjY>Td76~* z^^dcHwfCG&wFoQ5=oCf{czJ2bd}ta@q;`?U+`;ZRCYTmT)-v{B|6Fp@ezp(x`DwA( zTYo0Tbx0~LOrk4S+CDFa$`g|;3cBVvG`f2xHl;HyCJfBNYX?ksEBwP!zqZ~kXd{lC z+N*J1XZIunN;8VYeFpuWk>4Kc-2>Erx0KJsf6ij0YBFBm%uLBE27qUfg`qk#j z0^in20Ozn!9A`9aojeRrw~Vlq+1S(Z%+RsuV^wj?lf;AAO(}qb;7bLkowVQ3!otDu zLXB>&Ll#Cfm03ZJB`Dzq;uzuF)fh%DqE zL$S?cZ%Bp>{4;*5Q9K~KF%IY|Z1st>{)HI30GzR8JrHOmVyC5uu_liNV%!EV2;QXJ zJgtf3MnPp}A$=kge}a_fqa+RlK*_iNu83U-SO~f>z(;)!<^+5X9Vu%Nb|F^*)IQ>h zKa1uJzyq==XQ)_Ajs>g8PM5nhi-f2y(7KS5Di6TmR0$A^-$5*p;8e^cLyx0gu@ZNo zqb7nP!*`+e;P}eSR}{f4oFB0Olv-L(5@fs3QI0(V4N!&`^3FWc_;LGol=84S>=W^P(_4j|Fpw zrQeS<7wZAKGyXSLi@qpckzP0%se9?T3poNGPx2q#&nmQ}k9+}SmZwTHtmEjaWlp8Z zeq^@+By3(4(I(f}sV}f|I59hM_$O9b6vuauJ*8n21FlfM^KyOKBU?w4`gm3NLnrm_ zGf*b^d06#Yl`9pmw+CFPh2#v_a90XOP}}nef&jl{H_tGV@n8PE%DAZS+4sEO86)0(Q6%&-xYGetRQc7t0pEI4>inu+15;>Y`9m7@UeW@qb#AiMXm~ZMp`ku z6*XM!E~;fM6L_327Apz+*d0eWNquZm1>BOhLJcVh=Aq=?Y2BnXT>vkiEEZv@r$p> zA0}hqN0Zd9}f*U^gH=b65O{cV6bzu}lA=M!o zBv>XN!tTyuDpHKC+u-9ZY2a$L;pe78De1K6bYm;@{S19G0vBDHfOtgSl5p~35)T)x zd{!8?bEi4>^U0)yw8X`r(sL3Amp-4^hI8$CXl9a|=-f`#DnL;|MY?=bPREjLEDp)9 zkr=c|Eu$Iqt6ppq7PTDyrU4@s7D+Ip$&%5bR!`v{Yp5(lrZ*DbZL(=_ak$#}djGB9 z9(OKhGxJhXDI0ElaGB#oZyA(W?Mc59)$T9)=IbEuc>1Fa77jWmn&kIvDypN-;}5ee+LVskyNv&fi`#M1s!Stw$sQBJ z0ivyGO*ZJgh^_Mxkz#n*ZU4Wq|NqAEzebyAYlrKDe>nF&;#XyGg_J8*wj@y%@gXt- zvI>qQVcZPN0hUeC9SffB{+}fcZikTgglm(CJH0NYx9D&-GiHXoK_?WPDgN^hR~als zrr^$Zs1vJGdU>`#Rysuz>#oB zj+^e9;aEol-WYV)zLS0Qck@)%mvsWe#%ISqlRLqP2*1fnZRYm45Dj7&_~4&{G7{A# zegrPlRYi6fH>xIIM2`bLU2;)L$}-{&@>pJ8c|9>S^^T|1%wk))#wC?-s#V$%H{f@n zDtkkV&YrA2*cPBScTwlFs03kIXt=~tJQ4qBhBcvP0x58TSHpmxmOWvhVnY9LG?Eg2 z7}3ANeao0}M&B2I%tjC`a)`k%Ad=S?GuxvVFe_nmk>C>NIW*I^n9cKp-leI3JL=&FnqvrlW)yezIQhPN*y5^q` z5}R0L%HCA?M*)5bzz{_Oi*ov42np1J$Ut$) z*sHJQnTu{#9Ak=B5`2qUEA<*PmD$tDG3^;SEVPa@PBw%y^0g>-9a18NHt3&u{0i_X z_Tv!OEV=2Q`S6#c;KM{_?>OCG#zh6+#m*MekB13%mn}|KR4uWz!lFskcg+#nlu`X# zl`fQY6RKs<2P|=*vG1b}F$wJGcK+OjB>9VDR5@vRI>1DPcf=QH@-JqiE<+!FopOkn zh4eavFnOb&^l;5Y(31d+^m{Y{#uh?Sh*K3M`jgHCZ4536dOoTVGIQn7aW*CZw*uV~ zo>_WPQ?8Y%NDU)SJK{Qtn1_!Hy(O6UOX(LZnsYwjRI4rrr;Ng!4j$sVeg_)N0A z`B_U0#6q-LsG}_R0XpcZS#sZv zq9XY;=>e8(P*-=BoQlg3&+!=o1|X5<>70Ns*eSv(p?R}Yj@tbPqy1FDFN&`>Rh?oH zF|{aKbe>SyP8b>AfE_8&>_IYgp~E;u;I+nB9src*zgze3X5+cqW2V5l96^-Iq7b%a`qR0%TG=-ELcpr z<-=$mJd0xBp3AW%rV*)B!lX}n#2FXd{$8B6aim0=0)e)|lz56EHJ@9fv0s`OqK;~t z-cTL+5`hG(pGECy?HOC4OTBh+4q8q8;<8tZS;(BA2c*8_S){b|<%^%c&RimLiN=W> zL!n|DKBuq2iHv?8#??NKPBxN?fF;_Xl3r{)fB6rfWD=qKvkk zMpc4TG+Z+Ra?g+9?z`gkh@!yA9T8E^d1q+ z!}AQxj7+!-*?zzvd}*GH+E4KRVniR$*PU&u8~3zkh3St3MVK{(=NAUPCAe^1NB4;` zd@AB1q)6F!`EN)R|zc9NVUgQ9>7FR@*fi2#wg}Voh-R=b zcw(p$;L0W_HPS9Cn;$}y_i!fGQC*x??ctJ0Hb268mA*Ul2n*-+P%H$ z!AA%L)N!U%3dM9q4~xfyOzK&2_LXD2C}@-X2HGOgqoJb0#9*Cb*K-%CG@n~1eMKX# z{jRgWnyu8%_(L=qTkXsjtLBa{WC_R^sFLaTuU#9{nKO}OlzAF%R8*hgKCd>POg%li z-iM$L9kQ6S&j~KZH*1V$6LzbWxI)miNn#~tc`=&nSVRX~bMm#>YotP|QE?yks6<8I zEiM1`_n#uS2)(p%8;46i^+=R zD=?|%jr9Qig1tm*Or^6UzBoJ}nUb05J?m@Q4aV^U%D^i=2?EOhr8gk9YMm(k#UhI1 zCl_tQA~~k5dL}){%Mb zOS9QM^cGKtvf5Ee4>^z8+_*!zxa@<*z)iSL*$E?gg`qef6&A7vkY!H7WGXL7s)bCG z$EXrgClkF(oQDV%#Z>a@SO3 zP{++vtReEJ5{MvewTW{n3-`(XZ2YrqLvcCCXoOX!TqTNul5{qT2A5+m@+}}F3LnDT zc1|Pbj_R=_o%mlj%!w!m&TW2_xq}Tl=HC!;c3i~_TtN55+) z_K{?;IS5usrfL?<_3QmZ(O=Y=9GzKwQsHp(_^@9-MBX`zvGDd)V_JG*D>-$^YHL!o zw&ZOa(U_iWUzV#*8Xp~sMDDGLK-cOPo8C1$|H&&V*jg2L zm52}WFsJ_(h_1~3^)+dk-<)-fkEhG^s1;; zpKKLM0Fp-aTHdZ+0IF|2Ddz%JW__*HS{h+KVX~R9IPd`~rQXSw{n5?~zf z?)=A^150a|MQ)@vqAc?;;@DwZlDMQ%W(VGut>yxh9Buu&j%*xLGP5C@ zDAg66dyUZv2kdt<0Z9VBXTewuJfTq}=kwp&ep2lKrgyWsLy|QENndzjsT*WS(=+VC zDlOvJL-k+2OFs;cYRR}I3m$cyubdNlkNBNHDE$Xo9Wa`78Z`1nO5@t5C?Vfq<(S+s zOW9f6^{EUrgjJt6YVmnv2QQ}b#5BYMuZ`ypCAvgUl8qIGe-xF8@!cmfT9p7n9OR>@ z!IC;T9&~-PDVtBTc-EAJXo96azANMBFLM&eY3NB`&)*l>f9GH@$Vr;i`RMXw`I8g_ zWeAvf%g-uc!A7Jqdppm{P6cWkiW5F!cdFDe&rH~CAH4CbsL%u*k&;{wqP+)~yLeV2 zb8lWw=Or#`AKn}Er!2;Jhb+cGnG}Kov40XAamN$UL4SjLA`M|uBG~2zB*;%G{Yx** z5Stwug8&e<;h2eLK18wrMi!1Il-j_oz=9e-6TfBPeh!|;We`WYz?0*e_yNfO(R9^u zQG8!p0i|OB>0G*{rIqgP6p#k#F6pJE8>AbgOB(4$nkA&WyWYX?@BM@2GqW>u?>+TA z=j^?A;+2#89rsa+w{E{!S*$i~EVU1qV5GEA;OSBa@cXg#19NhaH3^@wx{6!Hri}#6z~r16d;2`jry-JmCYjU6+N8)0x>PvSKmz zZfT2x+$sici|&{%MVbaX0^Xj{P?sd%xZ>O}F)yxV(XQtX1xJs1Jqp|(VeXtQ6^q{% zag`L4C&Rt9hEv5METPenA)LX1&cj$xh&~1@HqGsR3+`|JMV7-%9+dUHPUUdYf&C}R z%;uY_x7~H-C92pTj?j8aa+Vjg;D1bl7t`>*&Lu-Q#l#rN$CI56XfLr3Fu>K!ERdX> zn)%HA`(Q}f5HeWU2g4e+8*Yyq92UT7a2#7&a$fJ4v43~{vAeXC$8x2Xabono>l60Il(l|Q=6AZiktJspE5#YS0;AP zg6Bo+XnrXLz#Qdr6VTz~Ehd#Q%3va!z=>eaf7^t+AOXAiuZQSGs!+kbMH6R#|G`|R zs9{@YWWIVFl?!itRb1smBqyVh`P#<~&TD#tt_FDF{Oq6dUFLKgJQr+=MNX6{cKvew zMHXncbNX=WIXiY3mJz0+-Nw0E%v_`Lbx7R~AF!>65qWhN-v1JUm!7{I=y%kvrluuJ zOP_6SR<#TEm`IdE!-pich>=9^V}3V@EnYW%LlSLlo9UX=0T?J2u*WxE)yBaz&YxD^ zBWnt&q>puB-*mZFli&}}hKqXmQEOPl?qbQ)_8!^J9fhd$V2bY11>YEO{6dhrx7)!i z;)o`+=r#+TQsu3w+|AiYo^62P8gsx*|M$ur0g!#WrkrVA5^G)RQ`_oH|! z!&{1AO4a%`h{Z1y>v`B`7iTZQ4X9$A6G{LzTf(}QgF zuVeXG5`$(_Pt=Or{KO*$>|$PQx1Z-}|E_Dvum@b-ES^6&DmIWuVK}g%@dCd>_xyM~ ze0wc~|<^PC5vH;O2#Ahmg@ApP!u5T>`T>5R>vu*`9$;vc|8h+1f-PAK9#=n?#z%u}wDhSw_S9Ln?Xn-?w(9Ttv^+JJSu%|3yx)MJYT3`2Za8Ag zSly#)MNzvR*?f+Y63!0NY z55ln;tnO&xzu z*74}+FI_BaIbp8m;>#bSsJ@hzAeu=|98vY3E1Mp8l+lNF7O?fenRtD$3NJE}hCo0H z)PF!&sy!a>B6l0LUP(LkFwcp~O=uI(Ti}5R7(Z~)jXjwdRUTn2U^ToAY4AM9gxQE2 z!}lSfy^a@`+I4zIN0V#If%9y(rE{Ub>L%Cqy^OsQ7#z-M&N zI(E96&mBWrChzgm4>kUfMl1Ajat|G38e5?UOlLu~HB)8@q+nit=+z=Ih))yMTHf!k z`8q!{gqW%p_{Et~%{91v^X+9CQ#OgH)Li=m9C0!*B_sZUQMgRz4Slp^gd`{?D`}X8~Vu`)FvyO5LS60y(Zu1^-tuOdOU>n9TO_4s5(VG$xar?xt z(UK1SFVw;B@`4wpA!C1r;x3{RCVjdHdz1y%uM>Y>06c`qo9A}9TSq=asn6Gc$ajw8TL~E&Q~%mJ5bd?>lE7nyS?G< zrF=9n6U5$d9)f)Q!8=2Sg>W;YBEbrqSj@%h@MpIAR-g?rFCVrBSwUk}DCvMnd?EF~ ztjS)zgl=!NB|kCz=BXR(;C(3=l!=H3P@d)P` z@@(^%(J+j!5eU<$L9&$l{lb+L8FhXeMuj!Pq_RuAGg={mIbyt4@1XmkbX@yl^uKU^ zo6`Urqeu_F!qV^T^rt1dThGK-T3Cffgi2Ek6h=6!oBzQelr)=G9#0u1XfAQq_|qN$ zvS6m;MWHj!XGAcR>HSXr)~4u9s`22j*pQ7vTKv%TmY?G@Y1rR2nK!PTF8Lo&Z~1|B z3kpi9+|`*hyL^7SrTzZ$Z_lJ+tJpFw&A%wh!0&e^7Y?hTYB(3vjFQxSn1H79W?8-a zc2j6CS}vtKUHQSPoRnzYW=y_la+uaNcvQQN+PNHNmPt{Bu@XJjVc&TM{7$g4adi(< ztv3wR%7P6sp~vYRF2r^>vJkDT!NL90bbw$lSUV%Vys%$}SEX8JBpQoSs}RR8D1K34 z`AfF$RW!3bRNOCpWwn`PV!!O8)AC;@(c_Zdr-eUkpYvm}$#z6l5;M!-7G>Lf~0s-cn%gQ%lxTi+rc1EQrsjCMQg#?i(&0TTQ=D-<(TJmqk?? z=swM5p9h;d%YFIPo>t2U!B=_~O(U&lFE8%&N>qW)blR_myHuXnb1+te`L<9kJz(%a z%XzY|ig(xf@R?qpHFk|_eHG20S#54^gA zl9$uBAPJON*C@DX(+nvd#yo#Au81BO`c+}0PXq<%OR&^nXvTqZuofTr#z}>xERmrp z2Iq=(Dg%{R)c%+Eh8h_CD!R@b zF_U|kQ^e37n-x(%xdlFme66;=HFJ#9)?WoP0#<+Yki3x7KiUXeOK|Q6XU2UDKJJi` z-5*}?wok#`XDC$T>9HX*sfB=L?<66NWg{WT9`|VeZMm5lcRh?|9zP-YLiuFig3Z(# zE-6P{t%Q0cy+QG>(WK!f3zt7KxK=I(EljQg2NPTk(!~g1Glk@Ku?It};buV$V%Tit zop7nlx2YncFV`YfDf!l2VMcc@}neIKqJsk68V?efOd%EuIew9HA)>1yI4$3RWZtB7@Ai5jzWr z#-?03aYmQUSvh$MVDXLN^3$R+5r#)c9UsM~YWM$eK?3HxZ8Fi?YH6}q+HwkT(*ozBaIVxB+CBx4?R zDaqa(NV)t7qkWSX)K}{S>}*(7ph(sf^xm*F@_k?3_c;B1tU^gr6Unfk^ffxQQB8Ii ze9Z;IA%@lm;~$#mP3GItB7E|!Q4|~<#a?$w&aFnAWeSPYa@m(e1Ox8g_ri3)!XD|$ z)!1W2s$7HuhQpC0V}#XGdA-EML>FR=B0E~{u*2%gAhK0x2Q@=lM7Kbep;DF+V2Fg7h*%C2^SK{wx<)tC(QgUjr@?Mw1y_E8VZmBe!aiK; z$LO!ZV@qquYlr$SM<>I9@_MbQK|Eh#oz^>r#CkPNg?+)OulUNJa$2L2(l@OsW+WY1 z;kt3$-ZF;g1p-a6M^;i9YQGeLCtHeJ#v(-g-TddD5h+y3*>Uf$9lUiD# z%k9Wv^Ca1|ccyN{AbKY&39@C+4#t0%8|39U%-bd_ae%SWw@g}fH-?d`^k)cgp>MdU zmMB>+(?O5NJbgOsQ#e%T=|;Lo@HjU_v~oJtilKCpi<7-YOszAF*GfX ziYpZAA)0)H5AvIh`gE&<)xs%?`&XU?b4N_h$OAq&ir!~G)AR+ZY-lCViBT&2ArmVh zXjjM%wElLp4rjmh)2K^&fak!xi#Q+pPQ0%m<&H>=%&aZLNLZtd@ z{_H)E?&C@XX4@` zKLy3S4Ly5!G_2_K@M-rxK+j7%+i`3`J1^cyK7V3fAu z3cuut2PF4`jVP?Kyw%g28KpUV>39`0>#j0MSjFcB;IQitLkFChMD)QIU40@K^^K2C z5uu~x6~Bt3cw&u9n`xQX;VQSLLVxuR7pE{J=>=nx(x~Z1*Oj?9q!tFb>cry>|M{E& zVpwO^dCfrh`8V)}4axW)Vg%wLr+ZwcsCzl<;o>1Ng2d_mwN%=Lc5+O@9_l_zFpKN< zVG$|OV{~k$f+3tH<3kEC{jAtHAJ4lbNO3t`^KhL(uno{fKTkIwA{`jaykYr0h>H3%J}f;atD_M3 z9U@KNNk1c_hO`sDsbO(7(UVCO!F4TB^jd+%1n>LEkM@Qh6~0pyQUM@A+5TpdSl`aC zHH>A9$P7bWyjwl_5?LM>lU}ljoKP%-3Ge>%#In1&_5M%j^LueDcR3m%83G1!{d>cr z8j`fy#%@9TR3)r(kX&t5)xkfXkOY^s#f&00OjqTzH5&Crl@mnru7;|{*e{SMhEgUI z=NtvbFZ@3gwaJzT;;7_p3b&K&py7K2otkfae+zc|Iti5~QkCc#qvaKsK9a1o!++$& zuhEK+FneBz(ZH)WKMn5wE3hhbHQo0kE`G@TXK2eTvN;;Qk~yYxGEdGvYVok*^O?eX zy?vfX6C9#KNmlb@f?))T!O&fSB>{neE7`e7HgTS+JhU=d-l-t;5x!(bO)CobFOHKMA|3u06KLu{)1h#G_Du_g+w>&Dl5A_4hs-nk^WGuQsvoyz3< zna@YcIX?=|Z@xRDM^1%lUXQ*JQ3SosGvn7<4jN2l6ZcJ8|1tAD<%o8(zs zcHa5dG37@P`>g6Y=laQ*5}#QM{BHx`jbWcBLZ7ySBVlTm6hZw$Z}qtOg&As0$9&f# z2T=d4vwn+^h#q^j1^p-hq*-nkH(BPGB#(6~?Kcx`+Y`VRf74b{LIDe!j#N?@_u13s zjIWbX|7a7`%>N)F!G;qvKpYrm73ET7$YFO^@hl<;)9h2@ng7TH84(wY%|?>D@QDJw z9CDu7#E|GSaeVNmEju50YuW0Y&8XH9PNfTq?tg3fNwgogDY%%7RzLhwog6|?Pfj_bd50IV1FllFPxTt#9U5 zYx+1(8_k)&6d_d&ZW>9i@`L?Vk4EN)mJP`pVzYs5$>IUT`_msav7#uwE=Plm87XqC zDO8X4wRxmBm)FPNwI(xSUJ>!D_OZ(}?{q~)_710MT?qwK>1lHJP(*qT<_vDtyf!tJ zde$fxjkVZS_(B!SV({GS%6OvPjNGEir04&Bf;biySQV~M8_K8Y1Ji(P+(o#PHO%ve zQx!w5S0^s9f}-nVR8EHCcJZJYTS{oHlbEPKQe93CeUY=J?Ykh45Y{!hn_8ZA`~v@Vy$Q7i zwERzCneUWl(X4NufKdV8=l-bUn4g9;!7dai4F(KZvP$lTc0+8%)w1*pmux||xr#yLFex^k^K$hhu^RHJUsr8?~LV zxVwn>M^=(5@b@xoMmf6jw6>fL3qZHi-Fb_mZ(tmg+w)@j%r!xBz>5L$h7 z<04Lf?Q9&z1;%JiLo&1AN=|OII;zV)C*~YP5ECSt)nXKy>!!GyK3gm@!%K0dG+o(q z%=+x;WG$8PNN-!k6R37{afU+@QUgadI_{{)jLRoQB^8Pud)zywG5L!lARXIZOzn%# zr%QJbfAf-FyFnySoCV>CTNEveGB8yb9Y7b8irZE>@BxHX>MT@P!4w(jL4J)M*ndX+ z&@i$`LoA7@K3P+<*()`NxwxxQ?2E;Bf)9T#Flwwvti>#3SJQ48*aub{TZqh2JJ#le zt7rBz?KKgTPOvwojdTj#ietkjG`>IN=oC^ZE73X|Kl{$lk?9d5#vNIyKkVr=1yF*i zSAEZ-aiZKdr@s%Mwr`Y}(w{&F1(GDf_7+AfbqgP9a=(Y<9AMk?ljVr0OcD{CkF&i~ zDgf;hy?w`3f#h!9s#Qs58!8bGGn?rx!H-?lB}QZXCv`e6BBm%`DTMMHD`=BroRgs< zf6YUw+fs*?f{Z?qP4ie8EsB!1Bt|M(aW^hGTdUBKJ0#^hHn&{G-r_`B*l?TP z_kJ{e5rIUtkq$xJ;Zn`f@ubPNI)w;=?E7q4a=J6IDc+iisjmlqTD%?2j&8XZ)?I~- z%utt-cy2a)WA)ILXz3#VB$lU^Qy>vqH>5aSP>f$xLd7inZl~VdGw?*DG7Xm8{ZmmI z1$z;;I&pRn#U7dTyI!-wpkFe?NVfg#1GR=udcvD1zR$`jclaT*Q;dj}+gj_Dedob0 zE*vuAiv=eh+R(MjUkisG7>r&S;J7~A6H8?`W5tee-1@s-na)bB5p>+-ON9w8Fcxp7 zq}gF4%gxPpb#39F52cKUyOdSg>^hGU3ZBxeC|@iTv=&r!WhlafX8KQyN+fXLhw9py;Ej)YZR1Gj}=v{zozyGAMR3Q_+O|bio==QTB#* z0wI7k#wVc%Wh(PT3dy>?qYuAdDtt2Yn?{Hh49TK0=(k*dAO^qLg}b6fnm6fBj>R5Nwup1eSqK+Ku2VM1|&$N?vgsR<^OOC-ZsK?KgQ^6PvrVt?X~m!L!`D zDVVLUT_QiYaewNvCzXB-fvfi&4eb8>v9iw#!Sp)fVw0JrNW)TDPT&UId6D@^kyPyZ zb&Yn6TjwkGwNtoYV*Nyyq#L|Ag!~x5Q&e2`sy2{>HBW8RZduY1T4MLzfJ-X z_<4GxkTq1+H$?#)-|&Y|QY?eGXz^+>AfZ2#dK?S9D9W_TYF`hZ028?tca`5MHPJSi z%B*o)suQYuBijBcl8!XYe{kEkQlFi*ZfrA++qdF!_8!TMZ)-D!pPel$XCaEzHmIpt zyX$J5n!)`_Qo({k$VAp2@8)gTzhkClAOY!!yxp+=aEA+RpHEyrRNMrYX=D=@r$a30 zlFT4AT8fgztle>wC~jT=mz$F)atyW~V1>N|GJuxM2J24l24#8J zyb>(7;DT8M(JKHW|HQPpAj^YfNjH^>UK!0~LZ*51A)!mQ37=m+pF@Q%xKbrsjOf1^ z_}zw0JSw3mAs;36F`z%WR1i@^5`dR+uo0=7M+R}{IX*un^vdRw2--OpV73YY3&GZ} zD_7mSj}3~)R99h6R?vZYTd;7*BxaQYrN4+vEI?+-KI&ib3V#%27%{7de;OVa#%Kt` zds`~>YkWk##+di-zJ+SWO%YWe5f%dxy(wQnCKE`6f9oXwPoeuMEq^IVT0y7iZTb;h zTeqL*uyb(={;o2;QJU`?&Xfk7zr%g$Xe_3Du8w!)o%9z!uxAOESRrSqSURXG131C3 zxVqDNK7Lj0NIZOfxAePi;yJ(yUaEBM{kB$_CgS7L@qA^u0AM~GOjq#jv?{97RK9K7bug%KjzT1Ss9LDFa?%5)|MFVMk&`Ty8Fty z*f8wsp&1Q+(Joa`3T_A?7gaWa&Mhm0h2Fs}LA2dlYJdu&{)j#@=#p1}-H6+&s zRlPNi!(-e^)Eq}FV~8m>DSB87mSL}h9K;w|e1f1>o53UwGYWqHH1{5_+9?n&D3xpA z)t4uB8RW&YzsO`%1XBgye~eEGlPkpJzd?u@@80gbL|{c?V!}Sd9{6aTi+ns*UE3}+ z#Mp^Uu|ZeO(cy%>B2>>|C#!t&fs*O|fjri{V1P7M&KRwJ&H0`txRWRR)uHlI1~tQV ze1a$M)egRl<}7@9NP2q{+$>QQkLz1P-8hf=Dl)~G?|QdVmg0Ee zzqW=O5P__H3;>PUEB5!r(ozJ)`$gNLPCw|{&H@?vemVL4}C|DnFrnc`{V z8D)L4UIaPM6yR8<0dszs`ip#PFE~M|{g4yB;<8!#3#anlz5i1u{Z^}wXzoNFft2PX zs->62->Mep*AJtPiba2Zmmu>N&2k3yQFx#sS+q<#m0N*&$p+dBz5#l3znhFD7>auY-rJS-vc zcI#MPUs7p9jo@>cp)@}-fpH5up66()`l%eOv!GajD}|Uxi>uA}$4{5h*et>Yoh;}C zzZm0WpEQ>@qnoVHEx!zGM4QC5{#s^9dU_-|ZgkxjYeg9RvekY`esM-$bKue1G3v*& z87(ZL{Yrg5f1LUwKl>Nkza2kJ!`>i(t1vJe8VI!6fnA9j{O!raQ8uG{e_lmoKd{yc z7*qP99FE})ke*N8VPJlw^;IqX{WehWJA(bv0C)5TI-cc#tH>et5GE#rqhW2npC$#n zvl;7$fp-js{X{&y4?0~Z9ZMPnB%bwYEWzV<{n$NnX6B9 zGw`bINP2tk`_R!5zXcJztN8Uu$VTFIm;IQ@v`~Z=QmjWujuSTu8{o<&hQIKT&5y#UqhCC6vW@GKTW4HG_HXt_C;P#*CiWI z7nLgxmr@)VP%iRRapCRg;RKPg{(VY7(nXHYBpvUhIEn_FTJQ8hrpNm}`b+dYBEQoh zYSt$tV(ok!QZu{#M!q6OcoP!Qhmzn%lotk-)={1tM;vJ-57fsATTa*46ERz=UJ27wuydkpn9?JvpxchCVCunywR`d+ z;ZTGjIJ%#~pG1^P z(Xp1@lp3Oz${V%l#BYS1dR^VW7AW1I%@gIu`CEUf@lS}4qp2vl)Wq^_M_|$E{E8N?eSeQ2Yx=p{J-N; z=aF`sJ1ydGjHJ>NC4NMq;SLw?8^5o#!_Guargjh)F60|vlTI2Xa{N1)t03S}Oj8e< zP)Q3Mw{Mf?1unT#+lgh&<>)`pkC;4m_5C^6&}uvg>=0oWR)X=9G-g-}#!Ma(`#K!H zJ@{NCZ>|IxbQ-~jE&uzfQ@QL$lHaIf6=bC>Mq}N~nKzd=EX5bE8~peR{4LH1RG;eB ztN0;d@&ZG|ax<1PHxH>0q9jJd#AP8_Wi)U)Cg~Zq-0Zh-iJ@W6&D<5m)$pqRRgm0! z%+QZPV2|uRwx-g|b&@whZuFSS$oRB$TaKS2mWerim8wHbc8r8y5z@iL{8`uWJD*RF zp?t6s_M)CEYmB~`(<`?q(w~tdVm(R+*S5q3Mi$*9@B%Lw0zoBWeGPdBEf?jey{p`g zf)Jx3&xn$j%-_3lg(+H>nosm-F=#feE{mO9t!fxuPFVC*7#qv?V>^|*FMr=Gc1Q`` zr0r4z_7=*btzly#WSFwOUb?FY@e1L%W_^p-gp4HDfG8I6OV#lWYDt}cVm%u*KAXdr z1h9kO2ZH(lN^Vqq_d3l_Vsu6ubW0pxHb&k$M;JyK5DoTQB?wDRs+4H^jb*Y{v-yc3 zfwho@EBInX=5}`Vz3`tmjXa#i9h zpa7M5{d+e)YaDadCp5leKb)7<`-oA%>V3pow>8-Phl`zT@WU%jMa(DE?l%ix;SH&g7V1ZMfl!|SNdM6Yd|sDk@yt5ufaq&XNkyD z*L~?b*P54mI}=6;*Ty!4!$#vCE430OuUdHAN_a8YY&?N2;xTPJVQ->04H#UPW?ITu z<+Xj6oc5vW@y7m@*d4PDeUC}d`v+U0Y2s@aTA{}Kh1Xsgui7g3Mum=x5?t@OU6;Ij zmrD=o^o?Y8mbV@i zSTRiCF^d8dX|XEws;ETwnLAqp&G`#358WG+Fv_1TR*@&}TwfbN-rjV#AWt}i`>}}b zw|UG8#G6C8Gn!^qMTZQoGi?&gp<~Z3gjCx11yl8EInD;pt6b8x56H(ybQ8Soi`!o_ zEKqQBSar=7EUe1%M29jO?vbQx`8$KmQD)KI;d@ncE}xfFayAi|MfWjvA&K`?tHQOT zAm3fO|9XePbRF;MqLEYQdN+8a0xH+JyHuC1U9vK0OTa95?Bi}=%MrLgD33Qk4A3_M zM)^?ZtCDlM;_R?ux&X;xW2A`% z+n1p~pa|FMgKpTS76M;JN_+$KL#^?kJ_nkaN%Q@#tSU(nW}ozMdF%Hl!yLi;ne}T~ z?b%?7BY4-;{t4y{E8m>7BbIlk}h1;b$iLv2{xdRsj z_647qY&m5idue^uTxg$)6!7gX;Bs7OV9ETv)ek#4yzA1=kt;eh9lfHJMA+?YOw%}= zzLan*q$q}PAXb3Gx^%3BPB1Z}o34?wImTztK=DtZvt}L+(|>Z7Qze8Xu8WBN)A3~m zbmmXasD{CV<$u}&9OiK*1Z{kCrsXOCUX~MhujkX{RDyZ=;_=ESz%%r|!fAYU+nkkY zuDXV4DwVJ!C+Ie5x)f^4K`d>$*Ec5QdvOCm=e`Yb1A0y9f==m}k!!*)Znj`%Yv`cy zRCC`d4?HNt0uC^-=$ncGJt+HVn*5Qao_$c#3AcBO)D1Mrz#P-(sa_)`aE0&7;qlJd z!C_lJ<-^0k%NgIJ<6l3UM^pqRTzod$fek+~bVJ4M$hED6B8n|1nj4cC$7aG=3r1_# z?N~A_E>N2J89I_S2Y>+bGz3IVE1QPRW!|YX8o}#%m66)zxHePZ+%;W|wv*tAI)(Oe z;(o(SO5*eG^P_0!%;R;gwZagz^;oXC@bZdbE_23xRzL^nkhjh6@u(@}MN~F#Jj1qOMxG>?H^3S|W?HSsaA_y*(7Djl!_3QJ6<7`H zE_>4l^iXCurR=ma%X?d7kM>CF_bEznSalO=x=q~c4fJ$mLT7w`w<)N-G}H?W({pM} zAcwuYVZvZ^W^>?0jv6`8rt@yzDF;{UTPiB;tkr+wK09t3WA~r6*^(2$lDbJEe&T>m z@ml#<^FgOP{?}~-=XJfwD~{m81B>z*e+id&_nP}+`-0V_5RCt!#CDd6r?we%j1-8w zSpT)ZJubA8E4puc@~8qh{cOsM=%2gM)A}bKZ*>Z=c$#H|nyN6%J-ZSJ@h75$oQwf5 z`lTkTnW4JT|9DSPx-Yx`G$ySunF0*vB|v0++%OlS(c9P=_K3-K9VVxCs1?G55ZPXX zC63GWH_V?+ZG@(n1>JaKa-BQ0#A}z^bUb(>SLq#yP#TA8kGOG}CQ@+dS5cB)K5vB@ zG?a2Sqdt6A`~T>3$;ICbn7!6)tC|@>x3fDY5FHnncYy8bpU%88EWG`PWUa7tO|@P3 z-$j}?dE&@_to_(^-2OB~xGTGE9CLE33F++vz^8k2g`Q&Pp!yEUvaTO`TiB8Ssx|N) zd!#SiymCw6rk|{0R0w96K&W8130OetMX2Y$;vPisPV@wwY#spB(? zes07xq+u!BTJ{_TuHyxut=lez2P(qNWmxyzq$WQF97A&vnAr=VAFdQPgKrJmZpMot zGoH&7)N8I+bB2D0N;-9BPEF1YH&-xvUk??$PmnTr%8{?Hn#o_H0pQn%8USh7oW1Me z;&oj3&q#V_OAt<_BZ|RrP?;AuBUledn0TaGr5DC%!vH+Hb}7@WRS}>&XB)Wk616K( z%jKLW79YUVqgR`kfbNuECUj?3ursNK<5h@KI7>lhZtrq96U-l5gf`z<0$2*1UcCCK z67EpgdY%tpFksIwKw@xm4b2hn`I)8~ZEv(g7 zxz0ZC7XU0|_xO_VUIUHu4gYmn>~5@{|9-DL;2&m(RsNVvuDby|X%=v^0%5Do{OBEZ zCEwa%jZ0wU0qX@ErgjNsm2Jx7wp;eKS>wN9%^*KpdTQ?%mwGgXV_Vh#__dJmY6rWHS!{Fb+fLbF@iQSt)Au{2;Fq{*TfDq$xW? z?#R;Cw;FKv|00IAJNp#X;7ZJ4<-l`OkSjSDuHPijUI%rlslf93yocZFy!t4YD7;GP-5m?hv<&IO>hz1Xa` zZ3h++ETThz=O!*Top}KPfR98dL;H{Sv5zU`!IH!7)gXd{87wn9lP@1Ie(Hpl1qmk3 zqj{n0@JtYf?)5*a({jAFS@C>xrVIrzP?Vx^v{oVgtAmE^wyl>^=nVJ;K#?bS3-#*T zG0SJ4AD>hXEy|r|xb@hsi($C{s`zB}#?kAdUh`KI=y2`4y>zMO{8z}4ie9x05cE)z z1j;YB%8%9RidwfEpNuR)oi8p5Ni=w9xOXaWj_ysaF8p8QD-1Oo7dY%v@X-M9gX$lz z5~;j4gf0E+M!-|vKJ%W!@Fn0 zD^-VKfJgo_Z?lECWpj3>_TAI%`4^HDxyCycQ~FmuM^B$;$icHaQP8f0EBctj-%yW})5!|KL0KZOUNMav82 zJ&JC(S9IBFGxUp8YepPs)bpwbp<=odu`9+EX~ z9JCO+S@&+{zhCj#5!A~h_TL8xCb{X}OfXAg~t) z_KRB~R(LK1m=R3y()_y4Xy!lvpY-%@*{}CAXP{Qkw*TKWlkb_UciHb6d;XEkL?L61 z^-L)D(>O4I|Jb;VuW*TX1Q1;Mw|pl^kLpvdl34I4cCd8K2s)h$4qe*@EN;say?`u> z`i12KdDM(Va@2eOrvR4ArMUm_Z((-X@~TRGS7d6w&*RxW)B^6-cBw7JK_R%b|C1fn zLToGgpOi!)>YqJ;xdFr`v-068K)QCuQs;Rjk*3pG0WgR1x@9fXP#e9w;RYZ9`j5+J z+zVa1QfXfgmapWqzu3!5uGD(2P|ttAFZ5yajNf}3Rjtv+!0zcS@U&e*+ad?;<53x| z_c?NSPP0Dqt-b(2Rm^Xb{J+edh3-9#;h>Hz^*{JJ1SoC>-}WLjleQ1weL8B`{p9M6 zgrJ)9NNX#)f5=&`bo%7|l2_F0&pM6Gkng)g&-a1~JnIeWn#|xNm|4X;>`dU`G-7gV zN;T$$9+i$CsQ&ZMJEw9x1rn7FAX5TNWVBZ9TjkJsxw|nOU>;|bjhKgTxPgQL`8fG= z!2ohh)WY)CtHr4s&3HAz#La=eYW{oVqpBY*@0DW!rO+y$-fDPmvk)^-{4gfh&Ws8& z_bR79aAHxLqoY@b^j>3vYngANZmDkFQX^ZPkKZot4i6AFgz^YFjEtpf|7pL{TikU> zbK5HOEeB;^wwI=am&?6iS0&-6$eV4U%evNw?3t!M15f47j#bXSo{9MH9NT%FzszFq~|2c!tajE*utAtT_gQ@BND3Ei(3yq<3yPA;1c06lsNbhS~%!U=74+4;i_Q&0ZQiRUF>Z(B3jTSh}r4jYNRM1p|^De|&<5>^g*(y$pl? zr|`z{d9$JCafY0cS>q^?KQidfw#iLS?`tcet*4?H+CO!e%0Dw~YY$sKLmF1{ha%V5 z9Pt2N)1o$gpm)n)z-4}%GcSVW2jjK-$jMJegN~rc?CJ}A_o%_d=BZ)JLb|i~I8tnS zY$@PYnZ?7RX??}~l7GM@0q>EznMdCl-X&6R*9cPh7j4G=2yRN+9`ll1$3|wX{Zrgw zN@J4s!EM^{`C8IHwIwae_!?bR%utrc{9-O% zX0=2e=~Iw>_tITMS_9<2yrQW6ce!R*jloc1qRdYMg08CC^pN4b@AqSr-B6cP^|S4GYm9+F}R z!7rKpaP9KIw%gRmV`18E@LID3NH_GVX_|C0QlMei(dP$yxy%dEb}pD`bt$1iAud31-q(NMpHmG-qjFvjMJpI^? zI)XIOe4;Hh#icz^hS7BXm778Ie$ebeI&|iF&K}2ZQfQm7T?4WGvF2$7s0p=RJ(jZh z>${GHIQ>`jAlH7H!c&3Fc#t0DTTa-%FF3LQ%2V;?q_5gA4`(|x>UJzw_s<>>PJr;l zUSA6+4kf&24lF_D>fG?q{g&SKw5ngvi*YC}+Qj{;J&D=X*&vzOwNBo%Pe7VO5T_{| z@F=gcq4U@@Aomj@zE(bx;z<^+UCz`$vdpOIfOPa3V}1H6i79%|DW+1?0uQY!XKr}% ziB3(OYO_UFDLVI+QP|w~W)nzYX_%5q+jAK5y^h=J|J;b-w{|9*o?6(vmhYH%=1nP( zP+7N}U0m$dGfbU*5`u`alLb{cmg-^_5#v;~VkPidrR|9RdbA*ACTUOBBn zO(3j3R&eSbAM0-uS__WJIz2#@>pF&dG!5txzoZsGU~JE39#ffoj5s897ordfus&#Q z1&*LPutMu~?-r*#7VBx|<~CnjDD3Mw=8V)H(eb4Xm7VWyCp*?VKZXyR%s}nMRKm|H z-J=mp|GDpUj$Zxz$Lrb|PN5Zm@nyacALg;1StOu9Tk#nvfS9TzuV^%dzq4V5ULaI-S^oHTP1>~3_3B1bjvI)^kpOb@7YuWd zsE8pD0DsL%Kq2YP3Rh5SbRmdbyYgJT{YinD(^meT*fp8EuxpfZU%$5S1% zn=VtEE7hAYCK&^^S5S*rn$`@jbUG|J}4 zyFaR1E^3ukH7?5ylpI6=vhd_qX)?OCTz{8Z4@A6w5yEOaV8kiT^GRKU;Xj6pdC2rq zJonrmP0WP?a2WYg%)V^DhzVTuHJ5I9@~ixLGBhLNTlf8pztf}%sLU?j5l&A_;`It& z0IUw#nB3#q=Q9>`?%cMu_y36c?m())|NlxtvO{*16s~oRGP9Kx${s0uZ*h$xdsVVm z5t5y2uMpBTviHuuuFPxuo!9mLe1HFl+x5EVbLjf(63RqGnn5t8%R zuM$#m^w)<0$b?-BM>S@bex@wkem^K&0tqURF5**&(GbkxQNQ}5AIL+j`q&h*!zAsK z5BRM>zK6)qdWs)lsJ6st$9}Z+=`)L<=b$AV=e$O#pf(QY@!WEPN+CHX zC_3^Kesvs>nvk6eNQTDkS%YUH9g(X^ewueRNI)o(<<{(+>ldW>MtV_!WkKkBp?Mu5(g?V zqhs_=@BiVj80C6^6grpEZ)tQ~%JUnLw-4J5R@38aPnHb6{^~tk=BOA*8cNc$Rt7?K zUz}#pGthD)>f{p&c0JRqt6P}M_lv}FJlJyVfc!B42Z}n!4FUl)fc!w4M^yS4nK3gu z@cr>Q4PGZH+Kzl)uCCrrxaC=70sgXPma$oM42I*>DmrG!KkWthiuHG}7C6aZb$$p0 zKd3Sy^f$JrYfZw2>gybYeS#qt0DPvPCEc%@{=-;<3)SKh%-5QfS?aTGIVNG+yxb+}WXPA})Rf2;(t- zvHy4eOXqvHmcAbGt(??9A3qhKLvUjB)5<~ts7*TvO3AH(TppTsQs$i~a{ckKd3}1Q zdGlob5j=_ezP27f1R&TGGx+e@DZYQ%0Lz(D=<@Nogm=J;ISy*9TU0kuD+IWnw+@~ z=p?4YX*RtY&p1VYA`qM}-=9j~A4b|PpAn-%G}qe!RRlP?u#WwAZ6#E zXLmq#b!~0S4Ch1iTE$){QpCl&dWf z#f|D5x#czRXCPz{o`paN_r2hhWW6485fbrZ;xckw^w-oId{|l_E(;`H^|aAH5``wB zrJq5*0o4XrAra~)YxTRGKrH9M#TF3fVrX@hyw)nP_3A)n!BwKdF}#p_y@$0^-Xl+e zD61Z!@^|_4D7H&*p#Ipfl#Ri#DD5$-Zoq-i1>$sR{T%o~Jefnli z@9xvnl6h{wNJt(in%LfE@eW?MHkIH9drot^8(UesrA_sqTW`Z-P=c-qS~POVm3OOo zUAgEa6^CvQK}fl$`!uk3SBli{5Ci21XW|vQ{_6MamnbY7imp{H&)ww}?JHoc-{Ae{ zGS-VoOdUF!nle^P;d_|fc&5ee^?7%fp>v`OI>k7v;e!it$0X|CFT~M6^-3qR{)SUr ze?w&{{#Q3h!T>6WFmJi%m?h)VOT(DP5rYR{<*|U^j4gH6saWb;(Z2wF<&WTif6GbZ zpQIs)`Ah?QP$6(d7kg}*{^cU)NjBD33sTv^<6#~rltUoVCNWl z^Xx+cf-A(k^Ik!0JVzR^Hy`jCcs+dWlBUC}`-x4_aeG*={Nj1D1rliaKx4_+1#kn> z7hCvngfrr=)0@%XKj!B zP!wCX4ue;k90bdoc=n`kCROuJP8hyQ+a2PkH9ifWK9TXgTs?i9OU=FNi(Zsk-LjKd zxAh+Y_6~5OfuW!nd2a%aO;W8V-q4QBLv0HISOfE)9wS>zk8gZ#>k0(gD-9UyjH(q{ zpll{gnxo)Y^t~3bqm9GT2ik{Z$G;f4s>uwkqT{+h5U8lFOxMKUaruEHio}PV2sH&w5=uJGxwMnWzVUSt`bQI?=?vjcB zG;mNK?MQ6}2*@3BBN~5+MTmtYbn12D)aN9cJ5IaI_3n=|jkfe(Uap6qS;(!`Wm`qw z^dFOin)N{-*+%4;X`Ng2ziz5&t4)hSyp58YV%UGGs%{GKX?Ff~?-4uk8l?|>khL5` zyeX!a{zIBo_9rCJLkiKz-tC!j9D8rR%VD|$ZC%eaEQHVx0sa-a;R3R?ctoSx@~#V# zD4os4O?~8t&8{0)9Bkls)A=Dxs_(z3o^jyS1T6`)9oo!Y=7|AN%Eu}eO)Vii8>Nzx zaQO0sU{65d9l{f6hHK0}pzMA>U#F-QG%gNu?xW1z$WPm)T-+CO5I>5+d+;D1eNE+P zE@u&IH(mPeLBzQh4nC-B4Fw)ruNg)_BLX;1UwLw^Y@#AY4BQLl%Xjs@Uw-vAIJi4v zj5m#oOQ;MNaHy?a+}ASAT7#Pa6c$r%#iQ|0fl*IqgkG`#p;?>-%XSHnqrv4eK%w!XV{D|H&{94zLjvgxA&SkF)jnV`a- z=>->q^j|w7vmT5>-(2yw;=XXZV(Myws^HMEveV~TJVqJ_!)N24!GSXnVH`DQNuF7r z{?<~f{c4^IxIZ0`gs6<_!Z5}ICVvqlf}-vdvVGCgjnqjECa!fy!^*5~qhSLd+{6bE8R3Np%|if!I+3KaT)mQ4d~iSl1`y=X(Pwracj3dn%S0yM z-K^*;hrRw}2Jh<%`bfr0M8%kcTN0C)%|A-td0X85zNftIf@J)Umy$G@o2BHi$NS#C z-px8#_Z1?;)J~zUwyBeKkvjbyY!>WO&@8Yd4dp`(deq1W*k4^0L7RNfEUw@Fwg$6I zg>*e0IV<0@JM+N@asJ+K9MIQ>qta#sT=l09%HrB={Fmz!Ry$3r9MHg6?f067wUHdL z)Vql~jGQfAX(Ms&7!cG_U|wctDk3-(RzIX_|CiZ}?bq{bgEqZ3?(}55mFjjF zKWN^)nzZ^p2OH9+AwM&QPLsPcJ^?PHE zpKparY@zk(OLKn?x`aj$dKkmFUFTjDIzB_0^04vIqQW>5c)k&@BL6B~pX8?n4`#~m zT|mDk7>?T(n>p)u`Ag9EFil%G*`P%KXg?njiG@^ZY_V$tb=u)c~xE$ zGa|5fm9;37B4PUaun+!1S}z?}Zk|=Ayx<~R@`LZRHMUng8}DmPMpcKl8Oo14kx#%R z$Azxk``H&j|ExqY{+1+w4 z2RGkx_Wb+_y{^8~nblL1_N6H! z-CcL5fZ80zq2Q*}QbNhDlAQY*FT$llX5a^0p4n`!6flFl8{H1k{J-l4FGjH?f$h;pu!>{`( zN;v|13Q3i3@x-?o!t57kX}@NhTegJ0BEW_ znc1qRzgE&aU8{1v>IG}W@nhmzXc$~6!1mHGYEux~AlCLco`OA~cP&TK=l+n$S`(dd zl6!0@4}KT_i0!SCcg)W=0x48tTaS(P4jlz7ebcm?y!m4flgtP#qI9#VhrW~ww@%YA zOLCdp5)-wz-zquou*w~e3%n(_sIg`0|BxeyW%1@QdoCgs*Ybne6I%&O$(NK_f4ic~ zz$({364A-dJ9|NA>p1M0+jC1O+9>+AeTclPCPcVj@Wi(ma)+QM%_F~0SNEW9w1S_i zb=5{zEvFY&x^jX@&@12iou2Yd`afv9ySVDGz(|YYADkp<{oZqfY|B?KDWaBtc(laY zcH+*{8{gGK-pn_u=5SBmNp^jREbi-co{tRB>l_k-1fer03-` zY&A1MqE401C&wGE&D?7T;j-%BHDz#p{eIQ86;U|%8#6nSLx2J8cik^|G3JI_SF@V7TrD6|teLF1Vp%tH?fN#*kQ zugvj4XIzdnU6MwY*c)^P6FIx9&#@)fwq3%j)x!?BP~#FquB=6JIp?MR;lD$N8~s~h z|61RPFf*c$(=x2iw)0rC`PXsFqId=6~1va6f4*l5Qaurb-G4c zYwS+Eq<1Y9WL`64BW$bbLCO7q%A4+(g`m#tpQcLD) zIgWstv>+>|>&EWCmy)B^)H1eG9J<@a9a~j%X626#UJ5}q7u1rprQhOc)v-i zunBim|A5Qgxav0RBu*x{-M(;C{|BS?lTQpRxPGGls$W^jB)5^}G*#{Ld53|yUl5l3 zP$Rqe71wfJSOtpbd-O#%dAMaRg0VbYP>0+epgJlC@8-K>4Xm}~dvKy8w#_>!7ItVa{%uKl?< zi0*qN)uHc5v|Gwm-?1q3dad)Nkt9VBcFMo~f+8Yk zWv*+ejn?RFbxDj^xV)tOFfgl1zl>pUGI8yPnxPz=_bi%}vt!m^>wZ#7Y3k14=#7yB zJ?@YUA>HhrP^;O`w7yqSc}Db}rP=G=a~0;;I$0P;ssMR8`7w8VOAi~pRD{)L>$5-A zJU(tqkJCu{PcT31QJ7%wi3&TZ;($(0sg3dlGr7>BQ%VeY`X#9d!s7zA0h2Nr`B3$` z%WQA6#R?Ub{#5reQ#T1OYiVkU92cyZ&#_%{rC_yRXwZ_q8aY4D^0R@I?N9r%3JIf-t5H)L$ z!NK=Qf&Oh*PgLjB?}UarLd;9vR}*A)x7&<)-#LmCc8NB#6t}2mS@#dWe^%nEGn3Qn z6Zl9glT@u+A&WCJffQE;+Ae;>FifS2C93eay~&S$aNWm-@1W+WPGytv&+o*S1l2te z*`pCzMaetF7CkWZM?EAGFXxr(D!GaG&iMPpxh_@@KxDE10#xrH_6pC zJ55rvlyA(?G!yA|P%aPl2{6z}>wtNm6j{UESD=D6D$6P7pTxV~3ak#bXjWP2J+{2c zB@vzzEqgKSp2qF@^p_<^RB0X;Cg9?y%MUp9b@wO+J_-zvMpcDZ!1uLo{i`|%sw!%R z@Kl7AsWR5GwNE8P-}S%p3-g^deR?=L&v5G!RGbXulpPQD&iuJDjIh_EOD_|9zEaNT zqiNS|{>16wzcnd9T%)FN@zK_w;6}I6W~ju3$FGcijNCM^7%fumbk)^&`%w1KS-s*R zgj?d*$F9dDKl_xuJH86fo+sTQ3H>bj`GC&)i2Q>!Gla&9DqKS z-Ubd>vvgVH{_I31KW*=aUD_8aaC5Hf!a1X)v3wLisTiKKg<4K7)(XSy);R*iC;Ly! z@d(Ca&1pZe-*YV&oMY2>dF$EpYDL3IeUaV=l0NORy1Lm!iPlK; ztEx1sqW&4a+2cUj4S8+Wsv~RUMuel!4H4d-`G#CCyEx5wE(x1dX}1aFoD@o9*{AIW z`C$i(@<`Q+pU(v6Y^-ClLu(_gXL&FAaksKxx|0~JWq~oeZys;|C(S-36?{cU9I>qL zFo%wGA*LT9ovf92l1Ur5141Q6T*!yKXJ=f=3zFd$zJ-}dVb915?vSBl);Rm%Bz>s! zz9Le!@iFX2!^{+WS+4JdZ*F%wN3~~Ib}MalkL@q%MpbUujde-h_%`S}n?6DczgJcn z%>f3r)iE*uu%6WSDQDrsgc(%|`(9Ibs77w_BNPI8<=9!zyP7-Wn}UEz-K7J3(4=vP z6`A;kY5i|NjBhFk0{~XnQ^w7hO~Q|#=@#Q(^^Bz~d*3Kf*3;Ud2d}=EJRK}%ljS7B zS!OQ8UATHB_;Bu06JFm56Dzs`V^2l|*vHB`O?(5@6F}yGE-z9-8P( zyRi46HZ_>O*)(uf&(U6Qm5-gIHmZsIZO8?liP|Gjj`qH4U}5oaNrHYt0GFKpYhwc) z^~&7qjz%e=`BtO0t$oV9=$edY!GS({~_j)_SR zna#?Wvtm9ECI692WtKawq2vEoQx?fO(}nX+18O+VpHVz|r56oHT&03}f2WJr=uL6w zrx>5F4y=_iUkN|yo8W}hw@mgp>J$|-004(ar>|fP;6G&BiralzS3Zj- z<9ac1iepoNgs~UGG+R|;e42bhfjwgWr{Ysnl7MlfCR6JEui9&$y7=sp@I~r_#hhTy zAiirX7kMgX8YavyENYuvA(vX+ctPB-ZGORrB{h^rb<_Mpg^|Qt#@CZGOPR5F~1t$xecR50wcX0r9%lkt*y(Mx(~>Ou>t zD$5b)VBUKiJeUqcZkW$=u8PhNbnH$?*Pw0C)prT!z5giQ@6T3ao8a#$&DZL={mauP zm3`lb8{4Kc79y5;B(8ggzAZ*8Q1+?SP`t&RLnefWu)Tio^LVIaQ__I44*YyE+) z0z2wNAUwf>O@E@BH|y0s4rl$XC=-Rvr9la?T98tE&5D1v`HY8hOHhAWx|H8lt0I`9 ze#B-UaVU~ z%}gHoC4j4JfLfYL$M2pql#Z-Gi!e!x@qHv#hX?R9?m1}F5Twi-o#VGC&$(AFPJy;> z^9@`EC8dXR{+86jlg20VKKs|y!cLu?^^6cXsz?J!!hIpqFm_%azyXr1v=J-d9LAoHgq$Igvn=vG4lN0&j@O&SRzyMOnDJ=c>6YVOE%W+OOl7Q3oTf}!M0pnQO;QIlJJA*gAk6n@+*1y#S+zN=D)98&M(%4?^a&~!bu zjAfR6XI`D@vn*Nsh_U>D)P>{WgUr2t=ry6`cSTouC0IDb<~AR2 zLPQDN{RT_Kz>_EQkGA94WCJa z`@5iS6NlwzyEk5>l6ISS7s*GoK{*1FFlX9?Q{UUCiwImBhcP7P6$>Q##fZf}Cfj)v z$Hxy8;VHv&yF#)@MHoJB@$oG2oDIV2v}vEm+^n83I_1jDHfn6^B7KxK?Dtd|9@p+D zP5Ge;u={674UCvM8{r;rneHT<4%47z*+U8%T(Vfi+fle=iFgf(9LeO8JaS*mpWnGc zvvg*$vSY%3wj{8A%@YJ;el~>;7Ja%LMV~urV&o`Wm~#g@g0LU0Z|>tU>yZ9wh=Irw zMVE@t^+&(4 z-}9v!O7G~IF9~V~zghG$d3{=b63&pTH#e8=AJIQ189Fnht^3+XU&gQs;RK@ss}{RFho2o`#Tre(IJ1%2{$! zRii**ZB>cnjaiC7enfB_{qsFDDBa|gSq{s-=qDSzoa0mgwX@TmjgN}LWu&Okrwir` zq4eZxbIuR%n&jhHhQeM~1E^MtblZ1B9z{gh$^U9P2Pp;V!3Ow&4%l)Yt0sqv$X17^ z`_isItpPZ$9RnN3S#4mgH)kyPw?EVPN+$mJZ(6PM0O4P+%zdw}9L#+1E}RBJhWS>7 zG>7;{1zsb~%HU+~0Tu1{4oew!h`Upw|&zO<28ai2@Ptx5Aev!zS` z>R^!c2Cq68;!1;PdG!M{^S}7`+RdJ51!jG6!CX;XQI0dU_NbKqEZ_>AdW8r{^am-! zz*_*au6c9XNUn#)I9W`jZ_u;lWyAhSP-9hL?IWlslo=H#_>X?QuEK5EGV&1~2(x&0 zz8+I+i?a_(GRbkYS-C*{j;hwzpOh>?e*H~g#rz@^QY?A{mif_$9FARIbxU=qOqL>@ z`k60RIu8m21u=S@K1L(%m}JC^#^Mm+T2)S7czhZm+nk?Di-vTW<#!--2{QpTE}Y}GjxZ?=5)zmxUZKgTlg#_zOdTQQ~sK|dPhdCOAh z9~4&H;(G;^N7laHZ^Z*Wxp_;9E@MLqbE2k^!;A_bgAFq`d#R+jwRx#*xAiJjH1s`({!9u%;3jVq7j;g-$R^q%~~Z_=u&47|J7zuF1%sy#eRuC4;oIjq2y z?rKc`f(VjV3Hcl?D0u(sn#rmo5#s`=I>Ig>YfY-Sm)uJMZfk`7SgOG*b6I(a{G3q< z@)Z=>^&YL#3o!&ArC6Y90(Q6~ak%7yx>T)eU3c(*nq5Envxl>+?HStdtY+8ppIcWf z$NIq@ofkgY2_caW+dUR9K;ax!u{-vAlsc_aoF3wK;x5WQUJ_ZCc@MY-*%OcDpLwVFwVFjHU&yFAIMXG0-%4N3%#1=gdek(YH-abm~ zy#z$eCK&FHyb8Lj#sIx>8lMR4ipIBGoQw@mM?o zA?b0@yP7VIV!8`g!a*j%1m~b^{Ab322mxA9isCJ}PVki@92zz?+;It4j4AT2jN@Smkxd6(U#wJ9 zrz0(4%?Dkt`u*<5c6&;OBLsAmv&7g2C8k|G4Z{(^=HFO-nJ9I7Ljk7Dh2)Q0nbiJyzN3Hac00{N6gz)|3Z0ukD6L@{GkuCUr?sji>tZ5w|*aC0<*KD zL>492^qec$Edj_7P79><)Z^Uh>=M#zl)m>>B2#S>;^fO$8#Xik~|3@f21wZHaay&V(H z>fS@FKU1J3(?dVeR|aI-Nw{l|#^Vi2<@t>P{-~+!_}CRb!+rM~I>rM}2=@L~T#0g% zPNSryNQ@v{ygyv3g}NfJVg-_mf|g=VUuQ-%vZ@=VGzna-#QcTC9Nj01M;pVM?Ozii zC#p2n%-3t+rvje#=hEA*Oe3I^I15taA&y%iH%r?O&0< zxQubx>R#CZfa7y@|5ubDx-i`CTabDh!t*U9qht%fYJd-hGCresN0gRmeqH`9|7(`R zUZC9xga9R=i0PsiXp7B;KXeXCz->F0<6HcCp9@ua-i@Xaz%5)lsJ zDjTwfg=gKF#>iodxjS02I5#sp&0ltcnn~9`5~wOTYy&>pG%tOoLzy_@Uw_V(GSj-9 zhju=E!~CFg(p;AyWC|{`eFO@<2aIkAIRd*{G#*wV475~`mCnN|&tfEAQMNGw2j zCIN&}_ZsZ!}&RD9POh0bDT1t6OaL?dq4~#csCHwz=6lIev~;Kfv@p)G&tj##bZ5QzE6X1t2U`w>Q4W?BIQnp)a#n& z72jirkm56>xMMz1k67n4UW1+F)iChbJ!htV<{HvWd5d;8YS>L^BjqB7yV@JR-0O8O z(ubNXxPisrWhZF86?!Q|U%@YHOKc-0;?$LQZDrDV)=0qT22WiC;3OcN_FssF^?x_8 zJ9C>g`ezieD@t)&D8*s0%Mhxr<;bj<&E1z$WG0_7TLD5=22>`z^KMa>Li3TIuQJ3( z!CvgCb{w*#y(?ErF4#5d4}+XgL9vt16VD`mnyVZcXgbUKdFI?8Btu$ig+pi&dwQh zn|9G6Owv+=nWl@?tYD%7}d$*b=5;n(b zac9jDGt&0jDYnZaeH>@5l5%{~H(zPr3z3oQH;Z>OaK=KF$iu@E6L6*&s8 z_9Wn>PQo40URUDKsE|#p-YvcR#HhQ6S2EXs>infSNlYZ~&E1F5Iy>(Eio z7p2LRSDXx4UBg8e%w8I83sbXxWBybQ>>r5I73YjkcZL`b@{7Z|IR2Kjzg6PAazZAj z$v>fg>5)8QJWq*paXe!>hd4h!J6jo3m;)KQq50Vhh^1fG{Fg%0+`l)vzCB+p?R9xS z?g@V*Q&vU3Z!B_UlgWoNbC~R#$q!oW2g0-Q%OhCxe)&?cQ=uSu&OH(s#rx@v=7<|@ z(Sw&z?80FEOoAuXcRz$%=ZM_}AqO`aexg^RxG?G1A4Pe-(>AYoF^rE=v^lwjK3;6|@yCzv+aAw1-0{mJUj;TTtTerwC|sNm6ewJ5 zbOPk#!(s8~!8+g7SD`dd_X-!gR10U*O|$OCs1(!$q=>hCzV*b-Qs4M>d9GKXSU4uK zn#8upDwb<#aFU(#kXo5|ZBbJH#su9#X6^8mTS7lU^95A9vsXrv^KQ~eBNOaPR=^AE zCPsfG-?{;wB5{ky=^a9N7Yl4c3mU49wYB5X7~Q^&^e7 zba_8LAKmuxCW_Led&O$9aEo?f_SEa0c?z0WVw}4ub1bYDBH0%MG z{i)?8sxNOziOBK-iZH+kG6G$`kE>D>PinJEruin)o17Q!u5X05e0yM>lgihVS{@-TD`+4$t3oEqn)~fe+}$(~oh)|+iTt89Po zy0dOs?`_FCl`22AHt5c00N}y-QEru`ZY6<3j?!ac1!-c)L=!bLi`Vej97@#@5zy&f z-eyaloIVlOqcAIe0M>xNkih!4BrXHXN)Yu7ky1^v6#0Y3*xOgc#8Cm#SA!Y7rn0Uh zF~JtM_F~COaqW<{^i4rZj>ew<% zN$2FG27rL?e`EF*zJgWVrfRa4^)*Nl*SWmd6;tv&hDDT2brxz5@<_Bhv&EDYBdp*9 zSYgq&l3%wklFw#F=klYq!(S6)#iBG-dp-_CnzFsK?a!zC{f@3{%38-pH+*96;r#T~ zfJrcjI5+hz4i$kDl0_Ua?P)b^5_ANVgNHJRd`$hg6@VomkSwbv4ZOu#<0<{Xve`;LrZlKg(`G0`3zYW3u`NA zI;evodf%zTiv?wr2i>fA|Mr91SnOhXr(R}+D)<59W3Y#z@jQNHmGT4&{ml*$!oH_Q z#8=vlkF1IH4r(}v_Bx==tW>^#v3}Ot#qJ^VqUaAKi zOodH<0ka`EEMYn*Fv4B25aCyh>jS{OmuKhCX;t4j-QbT>;aj3fKPK)yW9ezUMs-mN z#WG__5tRW3j7EE0jUA|cC;71p+VIsm^oMNAJP|;Kq5s^!=3o8H`#mCoC#$H_(4l;w zURjP0&sO%bAJ4K}$LHx=gRzW4**13-zaFO<+-{1x4&DMyn1000S7Tm>Pvh z4iH=1FTV|mUN5YVZZA0sC$!49b+lr(UO_#ZMvDWV-Yd2T%O_3-BSCnqEe{ggm9ON!BC* zINRs=6Y!?r3f>8jcN#U#o{3*SSu42Y|4V{+78<64N}O@c4QCx)d%ri%!(Ch@2e#3O ztHe&qpgwxNVU_c?;4pj9B_ivyavh?N(biadg^4&GFXl-i?sE$0FEYp*5zeCsG>K3P zP?AZE0;4FkJ||A!J;*?1+7UV*v)-@0-d|S5A%#xkE3=95{$8Z7rg>uWt6*`>AxD-7%#{V^9+tB@Q@p9x@Ah80v+9uG z?OBE!v0dP!^&}<`KyQc}=y?9HFWc}DO|Altu6)+U_1@+W7%`!;$B@38 z2`GGWRSy8bpmDM33t9-OZ6&MXZQt)maTXE7+>%2db7R_i<{ZF37M@IvYLi{*ZqF&) zMYiY3s$xax8<;rc`g(Leab1^+sNDo)xCczjTJs7HtM=4wDN}bLy)Ih*=-+KQq5G#X z==)QxC#cF`|CM>;(Yyn`HZ(c><)`~6jL?Z03DNhUCSWV8Rq)+k9=yc%lOZ9~5L6^E zQD!;#%Fb+LidvE92?`9{fpJfcdxtzj-ixurj+!XbB0M3$l6^IAFmC9-F<0}MYF*}N zGbFH?D93SZ_e(n*`qZiFki-Y;dR|c}&u6soFKB)K~Rf; zovRr15xC=-?^WAenM}svzY;Tu?wqud)LjD#hP-3_fcCadN3zZs_22Z(kX{PW62%RB z1%t(zwnfEdyF^JXqIeoL@i{!EtiA@FPZ>9$!2ki$u^`XW&#Z4G_IV6#Kp6vrnKXuB zp_8c|H+Y0X!*C2d!n=CX47I8qK2P=iIMrZqyzb;lEO9|4>y$AO(q@NKg@ zUClV$9`BKoQIk9=7;*UW0zxOWy_xxzM>BBIeSDzu;Kmn`%Sm^oW6oYN+RC2uI2EbV zeYA0q>SYT}Yq$Ap(Hl|tLJ$gzwzfB5&ZUy}$mj(gFA4)6<8Qo0Y)X^1QAQ4dN4Dhk zsq06P9n~UkT9BLsP@SjqwLQAP)->;P&nMriE8@8d9K~_H;(;xvNmTjgq#-=p7UUzH z0+QIQ;OF*2nDcWB4;eD=(b6#bi zk?IY%G%s=}=qHK`*^6^mntZFutGHk~bri_~Q=~A`uYP*Z=t&3|%m!3uv;w|KT#cmz zHQM9zwB@yT3rE)TGoA`2dtQ%76c`}32eDRzR8Hj|R)~4#y7sTl?46iu`-U9Hw9RNnRE+2c9 zBi}b%tXls*WHRu*P^mq@qUY<#8lgj=!a^@Sm%cc4wyK4)1d9vWg+Ruf?HBXosIax~ zp``h%->r73fD<-M)*7#;gwr?iSwsf^6!1iVRsgI^wEXl< z_gM5nQOSU+cZbG}{^own9lpr_;`nES5`4s3Qr5!*G>478%@{A%%^iN*s#*TKcm zvggq=^;UF}gq1q@-p<1PSJJYrX>g^y2{8}=K*=H5Bv_Ai)K0zH8@h%D>H~31ap-** z^Tetsm|%xg%(ehSzOkY$%IKWKE3;_{T6MVOyiT_Ooxomqizt!S3si3@v)hEd2)p@nfiEC2l}nRy3zKgBmM1 zoe^Hn(Ws1j&w=4&S$^Y_bLSOyysYTIT4bLfM^-FyEa1H5E8>fZDzhs1NbOd_@QcC2)|Y&q5@`%5+zr$rS7Wbhob#5F@b1 zEOj$#XA}-x;ZhZTyF9_x<%9hT`+vFzc`UFU~5U72*e*W zHlggF6dd;B`Xrw^4AP!~9A<11zz_R+5XI7exw!~tUP_|C8z9RvGbwI;EC zhd?;a=gR6qCDL>|HLA*xp4Q?auSlWKRyU-q46=mQuhCfA`@2G5boj|W4B0FuB$s&r*uO^Yc_{@XT=xXF84mQPXS zp+@Sx_n96*#l7TQ{x-0YrO5edMFR?Q0`mW~UP;iwhE4m?OOTO3@b5SW*>>;7*LC}h zjM|3)y?`-d=ZwG2viwiR0qY#%52>yq12YFEA357Oa}j!hA(tmuBKfQ=)^F|vcr~*Uj@nQB5Y;!liIztl*&#>HaC9G}FEt_i8$c;wKgY)wgQuVLK!lCzmJ?E~E5c9L|+2L9dEv^;J(dGEIXbdfW4`qIrfp5EZDj$QJ^?HV^8P2l1`StsvGc_3V0z&}uM_-Aq?0wOGI?`aa zV=4cU-4zan_iKfinP`Dqeege{oe_0 zJkk8H@d+?79dC~@U8=dmN?A&fZv*-juBy!6VWux7z!-^An57`dfgrk;s6Fg#v`Btl zsRWIq@w8WB=7}Rl<|~FX7i9iemu&?2h0o8Wce?M@oqgD&_pzuY(1oxj;`RC=P0go} zK~KhP=j-Pz`_Z3Y8?QHPrnM$x!O))!XsZlUZ!s4)Bh{VD@NkNm1;+U8c8o=PL36j% zm3+zx#iWn-NzL(^sT-r6_UucpvzG}M>4RD#^WEUkS-D3nEfo<6srEF|~hEzVB(Y+z8r5BB-3UsR6Z zS&4WlzzPkG0acW0sxM&Ln7gyDbDYcRlpkG7vilN(f^7Oo7dsvIp10lP*4PV>-b?gg z&el)P$#$CY%^^R8C#Vax5uNEBd9Eje;peDtaoR!e_RHkjn`9D{No#Ts!Di)Bw~qaZ zmiSprvfbe+ANO*gDyK(%XYxK|bLc2D)Ev`OuRBJuLy)oC9lYi4v|#t;>|ejeRSTZ; z{a*>7_4)8`P|9*O5ws9upz(RAI)9W2S{jtK=Wkp*e5pyNrGhO-a$ zbuPA{+*KfTPDfd+k#SCt!Eb^xFU5x&R_%6=W@a;D5WGdA=!n-a#IzE-#T6ha+EIIAK&Uay28f00_-mLheRN>~!jOYpp@ z8`2_m_3xYd;Jf#r1JVHWAN~I3Xwn_&UDh(d(_r`d{EcGXdxG2}Ew)pPMIad=++k5s z7v+#T%P`oh`{8`*tEigB-mI^Tmm3{D`Bna&nRZ~z1Znga@4jjiR;D!ZiW@7-KE*AB zV}5#%UA){aL%n&7R8%FUF-VZVOVxTJ8*kAv8nJjwCGGa>) zG4|g4q~Y(yT3@NsH6|!>)h^SX4N}JM9)qiKBG+UQ~59*q1$qbzo z6FDzW?`!=J0}MTT=#?u`%rf?i53*9t}U2-lt=dv(da_R6~UUcdKqeSZJk;_`Uk_jBIoyw2;q z&Uvu5N%)O#h(KvH@;O66>NRqGX=9;wflgAo!+wpfkBhB_SS7pg9TxDuzW<%c1}&SWD=8P|gM&tODv1O9ql;iTTS0 zKr@%W%-34oyAt}oP96|}%!tCtq2VqeHFJu>%}x98WKFNaMJYSPPk4r+``dY+nUYBh zr+Sb0*}3FW3hz?WQ1BlgqBZw<@|5IG?8y1ZjEJdi?pKVOXthZsbNWy5S*g=QM$AEf zcdiNC$9wvIg8l8af3_3#IS3JkYti~Y`ODl_kL`h|8rT$ zPGk9C37GS%^!>#qv2mSoNQvrqL6-81RgOFN{^_(r1+BMc?S($yE$>z=)9);r@Zj3g zv{&3KOp37%j#L+FOxU9tnLK=7yYAD_UuIQ93T^LJPvazJ1?2BJKtk98Ci!i@u^iJ8 zL>Lst@=NiryU208qKbVA3MV)u>bw)q(sYB+&iSG_i$GHHj&6Vd%b;&}aHvjZjBV|# z3;q)O_7dP9DyJ0$SuG2?0Aq;qD(pp}Alm(VWlM%P)KwrqM-7 zCB=+kX|)~VZaEQ66v6$g^;IemMFyR4^r-(c!V%&KUdslCys_Z%tR4OO!@ndD8mcl` z3>S4tW1bNOq&yE#W@>zQea!!ZqWU0aD`Q~E@le= zumeX2_j$;eD!VOy>?i^)27y>eKxGZ^o=cGa8P1>`T{f!`j%9pyUcdB!4r|u4>iw|* zAEcPh5wma2G7Kv-Kx(zNdK==qZE4S)89B-O`_E-Q+=SxQNrtJ8*VXb(BMTJ`IK)&@ zq7^fX@vUd0M-3-&axs}OmEA+ZWAqNtE$Vvk>`C~!tbBXi+_OC}v^gw1yx&!RhjVq! zy`^Kc|Em)%(Wn4~AyQS{Vpd5vsvQjjEI_GQNPraRCI)t1YS zp2O#%S{Df`cWmXOJ9fOXQyMJi)I=l>ZAXE`Vr28UZ3D5*H~F_9yaSgMKwPnY2Tt(3 z3iRh(L?ufZ>yg{||q`?y$m@c8Yw(S<4 z<1-pe+MP%*yl#6atCJPno&svySvK!lb>Ip?1S%~t8hde*oKbB{8DOjn`Kb5$FNuDE z$!%l%6jXI!+kN?)w1X)l}{y13ibbrf~eDorzoA{WxnA?lsr(&I~IAw z0&2o;AZjK#Bl_p7l6n4^(E7^WMUwm}Zu#PlRBoo^GNM$LXywiETP+OX#r`EWHiA|H zRuN6p_>hnkWG>0r@UpJyzs12&-2{j=mc*Tck|xrRAw~KDkVczL0U{tXB{IY6qQiMa zz}r7P-Q^5BTLL0-mcY$`-=)#ZPw+T8 z*M{uj*Gz@wD3gc(__&9oW5!7``C|E?c39P8QBhtK6QC`nTy0;+E)$0I_bp1b%EB%* zC(hV6idPMi-sDB#impKA{XUWpc7hfAdnkZ2RlAsk3jVPjVli@7#H4g#0A-K(O>Ng{ zLv605RWsJ4P*{zp2WNuwl|S4f98wfkR?r5imnf>pqVBq=G_&tWkVkj+JVAZlF`QK6 ztIO?RC@ncqom4}6>s`ZAC%Z#6Pod=Zuo~N0anS3K=>C>~H?QW39gXIrj@9-=nwjMD zS2w7=&Qw*w@7Y>7u0fL5f>iR&m`|#@<*Lkv2`o6VJxp(Iomz$Wgh*W)SI|ulu(8^= z8+GBj8P?wiuX}t+vEn-q5qOrL7!Nl7Y^tKd1YC&EBVYfc&WrFZs5np=5m zz|%n6>t-h;xqlGJ^R!(IWZK5nAOpbRNbvksE6k#LNjI_Ko5S|5N8? zi}MK})<{f>cq25~`6Fs1-+2l7RoD5)&+kyeq}h4sqr*y0ksrU!pFNkWxN_j(^Xpf# z#<14#FY5`8KoaJvjr(6Je)L8p~Api`sYBivFi!Q*%9=VL_~rY^cIwS19XXoi^(?{dM8-BgUX~OqJ%_+EHg3ShIhb_8xEBkgRzuIHl`#?zp=^-syvG$kP zkg_9sgA2D9UE*_lo?v2D9aDz7^_MgAQPU%m*ibfJY!2$Xo85_)hg61F!iRd0>C^P;8L`F%M|Z4DfJ1@4AvY27kn_O(tkztV$u5a7B&@obc7OZIv5w2- z0uuDwO-)gM=l&APO36L@;IdGHT`+Q0%^T8(L|O3+%j96oI3eb zWH@JpE}Ln4dfzJplLzt(C?!dVUy6#43Sh5z$!F;zVSljXZIgN==3T^Qf6!34du>uO zT6iVY(b0Z{0OYY3{JhA%9MPomCPXSg8Gdu_$>;-}LUN|77y8N(WtVH^eWBLbk@pQ8 zW$=P2A|$RVG#)fOA%RUck)jWxPsxXO*Ehwd0Pp(=6x9|xjNU9l8efSkJ$QV7`v#A@ z%soBt`IBV$_vW=|pG*gPMco%%WBlO3+B_js$Cb6V$JH#6Ho#K!tw+4k=k%lbai#}q zwwak2%fb#F>0nEWnSGJLf2-8`!cJ)m8&{wxQd$y~`=U6RBEL?~Z{Sl!1siC2n2L(D zE%|d|aYzHCZ@6>Ng+u}gMEbo`nNPjO)}9kr3I$QNLN{MQo}A#8=*+#yW{%38!}{g_ zui1o)-JQdqc>S;XDTHQnO~X%FuGx(>ElA^Jpro+MD(Zx?_yib1NlA0TSm9iO)#N%S zSQ+l8)5EdRV|~Gkg@VV=PEO-<16d4X%*09^{+od8sn`IHt$?amFmk^k*` zsz%6l`65a770=YmOEt29#aVnsW|syVD=9lE!Wm2hfy@ynuGy)|+#fPh{d4w1;lExi z#75u$u9jn&(LT8lrUEp@>$wLX&7NfUoJ(Y^*`0rX9a9r|cDj@@LN<>lHn$gF_XMqL zkKU!~Pu&K@`066aK>l(^=E-UZE{Mv&od+A5e>X5S+m(ERe4Do#CM}EiMP=bO2?U@h z$TZQ(9<*dnDlD&xWnED3h?4YcEhTTf78_dxQHyeS#;>)jvR4u8F@~mA|4_JhXMA3J zk@2jWD#zV-f9yOD#Rdtv&Sdr0R!*80`JK+jJd=DXx`z(g;;jLlHuPfAhJXB)Z_~bA zk`HEvhw^wR4B9Sf9iUcYZb> zzaGhoa48Vg5n_hM25df7^(KWMZ-$)(lV8HoCmwRVQo#ZXBOupz>Q!X2d${g#C+oLB z!7tbcNK$2PR$mtuayMi@F&_|rP}K+{kX%PW_Qche5ZE-p?K8>kF-B;Srbkr;g|M=U z=J5#$VsWbU7slP{w!J0JSN*fA@%3?YQe*lTz%2Z&SgaBUMEhxWHvV6TLBS@>jni32s3jpW= zi(OA6RD^C_V^D{5`%u52`qFZz(NO?B9xcnml)Bg<>|$zQK6fiA~Ua*3Q-)s>QKxm{c38^BQOh!P@g0OlFwFDb@EL;q{1Pc z_=n2iH!HUu`!jw_a!5gd=@cI-Uq@)6=)+lNMOyD=w?R{vf+h6gdtSMP% z2voKNJ*puggo0$Jk~m6gn`p>L#FNnx%4z$lstV}gqiO(*D4f^^RI4fm;)GX;_y*b zA>QM22%*cKw2wDV%8%~acw1QF+898Uh6BKYG;AO<5D8A-e3+ezwwZ`UB6~RfIO!dH zSM*`vQ;&QCMYf~W6p016ncAK|KIoM3s8({dXtt_C-m)GKExmtXBs-OqD1(>WN$LOb zX~D-ZOOn&HL(JWe+ts7qEN6fck!xUuDN@rPq=&S39grd_OgyRlESjw0T)J z%(!x_;>Xs5BDU|dxa>Mqp!_4~CMc%lNrmS@%*G=Wh@|jeKyTT%MC|)T_xq6f(s>*(gZ;7k~H7lN9TJ%*_z- zcP7hoQ?(#|N2d=C>;BIV*ZF+2o_w^sB?Fdz;W+zJJmEoN;x{dpNRn0W!~`|ad%bX@ z`f_r;8s4r`euX7<#Qxm&sXxfA9PMR{dn-mC>ibI8zyMEt(NV|aAn6?2NPbB<_`*(> zuEmovvjI&6V1P)wZ)>8JPf4}y1J-%yb%^Z{jx#R*D&AJn`NIiQXFH}39CRuvK^$N! z*xxmry`F|=2*i&Fu^(xPOxaYg#EBGS|63*Xe$wr{(HIF;sTMF&f_eoig4z7}lm>D! zG15Q4CCpS~7G(w1oN^fZtsm9`+4;j+_Ak`_kxFt$V)PDFG|Vh;<5w@>1X8xu!-(00 z5k8gP&RRojMADX@@>TQ^EKvy8tqp{tCxqs(K6e6DH&Y6q*`cV?lZeX7w-SR&_ORM1zH~|(@_Ur?g=;Z1}gYhuUO%v8oAe7tHa`dWEQdBwa;Y>86)Mw zEl%2WslW^%P;(>wkfQFtj1_nK7@BiH#Az|^l7mkMY7Si^lmzC-OSc(|_Luxh4fw4i zB8UCBii8z=+Pz$~9~>}Zt;Y`E|I}LBubAWqU2dRE;>(q|b7gmnzjEC=jNhevVB4iU zosBNEdaSPiTOdN6m1cB?EwiLZok|!us9hfZO4wOHgCdC@SGu_@8D0J@>XRA||BH1c zPFB%^8$9&S7_9ukla+FhLAfR~RHZrYOp-kMHZ<_2%eu>`D`eeXNBZ*7BV!Rz>WC6C zN%irz|F?FnNj2a^8d!sP=C56I>+hB zZ)<%kFsJ3B4z(JKA}zvOEO&kOU!O#3Z@p|EZ;nW2p;3hH;3)CmUdgahgAL_SUoy3& z$9KNYjoC9TPZuctHR|b%MoYYhxEu0t<>+>*m~{#Hc4e3I&w!nK1$SocdbE zuYI$-15xqjX89+VxKN9?=?aT~J23-F^_mazX@kPfg5^&38(cJKRN6njqA{DXH+tMS zrHF~qI~4#GPDl|LW_prmH)Mj&G&jp|HnWVEW!pPZnB@U;sVM(0rL2(J1Ka-p>4%>C zx40dA`R_@grv(1(bP^@uM-kWmO1i5WE%%Ifds`1LW$P&erb_s+vgGQ(azeT_a3iFqV`u*m-t}JmrzuEIb{iU~i8SmTDt5a_}XZhX4R+7L8v{mHs4G~NLEC;5B3g0Bh32%oyg zLq~=*{5;Q?{CO2%R>5`!Kq%_1nE@F%)))5oZp~EZjmh;}9kZ9KyDX`6ytpvYDMI96 z6kB*@PaxxtkAg|l8@c_Ifj(}C5U;s6GSJ2t{T)&hfL`9-EK`SZ7ju8ciuG4$kgfoz zMIt=uYeXbP$uGy!o)nzcc>78bUxSW`^o&?x%6TVs72ju=1ZeHY?{fOMtV7-!?ib1R zo!0`$zuxdL-?usD%2}&IjV}M{fxdwx^YJ2S$=Zn}lpe4L)7Ktoc7}Kwz0pB=8^nB2 zu-DYB7=shf*0oIufe8N333>@;F|pW)AMXZT5z!;veED^c9l>srSok( z*;hT9{M@cK!xMhCUPrr~*QT#ZvQ{3^&4Yaf@T-`XY%@|)_v^%LUp?oj)Q_-P(M5xg zp#^(OGdsIppLvjs&l++h8p%=Z%i_a7*`oZx1~3Dd49j^Zj7c0+-wa+?^-J(PwYl>N z^yGzBglg2^^Ho1y7n*Xyfn&nKd8H-tH8u7qZq~iiD*7p$N!hd&QRBHs&>}Gw{=C1h}ew#`5hlB)NRu%N7+a=026cpeNr#0 z>FAX~k0aVu^RDKLuM}S4R;P0`F5v-Jb8kSJbaS)m+O@wDC^9g!3nMVr^kDgzG{<`8 zx*?Yt7{dU$sY*^6f4cTyftK9=27yqxxDznRyJ}Qw0`(a{GMf8&(`8#i^tIpB491QW z%Fo#E^3flCr|^Z07EHonj@kK3dcKshZoKSh>`!lw%SgK&wZgBt3KngW{l7EuJ^@el z{U32Iy_BvjAeBiq(VX6ZEpq);(%6S?FcJ6^5rH+kvVW^5A=uGzOHQQ1r0zH>qs73( zkvX_kC=eQ*7o6*1yvNe5Qn}Bv#sXga!aCpT^P8{iv&?RK>lbfWd7WyfKhAIE&SQzL z_LdV9JJ|Po4VU90YP42GV8*MN>_#W8B_)<0z8ZgLBPrCGoRimJwY8iHM#+J@e5f>% zs4|;~8DZ3g8(T|;=Ty36dssh^R6ZU~A)lGNi=-H`PXSRdCpnNIaA~*pW;KuSN$xF> z8QH_QVV0n$;>q4s`8sXO_Rot4d@y(VasG?8zzVY;+`qQh%o%uk#|HpoYFzFa^68%F zLhofZ-+0O!%qEi@%8nC zx;)hkkH;G?v2}SFp;B+iYm3NJWrL7VH5>VJS1Te+QeLgJqNLNiP3DdEfONO{pIJ74 zy03mwKbRfjCF0@8raiA)`BTwC@~H#lP&&^{bFNh+I0nY`j7Qts@q;S;{#-BIn_HsY zOH$tZW94gvP6m5I&Imf6Ks<(GT(v`=(xbaGs|i#&(wpdGNBX~b9Lo@j77w67kg#-e ztlGIuH;*c>4rHp*23?H6!me3qf3v*1>Yh=b{o$e&u|?-Y@ue|mDvhh4-~)alz2AEc zjENatUTNfcS=7zOR3Q|0e8iXkVV1_81W-oVY$Ay%_q@7wWRA7<2b*gFp|O@cj!=jL zZvsxNAAWqzqmwoMibe!ZWj&JvMhX6yRQ6K%Ph!wnl_@IZFmo^E?+Y4Cz!qE}hpNXh zaiu`|(CFkdSMw`$mPu?+vR`jQ-TnR5+vqNtA>?y`qQwC@dhfm}{1y=Va6@!3t95D^ zfw-w-zjzbR+}s~U>%_=pFz60T?PQ-{^jpI3Qk_&>y08xOsN_;g3WWqM%A~4-i5o_* z&0vRcSLJ<;r~}E?jTlbTHUoK2Z8@MHf{xHEJr{kyDH}XiwM6>|n9;}$OPY3xP1V$V z0tG|}hzWQkdy!GBPG67J?l-yvWPBi75(r(WS!BPP+$6Cks($hz&r0e5RH>m^BNRQH z#QTDoh#!F$akOyoafQhNHkO_C0)Oqbf5>}tI`A;rvRpE9wjF4m?iY|fN%rDw^b-SD zYZW-*qlVOtq%iXbjGb9`t-|hKWXQ&Y5pwD(j&t{S5{z-hgidF-V%bR!?zw06R3VNo z8-vxLUV~#1rZvM8mR94fumEWQrPjTIM{{spxe>LxgXe6QFJ?GVjWw8vdh;CD6kNC& zxVD`X7>JX5Y!G=DRD3`Ico*2P8!C87{D2tJJzc0cH&GHH(eJ%4+ChqZ<%>0G+Gwl=nSOc1Z^EKrfEtvjdt> znfiCu8qS1GAaqUIt;`+Jmo3O1(Z_)cbFkM(v>mr+va7wcF1wAN7ws+Dy;d4Om-0^d zNGKx{crW$C_5S=PWybBFzH~UUQ$KgfxS1{eY~lOIX(|HQw63H!MJ5($r~8ELG+D2X zl1sgR?uunj9xvNf7pScJOk^&4IVI)BmhS0!td$P6WE`Ha2H(~hd7v$4mEAZsxKhR# zt9sU0b;}wEn69&_bd9#XO6=miJI|V6OSTpyNEXJYG-;ImU1vcU$;~I@z-SylHurt$ zTJ<9qKUA01!MyoG{|SL}*G$Pd2MtC4R;6iqEH!3XpheItFntNtot&7Q1cr^A2`@f- z$gNa4-;Z5Ofu+rXu1)q{5~UO-gyVu-a#uLd^LnyWj&eSwhumz~vnwLccPhWYD(4~? zAIiywdCwNn%(MF129xwi z;3~Q_|1l~SIwV>C@NXm4lVP>YVaqIxvGg?SD4uRH>X{b;>8vKbVd=n1eoDYu==fYz zMon+#7sL5B7mA|2XK2;P@QZzL23=}%XYm39I&6;2z zyl^APbh=bPj4zw2<`u$$m{Q{%KB(iq4ISNm{)wi%DJpORo`<0#@0uH#z!fvrpW;tf-Kk@Oi^@1Cc@({qc(~Eq zC+u^&?DYE2ZOIBe3jyCr;y08#Z|bjT{kiScpZmt=!Fo{RX~RiTnAWvBUKLWknw%nN zaOri}yF&0}%0Q5-?o(_jx;*f@RsC)8*ss#qOp34b5FW|@8c}io)QSX_Jn!%(QaefO zH}<9VoBiq^A5sSr+Xb#W&o3l50x`e8lpBfO`qD4C5c}5&_BHqZ9SHKy|24U_dUOlD zL!HVp-n$4g*~GfesL)0Ovks=GXOEGRvHXR24h4p%uLaNW1|vDjKj=P`!FM)$U3drV zb=y$nH51Lu`Kw8LMq-G{kh>UelUI{@-j)YF>$V?n6OnpO+m^c?$_z%jS$QWKA=5A| z?9(JL8tn*V^p0h$mNH*<;z5mN7|h#2|9Xmd`4?%yd1Fxm9}d?sdv3bac{P#t4J_u8 z%3#k7Q3dWkx+N*U`OQFAn4S`nfxB2Y>`^$WN6lG%WSnV-mGB)RG={RfLii&aqu$%) z4D3AS0DnKXjgJ$&0j_?2?7cYmG&pH3sSPKzpbn2}1JBM8_=-$HHY zQPEsX?&JgX+vx>sa5tqac`3#Z#Rq5VetG=av@{Y_WLD2IRAqXY+#Oe|3}MB{$ZpTx;< zxcJ=_p?nOt(!=Y{BJ+3*CPdZVJ>5Ff0-22IwT8v)o!G=o^(G8gAXjxs33-pAURf!6E8kLby%_J-WhB zcAQm`!*GrqS^Xc~T1CK;>BX;4(2s1=ce>11ClH9h$%x?FlzOe!SK1W_T|bB7?(a2N zLVq7-Rr}`y=yrj7BxyttEBmDXyPx943dVRSvt<~|yO6R?nFHk&or$0Afvi2rp3Lgp zz)-QobGe0#2wnDkT!;RzFx)}O{BNnzXEJNU1lwQ=hEZQ6((xx9FqCeI0O^vcN|ut6 zH<3-Qel_-FN)Kfm!g+d)dxL&*TJ1TWiw1Wx+XOXfk>z4qzzyq`n(6Q*`(Pi8jOKTX_)k#s|1i4x_1XTQIKylpUYXQg>Wpw3WWK!454Mr>)h_vWeZ=E2vg8jGJ* zR%Y5JN_2LOC1NXc5JFX%whk)OBueW8rT4$r5Ogxn8=HX}jS;C{WFIaJ+^6FJ4oAAg zb#A{a@>-fP#pnCKiT}Qt@8;pA4X&zdp|`E~_9|NsYdQNwf52I0wPj7YY3nbNDytRB ziZlPt-Q^o9oS0mBU?|QVh^Qkr-QRi=9gsgn^4^cY%j=#IB)wv>d>$XMm#|}CEJR(N ztS&L}2j%*l$FiJDmaFVYtioPcrM-FoM?RJ1B_43qAk)Kw7wF=doS#jk0l7bG<;xs) zoeokAMu-lclsklV+jtS}vm|-2jJ?fOPsYagA`XD7JIs^)YhS;5f4$#F;{hC)^$iht zrx6SjJO3{^q5HiDXHfbzrGCr6HMsM0n}@PF^3X{Efc!DbL&N80*!Uf(Pj(3VA-=Ep zQO84evQv|k@5HAFY~sP~-nUw>2kDjn*eVB|A>v?P@p^f?T?{pb6KDJfYDX@78P{g} z)=R>P!A^_H^!G{&o)RJ%qrtzxoDrpk;jlE!xS5wF2bfY)&Y?r<8cj4EdY3`ytM2z1 z7=7gxhPuLV=a6svPRAr)bL=PYuokaP$__R* z{Pz0lM7-%0yy6~x$W38TXJ{SLt0^Uq0+@nqp(8=Slie$@pjy4GnSi@GFphmgGqZ`M zpf9Y5iXUtiuqbSP#mDD$l5t7cDAjT!bqTLN_&oRAfvNkT73hgKxr^{Z}W zTFM39xg}G=45FVf`zs!7F)`WE_Lzd^i8u>WXgH#=z5Eit|- zlpF&72IMgRl=w(u`t?8U^+IMp)6iE>kQ1d89@U#ZMoB!yf$7CsAv(TB=XNFO2KUU2Tq5vZ?&T4K=xUj{Nt<>BVyOqR$@*z{;{u|^BJl6Np|sSQ%>opI{OBkK zlWkl7A?Ey|^3qJmelP-VyUNa{H+rS~lCY3J-%FfZf2$ZanmKu6|F6r4%w6anrB|X} z679c&0(8m2rnw@a+bk&edEYv}OWN(k2;cu}vTC?2z^#;GV21rpbIpU36X44u#u@rE zKlMuaZ@n7X?6@uSw7o04Ej9eBTVKCI>1rdB@440$o?p9_Ki&w5#h|_?+H#pRJ*xm9 z1+!MIP0*rSXB%9oj+s{h&)oYLb*B2(LP6!B%nNH~PiH}RB1T!f&K$cy5QN`hAZjqg zr$Z4iYrPO}H!n3$9efO&P4{}M?gvywTcq-o$t+UfD6Stn~#Bxhwy<~>k!#m}3 zHJxW|9oLawZN(qnb_zw`TPPBLV!M``hzp&fGM%v&Rr|&E-5c3?Is&#@mByG{fli^_ z@`mwLaTMyAyYfvirIxcw;(5)Zaac|qEG(6{k{jU9ZU3geR00)i1bd^V-mgC2Wir<& z5q5#E>JpGS8-uA~8P&49Dm2gGAntv!MV08W6-m5#x%ATbt@Lyua6;V{JNMy#qUrlg zP=b7{rCB@YhHa|wd#%J@q=E=dR7-46dE!lD^k)(Lh?Ko*;eGC{7v@{r>>HlPDreW&KauYC(`J&Bf>MUgk~tKOE%u4 zC%&Uwf4;Ef8SpDDl-T1OjB%6BY>kH768+tKp1d zF6DFAp@**a_L1fVj0mzaH`fY_a_NfZ)wW{}4su+O2k>sI9CNyX5Z1CT@IAg zZb!;C%tSi_1YnPgd*Teg`a<*VcB`AOS?JVCj-|B>=zyt$|I$+8OYw!hepPgO@XiZo z#GF0|t4{VVu=)e%iyR^};lH}Gvw}sxHjCK zSHA^(BoGZiTREq?o16O?NSpwqznVLp-tR^)SF!)lSx40x34o_Z8GSEvKNkG1`OVpuN&EP7%sRluT~xcyukejN`6++NGyH;lLT&%{SApENqJ z`jOU*O=)*VvZ(03Y->%<`XsATmD7D@&;I%4sw(gceqF=MOoorfOaDTx+bzFFoDNac%YH)tYyJ{O&c z`dV)bK7R0m6nLT7t&dr|8k1-WLD-(j>g{Gr*}K+(A)3WHbHqx?mFpX-^vS?f`KTjNIIS*z;iJdGw_x5{JtV*V0C8nQw}cQcmJsGbf2C)kah5b%s!R|Q18tw3Trqu&`TISiq(8DK!)EMhpd;p=V>cUxq4)2@)>u2VgC{{ zLM*9E*_F5qpjE$}CSj^u)a(g7(g~gaJ@B+FDMW0jv*Y?i-Fqt&%o=^M@m*Og#kI`( z$D~mWWO1c0tg)ZqE`;r7;tc5WXRo&PIDXnKHQDTnq0PDur4_CwsgyZe7j3@x0W_V zX@{_blfxiL|82{sx-11myhX;k)QMcwz=31TYkwMSq>p4pJSt~}501Ol+g@VuOeQK5 zmh0ATzUErgYL3~ScT-Olf3tA26Tyx{y0-n7tv#JFwB}BWJOJ>qAfrGB&fT=Hk>U zgw_fYwXJL&xE$|9$3j&H$fFI+CjMy`m(Fcc7OLcdV+zuq&T11^=aBRln{2dH(?PtE z2CTwIhj?-a*tNL$VcR8Pp7}?a8|hySCQ)wjuWStioIsWcTq=<=4wJtu)n5dTmj78YKfKRwrFCc0^{U^1Ys%3r zji%oIiQ~eOZ+hC-bFuBK=CbQcx^AU!Pu!eK-+E$5^zy0dCy{os;%214(E*6l-8Uz0 zrI;ICN015u&h@n&U1PH?w?zCN1u0_m&UT@okNc6^Ys6N&DKfA668-ZPi_bcaOu0Xs z28K3Xy1Oh&$(P@oxy<0JS|Hlean1V zej@^xU#GJ<4=ldp&_LG!LdJ%?pcGj039DAV?1_w9RVEI3TEz3M9^QvVTI(By+JQkzcVR1N{ zuGCt$aB&`urA@U;xg6tRQdA>w_Fz0;&Y#hlrgf`l>g#6SHt!yT?S8MX?n943R}r{A zL6B(?$PVcS9I;YKdLM9NP5nT?NdPNNYBV%*p6jbKN&(Z)AL>i;{Wmd#2)`i$8bPXk^KpI)N) zqo`;73N4-%CLN+zj71M`?_64PLEwJ|1+F_po$dORE7tJJ0Fug;x4_5h!@AwqyOLiZ z#72ekeM*up5t|oSn2bgok34v^RjLa^;g0gk*P~bG4@-=-2Pv8H0nc(y+Z-J$SaC)LKNHPJ3_mRf{7aEqFvL+O=HHVzx}l_EOe<>v2O-SkBG?Yrn55hnBA(`lFd!z zm%-jw2a7?AF;@)mBrNFjAu5|HUP38vsth~?BM_pz$F|G@*IL}%%r^ooyg zYo@@k7h&-MO}nCHNx#&`Y8+?8WL6S~OzTbmt*hE?6IT_I`FGYbi;kIH#D!}MG|L25 z8gctSyAq!sqZWAYY|fJ0b28~lslJb_b7GgFL@{T= zhXC*pCTDI>%EUyBJ2si7T*r)|I2U^h0`7=O40Z3dNg2>CNOKrSIs3T%TElrF|KTV+B0#S;5*uo6Fn$ z%yr*%2uwSAH+7dK&gPG|6(~WjK6pWR=pA9szW0$%$0{|UcAKM}?ZRW8PcexeH$~8) zHGQMi=?WB{dTVi^s=(Qm^Q|3|RXmPJuHTYF39>=q674;nh+J6K^GVS{0FnT>ISW)2 z!CX}JCD--wwme^-4FztAt(3zL$AJ@R>)Q{WVkE8PU)OOmd_*7C~@y!AqL!M85 zEH-uoics`V#1E~Jxtr%XPsEC_ZFtS&03B)8(O;}u8U{Ly3TBVzIU6fEI!1wUf_Fi$&6F;cHEKz5s#Y zxYze>g!x^3-4cHi0R`BUV)=Nkhp~qb#?G${PgeQaC3nVI>13k!F%{=fVH01mSDp&~ zR4TKf(u*IDr#+1c>z~^uff4ohY7^#oPGB5{xRt|rPGiQ7yw{u4tyYe0t~&O<>yzdV zRfBku{lD;}u0D4o)M6Qrhdn%(e`(PJ=0=%_^P-3C)-|gfSv!XU7u0ZKdj4tnA(zj2 zW=o%H5WNV)dT}=h+ z_jUrBB(}YDq|Z4S0J!gfhVffqCffB!mXNiO zS!1>M2u%|+-u^hJ{G0R&VM{Afh0LECC+B@3=+m?zO`Yk)(dyOm_So+*I*9S{e$97M zZFY8GDr3+LzKr?7}nuj}HByYEbU#Ck4#VXwS zo$^FdJ(A6;Da?~+_@0@?|Mt5kS;=^m$L_?X&)YbuU&;=Jrm+gIR1_4 zG{w!$uXzDaZ534pU6$4aG;;3OH*hOir|_X8tlLIVbJ1m+SOHPet3M@rtxo@?m+)N1 zQcDP@T)W?lH9gj>ZBTaH{A|K6^aG;ku^iRY6q)TjmCa^=Za$)YV>Vq}%)LV>r_)7m zg$vT#nU+D~4z(?`0{g2@1c{S!t!$3H|NdkSpOg)MYN}s)!81HQQI9ol$iFZuTYCz7 z8L9cFL>D=zzjhm1k}$|}51ge(z_@k#$^*j9&MuXgbDB|;?>`LW@^xL1YcV@><0ucA zTKO_2^Puu&gBr-f9eOdy`0^}X;(M<3k6N4XFZ_c!L&wyh&pB4x3QFw&(e`gdt+VK4 z>nK_a6diC#BUvjMFw*CoBcrIek$wqJJOZy%Rg0x$+yy9l3;woHtR8|-$BM9)7Z(+> zB8Yt0Ew%QRq3|WRV4_ElHM6Z<(PA%k}dz(-kK~Y;;aQ$n^M>Svp;&Poto}41F9e&t~HS ziEV9T@C_e?hQdF6HR0U*cW|(6jO9i=-J#@4bxlBPf8U9O-dnHOb0rhytIZV6ET_47 zBJi+@;d$OMc2($O3;OIU-x96ySuSK(76o*O%=X!G+oyY;`F!JQz@HPLeb*QJ`g|Al zIJ^5W1*gjhNtk((RUUi!h#DX>AD(ZCIjW32Zdk|z>pE%an4tiTqrE}uWg&MjkjOjp zE7!{#)WwTLN^z%C_Db1<3PQO$_e7nC9c-3w~#8sHL zg3>rrwGuKP*yJnN*UGw_Yvr3yT2YJNL3OG^E5VcPDbcAi{OL`=etP?b48k0G58`e* zf>|HX!adh%Tg)16urTNaS4r7{A9q>SR&?P4)CHg!PLy^Dv=X*1BNs%%hHa!?>xakM zLx{~vQCl+>xqz^KILhk#0(TwE(sAJr%s>SX7YD%IUlL7OV!w=K$XA_(wnWYnRY)3c zYo>Hd%nOjfD%m97-+*^A(x`)<(>6DKscS6y)U9RVC&V-anjX0rm>nfSd?VQAwsPO0 z#g~0UcKrr5SB8$Ay(sdMC(9z5b*BfyN1lFvO|JH zB$7B09{{q$*_HyE4%qANT_f=4QZsGZ5bR&w4UeOI2ePmcw={HDL?7+V=x);V4`Gk1 zZfT?`j1vees97VUZK8x{JGi~Z&298-K7+uaTmk9{3WyV#BOahNfEL#&qRcm z5CNTbly~2;evdO%A>s6~f=i7?OlRspR8@)K`mCts$v;?qTxaTAJm>Xgfl3z2q%&Jk zLIV(dR%GeN8|>b5vG0edETh*_+ z7O!kkO5$U@WQVq(nP^cL*3ugZhA%F#NcB?><@g+8Msc^G6_=L44XZ913&>RGItsMct^iCP?WT$L1nX;4Zz_eOS>+;55w=&XsyR=CIY0ofNtqjlI zVm6F#yACI7@}`Igf1~=+hs(j=p!5Jvb;=>TL9BO>7c%AFbQ|F2sLy2ap$d}gg6%DI z^TG@GLGuT&V^#3n#VpG%4pwq9p~NShA?LHN6|!)|k2`(bwdg+5p5?i6JEgeu&ip>ICp zE3DL?_X>t!-vu9;#*hD)T9XR1d*x{79R0LrgSTMU(P9EX6L@FrrK^U>cXofjA-|4; zquK}vOQZj7B~dw)ab5w}-!l5&nW#~mdRy!zQ0}w#_;TsDlFfII7PZJ(xj=|NE-aba zD%G^h*AxeNDOAz=R`4p&#kqnk*UmW%NKXKTdNNCp=NzGVe(+5z!Jgm-fIn05OW-gJ z@5k$D5JA{$YoG_4<0r7s-O^XHWK?-P+b#AHn~k~d3`9Ec<75+1#dv}Tk+GB|B3PmS z8N8}N@;ullV9Dw1?77HNhbrBF*0HiGo$ayx14Oa$hUW(*Zo}rE6P$#Hkm)FE{UG$%(($@l zMG#x28Z%-s&$ive>AP)W`Wxu5C<*Ri1Ah#EQYuI@#Y7c?Pqn(~i0!A=*z8!AxSRvg zOJreb>aN#?j=i+a*bN%gocVPYSA=}!Z1D!_d^+@`p6}#rA7)w=g}s<38!{E$o*LnD zNs_hMU^2H1y>cQNZK-w03CHk3cwB&KUC*YtLL6>zo; z%#IV7y1OFxtc_77%N!E}FL6x{VG3*KroElpl*i)Cd6U~b8wyXnU1r4k(H{@|mG@gT zd{Y)2(~gWRSbl9)UdBo`c*?BgP|`jEmkTgTnoX*$x=2;GD{bN3$D(Y55B%JReXSXu z7xpO?^DQ_|j_$A{aghnhtkq360b*H&;#x1{#I;-1UacK9mr%VfN`E%eVZGj^sJ^f+ zX{mU+?WqO)^zqT0aw}8${5PO5ovypg(WBy~f`b3yQ+&Oo4%^_u=ySo9`i*<>Lxp8y z#ZN$jTgx4+m(r11p^uNyR)FqNha^+w$Amn*F#wLK?m!DhP#yK->)6_l($Xh}CDe=2 zmSvAW=y8CF+xEC0*s#q0iMEm1*qIa2Q0KwQoR_+9eo^SUwY{M4MlH1rsA9r^*ORQy zzA8$PyrlES5CK(SK~mQHwjFVvru@(sBHVx^p^>I@JeFEfW8b?O?XBuHugAxmAgP{` zBDYJEPJhmzP;{Ewj-WQHoIs)-qP;;1l_#k)kCyu_{;Z6?JHjS{3tWMjO~L}wZo`88 zl092IFY%4m4y`O|D9|Y)-H>4guCnElp=;T_%C*kLIX%8*+S(M<_4&n*Gp!f<3?0!- znS=>oayz6mwd+a&hYO{r(BzJqHZk8FkRh3_AW~?r=kBBOE!edQ7j0G*A`UPS@hNU z@~Y)SCps%XIPT(8gVE?WGU4CW!{XeJ2ZF_Wh^T^+);4oRSj=Mc$2+6Cyf^hkrdD@2 z2)exSi7-(f>D=`6f}`cqXIP4n9MKdl6N4qW8=&+DRsT%A4{S}$_QF{~?AOM0bg+0P zpJd{%{C&?Qu!z6}c*t>4H#SBi3WdXo4nlBe%LD|{juCVwLZ0~2}OPPluJ0k9G4Lswr1SGqqWbz(XQ zcqi+{pNX{)WI@>2KKb2sDBqTB$}A7KiQwUMch0%!cGWJ|>4NeMkp-%!(?8sVHho>r zQW-vZsdZ2w{(uUQ_ZuqtJoBKm5w-matDuBFT#O^(1(S5ca-fRc8NWz)it{{ljLEe$lomfiwbnDja{{c0J%%mqp zJ~mnxg`#=W|p^m zq;#=-oS;r?=TZQlrnOO;{O>d|*|=5ve1sbwcVy~hqYL~bp$W}D96N2J)aCr}v|=vX z4gTcvciktmANb*=F0#>TNgwQsmLPjgSsEoJQGh8Dx{qvzNQE-e*`+j0zJRkKtdKDL zu`O#1BfX%J2sk8=3D(idmyCiHj4{4v2Y`x*Y{<2|#-JYy$4yrOEf1<*qv&1Eaglv! zvx4UZ7!;<9Ikyk@7l^oDTxu=(!dkT?+?m{{J8~m$ZZ+ohRz-x?vE>Cg(RQP1t2jI= zYzi1p%Id4#e5|kR{9R+hxou4it?kVo05mLL`CNwoMDUIsOa&MLjOJimUrB%gn1_pE zlSm+`kS7$=F@!)biJV-w`Qq=KA)Wwfa$#o_Z_ z$wb=DM0EGsubM>3ql?gGNHEP?u{JY|7jhBA`wq$8ZsSTI@v>~3Y_BWvW;8X7XFmls zB+wTo(PI(L?f{M@0;L7(H#0GKIOG3SHxk!W-9x&;C`ARXhh$RX39xOWfeykQ8ya*A z_2Q^>tEA7Hg3GX5D4Z4Bu=9h093og2IJ3XA*S0Nr)G;vp7JA(hbQ}iTOFff+8Qj86 z6c454&+Cl8o+Z2Fp}FNX%DKTHh_WxHb(tHOqU%x~6mkl;sIG}ZXqSPLM!fhUEf6%1 zp-v)bQl!Q$e0yl~ zoF|Eo;_`w3>c#$5K$WUMR?KJlQ4{<-oMw6h=1aA6&tAEhJI=r~lB{R5-Gh8}X1*lM zY@L>_n@2Q6o#}CYpGQdd1d=_soUfx}8E7OOT4dqUU!7?jy*BxS(Nau;u0KUun6AHI zrS<*riTgAio6pWb>uaGxu7K%#d%r^7|clg8)ubRj)E zJt~d9?k%917t(`R8szaWO08YFQq;Hlx>yd!wt}xRAHIfbBz%Q_4iz;rcTo#R50-{P$v%G6K@r+*)|rU znxkJCPMzkNl8F#DT!B=pg7wds5mP0I4{}3 zfLQ_NM^lKdXuVuT(w~>yULps1Xf}i&gQ=Nry6~VXh&&*mi6`!{0<327qazVlkwF{% zD!s~Ff3(5__g!7dX)=CMJob1b?{tIlyY~Hd%qg#4K?Mf8jW4s;xJ}na_a|wzsKNF_ z`NIN_BIo0TxF2>occ!Bjxos_lww4E=$d+*EJ!+x^ham)O5cHVPp#s zuD^>w6q!FQ(39W(PNFjBdh^3;@Cm_5i-8%kkTg;0D)>7i&7W3>_P>-=EiV10``BXH z)w>K8is)FX*LOTZBBMWVMEr@y6;rEwMTVK>0F;G|z~8BZ@K?Id1{4Rm&jd*7T09-MEng@dK>IBVef3wk3GX)S(hN3=RZ~xAQSYKKgXuPLp zQrIyV>Ott8cd10)#!)-BKu6Xhm9<)`{No))$&}u{mU6=w#7jO8v1%vsmB3r!`ki`E z!LTHVE#xcSIe-5HtW-CwX8X9YYqMqn79QA2=V(R1||^?-cwQ3fGyc`Uac zSs5*ZK8#!bqO$KAvO4X48}I^_b_UUc)}#BZ$@4Xfn&B_-<1#MP97NC-MO|ICdNl;Q z4y}xmXj9fyTUc%>37N#_gGgfF*`c$}F)R=#5kgS|G0rG0f9v~`D%I`ew)T(_N-G!D0=t4{Drk}>}n z!SkhhLWSPIo0~rc7$^W#H+85VaVuk%s9UVxnFfJMT%sxmOf5p93_a3Q$G{jPXQpb! z^J7vAkRkyEC?uhr+hsButhu?O2BL6RhV60`>|&vGEO8h7urbCYp9p-n6|P@7z3P6o zeDx9R!;K$SPmO{4;ieIcS$lF+VUcn5puFArf_vvwEabgMYQU{Z9xW;~t%n89NCFNX zb+5U@N60yX-XHgHfWI9-#F3Q-oq~1WJ>Hmkj==FE9Ynp%6?4zxcWm~J5P#C{=Bot&vSYse0jwU6mowe_KyCT z>`0dtRQ~w`aC8J9+Fp1aZt{yFY3;&7GgMXtcTVuFEZ*mM0}8Dnqq4faa@DF@NI?N) zV=0?6&PHlSy5=_tm5=l0lk<&Vj}p2q@6nHAzuEY%_)E2dS=ohcnj^$Q8aX^{igx@G zjw*aLZ2%M~{77Y1Q30@=8J8%A4csh_k7Cn1%aLCL=_V_*u97eR!SK zZ!Zad%F{5QM%dBLc%KK@E`Wam%Ly#W0tfIaeF>nTzkwL3-*o&v8O+1#NB@2_1=Pc) z^m2n0#EzmFlzcm(i7CPWMnZg7nD_jzpdao_%NBCa6%%KeY~5t+afM!bjBgwr z!D9M62KFjAmubU#v3EyGH8+jcBydBWX6~;otAkXq$Pg1kX~$0msli(M&Pn zXFfsFwrM|WZO`>3j|^>;_>Y`!pOR87WeIY%+kr7gc?9YS^=k&?1yg+YJP?loLSd^F z4*X(ARhol$=4Y7^UWTj)TN4wrM(#A9?@J;XtZUBgnIPE*zZyIACioH$`Jagr1ZqRC z=&+iIMuuP7xCrsE0XeT!h`VwlpvPFM1FBZZ2=3UiQV%zVIFE&6h*dL0lnPc;3Ql|c z+H96DD%-FkGNw~F5$6wd3qUG$2C=w=a%#gt}gU z)vG|)z?S2rdGf%Hj?0+I(}0=IIAj2|y7bcASq0eO@g^x8UcMblHmU(!6n*I>@yW(= zoI%`sJBYQDS*|dvj8YlOexd>l7jIWims#m_ca@>g>Xk)Vs8C{vh-1fut}`ZW`S{Tn zLAkL#?rR$+H29M87eGEpAn|RGOe+XCNu*}F{`uZuHl=3qa`Jv0mk;#6LDb;JujM{t z<<$SD)VL)DiIj7*9&Kll1aTTT@A&aEA)BeR;$#BQX#ruzhz-59Q=snOwRep~j&0zK zWrTTK{WlhxMJoeO6f5vdhPJ>0@$)SSTAAYxZOwpv&pRnEosD+qyG*+%A;P6V4&5z# zT+BuOsVA)?E%~a+r|1)%UZF1%-rd&(`>E@*<xppAE zla^>56SAtkv|)yCzw8}L;tGYf+3yY~XZWP4b0uQ6>_9SRSCS~LehvLf<=FQLOVzt+ zS-RvY&2Oun5&cR3L9y+!(6j|2yzlK!`!LjJP2kS_!-b~z_Udcy81@(kv29_Z{$Ah7 z3TiUU(`PvYS4a;PcCX@tC7G`uRq3fov*DoMpxSv3U%xfqa?O|Az;E+sK)M?Q-ONZ0 zd+SHu2Lx1ZcB{jylUb`jBnMJKy#4$d#bGpq2zDCw3Q$DXFK~`aP2aq}TPv7rM1i#n z{6diJUsJr8(n71AYC%MNHorn)#Rg9Exa;e zBG^6k1Bs8*{`8Fl@Woh@%eUjPZyoCzu7T)|QSe6vHE_ZIg``C?&X*cPQ9JNE-L$JDe=j6z4y0`)*7QKs7XLatz)V3T^(|B z^H<+LBIOWI*u4)AlwvE_$aVw2)16xOf-LpI-YS3w;l=~X9d*p=kj0ZXg8@1S1tiU3 zhO4v;LZ*=*-G#VGk?{|Tvy;Cs=|QI%N+E`Lz9+aSf5;vB@wvzW_pOg{;3)ErREE1- zm{YPzTcZB{&15;K;xxpc*-{VeS{kb0K8ykasF)Ep2MReB`edze?`F_4PFx>ngE-2g zl5WA%R^mYF;loQS?O|fth(YG=xi2{)bYj*Q`ussH1)mRk{+D77G_FMB9*F=7%XAn1 zcyLg0*ad&-_5T$!c8|6bNvg;u7EXaiw!w`_5sIH%R&M@KeYR7zADt7KK_L(?y zWbQx|<-^r&1+<`d?SsYMNu{Gil-iho;XTJl-82v=7#xi|6{r7RPPX5$WjdfQSz#(Zh5k*DCG{X%Z~|!f3zyuur)Gtadmb1oc_1-8Ow7Qi z3!Jte_POt>okogET8*A9S=!#9O#wP#vI=F*YqP7rqG$dvd&tbWs&Ov?_S%r^vW2$^mJD2Qp>;T1l=oW1{-Mki6NAaDby1- zU(vh~yYCt}bFA!5CkwOJvS5vI_CLr78!TiY$>+c!V4w&9Y^KPFTz1|5juxb; zZQ%}p_=W%tPw>Zg9kQ)KWD#%?WDlll(daCNi0kmYx9Ux1Ylp4$-JoYn34=iv#k_VT zgn<2Q&ez;3g_{0N%p!CWS(&BHT!i7^Q-^>PHWiXdn~CY5*{IZj9!?nltR|PUoOj+E z5lzRnf3>>@8JK}1htu22jtA-Y)lH%JiWz3I%<~&j<*ZN$k_tF73 z2bpz6RShO$K@IcX?|dWbb2EGB?BM-Cy*E!WAb_B+oj*zcCK0Dv@l6{vTa+~q{$?ol zE$_WM>aEjE-Lw2-u`GUf{;{JH+GvAQSBskGZ5utnv%&$vC4V>oyv2?&;Z2BN!T|}0 zqs2SyOfpyacPOk-cjyhnYhbposzj#M0(euJW79wwz~n_}F%jOGYD;>iHPbE<<_V7! z??a|Ps~@*&9k+5*5A1cGUhW_M!@zQ!eK)5;Z|AkB7Q|rr1c=NrW_9#6InJX;^jT1S zj%|=ne7)?JvsoX<4W$aw9>6T~|1$*?70nO2wpl-rF&Zwo^krQx?>`g>o`4FeDz0IjUAv%K>-IC5A$k@zd6ZO*Xb$5gaL)>!7~H=nL71DZy~<) zbt{xp9S?nfS|+Y@;vQ|zvE!=fTmH#=<)hP~{U6}YwjuCDp~$7#ikeA&}_Bd!IZpVV<}grm!GH?v&Um(mJ%IKZ6A(6(l|k*!;{3K&nHt)>8#)Rc%7g zVZdl18Ptq3C!h4Rt4 z|248~TROSclidi}JY?5oNK*9hm%JVg;a;hjiz_>B&5r`LUnJVWnC&{O(`Z;Kk!V9V z{km)ikgT!M*K%aPX5*rJA{15xTq(FM38pdD1~pu}Q?F2;#ZLhho=daU5&kxM{54-` zqkLcVT?5@N%KN-gzQ;}VFtU^#)15qMqg6bu!`?{PBwtGTk zJGvNgJV!map~bxy`>qw4Uce!#fOb<;{9FkDOLgv7p{)|}6KViX7O0uDdhvYCRLB4( z&pU1PqR9AMe$!JB?lKkLgK`VVKq_~=JRdm2n0vniN`t_n*6%~fwVk;mij>H-PMvPO zc=$c)nK}1-yb=fsc^Or99N06}Tk9o141H*qWXk@;kho&Yp(?Bl*sc^-hJ#-Uj?vt{ zwW(YMF=0eLSiBZK0`|Ai6|*>2MND!o40k!lnd)UgTxw81h1#aXJk-Zs5MX}BeG}$X zcn80N`)z>5+@Q6S?N%A%5L($Pwb;pooV#hu{&Hw{71r564CT1mhyZCo!UAEVZqifDP(I{Lk>_zZ--P%im8v|Tks2r_%pu=(Vb^`pLlthbJDfLcp~dFV~Z z5K_zHX`Dq!hxwlCtLT*MF5khdnJ-57(gg>`Sg@^YOrlibD<$w@-~4-*Fd{3I2hJ) zR&`PfWd5hn67ym?dtc{P9#S@cN;q&F8;&~_@cnVDWoTJqH{^aW^_-kw+T z98qaU>4eC24L^ zAP(vZ1tFTLyAfPFGohbwC-=8V+fN=*zYfXNgZ&~*z>f3MQxh|*9eFh^a5f=pRQKgb zru`?I^9ia~`4mdjzH_8pktxjSNdqy-|6RFssCA(g4wY}7SucO0>z@o4C}yy9ZTbOa zjkrR=>Q~iZ01Yqbcz7@1Qtc-NTpbq5%e`WohtbTm^i2nz9&9Ry(t1Y^mP|xl;0nDa?-?o*6nl zAoCWrd9SG)NvIQ-sxL^HWnkg~6Y6m%i1QmO4i>=$wu<|5Crfp?kGc%*Acf0kj7_M5 z8M30J^wz1Rh4+SX2Q@1+eQXXh?g~F=Z@5C%(>g9C#__|mDzghWgjm_M_@Z{L%~ruZ zv8(EPXselvefx;qPdQQiVRd-=feUg#O_gVts>u_;Lx7_H}6cdUH8+#_e*0SCbi6E0dQG6rAJT3Gb-21wp(Qrld zDq0fJH5~kOpOqA4)P^drF;Qa{y9->WJcv^>*ESQlRc|pSVzoe&1FAzuv)#E@H}kE3 z`aeo0`oKSvZ$Z0JJT@W&q6vZ|UvD@FHsvxxQ*xS>lEbZZQEjN*$v7pB_}Jvj>@wj- ziqoUk?IqsNTYaLs4lF68=LDMWK|qN1#7(M9nxy+@V~`;~ra@4Iyp0e^6K~F{7^-5j z2wflbWTQ(%I8WS+UUa-C_j~qb)4h{EO@alf@TTF1+tcKAmSBb&2^TM~OI@O!eYrNr zr<0$bN-e&GUvz4{b^bD_r+3&18Sgl_KUJ4+qp(t~0vE%%FTefz&j%+a;01`SipP?; z6su)w`V;LS!=4;@W5XU_&culi`7n*Kapg*XzY2VvWyxLLnuB!6271LEu9Gxp*{t76 z{zbe4f=5^s>&F!|iS`JXl?Q$#w8OEM@H_db1VAhRrdm(6VP?j<<7b2XCa*qb)glV$ zhEO~Xn#g}??WMNdDeh^lgSR5WbwHp6VO(nh6$zA{LI)-QQHJcNrRxJmo>hO`G*JA7 zwj}kz)=l}wbAM1MgzY4gA?inO1u71VGKfzBFke!3kttl7&L5Bq?K=dkzLpnu@$P@I zumk`EbSd&IT|529X{~kSAj<|mAk!`6EUn(LLpJFmL{yOxEMHivO72ZLeq+{GQi)%> z7r(Pg(auQ(c;Zh8ggcf(inuod%}{RHJVl{~iT6~C%5h(`guD@OIoKnXnY6=Om+)PQ zMDON0`q}^wq>eX2{xOtUGbP$O{@Bdfr$>7nqztEonaQ&|sGOW@eneQs3UU7=%^#4a z>$an#g!pxqis#{d>P106hFv+yXrK=PzR9`BRBV zG0IEdmx-w`9)+Sm5-i_3+P=hB&s+AlX|&`z+55-px*ZoEo<6G5|K6~WNGnnLLm_`A z$oX8&y2w)Z9{jNx{Y`v%^jHPI;=XIiV^rCN8FjrT2V3->>N1_1bLdo)tj92OyT?V# z9WD#AdKhTnm(AqXk=W492m$qC4HssGA?c&eM<}+ccj=gYj^^SgaB^sgY=PbawO@2I zirVgHcgn1f*s(XT1mP^I zVF4@6B+_ysuFz4v$v7-^{4FLIVRSu-W4AGAHYX zvl1Zp;us#e@6IN?IkiYjkoE=jXvm>R+9yqHii2YYLPRJA`25*W5w>#V|L-jq@`nrXdw~IL#LBw=c;Q-7@6K)3-Qj9qZs0x7j9{1v%4cX$owf>!~ zy&;W}1t+uPXA3kNW!fc&f1T5~kx38AZUU=Pq5ipu3&%GRV8(;YI+Bl0CB~tj&W%?g0%cdp-b&5=;{C$jcxGZ(oF)J{9ynR zeU2FZ!g3^jj{O%t7phm5vR9T7y7z`>Vpo(m(=w zZCWaar{+yIBt5mZR0^%cDJ}8NW==^t2b1p1o=)3bsqcXl@j5BaIyNPM2<{-S$F&M^0df2#bxDRR;P}VAx!QlDWHODWo&r=LP zA*jwF`TY!jVmFmaTLCq|4r89$)6-H`K3{;>X1l!gud{?MP4{ih;3JZiy*i0c%a2@2JrS)OEBM3A<#Si$H)ItPD)8kvgiL}^hesX z2aye?oCDoo(9fq>bBa9Y;vJJ}A$6RSs+)UHpA`+-n|766Y;nUV7z7hfe{gvc9{*^^ zRyf93Sr-!~3J$V#KFBFXd{v)?^dC7!*vC=Q_XF&>kQ11^2Z|VsN|dAG@Ge8i-)UmW zqwO-!4yOI7*R3W>+S5eoWF&4czrv7yFgA@q04)t6mvpc#6ZcLU|1OTE6GLx&5p2%N zU{=sTBRKtncWo0NyVU_Hnb|1~YLk=x=Zn4kXG4`N&01(!`TUVgOrdwoDgHL~n2-@s zV6hq>L=8X#VDeWnMTB1@yx3jJYdu7tijp|EHr&m%VQ2;>bLwA0#7l2W@nl8S(i9$Z z(o2SIsgE1@MRc;-C3ZAr5orG!jZ&JKjSHBKUWS55;FD3(G7MHyiSo1S$gJu;sNwne zYH7L5{!ni4W3LaG*@%G`SktCxehk zWf&?uzd_LdH>_d9s^*hr@Z>m7%Ix2pA8sKSFDWZ)yc)C}8%v{et+*{_^59?l;Y5LOv1IdJeVfzi_S1{6z7oqBlzG1v?)vyCY0}2#E;V@&H&d1l8bc~EcZpFV z0(2jN+Mb4iDCi1Ko0dGCI1`A{w&P{@vB_iyq>%cf90AyPt@bCl%y5F)!E?%`-gt}k z6-iwe7Lx26QZfwemw*tiaNf&5Q+h;CKi&B}fT7!2vxdvrUu;)AfyAe;+n*8DFq-pF zh$43{j`E?6-XFy~-ZNs~%f1GFyhAgNU0^G6dXz#jz5tDWNFXWWbN82~NtPTg$QwYu zPT_O^OB@N2(MCJ9I;=Xd7$@z*SQFRbzjy9A$Y}`E(E}mP0Y{8@3RLY&FbP5CyfCKo zb^wmDM(@i zE}%5@#-gMzbD0_Ud_2T$7JGM&5E8d`blW=yTz1R|M?rrDXJ>NtawOnzJ@x{?_9%#Q zPT4dhP+7S}Mf*bM7&IWPxAji*E41~tu!BA%juKzf7QT6FuIG@ia@|@UE4pF5^ETZW z?n9++-^Tq`h*g0XRz6TD*UFc%r((qs%kf%QUlC?r+m`0^B7rYp5KJ+IZr8E3W=4;m zt+P5^H-ssH1h3syaqGOb@R;0PC}>JBEs$zeG+Wy7zl_G}g zx>Eo8;j{zFGiT$K&p2*4l0)Z{bQ z`a7N66#$P0lrC8BU_A{rL%uY|H>web@_=3j9d9tz@F0v)TOai95&7v>uGr8Ad@!7p zJH)<%4%}X;y=54fPAs?ExG2yxE8KQXk+dR|b-J!CVC}~%k2a+$_IuftVOrZf93E}D zyLfIBcvXsgvG!?ewf4d0ABmiPF@_pZ`sXzTw zdv7p3l=va#NNe8MEDZjs#r@05eFhb13I5Gw?)^~qaT!!@N<3zoC)AK8D78@2V+I`o z1-dOknla#dcnPxRBgI6TA2q}A;|oJb2lb;^M=&9Xh#+z2$>I;S%x%(Sp@2J(TIeXQ z1gX8flJIXfF~mMj-NWg=iZ`vROp7aBHIY=n`>m|2zVkI}`7d&9UjdW3^Pu|WT-YtJlFKC#?kq&_NP>6dnoAT>hYM=Xc zbL~^lm`b^mnvS8v!SP+Fqk8`Tt$g?jCcYBAHuXqf)G+sOL22LMpej^&wA?0Wgd_7C zGRp5uqW}^Px%!P{MZEwzRB=3U{7Gu{>VK%3W6N^pnPf0|0L&JKW2TY14inJSN4pNA zIn?46GjGHl7{qnI42YD2Z9M3n-)f@XP>I;?*tRkFQk=V6-DS-ZO=4K7z>_17CveOP zfH4ci*@SOjN9PS5t>n`hoqEr~ZL?8wWyImTqyDmY&EUcyM4Ng>lH<({gyP}X!L}l-*e-KIy+cU{K0Sz&d&YMsmC#j0{H6|eU=r}yd-TJlA+Y+u zTu+la+z!*jo=j^MV8vb(4gDZ27?O!)Ok#>xj(`&lH*mE|9u0M zM6xIVuTK9of7I9W+-@8HE0dLh_dg69qzr~YB)4T1&Xt&c)%PA6Ti6a-SOtO|jfU!v z1UD4>WP!Yo6p$e?i61?O@A_)(`2`Ym0#YRN&eU8!74>JlSEz(Z3ESC)@%$M?egX^~eS(Z(|=(zr0xJXriW$?;<_-LaY%iMn1}ExU=$acX2A~ zPaqDJRDGrS?c#xwP4_(@gFYc9!IeDWIryS^^EnWn`r8eJQN2I=n$9;k78Sh-BudI` zKfCO9I7WXJ=ki7=<<>t{(^)GqEx9&bPar7{zQ#I<@6semcBE=nyd(;G$-=x{sU)vX z%E^bRL(dOBF_*I3+j}ml%=<&we5E;qU)pfXM-22-g2Ku3T0Bz%5|hs2X_^6^%j`eR zTFk?9OnJeY#35t`AE6b?6(QLaQY)mkuIeP(=x=3-puKqzB-AH}9cAt3E5~N)=KNb} zr@gPv%>J)(?Bx_#=`*n&H zuwPz&!XU2MmL{%I6=HAH6d7c7`lBi|fY7aFf#8i|XLw!R-sU&mem-GI2BN$VtM-NB z;KwD&^if4%liMv~uT_llhU`C{n`_gSg@L2+Vk$;%JojO=Lj|9DGbF2*%}wuq*yA4a z@SjL_^`d|@A=TFPs_@?X8!3%gug0?kf+vi6tgO$_>x`6>)V}8c^`!}mPnhZ8)F*m7 zE{1V4haWyQFRC$7asOQ=GopHme;!Dbe^$UYcxITq!8weke`nlRq*}TN*5%g8#g?Cs zmxd1_gQiEnlo+V&)CB+W(pBXS$=AfEei^3~79ro`8=N%?^qqa*o7cHa(#L# z&oji29t(?{YF01WOUO^0>5Kg7=yE+~oL1YxrbD|S$~AnPwz`Kl{dvox*W6Ac@kIyT z*1Y5+#Las>)dtG32*i+dAxcr%>gXLJ9+H6vI&Aq|AO9BN2*U?Y&Us#ryqLTUWQb4Dnr$l1&m#QV|AWi zy-Sn{ngr^E?H%E5t42Jjv_RNx)5!T1CmWd#qCcq&&%pZ-UEv_AH>;v8Lo)Hk%og)K zbEX(*adX27+q0Yu$uIhHD02D10yK2x%loFFr8kt4NGu*S%RyS-b^~`c5cfCM%U^-N z0fT5cQc#Glj|#4yVW}@Kp}SLG{!g5F{G0AAJ0sp$VEv4(r(yETKrc4S{nGL?AQJOf zTUNjxwXa`VFHR1utVvLg>9&~O2yJ!5p~fR^*${BVw$W69-I1cpUu2nW5xcv<8yDsY zWf#PSn6~f$ZNDkJiq&g{HtOq;`q9EFVb)!nML)Qpe$foFRB~t=BS|B;&y`FTNW%&; zz&g6JozkA)uJRbZAufCUyy}bJZ=B)q#p`G6H$tye{x2ebIJkxy|J9Q#D?PnYX0!BH z`AGZnvhOgf0J5GZY&8z3H}_^nuWy-b3+h=@pX&a`)#cA9c_5x!z_Z_h&q1`x)cWu^ zMrnKjE64G^-iBeE@h8uW_ba_Jxa)TJ`^KLFeI}j1gO1N^65vU1cu-~X^F2QD;lE42 zeMRO4r6Z}rlH~-Cwc<#21&mpU`cV`Mz}S%0(~Mb6CRGXdr8sHKwllIK zFusekT-`0~voF5KuDviBkbR}MQL=lFC=m{~46x?Al`^RndU3%c1V5)d`lg58$(?Wa zo|_tz9PV%0oF=>@`Te%%(^9(MZv^Qhzj&rp8*mQpG}0vcetee#TYEA7iIhT~HNtuo z%vVne72%ZnCis?py?RC}R+O?YGhP~%I_8TB1_t++u6@dly#VuN%bEBCcJF$rGz_18 z$5GUAyt`_vo_($J6=gQkt<QtUfS8C_Oism<1jy?PNq9r8lv0(*8%y^S_fL2UoA=<~B{mlle z5V8T0Szk0H77msK6P^?C*UkV&1*a>b1Gih~jS6oi<@bcmY9xgRbZutX}=(unW zW+sq7`c^yBEiQHk(O+_lWqY-t{6)SQ7meIcMjgrK81ordb;SO!`>#~l!|1-o$3sgY zmv+#1cXtYl178mMWCd$tlw=jW9aqdFS6BCHz9T^47_qJ}laJ#soH{0JZCV9w1`*FTy;968JKlCsUO z_`np$oq&p6AO;2im4F4cpd6JnbB$^7`Y>a%Kjc0stiD7^>DPk$TBU;fiWFf5HdOfQ z6a0i?o!DK>M{<^3&|90e+ST`bF5#L+#MwxrrAz&1+jd$L^gEt?i|MFu9CCQy4m3{l zS&Yhlq^^0vxG_yS25AkJezogt5~X**@DY9Q=`gNLGCeKdIaK?(%h(4aBg(^HX#%dXjm3mYm4Gfl?i1(hcP8 zq}RQ|>+ zTVwKo#_e&j+xxtn?bHLF{q7B*GsRQ_BPY@%i<4sw}@r$^=1FN{%YSsS1`yp#bj6}^;QrfrSLl{k59T&hl0L2db*=H~P{qrms2+L0EtBzYmNgCC zHGIEt{sDSKTDbYXi?h~9KUcTLSr3aqF8&G>G%C`8)3yCS1oBGK7&kQAe%OPR}!r?pdiDIYgW zpumFjmS;ww$>WNZ>k5;&8<31~Bl18hXMRrT-fbZB3oiH&mrg=ts{G{rN5vvlqDWWXl8HLDdv*y2{^J;bV0_a_Mtzrs*vLg14 z?WHjDsdS|Tp}f*Wt)$u+c#VM(aoc%@HuSrnHD%;TztecePeoYn7sc{h3AF%rC>ISb zOJ@+8> zk*m`trLhL~LY_-YOO^ivphpyt&J>hB&u*#Yc5{FH)SqPF`$WtZl-E0(kVcFKzpfxYF|0S%P2HdL zw>yx;9)V1=PEsVF$`;P4zP#!05FEn@|hwI-xgLA?eGlounB!`8n`r*7=j>K|;X?LXX2f^Yp-5b)Q2?h2B_u8@urLeY}I?a#?J8O(Hr`Qc(Y$7->_$y&MH5&|ez% z`+71@FL7f$3wc8`+mP)uXE0Gob%cw zbM0Po8wsSR(n+-2w>P${z>(NBdpbUDL(LtcJdG$NGjxnk#v zjRp>j)o=T1DG0Q!FV$IKz<0Me2Q-TuZCMv8c zktH@_+_Pj%x(Xl!00?hd)vh7j-~E<4t2~iINyc}O6&{$ibBYAOkp5+uIs0ZWx}XrA zCLc|0zr2C_2~O99YA3|F=K7@7d0Sc~j<-+*0uLjV)r2X2U+`uwI0hAjb!i2IQ;Jlg z0>=L^RD>9S-0SYTncOvGb+mpjD6i?Rx3FrO5tqcrF@-iIDIt?zCS0cVKV*VJ7rU2p zIoL0@>|P4GwGaR4oO;)7tpJ2iC>$YzAJWP0YBiEX1cRjn&YBjMVP^6#$0c!ny5_6$ zDHyt{iLfk8hI`cH(vicor!<*m4RIGv#PilUXgN0G>V{+P)L}Ij9%A`mpW_|ST)*o# zX^j!LphJC^BxZKV3S-DE>4RQc5Ke0ihM%0U|8%ZfE2v{qJu4bDtNIO`|Fwq^t-_BB zshRwb^SK;PCY>Z+havP_s2xvSQ+CJY3M&K$zSmm1Pc4}Lgx5G;7Vc_HyA33N(Kqa* zZV-q9H$VMQ@v7!w)vmV~iRM0UFOCBQ^sYUxtC|M(Yhmddhs;R&id`AhdXwqAG>slD zb?LdXaLzyHa6!X&v(2qU{eGn0+9r((HH}cnG(QlIUM$LU%5-0r`l4!oy+=sBIRnTfDtSrP|uOe{#NY&?6;vP`v>2Q6GZ z%0KGe>Awe5?qqGFzi^{5l=% zUP1+PV6bh_@b4cr`(qCVJ+9%1wwG3UW&GdfbJsYJ2MmQ2Mm^~7nUn?DLKjsB;u6bd zXM^?E+iOV74Q8aXwA9Rcw1+MeNLQRe<=V=))u}5^D{!zJe|_;cI`#V-aNp5e#!L!& zcH3%Y1`(sFcWiJ5Qo;UcVb`hE;(4E^x^SBFa^s2N@HFU%c4p+H{-4<^xL8>&c&%yv zyJ@@6=g(l+{#dsSR`6o_b3EQ;{x0Dh^P2l(YK%<&LN({}kIx`=)ZJsT(T!0JcW|&d z0fpcXB30$hIndqo0ousFvxU)ob&;A9dQw4VL!AyKs19&=^p~SI-%K^9pU2t8opC8~ z%jnw(SgIBOca{rK0^k_>Hbl%cm40_sQ^D!VFbu+lzIGnpJHpCMM)dy2(UJ{eD-@^m z3zsWnk14y@AF@a&Sv@~kQ#su|70~80I11?)nMijWXjc+<)syk)aG+lKZ0rO-U~OoiKG{IfPt^xewUd1S9(UuZn6;Kgkqd62m>B@ zm$UwNi%gf5XDX+P^OLf`E{AB-Qf!h<53c>kFj?hImhw@3(%<;Gm}k2^wg20Li19GB z)Ofege~Bf8@?SV45d)eEMAYS}oJXR5UfcX7l)*2 zT#iFoYYZ$~90=@g8-{T=)w+VqQ`8HZORXu?MafAq0XC?eZw75#gCG{Nwe#&52?iM& zr0%FnyE>ikTVa*S>JZxkSPmH(Ps;k4y4rzu6L4W#UCE>Gf4uf=*C)DdU1M{Z*>iI$ ziTysMu*g|-g!MYP@|l5(YG@-ae$Mc1Mv$9y9ua}r9xAu_R6;CFFRm(63_c|we@FMo zrchfSlWr#8hv+RJ0qKT&8)V4cuQ{g`bZQV4THC`50#&{kyi%sMJxW{Q@@%J^u<&>| zrN<%d5BGM4;jY*((8KT=A}{;&-KtfJhmH{h9e==(TkVHO{+NGxGZ~_{PZQE-@5T>O zr~Z4Wb9#P?aQ1g2?qBsYmXjh%cO^MHQU6ZIv+%v{YOHvvcH>wi8?d_ypwwlday?4V zPJY|PQZ_IBn~*VN+U8Ejryuj8E<}Z9j9#XXHp&;zE1qUXV%&-Xs4!2ao9EZnS{isj z>dG zFNk1DzN^fewtM{23~M+ksf)7ykFd;(rw?Dh&2afSJ{vG!zICFdKjU2 zAmcHs@Vm_jFZ~dj96$AddR%n&p1v#y3(Qj-$YdtDw@9VLg$UY`S_(mEc>=;t)y1FQ z$Q@TMEP9rpx29j4yHIm6x+ekM1zHECTCTrWF7l~8qjzzP9hCRxtS;pw4O#KszA4(6ijmUvIsRIuh%RG-#z7* zJeXJCP5#P1x*S>^979mhMw$P6^vodPGW4zCheJRttj>+mq`<%A1#h_^TdNCFzaUSN zLgD>aA9`fC*>YLSTuX7g1jZ2#Tm}?qXEKO>okIh%UP#xpdrVw0F*amWJ6p|`b(KMB zDukU997FN0ZtbhYpmQ>X3P^4MUQMb=eEG|;IiD+>bygxND7bZeaAq!K>x}p~`&Y1o zh3{nBk-d8xu%}zE7k3|ZM;lp`jxsNQmBYHU=*(gD%&>|{HTPc)2hlHNXE6d$1WNLz z?R{ZUtMWBmyG=%?1c+NihX=x^_NR2J;0<2TDfKstsln8Sf%rO3g!{h3=UeyKwEi>4 z`vx6nmz9lV6lx=TmJ-yZD5NsYS!RNtS9BLFcH6pSzGj&zG>DYZP}wqK1Y@NM6>B@D z;P9oL%&1$o`7RpZxiIhe*HwXAcBK6^rRj7nE;Y=Orw{u+o(GAL~o4Ul^Q07wveR z7grN%CKDL!dIqDR1KAmpQ(lFMl>Zs&j@sfVdP`H+n6Fgz{F#h&vD% z4Vp7(R84?=I4UgUl-qiSXs|G~WP4*92Q(rY1qD07**8hVzvQ3cc|?olTfY6_6;z8F zS}!f( zF@dG*k%V;|*uWlgUIEqR6!Xxla;kem?mU5+3ze5lE)x_%6)SR_Zw6Awq; z!$o0;)B^P{YMz}lZ7Mo)H9b`aIxC)frT#TL??aXtyqX=W=98)TA?!Cv0f{#0-&jkF ztq2P2^>UHRa#}O1H|e)U&tI+B*RgsH{WX`jJD3Y1%eB5Z!FB4I36aZeGAN z>HQTq-Ehe&Aue!|+i~Vk+$bq1(eArc0^je8B+8ky>ofVJzl->p4Z$1@f0BMfbb#E= zp2+luM#ryVvzM%f_9l1UDfC1FB3xFFlg;DU>Tls+^in6+GmfU+ibVz(LiB)~J|~3L z=Yxsb-mJvPK|kAWp3lNhM9Y4&hRC=12q@UffWLGM6dl8okeY4obWkYcq(%>EpDw#S zv^@@^Mek~$w2t$9Hr718hbxNi7=FGbo!RSa9GO4#0sTOp&ZGH6ZB{;bozk83${f|y z;y}pK$1(BCUqTnm@=w2$ml0@P8IhsmJkBudd4P)*LZIc@wXCah@;x;mGKHT+JQSjS zIXhOvM_CSy-+j)#GEN^7<$|9|`?_TPbpy$*yD{C*7@E)BL~plxOJ>iodYE~}p?q-VGE0&}Iv;@>Kz-YE2(HAT zCs+O_eVD>+>XAI7zwSh$6};~>>Mu}HPWI>?9l2>Z@1UwHG1LL1r zebFsa*E&!M;f91_$D2yTGl>{^Tn{l>)8lu*U>Fl>#Y@np1#~3KoL#;}XrfPrIV*64 zeB%AI8L6TjnCOGqFB1H^`cf>jB7cF$&i4`kR}|C&UZV}2f# z#NdjJW@U(N{SMh`(*8$2!YPia;s)l&jE}@k#ZzT=QId=w*5mJbj%z_r6kvJ)lg5U2o|iJHeYW?lI8V6p1^|Eg+u$J$*dPl4zl>bgGL4Kea=&~RX=HL@% zS$UIxrza8sHxe0O=lSVXW=d0zIf0!E?K`;pqrlHkljbYtmH6#?Uiz*ppzHH6bidC5er@tbxc_BPp%mz1{zjMapbqIjY>`rIFHbd*$#$(S04x@RZ)nE|JCV zjJZ|i-wfxZQS9AGzZES))hQ*~mRqsRv=X2K$;lu7uRS?KWp(vZYc*#0iM@dgQ0KVr z^+tO>zEIz>tgQC!x`a3H(_q6DJ9brhH}9~^a<1>~>>GgG0o2J4N4JZOcuekgGC$I4 zW8z}I7rQ^2>O6d5rV>P!XL^BjKC#-$)}wY*ZAM%`!wl3_U3%nyZ6o69yEmFH?1D8$ zctpMYD{sv}#O~D+VW9JdajeD}ZckRbhi4Q}|Yy3E314OVpX04nddo|(YZYoUxkL<2lwiwq&*0~HY+XXg98-!U_KtKj%A&DhnY2w!mB-5{=$|}9HY*wR|!tTg(S&Z zKD$N*-I3HrmNuq{&}rSVK1dRzbAYWdu4%SCh=`x;^ZK;}i%bfLV27RIB=tnE@1Zf( z$sitpuf4aO=DLVUxT-5fA#NU|$at|s(O`IuD&GD7VRJ%Cz(qaRQ=Q14XRA40zmM$j zgF{UasV?4sXDD}1k={G%cy_(X$@b4^^A}J(wb#hko5?a)qfgeBcs?RbMIl~3iRYNtFX5|T;$SVTIKVCN@6Z?fZ%+(K2Ajjq82se7aGsou z1{%R-2#4mUcH9j12*agO_(-z(0{jkE&76*pao6nPxmvwn*^p^sXF0KU9frfV6}3;!J&h4^ z9oIdM*by2(^xFh{??*H;;@z^Z9+UJ_Q8{8*p14W2Z5$CLA2K`pCZ73%6O73#JwobbFw8rrn8ChbH75a)@GDn52)LnNRgp^^0KWv{c10#@+aD&A;}S9R+kl6 z99{cCyfkt1S&mCdqtdT9;q$*n2)^+Q&P+V%tr_vVIgw}OxG%{Ap6suF6KP*_jMruW zd2zIN{>3AUX6k_S*Eph!h1i>kzs?AFMYXqAQsw{q z+F{!@+|Lr$(l>-pG#kV-~E1X<>RYZ7Ggwxt(0aKlCW=l~v+p zW$0G&YhcaE7*8^!{>HYzV%iXfn30-dP@Og+2?{`naPgOrG7S`I#iGY2T-157HNI^5 zgYbkrwcV>(YotDIHA>QLtR!jfM9I|8`70~$u=qdJ?>~HAClFg-lyLdV@1nVcSNuss z`<8QLW5)_$ND6a26QJZfwy-I)xJE*e2q000AQza(b3(B&8;sV5stS+g#@iKng%_gs z-v3*-_-+w;-2qRVdz~gFW^Eg8QMOt5tyGFqMmf10;%t!GE3z|{zIWZHly%Rj$W?Jh z2DMbLL(2ZiR(kOc2Uy;EWiN1RSh5Lb!lv@)|HhqFK*BJ3(VPg`y+LtmsQx+0{iP!n z{9|x-!1}60?r{(xPyoj=D-gFulzVlWYA&)bgh1mUY_?o~*hal_Q5~lygjI8~KTIxE zJ#OLlWIFK1GA-Y9B8mK`R-D~==y3tj)EiO$`+d2WFQz=v_tO4xt3vclhOxl#<*@C? z_oCwqbV}5xIZ_85JjzY^29AYeWOhoq3rzra2rs{!Gn)cvy1c2E0x^(&5l7q}c*SiV z$&>hymQ4_ZIj|7kTHRH@E}Np^^#l=K4sKx4V_vT?iEe%Cf9DtolwDZ53D%j$Q^oD* ztr9sFokAwgN3ENF!B=;9?LMQUUOBAT%(aXi@RKHPVX13auGCtasslOtLMS?bL*Ofi z-$xDddU;%Q4ZCnw_9afBFP9-selNEI(IzCvJe?naC}-S{x#gpk^wJKi)%G*ldjfei3pM*2?WaMrN9*m#9E$ z->u}M++w!syQz)(^&|b|tKtH4F8N*i5~-D}5>}>PpnQO^Nd`c2OdkgR+hJ*3Wwlb; ze?5m*aG(<#&>q+tU0FrkH`;+5EbeBQ`@t2h@C5y9Y&| z(DgS;Jyvnbb62&RldsW0Ra$hX=WQ$b;f0{go+4gC31edCPiatrDV&6t-G6VQw%)J! z!?up*=ofoMgA7o5Ffi}JeRDxqr~Rk&)@FpDpDKFy=vzVLdWRDkjGznWn8(3MGZaY- zZasUH#j4=q_|mOj&-+d_4Ta}rrCwah;q(XBqyMHYEpq5VL}wJQq7V<|eBKw;?xc-8 z+8+2POgGe|GVSODZL57HS5ugFJcHgCW5-=C01r4jL+llAP-&(c9cY4z!DlHf0sy+i`}K z8T1uTq1jcXmPuX$C)pUsU^9%^1wt~gy!5N9sJR(ugGVPF2G*#X*9YBG>&N+p!*A*Y zhEwu&!qAKH-Oj))Sl^upOd{Aq<=*0lqW9H5PPXa=nX&p4hk5$Zd)puE0^XYdTJ|a> zYGI<)YhA*yhq2&A(h9pmH`xTER#2y)g!dvZ!Bv0R#fd@k4X^6 zX%os+o2Ens4V1OT%V(gti{Y11$*oL37NtPXvN?94;AVA6$sADUT z2tLwwG!}S#=PboXot((-O++)Jw<94M2!>d|kM)~$nKgEiCZ93gJI^nsZsGAQ$cD0> z-xov==GEUIaF-FP#qo9)FMmCFViCpMI@C`1%U&oC#6n%Idov}h$;lSCQLdW_+OwVveUphqOlT}=88HMMG^yyw%S zQQjoY(JKfswV{SH=Gkl!8HG_O8<^*9#O+l!;PlEi87wU%6R7?!a(rDL)9Q-Qd#|bG z!Qz$F=Un#C>fj)r6==-B46=Y`z)%kFe5d60swtIPN=hI_FeCs1=HCDk=m ze_E7(eswJkplN7nkhya|{mHhtDenVBA#jrc8J?Xi7CQfr#MaMvT-wfZb5j4A0UP4V zL|@I`2dz(-&i>4Fo1dvvNrMM$uCdTtG#>v85*F=$mja1CoKT@M)m`V6RP*Jd-}ssA z!E0B0K4)u^Kb$OHth>Z^;a&`Ub^e3N=UZabbS#oJ$=b4UrR5@B0lY`+?XIb0VI|US z0qd>9_Bet(7G#z|rLVfiDBLBsD$?a3AR69%xpJH}b;Isj*Nep{w$$V4^EsZJ9bwg<2gX%GDR|4~t8<;%w%9Z(l!?H{b>VN80GEL&yeA z;Nk~$FLW$mZ^VRzYmI&AQ5`5p1e(1_V_IyEV)A<^x|s)N^-Fk2=odEYb3F-vKoB%c zc{Rk2^m#l?bKKFs&!of3oS(4r$4%w9FUQbkErwt>G{ih+5Bdv7)wPl9lED?ndmPP; zxTv^@rO=5FD`mB~pLd^(jb=H-(ekf;Ur6k6IXF$xhN!9w0Nx=f-*r)Gk=^k!%A&6Gpy{Ymwq7@+h9?% zA94rb&PO3~j~c%>-8wVVPb>Xk4$z$md{XvpP)VSTyq*$)C|@Rl0WQcR@!G;8 z_`=e+W0wK;B8a^JzV(T&O1_zAZB-WmdLq1GU7xfo6<*&Z_TO1HtbSp7t-ZV{Gtjao z*m7~67Z5~Q$v|@(aT@%%@ZxWjejzWA!^vDl=D$!mzipzt?T`x86KIE-XGq_zF*N8Y zss=xRWKe0|D_gR0@Aqd4KUorZC17@stF)Fe#clJaZ4)!M`=mnTyP3WRt{4JcW>@-^b$o}N#}qIfJkVCM6dJrdx~115 zD=%yV>x*g~&jY!QkYXkGgPLZ3(c{ZG=h|uPUrPNNhu_H3p5nOR0Atc~<>O?lTFl2- z3bo5z0(H3-=3*NOD`lfnMj)Yw12Me#hr&7BhV1&v2fTT6ECsvEPgd$_j)*0Dx@B~z z>wfX>y!Fk~1*enq4kh$cuahitUS%%XlRY>NZ2Jyv`d+zFk88lj5mIfRrYTJbTr6c@ zo(lYDC@DqX^7>4tX?TaG=g6z6Gobi_wVq!M+Y*_ewrc|79jxOTP%jtD@SKt$cbh2u zowk*G%_|_j&%cE=%LmMHsOxeY5b#M0s=vj+VFY&!nI*Lxi932NC;H5e2>i-_aNbv{ z>?%b$5ld6Lvz-vEb z;SukX$u@*)9T+}Fs|c4!d1=`X?F(L_Jszv93jIw~jVwN3B=xHQlEUO;LLos@0-)+8 zJo8h>r*iF@lSP=nvE|4M4!6YB^tJvzsC}cJkLKQD;i?ZRM=B4Ow|tJ5lcVOZWMQCu zisR0%xg{@w7xoO!!QOK8)Ntt;{9yoMA@;U>jV{in;z?|odP znHT8mfmCzr(_8X2DE&|G*M$h1Dc%N60p{sqI22J^Ir7gMwJTu|K8H|Bgk6QS%<$c> zP~Gg;y4Nxu(KUz=%hVv+25S!Tx;z(HXR4*%EKIXGQ>&MQm551F(7!Uh4zrP zU#nsNZUD=%ZvlBHZ@d|%5pV68S$R$d1h&#z88(Y)FULNpnEU0jXB1fpd!KnVa5DEA z6oHXQD}mg{FKH{*uFG|7?=#1P3}>>muB6dylGjYY$Bkr|iW{k70*@n05&te)D4B~S z2T#V?5<74pDo!6dbCO^sE>_#t9gmiDPIW8d|13;AbjvFSG?=6CffK?PYu~C0C^&E} z{}O&_Da-X&hlPJw24@|e2emH>d{f)FDr~KPr@N$|8L8ROkqlxmNfyvXSU z?MfHstaY1Jx=8?;2Pe9%?RULe)G%+`Jf1az=nG!3p}!6Dyv){7w=YLfKst5~NNY32 zJT7sN#DTZVpiqZ8*ANTCSr5BXBUp+)!D3han1w4%czM#h{u!~S9%eqTLu;>2H%-Mf_$+M>hIE(5?MPQn}Q|qH6*+BOJ-zu;Vcop7u zKsrB*t20&EY!Gkx9LU`#r7V7I!dKdkd&xXA6J3-(X(8nU*FC;FdI3Ng zfUkhb0?$XN;_eh`CfKd$Q|(zEDXo`xKWYF1c9kQGG@1DQ&_Q0?RYBGZ%^Ilw`0@PI z07U0#x>Y@>2%7X_Utz@}5xgFTK0SU!&|VT2)zW(}h#0WTtRW{53^H^suqhO3lni4< z1@0hZXK1}Zj{VVDRTrbS(5G{)L%D(>20ki5lA2ya$^BN6f$Ic~R=zYD+pBsLIRAmX zX+qN@xi&&(2~yEspssn_y5eo0n~+EVxZ9O4)bDj3!G!j=Hu=q;G!U*JKHJ_gWkCqn z1{5A!dpLUxyic4VUCC%$?o)svA!b`gFBw`aEGK^zBk0Xt%bOCvadm?dQ?Kj@+dgmI z{UL)eS8^CujE3sGghHQhip*ng&92U@#9~Ga6KDwSrW}u_otJOHMwLvMF(hwA1e-1% zc<+4@JAb?mEwWz6+j~UKulDe7&=8<2Kow+P%&r|clAD|AHUmx(N11z zRSUApc%1LB2;)Hh+5pC|X$@Yl{n@=)89sUQ;oC*egLJq0{xNVM)pM?cr)0(?0c=J$ zKrQsH*(-zdcQn^(rStg{%%LK6UN-Q6bYK?8XquDx_lVP8|C*Q%8iQH+BR2z_11tgL`rF8&1e)XlXI+VXX66x%^aHY5V3G zXW{M2X#M7%?yGXeGg||4NX=k!-S^guStD&)=uIGo?TG(yuhWT~`?T09xbvoiWuRrk ztfQ5R5ISlt-TEUBP`df+F?|Dkf3J0+ivm669@}kQR^s?qEa*yTu9D`ZtiblDW3TxX zsIFJkXtC$7Cb<0AMQ=*F+@GCr-|lu|s_($4UTJ>S{*w|cIYLXszpEsvMJrxG(PX!S z03*xn59r~C=75Wf7!0+l0-E?k&>pe+?lO~P#g>2`8`z7$w-_N-M!Q(j-pWUs)Bl{& zomQMfA4wxlaIPcfDx19cUJJ~rh&}OAf1)RK0q8A8`*;?S1}KE>iq3uxqFT&CJH(jo{)s zi`2NEHPio5XPV#hH_WeJxskFKYM@v3A}B#ZQhfJ5g}zjv&y+A>VSpR}j_9KCjsJKnVYx z18uKJX$CcCe(UM6e&?-YOnnFg9xNx^p{>m~@ecCStvX*%xvUCA_kBMA zh)V0o={*LG`JwD?R{ulq{*6^uuY5VUZ#f8!2bAR*u_;$GHRYmD|3h~MLL}VY3+tuf zpn_ToK7!wI=oJZ5yGU#*LJ|2hnmcp(ouK=|SHBT4unUrpF;m3?v1%!BWg8-b69J<4T~XRz)7%6;+&hxDT~koh*92p{|q9G?q(%LW}gX{K_A|U)?_RvAi=d&Z?@t zpf@(#xNNpGSF^d3&~{~=6;w~OaN-lG)@&QxW)HG86=x+w|JyjDJbN)<1EmLbcOFej zjyMO$Wb$dT`K`7h{iWA7EkJQ3*n=s`lFAe8v>_bOAuxufxf;Oi-Zv2q8aY8MI?W?5 zn@8-%#lOlZT^FXiP6PW@hTquqflD@*(Y-PnS0Wa#m)yOx_XbFqdWgAFxGcgfl2&bs z`Dv-_+9KT50UG}cUj(;8^gL$&1r_6r?awr3zLlJ$kJDV?dX1)nyL*}q8 z)X?j=dPqMT(VA%YkKL_37~Woffk_fMd8Oy+L#-4kJ(;%6DgDjg<=*c-`z{KOVgYRs z(q_kV%;=v+ahom_Nel%~>JBdH2r)174k_B}XECS4vx1}^aokHCedCSAoM-SPyz1cI zi$_cZ;W1{ddY~2eJ>A8%*ym^^a)?mmBUU0d!Biz%W_Zh)jnlMV>SN8X(UU598L*o` zVjHHLqnhq!o9@xxo2wSjSzj+1xIm`KDXCdYj`gBbSpQbZBok=1!w>h+f6()EfVDa& zEAkADER&sj(jRz?7$C=B6E6*GNqDhD()!o_O8j1 zl-++xCyvNoMhovaf+)K|t6EHYYGh-clI$6eMB*$*TCV{Ks!313DR+(HZ;8>-bkqCA z?lW=Xaj^|cjL-%NHT+B?eQkk%?o-G7T%2p!Uj}U};eRdT9}6)Pp=5iDujJQrV5Jqh zeAV?35&$8>j*YK&=x;<`j{@PcLG=G}U%Ghh)xSiLg@Di&>be!)VlYTTIs2&w=c6Iu z5uc%3l$Vn0xcmAUF~MjJ8sE4D{*)v@R7feNEwS7@vq`_UKXdAvFjPDrWo&Jc+1D|z z3T#n>$V6~Pkw&}D4?WZyp)0u-Nca>AY(2Ho*C;HP*e>V`p2il7PUgY~T7qL0rPTBW zGB4yu2VX9KEd&dvBx+fokvbn0u$NhXVFzU~UrZ~f6j=v3ejoMwv}m3bK5RoibM9Vfj%?Hfae{(?`iOc zX<61O=>K8AS~>Tp=t$SiA1u^X2vprKP zx;in`sR2r?ug~8;OWCID9@h~0|7NU9pojt>+fkhXNfO0jmaQb{j&Jd#XQVI{_`OX9 zx%e$D_^>i&<<`Q&P{e;gvJz`&-8INNB1|Ozlu#Naf4N;7zJibP20jl+k&{88HQa*X zE(H~@z$6jK0&2Qe;K#7C*A{W=#C)elR(!=rLGB7K#cyfD$g1nJu?sh#SpXbEzhghu zV@)-sfON%QOuMBMkcviWB&nJKJ_Y)WRTZVX76t`Rv#whCRZG%I2#u+}kmp$h@W(d6RigY0fs z(t+wTN9AsQ>b5|ORWmng_9r6^Um_`@FG6%yVYrp&@{Gbb`1wS-VFL#6# zHq=*ePfn7T$_8kUjsqY+atB0_U+k`+b@Uhb@K0O`5zZXyLneefxO&ZT;6UKuApcld zvgOmjmA@jw<1bCWujnYU`4+Zr1_N?V1(ftAVN=T`1G+f2< z8EZ@p#5AwRg3VTfvKl(PsAEOh6haBwbi;yk%%?N%qOaCGc!&K(MLztWG%}CbV_>2*;LeK7EGsc+|Hspe@0Y>rG!vR+7Ah!rO)i&ZDVS5 z$}L`U2=J6WiyrT=Oi%2Sk&+3$;`HlubX5}L9Psl#FWg3C#wSz5&CB5?#bi5_R&16h zKEF#XdN?;u>!|H<%n$tFXExp9s{wGlE&H2SyI%LEyssG6+D4K%D6;0wc>8H1k!C`) zq$^)K9lN|$j3Vzas1kh%A~eZO?mzARBMe*aq6U$^&|+&ka86%_tp~AffwJ zCCn@-IONXBl4pBzJD>91e21Y$jw>o!3T-1*hEPZxsk+Af)~W2^@k>_U|FxWS?FoP> zK9#iOU4Z{4poP5!e(RIK$>@0zLL*}dO3{)Xv=JIH$?etWn7w|><(_v`!xBd9AkxdKLhHe-jHd z8(0&&F$z{VAYXTSC63eMwqs@;EHVx#X^xtv15^8$*+)mTw%e;HXX8zGx3fxG+ywrR zFZ%vW-ddyT+WQ3Y{fHHp8anF74wVYmGvj(~-Q?MPSK~&rM2>AgCr!DfEwk6qeIajK zmSu2dUTm(@)F)H8O0;=2m};NTm~7fWf2j=gTRaloRC3FV%^#LSAUqv49-YP1b2a5WzYyE>D z%)(ERH|ALUmW03O2%V)C<$aQvnB*fjqZm_()wl5nsJ$!%)W_f%e}4_|1qB6?VS&~y zM!`$cA49s^>mt3%-ghsh4xfYceJ~WI{pY$x>2v22WuPWA1L;F1Rzucr6}@@A;f&B8 zdS&s~27?*b9U96kT)XAD3*`jVU7WcTq~FgidNQJLG?ya*i)I zXfy~}rvz2vbKr&L(`uJ$kp%tWAi(hG1J}3-YfFZ+j}#^UE7#}V6D$1x(|6@hG!aCaST=%Ox;&b%5g3oI z6D*S#L%5*F#{+hPc_z~F%A^|rJ8K?es@veV0Mdl<$R9p7!et_F$c<2Iy6JiKTmy9j@3+M}!7OV1r2l<1>9 zW9omcwUVVMMlsg5wpDMe=dTHv_5yW|Ex66XCa)}E8)N7RH>wmoC9e#wuwQg6fHLeJ zu>1U#<$Q$+4I_&bPj+d`}VutLLg~(4|p~nJ1GW2Lu^V{G#`6^z>ZxhflDql20_}6hGZVN z({4;f43oIKmO|?( z#8)9>3T0rs1;KUpjYyZ$1{fBi>ew)~?Wfs2eg~uv;$rAG_DP#Qm4n3%gA;R^}M#l z<%N^C#B|7GOew`FV&IsP8zK`6{UyK$P-`ObLSb5@#D#x(d9_ZnS=sGPPCCnB>YGvV zhZL1EFaj>dn-cQ@poO8@s_)BivxGhW|!ScE)71k0a4E@ywY}k*LhgeuTb}M zzJaDTw*KvkdV~H>&>;{9W5LWC3alvi1!Y}{2=RQQO$u!VDCL3yY|+D>QR}e7uTA>x zz~Br_pNv7_lrJp=EnmN!qJ0WPum^k0hWqd7bNd*4FH5)fW=jM@^IAYNS6)+M4hU1; zAIi0nrQV#FxhGp=Btu%Y_X+KJ@Nf2K4X z?ac-tNlnD#UJl$^e{&?);?~&xpdRP@2n;8hYzALi?d}d`n`ijiX#<s~qRKkUh zwjxS{mM$coc@+fQ!2AaO-5R<7q2fCJi$|E5mNk1pU&sr`fsCBSzU-R1n3Eh-@`Eev zq-`?TP{|6k%Caf%?sGwbfgw3sVXhMjT)$?Mb^?dJ4l$|2o=T7~4J5JjX^doFo%QIy ziZhRLOsO6BXSXJOHbt!z_!*`eq~NISsSQxNv|zxZMnzZkmu&x0w=pZNd&1hwndW~s z+MlfxgMYoBDI$fMA|brezf3G&Xa5acmeNOQR93m2_Kg?a_u`0g{iBCGpb#ybcN{Nd z7dE7N{=M$D0l$Cxr-G?~(!=r7(sPkRHcjiOFFt`PWIo>K>$_3nj9@4UwPeMEfBQws zX%485K(Ygv;@85wx#udir075a@tzk9@wVR%|8GOK2(@f#i`w+io3j-5ang`XCGvXk z8gc7(}%ri_~Yz{BJlDJY`@Xto&WDY;d|Em9MC}Y02I{8)On?Hn0~m6aZ2#b=`x)Ai z+4PpFtnppbR1hf1{+>|YMMC#;i6<1SK-CV1t3tFrV)_U~WgOkL{*XJBaUKzsA+kFx zHYuc-l$+2_IzXiz+qAKA>8c@E<5Yx;6V0I z1$X-z2Qg*eJX;|FZvCGbpVbQv9tUCfV^{rCMF>U#uc3rvdxJN9IPwj8P^Zy`Ja61P zky8Uw0GOpy(>kU}^}u)QQ+EM?xr7a5o^rhqm9?9?dS)vCX*}w34F5ZIBQ3^2CxfOT z-s5?znv0kn<&;_U(8>o;92Z@28e^>oeLT9RZzQYj zyN3xQ??H!)NbEPRWYjfr1cqBO5%0csr|nZg`rxtf)bH4Lwl^HXs>B7;m|Ivl*;!0> z_!<4rP%$awbNqg$2cH{|P9%nvJl3vqVnSN5yv&zA)?U|h(R*fgB0OnVg34-NlQ6er zXRo;)D>hjCpbi)HBCHz1&5r;D3KxTAe{Vpqy->(m7JtdvVXbrMheV=AjxQIX<_l@r zE5ayU!GIOK+U~GA>Ot9^6g&kMUK(lPUhOZHyD$^*>?t&1#YNAP*1%(uEM&JkIrP+- zK^AplN&ot)NJWl*3Dfm6V)L;lZIHI#ecjujr5=h~z=z}_(rL^}9f(N-1wORa+mesM z8FOa(llM6X>Pe)){8BsHU}^$x4y1oK)(DST3-XL-sJy)YKjw!1;DWS*W&h25=+Qy& zKmO43c;M?65rB^%@(D@vz8h_fVMvzAoSG>RvnUx42jMKh3;-{d+AQY^ByF$ZJm~R~ zicqwXEnF8$d=xpyUmJ2AqFnZs@YCHNXNec#asn5DbOaKxR$AB)xvuec8|X5FpkvI) zbfsHU!mzu-U9D{cnq_=OkQ&hqMZ1te-n}Y;PA(ROqvbfU%7)!u%Zdap`u;(ukV+p- zFd+KnA%n07t5S?zU$FudaR3__x~i-jC)RQQWckGtuo4Gr!8gAumnpU_EDkvYD{pwN z0*9My?(f0$YNw9JCwNmKHYHZI4TKhi!lBvmX>0Mp|O) zeZ|Jf{_Xy8A&6z3a5>0rIGC`S?Bs;-a#Z zrnY64B4dx~SABu+D%MXReO39=yH_7$`K#!eg7ho7p8`S(+8nn>DQa!r?l|h5{voiJ zrYicO8TOKShl~)V1r@+M1G}7CzEv}WejjOnrH2_u{_Z@cuC<|0dVlW?#vy(X|5~)w z@!pbcUNGp0gqo?tjU|duGqQ}C4$Ew*=`#8wEIR$byYI#WWBRXzpqxL5|JpdQ?t^1g60@I7+&^NfK3v6(0Fb7-Cf) z9r^~Os;a|wJt72{uQ2bWFPk)h&ZvX{DX7OhtL>sU7jfzf6^{%KWZ#>Rj63hNWihoq z6paom_|M#Qfixn>!DQkgC<_{6e#?dn#g+E#7}{T8Qq_&6-Zw3I78 zm|-Uni06h=0%$J&#aFasuDsLL+q91B#WBh-!VYPbcX{L?8}xw|&% z%rhVT|3EJq%?n}b+kC_hT0D*%pr;JDmYc^)wLLl-R=a>hs!4w^e?cn$_xb$!q7Xq| zK&QgK=0sW!U4VIS^?TT569=OA*nz`k#~_ke!qc|f!%_&i(9ZIWG z&rsP_%m|Iqg%AoG)*~YI9hrlW`r|B-gH2vg^)7*7=p-U|qk#Kj8h|S#$Y}TWVY5ja z2TrTd(tyHp)|_17A6DsIhV%sXi)j&LVY*?9)k#8?JnClSM5Od<%{&stBtJ%eDVMwgx2|);DZ=v<0pyTM!;g&G8ctZJ`*{IXf z^epvF>jAL}{#;w)EpBv@p}IHS)8KFQkLf3#ufCd)3ijZvcsBbWUT2rimgGYar8Ld` zvk&`f^`!0j2sLWG+Uv~fIPXQm6r~IJDaf7#+AzjE-Z$w_u5J^>-1>kBFj2c^D>Fik zJ#Dr7e57{~%^LD^S$7(jitsp(cDz`QbgWWh^~ICfMpsYJ-eQ;x(6d6?>ZfKG1B5?T+Bhc|magge;znxcZ3Yi&s9FVxBC{kgM zq1)Sq#z4{pb$+_jRa30}|J8Ke@lgMNykS&ARLCqd;q0B1?8^#?%m`<1LZ`B`$zEkw zwlmJmC}myFJ~Oh=YdKKFUOU*q|DzTU6bdnsQ08`!5o=mDNfcyY(z zS`mHGe{sjMyHY}nxjGF^ps}pbe@RkrLm--AmzkJDh6-7e=k`!#Ooc5uuj#%E!gY5ECI|V}vy-`+t zaQ*GCgewt}f!^DFDv55+O+DoAzw|N82mPM_;+_Z9Wk5drWxRZ@HpA zyNoyaUU)HrwJHy6$Ja-dfiCQ)pt1Lq$V=e1790jf#w6baLC02bVw(IWo1>bmZ9R@|fFD>7MmN-jB_UdhjAy>jbNgr*{2 za*C`gqt`Q%fa1}cfbW)uoySl|YXW&;uKo(ehvQiG`?{D|K<=Mcb0)j9R0l4Y@K$_sbcZ z*9A)v^>xZjI8nS{AG5BfZCV2LDFx$9nppYgRljBCEZFiv;9^-af4d;IjdBQ-$(@tNCfl7IFVx!WiAiMfj?}?^y++eK^1*!Lka26nAT{jKoS}ZT zlcE_hU$F=DiAn0?1XBQh8fBLA3{8k^gC@W7zZc2U{PQyOK)Lk`wz*E*$ygsZP_zJs z{{b|ef?P7g^$8AJ^1m8Hii%>wbTV^>le;pqahrS6u$Y+57M9VkCFD@kmMY4Bhw z@c@H4&r2LObQrhPak3b!#g*9VOYa&1()tw?&QaByQ`%(v8*i=nyiBx6jpK|8DE)n!=6$ndmyQPRfG zu&2i-CFj!*>LFa0&Uua@nFzRngtst-{7VQJ7l8OQ854rAw$4;$JAFKKq-|t_`tL;A zX$ljlVGfWYexm&dzm#o!51Wy<&@to`Tq1)cUtNDy3``h7=D1pHZy;s3X;1GQ^V2Y| zE?a6x;)$m?{8d9E-~+%oDb)iDpV#RcWVG1lqyTFJfmPKe@S}MqZPN4dIf`Qx4cYiy zgB{ncf}0fSMRi5`wwjDmt~pMy1aBysm&heQ8gBnv8Z%W#_ljh%QKr>cTk|nPknG(^O4qlh8do_ zoczqeVtlu%uPZ4E4B^;|V-k?9;t%GjKi0TPHUK4xQOq0?eQ&%tU)lAxCXdTGh6Z2o zx-n9w)w0AyQ!|lmp^S_N`#CvGnXE3CRQ&^D{mZ0gQM%(JsEd_`o5M=O@h`9i1*{iY z$p$fBZ`EVXKP|u<+7t|i2WSxiH*K>&1p-|Ks%SB)?xZJkbvQ1|n+_BbVVOCG=sw?A zW=D?J0-3y^5U96heozZWZ_0&7e2&pDw4v#?m^hwvV0~4Q`nE+SzChmu4+b+u}YGm@gPddpJgb*YD6G*yv?z& zS|VslC$G{I!tyG+TIJ`rTcF1@6X&<1Dg;)ksfAgvHyBytY=h6 zqc0Ar3hq2TKt{*Uf=R2KtIk_M6CbN=9-~ z$lT!A3##O>0PLU~9D>);P<-!$^M10uUZFf?74sd%dMBq=n=d_UU-#BqQnJIySnI$^ zt=KfTJ<1e^Ltg4#efISV)q*wKm;Q5bB^BJtNp-e7djJ_+ZhzgijKjJhZzVSUkeBt& z6^z-^&s9Hu)@HcOIc@P?_r((_gDVORFJrzN_667Ep}J?`+LxOPbf2FG=PO)rjbAs@ zexg*zfcf0cOqGZ;gDhT8pZ3B2zShJYkIbV4R%a18amBGncX*V~+Ek#+d7d1ft}#t7 z*(T~j64^7N84Ol7ZxAH45C?2|r&Jagi9oQbX+br?3i^|+o&&^ZOW;JmAu{obxmgVQ zlvW4w1Q!>RaFu7$AXrlDK6jMO(vuv8Y{+o76ZfZk<2d z`fit7@*=d9yMXB>PFnQfb2Xd0kDa*e<$59 zTjSqNIN?}eE*CDRoU~V<9o7QNKEIh4(z%CO$v3lGP<#-e`ol|i_2PGbQ6%NLD2{!Z!S~q`nI2Sb4vck}4QK-`CqEnjdPLieK806jy3% zbFIJB6>B11ATl)dC4wHn1;WZ1Cj>8AOYc>BZvS`HRWSP4T%+?*m-R|dRaT&aWX-2v!C@?O)_o~RuQs<6ys%Psp>f9rH@p(jKBHh73O-E_ zW1-zsUY?*IwV|G-2k|haq42WmXS*LI`32zG0HFwjd4U%7pZ3Kcpf_VINgZ0kZjaSc z#RU+)UA%{-c3OCK`*5^Zq%s+4Lnyi7U!>Ki{ggAcY^MCwNp-l~dHL*FA`rD)yMa;u z9$9LxzLe~U2;X0zw8j6ZKCLM zsNGTIi=xHk`)?r6!l(&hKp6lUO?@N24^|@FPyZ9w*dIIoH$Jtyh9+;+3`YrpvLM!Y z3Qk|Szxb@#fAz%H8+|g<1Myr|Z^{ldHTu5S1S6HBCX1v7;HqQ8_wv)@kadYZVfD2?W2p_&SLN3_h$KkHWEo$FiYI2XXJLz9>&neE6M8+n_L6Q~ zuI4K=kEFc8Vdu!P+xt&kb6{eCh?3!a--DIF8KSt37h1BGp#ah8!EU1czKNE->066c zhB~S$q*?;^QY|DS{+I;oP*Me_$OIB9Myrz@+Gu@Naty2pGPU`&GE5r(p=(>=A^0*y zl;ipQ7gCXOA;4aW(Tyo@X&-G)dGklqsIo!OEqPmI>r{gcyRX1^r3UJ4P;M`(lWbGck z`-X6}g&es0NB$RfGxRGycsRt}>q`fx`z)#$vaN)sFN~3tr2P|-VRE(y^a0|e)&N(X zKH8PmbnBRt;`NLbtZ!F8XQM*7B7dj*^=IRnJ3HVQo$dQL@bcW@-szt@d-699pGWSA zWYlS2PR>T`J};7+J8b=$toG$1g+gmam&)4G&C45$_J8yv8Yjqh?k4+1HgA`AakwOf zE&SVH(~_ntDF}cNxq>LlzTOls)}f}+1f2}R8Bt4uH%;33(oGMK7DtS8K{l zg2e508dI4R_q!<^kdxS{<3(|4`JN&5kH1C*;69Qc7M^U!34-BveZUEJ?#zX(XS1fg zv1efRcUL5+PL)SjzgE31}_5)ecLOZsNbB<RVFl?%S=W)5bU8tP^ zG-9rCO%@JSzd)C*hjW$a``-G7;Peu31o zYh70&Q?}TP2ps|31q6+?=Q$iM-DFQgp$KwQeX$qK&&|>}Rl+grH_GwnM7NK!CM;tc zopC@8?2|#kaJ0ZTD#cOs>U?7mbjUQxoM7{tsfLR|A&B*_Yo#W!V4Wan!$f+CbN3}| zOc+H`XSywmZy6T2OaEf{{M7HL{FjbeF1=5?3WW$LHKyu|5tkaujtO)_+20tLx24TG zWSX?`CSfrSdzu??>a|)tE($O)k)%)j!C3%I3Lf$BRS3dqn$^zO^i=jW3hrHnH1(wS zv7WaRhGPS*vhG5PYrf>=S=E};`h;a|#%tM{k$T{R-?<&_BubG{-G53J{EmHX0B^-E zc6iP4@o8dV;NrS3UTHR|c^PWg8@D)l1J?X{(K8fb`fwlo+;HrJ4_ySH#z4MFEr*zF z>5;+iu`WF6F-(6fdEk?dnxO?_(*XxM4k=H+b-{#a^*H1Cc}gqh*#o0fP)8FbO}coC za(BiOIEd5evEj_N&Uz`E;fN{^sAGt2PQ#Ii#~kPN;G0##;&g5-XyLC_ zH4>GnFn>${N#W$}Vv~i7u>FSW%Z?yw0MA$<4%u0Jy)1(Q2N@8OB!hk=4_bIchi1#@ zH1sE^Xo!E-21!11Sybj4IC3vYp*NLBi^)E9!rx45Y`T@`sMx;G*~Z(gr~Jg)ThTvd zCg5+u2KMVdUPL>1rrI@QIZ`b~s>`-0}!|E!TKfmE8#K-hxaY0f?V=a!?!R<@tdCWC$sG1K8_+~gEJi~xS0-u3pk_4e3w)X;m) z-SZ~mYgDJXqm~D+WckHf#>fnJUKJFG5FF^Nd48iWi?{l}gg}(gm7O$d8l#^(J(!>= z$&-42l);=C=w^j#Hp)6D{@VrE??^mPD%AVuO3?n|0uxiU^}hfUokz7Bu6N8;e15zt zaCUcp_V_()?51dOZ=K0!1ov9%)2Or>g~=f22qi7d4Qk+5bmeldk=HH0pc2m|=^kPm z$5wF+<6mm1IzAf+dXv$_JZ# zvMAozl*ix-PynWY8ad5X;KhvQyGQSDV>5?YV=X2>Sl_2CKw#y9IEq_Y4W^<$R<+ui z`|EnGsoIskJhgcz2YX(NHcHQ=JaTEmbr|p-6{XQsPkd+0<7v*^G~z%YC!e8w&?Guv zSL(;Dw@T#qvdqK}*8GFgk&5)r@pv>x&AYIu@3GzjP{Z8xq=`>oS4^w*pIe15O+>vQ zzIX;2)h|=Qil0V=>Cn5r0i8s!jThRQlyf9I?c5q?7(d)7f}_G|TF8Vl0abd}rByTc zI;vrl&VCr=$8`d;DH(A+_60Es=`3WSw%(SIU(g9yV4p;si`pOkSHN9;LwwnOLp!~* zB6FJn9g1TH5mjq)_qTflSk3I(^><~B5dv?fc89r++*dFJnXim zQI&a)x8?h%&PJ0+4NR_d2I=yPZ1+5i~U3UsJ@D& zI5C3YvhVTLJ(5$6 zi0p?ehsmn8=SwBjiylE13o*rT-dl+(O;Gk5jXY|PrQ=P*?!8PG91}ZtacMoFn@)3- znN9GMI&(&_5p1NwE%wMIGuIsAgShXFHK!~I0O6AQs&o9h`)Y;Tt}k;aW2z*SD-Q*= z5=}`v78|dBIKOAzs@|lQdt^oZHM={6J5Utsu;8Vml-g9r~k z4E%d+Twd#UfpZlAh#o(t)E3`pMCLnWxhH=~HNClSWVV$_W}E%KcI8hiWz<>#bED+f z^B<;L9<(kkj7F28arVl@cD37UjvuC3s>)Tnl7T^N{4dPw1YH;9 z1QI<24slNMDF>VP($u<7-Q%I7LW+JGJW-t*?Wy7|B%9=&2xB;S)WIRsU2k&8euqFg z<;J(!Xg%L2zWP0zLFx0|NTe9HE!WJGA%DATVC`i__T=n@?`5vukqw#B^=3mh0xvqM z@vw0NxMb7^h2GFU?SB>w=+iMwbYgr1x7E z=mWR@t$i@HiNF)^!KW|b*2nLxkoiz0bsY8PAuT;Wt5qnSL}Eh!eK4}_Ygwk;o=tQ+ zA4fnj_!>qV+^5z`HR1Tr4duH#;9+#vU(@LX*2VibZ7VjS2b}U;#D_B^#n4M-9*)H zd_c09`tE4paQsh{)F(~?P!o}E7V!}`feMI1h;3?ON2 z1*KdqN3btK<+Pvxq0Y*KqoXpz(jE3M_Q6Az`xwk+;53&{dA~`Ad8S~WuyQ?}R96=h zEv9hCfNBQWZ$#7g-epvFf3__V9bc$pA1~Kq+4Kwz_;Bva634!hlBrYJnVWux> z4oQypmN@bdLX2V$Im6DtJ$lcRHd0*z3jnf*-H4QeEa!?zoM`TUcCn)bRSDuxkLAK?tt5u# z&BUd^n&LSLLsW+$AqVAn+JJp9j`HLjy4v!b-}{wJEs@qpFWoY+A7gsOwL}12X%}py zC0;RjLw=J&~YH|pIM`ZnH?wzJXE*b@lgScF98ibW3Brc zdyg_(jm%^%z>Ey&JV=7IMlL#wsf)=Z&ns(qw)Q1K#I)!C%1IH>?~vF^S(U_Icjd8N zxMB*RE>sM2W#If2e>^&+rvFt(*MN8(fk4^(RWNojQ@aAT>=5!;sOuLL|Vgi}aMU1GkK+Fq&vzx}(Czwk%ldt{wB4d;6$JyZQj zibfi;>wA!8u1?H>e&Af@RKGKU_Xce1;LfH|$er24@?$}`{-g{xaXW4ft}hFV_R*i* z=KuTZNWd=An@_LghI)ks1h@eqkj%Ow`0|uV>nNGDN_a4S7M;-zMQ$Wg5W z+-;2Ig80JldI+w1ao9<9pFy7fL@b7ev5Baft8TuS-_C9!k}j&+s^9wpM#RUu<1}C9 z;JH@`Buf)5Fhu((?`_+&17^LYq!n__k{_qe;WuoB3fB8mTeGI~^!KV+ho-uwjeN#Z7Tw?KHPb< zq;Z521ZSwDL*_xnvDq&Q;S>x0tyNROxRr7M0~+%s#$)xfmrsh-{tZ0dP1lo6#;Alw z;zIv3RiM|dD=s%=eEby+sxXqkmJ`ys-G{m)RJo2?`Z&!&pFV-oQQU45=os|#`RE}j zH+_oGTR6}6{45I|kNm=LmR9+;sPk5lxZb96Y{E4Z zhdnkwY3+k_&dy4QK*uREO{v2_Q4R~kOnK0qrGAfatUojI`kxdY6YN&O!0YhKf=io! z4>#5lZPCeN-51*oWB*mCFjhv25z(75XB10aTZ&2*Cfzn#{lKO9^^7wb8OU^cwmQH< z0D}87iiat#+rfZZbC`vGt05cZvG}I8VUK|-fW+u5!RhvRneFWXj?yt9O4d$#vi)~> z-0`F^R17Q!2zY4nMNoxmn2#c4*#vt$sv=^0Z@o$?vBtw%%nt%+k$hDHdo`2)UYW)4 zRX2z6B|tz0p$Zk}#nzzbBbE6F`yop0U!My>LjbbF=`CI_y8X)4~1~^c}Ud(q+IVJa)CKvm$B1_^q*T4S7sS~?PPCqy> zqkDx?rS$0+i>S%5>c2A^o6)?REQ3>s26Zb*v@lkJDlXc2ap#Ep38BIk?_unoW3`E* zpet1(l=Q+xY)u||r`%c2(1D#Xfbq)SB%JA4F})2&PP|vtK{x|$pvdvYd>pYjRp=q>#w+vnrzAv`7(}|MmRE8YVMvPf5rraJqBMUghb>nrt^_R3)G>Ae@!8Jf{h1`|7%w}k zp`IkN4X-K6hNskv*Mh!Lu*;$8w~m_Q!|3Eui{pWstP~`uf{LO$Xg;e!pD_yPvJr}k z;C*|C*RE!_L^NFS@xf%6A04-oi@&tFD>ZYUk?eZo5idkaW$^fee&qTgE|%&`I)Ms; z<|u@rrF0iv*cpCeOV}qpPhBJvwGr&NwEAT@MmiBxRVTUUe$1`>gI>RuK= z+a*P#`%tT)#M%4p3xX}psIse|Or7>ebJPf;ihT+Tj8)|c9km+kB;ETl-BI$1_ZTbAt?pgI;-Rpva zr}L=KCY_?n4Ndu!s>K`C0UWZOyri;Wp!pK^_qE>a{qsrS2KMix*91ydqIkaU>F3q$ z>G#DwU_)o+9ut}BeLd;KAYT;|NX9#d3op_rf1-%VzYjUKoEtkj&dzM)EVl1o1$47$ zk%%Q<`2h>LbNoa5L}g`qu`THb#S*&$5sY)J&47AZE&A50h_o5nmGlGfyhc8fNtWFH zV-fy3#h4`o{C5lgHt?<yP+u+qUIBXD#Lc`X;(_@Y_9BZS6Pd>V3VB$WVU~wF+l|R74yVKv+sgRY zg+dZObm!ca4~Q(H)~sD%hQv2OL87ZN;+`i6qlC>Gb?mf8jXM(3Nx;H$}60r>RSjd3>we!d2CFmueYlDI3gB2PH@ zh+x^pwr=J4x^ideAv#MDELprsh081l##%_G zdhwgqeNUQX&$gpux!2IUXUDxA)|4*+*_xW zXoJmT&uGi2`p;o!<*A&&Wxam^BUOxI>GxfY19q!PuhwLup zxthNpA52*wy?%R{$SM-*9fzErJ41H^Kd5I$skD5Yk*lq7B>yOf& zPkc`$=22@#n!A}9xzAHKI#y82B~BDazDpJC5^Sef7q6e!pSX3uiY8y+2bdFBCO{}7 z$0u;F{d@W}d96HovnNDVMRfeDAqC8t!DIsV?cf`wk($YbzEEGUl57Y>Uc#3yFPqO< zMSL#SVJ>uBa)=`t6rz?7<2K1|clS$W-KLHPd?J_in-<2zl+`8ZLspyr99JE z#r#iZln{*9XRubFo+46F#pqEs(waAs1C|z)u5w2F+E)-{F}^tKx@mDNyT6C}N9ai^ zy~~Q@A?3Mq=T1(%&RfKGs$LYl5!4rEutI!8hltjw#;G?j#FRTXx`!yj!m@+?;(*$M z!qRODgs>6v-tOJ^Q$7n5TvTo8&+mtl8*r}2IrVr#BvpuCX)@oz;qE)M1eJfH4$;<= z8vbF`hRTwntNqa)brq{BP4@kYo!#9LJhn4U(W=Pj=I7pS;@V%iU+!Z_muWLH@2TpJ zUHI~WX|m$dJbJ^f<(Wv;j!;^1Z5`Q@A)}XiqGS#YHztWyq{AKZJ%!YRA{3iwgD*;` zw^;Wxj^Q{fIjYNlS)j?{p8LEI4VH){;}kT{2n?J*!F($cbvyUlb$iELS8cnA{OOVF z646z%B_z6KP?Gz$X#ddh-&ExLS|lsKSFi#5&C6$Yj-W& zEK@^3iLwptcpIkf2S?xPZ-V=_T;%kkVtUlF*ZO;2-03S%pH|91lrH-ByFMuK$47m4 sDU^D*OX(F=Bo&gJhmHSO%443Js*HXAlB2v8SHN@0w^9~IN?3#c0i&2CcmMzZ diff --git a/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.cuda_io_sim_cache.31um_tt_1200mv_85c_slow.hsd b/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.cuda_io_sim_cache.31um_tt_1200mv_85c_slow.hsd deleted file mode 100644 index fa762e05d29d8402cf06277a697c82eb03492361..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 382865 zcmV*pKt{h5000233jqKC0001L0BQg;00011WpZ4R5F*Y(F zF)%MOGcPhQF*6`jN+4HdVjx9iX>@6CZo3L40000001eg!000000GI**0000000000 z0Fl=P000000C)lHy$jH8X;v22N*I}8AO(S#ib#$jCebrr*!RmMRSa~?E#oDpTSO@O zc)I(XnUk6R`lP!VrxRikFQ`Ki2&06-(!>-oSfv#LMF9#aKrSX_Oq@hXMFEk*OQJ;~ zP$VQ$dDdF*de?g2y}!Npch3Kx|L-%qr_TI)e|zos-S=m$XWc&Gsi&U$H2nKy{G*4EsEm#^2T$|R&lMkIh14DJztkt=<4$M zLp21!0)n*@Y|DlO>ziXU)I%oNIKi=Py7KwDx;fU>kdN3p!M3PI2x7!;sM>7A&I$J2 z*ot6N)O9A&DdpvW#J^dvM4IP zko7Q3B<%*^MpQ$~V-8hUHTjtS=8Q#Iv~)gwUyS(`DwDe-*Rr`ev}KiF^wQs+VW5kR zt3I^--O91W3eVGFA&zkDbv% zVE_J5b$oLruMW`25zixznqQHC)YpC~-AAoO^Y^Q{J_0jju-8-MIJa2VOng zd*#);AGrJKjo0tLeD`p?d*@Ypf^WZc_x*R?IN(qYdtZCaq+WjI z_{u@0Xyx@+j`v^0koWH5dv@>cSlnQdIH{L8lFX50(gS<_)jP-6?!SKjmE&#RAcx4( zx;Peg49Sts@5@Ic`_eJlzAxYT;0NrAVD0t?UU~oPciwaNfCHy(@!XP4uO8p?!uxE$ zSMgbR;m(Wivz_I%L`;X6k>U%q;TDzKZxM-J#Vw+F>0a{VM2on$^AFSX7SXLzsR2J`m4)l|s_V0Y;jWzwJ8wY%YNdKva zK8G2PutNpp6h*0MbL8>>+c)(fx>0A5dQB9i1YNs& z1Y+Y;-47NS?wQ=Q7|KSS4yy&!v4Otcz*ciN7XPGC?y6#1Da`cDsqj`dimfD;`t z(SOFMtF-M@PfKnPv>wrFpe3go2+rN#p`mT)aRb&&w8Txi3S+4Fwm>uU33{R71Ii zRp?8*4wC9GyABeRNv{H4teW_w>mcv_{xADmt035v6@#$}k`AJRL*0P?APxph1F1iLYJxZw zY{!DA6{3F{hIcPW2&aNWQ&qrM9AigPaT~ z7E#emkaZB1>~SS=kTmz$ix!}go6t4E&}C><(G12avBPU;g)iFvwmUEBsQOn>)sWW2 z8H2mmJkwws<5-JUepww#4=GTS$^;UHVKPVo=To*0gP_%woyQ;{g_0dS=m2xe-tXTM zh&v31Kw@R{x?vbls>EX#F%X&+XbQK#pqkCk>u!G>uV*QMiNI99KdY&3|J1C<1xrqc zHHL_xxLPpl&&Sa4gq|?HyvctRMk3jFAMZT`q7J^mVla=ZP*-TWysu{%a9eS|fsUTa4L9its3R}oyRpo;Gjnrf8N$cLr@Z_OhXeGZWx$oq~x=rkfM z?d%vmkaxofUp#9iHPAObnFPo?L^d$d-6O&ypF08ap{U>lfNkFoRW(r#=qs&2j;IN; z**EOR-h+=*m92hb-$ z1?N)k(3m`3P$8%wp(`qWr%i+6b*Dklo9tHk@|Z#aLcV_pb3eRFyOywP37%Hp9$E3E zoBRLOr~koU_(e1K1wV4iyalcT3Mzc=dicgU`e&Xp?+8yJI1WWCh!xlz@B*GPkDghW z-pmWYy%PLi|)mF(&s5dd`Nh^a^kdpOSh?dhSB1!})WM1nu{ zRqXfh{UDMDY{EO>=XH?vD((Lo)Q9*wGxb>~IEUkxc|je>gc*mRv&yhR>KNntY~E~% z_5<6$0RK68&t$xZe^y)_8s=;}jN-G{_DAId0M|)O9{2z}QZ5LQwMcisv~G9}^&t%r z06%|DOd>loY&Z$yF!<+)#hvYc0zKy83gAq5)L=0UfNbs+MeFv6+&$`FKWP$|$ zD%g#sBuqd}>cnGiQ#1UVWI+*w0N@dtKsF?k1W^*s4F+Hw0}?^f(_yw>C6R#uy$ECC zng<2R%}(&Ik{!GoJUb*O7oFihO4cLd80cam4skNOzd!9zP*w?MFN-FzZi_w7*jlg0kS94m(G1fgAz64D_Dk z1IDpDl;r@8#JmjYD*QL}i8wnCAiN?ga7BLnt8h;5@dHTJ*mjF{jpA}Nil6w7Km5aA zZG?glaHri4Yw-gJNoNoqR^kWxvVm&COudAUdvAiLwfnk5RwEE=K++g0j6G$ALuIz z#K1u!2yx;f-~g*Ic}P8lB=mqZZelA^GZ4n80EI{T%Ugn3{s3urew%Jz$l5c^WGqEI zz5Ml?m&Cktl$xK99}71htvl3t#F%&T z(kG}7qwyRNX12#24nwYiAg9ea5Twl(73a3@Ah?4d*9C~V+m}{4;7NCI|C&$x|Ng;; z-Wowr$N_b5E8#hoeJ!MLS=ExE9X90*H(bVW%Yr>F5fue&^EC53!#%=roe6pdwHr~z zg}K(g!a_PCeckjJJMpa(6<$sRJ;U7>4bs(!y(O+Y8__e|ZCMkng1m(ekkio#!>t8; zI$Wmw@+1uRh?*VYY?|OWwhNgu++|6jb##*?8{%Q@p&U~4Z*mW^Z< zb_Fz>{5btB>MO)Y$e1&J0Dq5K&GSdbJt+C~IpWl;I6LAGSxG`V!{oMeZIvk56v3%d zH3-4X#`O27?GYc!$BCTE^eS!Ar&yVZ)B>+vP;DSqf;NW?vUHM%z{k?KxB>owLIg;8 z;+2)$dl?funBHYes~Q-^(It*~#04%p);Uzpmob^$LVkoNHPm}-OZ2V^_dAM;Dh(n@6VC1cKkK1+y1y<$tqhMs)M0A z5N(c50<7U`MkhhGil7F>)b37#Cot?FeByRy!Ucv>A)%;nUTU$RzuF#u;NLbUfy98Q zQWnG21by)B7^yfNf0bg4ppR>7TV(h#L_?<#^(2guMIE-t;}8}}+(SgeB(0v5G8OE)h8+4(IFKG73WpsdeUMdMJp-14LSmSvmndhGMC>p{63CT)MSM&hxQM5-?JcrMt>@kA#$O2NxOUvD$3?Y@| z0ZEOjf8rK5!30GIP1SD^8=s#IQyY3ZfLkdjfOLsKF6fwB@m6uo|Mf7-%c1U^${50B zON^{}(7eg;=EUZu5oI=S=BmxB^U1IY+{#eNPc{$j=X~xn&W7FRe(^r{^R3tLtgd|2 z_Yk-3PFqy)-B3prQd>*iZo5UhUw!*6I;Yg_@Hv0;Q$A`z^KvsD!FnZwkO<~g>ort| zMacKE^}1By&RehO86fph+lFd`rzn}=?uhET0?;LD#HNBCcRN(NWgd90W}dfR7i;Dv zxC@s)B_9Iwk-3-8o!~AIT$&~z9X=GsSKLK@8?laI6@M2B@v%!GN-h=H;~=Lfxm00~ z%g3nXa)~{EgBD8cg;KXVCgSj^JP`PW5&?eM9YV0PoqOLDRC0*ffRLmS2D!0SJ7L}6 zQQ3M^3|MRMwe>`^2vL9=tN5!{qJQ}qK_}2UFDDuf&#*OuUzvfRu{fpxew8%oowM*9 zSIvg93);)sd@72U1V}J@o$ipZ+06bMH9_S5Ia&7L`jJQP_D7oGInDu)`LN~P{z%MA z0wf@iG6l45)Bro8+-e#ifvW{qg9S)%CI3-r8FB>ZR#fs&S2rLhX)O8$*p(Y+v0k$} z{^4u1a*KB5=5myq&wu;peTuLDH{Zvo0xWVxdla&j$N_11q&0NI8p0%caH`B^_W`_3 zkVpj;M2I~p4xB^Z3d)-xyYM8h2hR0{V)}%gI^tq6eNt{zaIuy?A*Tw4JV-)SaA1HemA}gFEe;vb^eN_oZGIVIXl#j_S zRh?b?5`fzXTAdq{xmlGgL66Yg8PFw3lm?YtRRt7hBfeS`q~8=bBX3V(n87zjLh{-Y z`U_SEZ2*YQ>tVQKg6jFie%;iKtA>WI1|7iPy+uQ=5tVpgK#PR<92{M zA;HYcj_hat-R7sr{=}iqrTyFi^ST3R9B-mCK*>2rXJOsfhLg)<-T~HV&SxpYgSvte zJ4>-0B`%z$*mjF{Am4tA&S5Ej>!13q` zx|w)J0&*2Zwj33hqcFvS3kCWJNdj^e3{7Mx0I-NFU5=g8Z-vF$ihkqm~syJHpTs z&`J8BIs_q5I3tZY2Af_bSK*mJ@_{7RM+i2A=B+MYkJm%m-Gg8>({345GV(6P(y=^C zT{b=|9#3s@@zxvMNlt;sXI3XIehlnLf^Xn|9&e%iM=jmMNfLI#u%gexcV@91KJ(>K zd`4D%5-^7~WN5^IIbb{D=gvp(@Eq^xeSOXFZMSGg@5@2&4}I>py?)ow`&1e|r3}Dm zMwPtfGW-{xMqe#t1F{*uB^!{KsrX%(?;_gQZEG4MV(+KS>J}@IU`GMzuOXxGxg~^ zhMd6E6UJgado*Si$f0pw6lc$)hjJd8+uj0J1KZEX+wl1Aczb>EcH1r5@%D1?_UHfT zzwpa@*%|>(SgZ-&?qzGxR9@WOM^9863<^*hMQvMb$pd}9Y*Nch^Kc8!Kz*b;-0o#- zX4;5uuXj#_`Nw<*x*dv-FWpYt#XrVQ^8ePm|K7K(6W3d7(xRsum2q9KNxN9Q))Ut~ z%B)LuROFE7q_8Khd-%pV$sGE6mkTDuH4^$cP`(3+oRi0%xE_jz+UXIw4stkSnLTm6 zSiCkNt{oSS^tf!ul(=533hRmM3#baqrf>`~Bi!R(Tm^Z>Q2u=`6rMpjWL@*}eBPNM zE(lw~ffxnujUy&V-qk2I=f0|v2zyEGGI z#}to`zS4C~5$aU=jq<0{5edEHhy|5>WkHGoPX!6RRj})+*gXXMrsX0n{ul|pRd8%N zO7}uTH&m4&1oeW1-X>U4|CM<`LT?r9s)3rxp%NOZsYvE~*hJ1`l*V$BJtcvYWErZu zI!THji({g456&Bs2%wWhHz!Hxt&$@={c;e+qA(vE)HFMK;3Q|h>Y$F0 zo`Af9y8acwP20p|P%ABFj#4h>*hqL-p6$k_@%1mm>4gFA?uEYi%yP@q;t(+_WV=^$pBUv& zM75XjHCoY^b}+siF#hZxf9E^?(A!rE*}8acvR>0LnDVw}MR z<2K|SX%O;r&w5%M<|Qn2;-+B-=Tm!H9P#1QvxG?OYSJ&w)8ZY9xx)~p5n+jDC*x`H zMGo83;vFSFlfr;-_bxAJn$Y4MYN|09cigT$y^sklj#`pZ_O%@wG-1yqJuTkV#1GK< zp!w^BYESMC0{TMaH~PRPV{QWY+YhqXZ~Tx(f8&P`Xhs-|wK)deOj;3wksV?A_JdxH%gnRa z1=nEov%`vysS*c-j?i}k$62G0R6eGAxDO-VLa9%Uti!zGwWt!({SoIr5)VdW%9T%M zcORbvI69J~>xo3kWcF<@%rSpqj`<67OkSAdmKWxjyfDZ3!eD9sg*i_18TnPf+~Exg zt8*09Z48^d8zOsLd0}2S`NmKSy`&T`W&bZ$3y_?Ab755-)dF_Wo}AI|QVn_Cat~0- zm$=^8bqTeI>AkZq#9Zsw?@tew+aCpc$r>ci`B2|@H`O9eiY3?d(D?mn-R+NLL|^+D z^?>W7yh6=ZrA4dqy6w*$2yL|<4zl@bvxjuJ2YT(eP9c1mDOC zk}@ZAoZ2-S8MN!YyV&(*D(w)b#M*5~^-;NB+m_V(<^A-OW_F94lD+6axod@u>e^Nm z5?6GjQgim1eT_LMu%Uzk5iw8V+X=xWa>TvHRK5E-tpMK6yGY|iV^_G$n!%7XxT1j6vWOm{UZVeB^CUx_1Wnz5sq^$-%{UtG5>_U@G z5Ts9(j%Z{fdMyyn;{y-{>07RXD0NH}8GLOc>S|?z^wCm5G=LKOrpI?C_}b!#^tDn! zINBOkg%JP4jide4{GAFX6AU5h-U#I`nR=5h0!@UF+fqb$&D3{g$nw8YHP2#IX?OU= zOjBN7%7^vq%X`{Z+Gp7Vw)d4v6oHiz5Nw(nObQvS$aM>=l?S@yqj?I(PzxzM+4kLJ zS^Xp%lI^{W?;f;*V?>Hq?;qdu!kri2XNbxmalwTix7{LwnX70w?T}Fx@tj5wP9CNy zt~zLxMZYgco5o9hWs#_V^c~;yBcu7hq%f71PzYtJQ28GyMJ?Cdu1W<7{f|6Fk=vjGkcSQC(~^e zzAq<`N!1(zp&diFsX6DAA^KCl{eSz&Pnn@8K14MXgGUiyp)n*C^;h%(4bMHxFKS5X z2jEdRKH~VwLCiXfkzj61bN|OyYVZ#f$&ro7Bw!GXjvj=2qLoiNHM3nhb%|ztY*7vs zQ_Ma*gJ~@lL`z4BN&zV?_MaUiVW^%pEKgu8g-@c>Q5wFo3V4iaR^LY<#{XrJlWrXb zqZm7Bz++1+@vt$IJdBKxaMCusEv;kSZY^HDwHTh-mCq>TOu+ql(_}?_%JN0G7Ik3!oWD1(Zx;WZDk&{qAq7J*a1^T-6V{PqZIx8^q?5G zjK1-xAnf)BxtxTdK_ES0TDSeVM7~?)%VX|dj>>b{7qmHc$&r{njf&dp3Jx#MiO;xl zq`OXVg*w5uTeKVZ+i%f1H1_zfe&(0_lx1It4y~@>piv{Bf}^G3mV>KT3jTym!4<56 z9bBA19SGJE@8lcAFpV7yXVj9fBuc$PoH`)S3-U)K2=*2F!3&BaPAL;)8e0Vc+zfcs z(vLvYkH{aIAUJjdBEH$VjF$A7pf~Op`{!_gtDa1aA`LX+P?V_2lupe$fnFc*=IDk) zG=Ed0WI`tC+u{re42Sl>Eo`P^ab$i`$RLp<}6bOIb_Uxf~Nb{jc~ zK#vo>c!=t!*-`&L8FQ0Pz^EbshI_aV$O1=u zBHWM;HKHY3!rc(}bRRmT9`PgAjUYpl8-n}~_jn&-a?#K>llK4`3h-GThr`g}f#DYc zfgY3D-2;9Y+L3CD(BsfSO->&%(OJTnZB>ynNdXx(yQlnH$c}5B9WfFExdN`Bdy89ilUxC2IJMv_^8REhL}Y29>aO2zQu zGDzI0lT;Q?J#Z>LuiO6I*V0xclfw>25;xrCK;}hqIC*9Uk`EqlW(Kk&l2jCAW+dm& zsTS`1dEK2bju&4R%j`g=N8*!g_(SM2J80eQPtQ|aedg(w*3lsdvDd|oTUhh0J+X>3 z7`0(;;aO}^ztIvoaB*9Jf&Cy)YqXH$;_GT+1I1*D7+q+_4VgEQt&W1zc-VQlIN+4@ z62D?B%trgiG>DZjN!fMJ>#rQ|zldPny|2l%O2T}?FCnZZ z9+;V&kPk|P>|lI3V0`Omx~~{4n^c0ePiMlcwDd$1OsV07`cR=VdIsvrMFbTiESpi$od8TR~A#sf1u7`b-#v_t6jTXc>+Th%}M z74I>o#RLNHawvf*n-YS$Vlw{JdDMaC@;9?gNf>0G)>_S3;3Mx3lug@_v{n&@X z+%`2qs0#KtHm+vXw zxtAM(kb_etAEglpquff#BbGT)!y&+JhJgI*r05a!-f)d%xiO z-xfP}2!)_lYakR*<+`ak4RUCzP=_{b3RY_rtQrcRhI!jGsMVJiEb_#!E2=wXh&jAo z3l`NV#Ls*#exPm>zOqq5{8n8rf+*&fPwk0cR5&DZ8VoT?_)~znACY70!_;kwSamLe zgglRQ>Q$DOSWUtc&YcJgn*HF4_j_7a`dkFrJf||VAWyE!?#GZ%iQI}wlM9_P|9f)C zYy<7LRQ&I`XF1qbt|2-vAU2qWg(Z8TN!rT8*9&8juP*QV-lX zJ(=A-y66y5(OZM;Qq+S^eHPoFA4(43n|gc@o*&=*L2qJ^KtU~CTJ8g$-BVkY-Q)8! z)AK>6%>UXL%S++;S?KJ5RG89-)1&?{GFDyux@5p4{5Mi?E&dxRs%H`AXyuLiinc$p zVX7p5V5QeLa!rte>-I;A?>Q;8=tPmE6eFMwtu5RMLm&y*=k*w{ev5O5Y!&Gbl@F&! z06M;LemH(Z?fOwC3ZH*~G+lhc-3|~)=I3>rkUCKyTPVigQ^H1)k_>@#e_D6@Bj3U2 zU{gLva{eowEs$P;2z@s{I&GYje}N0;4+oDI(l0cJ`4+M(Vk?LC2Kqj5c0h&HqUXyS zwpoTGd@(Iqa_JkxPlsV%wCVUz|-%pLd-)WOPzp6m73&f~3br!J2x+ z(}#AZ%C+Z$7OPy-H%CWYC~}PiRr>6yBrc<*ezzzaE{UIZkgTjl$*QC#@HlUzO-tJ` zm0VSVNEvahue`>=n_Tko=`j9G8=)N+%4YNCiOwvu1=kC&%=NgM>2S5y-ztxGh+mA| z2SZ9&qJ&63v1u*5Zb}5J^KO+@4h7R&P~oA{o$rGQ&#HaOXQR?~{%AWnvGYeK9;T@R zMnE4rG|3{q!SM@mP1;cW!mYRencw}17sVef(n6j;>QL=~!EYG)GPSMKz(H^YXr>DM z8F~~p$=DvxABBGHumjPqJ?9EMe}smwlK7AAO^D@4i3-mjEmrS#B+1RTuZU70qF|`= zsXa-8vJXsCK$5*ae(qH?CHN%lisd}QO0BEj6Lw6Ya<*(>4FC#h!8|mZ2{u7C&Djhn$H zlI|fspuPw-hT&%ujbE}ea!)aemQdqhk<8w-?a!vx!r&)}n69v(&*pLU**xmAdDLg~ zIDIyk+nr`ln12@Yk9OW_3DpVw{wEb28Uv4oWJ z!|{vihRazeuvTZWPB`9#W&`V#(3C>&xCv95DKFDO{Zy0lXQIhne_prqM>LTlfUal%ktQWU-jWsc(5p2}G z(WrIjwX|1j)-UXIJQM48k&fDXnMP`Eswb2A6*XmDffIDGJ;Ixy!z}Sy|M^#csQXN- z_bVl)l-CLcr*4LPoB5J`uDI6C4w1OfI!KVJ0j6cRSUr)7Sr>Qhof0teWVE+QD^f#5L>% z8GC}@Vi7>muXV^0TpDX-@B*ea^Pp>S*(4pafT~AFi6Ciq4*SW)2^l2&o&;D@+SVnK z{_cz=>Kck<7kcYyNio$P^*E~zs-Voy9qy7b{ry1*cNg51CCnCoBR6YhtKD8nNmB-n zm*IaVjmOj>`gNj)seusN*Rj+z1e$*yrZx#r6+Gpae4$^cfOWu8yOg%`p2kDZ8HXoUVM`oF#pPNL8t{_*sK-FAxzs;{C66U`gE zX0qtXwB%u$Di}_^kVU`mH6h}D-Jkr!AOALUfJyYqB#;XQX@PGmN# zNDQ>9MPYFs5rtKALC0aBe0~9vgP4gXCkrng13f6E7-(pCa*46&3X9?Iz3h@enG5KA zZWc82714qgx+j{<>1q;Xu#h37(QDBukbK81^y6y2xMYdNx{~4JJAb6pFD~sH3rhyu zF7CT%*PXVguLcxD*kC;P*;_=YvV-EqH+X&9@vr_dSJpz(wG^KzX=aw~oL;^(>gyBwj+#os@6)u>D z51SCVLZGOEW7CBZJJk8k1PK&PaAUp5$Y5_q5lbO?_^Tte4yf>2wQp$SIV zlm%9H?Lv<>f>0f?U+U3D5UPUcR&%;V8$qZFE_P@m2#tcEzS#hU?~P4bw9A@LvMp+) zBmtD$qT(`FUXTcA9kFW~v~Op@5s@B5!rF*TKu5{4C^&!s#xx=5>k?aa?0rJGh`VljLg|Q2(7rkPUvzrQ%jjAU@H5@ritwlQ(3XJq4CIAb2n+@){ z)~^Y`4*dY)o9CW^V)F3n zgO-Ry@7Lfi57R-TLeEi~y~phd%0&-)!e69Sm4Wqu6ZNfl+e}GG{vGh|VSPq_U~OTc z@2l-H@!CFUoZ&6gxgTa!2%f7yVUw?`5xa-?;yt{M_og@6TEMdZS9$NPM0a@fR}hLw z>RpMrcqL*F6MF*LhCNJ-8igbQ{R^@FTY?tOVH+O& z_+NkH3yf{(ka0V%hl^dt8-lL}D9MutO(pK1S3&U?01`Jj^zjWHAbzKY}F4hU9qi zC|OazX}Ab*45+@Ho({V{RWg(%BgrAO5f7ViKI|1$$qog-d3H!nE;?r;M#*}l_8PeA z6=Kly+5P=#hhoIJV|E19rB`z++YoVB3R=ySLnDbO6=KO5kAI4n5wC20M(u!cHeN-gB8 z6nKvJH1=iQNoCHW?~ggy;mjz8#;_U(JuR2?w+GRORJDN1SOu?y zo88}^ko5-D5CuANWs~b0=;g!>#IwUX(o~bFv6NJPS$JfI>s3!>I0FwzV1cOs0~4WmS#4A8Ym zGxCC@l*JK&->ex8MIBNp!X_w=q?D=P0Hz91O34{@M@pF=k^Mno>b7K>2ibsanbkhp zgrv$AFf!FK41!lfMM@RXzYI_jH;piuTVh$|EHVK<-h@@e& zzWVWiIMP@*4Wvm4dj^#d;q$4B8&5Oe;>;&7ASHC9xWhnk@m@YNmzXgza|ywkY2F*+ z>XSNs9L?$Hqi4kJpF0hjLz&d+W9Gx}Pfvu~AIIyvJAhJIZl}R4yX{XLh^@)Rv9vqD z%eWhGPhwWUZoplopZ=%+!|(p8pIb}2b6Ei^{S3MqHCKqYEkJ@ZE?6`k3EIaw-*JVA zf%Fl2z&#lK5yk;?%Qy;7KWWPZoJNIS2mDO>JK*JYgGwaOA;H~Eh=liqr{#P*zkkZp zPKaq#2u|86F%j$cr$@!j55>bPI>b{aiU-(N@F!NFme*~6_Pw}OW?KyTxqR^X)NOcP zcdPaK`qQ`FqTOn}9IN%Uk9+&4^oIOGA!yASWjp(=h1?c7Uix0d5tle#{!l1&yTtJ( z)a??-%O4YEWWnt+{`FnINZsPwEy;U5SIr+9VY2xa3APsLPfVE!R;r+c8@W2&xS541(@G zt*=ALn(!p=2zq@HblWZ35%h8p^uPR>Kk&9+YY}OZaG<_C>22E!OFLJOoDo!lA}He?&9N!wqUvGErc*P52EncwsHF-7(Lh)XLpw%B zP#v*?*OLWjs<5;3GYBr0Yi9(FBcih(k2q7VT@X|ca;aWB+s=9=VQPR=pwpQt*v_`I zN_GXA%ye^Vu<6+qVt!R6(X5GT36KxF3>gS^iKOF>p3!1$cYl9SluxqsXKTCr8#ML> zg$!c$zHTHc%gyfZ5bEgC<3{!3reoYrW1nT*f!L65qxJ| z1@7ID(St2&=TSl#M(jYsXCr#7MgK<0x&s9cx**k>Jk*YTP3je<22+u%S6&%H$z6%M z$H{YSnO6Zl(8eP7BX}2~-R)#DWr*wdk>WBSPCq@!R2dSLjTDzIgy*|4i3tv?V02Md zh|UL{WTq-ka(CofQVd)f+&pefe|v_3F1Dy6llv$RX}#m9RKy_#T0GxhBxyewB%^ML zn7$Ao;bu?nP~B3j9+V;U$dtSYC+Tky9*WTXu*UpD6auOCr z`VKyFXuK&WtcfhTMnrS*>525q=~76%^>PE_cXj9j)gh{@&#PEMZ1Qx{T7`Q=J(XykN&-X>KrC~Gja`QJKckV!U!5*1V=``$C2lr^$;3! zx5jE5bKBo*I6Z(#^pQ^5@_GbKG@PcU7CEF`6_cqG{8UsNTaH>wxT^#{ktfFy5b&#n z+&TkVkDgtP-Udu$K-tK3rbNSuX>g#Xrn-=CkvDX3QVAkch-G>u6S@c0qeGMK;I8pk zD4~0h%PAopD9$+5h5YRqkoUv>4RD4 z2BWiYD9b;bY1Ze{={l(Wn9|~0T2^WZ~MC$=JKLpaiMOwE=MZ`rSveN=DVR@ew zILZ8%4JVh!+!`Dv8QmIKLrtgX)_^dQ>vt>v3Cw8Uri<-X{^eNtpZt4&?8km+4d~CX z@~I%tCM$m-1j7S;yA*=qfj-jYu<}E+zuDv-=vxG)oD9M6K)=}E-UIy^R{mnJL<01S z!4i)j=ov<@k?kHeikV0T+Gap*OFPuZWX!9CdLluPF|mXB4(5R$yFo_y;ohBBZ{HAw|59ueU2e**4 zNE*KiqPkuSq8SeVffD}GOgpmLRd6xIkic987gG!g%vG>M2SE0Y&*dT#n5$rm_&_)T zkh#~CI9MXX!zP5A5|~H9u?WGTI4LQiV}bU30`n*dr-z()(Pe3!n{080>D9ammO4qVGhi}SZp)hxSoJRU#P)tH01eX=VGovs z$TYh%Z70P5I7`v(fMNtmu{ntyb+$M?OlG`Jk{FS;hwWDF;Ifk#alF3R9K~3kW6N_0 zubqxu9%qZw>ut@2aCKd=IpP7_D)xOSG!Kvbig$N+z;CzvF5CgX?H28(`Sx3MjsyPi z*85*}*U(&&U-FDaRG=rnI>ozDg zj~K)Jtl2bI!J;7KZEp7H1F)E}h?qHw5+GV1r5Cb;ln}!(W?R+N8X38bD5Xpec)OCT z7|qBV&1hbe6oC{n(>8#9+fjC&tJQCYt|9p2t9ZBI^UezW05DLw$6=-9lQ^u+OY z@~qN4InfSn;IR_zT#Cq)3nJRjsZfwtI^wre!TA=h)7YkCN7PLLU_5k>8)p^*P%Q*1$V>h6m$$K-@{tZPy{H$kSpng z3h_1~2fT$j30E!}oE>!LoxkvagA|XU1ODJZ^EyC>X89ua#AqY3KL?tDEGa>07VjL&x zRq?agpbd2{>7L>P+7b*r``ESIsyQk2 zG2zbL(Ljd|Cl4AN{tp?IP``r!=!$+?4D^2YTAaQ^={sQ#$D| z@-CyzcZD?S2&|JUW_M*?ZtLcZK{^ zf9Y?0@821W*%AoOHSLbvO6-0b(VHhjb*HA_B`pI~Bhf=rT@BQomU6R)cEII4+7`K# zneO3~6nJAGKuQW`Mgfpip>R41z6a`6f?1He$efA-s;Gj3&3bXkl`v2FsZg^ksKrGU z@>lI-oPvTLM^V#;LYJLPs%e*;JWHw!RH{UBvnoT{D6>`8nL(9X{yC_`^QEgvBB(8@ zwD3VD#VvBUD~q_|@iHezI=w}-LjYb`^!svC=?M8( z)^NJN|I2Ue^(Q2MB9K)f+!LK8_WBcGf%f_ns-ZaLg_RXoiAzQJ_Ye`o%a@m^B8T7- zO=WJMhI)bZC#D+C&dWR+FOv}gSl#PSWK_fxqT%eBDOcI5+RmWm@Y(f%9^AFhHGaWcQNw63m>)aTQc325!U2cH^W=Rb# z6v4XS78s0b#uo5usP3SktA8^`7>O!RFt=&Nt06P3IZ}=>0W_O}SLw)>oNr|Hrrn=7 z0M(K6QeMNjYUDhmZ8Bh4B;>rLLnb>Adp#n$t0_=8A`e*QE);&kjGX5HR@?uP16Fao zo}5QA>rN9SB>&Wo+-ZW%Ob*%EJ55mB*uvzT6VuZFk+1$gf51Sr=Xx|6Ln><^`_NI5 znReuPgg(S!6D(^2Q56j2v=vtzbrS>$L{)I?ksLwXJz^0!#=r{_)2@QlP(orzTeNdx zrro9{5LLl$fLTujI*Lc5Sp`w@CP*NvfNkBv*q7%;<{R$3>mzFwr~9FzCXUp8 zqb?-C&8i@Lk?yf=Haq>q8TiE1QlU%2Y@if-^O&3CdN__31#LC;*jJ29&nT zQLq@Py92!I^|XK?LAeUT#VviQ#u`6mBeJ;@P6j>hv4?gbF@-fu zr))%qa+PdL3Ob-6+iF^MnO&lKB}XiXWXF-0{=C^c8plLGN|_y9D@c(@B>ml4u1)Q4 z4}v%m;>%i0(MeEnn2sVu{ry3NF9dK#aF0ytcZ>BIFK1oyaZ+Tmgci6l{T)J4PfCTs zm`L$SCAX>^tVkpotNe@O=y^R*2fRGP)0+`b5(qZPesG}%wk2EQJs+`Ah7BpBY0Hd2 zD5%ykW##kYP{PtX`+ky8npJb6YEXDuT?Q&YP(~b zYEIzl(2)d@ir5;1`?f|UgtuVVQTDQt6ltPGD)s^t6wpF}eP8|)FaL%gT%{WZgtiGe zpj{+`W|`9sNB{s1fo>>Uj)gOWHlrKHf$*Q&;Pl)c!V7x3p_3}qWb+GJdcl-#prAT} z)u0}ELHdxXc|IUYPt0?4%@zlQos6d&kbWxNI1vgM@^4Krp&OtUG1GxPxJj3vI-?sJ zq|*X@k=$D3oJdADlxTFulHHWTNa=<$=o9F}JsH!}4ectN>_cD{il&|(zX?mE!APRjETiJ;%u6?JRp z8!m@$_{s12+wc9)*06kNkRQho9r{Fiu<~fJ-E|;M7zm<;9q=P2ST4;hA=p8$hc)d5 zQ!HQL?>&|;()S+ALuJT$_CCRKseC~gNu9IPV@$9do_ZohSd#e$e>N$WFFFJgEPn)t zK!oN}5n5r_|7ExiB>tAze+J=(NA&G01)Cqp?<*MXr`KiE1eTXb9&Y{23irN%y^hp* zEW%sW=4tnIuhky44Z2$0Ic=Z3aTeM^I_FHt0TmPs3{O5hrPrN0Ts#q%x zRaa=pNZ=C+j08J7tWmqbQ2Jt&U^SSTPA}Je@ zusKd$);%-#{a9qRl%9K*3B)iW8WT|~Zc+=DOGAa8o1_*X**}^39J5rRCI5Ra;$?tz zwMYl$AbP!vgU{8Lcs9o#v&!MvTYTt~3X3SQv|Pi9o*T#3=HYK#ztGRePld6??2vH# zKVtYB(d)kVL5UJm=ahtEUCkX1JAf?9jXN`(k8|NI*m3R}^U3o4S-C|!&Rq`9{q--s z^DEt&4H}!-_9#@GM|^o3G?;CGh6W(Q(4dTE$|oZAdZYXa5I`4+!38~Bhz`N!=}~gfm`Wl*oZ1}Y#EZPn%PJ_V z@ybT)!~0kRHqV)|d0Z8Xt}wWKG^>^FHYI4OJ)8eURCi{tO8%8MIGL|-24`+z?i~Rv zp6a2Q-0&2i_@=72ZwKfr1n6zIXt!{;-=cGv-0%ALKWq4!Re)|$IiI|&h)U*=AdhJY zK-Uz^%_zBC3Jq~m5?T#_o>UL>06l_uga9qX534UBsXVTOM5P_ET&U;7=9w}0&~++yB#i*`WXev8fl* z-G8wf!W^88ln7mp;xRQQ*;CFTA8SL#G)j|mAk|vNG$4#}jES4Bk-wbsz6s@A0~T2@ zdQ9yYJ>}euB~X(_oJoZClyi@WEDp0CqC?q6^prE4xs8z6;KlRHlY~7XVJpzxb9vAN z$YF+?T}9;nsQ@V-_JqcH)NY7EsmkC1w!`GQ9C6ILEubzz60u}y@b)<%&tQJiyjFP6 z|1#{xZa#*%{QERU$^_5;r)y}YP$;ZJa&fkGwk;s!n}tt?;*_hUU~KI2kyQq z6<=d8w~`tJ(E9aS;XigPzZ@+8OW#_2{VJBjX}qT+rD}x7a(JQlbR>aSY1l&TT0FAq zvcWQA?e$DY+Ohl=wXgMUPAKs?31x#onz)>WvJpMzLcvJqj)}*6`IDK>Bu_{=&|o#} zSni_rsNslKJHGLDS;(D_RiL42yyY^_NQ?Qq>g%bGX7|C4@h&2x=7 zC!w{+acCtnf0e|sJ!T#c=4VZ5n=L7pVVA!$Bljh;rAlr<^pjeJ|! zrmhAUSH-d*H!dP(wkw&wDC`$&fKgHJa~jpdQbx@&+nPk#;u26P&-kd?@zwn>@@c;c)mVGSm z%Ot40DNwGO0KMo#P0sLQWys%k=%UFHX7Iv_L)!#Bw1iMJ%Em#(k0d1Pxn~pPS4Mwq zyHGOQM)b&U?K>xZG&cEviTY(^h|XUngHQdPK_~uc(rVt=*V~3%%v-B3aafhK3 z57V0yhQ8$+KKyI`%9;VXXjdko(_3?!7OlVphNP{=d?u2Ip{}i|GBf2i)uA%8;ZgDWv-cf~+}= z&dz5O{xbF^wWAdnc}sBA^734)wV|TNU|!B`#7wk5I+#u8l*Ussr!}Fn{cVXS>a{|& z{T_f>xuO8fxWch^M-G+@e$MQZy5A10_S_~9&vJPbpAl#+x`en^*gxD_pf$OR-71x| zYIiih|H|<;v#t0;)pL9C;UH87^D?iEFTL=K= zMx~>ZA9uS)bXTgmQdQf){>)I#qxpc$Duh!5_Uj&Kou>9CXui}JGNF@ebaV_30xVRHx`*@kiO1zvZ@DD`(09=olU#b$&WxMLrtK!-14!Y zboHhtfFD5ni=AxV^#WDX8T4aplxr73%@WwVyXysdd0xGLe9sGaUVPu}7+Qu4BrPRw zJm3BCFI~0rVK;O3)LI3Tu`X-$o|rF8;>qS=tf=i32~G=>cq<=muPBFzjQ5hsX0u_X z?Nx*_Xe@w7jEKH7d0`T-7YucaCKeE!%cS;t!DcHTjkEyBbc~Z4B4`rjt$cKxK-(~q zEPFWaz4EfjB9HJ|ag6jSq~+h&-KjB#+KgjfVo(lcr;jVuM$|g@f3x9EuF^g(k&cunQ~$28gBb1cO*yT@=FO3XJI5gop%qn|FxaA$Et@7v6{1vOBNdzI%M>_6&GZ zXkh3iy#Zo>_y71U|LM0I#7b3KZ4#}On)?cw$7C^D1Lm+PuO{8}Xx2!ARf|F&WCofb zL9CA0b{*9qLEbTf!^E;QLDtl@nskRmUKT_d6bT|TK~l=&h=BJnBFdIzQxn9hVAqvY zO$^6~p`|Z_jYtq11^bSYs1f8+P>qrFWZ2E8$2d?|C!EY&#cPIG9kT6E&V-YWYb{r5 zb4%G}9kWH>E0G-0=qa6C9I7hWmsG(UiOh8!YMJSnn_!#qI3dz=ztO6%*>dVJEhS>i7-Ly_6D$=USTwrN5VphmW$j9La}^v z!$o<%Pq;02ANV!!frszR$}J-HdJn=@Oujhi{Y?IxnVz^xmr=IwEV=Lv1Z#BNB9*;6j=)LAMH` z7c_JLAo6N~Y_xi2Qy+qh1+u#uY`IhcnYM6b=+?QrvLQ!3)eEUYTMIiIhVCed*0U_x zqv$v}0sNI@=vK)Qmq>J$vI`ONZcKl7pf8aXKUde}9FS*Z7xUZ$IW6<1lb-Sb?)*nO zH6BltDPfTfcDc-#RW0G2sZxoIvRbl)4tz0=4LF5ZGJ`$p{jeMx#t~a?2e^Qf*f;Jy z?1Rxr6Et9y-py`*ZguSZ^ALcFY5sX$cl&3poe{Nt7EY7P+K2A#8O}vkqhlKIv?o;U z)k?&iPScpN_P8>ffB$FQ_@(du#Msp7Q9a;og@W3|qK%DcL~F8KX_2gRtyOnL#!FZ zz=((60=bRf0HX@i(MG=gAY?vcK{#?OEQFBDpvPV^s31EVzoygpzbC2jY(oaZ%8=_{ zP((gd-~dfWyemV~htA&NaU@9>DsX5AI9T{G22ryVDsX5=#9QzUZH7q|d$v`>6op;c#wPe5D)Wl>0v%n zRvtfXSPBpG@qs?fSekp7kNPkl^rkiB6~CaW0zM0hxD6enG|bWU@n|QX(@CPif3gKr8~DFh3!ak#7G~ zC9TUt?eiO|;ZT=;e+VQhDX*JKTH|C`x0uhQ1_p&pVQn6*uI@DnyTUc5ba{QaT9cFUB6Kyv)NZU@{{ zhsI}0*5jc`&L0Bl{^|KQINr|9-;{?Yq23^n?mxL;{&b+>H(Ct1y>Y8<4~@pC67|+3 zkt$e=!f>O}stVI8KdBlFd#m9Kud4}9_tO1)_tK(&M!=E!9AA$psJ@3axyXmi>E(%L zE-MJBoXl}*SB|#u!KpVEV^T@oi-(h`f_FaXRKYtRv|xoB3tA!_=;`>+LaI1Wod7lkyj;8tbC=eIqtZQ*Y82b<3Q33J2C^%i*_-~ZyyK~-p8B>w z|BbJH;u$fl7giEsA^IiJ;Foe-=$jF=1Zd9-VKPqbf$MG|OvY(FFfJCtWSr7-6Z+uk zFqtG)B`RlgaWaVpzntYlUur$^H;o?8BBm%Dq1NUGi zjFmTU39c~(h<3T24cYU&&OL@T(~KUXB!ctN(YtK%Hmszc>!VZ4A_C296(0K1Uxfom z6bAZkaCQn8X)uVFG^RUU#Q2?A6S$koVhS%_J@Tj8- zKd3MW*0e_|$hv$G44JR6GLS1O1fY$`9}yKqPa%mntw6J7BXW3+P7NYa$hB&yB{* zEJwPJa7-buNGKaOyT3od*$R6ZiAR%j_csWadjtgrW}>e{+eJ5~ze8yGKykh_W(6;4 zc0K(qLKYJ|yd>E`HkX{aM0JIRRH8D8J z)E!>pjk9^ayd?}n>=LgJLTnueqp*}$@_(~QPOb)yNhzlA7Y`l%hYFv!awnotPL8qQ zSXu8xLQYYkxYJ{$sMyQtjvtgyLeYS8N+=RuH;N{AqVfx@ zM8-2uGob~qyj)$ZfxuH$=T^3gON*E2{7B>QvKay;=w&R(t_s~fY>S1t@RA+dZV~Ac zSD~$n{(}T+<5W&OOm9wA==c4NkN(cT`Sz#^iRthNNxl@Ngf|r>rnu3M_v3gA93?v_ z6llH{RYH(-a2v8pFjI>vAxO%RVus*7oXG}G2of|^60g)uHgHOiEck0vf@Hy8n-U~Y z=T3S}=LwPxNxldoBei85SCf2W(or)`d}sdlbOm}sicboyn^?sNM&*r7iUT`X38b+g zBncMOFZw`;68sXV5?TtbDR^9iyNZ3U9>;0fz*`1~dusAi9N?(_t_Mf;cVWr9rflDQ zBEN1@%S*J63wu(11(pSNCX+=lJ4m~poM36v8n?8c~VUES%ASr8i39|!W%EIAY$LsrzZ1xF8YvJ zjnXj}eaP&?QprUxE*BJ0NhCKQWPv@db(T_x9rfKh>brG}?-rKAyOp_u{Uc7x_HfJK z(|7Brgyd~3>7eo5IzEPXYsmqe`D39#t|+T;045$|Xt#+25FX7`yUiSc?SX7Xb6`S6 zO|*OX0Mq?x-L&JnPaTjoJg|v&4}o-lT6g=i!`RIakL@`Q*ot0q8!q?9ihtEvH*k<9 zhXXey9Hwr5IAD_kf#SzB2QaU@3EAsu`@`SbBtf9;Gj-Nc@S&;$yBQ9RcR<5W+9c-> zf%JfB-ObMqV>dq>(1`=TVN=>2|Kx)C;b8l;AdNUZ0uEqYt+tG-&ph3d@e_GntVLmX zd}&pMX_d_?HI#0(eBpI#)QI^mE8gS>Os5D#fu#;qFrsW zC$`*`dAT^?)Yzcg5X;-^3cR@SVAS=0YS$;z0sOCg{MY^DU6)D8T%X!E!SuX|j%viH zflWjlWzOJwG7)SZp*&!sZaUG0jL!2^FLEqEAp&0zQU(noW%C#p0_hlZJ0da%)EGzw zJ(CDlFrFJ5Am9MJVBC$H<>Vof@epY+51d5mre$B7ggW zAc#qd4FuSsm_sg@P`$%|_)*p>+kV1FCsc1R0Euixreretsuo1r3h5|Ne{v;;e})B+ z|E{|nBlk#>A-YXt+xVwh{?T+JThbvPv{|}@&Mm{OW=rshw2!N6pkE06%c=G#-)m?k zDHIN#C*^oKr^4-k)cr)gSgD_jj0zOVb^F8bo515`r(EE8eS8~{r%8O*gl!dsRrP>l zRt@dt@+gSj!s*e8-2s@;~YgZzXX~D;LBJJ1qx~;sIQ_&*$P1-bTS@2(8td1}PC~(jMWh3gSB@ zHSyqsWs<^=NO-G)G06a=M#x(To50Ty-m2hYmsP@B6&#y}_%}*_M9_D3jD)u)SfZ!` z3u5q0knlDNqM1GO69ek|ei0C{|q8=`X_-LtDrP zj3t5i$HwspCc2SzqY)+%>28#1WnSLM{}&MSwEGU}4kgsAXs-hTP|L<6XlCzEs`cUh zOAJ&_OmGG&uRF-eYy5I=6+dBSpq8nD3d95Ax&wwg5H_SmNHh87=I3>rAMUp_%MuJp z5@F8%Iln&{nzldZhqzU~JcghgaDTo>E6zx{A;9j-Y$e;T0zxe&^@^(kFbM zA*fVyPy`LN9Vkeo1Vq3hs9;GkmS}mI=bq&kHPoT$QNdn}JXCniEA_C&s)7>bixHa! z33~*!HX>WBL2$s39QZS!7EV4jTdXQr!)9f(5kClc+lXwj2En%K0?LyME1R0XkwLIS zaRauhVG0MQg^kD-s|psil(PjU2V68ku~>s7d}oyGPeskDtWFR7HO#!}QA*eiS;5G8 z%#M=3m0=3F04j9D0U12hBZmjsrChab83M&fwT^52W1YY-!JWXR_{%(O((f>I4LBo2 z8(d~fyo(F4vCSU)^JSA-Udpgp^z1+DLETb`>YlI z+3NruU%UVMlUO}Je2!K$Eix*vLervopX6q&|8DU=Kl{y=c_gevPCNs2sE$0$k_wGv zfGM8Ti8!47&IL<(&ljJo1Tv%Q`qXOql*AqlOF04PJGZmAleyk@w?_P3vq zpB-~nXGus%X0LpAXDW2FOr2)H`BCKJ7;5&9fC^ zWKPNEkvT21$ELC+8ENVi*y)B_>>PJ^%guKkd|zapVZb-N-Z8ihYra2Uwu-=oy9}Qd7BXqax;{0P{45Q`W|o1(}hLJEs|`6aW8S>13H?$m1WF98@F!7MLhd5E>v4rGYk^ z(%`msHmFaFlka)Uzy5O{v0#D@ z&USNFNastkHQ7207RRZd>4|CZ#|HJJ8@vU3WOv{21v=qJl%o&3te&oWq)) z3@HUFhlu=M4=Om%$*?7;;CvcBJgqxMJFD=TRU_MW zi*_1*yBc+l;r>N``iH+}LyFpf`cdT9ugM+nUAH0NFZg&PvY-wqhpBnzx{nly?E^=y zrk{?;ioT90MbByKX|1g!QUGp$b`{K(+G1lMjEIl})G>gW-J^p+o<_%xM4h@uC;9`5ucfhj0+JH)cgiE4LL}{Rktu2m0rnu7_Y}1xK%XmKIj8)dqP7RvBfx%l zdtaqZ{1-m+AHVAt%_g2F%G<5|>to|uIDkVgTcA9EJl^d+z~E#Hwrvq}&IoRT-t7&- zm|&DDSykNg&ljCZiSiJcx7NSDMHx{kcmuAQLiYWLo+$6Mo~V>6O$9wsKBAki#Kz6h z-<~K(At_Xl0*WHhCvOGc?xH0|h!BJA`Ivpo&Kyr;Xp?$Qq1+YUQ9xcP8MR=&Zl?QxXX3S=A_x7*- z>iBW{@kCY~BR=))-3I+UYj?uPPIU>Fp*~}7?}fq7EAP35Ed)qDA z&F#xExBuK5-|*l5kioy`VoHgtJ-NO3Hypty?Q(B!4;A@|IWWIy1%u5(P0Ve@;Bls= zw>P&JYkGTgd$9nwH@6q-Z+mll(ST3PZL~jID5~uP^OuWivn3T+f#m|&J}`ffBIPjl zAG!cGgXm(2oWQJws`2`pBEWsYmft({9;&389mlx&WO;hIEy9jVd%9JAQO);_aQSu* zVSggC?Bt`gqHpbBemP+N>wo`O{P=qf%tf)p0Yy#FnocvQ&{1hk!CkZ4XBUcEH>6s7XyzLlBj*CA-`N3C#6m z7VT+o%P*SK-j-jsroAoSEn3q)=1a`(&U{z%nf{uo$`^(` zYQG>KdqjW}0MawG9&(Y~d^)|%LvF|#yTFbS7oRn@n7HL37oLEJ&f8)%5+CvA3NaqI z3@5iPF~UtEy8M`ekY)nUjVz-)Otu!3XXrmxxQ&6*D$W*V?!;_Sq>gK`aV`!cPU+Bw35jEI z>mG*<<(F%25~URu(F#aI4wpM5U$YpmZFD*+(on>jdfirlnnq0IvBuf5iEMngC!HgkJHp+ams#x@ok{< z^W*exfsn#RIDjLaG4&a=IE!6bX6cEDn;Kem8A_b%b*(!0_(8r|SgC zqp7Y!`%PWn-gM7urSIinxcrOLuz206B=la}>Rt{e)N7A= z#>Zw??C=FO1uH3tu9<(kj&eCV$|v0WuHXI{YdT7|^F@uk+( z9s!>;$9UhP8VzYPNYtC?T#1g-SCwdI1Js_0Hsj+KqIx#lZQ2JeJc_eDLUV{(i$Qd> z!T%F1mjL*=2GbdaFX<8t^0SHN0{7gGKj|fxP#Mr8I<8rV()?KP_i>d>P$;FpjPFy2zl!kY zKpQYhizDP1-0(|q&+yFqsLP*M5-vce@qcB?;Qi-are1#;=|l_w4d#%4kDRBH^GR!S-8pjuYdf zx8D7K{i+cJQZ+!4KhzMwTRKn{*M?#;J++f(!6tM74P%7FIUTWu0|6Oi@cfTx!NSmD zg7jff!M?32)*MAudWt?E3^GCbWJJMYkR0%?17c@_L=dPT66eTF=JGV5UaXBs_J#_^ zwsKtunj#yKkY5FH3MEGlf*(PV*Yp_KiJ_9Aa}S*j8pY?5(9gK4Ik}*Ms=SrGmUHuw4_9$xr!EWH9xY@LI2HMvDYR zNDZ>7A|$~FDI)++qYHds)4?J=s0@A5Bo>s&ITQli5+DiOgeXpXPFZ_2eW%E#7^#7p zb|_Wv4j2Lng~98l$kaGq)R(aR#{)iyet&jW+6iL^uLw=c{9?AK2tlpFtA4&B6rS!~ z5xTx9gxhY>t_WR@BJ`Kv`l&zr^G1P4OG|Chr@dJb&OohRP~>K%$t|{1of(u)DO=WW zeD7L9+Bl+8AU*OAhyw9~1hgvHN$dr+s%wB`sUYEO6htHiBQgMwi_7^D31*`plsX1a zZ2|8E31wBVM@u8tm^9vs=!Xgx+|ARL;Wq{Y0 ziU=6ZA!DGqJ>&6Njd^9(klb*xdXP=ExqN_T9I6hWf6)SPFCTCnNRaT@@7RBRv47hw z+Ohv~u>b%4ypR08FE$cH!fKTS(Ih=rX8+ozKPD!AB& zhp|6O#)AAs7y9~zO;p#9j3JdAdb9v!_XkodL^*PjVagHgVG_?}0w%3h{F zCD=hBmA$v^4T|5gEFLFa#{AkF@tM`xZdEw5B@4FpUOO8Bw$5T%|^$-8{N5Ar4 zHl;#3H!Go_ZRN?Bhr4NT8*H2m_Gfcmv4Ad_*D?qF_-{kwfA%TdwEtMp&Rvw#JAFbnB1l2W09 zv19I7bnLS5Ba%{~g57`~0emuD2^UK`A}bY95}l8w1aLuh1T#ryT*H+dP^podJq-4CZB!Vll+5PQ7&;@En&^2o7Vj}79PiTJ( z$*v02gC6Hr>VZK#x*`a=`4>k$cnyNu1@LwU^$h9J4`JlM2`>bT&xT)`&Y)Ay{WYoO zCE9nIy@7id`~2*Im*;s+E-CO*-jAJmi0~l!r3xP}-}#^+M}U?XsyKr!9IUtjfe@sz zq1_VE3HqsBxkWqka5>DwSN);?_@Dk`^E!w@nKKVkxEGM#mSve6x1=Pf9omuoPjIJ}L8nrayssz>P{I zR{@;>kFj}E)j~n>lW1-*z8YI;qM`WVaW%G5aeW!VZEJ?*isAYfUWC1F;lDKME_DvPWHR$CL|?x(uJMm0PsK{`OmR4ma?9UwQxY&v7`c z_4OUZ@SseOkjVxY@%1n7Rn}qZ}7KgCYj0bsTM$I?3eR1REgMK4!00i zStenP7wK}iMP7v7Hv*>CKPeR| z*i~f_5(TQP)9@+`DvTKh<^Z?Y*T?MFlS>Rx>S@oU;_PieJwG`u&j4OFgsqaiW5X5n z^zV&Z;{o9iF(4fw7O1`G0@B5iVgc!3Es~~x1C=iH^6Z#OIv@R&Ip=x@@HCx9jAvNRtF-^3H4Dx>Ev3&{ zX5K!fM=-VTQ0qTM`g06I;9x?XB3A0G+WmP7d^w)i6bCuqNfkjVMVuJq5J*m#*Bw%m zlfkX>!v|q&Ip3VizxhyQdcVVNU~_w9W=o7VSLpGA z{9ySx7TMcN}pTF%SowPa~QMx}zY@h2ykf&vtn*H&b$PYDApV z)O|}m?$c;$f^HoV8Q~PAg|KV1)tv-Wvo)gu+6@BiS&}VmKjb+u8?kL#6yL+2UuM9I z5!Af4E$ZqNHu}N+@|^tKZ?w;H={oSW34h9X>+v;%n@O5Cz8Oiy7Ve7f0hyj32S^}n z6MW`Ua(+U7I!+R{kBrYaUdnDD?&VP5csJp5Qn3Yqi-*qU=XH1dq|mulzC4Prx4@=X zC>X&HA{)lExvQv0MG3fdTel})g%@B)*XxU}+iua0u9t(Z-}uY_%~PMaimppJRs0eM z^jOTP^5{Br$P{8MtlE+)terwvlvbsRdi2%lxWt(k^yu27^pn8wP;q#%7xd_gGKv6I z8nJ7+>x~!m=!(2&a+(4(+Y)8g{4t&bg>2%*k|rLAXqmTWS;})@wEP>R4>)Z`36sBY5yF9MH?gO8X&{1K7vwp+BL;`Uo~j*s=P{ewUKIXhhpTe*0?KuGOQ7XzucAU7juv|$-p`KN10 z7tcic?sV}^7e8A24^kPpSqLa4Ui1|~l741Su%~yYi;pkee);~e*(6EY z%ema=K=*4O`X@Jj${Domu2D~~HWoph&Kkxd$U9W7P8)Uvx>&qC@IEqU3&Do6Z72yh zi~(3=gH{E*N>WnBx@H2}3o>0C1pBe3Qe8+r?s~)xrz5i6qJpSa4)YWKtEQ;)E@f=c z2EkDO0weZSC=+JK$Odf?T%2fYk?a^H2sTK@0wn{i>PZV(Hf(hA z3f1B1bk;kx^mT>AXHfL0X+Ig0=>{FMY;pH^%)FP&s)f!5am?!YbqlJFsUd_$CJ3JW4Nzds&>U5Vy66$(vC7TswIOFmu>bm2y;xzS4@htBcMhh4z2Ri3L z7NpmMl)kbxK5SJ*DwCg^BVGe#bu$(?MKbm{aW-=>Y#4KFd&)Nk6_55MQmQ=+a^p<= zW_&)2WT!o{%v}B{nCgbr*%Aw#q}us7W^;0F7#U$XA2(R%$i!w;!9`Pa$+>g!DSPsp zpFu}H=T2j=<$F*U8YMnoHC!_Vn!x#KO56C^okHLK>E9{z6MDpyDj|)6TDCIDLW}rP z$1eoi+R>iAGCTZ3f9L!E*6*|=TPg0K=qzjWbwiXD8*s50W!MDsnk+pN9HbZ)rTB#Q z5~%IaA~Hnma1}%$Z0Xg}H&n}lV9G|MFQW=l{cCmu_vm;P+JuA=w_=YPjr`F+-L_N3 zG6V?0O^gPU{uCygE$Lu$e>2gOtF*5R9G+rKfAGDyDJjI=R{iH;)<3=JMo3bLSHj%oEoLKYQ7 z-y$@(gsFr^RiPrWRtOSgQNa=Y5CIq{I@Eyc%tj<+QNdvt8yJWXtb0V=rc;yMr-B#X zgkpr1E97#E_+>^vI~lV3bZXGqVsfGYCb#TF{7y`%WxG!$Q9P>VVvOU+mG1$lPLe+6 zD!JTRg6uw(Txcz^ioFVa47$W9MN^zwi|A0DXOl*=@II2k6TI=>Oq2 ze%$c~4WOlES2X?jt41aQsx>n^T4=L`(A!H*zaU6lp`^_qu2&db6C`3btO3A#bTk5z z_agv?!OsNQ^a~^6S0ZLXJGQ{IOppLtM}+sc=jws*ZiZeGHX^D1DoAc*737x9zMmsm z1uBS$DnV0>I1wa(R>2OwT;_z)AY$6hkur8v67FGg)`FM?>zki86SGlL+i%ZG5uKq3dQj3un9MZYc;E6i;E47gEEUMlm%jYTQ2iv3YV~w@$=!)oMD!6TE=*&zK@F8 z7l`GG-BS~LQu~SfFgP=Nk_v>NBBpa`i6I)KI_HE?8=y z`B2%n;W6H^{Q6?~wp+Ag`Q>2w-~aIa@A-Ud&LoOeZfu{?@sXN51EOJ-bE_WTeUPwR zEkyWg#dkVqzI$VP(R@#g?Y2U;4?rJQY?E8%gylLl4h(KxGT#CExt&i~u7V*-iaI%< zcQv5TpNu!Q5zj>3CTPT#yEA&h#Mp+nFSK%4@Az0#)-l4V);m6;y)~bB(Xb|w%$`so zP>bXel@)qur6vMnn?Xmc>Aj&mTC_*``d79rV6ln4|a`5pS&F>Wofc|OC!z&bvnuk{? zmem9z2Nb9m9Rf@asAO#UH0u#a*!uyUAqmoFJpzeB(JZMRUf)AQ%u}kzCN<&qg9oB0 zU_tsgVxi)kErM{Y1m^%P39Mr&F#WVNrS4@vgouTOS!coR2gf)Fg?Iz^N9>l{Ui^hZYspgT7ia zndZl2IwMMsaK-WL&A>H!5=r-PJ(>0lIXyekLm9b3MhnzbCc`2?bSN! zt98^@>*!ytV|=x+6yAVie6_H2;?+9pt93lizgoxmYGFwSKI*G=dn3Z-vw z8)Mi@T^?%al9tg>(`VSxX?1;|c-*){H5~9Z_#NosN_X(FC3Sls#yaj_R|njEzdt=l zet%lG*p#|I)IO@GZVq*y>`xDk-=Egq{y1J=?5OSzbrKg;)9dc{7v)-u#p8nc;hN%5 z`4c9b>2HzPw|MAcyDI5^UcSt7e4h>l9xPMb0nk3Svq1Ve#Nb7d~ z&2VUZ3Q5x*n&kW;knW$Je}m)o_rK{6O~T(oAl-j*!QA0I^K?tkSF~NQhR07V8 zDz0W+#k1I=KSgX^e+w74t^5!2v_{vcTzp+k%1XR+|K2^0NQxnbsxjk$5SJL?CkkqD z-!-{7;56V-hK`w~G!J)poy>7+R}PV+QD|2Ros{;namuv6>|zMzoe#QH#-uInLY5;P z=*zF5l&{T*wbx&fe(};V-A;)m^|H~53G#{X32eSAWXWu~EAw*kfafkP?Ls#qTv$o| zy|L-8(5@kdmF|f|vA*f=3iY%99bf*nU-XUU{**{@b$@D-!~m#=PJl1MWAA6bSbK>- zf|~NNRC|fhA_y+lUgFPU5De+GIO~}<_5A${g6%*#uK>Kh>nGVbOqs?DS&(ZMP&(VC zokg1ck{u=J1cx2x0y?EB-{kU3^{&*$Oh7X=8KN11m|zOd-N3=a>)=d;$0l$tYf7r!0?svT89tqvs*EW(OUnS*a!sEV zX5PeSoD0r5Y(4})3#taM;>p}m&jHf%96rze7I;9#;vJi*R`BKZ0k|b`!_JFHM2poa z=BVOmdZQQwf{b-FvDr>p(dY}m!}t#4cV1)CM1bFSVmCKvq4^y0*!?KgVJyrW?s>ESKYMQj zbjy~OgG{|7$j8@1d0R&LK&r;AQhrel%dp^qVhcN z&sy(V-MhQ@Ip25AH+TBny7ld~_uFgr?q0j!zvq2khNnmK^`5OyOoyVfXYem#6O14P zvK^qa44V>U3G;u=?_DXAq*u<3`@cDxyws^(_Vi~m@L4?lxo%1@TUImKe}$eJdRsg; zWtc6knT|y?`VGwN?%b`8O)tAetAf56XzcfX&G-DZ*RoTqg-@P1_JYghb+f3U(IEDI z2gc!8nQ4?slDh+@XR5%Kv8}Z9RDms>S{Ixwhozt5f|KR2RM1J#S(9_R+&)5BoC?|n z0pDZg>X~X-02Q+2WQ{8m$3EvZ)VPYCJLf2?5`35(V|S4GSJa=?tz?xa?+!?#s83*^6*uO9oC|mZH4@@mzu5fMtW=E<}WA1LP3U~R_{MwVj%Wl!CaF^er zOPHj7`4hk8wddZNYEhJN+oQV~!HQRbdNd}u>-)(miMFzbDrY>ic(4o($yuf_g?Y8xoQKXii3$Gz5H2^1g#di(8==W4R*!e+lzSTNQ373Fctl~L zMr?J|9UaBgIUax}tR+Y85zh0ltM2;Jl)2j?0r~H^e@Fd0=AVdvF=;}-1Q}Z$?L%b1 z#Z=MKnuXB>F@EH*P>w6(qb1glIkgZiD1V);r|DOepDL@do_pC?P-cuNWiL74Z~&}> zA{QY21A;ju<7^J>dltcl<6#dJ))53s>!P%d>RJU9l7Pi6p0I3|oJ**%`T&Q+{s`-% z6rjij3~C){XNU8zm_&+Pzzff#bRu+5z$kSA29muR!fwKk=yFrYelx2nbbEO|mffP& z6uKEx=odf#hyU|`CYR+Z0L5bu21mI&*&{(rA%j{^wmuMD>zM2gZGA=8@^Jwj7P|_F zDU<~FN2&EY_Ncq91Vz)jpce%mM`o1gqzO*;NDw{if=b0j5E~4)YH4|Ly5Qnw3N5%p z@NLMNh1Lhvlxhn3*Eww2@&S^~R+9Ab0+qP96YNf%)8jpk^P5%juH8`yyNgA$jVNl? z%&Ly%tMgix+88CTs@CyB;~jVrxi(CwsG6Nt$a=)X13D(SF0cRkq-UBcRP)bic%wLT z5EiPP4a9iZ8E@SW+_<_1N?h`AWH3)Q|KQ3izTPB(OE8-qz#H1a zdCujVpY^TjmvHsaHh)#$T5mlc%N8tZTJ4>|=CA5ntIb&rb&~nbk4Z1u{8Oc^wYe(h zXEYb(d0Uu^MJ+6fpD(j7rFOQoFN<1Gu@HlOEaPln)}^&DNBJwrSQQ8q_OXn^@nTQs z^;ue5l$N4awmhEWe0*iDvobaY15p?|U1?j_!lKmoP}tWHDAt#8USDfx!}&|8Y54vS zD39kj9AA~zqWbf)(pr=z$69EX))xK^YGupyInL|jg2f@+6IA9pzV;ppmr;1@!xx^H zI@<)dWm&EZ!(GrSU5DG=)0*BCNJY&p&claA-ZIrJrc7Oqk1|5)!R}#k%Rml4OTZ`Xu=TBs9}1|6~> z^|K2ij|%23mY0rD-%~%k;OWpYHl|pgn#Z5|IScN=SdIx-cyCC&Y7oOd z!k3X`W|l;!IhEWY@=$(liQpy42Ce2q61mEOB=xgPo{-PeOSx}_e>Yyrl6Al9NP5BZ zMBAcb^~K?i%ueCjIsO&RUQtuz(1a-GnrdI(e4OSvTvyktLVP+S-jo+?tC~+?>=h>( zHnxMYWX~g(ZkAO!E9-t0+7RNp?3&kANfP;S2YO}QfAq@LdtZOw>+iX`FRBg(y!hdK zQY5CS?0kMWA%X3gluv%uUFZmbto;rd zAw=<8WPY@M(>{W-qAqtq= zyY3+ESfe1H5lQg4)%-yRe%bmQsmomu^RRC#V2)_BS1(Ono&wNg|(V1bfMY{kjqTLt5|noI)+$MsF?M?D1W^i zcVb&}<}pgGvDUn7?<{$YUONPL*)3YV)|>HKf6o_w&O6>Ri#yqO2PH*Heb{maUUGYy z1|}sC6eSbe$%D#*V(FS7x|Yy^f-?$o<~jpTO=pMQMITl2EJF~P`Z}#G*09fbYOG}% z`_PF?mY0^ilL>a+j*Z80jw2k1e5U!*U_Kr}g8V?l#9}acrD`RQ;N-Gvos z5=-7+zshVR%JA*DmWrwMJPqi|pFWH;8qt788kKYc5X9w- z!GZ$GxfI*MDg%>xX+^yBVlbc(1Un&s$E8uuR)c|q{dBk6Zq;o;E)4~8<?dUWD)YT|2@Ys{I= zT-{p!^xEWiV+aIuRrF26X~FnWGrkCap+r7wd&b)?iI>5MMtm$B50o$BX&E%DR(4)c z#yLO+gBks!!|`Z{b4khj)8J^mJYHvR(;Bb4#dTu(;F`HbYrO7e;&p%h(?0n}Pje{H zkIa71P~8>>X<8#%H{>PKY*fw(hdGJZgguufp8&DBM3IV&K{m!3wN)SsieEJ~2t(ez z6-Z4eDT(H#vn`sF&*w)xBhdd+z)e?VV*&TH8%T6O61TXYG!<1c>eTONGTTN3Sz z-i*4E;sPDo5IMn)rEJDZ(1zV!LwDE+wHbR&jzO?jewr*u2iFBBYcuHJx}ePn263d5 z6tt0Nq=V~%r{)OgBb-clkm3-=bEJdof*t5-B;7V979Uiz9ofn1K8p93B$1uai?r>GKgiGXTHI^53KCAH!hwpyH%>Zv9ZOut zOa|wq6XDVRC%UATmdoo=o_IOFb1qn&%}04%LxE2C+BMspaHR*eSwl^rHsKowJqrSr zOZ2QV#N^|l_b=)dHpq;?_bv)7r2;i(M)-5iiXDlQ!q;bkZeiyPGAsO*=i`NaFAOCl z<#xgg&kOxbYDJ0>0uiYMvl`;k5>=L3krqXmf-y{$d9j4ge% zR6IIH&7UM3?K)5pD%3c5p|DZB7>`KfgGym$-L&+Tu5>l3D?Qq6XEUlP^Ey7$<$6+s z79J4=UZ~d^nlTm>1}$8&Kb{)RC>)vrXGjDY1>6dByuplgtpk#ZX)LwsCz5xE&xzJW+F;y2U(n#A>N zpsNKN=<4B;4TPD_ZlDP&kpZvoYH7)%E<|J|Ev-GQrI_R)6JG&p7N)*n_>sCKW@TV~ z;b|XCTL|Enn%9?cHtoQ4LMT;=D1m7OKahC5{DQ*q9Eam;?vrNI6qcrNeZ=+4?+?%g zs0G9NpcW~p4k1vUKgW4}T(CHVwFzbt=MK4ttJR>UP7(<19Z-qzv6ZPrKpW%2M0jB6 z!ZtkrDX=yX|ItV;)-B}1#!cKFBX%xhVksq<1RX4q?cs5`G~qe6kksCQ$4eWz!5Zfd zU5Q4lgm7@h@?D{2JG^_7cZG+nL?ac^$nU)WcRu>Z=8B)d_eE?4YMRgnSA$tz22>S4 z9br416Xe6o4rA{>@;tMqiHL~v#ZSjA@;40BbcAwOf_$9$jNts$hz=%1{c$-XpIa`7 zZuhK`!qPyKmNU)JoqlRZ#dgVxhZ6df|Dgh9Z~|%;i9zz%D}_x+q5II$X)M+Ud<%*K3cQtf=Z8X~qkH`PA~IyHmFl zC%;1~sn%G9YD1TH@$sPo2ZB+x_1lAHevo^SqKjB5OrzPCMju>+07U&Zpa(6#44-8# zh$i)<42Jr}x39mo=m3}OJJv-r^K;w_AS13Vfe4p0k}*hjaP_OjB}?v-mX?z`x8D>UVzNWLxrj0N===B6%f3Mchf|j_kYg z2H7~Gg)utK8O9DCxAJsE^^A;6f#xK-vhcfwmm~Pim+*8TL&G0=()Jhu=90BNvRU0@ zq-1QP�W=dnEAf9*JrWw&Te$t=G`m*719(69c7fAU>f+iQNzwY?|vJJqBJ(xHG+ z%$txbRV8nT#zLJ;>%y>Kq-A94RD6o=EPm(Vp zEn3imm0!ESdGx4QHuOe=o%8k-`_k$h zM`xHjFzBa&_Y3d22;>T;pgbTdM0%u!w|{B>`WmwFrs(KrzmZktt|*AL$NgB3`w#rc z*Zp6=`)vsYp}&_}<+j}fVv<2X*HV*c#Hey7(TGvyPBKMDl{<+=EL84c2c}9Tj4F2m+_*@QQt=6}B5Kw6+62b3;rh{qJ!b46$0Jrg zYh0PHef8Y&CLiE44YT4|hI34HlC%0sf2bpq!48Em$H-7#-5?1o& zu@t3=LvUwbX7shRiEX+aXj5e#lF|#DBH_>e4zX z&$)beIyXnXH`4w9exmH$Ecaiw$CdBSt6>MEu_iEf&?UR`40^+7TCh7O&>J=Eb!~Kb zS);=mjG^F)U^EZ<($we)pf4^$Pe`Ykobf^<86X>DJy|3VdUz<^Pn zYk~zZ5sY>jhvNk;5{^eBngS<{&N79UAme;|2}S`vgJ6{eEXF8yhQtt0s}#jT#O#2m zYyuYF!^K4xoA8EG{CEnCp9jdsiqTj(I$pg$27g&G8t)V~V}Ss9Gnh~Q{9m>IH?o~Q zjy6VbM;bJwwLp^+F%%3VY6P`5LXIAMePa0tl~{?{l)yqJt59PX-BF~LCj&8+Y~E*V zPmBR^X|n89OViFyf>WIsX=f+FsYZQFfk>HeVfC|fYXd#!gL(t(~x|< zO?g5tNztzZGQLHY%vs~*A$v9_Q)W4C*{olSS)s!tT0UpHH(NjthiDN!^kHl1BOBJ) zBwwINAlaLJ@n&9@-(!KfZI>SubG;@Uu}gpfhmWbylFwPtZo}>xCSs~n%jP!-Bi0oF}IWCRJ%ltx7m44v`d6r5Oy<~O2W65 zZ`6Q~u>S5ex6|qkU``@==&k(SKAIskKU-g**pK$+%qCr}?qKy@Z+r38>V6`t`yLHxWTP4~>Gq((gBgt{pATVm)6518 zqI>xWkhBcd2!}9+cpxMb8;u~ta5b@46MHqWUt{1twW*9z{0avB_kYu;{Mk!b0$@do zdbU8Bnb<&p53iR*n^7vRTG_6f*qaRYFKl!L{kV<@{r09&|;+e0HQm7-t>0rJbBKwg$c z0U0h&6gyG=w=oE^)2kiLGU#u8hTtz%SfM4 zWmlbNygfjQgZK}&XuCUF`-^om}ad06AmNqGr zuZZ}v;Z?m7S&w_lU|^EDS>`lFvixZ2noj1SPo2$2d0oyojX_&k0#=rQ2Y5(mUu8bE zQ!P(?Y_ClseW8-xSiIGz^Fir3JHuHT50Kc#9LZY^3sbE?Qp^EN#WwBhGkpSZ&^@+9VXaA zyCN(?hXZMcN>JiESD4iPPj1spq!R~|?G9$3viiXQeOQgeR1f`EI4O>aI zbrzIBhtG&Uj#wI(r$KbFI!7@o>^OAjk~Uc=Lb4{B@g%hp-sU`QVRq1%LSom-+4ADb zt(#9%VQxq88P(rMgHb*w^0+X5m`@(wM(yTgk_MEABs+xF-zeUn)3(uz1mnZVrowr~ z8+7Wy1Hf;D;sY}{gJirzR-M4}1jeseeb!L%P)xE88to)G1CbZwq0gaD*ePNs?DS9M zGm`fw!Fg(7!~keKt8`{&tLV~ET8>}JYUI^$^FaX5d}VY;G1?jAXs zP9c4`K)(^{?$5Ou{kEdwm+QA{N5$K7Xyz8JCdl$zbO|c{TYvLk{rK;nB`N}iE@B2k zRAjJkPbMfT-bU!1jNqdRBJBX>5i^1z;X);NL=p!xjxkTwRmQg$Lb(sukxRrKhMN1NB)^XS1F4<3zN#5oG0A%PTa+Y9ds4_T>-svO-1 zw*TCZgg)(Rl-8u1=!;4eJS@*kjkYY{blPvTKWzuVx@gNDLHHn&A;W`!YC$B-Pq&m79n(gYpHY>42n$TH| z@SrRDFs*&sVP=G=k1!QwJ3|7jVR$`#+HDW#hvFzL&Kk8@9um0zsExTz>6bHli`J;k z@>_IC)aDDn>AOGY@6K@q|E{N!X7R0qEjjLfR)O0S0B#Jed7=eyNG|I8TOgu*b zW`@WShM#>(^r}Py3V!XaHp-4)yWlcFZ+Jtv0Hdt5Nj3>`b+KrW{jqtPXOJWD?pVuA zu8tce2^pVrR&`U|iEdVQAbB~&jL6%-jLTnWas8X?EIB@l9gz>~j7(O^Nb88iD1s!a zc7I(d?6bphO%DB&GB`UlX00d6 z^ND?DT`Oz!GwUSSLta0>;xQrZGvYe04IeL4_+k)d{jYE#FV}#EC3-n)SX|vuU=3lw zLvlU!s$==wPQ>{3EKd*rcfa!fH}ZoRqxb;lXa4jpKU(qtzjoJpnHfYS^{)56H|w{v zl?PEVH3ksv``&Z)Itz-I!)8^nCOs(!rpx>$(6K-Im0$M5Uz{yi*^X!&5Fl_9o8!dhlcFR$do+OL;4&&26< zWklfRg;$ijrQv^dT9dlEj(|Og`D3PAyEsQTU(hJo&4*eofQrbRgUBQtpv)x20Ws6g zc8x&C7Rb&^$br>24#z{27ql|sS%)x#jI)^l+-1@JlI$@-ILNres=E6w#=;*so zc8@Of)>NNtwtK;En6Y zxXf(PgAuM~qC3O2gblH3%~fl9`f2sXX)cV!vM-Bxf91WO__|NMMsIwjT`2rac%;!A z&l-Eq8((P`Ud=&$3*xIfFX?AReQy<%Ca+^LW7hKWN#Lox+6mX18UI&4>-8Tv&Ux39IJX!VoT17lRiP>Ayt>-g;%a~OcYoOzed@=hWjQqFTF?=>VlymZQCdrQJ#@1)CD`l4^=UOcD(-9;%XA=21yss!KP;#vx z88-9=ZJ@QJp+f~>z5T@D^f^J<#KJ-BsvSrasvnd1qAr=*2H`A?5Gt%5_Vng-I-&zK zktxS+&@)OQB{G+^~+3tUC{QXMX?>M| zn9q{vZorxotPXmwQgYTPl?``Q@BYZMU=qGz!Gf;!68D7x-9DY!{a3~AKg)%#lU@1l z2aQ7Hr;5A1etmYkS0C8!pWt60sDSMnnC{p#civ9W!8Axga0B50pd^aoi9PpJ$R5c! zr&}5{tBL8VJ(}kf#S;)Hj+b#bzS*#*H=Qtg1Mx?gvp<9GKHNLuc%i!r$D^N3f$BiJ zpmKeV^YPNtIS-qwBtp(PszY@x=+UWgbxQY ziRbT+UO?#A6HXWc4Sw_(`y zc?f{aAx72if$QNAK`g9C!Qu(zqFfL@ETFSPQiNR3PlRdG5J}LHqjPczcR8#*P>_j0 zDfyD?tSk#F%fg~EASq4pJy=$zaEqRY{6^4kl1vi?Y;eW0@R$GmH~)s8%qcmgZ*xDv z$lO5db%Is9gtT;bpdcRA2M~CQC6I|J<&i^V!^?O!fMh|a#XwproCuMW3m!_{+^_h{cqc@%D{koo6(G;2>r!;Iz}U`FyM0CQbL-iHIlvAn)HB2+zv zz@DLN+Z(!g7+ev<%Xc$OS4K0eUalc)-%3C;C300ldBMgQAa83c6fB^HQ6W}Wu%ap} zOs&>zln}am2~-v~Dt?*ac$gs<8MW8jOGht{n=Nb&g9q&8pd=vyhKsUp#@qw@Sx!1ljTR3M*fSR7n-%#PGqpd4Ks6)M0 zs6~87Bca>gbZ9OJp$bichb>4oFyk1emllo-c3n&Ub}WsEi^iDpj8vd5h{!8qP*9+- zolbSZ4)tdegn=XEZJ?NQFnj| zC?|<1+dz^Qj?bB?$#Kn=Cn1ar1vyH{J)ztOCXA^N&50g^X| z#)iC&*p(X{@o$a|iFS_wC3(X_UMWH=Z#qmVA1tqAor9STsjFmM&CQ$<Vn4uI+BT-o7x^{ zL29)m=$aD5kfu|!AeEX6B2US56+;0hqsz*IG&)?cM~+GYHJM;D3)1LtK}38|mkjF! z{njK;d-9L)WoUF{LCchl3G&(Gf>wJAmdhPVf2+$QMn{(1(}WU92`%KcFI2o^%8e~@ zMHM1RH1@fZX(gSB#E_`fgZ`;iZdQfWt9tS{u0+ocdCU;N6fwXnfax{>m~88=8gx-u z{FyL!EW1Uk+kP`{`;UL_U-;#}^tJ?GQb)=Y6YlR#dgIY;@3uWUtkX3+?AqGXjeg-V zxy0}!Yr*IiTH|`A5dhDqT+PC5hsUgu=Mg`*blWG~+~~GXdb!bUpLTK)l5;~I7!Qem zoN;oa+YX+K$98h5oTwQAEm8{0sNwvz30M%_-xY6YyJZ}SfSIOb{>V`97jtyea(u-gN!0NA!!5ZNmsh5s3+# z87Qa5s9<-^AO!+H{c~XcH&KC|x0a0QG z_{1!Av%T|tBT3o}(UEbE(g@lu4*^KR&niqOkfS&ql~1^SjbM<%0f0;u9r_?p9}qA( z_XZFppltAYK7R-l$ICdMFc3#i22sNK#twyqDB-yh)|b?EzJ3Re^ZJ6|N<%=5xW7_Z z3nL)jJgjvOLp%)95Sc^3nR2e}UITnq7vF#czI;l~;qx#oqGSmp=UAB-yd0BDCp@08TpPJC)0@bI$a8z=L*MWPzbz-= zG#l!cyyZskcF4mZASK8cK)tcaO4-|`nmXNrq1m*W^ zg1}a@n=T$chwWf#T0ee{32lPp(g2G@Kn`%?@o^nHUa>f?TdU$ARm~#_3bGkMrzE_IEIB--AJW<_gn56(qZ@L#m1cV=X?2FExuEglj|Mu1&>?Q;M1@`{T@I=v}y9vG%Q>YRscao<3)iqEL28K`+=W-+aJjIku%Lt0m~H7 zYQ|>dexc%(X`rJx$6)D}Ebh!fX8pg!hI@(70=p#q#_iyrUQlv2KL2O^&Utbu){JeAHe)W2DR#|+UX2r>xX3CyasYE@ks zb+K%}po?pYIw;d3Eg%*0QJHZQ1@-l$ytBKKj~%-6vbJxc{IfBDwA4j>^tEjvm&dj7 zJtX+RR?ddafi&kBHGrIUc`{uv- zwr|L~SYbgmmNV6W9(N6BXsDG8KV)vEjnIf(!qU*iJ6Ew;kUwV^G(aVk(%~S*8F5DH zVi&~22B1f3uLe!ds->xmT@Z>B(P#*w@ryXFaYjByUGQ``un{?)G-wg4y4XI#m!U4s zf*?pyK}=pPObOswLpUo)l za?Py3vs%_!7AWqs_!MfIl7AgeWl^lDA^M=WtDrG8|0P~16eaqzX2l6Te-Yt3>L<%a zFeq#&^6`UmROaWi;edSnF6N_w5`n4>mWm|ogW*vn@CAF5r_;-m6}P}4E_ak|2hTkD znP*-LExh$+%FvGTm0x>+ zG?1v6pn;$w3zfyd^Xe;iFf{iEgdW5jzFg%l%jvBuclq=D+EwndTePa&<+tdP-e-UB zkA3b}{ML-;QY5vjT*Fmg(o=Qq;sTE}!_EQYkChqFyh;~3+f#Mz;__zf;^MJ%{|m)d zt9=Q1M>|2R!0ejSl|`*dPEdpRs9@uiiiluX$T<75@O0ry=i?dEzQi>i(2ojqKAi9z z@e^VXF*Lw9_cVPe4eNaVk}?QGxjfl5mo6?Lrp}KOkQ~gVC`(8Q?{c^l$>qf+=^m~~ zuG@y>T6T+8lj3GfiZA`{|Mb^?fA-=vq%sJxD+?u_L?bK+^x{3-n>h=>9bE^8ix7Le zN5d2N+`wxOBIhy04k`kY8z96EviivodxO^EmPsB&uVaY4JMBnU$&~YufPqh-^ksxc ziE)8uF9m-wXdgrD{b>t&A_nbyup$;gZZj!-%F|)b!1*{;LlI&J*xUo>%rV5kIp$;l z)#vQ?s7%xlyK+m$)0CuUlFX@qR`@rw<|-!R&z9P>@(_kybu`83#SjUvL;ov@NTB7(uZHY^g4{ZM;V0 zZ*RJM*)3Xi`OWC^|Ka!}zv2JO;Q_@FdU(Kr%)mR7(hp$k-5z1@5*kfi?t;gDOGFw4 z55_NSA0ZVj=ojtWL1sd0Y;mHlE@$MEz-I&{dz6?iVPhIcc0NyGBk(!7$Ay)$+k#=CIM3_1c*H2N7Cvm? zBV+46@(_@Gu}P8zB%_2(5W7U)3Yiia+k~uFld(;BW6ez5Q?CC4{&1L1XUn{2QIBsmqR_^p(|!6qQ9T#m#Mo2kVMz`hL4D~1Oh?KiWGL+G~8hTDTL-O zG_P1`QFC)k1yxy@8iwL*cQWDs&W|4T12Dd96BVbcmx9~c4* z*O6zG#InuU^{DR@Yz2716?>8e87=V{!JmLo0kpjH^u?tmqU_`ZD2OzqNKH;K8!~X6 z$iLK!Al_~gTEX71P(MC8)87Jw*BW)eiwP)$PIqORmn_+_(Rq#p#B-`&XQ}B|JTBBy zc+9~6Vmr{7v`f8V8&O(28z#iuK96 zOiK?<^0e!a!s9mr-=dbjy5!}%=c?X4SKb@tK3)yOKe8pReD{#)`s{5rQQtivrM~gq zGr?w!*hpDfvqEg;As3Qd99OZWJgjqOnga_tFZ5!??=w#aI}4fV5Gq5Gtf<}<_U!V2 zxc-1X8|>ais7>giIn?;Q|-fEx)JF@q_fUfo95?|yD~19CLFu8Jmd;j)9#7hqGfl5hw?_GLwSon z?C%Pxt6%-JZ~oVRZN@UG<{X%%iH2*>PA{c`5BeQ!8iG86{d(AM6;129Sg}kty4ypm zYb`KRYD%k-1q-uu2Mm*F_oH@SkG4f&mTp1$A%Z=awySe2%+dyECY=!x%1SVrr7ci8 zDhQ}jeU8EU0$OLnbdJ^+z)lmkb3wxe9uFG$u#}E_OZVjq6s@njZNDc`87-xwG7SW<~pBvF~KnQ%r_ss@#3yh-Z zQYY4!yq>`OjG1gc_1NDr`LXwSY_Q>nfv(jEyMa2V%VSse`^b7{Enb>19G*$1x@EVB zYWi8Q#01MiU*e&kjF@6G4egR(TIkDo?4)h?`qjDKBTH`4C9$g?`v=c`>mQnpUA5g& z14uKmtM16^9XjlNH?SsR>P>LtByEUp5p;*BvVGhZp-Kc zbm{?Jz6!z1qYvY;t1%Tsm9{Wyl~mw#z)EL4+XKCrWwzd@(tQ3~@V|rT6`F2X^lGpn zqWy&UQBP)6Mz4<6k}z0BF?waq3sJ-iz|tUoL@c;JQb3GK!%@o5syhfIda!OcAeB35 zlx;qG1?JX8(JL6WM_6y%Xh7>f;rn~&QFG{Wd9&WcBWXYm}TkyS>snZ zV6wm767e;DwZ^aRKe&4J{?H;}lw*xw@hYSyUu*p8>i!}y;8Tq%GJf?LpYa2K%d|KVmxtM)xg`4tCQC#)Ph!g%zw#L;vE__kx!@$W zobf9coWzzFAoMB3IOA77<1}C#KZGnf2^gpS<#SF0#%X`K=F+g$s76I5H`wh&SdU%yy=awX2n3!PAilXg^I=l3P$Z^ROzA*o07^yJEPeAtOPd3k#65B7d3p^stxdZG$mGRM9pXbE|9^sOw{-BW4ZU=w3o-^7O=-`6y!Se+B9-aSq zcM7VPZigCdT^)^23(3dS@S=4`TvpY_#&L2U`B16eYN#szq4JtMEq;@_x;fdU_t?EN zA`#ar=VwyoY(J1y_piGD-S4@2&->nU_4+#z^A_AHVUl;m`AiPvFi-Gi_guex|8IZy zcg^X3AS0oF7+OA&Qmj-OQM*j?!A0Fa$p=^H{tpA1w`rm^Ix$I4L+45nNelR9?S~h- zf0CB0(EU$BOD39k2^UG>oR6qzQO2TztvEzp8#J-f)LHgv(T~+?TDpp?ebFuJNG?m z6kqnb1|6Y6#ObJvsyzVyS_)tv!F#6icENVXbTC}Q9#x68AeFZZT7jc9AO)t45c@nM zmA4DFD1?;ag1+t0i8Kn%x{#)t3dNI)Uj6O*Z&o#;fULK+ygr<-TFghut9sHnu0+oc zc}#%CyJP5o@7(J*u}$S^Q*cpAg+{J2gJrNoE;*I8!M7|Le`rxfAz#E%>yBgyq!!P9 z1ptQQxh+B*wx%qX=GdVsPScFxcy5Ale7A|rnNTID%8Z9giAy+~<9s*+H+dwN#_Qnj z33u4#Az|B~t6O+`-NI$JXw@t?qgno~fBa8>*Z-5%r%$~|;t?zraa&wUdEmPwx}vb>htOUciF=Tw;&(~? zP0H%H0mWCJ)#t86MX&Fb>~h%fLq45K{)#Fe5D>__m!Ozr9rpat1`}vW@s&U#f;RY5VYC8DP`nWFnH!N5ajbn71`y_mM`v8jf)Z4A!5!#wRFGI@i52Td=K9-VccIWh zRD&7&V~?uhQjoge1@V_NqUsnpGmB@Z8R`1FV0YSTW2Yln__U1LKEl$}{Vs^^qNuFm zml0;4a%t-RBzQc*lV&I#bOH4_itcyG6WXDvB$x%1(I>Ahy5A)``14pCprY+g5ZAfk zCaeVN{v^3?PPpcj+*jR8XWewsxOGNY-W)pX?$XzPO*_k<=&H*bmv-l@Cy(Q^(f8^D z9utD`=9lA=$#y_os$s;gs&n$~CFjZ-*RH~=B`B7zTr`hXb49`v+87YBUa zpV%s`+1`2nrh8i8d}IEBJuQlqfcBTlF?kv1DEwqP_#P9!C?U9*U)eVBs+(_dSYDr? zGq-5f%{QZ)zvTD5^V>f=>t>D14#M)?ZYLZy?Y2i_#WFxm&(FiT?O}^NFVqMVT?BiC-hhi+5Pez}y+xF<3$pA4lX&oCc!t$N5p@6Mz5JZn*c?+WNaE?G$ zS6?QE<$G}1Ks_QX53CxK(lLe}!}7Q$7VbtnP{m67x1QCGR3xGdlNT$aVP0C^hVL1* zm8ictr?t{tatzu%=C|t^A9hvnI*ZCHodGh{`!bwYjQAzv_GZN>spO!i#PEoUvCb94 zqbZsl&E<+~%3f&Zf`L`rA7#ZPp5>J?WA)YYD`FJYk!V*BY>`N50m~44E zy*!?-22P66oRga!80~1s61D*bSO}vr)#Yl_w(ra{d39-*Ka;P$z-d%{Q+Q-uxMpnI zPKO=awmY_M+qP|V(y?vZwrx98|2Z=kbGde{UG+TsV%Pd!0uY0xnuJ9=a2B;T{^Ee1LrGf(t75`ZrxR$L z{8k&VrA)tBM~(2hv61?9A3+069O+aZ(vXt$8i;x{RJP8cbHvbK@skhw!QF!CtQA zL0YUfb0(G7{LTG~|Ct~zsQXFZ<_pvI54;@)CQG~brtjQQg^$c`MqYVbgud0D^1uk~ z?dCptC@Nb`0(>`OxN4D$zM>Xf-cw=daNsvAU%58Hiy}6xIOQwjW7RjAs*hLH(-q*! zoNr{!webJEw7dj$+swT{{!^wzJHB=XE1vmh(1ft1I3-H(MfxC=q#tCcWtscO2?-q? z{0Q^+11Zfa%b^1iTpKTSNXS$GHhGmvApU4EQFzIeP7Jfs&igz>z&H*MjM7f~E_8+z zBf+~b4VxfZGFS$E%x0}fVSfWQJZ-q4q3~E$ljE_pL2#8e zP9?h2PR6u&=v?|zwWW5y-|(I~F8O#iTa(-}Bak8LR-Oq#UKE|EN5iGCT@E~Dw63@< zZ8n#CXXnz+EVygAF0~`%FwW=F)p5SjvV-7bwc)nZ(xSHW-|*huh3)g9=R3vjGgEU| ztrtn{^tlMM3EC$=-*{eBw@}Kh17d7}KNziT z`uMGswy2;H|GsPnb{)2^C@?7-Bm1}8MR1X!V2_eT12VG|M?wa0cW*|mAhnqYYNe&c zxfbT2+NxwPwxC@)?hz*j(Xs#5w(K7*nSmVAaF0y&BEXkV>L0)o=XF-~wE3&dvu76X zhQC0%(`w`DPW^^%sJJ1pQ&{OWRFYH>S^SP7XQD=}iTc@hkHBfuX?A zQf5#66h)|wvw5F*LBM@@2skQ0Tp$AO-2pO{^&Zwr`YSo%Vla@QJ0^sY18;+06f8iv znHT|4V(kluhn>+6cdhz&&S*xdAc$D||2#Z)`&?fvp#UC6bHKX?z95S+xcf+W=Osq( zwfQ8sVkjmDE z06A04?=S?L?+kVqqPW}l5tOV2{)4cWb$as>>12Vq5r z$h;bcok)LJs(k`MPo?Rsx670Z+VohqeNG+1B8qja_&i&s$@9IuN&j5+;&GKK)$GdB zsfFJSZ4G9)0BU2n#_357^^i{_B8|7lQa6F`LMF4IZZ~%0V4M`?6|*YqJTjziCz~vh zn>?%Y`NzT5b%MJHigXsRGibnWy@t`uklBIn;Q1=1P}r-iS`o(ESr?2$zF{!YTpPiT z|K7D*3OfN(Cp=^yd_&-R7kb=%voGcNw%r-&m9({LOrulM6`{uL)o?oYR~+%>cq!#Z zw$n4x>j9tO42SWxd=Q>CHDfReXfLQQSVlG=G@Dp-tB5w=|7@V2mPrMP5{?ZUGU!4C zl(7;K^ieq(d-Qq~0++VkykJg0+FW8a4W4ht1cn*HD5cf%xe@c>j3o@-7utdAt>AdU zq8+})g^9yJo-L#gE@1y-g2V3z;sh7|7~*RdCI}nk=&q#Cd(}2mLTB+YOZe`KSOJgz zpz|B}zb(g&oM;ftVB-hqgy$K2+O_W7`uv3P2zIB(!1U`ZS)c?ld_22x}-h?c_UdvZHjonXSy}$f$uGPI7s?k4P{}ZSZB0DBw;h18goo z6dP#Vl}ACpC7;mFf?Fi09UM*!Y@~8sKc<$lA?;Q-j-yOS_MQ{M2uwbOH;V3#7T1Cg z&l-8s-O}XDs3i?dhpo))H=HI2VvAva>{`0Fya=50{vCdIo{g-OalE;&p6*y!3rIu# zZ>l^0Q_9D{Uz80b!8)4Bbmq>UDm2qa?;YMdm4o3hTz^(-yBHo(n3`~^*i$+G3G7uu$ z2KP)c<0ODmf{3;KW&g1RFmqw&31)p zk%_<{(z9B+cP0VqPr=DwXNN_nq;_=zQw)Uj*&+OsaC&Bx25J!T+o=eok^z1=%`?)O z<80*(O~>OtN+L~rDsmPEI9CxGuv--@LTZhWDkRH@7PEPbaD#*k1_+V8Qp*WrkZ9%D zY-V_o6N*Y%Hqhv7T|NW}Tx@8l28tU3tI{Zazx$<~N1anBMD}0O@ za4|)8*!)e2IK*@XekX&WH*w183Xt}J%fq}9u>^k|lM!Wx+gp&e5*2<{cKGWr;KJwm z1UnTc>;~3Kg^vJF2r?T39sd~t7i0zE&tWDhRi&2&+>KmslpCaHI_gLy76^#Qa6xqf zv28ZNxg^al#)78Hz{w4A)?naEXcUYFOS_C?pd_pIFUOh+59S2?lChgWK)JV5@iUq< z9@)WF0Dg$os1;bM1bBPv;XH>w<)h>hJ<8t>NlbNdc#*WUa(+m#!vV9>SDn2LJupvj zGij#66tY*QfdT~8aKSwEosID~YA-p`f2HwPFai^oN7F zY=9Gb4Bn>9;Vz=@tD@(*ui6e*J8z8 zW{{UYE)*dBf?pA2oMBx#U={a*2h4JYDi&z1`D>)JPo%uN=4h>e8K*(-6Z?~jv{15M zkXC#WH+=R>xaQU!n|B?|-yt1~2-Q2eq2SH0)OfXbp68Pwn zqM}@odw|1#+H5S>I5>IHb2)G^Et6Z4E#DGl%m&sL=GTwUw1$t&Q^a8Vr$?pG(zuoC z=+4rC!rM4!BfIKfoUPLojD04T?s*~aU}|lz*h(1oRgoIKt~yDHT9U?YFh~bz&b zlOs8cVE5k=F7|3ZAG8lkc@NAxuRTBg4fggqO7^kOwOdx@-m%X}K3W;nfb)QrfDjh1 z(3IK+Uk9vuh9csx+h(8OGIwYDj)mAobP*54H{_!3oX09yNX%YGr)p#(HG3~zt@|b4 z)Y5@qx8HA;Y1ytd862aDf38^{mL%`jH?8jMQ|5Xt4?3A_tLf+(NQ{5eT7D^sYsncz zUZ|UvVCG_SeOT(=)x4cw%&6%hC^zKr91PWQS=v~?M9*FV5I>x??u^lAv(Ih9_u?%r zRQNy;FdhmU`v53qqcCo2m66|FkA}fQO;?J7=U28Zf2Q+ju@V$0Wz^vFaB`q;JI`s=P-tf$rbrqH^{ zsd5I~zz6xOUjqo{KS~VL#|Y^jqV0tGXDWe;^rWwb@vj4Ytt>hlAno!zc^5~h=H7?z z{(exMLM6zWt8xpj2z#1*6kHtFLxbD}_CiIX22bW|AUF}#eT|#0l>0T^@%qi)(~BnM z;6Z{G@Y}6l0>3AJ8oJrYs|zq$!pCvEE>p^1M`;e3-}R)To!}1NCKbXlqP#~rL)TDt zIkj!7i2Rgsb(Qd213z~@-amBdD_;2anW>(MxQZ;d2DLz`*-;hV*5yC%PJYCuizzqS zAeEy(@Ot?RhC#0|c^sZhe!Z zX(^GctT5*Kp2EB)LNR)~)B=SN^#f-oEloM37~TA5Wu!DU9UyMmr>u(Ub1qlPu3#xizu)rlz?$DsS@H$ZYxsLXw z5cTyw7g=dNz{F5!4WC{T{3B-~CXqBy1?Bv&36C%{Ri3I9-qe!MYxU}*Mn}S7PIqWr zCjNlunQxR?9mPc8@V#yR?VvUU>)u`6soXBryHlt1sMwVr=cRmW$F5I)9Ek*43n?JU zzt)XT43u_vgg^sIb4~SBf&n^P#v?+`2cmS36iA5a%Im3(u0Zi;NS;8Y26?@>-V6rW z0fItFJV68nb_Py6k+lw+IgMwvtJeV^oQgAFu;)TOq7H$l>(q|7 zUaS5c>&(WZPlj5T$Qz@fvF4Gttbf(<_O;b4W3c9ty_{MPo$^itH=!y&JR?^ZmwBXF zyN#xm9p1u3WV((0@tfTQ&J=_dv}Xhuh))>;>HDK1#$)@=@4lV6MHro*5E_H;c+6xW z>p+uH6m)-S52bG(dyzaDSPG;QBQvJZK+du{0%=_FLpydo6F#Mm`2(Q~;1#YW_LN0^ z$Zg15G*N(Ley1Lx{HJcnZN>K5=l1*Pc^vO>Totervysn!_OeuEM5S%CcAM&lU=wnR zxM-#j=$|;}T}Q1lz`LKH%ROxw-Hy@+M4J|@vRKDYJB$lOJP#I!0Q>+Vab>Uyg|BSk zEQ`%D2J-%dXT=o?XaZ587&l~;)b#9{r`8Zatd@xRSsbP;3>Is<)o z2bg_+Jo4Ob8pY11u2(W45v^+y-XYa$_}rhqD{4A#QUV3!Sst>OmG}!sS0ui0{`u+K zSQE&HDY$qKQXB%VG|<|7_T{~+*nLcI0wUD+1I*xsR1eo`8V;VC8m(g2{AF+d z?gpd~cFZ_P5L!&j1R~mkF}sM~XbS^3DyfYfR%ufuJ-7K+z>{iDiz9apKq%lprWQN$ z=w17}cLPFQ9J=c!@CsbhX3rU?QB0Gf4meM}Mwf_4d&EMdiPp!t*$ufyMd#$4&A8*M z=%{N@yvlu^YJMsRU&pgeRE_g4=pgYRao#wC-^2}FbUSWha`DqU1b=IZqz4hMzvpgAjJ*LG}Aj5Y8|%PuR zQdAb$A;T<85FAs#BVO6HV3Mi(H}A!I8zag(&(Q7Ob{{j6JI(@C*D%x$ta4lx&QzyT z!gx$^`gH473hV80D%kR~z;%8LWUnCv2o$WCWV15X8k#U`&Q9rq&GZQSy# zkSVEC`R_DKQuL?g=Cefpb&<2ksD7TmylD*NyKL##lXJo1-$my|izJsf=Q!;*+0>&4 z;G@9OKVi;{{b2snxb2gCfg!!(X|Qs*P;;m0Y)FN3j5b7r^=v>Z6jE0QTN$)b^b_m3 z`Lx?ASHeeY=qcGjr{x90z@6v=9rYOGUGS_nfAn7}E0VAo23s#8O;JaCFLt9?H9+!C zk`~m}zxhz4_1^q*RV+5vr;jbw$zT3L$*nH9HlczH?wvV2`u6?2#qwiI+|97`+u*R} z5wCPHFPtEa&k9?heuJ~cN9G)fY7w}syH&J%*`Ef?X{=xS=?f#TA734`bop&XW{6U;T`E#=B9mZ^bn~>1+OH z?*CogzZ(@DY#nQaB?P5lAF@7q24;O1Zh$|0B9HZ6UJ-1;MU6>^36gTru^o>>WNxFW z`SVt$xkwuV!(5x13ogB<+L)(z{8E+L@5t(WwR8qJC0bJb7fqvF`m=UL_`0x@S231s z=rPMzDjt%`G2ifY{(Sr#Tl@G29XsSf2kE$fFBp-3cwS!iFz^+&8;z@hH2d9oN~e}P zkwOiuCEu=q-inZTS5CSQJnkd($s*ffl{zjp5Vmj@J-glv%z~;l|Lr!cgVHCd`P#w4 zVM=En=f?t;$0VU44v#&aEX$XIjKwERtYvA1>h$xji7Mg-R8z+gIdaK@>NQ&*;}p5e zpC8g)nDa!*jlXSUC8-$`KWlwYg-ew$+x}yrExNIK=Fb`JO-zRWXF(^<$`SAnWbYP2 z8oNogpdj3Fa=ZtoV^esUmWR0^k|4dj+!Ad3t|MH&&B4+IBIBl(Dt0&E@3Q^V)cNh@ z3-;!H&5zpaOH*bSOMrg$+{+y8*I&V9h;tU9l}pkxBIpL(Hth9^r1O+VJL495Kt!U? zFe%9@l!35)aA9owCiw4NAcn{9V5KuhG3bVk`7eTN`l=v6+>s8!jAH-gFLRujVmG+S zG-jo=kNJpySHb(v>|;id2!3NY z`K8rLn-G$MHgDPHB~j7f$+80&tw%44o2}Bv|sGM7lU7%xPME5(v)n^hHkj(R@Y@{eNi*v>&`8|J>wJ% zf9nvx0no#w-@Ku{0m8x?Z)IO5;-);^ByWLjK>4_f20-VG?r4+X7Su@op87sCK(A@f zDSk@%gp)byx3xWTHbDS-u?gOGnC;ak z?{;{CFuTS^*fu9K+r?l!A@iS>IWC!4YA%&0GEY7?;*&B)@x6nf^QxdQ9%W;-RV>WE znZQPPZKO>ndnb+h&_88qsT84eOXQ>=O*Y&re?7$bB7BCM?NZdcK})(cap^cJUJ_L$ zy=fJ9Uab_xR1Uc=G6D91E_k8kBb=1}ioeU)FjcAK9CKtyK2^3_6&nQkkLyykp>X)o zv3`SUrW-{@!@cTFD^un*e&2+j?KAHWoKjW0AaP3EnN%JzP?wrut9*_#%fL#IOnKDv za8nZ`m;b=xn{>o~*|!>%F4PT*cRd!mH?tXST+Jp12bN_mG`o3N+*#|~E~?k2BHvnC z+>y#K1nkA7w-1Lzm|X<&zAr>NwUH-xd@>;mEEBwFwVs75x#jECfWZQ3Y!nD*4Mt4C zPSr9PCquKmNXMo+iL?#yTFP|*FUs&}{p^1sMBk9Csmo*Z2&I`DSu!hj;IMRevR0ms zk2ng8mW;30v1eXb{Lnn)Iq^5BrmCe_x~47s^goH5vDJoh-qKc^-;zO=?5ZeYOV82I z*@CX9ST^?u?w4=pUqn7!^<(}b_EO|V)N6Fk;he5+=*90>@GauJg%iiy&~r8Rg3K+$ z%J&jc*4-|+(tdUJh)K8E$L^~Am7aDHVkOV;UvBrgt}nn;!tnDn<35r0*ieoOtR!kV z^UwBF6f#1qeql-CUwc4;Ef}2-PvMDW$ra2}Om%S~M!~@gYc*H7EocO&D_>zk(Rpa0 zX!u)nVNCf)_;W+%0j~|Pa-PDLhKHqktHLOXtTXEdtFdo^kXV0b`aR>4wX$`vbp!<7 zSZm}yjaALzZ!5CDo^!9F4(=r|6$SKM&n*BPptA8oq5!>iN;F%g(MG`)v@n$mCE9G* znIQdxqO3@hTRNYWj5519z%IF{l?p#DwK~S8S1dhs`L4LB zOxp=AY)szKQFcnOxEpAF^@<@uV~kvSI}6-=$dXg`#@ zzK7;L(>tAWRRs(qk6tmoWP$(7*13h?@*-7+tWm9=iB~zQqp51X#E7Nu-q6%)`1BbB zf20T7#WS#o-$b#}^#!CMTh>Ez#FVm}1Q0oAJZO6PcUTZfy`QOQl6UlyfSuTY)xmMK zz!B`om95Evd-Cr6LG<(niSdp=m0>}vyhKY1`{Sz^t~oHd=bqmMQzRdZpfw>T5mp=c6z$LyLq!sZRgH! zcA|R#9T6X}J#RbS8%{IC!b7iF+tKUA`Zu<%$+c+BU)`!Jk1slX`3udS|E_>-XlY7B z{dT~;4o#4`#NuRMKbl;ls4u|ESiwpHTHij{AZfwhKln)X4+PAiwIaio8;HKX$e_Aa zBGQYTR7*K8X|ZG@zr)ixn~u%~w-2M!%>@73Zmu0Y?&1}nSZQ7?QO_+|*gd)UquUFl z;keB1X5;o#P*9|EG2EkUgXFJuT|=FwvD2+ow)PbU%J!$z#KEn5{_nPX%CFAMe+iKk z2Q?$!PjxSp{2{SyJpMY9@cFLW$DLbN@5DQV&=IZ1J;J3uE`dnQ>aa+V6V*En^r!>xZd0Slm}}I zXU+WaU`5@O$5GaUW$XW}Xg0FdES&b2+^a{}_g8o7VmO|2ZKmDSEh$9fnEVUbo)_I= zm-qac)dYb0+5=tO5?mm9MS%7FT~yIv{lQyg>g@3D=GN zs2ASUbwL!rB?(BC)(VWJv_`AU{nSr=!lSv{9 z5#ad6B)Yuo{o(H2VF3dK3t)zp1b7Puk7~P|Zfdt!=D2L%rwaZ{`3BhRzxyGx?PL;I zOQ#BM5r`BBW*qnkFlpiR+`sdUiin4yx`Q-V@Z~VnFda;xQ2_1`cc5=hm&vXb0Iw9k z@CLtCMRgE5<3PtpdwHX#=Xb?w(V1$Wvj$O$p6g2@dCNNBH$7GYJ>p*ouB;5{?*zBZ z;bvZlb66ntH3Krzc-R5x*Zk6FP3ooddwy5>y!19W?3j7jz7tvNqMVGJ`(NdpU`D43 zTBY-#bfWK?;X={xX?(U&?|>nfu#G3djt4a6$Nd@~8u*d`b5;dINc|KY=BgMSBT$M+6HX z`3G)c@)6y}O*c-xByoEW-c+xHL_;mxryizhnMT&itu6?5PP`aD&V0{!9{Ba$s|m;B z+01=F=h`Q#3i-qoquE#|OAlK2lRkdpWkjvJeV}b?GCRyclU^J$^RvmtwUyx*VQD+f zsKFbskvQ%4Wq;ysv)=>FBe<^gW?NK3h|{&iem*83H0m0GsPF(i0y*nx9;S7 z#v(+BFFIgI?OCkh&bEv8O@Jw=l_yd-6~_*H`sX{K(D=`))~i*$EO`>9#@#*lj~Nuz z+V$kjOyP<7vN;XmZqO9-KB%^9RGC38xJePUsG`$53jalMbkr2fVof>vLS5neLY<*C z*-^A&qvA9!$^Q-Usfrh`G5Q?m?x7~6{X2?}?u2SA5=kL!;`a18@}1&=^y~ZxZNx#p zL;zYG?a#t4++XxNJfQcUYFSDmvJZkiJe)<+&UR(j)DDrr%C(4L!MDNuSb<#gW@iZR z#;N8(cIpZFOoxu8$Y{oP?K|Sn3F{Yb#Zg{$hx2+UkMsJ#B zyV+%OZK))gPs3d3S(Jba&!w0tezy-lCCs#LUHK{Q#pW^5IgVrY`w4n84l{>{5Dm&( z(W$P!hz7bUpvcoung7M1hw^KXm?bIHfMDQ67=i6($gb_Z+lQ8i6wnw@OMV0u4tsMF zbNSn^yFa$)e0YBdRIV@r{;HecEAoz{AU?xR2VcETufLQ@{NO&JJ@B6pFq6HA)$bN` z=lPRfyJ%Tk8)Vk}N~>c7_C#h7_paci95$I5P8>x*G+hK*tJLZ=Q2e$YMi)^*kjgxY zS*!{=eMz-XD__5YF+|adB&n=VMIQ#T2}IFK4)h~~9>$NZo5CZWMUX$K=Xx8Wn($yH_{^vI6es7;ugkwr*M% zJ(8%vbGA5Aaa~ON>ELpe;ftsvcjQhoAr4V!564h2{P1mr`rF~;hV1yM5)zDdvHG{D z9p&N+>VSCxTsYFh2`rB{k_#z|FoW@LI(t_tJkx@|(txE+U7&S{><%$;FK66_j;L(w z<+koymkVCoW?n_q^>5(AH#t4t0k01PKcJ<2hje@tFR1FSV4OfJzFyO%Ixzp9TuWNP zU8TKXWNFG?vqUZg{c7rzXm^1=>lvkClGj4_13d2p2PnQ-rs)BnDQ*`76f@KL2J~HJq3aypYW7c}z_r+*B;=;#sDSsB4{%wvZP(J||w1 z^R3Uj?MEyWYmXBUxU5j*b-g|Rs!bvI&&=sHSx&+|LFb>~Ce?yVJj!L}Z`Yu6FaXxY`;kR35SD4V1v&U;+Nk~h=!_c&LH zB{Fn$qZjgU z7h8BDO0B01J0-IfxqhK$kQE^#5GGzq402FkwhaPhQFsebq5-!Zmp`WsH>$IeB!rrY z5q=&N)l+x4!4se&C4c|d83vl;LjH9@CiD6UL%SqDU0enx>uymOQk4M*wtC zp*@J5B8yg_rdiB)qYLD7w{->0$!2$)8gtgq`8eATICwEE8$U+w#%Gymm-&PIQv5P` z0g4=~Y9R{?j4H{bgyVj3_OGk`LNUYYP*hVglIb`1MG*{B-pk2;+EfRIDBR4tH}~{0 z%#0Gsdyy$i4g!-%(HI!8@&D>gqV_Vvf)W*|?%-AsSu=;6gK(yw`*GwJ6){9B%Jyg{ zJ*mdw*e4@d{=lCFxTL=UTJBLz(gA4H(#!vxr~YZcj}%2WNGJ;De*%0}2PGnGh;>~E z_=E*hcP7da^@ZqowEwHf(SK|Hbmkb8x(40k9!+`PJ4ZS6gmEF~!P5XKSPoRIVw1cM z*83Yro3`upvrGSV;Fp}S-I$XRR8-l9-TC4JIutd67|Ha{-RMMWZuYgnR!=NpBjT%; z5=s+8lSfe@m;RS~@DofFW*~QdCbghPd^(Xi1tpXhB&D`2$GS!z9V+TLn0ysW#vmzj zG{#f(eef-IODZB?pU=M;ML0^-%h@ksrpeOKl%k_FnJ9%?U2_0QKKU6_XTnPdnMDtUm9D+{{o79 zeWxDM3La&~7dvgTr9tB!@)-6?2HH3O(R?DxX~MT4)@*IP#H1#SCad0BB?Fc8^a1S> zcJWCMz7K3R(5#9I;)9#cjL$wehZ?i4aBMa>20Eqr_QD=SV|WXA7#Hgs7jeW!jo=cp zZSTD8T{zIuE-E>`UZqkihNlYtJ?R)9h%Xj zdo0duHB*q%HqJm{+bqsdxhxWRGVG^Gi+@eBm6uHzUb&d{MSI68^1l#)r#K^NrWPhv zWHX+dA-PjwN_K!hm=kkc{It6!4-z+F>y{M`MiFC8la*7``C$G_s>H(VtS|ay)n<=! zebr7^WBRNCbHX-pTSmo&So{77 zdvZdST7Lw;rA&Dnr!ZeTkF0RN`3&+2uCPP9UK9skknNi+9*L3-vfFF550{Kk@vgUu zFW(l>*3_T15b))WoC$oz^bR|i)8;+Es6oMgGtRz=B{V}e%!cRW@+L;_UgD%9YsY~! zt|?+-IiRD%c+J%O*QPb%5f6JT(mD%FQ>fWgK|u}qHR$g04CR%dO0+WnQ-}aBr>d&+NbZs8)*T=`08s2IZmcx z97n#y%pG)^jFv*@`Rcwm7V-26YihrEmNeas0X+$82KZp0`K zRjCoONgV`*Dxz+7w%ihG$rbk{)yS24_|!TQ142-fe;gBtUgqQ)H{W|Begiw|8aHS> z%Bf`eSo$ViUMK#N%Qk44Y=|{+Pt)-(#JFHlt~R{d`kAIu=!4)AQfVbP$Sd{r+g*-N z+bcUSo^R^%?jBygn&R@EUxj4@C0=@6!gi5c7p3Cw$S_$vdDw(ctB#~|696kP9z&Wk zh(|K8IUxK3S_!*N@VM~VIX06|O? z7)%*)i*74K42bAE2767nHFw@2uuiQlLe@fM^ZOQ?-K%J=Q|Gm|XcPqzl4=ovZB*C< ziHGyT+4W?ROPq?s(8qa)+n}~ShhHZ_?jFu_WIvT7;;Y1O?PE8akh2zuCtlf+X!K3w z(f3IiL@nWx@AGRljtXW_J;^QuDI-7N4Lqs*%{`YZSQzpKTxb2!@ZPlg%~<(M>+IZM z^Lt);3~_g-v+Mp^8oZV(;DxP~9zS^)k)!9U&Uf{D071^|n7iYP!q7&*mDDaxn1ZrZ z1lSlVr&7WF`?4XYU1)Euo60VI099}g+3El_Ufr#4(IEIL&B*4I7ri41hKVnDIXru0 zh97hC-1cb~C*i5@pSqC^4cGV5#|hE36?e((VPsvio!=w$&V$u)yaCIWJcYMminSTm#RF-P#7OnDWP}k5FmS49D{laIfKkDIA)) z!+ezh>xNJ0^b1N9Ju}b%agHe0deu!XkLH}TO{kY18kf_JjMm_~gfnW0jA@cTqSZ_0 zS>ajfRs#%R9umv3A>UcOG8@M_j2bk*tcHwZ4C;OFsz!PqLfUL)d{((aLp`s4Cqw)C zZxp2Y zVzh=`1c))wX78C4h5H7}GWy={}t6~|km0$8b>MNdwM zk?3fB0t}xsCcf6XcL&_TmYB?)*iFM-p-{Te1&^E*I6es(Z{9%3vQk(QO_tygj<6W4 z;ZKJyfx`fa7P1deyugKVUEbL)jr`q#OV=kNh!Vz#U-KEd6Q2kvuz?0MLD|5{f z3O7RG=Jij|;KP@d(zZsh4#0v*wq;3<<99zVY`^3Bxg7sZv`@!HQzgVapHO_Mz+qOy z{bNEd7_Ss52;5FqJo=h76?p$yr+ILYtIVVn-pv}50&b!38h!dE0mKSB*CJGkYIcY^ z3SP(XtB{Dv{AnH~x}hY7B#b$csDVcu2hBpvt{0pXI(oZ=2p$F#Yyt@sj&cKnTH7i0 z8QF>bF-v>CJ`2i=P`yJ}P6MNGU_C({3`#r1p3>Lusrm9FUo|@?J;K%Yle&pVg4u)Bwj|8?X6hxO6qIDyn=x9sg z>q;2l=Hq=tx(|E~$b#4C+JGF%{)~bEO}HVJzPC3Q(2p?|f-`e*Dr6$z!P)a`^XNy| zan@Oo0ta7(gXqanAG@h_)fe2GMZ|*aCxEQQL$K~ZIzCenk4^}`|W?A$b~tbcXXP$!7oSPLRC6cHujNn9aMrA9#D+?wAkA-713D?@)S2pjc^PX zR}h12w#yg{sr}WkHR2Tv3Dm`MJf7JHDt(ssiF?&Y^()bxGP#2OhmjBARnM1R4RORT zT5W)k)GxpfKo34LPm%iBBPfCG~nGBB}3+OjQwD*)r zN2c|I=E&L9c(wVJ)Xk|ooI~#Z;LW8!OkLL4>kMvLdFv8COG5|wR@y6{woKusx|b*c zL9fk^9R}{uJqHP@g5l`uAo!MXbm6wkLAV2=Pe>Ke=f1T6;5|UBkX;LCVC;WFpZ)>6 z6?5q1fqQtj!B+nLZ&260JB1@~U*dXm0BVDtoR)ELNk;f`DAhhTwJ!yKtTR(KND3jjZ~ZU88i0FNh1!q zPVeY(*h->;F|Dco6|ESMXi_gYk)X;G&2GBxy5Ww<^N^wDkh*mLAYAaZPiG^FPneXs zCjO}wmYIp7mB{C2Fx=x5b!K24nfYGieH`h~;bE;*OL!|{3UyrHmCplsMUX>jeK;^d z&gpn@We3HM=YL`Idq7H~N(`226%4)6+-x=U;6<*y1OkBtxu2>)YYt~+I=|1MhqhaS zVtEuhTqX5d^m%JiW7p9yU5%H5al|x$gs~jXggWF@A1tEhpKgICaqnGvjTPvt8Ksg~ z4B>})1%nkU`6}v$Lg+n(*0`gDL#oxEI7)xjCd}-Mf|)&4jbV-n<*og!XJ|Ob-^9wE zFngR5Puzybt2(n@`(Y!)X<=7B!tdQ44w}`8yZjl@g|H`BPLqhey9}G^6hyQNp5izu zz*hf<6W17KV9NmhecE@0xPe`a#x3^ImV+3SmreGq4Vl}UQK0zwr8@2x2~eo8yz2+Z z%K8qI@_gXLJw9BVIr5NiEDLJb&~s0;Hc@wwG=X5! z-!gD*$tR}!?M}<{WGWS`JYKjzMinpO3owWpnB0m}Pczi2V z>TEe^;i=Y5b0gHMh=-2us9B(VoS)Ed0EQ;8=TjFrJr6VJ`LM-6twD|gemel2h@+(K z8#Qb0-1mFkM#JD$)bHHPZ~wxvU(S!dNrORBV}c|4YchT|X_XY+n1V5>QckJ?h!=QG zT*>*tU!N@#Jfj{1TR;@DEpYyuySuTEpx~ibw|CV@n=2E%7irG9Z+Or8H5Gjd+80G}dB~@9JN_5GPC7>&-dET3k$CR47 zl?^wn&pttv$kb?d6ouL)##*DRGH`Bu%gbyaMf_-Loc-tc5VB!pr94TvY1G3%iFyMq z1Di3N45&_>;-`oI^||Id@Uq-WC;Y;gUZ2^AeFiafUaa0j5}Axg))FOaK>LZMsF@d+ z0;NdKRj@)s-{zopJhr+W))kU#TOpc=fWeQGUN+}4FivHI65jtHtw8P>J8gwcjyVE3 zJpDMkQa!pUW>@Tne1=&wOd@LRM1+QiYqwRT<6svyr6~qJkdB0NSPtVxUdW<|Du?r_ zc{4|2L(sV?k$Fsd=^K_BLlh?hS@Fna$xwCg-l0q*nXz^1u?8OjU}C3uptTjawafK; zU+M&&10}_YZypaKh_B8ph9&S^3P7NvE5{rFW~Rgy9Y2f*LU#`UU&hl^kME$cx)h{? zT1rZ5Jp{Tc!eg#PEYTH+f;{~58F2D66ox1~HU71tHSpUa8O6Y{TTJ!A^ueJ=lXbeVK?ytW2P-R z!M37kvT&pFf_=s>3$(i`67Gnhc&7^RB4Gx~#k6ys(*S|?LW|&s&zE}+_vZqI_Fh3N z`=|T`NLeX)_j%NWAn_okk!_!$1$Ha}p9{dJ1TO-&;oBER#@*=Q%5(3FaU21|B^*ft z)`^rx(l}e1$%iyl1%7EFh`NS6qyD=wUfPbTMSo5dvv6kF%Vj+_3h(dRNwCq$dA55+ z_+UIc=R5B21bilNs=z^v6Q)1(;`^8~!;gVmsC7Q?9-0Fg*vrd9?NUw`QRn8){LFkWb`kz$UOwan zJQwB@N|^&UVg{I>!UjAeSjvLn-P=-oL6EEnt%R-!+xv5^i@9xFhuiAS3IN@~&&Ds# zY1qr-%Z4A+l}jq}6_JfHeK|3YGpiDZUX~YNJI4P=R1*mi|0`OErX^3s)@THs!Ucyl zw_9-q1b<#I2V&6WcZF-`!bFXB-$qZGdXp%rZG?rci`p~Qtb+YOtPNRA3+|AZ@% z?y3}pEy?_gbDw@zIk+wpqiSDU@SFlqexUDstCkZM7&w|8376o1{nZ&+ctEf?iA#@%cfpAE?= z?D1T+z4=l-3<4vMg1Tx%6-ZetNnuqAcZj$5`oMumDkmWVDJ*42J`V%|_lv|b27>_% zWID2cAgzc^b}gTq2nWH5)-585kS=8n7q|jAkknQQCdJ1L3Vy4$+Zvyqi<-pfc73kq ze_1>owqkBRACUaVag`iwETD#nacR26K3Aiy=p(=0AD;JDLvhjsPs)T;D8%q76$6FB zQlt>29!N^m+R6Zt4R9J#!nhc;OL#?-{|Bc)SijhDN#{c+UX}(IC7+L{k9P^OeZ{ej z^{OQ7$cmt(wThc~cZzn8!+w4veb7zJmbrFxlLaagI@dP_%3r34+rCbtsIZ z@p$4`^YL}d1j$aNAO#?YNR25>kA-;PcjdwATijE&*977#hf6Vgw(Hk;#_f$~EW1T( zJmY5K8TUW#fBU{K&UgU58MnxGnlyX$liY#@Pg^vL@Hm=E#1Ft6j0$6>Hzbl9EB|{Xh5@|ChgV%C!Lcdl}dj z-e6^nizmipx@NC+ZexTvQE|1+;UqbJ2pV!ftIeplCW&*R+L|o7FYJmbf}LnrO!Dg# zcEu#UPK?Ps3B8UZ2$DK&5^T*sq858tVfM4)5*=4&Jyh*IROh?3{vh(^8Ext-`Cnae z491|d4CxH>`EZValN-r;tQ6gsWlaQs8dPfO#>2)$4&`#J!KyyZW-2K*)3Xq z^PBO_-}=+v`^x9d>d?hhdQo4o0XDw z6tlNd(lYP9QqpE?KwizO{*{vU|7Y)AV=h^<^00$Nh;4?80#P6YXgdl9noaiJbvLmf zI>!jmfsj6DVjRoUoH>`FJbB+cGA&QCP#I}?`EL+A{T#SVr2sXB3J9fftNWjQZ zoJ_EYMaV$L$Py+pm)OWeK%QrO|z`|I!P{-&uPt={$RRc}@8s=c3edDgQo z)4vfKi3_16X&o!!Y~J4_X*ahZ<4bOCK|Xuny5;Y_bl3dWPrk;|H*3G(vn^5K6SLoXX!q_+5JD!3Km9 zz2}eRrEj#gQ+W>{T4Ur;VVKbdF|m)Mllb{8l)mRFe!lb#PN!-BP%20TebOhCm%dwE zi3ax*q(z_o==b=Q)`S5ju$Ncl(;t-dQ30MkgoQH8wFv_W)s>@`H0|>^UOUyru*kqm z+#XbOZox={n2^aeO`nv`xGxokxK z-!$+b{?zW9cN}ZTuUO>EE~cX2RnA#ET0y!jHp`}VD0%J6W9+b)^&*Z;u(`Gen?{DcNb#^JLs zzCb9_Ei{=AJ*Q!!p|>LAo`@OV3hf1E2rU*0y}%GSsLkcckU(UH7zfx35K&jRT#-0r zh6B`bMPz1Z2EcoMX!;3e*mOfD@ITa;*;yD$Kq8lhjAvUh6%Nk>lW&ybpU#n`Y+Dh( zJ(z7NR}Ba*R%9vL4B;oJ(_^wR{^Jop!7PWmVbcJ3!BDTEXia8Gda+qT|4zs0^l)a% zvsB5Pa6NsCRs~{u4=H|iXTl;R_)9f2SVDUT@_g`TY$kSg^z8Pu2VOeYMDIj-p4t7u z%t(>;fe=yP5xS#iHwYtog5@EI^g2s_hvEPPoM)e3`=akbU?yni6S>Xnu>Zwkg3>Q= zA6ay=<^2x%Ls_+uKbrTEJOuf3pNjnP#7NhV8)WO-#;jb9E|+opx!-&Vqv%Ie7sL4= zstJ<_@}-V0Q8!Z^xM>|-$rLz7KUxqjp~JDGz^e{GcK4!=0^!f4JcfQe=7T`UpI>^6 zzLoaid)Pv^W~A_!n|n4RT<)-huf!RQv8b6~w2kk6Qu;djbGmSgwtC0S)H~k$>_@-< zHp0V_|sIB48$t{`?RTB8IE5Z638J%rp@QaEr0k2l z;W`Rv5v^{*9}>4^G8>a0+7UW3YuP^z7}V9)W@GToMXWBA71Yu3o{)q)&J#i}q9;R8 zN+HuvS{Tv?o<3?GkKoC`x$t^X^kgzA3GqdI`iS4}{&VqbjMFZYDP7hwTrH&19i%wD_F;JRD1c|z-N(IrZQ|M?sL z_9wn?i9y*Q=m&sLS8j;4p{9T@=uAPz*AO5$jHE1p30(+>vLTR2Yb$n`ehf_JjKD?| zdVN8LKx>9=b5H@nDR~CstQmGSx)yas8#EB!f;Zy35K>kZ7emO@{v+3GN?R&j*z_dfh{FaB@>b4>$US|cO{?{*!A z07*px`Hiy1;WPa$+8hvKPZw-_qCZR_oG#e-Jbw&a2NXNXVn~+eS0Q5jJ_WsdUu5uE z{`S!D9BGJ&L;`-P9^mURfM%=gKsLEBB$(UBGhba1F&L|a90n$75aHETkR?jT7BG)% z?rTa%u@bTeYlKmmvy`aO);zXHad%*OC~IGN9Y#2rJUTSC@+)72eF1Q-P)wIN#2~a} zWHI&?Rf`G_Avpl9wxP#NUPbgxvRMB7N*2*`KUdMP_}%^o4WN`Y7MWrf#|snDg~dpz zKzBIB;q)D{I9glgQ{Z2g0E)x`D;hs`UYdH4owXnuRqeBCYDLi3vm&Hv07yyv+OCN$SPiJ^JS@TMXK z$O{53;Vne7J`FxQRnTX_dsY9L9zU2R!TW*gj;s_wKCL*k&w&SZM;JCOB>(cfdX@sm zARK8$Y|*Y?jvw?H@Yy+qzDUu|%_+=_6sVwRZKgwkqd3W|NHHA1Cy{IjNTB6e3ai3y z&iq(Ge|H{btYD7jvUT}@p9INa$PU*zg(!XsbkhzQKFi_H3J{tvQ7kCOTF@Lcc4YY9 z<>fa#zfL?yD9%*$g7de$+NC)&4Hh~2|iVnh4ei}veo(T4UngZBT&`+oSJ>=PxS zld9tpAkOemw-{h!_8pgC70})cG5HRZJ2AxM9iW*vB-)$d&>TT9fFYQV;y-vp z`5}-Kfj58}z7(>1h#F`%Jgk936YXuqwt@t(gvD{9Uos@xN5kPjHUuKrhEs?0b0pfE zVTWY6Lk1z zWKCowa%Smo&d~L&lHhudO~+DyW=XWSHKDUWG6u%^9iZz}TKd}qodu;2K+YJSYO$uj zKbYNgL|KDtV%5xYF&_{^?Stm_RjLS;07dhV@vlP#pvVi1Fh|m_bqS zfZ$|?ZHvM;4W}driA`o0_>m}kS6xwf)``Vth+%@Yq`$%L#~6Y9&{++C-(l<^5OhkL z(AV(cg8(-H%1zyk^o02{qsR`hFYTDl{ zFM;`p_({r8vG#m3+?pB;;KebZMLM+nY0DHy7gM#r^XyVD z#Z6}ZL#7}V{qs!0H6;$h)4iep?M44}w`fEEn?e6y{r2Dgt^YhF4zy-t=pQOL$lpmm z02u`Ez?G~Y-U^8WGfYK)$OD(`0HVJchExP|665YZ2Bv1%0Q@C@Mo(oJ0h|u)i~d6+ zorQ2bs$*aF3;Lozh7f^D5A;VKe$=HYF-WMUqe)Yx4Tq|3weXM*?Th|h#R@)so)(#S z@lcYXpub&B*Fr8)j$Q9V^50qd=F6e07?86?6eap{O`^Z8iSAUK9j`~Id<8v#=x>%i zN-4T#SC1Py{p}g{XrATKyN*ssv1WFE^wVS-<`5i1cSZgNp)D65H_o$fy3w7KSkTTB z*_R%lC)TXd@=+e$-=gM-ZeUrn-G_D)cXWS`aC)dR@#r<18vGS4dOqS_Oc5UB4cE&c z+abXruP6E$3U6eww=>zNS6ur9@`D~9E{+~JWIFW7eq+?)nU+egbkWRTqALY5gpnVt zh+^9q*i>*6wx))Kflq6i8e)6rHFYtBZ^Y&U-CiGP-7VUDpquf5p8Lli_T9hw5plj{ z({o^;6;E^vj*2D?SUhQ@=U_ndAPwm(3Cil$-R{_9Y$MSNvJqNFNQMHk!H~`Z;FyM} zdH|CAih{9bs8k6Y8d;cfMS)l|g#RV306GPbkH}XPA1D|Cf+?9m)UHBqA)TWDY%pvN z!fQZX0FD@;IGy7nY+4{5!}aNGfj2YLlcMd(2k!}~(ksC%s|2J!%PU(~u`y=`^1WoP zs~7_?{XM;+{VWi|%ky&p!Q(h&96cp;I*uPgYu_9Pj$p>A6TZCT)Rp}CQXUd>rnA)h zh=j<;B+IpDoIa8uvbl$vjCbeDhr;cT^Uda3g9LdAr%{|@x14ysmioVk4=c(VaBiW1 zCY04g7AE){Mk!c3s4zU<8wlQF5Y*qCgr>p8!x`#K@chcETz*>#e4jU9t3Cc*Mnf+4mxcZuqr+C zenk(09g2-oFF;^A3xe$&{z{N(XbIdEMDL(}z&wdRv?c-!fcN}Z5RP`kUa}RLrTiS) znjrm4!JtQFrSuY1g~=>IZvd!Y39AJpV~8tTv5ap(YX8f-A+q&<1856k3Y_ZRSD*me ziuS)AEx~sJ<@8F9*o^_4YdF}pxYhngQMrI&z$QA+Jegk}!3+m;-~{kq0-PY<11McH z0GjjxtdPw>mPJzX#lT<@07^(%PDnCp?}I3`Zdyp8@bnzA|Foz zZjmfdXcpk++X=YcyC~=*!;e0K4ac84HN|zV)`sIZgX5q5CqMmDTsdE9nU>HGBu#ko zJuwozyhEw%lJovoixbUi4$03z5dP`rG>3@ec8;(I3l9*9taZp%hqn-L6UWUEI1X`Q zF>JsTU}`rRGHx-$SrsGVxEao>7zvJ><-Ft&aojBDrF{6SZI<&=K1?-4%ULNOx>;uV zC{jK|Q9z9=97IC-w)`iBLpM#hPrIO(#K$3v2R+hGq&{c2r zE~sncwxAcYd?GX(9xNe`$y5=Zo#P(r1;v^Y&IZe%YJ`U;$UbF9cMmR(E~6v(^kCdX zxu$z^cT~Q_bB3p)szc+0Jrz)wbdTwC?#i zEj~XuUSJ5A&t(70V&SW zm2Fmd7YR8wtn%IQCXN){)=HASwwaZlUU~4^Ycdui4su9B+4M*4`*9~4zV^}^*>p;s zr!lsR3ywV!-9;3P_>#wwi4vn@oZD3fRoJdNu!0k^;~|Ub*$+O@(a+ff%gZD&d zc8u@*xm|;ksk`#Z?%+Q7Pd=Y%{vmKzC@=N@{oQZ)<~I}5R71H@V(Q2N5=>0RnNK0+ z3oHvuX!QM1pEcy~kr{>woRoTohX~mA*&*V)8Bz&a{1CKPjs&}XVhWr@ZMVR*FN~T{E4~h%8vHcb%IjeACj<)mf5>Xd zhWY!lG3s`)gZcky`7pE8g)FFj1WXwi+wINp=d>) zOM`@$WI<|(TMM>^vjSqu&zEUD?guv5B3)9AXKl&vl(nzawNgw5%nd15E)n{ zh4hxpQUcXriSA!SaI&UKX=Ij?{Rx)y-76BjrZp+g!Q|nDw5DW#Y|SGkn(*k8e+QoRPaF74me-nt9vTUY=Wbi#9K0eFgQBc^Nmy(1uecni)2QO*o? zycZ9RT8ma~k|9CP3_;fA#&%n%$N#-n8W zK>t(RiDXC^iiRd)g|IY$U@{~MnIY2u93jrAc`Ut2FTo6xj%aKbN-NS!FhhuY(36># z$&~bI&=C#uHKq;eCD@7(9Hr6~>d*%rg^|f=GTcd)M|~n_N+=~7H7|?WcoOJRwXlwvoZ36W2X+d?8P`c^zC@%t`G-hbH_|G+2w;$m11VjeQIh_)BP z@)R3Gd_om6g3pNf-G}AdjE%(^8!bG9Gsy!9z%10CpT@z^HCoP2n6` zGU7m)9}zxDN+?{huXbIuy3q&MN2}LfxLE2G8e!>)Q(MoZ{}cIY`7 zElDWYlI*~ccH*h#U*9|`{hcBbGO42_^ucg+uqvUQDUF`J2tc1}9=+SNdsbH15{d$c z6imX2!84QQ1v}4K8BO0jdiPOuGzE>zghKQgoR?roEjvtS6a=>?W^~HmXB!g=U%`%L z|LZZA6*!7zWRq)*%MQ4Cu_IwiCR~3q;qtOWcCT{Eij{h;l`G3DHn{@}TC`*OKn==SVNX42K$%u^7#_kdP=> z6feOnTTFC7>mZlxLWv-}MV$66j;;}toV@%4%32(|miL4e9JYg&mdp^uql0}Zlm!eC z+m9@nA&8cs6be@oo*88xv)fa&IHI>vK20Q%v}MCxk-tG+O$_;_VoiUCFy%zg6V0mQ z&(q(cSVBTRDh%jwNB8%Ls&V*~*xrefN_O^gCJ{$QPp&c0paNvv$IDt`o;YCPv7S7DC0jRz~4uO zO+#n?FK;1v5MLL$2LWTjT`et~VvQof^wcZaC2JLoN3i0A9GZ0qe?G-px{T2piZ{8R zQ$sMIw*OI~fWmjyDF|WAObtmhLXPrSCMz%tBvTV8r9w-VebLLv5=o}_r1}ExfP8Ec z$FTG$*xGmrWf7%kEj_ytZwK;66TosGHh)U+Lj zpT7l{X~W~2!Q*Cl@Q%;_$OTokIH`FamXhI z5kT8WJO9#E^M}>W5%L6V=ff}Ku^$UsO8>M~8ZfC8OR|2zV9$S$i_x(G9P~sL(+FOo zHX2@pbU*%7r)0YazqEpBMpAQB_XhAL_zQmuNq>liqFFz^JN2qOjNhKJpZXj3nZw(V zxe1^84y76nQ={PMaa^@=^_^XkCWW-$sA}V$-(A~af}1>+5VTNVE!}co-`O#rCJzU^ zw!=v7)IGtw{?H+-#V-%KQ}cU{D{_yp38UhQAkkGmnd%zobF}M`D${F6A8`4t0IJ7l zbBBZtJBH=i6a{?$MN@YntchTkBVfg{_@=FYHc$T9q>`yTN~eeRY~t0zvzh6c>fYmL z^K`Oj6R#fQv-!@DrGe)x*&2Me^?wj8i-yoGTXR{qrj#aCsZrNIRLK`L`L-i1QD~87 z{X;Oo_aNKBJHr*^Ju~rCXo+Sz88FEA=XUa5>%GW>#{M5jkJflU35&oW+h4Zb{-T|l zoM|YC)|oS2Gd^?YFWYJVLYfu6d5xjWQM|O;)%YQ?%^L5bh26AF$D24(bi`}&d`JqW?|CJa$Eq+(Ez{5Gf9Uhx zml9kms$vPQAhB`-YGM$dI4|iX?uhAH%}IL6FJ>^DmGlya+E$!X^pfAuU^pwjB@T5k z41ynUnf90kAVs9`$+3JVKdW}^Bc($aM@*@7$q%RS&U} zu-lk2cIdOt^}H5cCb~8cBX!67%X}^GF6GU{iKbvvgE-(BuqI zQ?oOh^4N7Hlj2Gi+-IJ(Bbl;f-TbpBZC`-A1xf&-x9zG@XVHq#KKx% z(~k1W*5m(roT)02u5hL{lvd;-4(lucvj0&f+UCDsg4E*PIiwRGE+L&{S+0O|A;}eQ z%Z7Bf4(V3iq7CV82I;=+mwxu&{Gk-KYD7^~Lll2P7fT5Ak`$AQU`NA#U|9gz8T7ZkA(74u zgRm40YvFTxLx!zph%g1>Ph7F;!gRRs7Ot9Ms~HBi1+E(6oSNxRhVr{+mP1`L*A6&F z?}=vmoh5(rlI4Lu11-_{BCde5WY}t!AaM@b=X31GmJCnK5?w5m#XzS2K%y$EP`2jU zur)&G*}hZ*Sq0b}$OT%1Mx-FA{NY?*YQkDEn~YrZqdij8dOpQZg(*A*G2^>MtxqIs z4bSQpwccKi<+@w6VfoEq`M>w~K4bXIgyqULuoSeh4V{!Uy8v=pBGJMO8?Yo)x$1yK zFATk&v*%cXcL9+lU^zxSNqFlGiRHFp%fVYT90tyU$Pdk^H5pdg9)aeR(4Y|B0`d~e z%@BtUg318aT>SXO7l`F%h*lGjLjb1`z6|XNX+>hW8HO>Tl#c2}8Z%wYT8tFrQ+~z6 z2$Kd>_P-cSks=f7t$6iVfYD;7@_&T5_$K3{OTZ|^NS7UzMjBVj93d$ReA$cy$#>>E z_}Z%?uREZmSN#Dcr;%#E!k%zZWtmRClt_v5^>Z*uq|9o+$Pee~U~aqP)5UX}QhrE5 zE|SBCpxM;i!IUVzorsX`-Hix|&-H1UzYuxqynT#48)Y6T8QDq9QBL{IX6e$(a1P{;QSnQpX!~RqwZ6^=wm#e zJeInxUCdnaI443Td4w?~Pks`^lHi(Q?4=MP2Smc22$|FT?l$0u0gekn1zlp6?qh({1#pYihnu8!4lf8?&lDP`Hlx-D< z51ztIKD%s3l&IK8(c%TBD7xghlXsL+mSK>Gy?LCgocI*BU(BM~h8`ubde7^p*VFhw zY`pdQ>A}kghh-Qchwk1Hlf;>IHbSO8(I%IDo+4j6>NQ^u`*aRj6@7Z&r(!hX7Medv zb>%xh@V?*j58f7g6wi1H>qjG2XoOnjDI7J1UmDqf9xQ6!AgNrVI9>Q2KA+w91Ex08 z5Y1yM3>2QMGM|kExiH0=s+We#lzq={RUL!ZwEw|QpZAw%r@msBTr^}I)uUUdnUCH%8z$vs+I!j+|Xwl4v42ukRkZw7D zLDGR2*X#yT+Gtr9qY)Pq1H?`ANh+Z2_EwxmJH_SOGcJmnmoJSa%Y=7ndX$M!vZos!ii#5kRvPJpBExn7; za(~b@QP#mw_1sc&@n+eyP%o9I=1`6NU~yl}68*;P1?9IIMWu30rsU1CK?)0NGWLM; zR9d>Hd)M@BC%g}6W63k?uU(4iwkDE&s-A|XOre1K?*T?kyQAZ~hx2Su(yFU+$jQ;) zqvpU~Yq8uHBSK$%0b{4rntgj<%@5zLL)9?PnU22hyLGbf78^q4!91Y`#-}fA*mp}B zLc`zYzFS#aXnLOImF^zDTd5^9ys_rHbz1S=I-S}T->tbGQH%(~CmHKx5S^;BveBqz zvZ4%C+TnpCTODb_Z;CH8Rg} ze{@FHS&wNgnRmB8x845zuJ%2qb+fCp9@Dyruw>iqkMs5SzebN~My9PL{qBxG`oP@j zJojuvppTVAY~k^Xvth7_Pcv@fS!@ojspK9$+{SC7-P5NvmM!(ex6Q3>)Q=*$B@K$X zZcgmcjUi%c(q);^3jKGYp*6-V*~JB?W5N(dYARX7%keSJ?aIg&Q7+5A2Z?p>2$MM; zGH=?E{?GJYJ|O0n+!eNse&82>?00OsXrhX;M=+Fec2rabuI0o8_AJI3_DA)uD)_DN zTD*59{M+DNp$i5rrsCTjFqkj%Z{PtlX%#IWecYS(*6lSt6HZe%kLckP1&BsTw^X)5 z{jqR>(xw<)HMdwUvo5a~@{4eYO$uYvMcZ`IUV7vHtIQc~ImhG%0IiU4%^agV`)aUC zWV2tOgu+>})ti3Bn{Oto$CMW4DM~I_aai)n@fRRF(=m=Ka0`kXhP(4;1@atVKfdbZ z=l$dt{?2b)q676v4M^Y*X{XuF&#oRi#OQG4CwN1i4%8v;))kRPDG5dy9Z35$*=vHZ zUa&5dvw@xxlo)vjnHfoVKxu6x0433zQF2U5VSun!xuT~7A%mhkUt|d|HznupNIYUI zVphDg;!Jg?3LYD;sy|enWKgHtQw5LBvZ)ZhFf-A&O~@Xl>sFen9sRI}G!}_Nbty5o zDu=>_BjFVZ7L zJrc4L>G-T~X6cm$RR_sOr^`{&vIW5RunCQajXAV2hs-nHu$eyL8xKzJdgn_ozuP%R zbRX{MP*zJZOjxm$%vkxG?>+Out-obDR^IwsUJ(SIf1YOb!}v3n@4FFsAS2OW6g_D{_>3m{VFjdOB3w1# zd35yeW*^eEgwql}hn5=F;x(MsSoq<5SbY}jZ_g~R5uk5W+oEzVOcfVP=R^WcBv@#T zmRNlofz>TnYJ` z*cy>gHb(h*ImAtN@Zc^H@O-6x>)^Q!)6dtz(B>&@o`R4frYqu4b2a^M9A5nTuSnH& z9l>h7zF{CM0^nmO0LK~9O3Dgvp`=YLGQ%i^(PBgwrK|kFa8}dJ5Xw~u(-Gaa{T_V` zxgn7#8qUosXP^=d=Vp~NCNaa=x#CPdm?36|ogV>CPazssa}&Tx?2VT7fgMh`?5Szp zWVc1jN0`)%+tAPF*|dAzLq9JM&chR& zctaWIXbaAB7POXDBdcnnwko~AD=M%T5ZKn;qAfUI?-gBAB!BLo{=Z-IwgkCKlDe{< z6|{#{rN!sSxGI-!ZZm{Sijm~%ZJP}x2cZ)Vy zyct;hw|?qtzWjfE8p_~9g~o8H++y%wS=}ZqTHzKmgwPVt5-+;x%X;}um%%YxLD<@y zEnFTu%UO3_ODa8!^#dmQ6`5#X2A?mO`uy^96)f*vsUtjSqP|aV@6-vpITU1E4dWF9ap|6h@k?~l*qQ}Sg*MZ<08t_?n|*h)^x zYA73U=TM(lodfe_?&X_nU7Ib*&VIU=zBi#FHhW?Y;9_PI}e z@KH~~wOKj#VW^G);wL;7kDO4++5?TJJr)m~Xuktm3vmx!aD~(0adT)}PMqM1^@s}S z%klHPJto-=-Z77=m$4WFB&$@HI=qEyMdsSfyCIotGwX(U*9Ox&cyu!S_9NY|%(dyj z)(eIEk~MfW-d;>RIDbL>gV4_I6BK3}gt4Y&vAmZcc?)$Fe9|MOGi-G|V(PZDzb8vw zWz6cR5DOXD@vFY3#Q4c>AErDdL;3|_3zhV~#W^B? z+N0^cSW#Qq?by~vk5+#a_VZ(lUtnvZ@XyLWB@^2)-6|biTiNY2I|yjX(L3OMxhDMr zTeFYUzorj_K3nrbv^PMt|2@RZ>$9~D0bV8Joz~6EkUg?=LVi?*c|B@^`~>9bm98`f z{Q~MIm~>^wo-peiatpLMa?Zj^w~kebQ9nw)n)a~98| zERS!7b2a3-aQOgkCu~N#BJ15}0^|}`L7GF-a{BQ&s26&TB>> zLmUnf$UP)q1a^{P#fJ^AqVYEsFhbjj2Z&j{384~L4&<*UR z9000&t?o!NB-EQ>TLC-?q9DhGxfPm~KpgZU}<@;S0!X9^r442f0Nw`Ab^NDfbVumA2>EFhb@%GzWw(yNxPL_&WHfqe)qr4ScF=hUz@toJPh z-a=seer+RH#-VArcTOrwNhE&ZK zp*DzihYqh~NT@TzphJS1>Cj2~*sn;aGei8(tT5WF(88t4W@$x2of)E}3_UY3+yfl* zb0pN6;m`#-d5>9VL|K1mLY*0gGAKK|8lgvdL;iS~VTV#Oh+#+oMg{lq7Cs*NT{BB? z(pUkm?t)MiwBDU1Yje@Ef{OcDOBUVDvIXm0KcNaU61!e_*CGsLBwpXx;{H$#N-)Q>^&aX5y#B;hT?apH)`Qfowq{8kh>4T| zbldVnXLW?CgUAdGn+ojl+|bt%g0C=LnecUl4*3(SOvs#Yf7QN@&>WC+&~rqKd2#5x zj!;!>Zs)O&P5D8J_YptIeRYuB1JECdjr?-N`-qm3lsQ+$p!X3ihdtWDSjFf##I;z{ zmwDQb%>g)1OpP7N>Wz3GwkF#4z`PHYk&&gpK?557^xEMrjp`l#4mE8{ngr?{2jsBI z^Ypi~q$ABaLvVh8 z_K7w?=K;@s9HU1i*-N_1;ai|>%c1d?Q%Q@RJAO~m8+XAl z$Szp6Q>%e=^tny>c+45Phfj;a9cEP_a5}VW=7h6gVqfjezq!5sP51>`xJ8?Pb2I+U zhyTd?|D$24wgN#F^+tx_H__y~R9is@Us)*fwUznVb6yu`M)qzIWd+0(93KWq8zmVO z=z;_tbme^9&(|rMPY@Ss9O*4Ca&lsH>7DedT-a|XtNB;lXI^1Oy6Y08XfvII6v-Vq z3`I64ZwN%5^;vu2LfgCey=gi9cp`>k z6X})9F?3z}d*dgp|AD@Ce!{w2v|;G_TXYFO;f;UyTmRy#2}9MPDRTs&@eHB=et~OP zW85f1|EXkx4?=@3>vS@~a}7a7RE3nOWP%StBS`@AZ4ige17IJ4B9R|N;wRXPJ^)P# zj|mE)&k+RKd1Vle;$&i|MXE#JheQTs?MR}?V%m&r2uZ!bAUw!K+GP1y&{lwSKMA@% zlzl>YTha)&=`FWpdds?iXv5p}Kjzntx9e`vhPUf)(It5M_J8@~KH=9=PF@QnB_qHI zxs1!xzUJJoZ(|cFHdNae+StGrBtoI-49|&D5knCN_3n%jum`zc4BLHG*6%$d0NGhI z2_WCxf@@f=NW2Z}-wh>f92&x^N<~bE_KX13Aj#e1Io3g6Eg5EvfDp5C$u6j-IZNVg z*hK1hPa#<$VL&lHCpct`0OZ#>R30BC*%PIuzdPtBVCMzJX>p%Q%Zw2)H!H4S1k4SK z^EW6-@*FBOI22dp?+{oMdY<5Rj6Y9*iy~WeasZvbM;!GhOiYaK5q@Rra$M`bFvgao zKui_LmdnXoO8XT)a15j_5o9|ocgolYb^=rXr}gJwao^cg z0;vHY!=~)|mX3dfbbOGohYS_eks!AR&3a@OA~&JfWbXbdd57?`vXB_w{Gjz9d+mPE zx?8mQLF;ePC4SIPe(rzvGvAl;4%1WYP)R?a0F5j`jKIT?B{(BQ+OUOE_COvZ451IB zRr+K|ppAxyn#FG#j>p|G?_h?Zi-8FWi`3JKgxO?>%Da^Pd$11xvxB{bb0o@|VF!AY zG6_haC=3a*W*CHcacH!KlovycHA6T|pgFRV4<&(eMe&2o64=42J2Di*Ubu<=BvtoK z$XQU0gSf3;4q8n#LlG7s-%$#iX1Rw{y;R*}&!$V;DID7ouE|KgYHrA`J9s%2h+`wm3)PxEc0c z#nwt_t)jtEOBdlSVy>^j$B8q;a1iYv-~XBQH+JYj5Z?f)5^31TEc5=xphwi8!J)h! zDZ|=%cI|;)1V#8Nj41RU`Xb^J%>&%Wm*f6Mu?REe9=d?u`vN9b5O6UXeM#Oj?vuHp?w9U1!AD;LP_HQrjue(JX?B5LR zfBhf)FTdfpC)igX%xD9_Bb$%Pv+#W`D)-@g-?l`x>8My4LAZ$5bIX`IKl#llZ=9^1V?`eWbo4-+VAfx%*7D>S>>{``=Z22<8F zXr5@|_r+sq<`J1t>{5j^e{e1-mK=KOq$1poBEC3Nf?!LmP z+1K__>#GF1o1qSItFvS*9FOjX&Om!N|AZtKHp>Pj35kW1%+rTM2u8 zfVGvd&pb?HkBo6U#rdN+J%0M5H}@V+qdCQi1`kg6U7F(YO{#h4_k8S+zdKR+G$CPd zZ#mNo+Ru8eOg9G%ITb(&YXHs&_qHOWZIojV!I?o7>64*PefMogqB~RH2Wg=8s)9cC zjjS?S#%YK-t>ub@dpmRwv=_giK{Km55b4la>igIs>C8+qMyMsAD`iz}=_5gZvQ_+6QUw_n%On`i5R$NPUk7(o2=n zt_Yuyi4|g|EAC^Kc=z`Q@(1Wv=ZAElf8x{WaA5omf=Clf4m?lXtITq(vms7KY`u%V zg(K66#bX)uE=L;mwp)(h{-@Qc2_5jK*xFvWKWUTuIUe0azG2h&xvZ^T$OSIrAU>8= z-%)PoH$LUvbB&*?iu#1FQTnmZLif$P*>{cr?dP7Dvi zH1pu=y^f~o_KJS!A1G`6-s|X&H9RMAc*gFD_c~H#e#)0%p4HGC>cC8JfRKP8vr4fG zZ&{MTEMsXNzQpJ(g*X>2_m~c#Xg+q1GOuI4Io~^l*WR2z=OL)4Fz(P7#Eud;37O@U z!nwBhpF)ZMc692OjrZav_`JN7fl^+6M5+U`3_$1xwDSpaq`b0I{!vMFk18D~gLEA! z5B&s}sP%|z>f8ePc${0nFL`nc@acB3{(g_D0^Qcf|1{Pf!q3}+=eD^8H{%xk>^Fb+ zH~e&}J%H_ont&xEbPH|h5Qmc{H?-%AL|so>obwPyg<-J%WZ*WaQ`G5~+2df$({l`;T&GfJp$5xD|Jrx82@lOqFL8X^|f zRDFUS5A_&U8-aP`6L_fa4+z91X6_E5?LDpNp}svpV}Lj|fGJmEqlbF1;(?uv!r4iX z0p5!*c&KmpB)iL1BdJ~(dZ>qvFiRdJ5~}L4_ggZkpY1>A6l738>lFCA zgMmiufF#u8Gb}Dh>JH(fz1tm++Tw>c#L?{$2?4W&nl7C?tXZSMvRu>Opl~N?Svo|E zjt1QY0rSa$M&?u~+y~J=z`kr>U*~>uLBwb1L;9f~=PvAoaxW+CKlO^XZl=*zakxhz zUnI|xQ~^>Wmk9Z;sW`OJ-^eQtC`b4q16M6Tgm1~_ez=a6tqf#nS1fct2q`-~ki22vAzH}OuZg77yaQ~10ub=&tUs^0IU;qnh z`A8y!DfT{`_VH{gEMSUop1M|+qXnsP@*U<9wq?@ZXB)bT8F;DCgOguGvp$&Z+Ck?C zqOcIA1BQbE#j|V!I{c-{aKM7vx1S7swqZb-K#~X@QupP>_-sRqsYQ~%==YHHDb6v= zHZ*%7MR17FAxwf!$Ih}1eGg@#Pz0!ywCOB;wgGdCbljjdB>I~pe1otfNDd+dh^z=k z@06cqmTlb^O}$d82n7Zd~Q;;2_kG0^Rao^kC;_#E-`F-E?S3lyJXCSVX8tSan5TjmH{)H?+%~#D) zhqnN%1b;JJuT~628mvgkVCn%8UDApKe_IipD>hBAQ4!^d!jRx^hOO}wri5$xTWf|* z4|9ikKG$++{Lw>V;(XF{u6=HXW0*X@t< zg>M^ApYVN&3?F?#x0=ucjW9@Iu)}f^Wj@Cw*2%+eXnB)xi~8{6>Fyr9dab+@yvBw( zcy%O1miPS79=x20eH|*h>0*4Mx@%F)XV45?K8OtDEArRME+!1rWozKREe|cf z@@6@k`pEEzx<7%%5Z=OV zl*rXAhpxuJUw)W}@ue41`wcFcmf#4Cj-K711G)qBJli0lXGgz+(;&V>(gQHR!Ty)G zmrCT~9-L7HkoSVP-YL}FPd64HBDH*L|3d~yV&4R=O0V~^u%uEFxI>d2&HHHw za>_vsar}G9eq=j%{5?J@e_kZz((x${kWK1?=3E}Ub35VxjTXu8vF;6b`QmA_;ep-U ziOrqZVqxDw$r{RMJ@d>nU;qA3d-bzYB3#=Y%$=~_uBMsk;%6)z4d=S}v(7F=)rf`h z1BVv$QXH1ipcV@hRFlNVt2v_le01Gz@`isW!nKG&KF$6 z6lO!E2f*ooT_Wdn!9`=8c$sNA{dg?S23wsetkjr>PuII*@#Ja@69DC=4<(L5Ui8eMa-YDT>$$Un$`7)!5h#+o^uU~gRe{i zSXSKrhikB@(_FtgO==;#9(9`V?Oni~%{5q$J6p^8nTKhbgLd0_WFPbUzvb;+N&-CM zxR}`~Fw(LfrocdiRtKc^AaawS?Z=)n31E|I&@4`^ebBDs1h(mMF>Q~0f%J_}%w}a0 zAg+iZuafDWn$0RCa+_2GZC=U7PmN}ke5uV_?G7AigSj#lXynDkDB;R1+X^#p)KYZ* z(`8>0hDVG|wP*#v(5?*;w<$<2=CcX*&V}L$DT`O-;(og@J-f+$#-gx3^ahnID<;c` zRv&sx`$Eb&j{q6rL{|MB#p-$Ww=8!v_P>NnHd3FHmTPyyk`#Bjf+9(dEO=fdK)v{o zCE1xK_xo$wJaX}v8gfZ?`FJeJeh=xOE2YuH*XGQRo<(jOf<8$E)nAu|TeJn&>u=E| zqAx%HE#Le9d_fAXwWeXgwUt*d5Szbl+1D0I?*fcdWolo2o>g=8)#q6?S6_W@A#V=^ zoi2Q5)n~}t2l;xNEo0SZki=M9v=0)BE@5=*lt3(h5X}uMzm;Y= zFXrmG0W)H*fy`!W_WOqC33}cE9a)m@4{wq7=Gg%qW&=qQA2M`-AZM0~K7pYAUgPb9 z{-?q8!}FejM#o9m#lTzKfl!E-*T=~myu9_eIcgSlm;WOQFA3z5e|Mk@R4+j@6GDMg za1qKr^1vCHcAiS<XOA`&zs0Jq`bys^s{(_rf z2M(P!Y>T9Pelc_@qM_-tq39n*w}-a~z;r3h5`FCK!mjQDiTm>Nk;=STq6UBl1BUNG zhSr~@keZ^UR{Lpr6!_09{oMiIpWO?Xgbh7Ak{6QYBK#A&1u%A8GvN#R4~Si>8d*3aCLQT z%~sa$KRjtV054pkA6o&``D#S9BTVCsvx4wEha?Hlb4cZe0>x$1)_Zf5P*?)_kW;ZD zE`G@9s2xH0LQY3=D&Z~5shFjz6VP&KM$*Yz22g3>9n=ZvPg2IW9!fPMiIr_li2p+& zi0vMGl$y$;OO_$5=_GiX(dY$b+MA`$cf^{914axmoK9Dy<$_?@b(p0fPCRBSk1VAy zV3r3sl45y;!(Xl`4S2y4Q?5I8x(A3YmzGjK2$rq2Q9@V=s>j87N?|Wp_8nE5@p%rh zkHlS(RQ7C5{p8{DcSE5gwdnZDBXzPb%jw*g<&=F{P77a_lYLoE*_Y)Mzbtq){bf1L zd|7Y~?#qI6Sn*}SdS|~Z?=0I<%r(9v8oypl;V2D)(`O^N!9ReZ0zdSIh#D^WS{gJ!# z+DlcvtFl~J#bDIs(quawKP0=XfL8Ups&l7P<8m_YZY5RUbUO8MSNjrW)$Qu6-xUVg z@nt(5zpi(+Z>y;fySnz*4}*MvZoB>4U5yYketvbetF!B`i|dzdw?EF;-~YPV)tT5; zEroM;!I~X(ADBCx=bo+kd_@Wmw(xt_NpY&Za}S&NG~*_o#oCK&+{1_4VDH!-drzNM zOHJ~9d=|F(+8ghEWB1zYZ@fxw@GB2qd+i)Qm}$iL6^Vu>qL}KJ({_>jJ7#wvh2WXJ zx`9O8=osgAjS`UDRq4ia+K+RimCwsS%S2|oT5O~Nlyaiy3C><@iI*gnaUrM4v{x-l zxq#Joh0(^EyE1JzdsnziP=4YEtK{o-cZJr0u%o;37TuXu0} z3JJ0o_(hu-IN{s@G?Bm+6Of-658=FlyR+jVoHKwQjhXQf@*}2R!`<2O5b|pk3}>f9 zIByLFx&h7)acE*Vg#6~%inFsJqyaKm&d-K$&f3Ck20BM@lFWFIXo-2=UA+lDj4fbMM_gh!GeoJAm* z^ua+Qj4P}=+*!IudIx3z)n@Sh?06df#^UJincj7Kvg+uY9RbIsrF*D%^KpfHsCVea6+mkq%D&n zJ%MNl;v;-8XzA_Y<_NwgLplOx7}LcAXnRVfNQR6)%#c({;WIQ~`m6t!4C$(wA*S@I z6VhNln|AvrLwagv*ka;5oOMzp$A)y&%&@`eStNkPFevY)70*y4!B$W=(OJ?_vo&L~ zHZ@l`OFC+12^BqD29LgP!jNERNk`2rJMb9vBifte>n#24>7e>AaS9{?gNy7e{r%~V zX#UWnw?W{+EEgR$x=SHZj5M)qX-&lWYw}lDS1A+4e7|U(NIqKeN^DKPKsL@6Qha83 zIxnn?SuR*tv#oU8B8UkIV%$FA4ed9QFD?C-pBde=MOjZEH4Z?N(B{u>Xl{nE=`|4oG8)yzDq39E5pa{3lA`M2^G(ez2& zBF$z#y`uJi_TT@(|Lu?ew#b!G(Fo&8nCQ)L{fb1JEkC#p;S|JoE@^1Gpb4S6Kus< z)gDZYf5iSCfPQ32EhU8&>mco0qHD{%mGDV_UEv8HtxRpUR zIC@i?G@(0Be}86WnNZK)Kc44#-KG~`5ZSzF zS?`Z}kKjG4*OxveG#R8Pq+!Piy(x6Fgu=U7E_zdRHRy(nTq*hE1mjfx9!M(k8aXOk zBqYB=>MK;=eeK;U@3HRA6`1c5-$ynw=SmJxI|i!!p$&hK4az;l<2TrlRcPYYw5{Y~ z$*bUJnO_zBEI8EWXN~lWMUU9kvq!xh;z^_eE}KiTmeaCgFWW8!wa-&fC}^Xg?+%EH zd{#h~ZMQ!P?!JHx#sCx1u7EGu+Ef6pxb5~Af#r1mBotgi0FcCOT24P6EAc+}Eb957 zX=?`f&OL0QQzjOWi%skc?!e_vS$Om}r|k9;Sl8X6%_+MX0_zKZQ4pQf!DB~BGKR9)>Fa0mZSkXY+sx4Ri-BEhmXqioG6d0JtrWU~oD+E3?#yR~8Y z&0zWaKKSk5@%vI^sV31a!4gvHpj^yIQ210o=oK1B0tUl4UQ5gC$l$nQwI^|fwTl`l zf5te;&?ou_4E}*3LJ$-jXy|J|z7T`)L~JZ29b_!-;0rNGSfKk;3KxgK8%c-ug_wSi zN{kvFLyjXE`a%q(Ja~?{n8SWl+Q|wr9rPSR(WD&ba7m!;G19-*;8w$F`+EvvLu6aB zN#;Z9iGbHu=Kp%cDFSCPnRiCNa8I8&yrn>{AegSXs8ay z6&2Kb*un&d7(7!A3hBH^w%$q@EW1QTcAx>rhjW$!e4c#0l`!6T>GWncyW(jtVf@3_ zzWQTcNeHXzX(ruZ5`>gZfH)B7nbk4KB80aB)xiuq%mEUQhN`)%SMWYJ^eH|Ffm(w!Y_wZ?532wvFyAUmHjDhvh(zPokt-D2A zK)e1HU80oq?LYGV`&&R8X_8w&tBnLG1kSL5dH1{uhGsi=y5U;SEuE zCSW22FPA1L3132xb0;i(GM8pp5HkkfEfaGF2@K49zrSRvQr4kRd;yc+)7ey;$)a|o z(D`=cpJ5B1W=x?Mo7fi#t;;j&;rqOW_#6N1YChuAo>70-KmDR#e?B=NT9h>hB$R0H zUNBz)a%19GfYYw9naaFGI9m~NYvjBG+z-d0Z1wXsYf$_NTc}y17OkquX+>YNZZP9O zOVOxFD~moE`kHl%`ny1;R^sUdBtswKw@`Ty6h@snF!+<9uUSLSnS#xv(3h2HC`XVZ z9QMOSwD5UA3PyDs3G@8el2JFy*%G|eCe5-%j{`n)sh9`tx^$Z2fS6_19XP9kZH6e^ zmq+)vr$6*;GnDxX7*JiDr@uc~vJMOYYag(quXQWLS&umwe=A><4WgGR#!TQ9zlxfM;f4^F_C;pW|n)nl7xS$LUS zF-NY;+=^LtUFKHI4`X6p!<>bgVN8p_ZdfU38X;Y_ToapOt%@p5)%6q7j%FGN{su|_ z+t+0K@mKaOSKz>0#wezc8z~qRzFo+yGG+=eZy~!{@p9*W)t^84$u@*uOwkZ-yg-bo}Jh zwM;okoc1fil!4c|{{?IEMk@JJ7y*zn+w-r^EziHt@0s4Ets|O^Q`Y^?C#>N!WP;nm z3QWJuB}E*eQicSQb#O>fGNeoB!*@5!R*y(+#ZSLLWkM1nVJ5e&x}NTQM`7Us?n{*G zCaEsVRxfbm@?^DqHkPZtCn5O;L$9CiOB)taT2@fCmyk_~UeLzpeicNxElfPGEyQ4& z(sggX_N8C)yO+Ym3$o8MtyLa|qRjPT_IdSm-uEQg10<0l-h%f2b3GfBC-^&{W(gm)hvhlIH2Gu^K2m*o1diQ zT9f4g^U2h5Jo%QtmC+J2y6{ordJbU4m1{B+9xV@TC+*Qa6xOtbJG^ygG29i<+(&(` zRBM$tE=|lP7}o?Zl*I&d>k$ZRhIcJ#d>YOZY)`!kP;TkiaU84-RxUO~Y&#!YmJL$~ zZnM}~$xJR7<&1NPG>~_}Uw;*4?5lEqgO*+28$#e(tUJB`80WmTfs` z9>6=L(4Lh|!46ivj|t)REFNx-D1tJ2tr$U{FPlP`mGA>z5IUwy{*o`7wnt3n(RlbU z(o^^Ga915eJdD=ra>eO*7#F2pL?|J^f${Nh)Fc68tkp*7WSEsryS_gN><;i`N^V(J zHicv(d2QrFO^B)cvT4_1K&V{!z6x}3JNhC(6UGFKlZfVojJjBankS{yWgGFb0cQb_ z0?KYY?TBlgfIJMinI5-k%lrR}L!I5^J_xmE@VU$#Z)H<{k$tGmZ3oCj?mR1-f?BO_ z8wU!Xu9NnpZ{RjrW4#KPhsSyY^R33ZaiH?{J56p^@BZv(K64Sw*UEv-lBGPDLl%pO zI?b5&HP#lY=K-U~{ALg4T@7xvqJAHmzzow~e8Gb`w1hF>g`UX-z1f3#XaW;{aa%Hx zJ(yEW8!($j{ewvBW(oboK> z6p<0f#2M9ZOIb}6_2#)se0m%PNf4c4RMdAbD!3wq2Gz;!f2k-5&ezX(29*jix4U4` zdiNMgD!w~^HvG9wx^XdG4Gh({4MXwiGSx)}J;p8Lv)q!|ne^0F5k9>hdDR{ew`BGu z$?Wg?lYjm1{mjxR<*tMHql{`rXSBAbq`{`*IEPWeEy%V2Bs5`G?2Kk$n+yq>VGEO7 zd!%S-I5C`AoNHUr20?&8JZSwktw_+c6}uxEa|DJZBFqm>&@{s^`IQW&9zQO*Awkm& zO zYXUWO&55bb?g8Jms7-}uj4gV_^WdKFUEku_k}uaD!_o^&g?)W;c5Q`_v>Weuap0N`KitFc%B|keZORO zGoRG-B~k0~_X{(c)la`K)0)!`#jCKt|9;`vQ{OKf+<(8YZ1x38>)Mjp+u{2)H@F!j z3h(7|h)d?Bj)urooD!4V;$wf=)RzjQTJYl=OGg+ggV8O{ErtQGB9*RWJNdyCrrdZ& zKPJIc8IKYM`TpE?`{R7Q>H!8hSJ~8^O1|^%_}otWV@i(StoOSLoZfgj^>J5cm9(0E zy1SgZ-qk)VsD@pgmA+t5?vNd@-PK;Ju&#D>Rx7OKJC@F0w$u67{jT<`+q&7++4aL9 zKYo7wHO|-H|GL}NS?Li5`TnC1%w5iN&o+#DFsELXim`>qFUB$0#HSfI@hmn_W{Dh~ zd-!l0PujVsPiw3M>W6Qena=Sm4_J?Y0j zxcS4r>MOtat)#u6h-*z40e|6N&4e({*FXqEQU5a`jB_>acI}>m0Sq|yBR9*(I*|$l zndH5e19gN&?%O^I)SI)-`Po&|0m~d z{uTF|i;_5#IH%L#M=ZnSk`A;H(O&!iqul}a=B!-No>_9P^U1h>LlrS>Go^#P0!JsfIC zI}zZLA@MD)xON`&>`-RLV7B7yP-eOx(a@5&?Zgycx*ulvC_{V&`KD;LB|3>AAk+VS z9;c?>6+Aqvj;O~d@*c%9x}pGbHVgs zXV2}1b+?y`6MkA2ZqbHyH$$v_-o8*_BLi94Ej~I~uBTGl{j$s4bLO7Y$dB<~u^}Pnk0Z0CRq5Vx6tnA?F_$ zt5$gkZHlIy+Pm)2jgE7Va>yRoua%A?gd3lkUW<(3QC|4jWlr)*gcv+-Ojd^s zX>_f!cy&56D%=uHsY;48?mL?vJ)Z)7A}uHDZqbH}H-n7t{KRM9{vRh~)PYOZJsQR@ zfuOOC)B}X3sdn0OVjs^oQcsY0LA0TSTW%MqdUkUeZiVfd%EXN8=Htqey}FImOYGM$ zQcvr`&7IIt0_75vc@7wND+BkeYP=ST!?$IF;@cafuDeAW6yFRK|J3vUb<-y()>d4D z;?S6jqOIr;fQ!B$*!lwDwuYKF0f*|nGVcV;SgrlhPN(~QkX(D{!OCIsqC&mSSt+gPYnsCW8c<|P;S7QI`8g6o?HnP) zGyrSSb2*Glz5=1q^4Nf(#FN}Z7ok{E3V+ek&8Z8GCh08Yw=!BDp!ln6wxP?#EElOt zf`eLmjSyVL`l`Gevc=S!SJWK0eI{5;Abh(76bzT`fAk|3RaLOR7J50$Iw<1jjHQh9 zZN@T|?F4Ad_GWconHA?)<_pc2BZAaz(wz_SZkkPZJ&Fn8Io{Cw_M-Q?TeP9~&7k*R z`ez^3|D7fD9u6Hwk_piW8h8ch(9Jd^p$>vHi8TX=vW1~XZ;rMVNk5cz@ghe?kKSzy zRbED+s1%P3J$iS03}q8T^pln_@6j7<_IESO8 zC(_XuaXx~3>g5C_S@7Hylnw7`UH&UDK5cR*>oJQRobtyr*=;>4B5XZe5ro@ub=hEb zij(8gaf?^nZ(LsXw5w9QVN*2ZSK9S~1q~z{R3ps!yR+q(EIeteNe^jZ|J?D_mqU;yMEwrzWntmAXlcIrPBj%&rgR(TMc5q#0!4$ z1y7rXT*-NvVSc}D#aWqQ{xF!~tjw^dP0z{er3{7U^MwwS--b9u}VMEtI~!V7%@YZQ1dg z$&UZvE5GF*?UP)H5)DdvSYK5-l?T%Hs0-)(CxUU{Cy+iynLhL~LZH7SN{O>AUuX1k zwiV04%X5A(TU@2@$rdjs<7D)(YS2a^s172poS&nohauMuLK^bU5C|+NS{h2ni|L?# zB8EZV!jJFq^sprDfxLTp)fqi(mcu65aXa`>&2ZDCwjC{J$!Y%X%#hQN#rLo@ntbyJuo>DlO=aPxm_E{!uiU-TnanObiVMdDflVX@8ly z>o$c{U~a^-@@XLmr!ZqhRb?nRcUNeC4o~>zI^15@Vcjj-T!))+9lq_~`PBdMi<9f1 ze%vUIuP}yALf>H_jz{%dJc6kKL_UshYUqk`MHq&nb#NgOBl|c$>iae*G?&fFK929J zBO0zzFQ@jE)|bt-p>H8d(lQCOQj){QS9{jxU~s+mw&T{ET}f?}J_vWlh(1tcAya^DAzzU$O2MZGOeg z_!aN{q2nL>!>K-??Sqz*uuNj76KS)W`M{vV(L;l`GLz}J8 z*EM+exuFyeWljr(jgJsJmEgETcA_wp$R!wJRs%Ir5k*4|rCd>dddv`V^1`C;p**U& zi*zv(-IyT;7YV7bgVt$zX!&_E!~LG#6q@Bgrq@D3T2cH8yX?N*W1Is|vaUr#)PIoT zSC}Qb5vZh(Uu0;pX-US`ygCY7rGRKx>bU#&W@J7m&~<4pupTXqH?$+Ar4+y4^ujRd zO?g$s^#ON1n{F!)T;?xap**m4kKcb4<@Tpo$R+&5EVxS>7T*jO|HVK3*604|6yvM5 zs>S$0X%)%-n&VJ7=colkoo;b>%dj{oMmG%_)EMnTHhVN-MX=Zm_k(ic(eqtMOKXg8 zhwc!<0y(iF(*HzGe`vvCTk&vE2SqJSAIGb<`oZh3hGAqr~{OCR+75}E zCCDFUvjJj}6&~!6_CGBiB6$$cGP9QbTXRixHQP!)3iowne`77!|Hz9MlM+!RSeSGu z{pBf~W?~&*JP=Iq09N}u&!Vo7htu3n@iVFd2>;Xm7ncnX&iffMOfOs#&O;GAOJ^{> zkaZ`*AU}U@r}HmFSG5<>(gEo1<99yEk0U#Px~RD0C_=y(6H+cu7KCry0{U%AbL-J> zFwJWl5Aw!?)4SgJ(#tth4OT1iIrKUV>K1O%=Af*+|fB+i{{W%uN0<_?% zGr`Ftg{8<&hXh2k=WI+#79jhPSuTRKz~5BLKEp>~tZn=M1rN`|a{@?trC2&WQ<1C6 zsa(JWD3(u~T+G37nWf*zgwF#aFRCtV*@8Dmvyy+)%f4>e0ybYfIQixa4lXliCx2^= zGVdi|{0pD-d0*hbSj#AuEr?}botJ?^;u0fZWA-y%&+6xFwYLv)WA=O8=j#Nw^REIJ z8whWy`+JDR(fj6N^P30bjoJUSr|!2h`jZ6X??3$fAKX&+nukUmLL%dkmEKbKTk2l9 zfTLmX^D;e>F36Jcr3)engX>M*Pq-&r>V8YzzxvV};y_%j$$T3Uv!(9C^Yf>f|x zue<+Aj$0s^<5B7!RC?(j07-v7bzftkxMt+%Q}^AWMnZZ`>K=@IWyYb%S0>th>b~7~ z?An(ce-On_yAH41Ww^FxP%!*)n zY!a}Rw7LX$#VcEM>i@#@>?ZdGg3c5PWGZ>enS&yUS*4B-ClzYf-lqEbZU>NpvVJzz zk4=5^vh4_HMFStap~ow)kMs2ezS}XrW<$_hueZ1E7Ht%{^-j(uRrv?s`R9N6BNKw6 znHr&(#o4+A>VBliLFrwwB)knDNO`fEI*EhD6Y4*kYu&03(!aG&oF#q{x{e z2F2-cmL7R|a>OAvBj-rw01?y-5$7p2AASF!l`k0*LCp}_A1d+F)ooLrBN5aLL5UwE z#78F|I?VG!lOmT4=`H}}$A+tLkzgX&INf_bg;V^SfRi9&Ojpa6ver){ft#~nCdZx| zQ+{^O;*EfcMk6o(2agS3V{jCZM1cEH-FY4$c*vT@HG|+lt`wa|u#>6DXwaYSkkPWs zKo&5Z(ZVHg^DxXu#i44EOeabY8H@{8(jA}MX@9oSqnwg17&LRd?`ZKPZMfqLaXjrW zxfi!72^OQ3UwK4%cyJejmMyMa|C4*|apk&Ow4vhqTXYF3zUw!tcl>ffMOAG!u3FG= z#I#BB{){l4D^S8OfT4qvRU$&9PI>Jq$B#v}G);fs5gfUtDp3 zrLM@9c-G{Sp~RJD$%!?{|Kju6_s4Qc`2{q~G^1{6*b9Fg%@RvN3GD*TMi`qVh+$MoEMx`tbRBR^+-r7=gPmK*}Z_>sZFu8RI+SL zR;J}35Wn4y1cbMB8ExQ3sX5EJ|6a>*(O zK3S;3!mvI-=$-fy)(GftE6#Sx`@ZSfPI<{H8>O1{m!0xBo8lZZavVhP zB&&>}@IhZMU5tc6Va3_rd(A4_NzOIjYwg+2a<2Qn>}x;Yey^F=u;zTPyd?eYJZF35 z{r!PDKgZm$2YsSfKD$9xMQtBis-(YvHZ(M~^XzNt>%bDaK^OM53&_Woxd`>+?soMC zw2m#WCpz2{-isfw*xjD&(<|AtPqk}3?$`^Fzh{0+S|h#E)yyAR_Ckt~ers)il-71O zuOlaSxfc?CHa0Kh_Ie@fZqeq2+>96UKmYCB%kN7$2)!9M?}Y?S{b^Ugqa5{c&$MfAI)-$6zktz;>goc#89dvndekwX^Zj(h^h#GU2v2{f{X9{vtPgBM zX~kzv1qqSSbrl4Dh25rnQBz7mM0GbXfBkg-tq1RUb%){%R9rY&G`<}6$z{PHyZ7!+ zk!zpj4dlJpS)IN0L{bVHm_NyWyWjN(zU~KpF@d?xCWvKrn|o95q1#HL+8*L%Kr263 zg>YeWRY2)t?g55D3eFqy`)`KOvqK#Lh8+~tl&BWoLhgaT1ZD^|T8!i&QLU{4qb(Wo zHzFEV9m#Ysj6}6$NHC9v!=b@B(r|!oU4AiAW)D~0;c88TE^y@1iUf08F-_7ziK6^? z1kBB{1vySD_jNOr$Ci|WSq^mzl{kdeU8_2M{*#oHLb5!P+Hl6w-<<(|k$yzS23-gF zm1mQ5Fms2s6cFkT(B;o87YhmkvHO&`SLD9(P-E!vmtiSr``W9> zsf$U1S7)<++Bxz2H@)!9x?8jb@;4L6fBd)op1=F*BKEfk{S>LKTPoZ&Ahk!Aq-{Sj zZqH+Xf9Qe~Tx7GS6+QMtT26&!yS@_%T91Jq`}?ZF`-JpLwQ9X#hW&do>je8%R@NJ4 z*xy0eP8}JH(aQi($pqnyp$U8dKoWhMw%2y9bTJwBgIh%zVVop7z(!sI1Npe-p~ajY zS|(Pb`XqPOqOX8mtmw;DH442I5mUC?2g&1NKCLtEp8Nrcc>Vvp8l5d${$Bv~+077Z zmt}ibzTYJ4uU~}j@>n}Oz6nV;yuEF)_PSfN;qA@f?N@#9TfX)$r1HJqj55|vFnT)z1gZJ5Ef9Bbo*!u-^U$k^j8m;>z0VhC+r>*2|QPvG&E0A_}x z5IVzK%t+JeG>!G6r4@awJ#;k|l0#ejbTZ8FHl(g`l9&)P8*6vyF&A0-)UEH{rbD=9?6p|yl@P+k+bCTU z`J-!Gm+fVqp|~8nmTBS0pXM_IV)6)O0ongj3H#u-;3<+1YcHzm8F^62fS!6URh=wT z4$1n&)?)|TMQp!Eok%CMXw&g2iGPU%Jv8Q_k?ZycKQQZ65D|LcYrt=Oss$9S2Qn8J zQWF>@ajfxi94hv$%2l2}43VM^>MUtRqG4QdAKKuiM(Pp_&9FZnRLvg! zh`iS=duLC>>%_Ag3aaYW;>(LmmmQ9#_ z=PZc`W(gt$46r6#B`G5Kvy{?6w3N05S{{y)@XsviOq%7qDlgrYXo-FeaYEo!RqRqs zYhFuoqRbKybRS}fjsEYm3~o1El%r3WERvdK1A3`t>n-k$l*MYz2#Likx)xJ8?ba5FB#$A0hc_?)|` zf}l5J@RNv$A6!{b5c?E+Ad(D5r?E1(L17HxY4gss?A4D~SV zF;kC$V!Quiv8t{Bo8s@XS%9bo&G!G+sQ>)o1{(Dph%(!iKvdck4MaE8d}=s%;kRc) zP3PD=K5@7=|AmkL)sMW!z`CMr%$mUZh!(mPsEG+TIw%zl_acz$q00x>eUI|DU|a>Q zwhX$o4qzD9)N*J9qCn3a<8su*fI1Qgfto10GimP+?E`Bt*%9;0#k3;#>J78NdO)^Z zJ|Cp7L)JW;7NA`?cXpQOgT#>CbHO@)8O;(yU$tB@fT2*v|567q%NEjp64p0JLTCe{ zJ9-vaL%u*N8wl%LZKN~HtN8)MQUT;M`E`%cW}61q7w9agT`JkhAJ6daY;`$<(8zCp z&+|Jyn@F6A|yIo~Ytq=e=F#O@FMHP=?Bzx`z_-OOdteL{CO zIqqQxlVDZw4nQ^vXvxMiB2mbrv2r-_;QV%{5FVdy<0iW2o7fj_!{t=J@ab zwcaMT$9{o{(e1>wJKB9`{RtCR(tEJN-y+)C59Gov+7jb8lNkTTk9+;AUPy^?l@d0p zUl0}sW&FDJ-x1(aYZh#-z7s(|KdOabZij|CUl`Q_VO*uHhqn+EGsKUEtM%WN@CIre z;%ue2rxoP~IY`gX3dJ+Tw?jWk{dWOa7dI1Jr~V1TYLELV=c6TPEu-cX*A}m)4q$&P zOwVr8-i69z_8ABQhfI0^u|Q70PT<$WE!{{*#u|y^;WuN`e>e6Sl0D$Ixloy(UW;tk z{v8K!)7-o5ofSXbQg#ksfQ6s#4PDp&bYDBVuDeAWx~{)Pm#Ea2p@4vBtMB`8vbodyIUc z!Q4ps=CEP&(-z*F{h&HjpKtkoY4Y3gAI& z);@(7#`ZxCy+G5=KhG9HiGUc zB=y+bA9_}J86uBIlxEoM=8ldhl;W!zFS`M|43s(GXf?p)C=fTpX5cJb4O$}Yo>?v; zF40twbT&C{nCt&vz*%|wqWD-&ngtuUFG2mz6HbX*Zh`= z!mqnUTQGbx!SEOTYoGonzQO^nXnb1TIEd?UcnXQo)OzRGGV-dzTgdkc;09?>q=TuB zhI&+u{+_~605=#8sNM>M4-F|>`HBL#X1G6~Qxg$s*X^aD-5**2HyE}UFeDNR%X z++f)3k7!k9mK%Ld<)H;|gJIvpZQ#XVJa?F&lCD|+*H+Y?GprcGQGbpa3GV{7DMi;TeLy$%|PyNd-Xft+kMnC&!Fp2LM*u% zBgh?kNN*5wtG+9d)I%;rK{;HFh7kMAfI_FR8Ho2s(3`{%h?*lxnC@MI6hM4*Xb-tj zif!l)dnIrufb@_X3d?j0Iw4Vefb@_XMvS1@i&VAZVm#zx)+zK{=@x)5#yH%sm_crm zSDo-(a>(7+q5LL{WDh6H#P- zYj$++5`~W)Yl7vTJ20zU1LE!dhYz*jj28;@crl#-#~UF9&Q~W1G9}7fYI-s?_Fd; z!mAy9WBeGKU0Z`e#m5nMIj|ekH$e$y;U^a}6VY%$O9&&5JV5t|@96l6FAeu|S=zO4$jw>q00>Lu7M^zQ1pN6A| zOK0cleuQ=%hDyrkIl%2Ne_M|3S7_H95KKsffY_wGN2)es*N$IO_0k7QI{0f}|5ZHM zSMg+D#nZX3;wgR=@hW_aPVuXVSEH}uY5Y|@#jhe>*&^|)c$)Yso=)~v#H+F5qyI{t z{q7ZSgs=K(Mii|DsM6)s?XE_GHy*z}?COlF1B3kd+)l@@ zalW13zuDCpvla&V{@hOcH~U@f$70squF0++2HF0y?e@p{GJ|jTzaH>S_McxP?pC^B z*-rb{e4gUwbI&&1ZY{dR79KzAX1#|^e423+&tiiiv#}s}4BDd?vMN(sm+TMMGnKF4@He$2|^O!Hbg8JdV&xN5?p~Yn&#*T~+6L zZj8iS*Tne*r8HaE)#gPip6GdH?Y*jH$4fdZ)9r=2SKk#zN^9=QwB77o;Vz+Xg&(YT zuH0F5S7;sDR&-b1qC2z0Jw62P%4a?E%rl?=lYi-7`hJ(RlyYCmk42?Q^`Db2V=Dg1 zw=Yx)XUmCMl@R@<1kyg+q7(!B$10Co( zar8xAsgs}8U^rWM6#TIj=jx6HgJ-7js1cN{S+yvN@+{*hi}aD}B+FnK;x|}69tMwh zQ6%3OrcKN1Jkwb?Drr+}GY_j=^@0O=?or`{f?CXZ>=uRbEIYF!8}T< zOW^Q0?k&H;iF+#ypNQ`itwf1lp5Be_qs~G^`~Wld#Lh#^d2oL+^P~QM_TC2QwlpgX z8zPxV%?wI@3lY3hB&A%waQ4}M=ODyb(**;JOnJKn5uLV~?tz<``TE-3HJQNxAq12d z1EZvX2nNZBBSnA+Nlb-MGn7P%PyzzR5ariO2^pPI#HgfVo@cFhz3+P8z0cnJ-1~j^ zetr9$t~=k^=dAtiea=2-KWjbfS!?MHDZzJE3u+D(q4ZJIB02Oo>_2g)Q@6~)zdpoG zJzb(Dq~~k%PS%!7bdD7FTmR=beE0XhI#QuJAKa+WFfIJ{u^C(Na?Nc>Y68I!UJBVq zGYmbOX^6QB5{)NA0=XIXP1_1if#C_HK{Axv0G8UlnLD6wG3mPjr=Z+MG9-}OilLDu z4EJ3i0+Jzt+zfk6dXVW1L$kxuWDLx3Gh-0=0pxI*SF6q6)St)`urLbwOT(1QH6hM~k{LD(A~h6a8fRHzZ5wUp!< z!qD^4d7EsqqVskQM=kR_;p$BtsFwpefB6r;?Tg->`XuV_=UQ8zvjvfkjae>gYO8YscE2)v6o#&2XE|$@B zxR%)!T8;iCuGeM}IRPLq%ygTO=L;erI34mQ^iY<^OKqOSAk*h0{(!ID<9uXe8{~2`UZaGyx+ZMlekTo+=M8gyyMYuK9>Xx~W&Us?nN{i=SKMJ5 zknr2Y?~IMsMo!VN*|8RehlcXDF!cO(P}!lMG{!EMZ-)0ITk+T&*)AD|1NDQR2-mKR zW;lRm754`PF`*JByadice2{2~Dqp-CyzO0sVT1XS;)9qaDu1ZLq$LI?m6oFWu?zsx z?Q(Qj1`VhkeHF1S%Fp52kkbAtV&;6k;ACtJCBP_8-7iervFxuNGb#BJ^vYr4l*06U zdc-xBdqhc3sDzcZCF6tSsY6Rff}cJ$-S5$=LNR`tarUX{{;*@cD_879wdS1x58a1^@rN*vA)TX#?g3>w zn#0~%&XK-P`!l}HN(Z#m z#qFO-zweal03ncKw2V&++`;+La`-}5$i2CRvD+?Dh1~64(K(R&d4KP>{q{qOmLHI@ zAC}bG86`XnI+J#eh|d_|B_cj!>`@IS7==Q&2@y}o4fODGnw*du4VOssjIqB8S@$ZC z3R>=j^p%IIM3aXzM6_Y7{S`Qj(XDK4lwi*wZpJ>_5$tbae{z%dj&QbQxBZ3oy7oOL z0lgi9MeXkda#^_(0TzIKK7@v!Lxs?r3!&RCQH9X!fzZ$Sy5I3-uU&)C#eyea8MPTg z7aJ{l2wluP=McJ3@Z=#BEm%+29C-+Rkdh% zZA9dggvOg7(7;ZBF7?KfO{DqkW&c;;EHayOfR~;V+Y_={TT_pfbUOBYxyl*i&!-@W zdvlHUe6%Wjx{EevaPLZ@y&B#TxF3r`+-(tf1;Oh9!OwsFy}xxkv7S0#*t9w}SiFa{ z25A42ha%h`(BTaX|M&vZwygL`^e9j5%s^#5fNwtw_`~!{gW~#$ogeh}nEaqNW*! zPErVO5n`5y7M@E!tOoC)Mad37o;W5p9alkqj1Wblg_f2EhnH@xqPsw8CianMTE*BIy^msV z9w7_Xdflu%p=(@rryEp>*~3Em5E~;wCzlu17L&$MqKx%OFC0b|EM}FdOpTtCOVk9Q zb1lx5zKXIY?N8}z)(LB+7KP;{zKJsnU9()Sc63i~ShgLs^B3$k<>N7=zk@_B%4W}} zfx~yTV)@P0{cO8L70a&&%Rl<#?tWN}fMb+iBj78IfM+=48Ufb`__=3Zc>1|ZOpCs+ z+JADtulNRzH3H`M=TS`?|K*Q;|A)S4H3IJTP})emiqWD)kVW3uVyrZ9ps`e^#aPN4 zn<2V5vBncYV}mXU#fm-xj)R@hOW{x++DE|S2w|-Rf?*_>4+MP#oTj0n?Lv8I9|0p{ z%q~qhM>I6nENgl*J_0_r9iwzatNkDYt^A?$2pGe$^d!-pqC9pM0i!imtI;YtU*&P_ z)@W5?&{K4@yttggO)RlIk}z}yBh>H2o87S3F2hvGqq4=5gnPSCGX8PN6AnSH*8`K!VY9FEt^rM1|`cY#Zkq% zlx#JaD^N_}GPE#CFDZ!L3%vpT0K;Ts3(oybR?Ck9h8kv;SXyg5-w4g_4XE)9U*3wL zHy1;uq>hFd_`iYt%%M=XgbJX6auMo zMPg_)1k3^F=S9TC&}fKJ#nL@#M9V;ptiwyzRM0G;gjUN#*Gk2W|9rF%GQ1tkH0Ho& zydBh}=Ixj74{bf#Da0yDvOkf3jgJLIqw-j`_On6dLx$TDW(|G;w>4zF2_b9vo>#cN zxp2Gf5>>dp9=Lt+qd(zIV}e_ycqTF_Op22L6Ws1HNena=;qGCDB!;91lrPbwJxsV? zPZFcRli*0$*+FHTi-2;H81eKeO4pObM`gT)(mj^yCr=Wi9Wmz!%yYk6U&hCI6^dg>5&MHw_T!&px1+-ANd!a`Q;CLO`P(o z?7@WeZAVSB9*j34&YvC z2<%2x4bdqXdeV2;jTr6 z9omz=c&l1)3q7)M;_1+vaPjGT_cS`h15JzKfp*=)gH+vWKt;I23&r@DIhb00PS?3S zso=Ha6iL!zQCsCiqI3-@!<>Nd$zCA)tR0cjwkk-~(}yl0eY8{p9i=aQAE*pxNh!8j zayS9LY_x=~z4#7^6x%F^4suwrgtol=wn?WXvm6`rtdg%A#u?BuU30u6_YJWR@!W(&#&z+`16ZJdHdr9?$mwiZoB<)zF;`h@yClcs~l*Bz;wsww%b21 zxx?41gEmRH$^OIukU#CiY0g0ipJ>H!c8;gCRgsGh`-DFn`K4p&)$9O zTV;Y$?8Bex?5OvPu}d^GCvnX#E;wyKrPG?Clu&hrs8yRBeyJxm$cG+lwbE1DE2P^x}b?swAljkhdh3aUmzY+-WJjyn)SE zg+A|=t1@pFPk8R5@h$=pH50WAM2s1>j9gc`w zGgicSrhG--0?n}Bu{jM5Q6g6!n)f<099XL^evt?%^A&|nik6n0 zLIB?GnF+Ta%@`s@d;aM0U%;D)XW5y~LsZ26061~qMX)&y^N)ny+IEQus&!J7jev^2 z!UIo=`pcjEAAbI4xIxo0sm&n0*ST%ChvR{HAIxw?Q!+)t@RI2nn$1zCPeHj6nyR`rr3Fc_g#4rjq?5eS6k4{XG{_5<7Q@ z&2qozR1vI+vWN15Yr7Xa&vry-1zL88Fk91|r@uhqO43r(Xz4uf)1ff%w!eb#uBoeR zhyJYhK;m&5NizAP{=lV|naK0bwUF(w+-2rn$SVicvs@mgdKNn&{!OmS@*~QP)z!t8JUsf*w6bE&DTx_9PLSab=2C)JaP{f|gF2o!~vf=6M7m>RvYT{#L5L}oe1 zCU}wnSbn;%xhDzwrM~8#Bv|Zg?n#0N>1$5RK~91&ArCeEbs`nPM1)waG?6 ztF^g>E%q1UklNKXW6u@45_>M&2`R@5GX7boT2at&0*UOaCkdj9M^a zH43ScZR-?}r2;-*5*R|z`2s$nphIcgVga8}SZ26Xz$X-RFkCFl6AIc4AD}AF1D(Wz zNhc|r4)eUJVPYam6QC831m9)}(qvdJ?YEQF@+0oEOpVrX@v6hcB({?N<|jrzZYE9j z`@C|4B^Eu39ACvi^4gm&QN_UP!N6C&;g5gQ$G$dJ8Jr+ZH7B@EnjV*iK@tNay9#<0 z((8GxmM2ZeZeU^;@+Rod`+~3aq$wK9kX@x<3hC9t(37SZWP;ZbN)E$R-Q-Er!wxND z^w2{XE0U;UudEseB$b6zM#s7G&=N}8+dg9aq$=5uIUl8zW7riaJV91{=`yru3tN*8HU;zs zvy&wc?tE6bWn1awh?0}?bJPboo!~{k-?j3UIvybc5YoY21NU{lK(3lyh*&h!g_;9r z>AuewM-1rJ@5*j~bWT!%_|m9QL23@dju(d=MnUU00K>XVOZSbw7%gdz@5)4dD9K1$ zvxkZTYvQ}#^hjD1M|a=piylG&N!jD)6q+EVcO)WwTNB@CE#k$bgCIh1mSlvZ4GWGwC)eOFRL1ZW?e}VFZY=4|@ zR(*hSiA-sL@`&vGWjh@oA6T|rSqve?4gPc_7)z&v8Ua)*q|Xo452z?`J7^I>wu3*Q zmK?bKj~5*kOGmJ(_+)Qs!Gf#42n7sBsH!>)YUsL)&MPfYq|jyW9|qa`m+kcaJE_)4 z$M4#UE}PHMMxoaT+eR@b!z+aIuL}k+=!bMmIVg#hrG%=)ADvj>-jiF78Dc7uiYr@a9R=Q#q1;=7VH_!RM4dw^02Qvx3=e3% zOt%X9j^Ki)73B^Q3=fc0!UN*%bT5N&{hJZ4EEpcqodW>{Ml+4Oi3(HjFBpcj7yxUB zYV6|8NSt6FBs@=+b*Jtm{@9sZGl)uY6UT`A$(os5(|lC8n<%=arcP=rCZ!~W4X^4&yadr>k%3y3Ayya@?^B0xX!dhxCX%PL~4aozxjA`K4yGd$0ePYKJbj55rg$ZIoiSYbxE4<)aw zX2ljNF0Wc#^0z+n`+n~aIHVVPc9IoqTMQRu>=h^Y1s>N5GeRP1lr{)7j8g`ap&)%Q z9FDD$0|q+2W27K`Fhu(a&?Q`P-v?GEt?092s0m>^5eBsAF9yK#D+0t362TgzOmk@S2?JIGoma~Ii~b(6zOeE zus{&3;O$_7a(QrJ1jCN-Y^2x&mfeU3-u{iBiQj_HtAbBMcm5S{4*kI7agN;u>6bRe z_9Xcy=dq#p#9HTx1?LTy1OWN4gIKk+ zbZHRWF!wsk8J3}{HJli{ET5`Eo@6Oq=r2$I0O>*l_|TaOeW~IzZlJM%HRAL5T9G3Z zsP`>HlNV4t5=$$9l#N*rK@X_O8F@ULBGJVxEuyR0Ryrs z4AgLkI*fcp-b~C8#Qvx&q9Bu^0ly-{cr%1rhs_Y?4^8xsh5&UD7mOVg3B`(n{$`1W zQkY2z7X?k2hKVK7KUqp}e!`OIA1x2k922LBjz}cz{reI0kCyw6QW03T4b&yxa%;dJ z!8%6!f}}IBF3-=*(nuI8*c#)0+44gm<%x%<-nE#&{9F0@HW}}K@A&bLh#FmGRSe3F^i6Y=*G5-qoeH451C|R| zgf&9BXIv4ZiGeCGY%~q*`Ex?K84gS3CmAEJJ(Ylchdori#3?)UCY;Kpss>qjHdUDL z$+>@mPDGxk?`6`wd#lpCglqC>ZgG3AFg>|RdlzI*km;!>B}fVcXJB6Nso~10Z(*O> zQ%}0|#04r}IYJDE7)quNP%?DsiHq21eQdjFD#4{EE->axn|_awE>DsU^lQQqE`kUe z2S+FR`$#%Goe44d3CY!(>v?S-xBZpRS*^2cyDqydu8=J__qQ-TxygN(RhG;Y;71h$ zYM^S9p|EzuN(=&00~uA1WKWazBZ2`gM7Bc~au-dtncK)znk!u%vj%*)qz-9+s%EoPji_4P=U=E? z%Z8Wu{Q8ia8PVe!8cs{qU=v?HH1tk{46`$M-94dS&&c5Dr)`^A_GYTLOHvF{Gj`*f zN*>qj76p7)g0kLak4?v zhDoP(mYY1)B!Q$~5(aoUH&vbuIg--b;A`1PwdmhmdKx7?YZ;QlC$V+)SgCf!o=@g- z#9^8YNfyDYDK(Gr243fqKA=-mfGRej#z>%>!Rms#F?>lAvd&89YFcD_pnm0Pk!_c# zaw*#{(K%_6U-^N5`b)1(fUD7K$)zyRmO{ljibU!df+la=LA4|}+I47+eT@ixgtPKGct)4aP$G%QjcLID#Qdi z&#;Pl#0SBdM*qYtf`N_w6{A8BkYHx(BLS>gEkHbxD^LqApk3|zaSnkXsj?IgtE|-` z#?U6xX$3_rvq#a)n;VD&rHQ181B=bAuxKPz^j9h@E{yltqA0&|Slo7rDlA?PEdI!U z`q}S)Z<@xSK8nF&@K_)`UkEP=i_vf?yv)=BOW~!DL&G2lg4pu7Zd4YI8sde@!c%eR zV!)ckp-Ta49*2qsfFZCs1K|UqT8|WA{CrRVs36}?MG{#Yx)=nD=-<`^?XLYSM|c{g z`XN&bpwfb(4{&}hT84<{;LbCWA`-REju)9{ zv(mraJXmyYd-d{}q4qpI=?)&ON3=NrXHO zlXN)oF!-iusom;0aTF955wgMMuJg1uR3L4#4UI{5y8M|nh) zCuv9Yo|3}s1FPJMV2pPyJ2YyjRl_vb-o=M^(D>>&+qv#8S$KzGgvtnGYEgM;pQP=f zT*5hm>g=2Qky(dHejfAuW5YlHTuRDH@D>ZZ((~!jmhjHj)?+1IQn|_oJ(4k(Wu~?c z@&l?~b$E9^kGU;AsA{C`Bw00&7v$ogdm2GXa$Mhy|I>TYiaLSSfT|>?lP>B zr~+%62h97VWVp9^2vWe@1di#Ef~^Lt`JDJ zu4NBYPCbEEw^%V_Oflj};z~>~k_Ip7(5pbk+rEI=EMqFKoTy+~hLiNF0@&rJq-WBj zc=Z0oX1SvKl2YHa+&W+JKQ0C0(X|s-A7$}1G4NDaHlpj#0(Z$3! z>V84qVq}PhmFYBdjc(Hos^URCkn+LK(ra`vdyqX(QP7XJ-+7m?RfHD|FGE#%vQ^}F z@>fFW+&{r^UBjAh2m;IVoh)-1g568VSm)3=JtxQRP5{1n1ef#((mp321<*HcIQ(^> zuRXq9>aw=-lq^STL?OK$Ty~T`sM{1`$C9OY*ungMCUS%?b_M=TEeakeF^y03oFCce(Q|hzC&ppA+SG8n@VS4>r&foAGVH5ZMU~_M;E$FVDllPXSF0H;j*qYP3NuybREiZg+n`p$w+gsD$sZKH}Rwg;ZEEDz4I@ zv$%bPoJmr$Gkvpt+#c8p2H9oX><0>7=K@v#oFGy@rApvRo}W}|CL}8!`6bK8h3Uyn z?zfy=t%WL&Uda@`=N05`F34@WL>1(&2ju?1U;q1m{?}3i2_4~SF{%v~4kMKz*Kel7 zilwhon3N1{D`zirNb{l9)M{7*d@e!GR^;SHkvyTNL71hK428_H6?c1WWPAjjTTYxN z$k~eHaO|-n54}7e`e^f%k3Gau5>ci@i;^iE8sjTKUUS7B)t-f+D4Bv`?5}Zzl8fAZ zn!6ktNZ3iDZv!VZCd2A8vtK}}l z{OJm5S%_KU8i@;Z81aQG*UYaG2Ab+S(pD4cJXbvy?;=PHeVS#LmqREokH<7mE0x-c zyRvs&xI9sY^zx*Y+{#84)$^Hqo^zKctbLYjjDeAtoyoq-gP3-gE!xaEIfZ{?DR=v8 z4W1GU&+g32gDhowq}u^yI9bKfr2jL&Xo zh#2l*NdG4q?xDP901dKU#%*~+0<0MhLr1c@1&ZU509RU(w>>jF9>%?}{zsJ1YC<^~ z5?;+POwoe3((J-qu4Kp?p&9naeJ|L41axb1J{i*gF~h@tq%0k81|z?edQXPLRx?CX z4K38_F-lWCs$?h}y;&YcYQnMP@i>Ylniho*ax)4Gvgrg%17>+@Nz*1s7vL|igOXQD z;szhHJzlAD#t3a#z{Z&Ko0&bY)~WAF%x z%sIZz2_a=WK@xl`)bJ*RW{vPU`m(YO6*J2~V6-@c=icsaywSo{yp78(J~+=3?l!OH?uRdNA~J_y6U8RXGF=N#PJw4goF{ zyo1UiJa_r*bI(5g>@zPt6J-F=gLnmU2va2=LSi@w3Gge2uriXWatO~{zBp-J5{E`{ ze$;Vy!c&`;8b3Y_y%c;-ym70+sQ%CO_&?uy_kVo$l{K;($r$qP%p~k5f_N_!7{Emc zA%bDxO{s?`hY&Rihdq)pCo~F~!2dc%b`O}6j=T)s4^j_{0)ON~m`7mCYLFQqZc?4b zDuW@+fhq#!VH~z0TQiz{c|J_szUTT!^Z9Jjlsusx#dOw`&<=}$Qm{jo zY{2-f7Kr9PT#{$FF!OuX2b-*_-n_KK-x#%}-Bq2<4T^bimNvEfuYU@^hq(*Xmk00@&uaPC?rm=5jJ0ewI2q_VE>nx;%J_;kQ1 z1$B~%=v$@({5kq`z|c2H#xotzwFgyVq(f)vfDTy@&26v(F<&uD2f%CXrJoJj&;-eT zT2dtYVUxHFy#&s3F1sPLuUVohYZh z|D$&~{i72l{S<%1_p@)dyuF_FQrcmLG*+KfpIWR7Di~ z3*Ca~6cVawy}pZ`C#}Ux-2wX2E#kfaU4p>})*S%0Gogh{mvSeJ=skyFZoA{-d^2St z(i-QG1=2?@EvFw(f^l&&&etu3Z)wHmo2y&cc8MxBUk^5a!wx8t;%Wy+Qy_fgtUl18q5hMUU_Y+ej_8g@ z%MO`{^60|Q+DC*KKUiuDpS0$gfjQw%aQ$>tZip&oA)LN95$|U)xkTP8Iz+KK1P=d@ z8nD~p{NH5=Pp@(xh!73TXJYco+@CiZQ_$Oix%^NK%u&nFUwD-HV+)=`48q|l-B&A~ z@O!9Ge{-RJ+a;<{e?3tDTi^H8o8NE-uMbijXp+?F1+PD}Y+DCje>Y0oI?tJV;yCr} z*^QwwY@(PwZ#*C#RlEh)T#E8DUSGQafwV^Ro|3foy#BFebt5_JuGwj6TskzNK5X5N zx$ikc&+GSXhjGr506<4U0o%0V30@z4^ClSphT(Sb!^ma`1n}5@-mU3tFi`%0x51$=4t5SiBw?6;EbMJiah2oR&Qa-zhu6TXbrMMoK;_H9+ z&wk#QB$q<{y9s-svNpu}=t*A&s6IXgYt9lw7@QokFYxR^zoU{3D2QGR%j^OA5h;7nw!!xZUTsnJFdkc?I@p6@43&e)(6a|4surcv zf39gm#vX(g6f7{GHjK#FgK>vx-|}{lv@hRx#vU97_)v11TA`LvJ6Y$1=A48xw^JHf8QASPp{zJ*Q5T z?Lbw~fsk#dL6Gg21?yq{N%!L08Q5(4`X+4V6x( zAsz|PgqHyDL^(4=v<|W#E(5#Rmxcs6GlT$=eV#T<#?gL9n2a+(uvi?L5EoZG?6e`& zaOgsdg>;U*Cz+vZG0cQMIx&l;5evZK%FrKy5KZ zMehXB?|69V{Wre(z1^p#*jsbV7JFNQ`;1z_g@U~-X}wgi=X2JJ1$$moFfJABc})Q# zZVZW+9Q?GXkmzmiItUV(te^Hl^qPW5SkG40kz=(bub{Hd0$%@Dg5m`-+iZ&&co<&7_<#P z=k1n<_ArV>@j@ZJhtVUH@+vf(=_j0*+%JKBR&u|H_L4_85QjI!qvM@p5JD+R6pGR; zk?6*VVR1FeGXAZ!xIlZO>1pj3EYHkjvJK?@U%~a6`M8NAFV*_8hw1K;gCLJ=WjTW& zy0ho_H<{}yQ;A)&p#5^+;Ia*HosTQR3zxj+cFIV>(JdQr1aG-cUxw@_aO+d#9HGB}d`FDOM)_X|hV})C-n46QT znSBCRfnSy9ug}{Rhsb`e&c2rBU14e79Twm9_c0$J{M;&*yYTUucexzuGw(_S z_pL2C)GNpGZI`Hu)V8}@=cKuR?ef3=(!ZRrTr~%V<)(w`lSqw2Xmf3q7pWchRH;J% zKkN=NNZ0GSa*>+E<6;;F&Y806sV9l$cIdH#R$Ihc7!6knz=-A1(4^&P#u0?rX+>hW z86v!;uAOSOJw*8VoAGJxe(cdxM)Yw_D2h)jdXd@)r5)Zicnws%PXuL*@a@=6_lCBD z#jz#AH%rtUYJ~t)ZDDRk>{gv17k69dUAVSKw2O- zOstc~L8+DyJUx=v9~L~%5b!n2U9;RZ%l-5VcWaiLm5$Sf$8*8+->%$BXB6*GjCr%xeg!o}rB4+S;tTV4jYsJy~20oNiHB7rGr$ce-ma$3Td zxLgjY^OaNh4K-Qi)TG;7LH?Gd>45EQtgKepxdSTy%Vbcuo0f_pj6APXJd%O?c5|eb#!wxS!e|h&UxIfm?aQT2XTp}XL z#!E!gM{$XGTp85E?+71y+{?a9FHg+;`tcwA&<`cd)KIAmc#pdSr=}u2MFmhRqb!?I=P(WYvVa#A)KVHg0A|a`I1709 zXu6^SFB{q9=ja1o+}8MJcxW)=g`p34@fI3AM@)*%>!O}|QpjryPVr{gpcPAE0)5FG zE~+=frHjdmQ@Aa4yS< z`7!ji?C3oLO?l@>bX}o0;hO+v5;aVK({?z}uD9wOrO!x$PFr)tA`~T^n7~6HI|#a*IKbFtQA8$m6Bx# z!=IYGw@izlKhGun{#I_^m3H5L`@V9W*|tkm5qA3}I!kBvy0`z)cc(C2MSq5{Q51~| zC}GnvQe~pYCc>KGVShMiN7k7!Eke@;;r57tR)Xp|g*Oq_Rt!_qiLjE*%oN_@&xaKu zfK#3tv(7_DvaklCZz613F=(uhP_StO^JFMKe^@chVMm9@7TPr7vy&ka)>iD%*%je0 zRNK&mX;7OCB}_NVMH#w;>1NsQFl>$Rj>#`UXXe(Fe5GmNhYpo<2-9t@e5P3US0^-< z!oo9A|;MfGqCaCe8rN2T4WLo6Q zf%C)!TP70B@?1YeJb=?le}cT2guH+_7qNg%wtQ|tp4eEzCblj~5D#TRKMn4UfdSB! zu^|s=k~|T4q|0T`7aoeq1MKfK%U&C@)`qMvJahTt-Iwk@fBCc|EL2AIiXR{!ZZ9it zV)a3;#|L@c+u!hg|J|!!^{QJ>ygu~8JADu{TojxWMo`jt;pdlWywtNwbgru2 z(hjKL&bo<<1i|HrW!ureWnvxi{X^Bn;e35JacbhSZsLtNr|$i7JDop0(zK-?PD*nl z#J!(p;^7Osa$~IV^Y$C#mB-K9E>XpnT2l=*wOxR@D`TU;_?TaPTjGY5sJFPx zGMhs?a(EMURBHo38xD?ygllyG=nlD5HC!A38hOIL8=!t75{0t@`erP(UOxL8DzBl;Y9XaA4xMLT!%z#*qmZuW=O|9P9XklK8hk!0 z13-@lbO@D?d?xFhcNtR&7n8($D8ut4vBW=i^buGtsE%~9OaSwF=S;!{xf}$RTFR7mVAn4EkX;#- zBpOo48FI8%#_0rAj2tNDm?QC!?+d|urGOYCx^@yCP2FmE{Q5ISG zh#E~&(Z_`G?~I|3s8OoMcAPBRg4SH1Kb(HZNZXq^G&{B|Wz^sGr6KP@W;o#1B`rnf zhLZ8-6%7&V3!b9Ds#oMqhZe6RTDC_W=RMocB+>E6cIyx^yl*sW$6-|)eY|AjRc+jSd5X;6V@8H=r$3p{I9 zim%bjTWXQkimy?5gB0AGTit&bF@E**r~`uwZMC}PoKX8}ow-qaj-x6!WjI5~QD zd8~B`hGR85 zuO~GBgn#90zUpUEXpT%NxZ>!p$QFXE;{jnYuycgV5HLw!GIu2y9!C66Kz2u6n7~Ig z6g)J;ZU-uwXpG|pjE#l@hrtkZxHbYG;R}>23L3^0QKv1a4KZB6xVWN#VK5v!Iv)h> zb~rGG2f#){!NOojCq>a6dGLVl!EhA|i5vIItg$>ftrjAd_M7(9=^U65XIM5>=499*}$TbN9%CdipI zsw&N@(hNE=i=GQlKs*-q6_W?oq%;e`Gbk7fo|~#Pt4g!jz^k?#Ph%!zHpP~argSCj zCSSb{=NR6-bPeFL2_9RqFbJ(uxFsBwS-ODqM4jM$wy_ zLU?lG5Wp5;s}HS*;mC#^q{NXlC=7jQJ+`e*kh0DmJ`m1AYmSCiLcB*roJY)AXx*R# ziGYT#dmk=oRc*o^NHQ_E%t#`(4Hm zT(-2o=jDWfE?_fl%CUW8PCJ7AO{U9_XpczTFmg&bBiT`hbbwHH>To8&U4Fb^m?b;p z^5ddKkAySS1yH7)pC7NWIFqk8IzPVc64hAzdSdYp{?otqpS&ZXqQ;@7zqY7!Q27+A zz7EKL6Cc7$fp9j%eh(IxK!T>&p+8hIBr2L=oFa`ek)fOu6px5;MQN?M2JQ zhS-z@nI!=CK^tNlrun}DfHUJV%;qHO3ErNozSg4!5t?jRJ1je%E}Kcv>S33Y0<_4b?kl~-GD zyF?Xtw_l=jqS2pt_1i!8E6>2)m1=91REY!5BKk5emb7E|~h0IG7_ z-H%#)G-K#-w_oa>?QwUpd$z~j#qQZ2cTu{$my)X^CTeLiI=z_;cabR9x~ComQj^-` z40rb^o>tHw_FOZ4lB*G}Ae;)o4d3jqytIb9f_!C!JBNblIR(o(5x5@l%B!9XY3*-C z+&3bSz4EFjH;$4&-=k6ST7zxPQ0n9fdJvC=O1z@+qg07M`;UJ7Z@(d_#Pwn zR`NWZW!C#-K=rjU54%pZ-`UX_K5ymI^4RyYF1&`YJ9Euqil*55cg~xz z6Y+o3ddrWv&$4Q*EQ7AItN5GtF*~cMxry99 zT-@`C_3-ts0lv#+)?rp>3?V16@HkJne$Nx{`$M0*7U1_wo)J>M@kw0kpI9V+};(iWqEmF6?h}fl6+i~Plne9@w(wD=*&!qMm zKi-E~dtFvu5@DAeUEt%*MZKfad;|{PN z{7YZ{zIA|=^fE)u29--0ovW4IF)BpUkr3vVJt?1hG6PtQdlu5U?+-(n70v;+V=rzb z&1Xh0X8_w`B?h_3H-{2%3m&zLiJfBvSlpVW_{vAFK4w4c+7RNRb!eI5_A$GQnr8+{ zX8;S$neyTds;sjQ@|6m(2B-+sc}gv1l?t!{SlJ`J0&I<7 zulDX;0k&2oDMRs&tn3I|533^S2R`a`&wth#S?3k)b$?*Caz51c5p3VKji#rYJ*N43 ziBq8gLnCX%#FTxr>qjp8_7Uu&?Auesi?VMY!46BZZx67GvhPd-c095O3VpXxJ{7uT zr!ZTpPhy;{b2R1y@*?ZpHc~i+HPK5g|0sn;wKcWMfm!Dr!uZnCU!KFFM%*(DSD+CW zVtXy?OhQ=Jl3=ZjI=ZNtv^URSXXj{)nV2>_ zO@>4*GaR5S7hmB3^;c;~&@w~luT?4qNmuCil~$xvkql*m81r_RYAN1FGNe-x4UcVT z(aEl>g`wP_<1Yog7%=0{i}oK*7TPL6VB-T(DE3X zr#Z{)`d~O0TpC(JN>HAszd#4Pc}e4=eQq;3dUl0&GUya*A|;~oMLYT`w;_%|*}5%y zB(9CAc_!*P%z7%~EQG=`dE7eZ#bxT9pW(%D$-9ta_&RbuDBKV`3p>O682M#xiqRjo z4R=L^aVd|DwDn3J93!@T7f=FpHYIy6UniIN=61q&b{+MJbGA**rewnaUq`n;x843o z)cXM^l&_yNvWq@~pOVcHV&`;0mHuz;bV#_x#66qB;#YXS_APvO6X7hWSR z%#SikcF}S%a7Vty~byXX8Xk51bS2%LKL*E$hc)E!a?2#$PvX3DUOs4z@6lEtZwR7|g)=v2^%~ z*I4@I){$+yL^YPao>=yq@5Ja&7$n483&=ze>!;l9|$neIxTb z4#AN9#f9S2pY82oM2B&L@}cD(1m&;>423WbhJ6pdT14p`%A^ZJxo?_bvky&Jpo>>M zd|FZHVmowq&;i-Ub|=KYKeVvLw&MQ49;~=?q3xU|)zgY{2M&fKFd06&$p9odZsbBq$VBwNh+UjYwj920%AG+fJ7u<7iWN~AK3(c=8y>#AElOB z*_!xduqM0DHo;{|?_B3&nx(pH;AT5^jm@v4-nfJ15mUzHJdwe{Xw$;dU!dc-J0d-T zqmSt6Q(F2fgd7jQZYaygw`B5p`b&gP0Jwx=iEex4n%Onlb?D5)oD0+`s!Fl znSuH4vf^ya^x%=_QT=Yaqkvv~j!6ePdA3Xwl#Ys*I2@8^qX(Zd7JBg0S%%BeJRdpw z!M1p^uE=l7Txf0}ITLUWR=U)bTtC?HhsyQC+d)A~94Je!|D1_sEzTF;$h7}~Gs>Lz z1cS_blkMd0NDZLdl$2cZep+$eMTm+D4{YJnjNVVN2|o=#qw}4i@P)6=(9Ly*wq2s? z3|)^i^evzGqd)gLCvFgoE1jVOrhp;>2i`*HS7MHLhQh>7f<2~t7U1Nap(8?dFcc6% z0z;YU_FjQ^h8CgTV{N~L^d4%_!F?~P&Jm4xN>I*_cE=Iu#Lun`4SfthwNFpjx{Y=| zY!O88-h`4#jsnRlFYs?WbB56WhAs}*gmSZ7Q|_eZj$q`ea5$zD$=38& z=QuRlB?zL9WoPJsR{TOB!EeL^|BhB&2jZyx6D&SG5Kf_b&QB0%_Too*qVyExNt^5< z8?(6$I~)F`)jjsYwT&4$fv&WyfLtI+%CYLgfjHC@#h=gcmm~+=h74wMFF2A>xU#>fcHV@6(k>|R#7~cy4y@U*Hq0!)l58M&BO;j;qu!m(V#Iq zGDDSUVA31ZNhKP#5e?IP#H5E{B^nC(iPTa!Ct=2Ch_wq)V^pF6UGPq%O6Glv$6`=G zB^n+j(eV5ezx3U|GpR9D8e>F*$@Pv91b~W%^0C1bS>dC@OF@lchKF&-mJKxQ(2{|~ zbuuJvX@-3}YIS9Q#G}HHw-GZO`%bG2hjACk{j{RoF;vufdxR)cvH%b^21!IRB&TUB z_NvC{pw8^ji$6a{`UTPOusb4K0CgrB9BA4lt?0Fs$Lk_E{y(^3xFbdXCz zBw8F>5)gK9qLHw^SGo=mIY_Fa?{J;Uqx;L_ zG@r+iq;YHd>oZ`C0X}wonmdeaD%bQEXh14Mk}ty!#8qjTT_KDq#Cc*(Bw@-AUL=e5 zDM6X4?`YVg2S~Z5zec#$936~Le&4m_7fu*{Ta({$e4czqbdLP@V^i`)QXGwDI^x^| zd2*q)Q(g~)3+{!%1^19mkz7cWZ^%|&Ya&m%#Efjap3Jg+-IEYZqFQEU&=a9Zj<8-5 z=}FhJT}%^}5yO}o<; z0cuF+iofcxh1bW>aRD6-h;|riuWP`NplpUgIYB`L)E0#yG1&~EB4wjy7{V1QS0p4y z!z1LgG{lf&5pw!N6OqmE*zF;~z};l*(HEmQG;gTMuwh3EF%;3GUy*ohhUguExsL40 zuxrGx^M>LxMazAA#0N>s{p5obpUEt7m!-rP?;GP9%J(e_)@a!b92Nyj__Nv*BD{3# zJ}}-39PBZ1_ui=i3nH-;WR74iTb<(l0-PstW_o0Yoco)smLGB7<&;RRJz4Wy_@hq9 zy;ys4ht(zUFwe&3@GY&_d~*er+b&VX=Ig=cU;6r={H*U!*sN_c44Xp}4TsHZ-J+l@ zM9c~hU+ES#HYl%9Lj&<}Fo3wwqSmrWdknE6qL+~ez84a)Ii4e{Oa-Dq6)>>&hN965 zD?;>0Y=&eEXO;c zPEy`uXW61{p1hr*2TzlqrB;`irAGhkt%S}^ndK@r3+k4&q4MNZ1u0L@AhaNH-UL#f zT4?SduRp9H+k$QTi!MqPo39?5HLC7Wr6y^NVUOG)%GB{qFAl%7 z3gb5y#iYakuQvUleL^!+zyw6#06c8xy+@M-CUoj)S4=rf`(#~TT zbZjfG!XBeHfwM?E&&7gbQFJ_Bjtb{qRjl)xI)5xZM$tB~SVmXamUcIgv%k0Q94~xL z9pe86QCH%V)jdgkGFu+N*@}EJlJpt>tmUeieHO(*To0BY?ha1{O#=M!#JO|(bK6CM zWj|&>b=EJitVWnB=^AiJ>G;s!XLR;T2M4-!?rgGlfvCS0Mka`2q!}X!8bdaGlBk0? zKST}R+KO#A7u&X7qKa+TgKdB8D?jWLfB$PDwyC30hNvJ~nR3UitJ{Mp&Eq9W3Wab9 z>F-X`zlTU42iGOg$6*V})RDPT-p$ImDi2WsK%7wz!*Q7ODfS`ia5(DR1(c};W;Pw# zhp2#Qbn_)Ed_)Oe@n*6R6*+zszQMolK}i>eS%`|fGw>Hd;vNlv3&Si#MTaE}u$T6~ zr0M+!xfxfVz86O661uR_3fkg9$msG>s*Lw|XvqBZy-q`INH}x*8bufq*amnUAsWhLC5F(nqy7(6~2IAgDeZb zna!jfxMH)d2^C2A4x{UKd7kb|d;x_4dTi@=bHdyRJh z3I?2W+sY3$Ik)X_!7pp{MxkA3GjRqCGI51$C;zj>`Fe3i+h4SqHw%M&e{QG!yWK_W z#To5*(c$&v{lg&JU$));IA8D0x5tYv6K8ZnS*ABE+i8D%VE%NV)#$8eMvoR6?L~(h ziQF&98+8&{8gGQHXbj$nn`X>St{la%cRKmtP4s#|l$w`sHI+OVGh=$WX z-t%^Gz~`LUG%I1z4fMF49OKlkQK`yZbt3dL?|NsdnaMR3GO{Y3PBG4k``KHX*)6`5 z4Z-4x$`sACs_TBb)5)YVg*sZsg)+l5vu*QLp&z~Fs?6KP6Q28MxeL$wg8%6T24Zfy zDzxT>h`aKg-NFa@vc4*x^r~0A>WR<%*Z#(@C+U~P%6nA=&qZ1!#)MHIAX&&C*YPACTw{BdU0@tb4>uH_dmD7 z`CPLeq0%YYipR)AESx>2V5n$qpn|y21%=DXqwT;P=|E za;CUrcj1ACv`+>U>J}%UC{r+)YmxPEP)9bi_ee6SC6UIL4%pObb^G&sl7I;;P~PZM zdP4a93t$!6$nB5w^(+}YUo`&o`%CcUjz2>zx#a{#4ZiN+!vd3(fKeJ#rG$!mb-PH> zTxh$5x9Z3Wxpzm@dgfhECjzRDta#y>%NOsySf^XLOKQo5eXGDFL`dC+g7hF|=6-ER!`|*glK1XT1PcT${y-VOS(^ z+S`4HLJJ@@`2RSGf+%Q*#%wFC*TD29kr;SG-v7)Htw47odxW8AFuWmeY-R{yCf+O$ z4WU+fXknSm5}hy)!VX}tC^ATNC&Ej{p+w7WzlS9|GfWRI97?p@wdA;HxexUxZcRoA zW;t{nyH(Nh2!ba6hy;3~r52#T5)_G+LD-tBjDw)>32}wI=IR?1sqY2Ep7IX~iUqMt zCd{}7G7VzjDjL$R>5=&WbAOZ7@}qU1pmvtJ!p>40Rf@_E=N0g`oMvmfSGRiw;k%q} z#@UTL1?iC=Dm;p&)#r`S5W&( z)xJ{XF3f*GUrZf#TKh_^_LZ`T!2Z_h+;3$0XO=K{kiJq-W|l4kv@ub?f*1WJ7!OvX zpIvdW4X?<)J_@{2CZ3^)5WvC($M4$;UMUqH_Z2cY?z>0?pQhleOYw>$8vewGe%tT* zP^!4l--}5#4L8ncRoSvmA4J1$M7w}IlqKD6E0R7C0w0E9fK_-25x0k|BMSbdMxpP} z?7CQyG^(u_=6fO9ht5FTBcv5Q(GU)eAR1Gu^B`I~OWBGTA&#yDLR~aF6@R7`J<$LO zp0 z9`8el29%u?pJhfgj3b7fs3pf>`0IFoL<7U~Q!K+=*e|rfsl0={=*ldG8Bn5OUfN(s zkTVE#I_)|BP1J&}VpA>B^XU=omqa&rCfR`6SxWL}Dg7WN87|*YWJCC4P04g68Y=QX zhE1x&9c+c~sbs?S2w7kJ4X^#EF(LnaqJ3N{p%j@*NVFdYplQg+PbwpQq8-FFRfv+2 zM-!<$b)O~L`vV46iXju}xnY)Qk3!a&%1F7Hgmc8)N?mcTGSVm7A(3L7OkLql0Nod5 zN-ot_963fx008Bw`F@2u^ zGIlITPnAHLpssk7A8;uvQ0!Q21_ZTWrTmLPTk`cusHW+8pU;+WUILT}%@1*+Ga zfJXwE;QNqV;S%QEpbN$WCRzIxiJ)ePbu=(UuYyT~AR?$4Vlox_ zJrWqvjZhQi;U&BoB4{#{IZ^Y5M9^q>VBG*wK6X?{D++=}%YDbGSFmgw%z^SBeDlMcaBr0BOp52ZD{2Z(ezQ| z2Of6-z5PG^m5=<%*Tz~(mi?m%54Mrj0TAWW%N)EC7!hHZL*wc^iLeRiQO~K&F&fw3NGs}JUJRF2LD?oa6Mnl; zND;bLy36L$Di^WmH3wuWZ{aLmUIhi7O!;t44l))0%vrkc_Qer0NBvpt*>F7nZcB+} z*zw{B!bU9{o^Wm{eV@&;$NA|Gt7$@i>U4Aom!qW?qT-j;p|eQ2rpv5Y$trIsARkIg z_vO9_M@RJtI*;(z>II}S0n(0+GLs-6g)Vk-bbpQdeRGiV(jKixv^TeVNB$c1`#tNf zd&oi|IxWxBU!wsZDEKN0NXyT2+fVD#ep;+sl`s9J|7jI(q zOjG)_)USr;?5B145Pn)qm8`|6a28fV44DcWC!@a6+u0Xvpo=wrH-3kL;F40##P_iB z5^+$f`3vZGc4!lUf|OitD7X*|!zdLPcIeGr7|IPW7>;O3uC=igek94J}Zif3Tt7Xa-ZPIehxk8iF9g1BM zI!51z$-xXewMR?BPT5Y_i&y~VtZsiOOV7!*VJ^qsV>hCZm6<5~p19*n54m)F&REHO zmz9C>6337WgCl{uOmBqg(W8?am!UPlUxu-1^X^tr5JYRJ%%SY)4?5hbd*A;H{M zL=mZ$c5re@nVBP)N5l5W)^rFT!N)0~lg^P~9t{t`Nipmj(enE2A;H`X(J_N~$`z*> zPJ+1^4#zPldOB;0o(?TwZkAzg96leZ;mzN+fVo*7+di}^o0)AQV4f_6^+iguA11?1 zgsL>lVZSFoj5ie9(}kDFqxn>9-!^Pbi&zq4Q1ayNR@jfYu6f1K0nGY;`R-qe!#Vm3 z?uK^f3GruglL@MmS%&uD$sJ0Rv`A9;bKP&p9fp)h~Y8?APg2dVz@-X?Zu85#C|)+#fBKf zelzTkySK$8nXjsw*A@IiW82*ggthsd)b|L_p9 z4+fg$-zt`U z*oyeMFqH7xKA$*F8=4Lowdt3X@Y*axe|qrR_{>XTL*DPI#aMD49flzkPN$hEfksS7 zk@JLR2p?)LmdMIb(T58;FWh4sDL;#^=>WnKEeTz>Z651{LI`i<&8ejv%Nc zeT82Vh^qXTCVoNq_FaI!53S`UIvUIocHaKQfV`gM&U%qt6>BVgi+|WxxF4HCXYlPXmrVMH)wE{bEmHjB8oLc(%;sDX|a@hLw zT~IVZZv;?GNh%c_xvU(T&6fh9Tu}r?(pie4BNasMfKU`=Sv@p+&kxA$lm>(51-<0m z{!r*-S-q9s@Q@sl#f@1-NRpt?ac@{#yr-<9Y^UQF0xj(i@0Jt6kzY;{@ou?NQ3OTO zIf^3acAy|~2OO#LaR*dkPdcD%r}I}CklX)w(P!^pL`066lx?@aD5%o@5DxX3qOIpm z(eKagw0|Mc!q=;}F^yC-^%s5b6-~Uy#b$&3kc=r|!loH>Q@*J&LE6g)Z<|%olnN)b zd%TIXa?(?};>MS1Z~i#KV4BQS{nO*woBzN0ji3H8hczN}SZWRITqDpZO>j9d#wYj0 zH3=Fp|2F(0X4x14F>RXr5k3l7q%ECa1cl=QZRy-1&hI+i@7H-n&?hal`*n^h9egWpU$kTzryf-WFp~Z@zNK7gX+TMC2bUA0uc6>a02AG>}JaujC zzXYp~B$v4D5>=w}dWg>7{^y?fsGm&`s-iB-B?gHXRWEyl?a=z7AH6bpNp~uabda46 z4HY*O+;*JUM`TQf;`jzbc;4VQ#Slexg`v2_wjvtfBfh507}Z3DAtBVx5ivc3drEW~ zkaYQ>387}VsP!d;nqj}B;Fa(%oMR9ioe=O6LT$+rlPjf)lGS15+s;M7R_b{`r=Id7 ze*~gnL+J$oHxmK!F)4&YnPTc!kTVokNfq_Lis7L)Q8qGRkR7J{tB3U^jk=JDfORF? zO6S0JmdL|9L{eYf#BnxRbxxH8^QWDH=S4{{GMfB-4<@?87Cz0C(S%@lxQ~ox_=VMs z=FQD$Zo5Pk=&uLp|NKw#2fkA{ZV~HzFXq)*NW;v?1#O)xb-F;gGNsF|IVN z^{QRo2!fQ1BXf~bgGaF190(ozz|Dh>TWt=s!+2<}h~_|=XbA^HdX_+MheiQ5yXMUs z66m8L8rH**LR{oN;bZtWlL>}dat?0<6fTDC61mEwE#m9QQxsn@QWs0g3e6D<> zfHqlF(_Yz_*`YyuGOV~ZX0}I$SY=^g&P(m9Pv{P4yBBiF%MJL3mi;&=UYp5Idx%A0 zt~QIugxl%(R-e#49tRGiZ`h{`VSk>-&SkeMrUkD*H;~r#C__5ArM?iSiQZqz*N2}J zYgK)^9l}>OBBt;aS?%)ZWE8`W$T}QIHXz>)sce~;7qZbTu_V;CvgWwUwaHtX&wu*x?n4h-l zjs5xRP`RreDtD(rH;>UN#YDLpm15Y6uRrZl(m`rP9cD*caT}3xz zbOl8wxMr`Ge+5hOv1jtsr2MpinPRhC)^8W4CpWo|LoTC}?eDEShYQ~V5o~;>*Oq*J zk{g3UZJ;TL`f8+fGkLLQ*faYq!-=LzNcYFx;VdCV?BvZ-Hss{Rvh66_a`=0@mQq%Q z@e#R%4owr8g7)%qrl>P{yVSe*$qaWp7Sba3+cUn-4=oAUupji#d##8Yk%3iEO-riMDy)e? zt|;E++d%SfKmZ;pzKgw1772H)M4V|i|(WSwn*se#Gn~+$H7WGqlw7J}F z!eU)zn&l0k9CErK5=7PGTPl@6DZuA}Qoe!?Xk|L7LslSTR(OPn3VOP12ajbBEMrFd zL+=={n?vRqNZqA7e(29&$c$o3$eGglv%O{&A@pyXSB}B zCZ)pYV)WQY{ODd~IGJs34JX5|mA>mfLYS zbeIG;FV+?uw{u()Yb%Zi%SE+zrkGxoYb%c1nu`sW{nc64Yx~P{T-0ml*Joh3FKTgV zOyM>1DkZj0X~$vb^i0soD_7I6`Wr-MLH5}ht#h7+SYMTFyKo&2$-T%AtN@2!?2sqaPXjYXgZGFf?_`%=NM z-Gd~^4ZTkh`a{zYZ=x{tJ_XxRi8%X+s_U|@%lj1Lp@kA%4viapv0~;^Kn}12uF^x= zWAImgl9^929PyE=C3^9cmdtyHD{vc)t8_Bh5ejCS=uMc`*SzX!mLgqe&wgsj4p$)YBC0Eus934Hb4qB^*l)kD1>>aIo z9|Gu+?TEgJcB7h;;YE``dw6H0K!2Z7r`e=_EZL_=V(7dOSw9TclgFXpTpnk1Nm?U4 z($xwt#2};m3%0%(5uqa=oEIYfp>j?jo9VzTGQO>8fY%C%ppn1xBH-1W)7P0^L`Gu3 zt2xJuU?Lkgm6#r(SCf%AFvz_SZWnGx+SHH7yb!P+{Mn}hGB_nD(GZS~tjCVS&Z>{{ z80Ig+?PrCWs=UYb@E-5`e_r=f8>Y+4(D?O<6Cth_E?snDzLbhSiq%{phd>yD;lNpu zr2NB6@Op@WW(e6EM>DaCiz6*_L;5IYI2;Gk8Q@V{OcN~(>7$q-JPzV7R-BpTz&lnl zY%tkM4JV@2+B6xO zbu^S!;dpo{1o>td()G=4lq6%&10C#SNB}iMwm$Tqh}YW=|~6A z5{anNGP^?1%j*ZfYeETtJJ0MA?f8Ln&87{b&z)ssE zNB?wXi_)6X3fU}s>Rt5ccuUzkI>#LnwfC8`#XIGh=h!3Q0Bn9BElCY-)TY(fLb_<8YpIylN!i` zniAYQ->I0*t+ULN?*k?Qzejh%(w*7uFWbp?YK00(`=jkMex-hYVfE4*#txb9mCH3W zos*~u>AQ>jy3V%7R+MXinkY80FMm!w8(hHwy|q=L5q=XZC|azM3t%7 zeu>VhB7FOsKjGUxkd&6{^_tSs0_+32#KAwH(o*}yr2zY>C;1~c!;p5N;Sh9@;U#!v zo~b}KfoMkVW0VAhU(qua5M;s;!*3s>H1?`EOe_Dsbn12*`s+x?^`j%O-HG__Oi1Fj6yE`G(Fsfa8IT$%FNl1;Hsa@cbUDVyRT z|3XhRTa)+MWI3{mke1U~pWN{9QRqQmLO-5aR{}kUFqp?J2?*c zz_LyLPJ07>jt)hJ<$Np;U*n49E+T*CUGGfg2G6|9b%cK;YRhexsABo`VEH%i|D6}V zKVi8_Uk%HH5Ek%=Y14w`5!J#=fiyA05hd}!Nx&b33YttvhVuIlQhzjr)7AlK)H*4K z;GMu$Jb(ky@`~6JF0Cl{h_E8k7nl!2EI-(=>10SOw-tfVn)N>-=}$ty7ikAXG;<4r3Twl@6`S2s_E9)rmuZf0?@DkDXUdw5VcMAEp;&L}n>5 zR9F*@0I16VLU$N-mA#);gceLxfSrJ}!J_>Y+mMg&DGhY$3LN!zwBl)17{4AE|EQn; z(m(wRsRm#9k%im``lkXZd`g2Mxv{|qRN?`2g@+Fky6{p6$;}WgaELvm;o&0`D|&AI zcwpSj9Kf&-^1ZZTMy;c-JwkFxlJq5A@0s5RbC@xK9haCn{X6LvS zABiZ$s`E+;PXg4*7nbo*&VbY2JUA9>=?W)e*Z_7BcU-&OjQ3q z#`7U-2!hE|cOnSzhCXCPt2Y8SV?nm&^Y3~U>pZFm)XcYK^JaW3h%>{{7QiH%V!O~1 zT@Gvg*vbRB6;9w=IwbdXA)tkR_7@>wMY^j-I#)F>>W^48FF0uW@vTYNK%lJLVrvq1 zdpL3BN!V?ds78F-FVQ(k*yHAm29n%%V7;frCAd8*dl5r_D8xp8RkjY zg_$UM5_V~XNuGplp+VQw>MpRx>ENPv3!Aq?1j{6BnXX+0YeC8r#I3ycu(PWrTKnyU z%gc`n)Sih?D{*`E#O?VJYWMXTW&qc#;@V80?CqrVh8KToU zG}B_(g!=4cNNhGkB#4z{r5aw9#>tS_Y=%b=z`$l6x`QO09CR`yHk%V^p~gOzg^$CXX4vmCFNRIyOM zo|+cEBvG}K8Zb0!0e9<4y!jP!+_VVi6Sq5QRC8{hx(97kBQ>u}g19 zI}apoS_;t5NB;0tuE>9Lk$>AIs!{p%MCHHso%?_5=Mp!qi2_6Zm^IyAgSMkeYC{d0 zHgQ?6LF@NmxrsrTi!!MQ@_E?)uw(0*`Jye}YwgWoolXE9;%#(j$&<=H4~uFgmTa+T z>L@CylzrX`e-UnCi4mXi{{;SKL`rj@bMiSsy@xDMf}%@$yR!Ls<)*Kin?4`uwx#$g z|GfP+dgc7{wo6ozZu=!VhkyRhn|J@xaV^H(JoRI7o)`IN3!)b1d1W!~)~O#TBxa{~ z?us?v~B-a;8CEkY*mt82?IVaSBr1BupIwXc2^E`f5kU} zC-y_OYR8hBF!4HkLu<5Hqeb7kw2myH2=0@>6aU#i@khS-KTa5WLdk@dB}gcWl4)gR z3F=KvD!xJ2GSIF#$MumVXz?zV2Qbgtz$_hG#hc+QQL@awD%4xG zMM2Lh+6u~*nOTpk3WHkwGRK<=5=KFlZ26X)DQcCM9)5$cnY1i)ySSoBM=Q0jj%%Ih zfTbAL?Et*anp~`m*D4!+g6up?xT_69-SMTLraNKjjpE5+Dw-0T3UatX+CIKvaK~p2 zF_8p9T24Qn03Si%zC_Lnb?oF+^nZ~x2}4NStJ`~8gfDu9;+qS_+b&TJXRjxm{n=ms z#jp9|1jWiNl*H|E&!*ca>SFQ&njW*j$eu!frA@U1TTvF!4BenKE4096&nbqpVK56v*iW3+7)RO|m(Xv5OSJxa+Wb5hWRVa=@XI?TBpAOM0L0CX}hBppioIp1{ zl9y%!V0q=b`D2TED;(c>7;sz}vcrtI*Duw{onTN0wDcatla z(fVI3M@}OtEqxoQBR(SK2O2in^v$6F>bmRk3tx<;InDrJPEh<3LOwK{~x&RrK;H>MbtenHq`YEEJA z&@Q)%Mm-1>)?0>JZH@7}jtwgrx??qss~uNP3AVOamYyX?VtX{@TuJgbvRJv2 z=wJ?ZB;109w`6ddw$O+zCDrTI&RxY z@-Te+_JDR4QuBLg6$1{p!%ILvLU}aQPRW=9-vX?JhE0Z&B-1fzfcgWa)G$PWlQwBi zh9t>t#SsO(5)8BJhqhEshLT7ND;^c0(Q%_kO;mAck$VS2w3+58Xw+6ApIsU<7`8)W zY%?`xTyYmfN#Pz2br6ct?4h%(L@0@3L~@h*QW=UFV4xKZy*LF zo$ikAFHmUbhNHI!OzHHNtHgVZ^fjR0*7I7N33ve9x$q0TcwHQYjg-6C9#Zrl&&!hT zdQ9Zl?Ul==%)2g^W#qXZx{jDb5&rs0?4rT6HWhKn=(0idq-zLxWqhYy*Ae+Px$HH! zQ@R=>3-`EaNm!2FndbmIr#)uEbaV~LCT|N1?uG5jin9w)hthLSpG2Z39Uom&GGRUp z@LP1}&+T;n4S&;aQ+~OYeFq26m!U2R96UZ~6h-^C}`qf-N@&Q(k*(826RGv}Ho(IoSLW zpa0SS!#5>%Ukeh960jPmV}n9$Z3DKK5f#2#ib1CtB7y`HfS?m2C?>UE#AY*$k>qgL z1>IbD2_yrtIT^O-(kW$Ut%&9Qp^43A2u1+&j(KRDtBS(Xio|9!bY*AglOmyMGL-n> zz{wrhVdR^YYO-m48nM|{49a$vok59TtSFR#S&sXTCwZbkO{Nyu!Mh!2P2=rAsi<1K zbe5u#QLy$K^vJ<1@yKY-zG<64Be&$#7wEZ#}FRhq2k@3W6J2?8n zu;OoyjK3=6HSDv$0d?h1sdp3PQ;^i8`lIQJW^DEh-qtoP=dtly+O$-@`Z25v4|kXq zm#E_H_2BJye%uHD{ePVBRt5Zqw^oF-xwB}T#)t|iN!j|kCgQEFctF4@5p3T#=%eO~ zl{6-fh8>z{Gd4u^nN*tl$}h&m(NM=I!w^GY73#xFh_Z>dX1Lh>lz3}~i``F&w`Lfp zZ_Uoc@Y6FEo0Vp@WXs)3naH%GL%49NTWP2ZH_O0*qlyl-aVxcCm^?g-UKoPUpkz!@gq?+PX?EV(@Vfbyaa_!oQtk)Y?Pb zCOUQ>9Q$w^HQZ3dz@a#Hqm*-dLmzG*_XD|Rs^kXg%;F7wxV;#_=PJ48hzffK(I}AD z26W;4;iF_KIdpbq(K!`uJDf%SkqaemZycrb$Q97CgQBjq^eVYS14>>kOa5RrgctOT z1>%H1d1gAbT46Q`$z(gI)$e6QIA6J3)vFc2D?1%R$Y1Ey49x9svFpjr0Okv^eC-Q! zo-z)NbTVa{{7_Aqb_We)p_{9*e2wKPPDJ+c78{md#2hd-UV8rW?pvUvXYX$OADj*` zNhxG!Q#+hnhx3p7*Z+U^-UjHB^ePWqy8=~6kRl05Ss3snfK3=abNlw+ZCg-fuYxgN z{$@8O!m>6a?JOEgx;xBngaDUGs1VBKB={#J#4$xdu!$XGh$sjphfu;42pn7B7%(Od ziHXZaFeW$wgDD`-bIyC-_q=cS?e07CeKX&VTB@z@_T1BN_r2Y>pL3q`oO8bC^`D#O zVPP%?g1A`!En5}!q^c4JVj^yovL}5jNZxff8d4GuU_n!G$;v`L8Vw2NX4r!PcvUXyw+qvg zo7`tMVC*kCCj}lZ4qnJCZb{Ms!&9gb|3~34V$I5%t0$4!XOH8OnnwHscPQzmg^G2$ z<=F*mYH4$1wLViB7ggbc) z6R&SpcHJe~!o;fy6aUp;|IUy8KtdKxkz1G;66P4`v?meC0g4OCsebLG#km2Be5lx= zX9po70jOBi;~~Rdi^W72?2{NW^@c#%BQ6GZH9N#9_aqlw z@<33G{RNO@vn%kpex$%tPQn24WCb@%lQ3|;pezz{0eCZvb^n0yig88z?ZV){mkHxATT<*B9B=U7`)yt_Iou?*H`8 z`>#&~sIt+9Y=N7;>3V6hTLxpM@K%VK%&ed&F(| zia!5|J}9jwbE`ubHk{5;9&@%N<-7RUT(S$*(PnvW1ZXfC!8T+C=LSqnA*lSDz-XCP zOz%8mfQ9ZV5F@uh_2!TxGF}g{!tlCSt4uuN!EsJ>1qcHsI*dcGcMisB)vnuLid@|Z z!yqqe<#s|v41=n`k0*>pp=uV~E=-FOZagigp{#-IEo`Dh_*EB0E=iacW1hXKVY>F4u^ zJ%%Go84NmtU=~J^^OMJBSQ0%dTR=FQ9qdE%>a=8*M?_al?T0-8qD#4QmPb5v=(3?} zj@yjrV>BFnfczLv4g|~nZYa~iI$qDd%>6Dj)11m;`s)*tt;~0hFQgKJwq_HibsTU> zM@RRW-sqN$J9w;hHbN91eT>sOCb68DZ6*Kys>pwu(^3AFW)biK5+>_J$2m+Fm`yvt zsX(UBG2wkVro~05%up`no9VF45+q zT#bwJ&cE=jpZ^=lMN!(tB7LKMhur~vq%W1jt|4(ITDAj}I)duqVBik;*s??SZB20y zi+WiO%O_4U^c;4-J7@>34kMNo0h6KUu%UEDEfb<`%!3LFresJLB_7%ub3^eYgDd=@ znb@34@kq~u#D@{PXp+x#|N$?fY=Bw+g&^jy~TU->goUKt77sV{m4TM|>zDnw- zi*HC=6tje2OOxDfgIq&tNe|L22ekW^4lAgr9-pVbK4=Gq?}p#z4%0}=HT?zZYBT~< zOE5>JWp;()pwX(9Zl&4x=`T^YJ5UGD%I677e~pk^XDORhQwPah_^`O)1d8mlhYsdk zvrO15I!Mtaia8GPbjA*n@ig*wsH--o$QD5dRQ}UZ*McZawrVYYme(aWBmZcUZ`sJS zWp+^eON)D_?{k#pb-8vVj;HkY!xWQUfu#DW0pL z+jNebQX{G0Gx6|>;Ci?dhC#;F$aeB;YH66}+@#?GPfowTR1hXN4Lf9RTG5Y9oI-Px zZowzSPyKwZCfwbdBXoTop>>yNbA+zO5>V`W+wj3n}HHUa2`k!AG6%W9qq@*{-9W ztC{UOD)C&1@E>D|^=##|=V}hKT}(Y!GgCNSXujvWnDSX^7iYeUslPfyS1~n%nsLT)(mb}6&Ou%UrazZc-4#4f8ltDsH z*-pq?x*BZf`AA!zN>(VHKd|~Nf<_p<^l|j-iOA0ZVGmO6wJyHis=e03%B5F(t-C}U z@~^){=Tv*W@9p37C0~{(5WN^B@^4w1ElU%ArXUQYlqYQ7+_E%Br6x+Rq;MF-$+(&- zHFG*jXJpILJaeg8np^O=whrlcU%9(gshJpSt5UmjeBt@~caLB6mfLTAduri|&aUS; zA%FP)`}8~CpXdkWg3JjqYWfOl3A9dnIS+FfmAQA8TJZX0UM*96RkyQm!>trcG=JumRP*nD(w z?n*1*c;!M!DPC|C!Kh3Q6$4(S!MeJ^a|)tLaH54$r2sbHR~1sUk+Jy;%1d$p;F+Rw zlK^^_=tHV8-2T^M&RV!#w`{_eO(6bTVft2dZbj$c|IAN(^EU8AnPJ4sgmarYvJE_W z(YJgv+%EqLyv8&@!e06JW>vtQb}AS5+sSJF758zO{t#+=Y?dmF1Xaradu*0`R-*5L zrFm5a3L&#hJq)r;yKEo0G4_|q_eH%%Y|JuL(%b)Pkj_;RhVrvM~$`+mqZNnL;aNXm)?(i1i zOmfM=u*cv|Q8K2P;>l1_$!1tJy{_1@;R|`RWGGL@V2I?jwi!L(Sx_2ERfZYvDz=NI zCfr7@rxiu>9}FSUWAIMH9!+WTL(4NZ7-HJFmL?47uSSKSKS#;*+o5%mI=-H+g7z^t z)EaKHM2U$`HjSNi(n)GnhMgsP-inN}hu&0&lsikw^xJ8QdXmG)!VFY@np%}%mR-#* zJYtEIe{r7v@<0lJ+4202+Ily#^w%fUnZUB!L!0W?T+{?e^sT6VuhJa+J`N9^atmaK ziGBzZ?t%Q%33;Hr7nV7iLa@Ml?-Z1;3)7REg_B^sy!{0qUv?Xi_}n`Q1?Fp6MdnpJ z+5r4vt1h5|@S+ClAJ369iVDCv3G($MCqcxH=Q|1EhqXBg*Vjo{cZoJ9;cA?OfAh89 z{lfc~`FKnkTUQqWcR~#t)Ntm^G*Kv0!w}I+z2Q<_#O$0kFT0;)uTC8U!0*P z@AToFg|#xpUjgY8asS52Ux!Ap;g;PX{rW=sx=XY{`qe=CuYJk4eao{6($&8zyBv`b)n1DyrL*@I(^GKCwgphHI9dI-LF|6TVlUVQ2Pop;^2 zezNZm0d3??xqJ`oJK6 z}-Y)e{B8(k$TS+d~{HTmrgBH`EpHQl@G8|{X>cSFtVi<;{$(H4_lO-%Yvzv^H9 zjo(bUXe9^?H3MC6v&Dch2{qe+tzL5W*b|wD)h*<=_B-RYHlAjFyzO`9o9fW3v0G!l zsZO2;*s+h|iB>rTs<`HCQ=KdmFxON^gw(d?!!*?qPhyt{bZvw)F+J7140Sym<&I8+ z=8}w>>LiClypfU^kbksakCS4<1=SKjnGu_;%b09?Mnd2j! z0-Xr{vO5Q&5Z{aNS(BED@TK>kKYs4`uqBUoe9&0%td=~Y(NB(#em&;+yy0nSz!PT- zuzyeD2W}Oc*mvu{^JEslg=f`*v$}agSK|qN@xS*y@BDL#KbU6c4|PqE7MSln*>_JW z7pgq8_EUDg*-PIR&wP7!9v&04W?ZppMv6Dj&Z7nI0eto}-|tm|n zh{li)tw#H3p%+HU1qv(h2Vo1{zN7|in(vp8(Gmj~U@jWADH=ah<;AI|P~&6fZ2m=N zs=T&thM4Pa^MRe3|(W6&KxIC}BvAUpd!SI%AtGVfg1n!HM3N?QkeV@JjG?p;n1e73R1H@UgQ*s4}-go z9sj8`1Ll^7J_?RA0y=U5#fm-%#wa3W!Wmd&RzS(l5S=XsPJ%S321gBWy&MkkIU}4) z7XWL|3g?K&z&tHGI3D?x z6fv|}Vp^2R_9_g1C@ix}gpSa9^u;#8`wFTL9=+O+E++W*^nHfuSGbWdw5n`2AOWYH zC)}d&i7I@g>^#@{MUh&#PTYrhM~%zyWbTq|nBI^Z#}=mY;;jCH<|~zdEs>wnA-+TZ9avEn1KzLXhqatnLP8c*s)=NN$2uFj+cH%8+oGbT@9*`6j9u_#@dSFCL#42TVOP8O&}k zy_5FN+wXoCT)5l!pJ%feY^6RiH{?BY;PSWbqp8f>(|(gpW-h%MVR&qv@GwmjvpHH< z<7nOc-JkrLpGh=`UW^BJw1#S?K*1wH9P|fjJ-iM|Hd&!U-VF3yaO+c_ZMG+0FTcP5k@A5?C#M!WOtA6x`+;0_SPDQ?3}KDAM*!) z@|)k6&|dpOSV@94%o+Mt=Ne*kpTwkt_0kEg2+WYaI)YbbM-Y@E(Da6UI+)>rVt1)B z?1vsQ%iNG?Z-)5Gqz5Sdc0l4KH{=t<3^AJ$5=b%JK@^!Al2$Q84!JZ#nF8d`kukd& z;#s7O0s1P83`wh)VTWmt60)PUfe;3MMM-*?B_>Fs3WDVjATG*}ElH1PX%n3$Es6Hg z67vbLCcdG4D|4f>qf103kX79bBOzEiu}5nO{}o*x4IoGystpZy?QenLF+(DF-e~Tm zurc97`e%7%E9%jbk756w%w_FvJT@p5ZEh|99s=n(l>sEe`H_3L z;nO2DOFURuxMBHY#PW5QXp7v}U!rrc{3m|P&%gg}!t&|J{ftS9k|L2j1zPgthLeQHGHY^j4!J<*}hiw4nNzgE#8 z#vGR`=AywJV|&KWQw{pamfOKG8i$cKJ`Us-WgkJUI}0D2!97kB&)&8|eFCFm`#g*2 zED-n*C65A+mo6G4xLzVSTOe$I5fE>h!A&!mw<;L3pDGmO&v(-dE^roGKzua;@%Ml4 zr+?Qw6F6(3sim?*+36V_0ru=n14wBb@TU^Sg*5=BbhqP*UDHcI4A#8SDfh{c0dX{( zEA%Hgo8fGsKfyT~?tAtEX8nE@#^t3$6P(SkA28xx4M7_hABNy;hKIiHMY%R03!uGb z(~1Jl(Q>ZIfCR*5c?n$xEFkrN1@i*+toWzFvDu`sw0I>jd~P_bM+@RJJ)Bdb83v2y zEFDgcL`_=yr}T>ZjDuo$H8JJ91;y7PC=R#r!b5!{qSrsvFFmQe?hTh9sa+CYcVoZPG2Z{_A**Fvl?;Yog9}ql+CKcC`kUll`2XA`kPw^}lEUhvEZyjjlIcKHl6TEbez z7P{9kb1`fc&WK5>1!SRcBRTE#hMlb#+tC+x-<9P*w*^)?wA-p|8Y+ zc=V>CgF?%uE}QUY-D*($g+5Dio`X>0={(QzP{cb~U9lzBNa)I6bga?8VYIrk+{aKr zHP_CTyB(2>*1uu*u-tJnt9+#n&DN`C>s2GtTS{?nNWpxP-9AuGb7QvAjyOEi7F^ZM z54svZ=;!|GzxKbrn3!$V-Ngty=zZ7AwxRSw0Xb#c73P)^E3KxiLmX^ zbNX)jL2<=7H8xS!3}@BY1ledf)SSWYlkMRxcvfDvJAmD%M;wFv>$T8UmmGk^^gf0cA(P_(%JFTR&I?fq<_5ia z-$-WufplKs{#|&QZr}?E*+UqXS2Ds}l(K(d%jqkqkfv-(~tNDd8l?)iw4sGb3 z4sM%5-;jt1ly=x+qm~xYKlGibsM1QDWav}Lq3D+#-XUlzRwUrsiWtB;piT;gb&vTd z`JsI(8KYlGJRn1inPjCQ0oPXS_Z8aFamAJ+S@RVMxMny&S|)&t5ig+tDSenMm5jW( zB+!SU>Xh+MhFL1PN9Q_GgbgVA;<3Tg$`F?wzQ`<<+%-)|%J0}EEt-6h)a`D*a_^`G*?KmOZOVn8oOiO-=i z24Hs}Wt+nasb|9q6>gF5zqH{m5REBFLU>goT8_^>e0jnYntILSbA!1wg3qlCLi5gs z$L9|H;Z*7c(z*0XJU;gg6mGgAB-1$x(S-o~L)8;9c=N;lorIm0ntU|0zt}qi_yYY?+T2Qq9%Ho@;4CyTfq$Y+Osp0uuhv34b}gAgwH5>i z^kkADqj>u;vqf0))Cpx!9eSM#R3pmv@!7x-Wu;}{`T27A zaPuxaptr2_`Umu-XQkI&q76gWU!rre(y#lmfAVpkkXUckKNi^s-w|a8=7|(WHC#X6l)xvj;nV;fWz&n^q)-+KOT1IXe$^74zIl#;oyd zG(2!92Z-7Z?LfBepmL}zznH(N<+QITL zDlGs&r8OZgzz5-Xw6B`cC-T<^EP@uob-O-@0m6yhSY*8kqT(4uty=sS*Zf;7LhvoG zL0Wsr7RVoo)}}yPEKRZ|8=00|7H0==dBI5^@pL%t!yi*t*X67dTi3I#;_%$pxeF8F z_(p%TJ-2r^9tZs$Zr#mYxW4rFx=XaV3s>VV{G<2&-rx0sRF|z6W8^MC9tDKuAP!E< z%2Cb(()wBNb0us!9PQA0jKFnhLWdQDqrzR7E2Q%7!o$>2Mf}JB&I3ubm`LOn7&5=9 z@Dl>x4A#oS`R(~N)4SZq)qESRY=3XuVwJax{qXb4Vn=a;TFc)KGL%7 z5^W(-JFC+t&NmD05xbugYtwmjp)OgLn7YMqLcSfxSYQj^rE$l%@J%8tKR*y&_t@M5 z;q{NrOAmzCU7`)y)?cD?0^z@R=k1^Sktq;{WSMeS#J7yKbPGSj9-$zS4P{PHEECzn zTOklO!)`dR9twsvBjVhUkybQpb`T%|u!j90qpAIhL^d<*_Z=cJ3ce8stGp;3T6|?W zW1J&2T9SsvsEE;_C9xX}L)9@>gz&rkFhUlZAtF$$xJR>-J(kWt3?Ei@j$K`I-UXiQ zyD9{j=^PaU!jc$_q0=nU0Xs;;ouwppgXN)Xw9Fkz_3@hi;-FPpXe)|_r7AafYYLxe zAE>KTe1;mcz-Ks1e|gZ!6nSS{9!o%{%d;5XN*EbQZx6eCE$_~RwF!I$+T)}P`FHuJ zpanZZC!UPc+ao7Hk2n|B>atl3EC006ZY+eeY{4}}N7z3wJ{gfONmVTczp^8JNyeEWlm6%lFk1xMzcYJQA z<4Xepw<*6@W5M6>v}nLO`IdJW9*S?bcdh{#`~Cr3gK+C_LGSeqde>c|%{90h*Wkzh z!EgJ^Z(GbW>rieyY$gh_2tFx~+M@@V%CiFp9NvNtLF_TZ(0H4bTSl!WLq0a5A$rC@ zo{i_$0Hz-J>}#E}Jo8*un2(ycV%=EFP7uJwigXRku*aE+G8|=Am`R==ny!HvLcGnI zYCKC1O;B=$xA3XOH84x4(clsA``NXP!nm`fYhadWq=epUVp+=47s1)Xu>fPLkhZtv zp9``%0j&Vz3RD(5Bc0Rk^2&}B{ehBamYvB&>na&C7i+}@9nWm>x&1|TZxMKJLopu9 zP>gT~Z;*R^A$Q#++93C8Aopi~=$C)*-%mWVw#+k`b!ec+8Z7Op)+7I_BB$_Hh{nzE zfVdrLSH`8xzY?XAAt5&!HpuykA=;EMB=&}cTr)&f7Y8z7j0Doj<%)z{GX&bPbc*vD zJE_pR;)$#U^Mkw z07h^qz|muGL27ZQ!JGXB%a<5miIW5ak?h*bU^aFUYOC zL>tIm4aj}g-~Gzhet3#2RZMOmXT>s+_r(n1FqJsGWt6j(-wd^);Qo!(lJwwBArCEHkVjQW8Uy z$d>0Qlyfjd8*Wn0Sg}EnmmPW${Pq)ri>v+1gtM|P&k3jnj z&FzM>0wQO0Yt51xfEXHajF?_ew<2#Anqlm^Ls@#1(X9hoPcfQ=khd$jQBSubugPX! z(u|^L=Cv9>pTBoc5fm>pO2mt46vZ0_QA$jE{@!twE;qqp*y|*k8np9xsXJEMKfSV* z#znFLP)i_mdrbvC1!>&myb2k zlWnx7yL&HsbWjr)3>Ul^wI6Y}??rFz;NgnNL=xZ3MLL+<(c7V7oi=|u2odp#GD~*@ z#%aLX5#?heMc1pb2M*9ek>=)gYv`upu@_uJ9#x8te%?KpYA9Utg^JI=Qh zmpci^TXDZ(rIYvDTTb3^w-~->Nn>#{T=+gJy^?CEl%)oLfULFOLDUW@-bWhR2l&WR zBdeYE2M2yumU@p&v!C(8ta+xNg8@__?)cnJ1jAk?Tf5_TytVxKODy2_=XTn^pf74@ z;(X~^y}y9+#w}M1p^=uWn+yK*=!75`5`tzxt}s z_gr&TrtRWaH1*Xwx0YWluL|^gtF8*IL*p3Tm6zyMe^odf{O|n4cYNR7L=m0P+wZB2 z!;f^aJnt}|1pb5}A9+E73WwF4W;j18HVkjU#icK7hM1GK7l*V%Kbf*JkPpFVh-AKw zI6-H;(vaw2hA1-U{81?Q(N3m3G#`Uz2wq5)lc>6r4zIp4kk30a#4vJ<9mR@mvs)<7 zGfOnX9##Bh<#}+iLetn`AEu;+!#zmZ8(j=|I`YR=z8QyPf8~9&XybP7=^p(wmGsuh zS6u0MNFI$H!T#!zM!LdcJzd2-9`3to4?N7mt;$OJ0gPvf5v65eLTyb#8IW>>`U5p; z5BF($jAvcB60lYmZ+rRWWF?3*g(H_sZe-XTc#a4-*_lpbNCfAA2yx$8j6RLS_m5xn zmbWKtzke6ox8M5qgw#UC+)y+7dM2#KFgxw|5X$VymA?G!gWDyd>EpOWip@`Oi?^Tr zsn>q}M=bD9L8S({9{^y!txQGAW}2vS0X)$ON&+<;wSM>f6A+oT1;n#1R03G6o%2s9 z&DK$JP)CetB^SNlOiDwD8MG`ttw_ubTQ^&jJt!eiLvvUV0>oT1?5w;FqzR^k7t8^} z4YPzGV-Mzl;_)%}<@pr~E9q;nUSZrNK5Nu|n3FHcQ`L?>t6!7{u363t82$B`7ce@0 zg_4d37BCWgL4&E711}s^MbQLB+@uz)SNq{NJ#S=^b$dCeDhpC^nb84zPLdPQQScs`a7BD~2R#xWI61L8HX` z@}kog!6$e>cdvu@JLu2sz}Y>x*S-8!g5hw8i=i8+mc=i3U!nP8{;QDwIA#fMA+%<$ zc*2Ec#wBv%lB_8ot+4c5A{s$~LKHTy9{qSh;iS*rw>4)RipLBZxmeTP>lZjCbx_k> zqEsu`Cqg$$GK8S1&?KW2DGOg@mIKO&0_#|h9$TP}(s{N!K3vE;R!t~O3X9-sg49Wt zfyD)rS?@v{kz@(}C|R~ucR--RHFdCtXGew0u=C`c3>7M4q*czNE^?l7s~_#xn-QP! z*L%EYzh1lwzux2Qub2F%u6*LxdyKzcyz;-^<8kiSi{sm`7bh_H>&3Fsa{aG&h8rcd zmQh`x%I2v$aABUCBpH}-lOW4-Zj9T>%O!=r_=7e*dC^2|HxxpHsa}bJ2LJv*|9HtRSi3&)HG64bIndlTCfmWZWbS z${qaiTb!>aW1Ie>#rvrO3kKQ#vYkGEyT53C1y?g%wAtr}LB4%V?Xh3AWcKVr%zu`1?5a?0l~xqAvK4!_>V^+B)nQv6%fYZc(8uDF z3cbtdzK~!t~@O_jQsy`z_mFNbBMK&Xv8ob$GWZtux_WtHL@H-qGz1M4zej_Q@D3JiG~-(Y#=a_A;JHILD1= zdj8Jwh3BEjOX+)6iCN-))8GZkg~lBl&qSW-8$bX5{#(D8c&1*sVB?u|3j{y3Wk^MV zXWH4^weS{FQFJBDus`f;C8YLU$Ur7TdJ<+hw5+AXibyJoOdoara%h&q(2+1h^abSL z8W2)&b+wux8Pbo4hILQ+2!_alm4@_$qoL~HXvog?q>s{y^n}fDK)=7RV(ga?&yhTn z8TPwcThBwhfP#j6Md6vEr3eQ2Mb`VSJW0_5nB~x*;UC#B^kfuWpFc~{10>5mTMC`9 z^jD|b_mnB(lh?7OMEpRa2e38UJuaj$XVpP6is|T!d>GvwI9f(-g=&Wx1)%IOQDKwS zJJ9H;wA!&boi-P~3YL>WF9?9j6VWr+P|I6F^<*l&jDQ^aBdD0+I#>H$)F zSv|4e42KF0gvGFHgP1A21^lnO)Plc8XLwA|GwsD)*>+e7}8A3M|E zqk{!I4Rg(=R)vyVlO&I=c`4&@77;x{xytZ>=!T{Kuj1-H6ciEiF2>5s?p>Z-)@%zdwiD z*gvn~by_^=Q#n_b#4~m%3v<1ibU<4g5iDX4wA;&TXuX;g?0F|S^tP#?49E>#4J$tk zS~<$08|Y7SL*dcw)1-cqs9`PJL}oShp;8{~CoDD7pT5bgrXClEqwDd(Q5ap;F6TA% z_%emXXMe%b(f#GYI0m+ZMxAkWkTMm2d3?`83^feX5kTjMiG6mSi`+cHJjfbDm3&IL z8(S_mp)g)v&m`NIoCA5^*m>E=vhDdHwFi$LGWFw0?7J>zJ$7_8+e%h}qqqEe`4{>2 za^kH}@QyN<+ILS`OAo@tag%q>%2=(FiT2Eak~G&{FOUWp1)o3tcf&9<>=Gt_p4R4A#+(#Z_QH1X%?U!EWq#WsQ394cY9%UOY74 zjwN#{Ae?0D6me;wCMZ6;zd$-Cg_b*9tIYDj+z$e_fWB+@C)+d4c~T8*0)BZVUcosU z0X=e%0L(V@i0wSqH}fc+58H+lQj9r5-hDpr&&0a#1wolx)(Zj#fMq9|<90%Ag1&El zLE*qTiF)`5=!=}3APNW0aUxisffyqyBiMI2>qP>C{QM`pj`VS)?QwKM*fx6eEAGB> z_od_W!hon|J$9gYHJ83_e#N*>vfCOwg?NQQe2#SfT1s1o^fXu?)B z#LNPT*{4>NM~^j@Ku=zAX;NiCT2VqDGwk>5js+z>n(&n$hJJ+|x-%(X-_jGI50F+A zzrrlrPCgBuv-^YiG?}FoE?El-kN|LM*n$Gxgv&Jr3d~YlvT@By=z_H7B3?&me*DcU zB2F7;Q2tfqUzG5Pm%x3Uj&CBDPz*st$dC55o+FT(FrjUU=Wy5%2Kyc4*2#9r;92lL z!6V@v@26H#(mc<@JFD6f9**1t0ODEhfsAQP$ESO6jt)@V&U8BBTRM0a2E%o&;J25$ zR=9V&8*3?n@SE8vf$OW{TX%^zO5kcJfe*a)V_w%K=+@#1gKjI`3e@~^tsVsKghkfD zvBQ2|wMOW+711wV!)evY@yamrjpgUUb8=W$Bs4G$Sr;oh| zvx03}16QJ&ZHozrc>Pymnks4-8D#J= zP~Dm;zIA#e%oK9kznz54;*DtpMNq#XD3Z(<^^Ir9_nwo2UxT17JSD)U@N{dc_+&E6 zTX_07ndR^Pu@C*a_k3tT3{TAv*5j*n#O+_I$)q6K?=Q&(w?DVt z{y5((uM4L69O9U4gS>^LX!*IuLq2cun4cA?wEi}BE(C|l}`I6nAOx&vG zs13YA#u_5!8F7>s^vsH*GLi6{IEv3DJM^qL%By*1#Zi1x*^0B`C~~W2I4h&}a;tV= zpELmM(CEaXOq|3AnJqalPI3)zIYmqd5TW_VuiA5ZThJn?@R%^2a$jP)gz3jEZPvN+G;DACtq6 zVZ-F>i^=OQ(T2%agUP@2%AfeR-nfX#ahmr;PkoQc7-h=Idz|}+X`B*JhRch{Gs9#Z zBo0H&z$;b59+Sf$aR_HIU#3(xdrTf`oTTm?FSBgTKAdEo0F z;9Hr|`Um({W^}?~8@Gd@UvS+g;r!euzW;SM7co}HqfL+b_81!wQ4B|8zCFe&SId%Q z^zKxZZvr}xv9kkiJjTurxbYa9>W3$0a(j$@B$IFmGZG+18d$cbr;*&7DcnuSHUCPG z@2a`rk^^?ADeSKc^dwUCfucyAU7%Rfxp-L53wJM>&VAU(7U%2BbQswpa$cTWUe1Qt1#^^p2Nb3KkjhdQS+1khhP5DkG;g=+^yrsTx6OM zd#N~gma5K*bGMEkHeN#@deZBLBy zFT%kxN4s_WpfBwBdFcS++y;8UZj*kl6CA@Q={G-N^AqfsrcOW{9JcuhFTLXxp-5zK z@tQs>)sEA(KKs3&_n&_9Qgr=l=nOX7$197j=lXd0=z6w2mS?c9x;vJU!wOpY{E7rg zYhNj(c_p!}Z-4bTiJYEVc_lEGVK#sf-gA~m-NI`TP$fP=%5EJJYWzGj!IQ5RdTNKVlV(MdkOAC{ss&Ykwrmff>cDiB@WpdeWLtOQ+BJ?rTIBES^CK$FodQNFKi9=}lnG98MYnB*Cg_JY$ysacd@KZebV6R@4^P5GC!0K^r)x6$L?qVZVm}n3z0tm4LTDv>>P%qW(rob|6g} zoueRVFbvHsup&js#W@Os+Bw#k>MZWSu9B`7{?LM;!4NYTTaiN@(BWF;Q0c=cf(FY& z2VX=)TcMGLUsBR{_D!Oz6go-JbAV4WT2n%g_<1U%#p$)6%Vzm`v;Za^eSphA$r!qH zX!k}t&vWowU_2k}DE}1jTV5C0=K?(iv*!joCVMd*<)HO=&`A@A^?+f+fL!)#vyM=@ zRQ6BlI04WtOb&nXJ;$=!GPx|gvP;fx(;fK(cj0o8T$dlKWurOx8Z9Ls0MPYb-T?jj zDxt$OW#JNSfWF@6I;R=*NBroU?zxayyNFmw92*w(7#*R|W&vz?D*$>jY*gucXsd?0 z<lfVqoz*s?OvGbF*SX|TjX@?e!jC9fYNd;o*!w}Hz z&_mrb(w#OWphv?VmBjL4A`y^Q6hJr2wjUr~V@SM552)<>S%g%7V75Uze}tlvZS8i)G?kTS)8?@Op;C;nrPvJZ~ZK`p5I7 zlgjHZ(T1SwFVQ&&dhd6={y+X>i;AtfN2tfR6vaYHuO?M;rgH|ND{YV4UB55K8hM>_ z+w3aDhSP#*B4}72Jt%CuFAyr050V~$%OqVe(`R4nJqTi#f^hlA2Q6Jr*e;$!Nv+F2o^U7hxQMXj1*7lM z!7wM|0p=-KXl^07{Y55ro2PL3^Aubrn?LNN8<%MdrW;?|usQrxHmBqIhVAPv(dKkq zjnnbM5B}mu{#bH4)B`NTb|jNAoRCy+Rbyaa9*xuKu&@*~JlSI!wlYZRRM4=8t?j?Wv+|^B=;)$Fm&zkimzeP&TR-r^77a=g^6w zdI0BHd_&@NM9aRZ;5(9sKr7ACl1_(Nc0;W#O)xuRyr#cCL)~z?2G+#*jFPAkYTDKu zxa$#oF~W)xUgm0Z-A~l&;6c9XRB#t!GB9rq+~0o+U4~;g(4TN4|U-F6{*_D=$(-LJj6pm&>P~vLFBv<4_ZRJ489f^3q18*xY z59fBG^*PJQw}0VmsjU6W!Yrq~_z$V7kF%wQ?D+gi`{S$oVY0g#=j)5)_J@la=a)V( z46^-Y+ns-|;?v1lF)e{j_7OaLMrF9YH~;4P`Zwz?(dOS=jeqmp@A#^}yquQMSrO*m!zlLHjhP$UG^c~A+#D5TG(A&!&)ynhk` zm2?F=uAS5MQ^!TRgeS*BguaD; zR}=ny<^7NNL+?p4bG;ZQGmp=EO&TKuj@%0?`A^DyTEe|rY9Du7b#u$1yh~jf77JAz(WA=S&eudE6(Gp*^BmlY{ zRpfrnMKBiliy@_KO?Upx@p69Rpm+XzvE?>O z_!376qlKApJ1POcaSL1M?`Em!TZp3{NKzi|@C9Vp1aa$;;nD?h>n_m--s>;XIfA(V z=lIm;{c4)lqy>AHn-1lBHxG#VG&dbJ)8Q?v5erqsNM%}0bX{piH5u~vZ7a5j`gH1W z>~Nh73EpPdVcIZ4R8X=L#nS}uXxOs~8<8i9sNp~`@sw61c$*Q(+BaUEV>f|Y#%Pgy)Uq~ZP;gh_N@K*o&P9w?MpGEmPS3C>u@+cWvWW3Q^ z(;XkRVp)z;QsOShDckP+nZk6NOj)`XTrvQ|>9hEDnpo)GUEgUW+_W18Uf=xXx=XZS z;MHK@=l#-`{Mcd}&$c~qY#<`EstR0b(g=G|I2lq2~~Fd71Mh0ldtsn8rh_bf5c3?T^91|ltLmX)RrZvoJWfo3=iT?;<9!l&K=408oH z16VT*$ygM9!%#J3X+;TOqa^}dVSe$9L|IwBq=1E4qMVFFA}O|p-%(mp`(l=;TvuV* zfRZg$Gr6Ptt8=IhEP8{=9rA3A@tvi=JeW8Ig|{3XGbpp8uY!NUc~`|e_5cX2VpXuz z0_h>oDzAN8PWgw^Syp*_6b$oU@0n&>HcIi5E}JQpbgAs0lB=-n7L+(GLT;aW6{jru z1*6m_LcUD=BQ3ieuof-6An!pNE(gpIBh9O&cn2jUrr0SH9|3GL$3y~DfBf7r*-NT7 z9iM8gbHrDYSx%>;leQ9jEz&IK81UOm!fp31#$>3D%Yx@k$IbX&I$Oi{vbNGj=-faL zvUi~k$nE>j-`P5n*|*?YeQxc(dF!x^=fCqqf9DTwM0ZROZ$$T#NOXq)-*-nT0(R9% zc_bIYCL#Gq1cea&oHXvp6F64{^{}3RPznyo;R(R8O)_LaJA(G~fo0o4ckUSIplL($ zjpz$YmIS~V%P*NR$4I&@|!(m(GtK` zs6NjFZ6D-=F%K*5(CMTw%!2$K9&#ag4te5q=q$*;gl^p>VI8Ao1i$fh5jm&$w0NcA zZD7kWo?`0lQPdodvN+EoEf<1jAF<1uT+5}CCs0;+^8`8=Cyaqn4s?!d_SaE1t7W<&;x!VVQR9FMlwj_*$Au`pcTYw5T z84PDzfJ(wR7|wP8C63yMnQs6pHI89PG%I77E_lhd3tmC`G@4lrmY4uNAfrypHh{QU zuA-|W*gc4vT2L?cDigq)L|pmD6Aeaq0`?#6?wzElb(Isubb{glxdCbc9@_5H{vvZN zQ!yOmN*hoQxpD`lIBzs>3YeYM$0})??cgb9=8n+@CgrZdk)M-0E(?MYXoK>0Ck%r; zFwG$o6nU0AN1%NcMJ_IggIxJ~666YjetvaOxJ|eG^fk^;>qBPY5^eeEtI1D)(g%L) zzw!^0MoW1FgWSL>phL7Y>7;JDLH(@P@a6*R4&Al|Qabc3D@%0vi?$U*FESSWpiZb* zk&tVK{jOozELG0cE|(80s)DeXm# zZ^%!FHEuiz>Iv?+=30hF<=+G{#VdiP)7jYxYiTY~u5a7A+#=G+vfO7r!rX*f=YXy7 z84m0OS`*lb6zhDj3wP(jlXMd#uYZzmg5(o7(<}FHA79Q2z6j9QeWvoS-TU&d_%kWK z)VgF7B!?6S!{V*^L@#WA%5SAM< zzBEJp4Skc^an}igof%?2RG2swXIO=|f*8vT`=Mr=N}S_FdkV&v$#A9*w>;O(a<*-@ z#Fu6Xbv2u!o@h`(DNV9Ota>R8Dg=%-th}dfChn~OX93Nf3ZuP%TK@eSw5ccqQUsz~ zhV>FNtWqolA9HK;bbMZNtEPJ5FaK38fC|r;6NqOszqUYhz58@dvCuDl)aU()Kbf#u zbAJ|yru<~B{hQGG5sYM>cFd%>2nRKr*j6$?F?C4R`4hGxYG+vk#2o5gI$nB1pF=%h zLY1_sXz|-GldWDTJuAKTIn-I{b(TY|8!C{e_0K+s%E46fVTPs=emd()P43q|c4!HO zjBvF>*qncnxlkHfWz~J}@Lgq=mk|XE42P_%MW*&QMYIC1IR~8HlviSLg8h|ajQT9v zQS7fe(!d-2`^=@%ztA=D$DJg}AlEV9MG6BUNrsC9HTC(J9DWQ75Bx1& zUjM+q^muvQCE74~{UtgFlmB-0<=^^QskyXXj1rSW@OG^yTFj1>$S`?ss)WbnZr7tb zhbX1cDm#P4=brVL9EL;F!NAOj!Z6b{!NFkkk9w#b$nMgT6rrK_`iU0d;;_tVVy1-m zm}X{5ph+aTdpkN}^}S?+Tg>Vh`3~M^LK2`72Ayf{++ADr9R##B(jN6~Hpmv68OfG7 z1*eLg<{SvwairPO!@)V=@nxe-BTx7cy3`4eBKQ$y)b7&AGA+_&btTK|va(3imRVU; zHn|pudJ?maq;?-1hxP{C3cj7(3imE6owCiX(&>p2OK(oa;}lE(wLkx9|Kg9OKwrz# zjf4-y>cASCSP|*y@K)Is#O6F7BEg*uMMDzWha8%o!@TB#{*kAvK_+y>WTnkUF8HSr!(MwF3vYxw!d4Pf4OnKMDmb& zoXxI>yM2q~ud&QaA2SP=Xp7{pCX)ZckG}g|-1AH+ zTr*38uB|xR%#xsMhE#z8OQrub3X5_n8bQ|#XS-e!bj@(K=_Nte47*`(!Z8$P6^9nk zjg~c9RI3&ZRbQngLDwu}uQF<}%O;*#AI%_A$J23dHC$nlb!s#sUJ9fQ5dB}V2wlJK z0b%Vu!OA@6E&mkSXUc1b(z5;7nul$*#V&TWK-L!(-;e2jBAI@4S0V6;C(rqXz2jsprc>*F=5h*Z*JbM<)(mJ>5~b41ya1DhY0- z@0E`SiQEhKKc`Cd()Xh^QQ_lYlqSa^!t$^mhv3w#*>~Rddus3ySjQoUZcTr6TI2{q{4Yax z^03}t9(;#8=@O10}TS)zVE0iG@ZWa|%^0Du18yPu zH7rrXqcYyl-#e;^4libgU&Jgn%qElIJhDrJ^Uz__&M{Hw*T zT+$1SpXPiol%vC4QYS6E1t(VOh|Llg5}HLgKTv^=?qn}&xaixOlnrGXJ4`mu?|E^k zY|Xwx8vtDsV^T^>cQaogpF)iY)~x$clN>4Mwly)eR6EB)TG45i#(g4pJ708I37-ge zY1c}R+3YTL_wxnnASoxIC8Vw5_@yn*!;6g*|(kN(4g~yd?HD^ zdCOJKB8!EfUY8I4{+7vvn!6vcE`u~>`YfuOD-|BCy?zV#Hd9FlJ>`R zZ9cqH-#Zu}WODm+JMCYz!K<4K+}Uoq?g9apUru6Fw;ay5_dC=Vb=EhqmdG;O!5_cD z`FdSS-Cs0#Kb=1evh$bibpFl$qVXMs>*1owK0gfd{qxV?;C%h~^rpIK@Y8n3mq0R| zKX&lvk1xz`;`VAxLEGNARks(7O~j!trr~2O>SC}JjiHO-rWtcn;?gLwY2=Hy%}h1( z!rd2Nl%kQy${Bg2^jb`-Mnm(Smh9q!Q#4#g;^_u-s-t6^+BG)!RYDKD&UW>s(-%)C zr-o!d`$*VutPu=P`HDPI=`WO4bzJ67`AIU9@{vw`Sk z%#WWPK#&!|%ugWTliI%X`NA51bs)GsRagTr)?c3axdQ(BJj`4Hq4z#W1h@7~D;+cl zdN#rBB?@k#u;q_EdJ@<|ld4#_Q*5&36ox;at^Tp-#IEX%tm|*`9jc4Bz5H^*vy)IM zh%Z2S8h6)suFu?$57z#~>n;(o{Bc|&=Zok|z{K>;H1=fF|3F?ixgu#_aqFTRRCt4@ zUB1iCA^wxsz4hPz)31s2pmMck4skX$D8WU@D9xq@B^a>OleUdQoC{fNnM{)~!3^gz zesn8hDs3*~=W~c)Tn^Gh86MJ*i&=FDQCzXwqt-?xw@~+-rFwNlobhYOj|FNjX1{rF6{u{>ns9p#JGVF%tlxV!{iA({-6>8RtrOyj$aF*lUayx4D{Ip}!EFj1e1^yw+*E=v0h75_LtQY)$>ojq$pF@#0JO@4V~I{X{aw zFEM@Fbm!Jwm1(=U;M7%7*HS!1^%Z%E(#aSxcxu-tlkxiE<}dEPDKTE!gU4J`>-v>C ztyMNwIlL9B!J;9OV{rSt$C(WIJTgO6ZgEgEI<0{&(u7+wq-$!1_{$Y3pV|fgFd6cR zWrp3bgHJ)jhC~&g#>tS6A~S@%4M$YpVsvOeq|6ZgNkbvp#G(1jF~iW7oQJlGwRC9l zzswSyOb;^OgYK1j*I(PK!@}SMEuGx0b;}u8u*9QQ=hH&`o6%wAMrN2N!)gi4Fd9yc2PYQVibK6`gonY5ZoKe1o?@8y&|p3_z#Y}&gO=NcHQ;&i8D}e^LA?4& zQ$x$>teD~K(6TH&H=lI#GCMS;J3WoeOUG``jwAEZv70E|Q&$NkdXU=ZUs0Bx>+!XV zyMPV^#lZ7LCxE~UNtFCi4lyu5Gk$=PG5&9&V+6|mh%osFSDz=Q@{a)iv|oB<>&i)m z@nrUI{F^Lwm6!d|(wp*%_VWew+bHkz8suEwDDRA^n8qB&srJiVz#N)B4$R?kBiFH| z*Mdtu>A7ug!POMk|Kq>@+jh%s1a49^3 zfWS!pxT0WlFzhQxRfKD9>Y!fGb0n=G*c=QGO~?EKX?D~A6^9mVwiO$+qvMKT*+HV` z=P1}54ABx+(H^>qP`RHDE!Z3l5ev(TFbsUSzO}CP43SQP$@$`O4~Tp%FenJ9k#VT? zL8VWC#e|ddujJV5|6U%!w&!vo)8s{cAlk(J&`@j5PKqf zo*-t#YR7&Ug4iI6e+9>;b%B$$vI1O!m3xKE6CK-<-2x+QCnF~^sXmmWb!RZb<76-% z`jr=|X{6~OMQC~lDH?h@48>C;OXdn?n(vT-&ViWe_6H_s$y{i~vShAoC(tUh*MQiw zFc9h5+y_8%I4i9kWxpptGo6lpJqbgl()fG~4L9$Gq1P8f*Il9wL$3xy|JtAb_kZNs zgrO&jDw+Yo8Y9bz_T)~Rels*aq28(u{Y;WFo)~Hc3*CN4dKf_ie&TaQ6|v_E`k12@ zf^lG4kr*0RMAHYAdSMoKX-Eu>hM1QvSz}-%29o6~$}=+5bD*0mH2VxeJNApn4T+() zV%zUez)jynz0C_c78%-zoo;f629f8F{0wlKVnX?cGQFDKE?yaePW>%R zPi}Hwms8yk^wy(5P%T=Np&|U;LL&%mcm?g6;H#B1uFa{2AHasL*B4#aU7`(LuLfN| z=d0fLac@lMs;*8Mq0S1Ue1tlyck&S`S_hogJNXE8R`28^)LFe#7NO2f`ScO$Y|Q)k zB2)MI1a7(up0uuovu&@F;}oRhdd_WKV1%TFaaa|@4_F70#AN)|@8Adx}23?f)C za-nSIfWAUB+=bj|*t&_}kR|ubrfLC{H5vt7p8gu)^l-n@ zvKd5rm)2b54#b^^0&O}I@-JihK>dz;l4F+FD6fj``gwacoaCtkgxbH@VL&%YdLen>4C{u;b|k)E(_U8V!n)^(@y71N`_? zu3NSfv5ks#6lo=%9z6^nZ3|2ph3bxvBJnKOjh>d-`OCID|D3khuP5^K*u=B*d@m>5 z^Ba?JjhO^}wk=$ujY+r~CgEM}&-~$^PE3OSUPfL{k0h;nIb-L=<0uM#Nx#F(0s549 z?_o4HHXJbZql7$8Yg4wi?| zP&f`vIwpE+8CZf)kDy&$Q=N_ML{L)ubIiP)wi-+!N5?2XcJAfu8a8yKPoS0c*_z%3 z#TSE?+&nrObd;y5>CW&K4HY~*U2_jN!XNzske(1|{|T@Le4Z=99znc7>s;bp_7JN_ zRe)_WTKkI-yOg0x7et5UqZE3n8-wmFD_}-Nc7}zronc|-JRqfhR?b_U4Tr_&jwu(N zoZBBtv#guVsQ)&9+v)s8mghFb*)=Q&pTQq^7t7o6?RJjOK=FCEA^qJakk44>jBQAN zHAw&azv@k&u$5=mM$Tk8gRMLpk>ysNo!k?7wHV}&v~{AD^NxWyo-SsGAl$cd0Z^Wo zXb{PF0%mr0A!#*`uB&%9G*#e90!0up-lTsk&we$RfNg1x$C>6h{?I%A`u8USK*!k{0bql;uVD7M zwjf5=mI1FM7PW!h&pj*g0sBk788UHnT9dfGL&Q)TGO)07#6(i2IgkrI?9fP!(QR6h zPm*XjH+G$FK{PzCi#|m?b*9X$X#gXjtd& zPf`egXxSoV!Zk7VG|&`oO+MDm5;O+t&Uo~y3LRFQrO2_ucZr!;OtLfxzIsf*@<(4J z00@Q>=4-iGYQ(aZ1CX6V5PvTloF?JDr~3;WE;hyda8E`1;QH+Zrv4VYp4{ZVV?H00 zv_+{K2XL7jz%4iea2(F(^TUn3Ko+w)dh52buEW=*H(^_MiMA;JYNGt_f95B?c}2Gs z2y~UOHu1YP^my)B0)}<|K+Du1e&0iu0Ko8VTgmxF!(GkVH45}Qfl6;kI5)$gM=ui^ z_IRaA^>k>$xfxRXE`{2M*2b47L&CWkb_ev15y^inB1ndWb2AKD4V+`Ww_D5ymecl2GG+0l9Y421Gin=Jwex} zj_o8R;3A$qXeivk8#Z6xT>H99v|;nrVDpE)@pa$zUoVH+Jth}Z>{3Beh{wN=5PdLr zXb~Yw*wFA5tY}ks9<|XtBP=^Wtc^%YoW)~oNDteW- zPAlW&?JU@ir$Mz_+$bkH>#~{TO_$34DV-1(nvb71jJ^&Sy)HQ0;^*}Mu*J_O9KP|S z7Wx%oPK&<8^V+=n8`oqQ;4i=F$N$BrB#b^$SqG+7Bv&nXR0WKX#0aYYum$dRhjMj9 zyD$u#bTTAHn_<_XZjFX$e;Nw7lOZuW8t!^P6i^K<$J?^yI5FA`L&?1G$7rNZ_)9Ai zqs?$QkPC*x0ijta4@ibSOO9D0oUDu$D}1hEMPjtAi0_0_Je=bW(_Avcb5N3iGp3n6 zCYF6&1fq6MNS*-dUmlO3ct*nEtzx$Y8eq3!xhgz;;uXMcR>SO0~de@!Zu z(~DtQWWxpY1S5a|)*&onRou3D(?3$;U zS^BHfHE71F@ZN+FJbgvk><^9l`5jez>B^dXkVQv8(7&XzBP2JP{=L_PQZJ`H_wa4@ zY4Fz+cDwLdu-uLQD$HfGJb>SxvY+}l?t^%F#x2+;e{9mS`_JE@H0Y({ORv0iy!%!R zQI}aB$2$l*bIsd0*rGGCP5w}LS@7F7r{HRwfZf>{Ca6-`}b4>5jJwPgYC;dgDiGBh2ysBb)j z%I>oy=v!^s?c>XLUn%OtKIoBtt1Ww+BJ#iZ2mYmRczx=Y!6k<$>$;>7u8wH zEn^-Rn`hV;iR=Sad9a+&rRSOL)gtng(yzd06yLd2k=xNRbKLUkZLL-0c6Anm`iSRC zBJyZ>UZ0rc1Dt#%cpp^b;z~^B!Q~xm4zsXUmJ;WQ&nLpSV)9D_{7pzcnpwrb|A`n4 z7M?0w@b2`4caLs*+uh^y>8&->+s8c!zqrp@+&0Z@23xu)AT8A#` zL1GvZ;b9A^XX$E3efYePyvIsHE~D>>B$<=?S?j`V#neg#1ExzsbtWWl(GyB&3@m^5sZ2OiH-}q$kUsDRYXxwOO41*aGR%5{f%; z|FGP(Qfrnh7vWb>Z86X$gq8mZYWmE&{6m~ICR>h1KZ%&~Mg(_*-pQl!&G~iWVh(UE zvbMj{AQe-_Qq8hfayhZIq%2&`G|G_Cki_kPhO3WsYFN=a?(FU_IA7czam*U>>1P#* zi?>&Z#hp4_O2{{L_!HQlB|Ks_z)h$ss|HoSmCZ{krYE=D* z%L4u_g?{-d^bPno;J4%zg$WlC9Gyx*=m#pjZ-~k9jsd8%S+8VZdY+O8$RG5jmyKsa z%&`iBJ6w0k`4{}k2Y&TO&d|Tfo)<(k7txF^7G zmIuh(g%H3O)M^=cI!y_uqGhw+!;;c?G;1l3?k~^mq*Y0R+L}&}SGI5i%iu3i=srU| zUJqZc`0Uvg+TlaXXRi)2qb@1;u%^F6tca7!J?JW1O&r)~Ka-DG=b(s{OaIIEy0$Xv zU8r*K*s5~y=)`{V{#8o>jF>3@VpbZSZ}@4YxLumPIif(PRzg_%6&f?7Tw`cW#UhmH;Uh%+irj9UgwUF4sThT+&zEd zet&Me{h1VVoBZ)6q5|I*8qlY1a&=Z1fUWp03Ip=ZwR7sijlK{t6SlT)G$tmG&cOY< z$1i%z?YF)?aoB?LYc%I@ELL11V!u7i7cLP^AIBw9f9A<`ZNBv7@BLff@u5#Wb>j_B z^Vg>toslkJ-?6A#ip0Z66-y{x!WH*bqmAv8A%W8jF_Q-UZvgOUu@=e#%y1D7BQGAa<0Ua3 zEkat{-e19!7bc2zkFV@)xbS^Mk>RNDC{KM%#bt9(emGGXiH}CBS;G@?vT}P54a)f^ zq=EMairgQMcZJ`d+X-4mDFJDa?)T?C;P>aY+aKqfO>GA?ks+nHRDS;)DRbP@FDJE4 z6~E#9z&zZS3y;PPz1EAPw_5NMH`A*#FdyFc)PMNe#K`N&TT6l4knRxwfIn~c(x221 z5@%o@4fi0%B@c~m;~FG}w}Pt84AHEU@;9tlwV{_3y>VB~w2nbXTohVtYJhHZn0T&VjNeOC)Zapr?zC>qC#sHe+6%mcuj#BlXw(zz`0 zE?{iM<~-6RL`{uErxw*!ULJKR<^J=9T)PV&)Dd~A40$AKNv*n&jRv$OsKO|bET$V} ztLgYSq$x>n0B07{2_fK-CXeX=L`Zh0!xK5rK`O+c8Bh~BvzSgso%rKVV4M3mc}xdC z0kz^Orjv*`4I6jwBBsNe(Rh8s@bJSp@gRHQ&O2^@DTv4o6(1uiuDe8AOt=0Log)l; z|JVG^AADOvMfD=bG2Ncfv?ivLk>X+~-7bBo=3}~4>WvcZQlRW(x?U>0CHQMcI%*%& z^*c7}rlF|A$z55frg`eHh0HiuTMZ}2hbAhTA((e|LB)z_sZvIXe9lEfO=y!T-;DxP zaqrEt-?fcuy)h6hS6hRKO+hvMj0QBdP|M)y^Zw@#4#|SduGrcFPWvnGuVY`QP&NIV z;Oo^i^+sHV|GgRCmHfrSTmNp-uxGA^G%Vcf8{|6O(d~CTq3`W?Cz3SqqmP(IU3ZB# z$h{iK{ml>g>kG5Qr_#Im5tnJ~9fv7>sY8sVkT+I+KK<+Hx>LGWQZ}l|l zEZ^!O_hI-}0k2(Zf*Hk8hHmy(3}5w>EBuNr67`%dJ+g<3L^sm)2~FwGaz!-uD!Eio zx6!Oj1ME@{+lPD}{D|iL|;EUm909yGo zncI|iP(NgV>;Lk-)W1u%Ml5U*M|M81nclNi^=OIjwSP~Ra+Ge&_9hGN-NA>b|>o(=rnUb6jSauYC-&<^XePhdYmuQPEuO_zq#Ml4Aul*+p zZ&lJ}bgoHE(Pna8!)vHsPPeD#FWOd|ZBI?SHA6JSCiyzu>ymhDh9PZ=+!@-7mJKY4 zx6!c1L`4EK>;m3~w?dOHGwh+@5iJi|VurM7G9=!bA!NTC7=;xNO+e9PD0pj@@B~;o zO#N>g=07@1;;mWseRU8FM}D=CJ}=@e!AU@FJZi$QPTrmhX$ATeq>mnF<=@K^U-`!c zw2dcoa>j(NvRL&KAa|p4cX6EaRaW|*zz~;kWPg|)3{dJ0OSXm5*6<64Un%d zAg{Yb8z5f|Ab-r){mjud-cavo6ad#X6|M+Z_tyO)xKW|;M%cQEmRrOy7&fTy&B8YA zr4}_?x>BD3J zFoJJMhW6+tQXbj|z}>D!{uMCWw|!`4omR{P;0{wQXgL-#h5V|0?zX}V4+((L#ypR{ z7Xg_FcP}>Hkga_d?8-kqk^oqb1h6$v!2gx_mKH~Xb&7VUUTttpg^>?g5zt=4#v9@O z-2nIc0`9s?v;pqb0PgR5&sTo!@3_SJ+k+Cxmsfv_Nst2JOZB%cYG5_EgkFj8=!-Ue z1l&QW1bAd+>-_ABuC6#}V!8hIfT_+nM+%xIYEW6HXK^h`X`y4{xGB`img6u)&}5be zjnh%*F;!LTXIF&b%B*Vz@E0FEtG`85O`nAEHyonUG9xIe1M7tGi4cdYoGP3cJglt# z7E7{~2-oa)c}?$wIR*mJNe=CO19@G9?Sj{#HWL)u_50`K zG%H=1*kNdFO{}weA{gXcH@6eHjl$Zz)EB{YrqW)hyu}yhm(%K@#Fa~3?)katb#dP& zeO?bkmtGLG?h~&b}VK=Dsd7^_fpDlKdSf`N~9=*Y8?HG|hjMrgtS~bp* zb*~Jly_k9}2offUBg-=%#R@29p=$wh^P37)p$o(a{cOqd@BEtSUGC@d!V_4xsjx1) z9_W0e3-|CA6JOt$c-3Plh9}gsR`F%*NtdxPeQl#0B0TmcEBj+ zlK=K3G-6Duq9KH8kfO~Fy@+%~2jb83_wH$m6)#kEj29{5Gw=zfi1+N(Ltx(X_l}`Z zhp)Us$__X6HzC{nEAH!XuT0Y7@;QF~0`3PIej>O=!_JX5&hzsZ@O;=|oR%O|vTr-* z=Pw}K>%>*T4FS?3za^wym(gqk^8eziAVD$8iD)MY6}?@wE$Xj$Qw;EK%It|&;s(pZ zfa#`k`!w1H%ioYf7ueBJl!jI#ynm4F$Zxdu_s`MppQGJB$5Z#uktNh}|KQcb+&{;7 z|KQaX-9K+JhiP;JZ6pEPeLVz8P-d`X%aU@57K^abJ(gK5wSQu;*&;#rj}=+ZDy^k zUby?>i}`5JRB}${#eN?*qM-fr_+BGyj)m?dsZpgPA5Bci5DxdPyQ%}AA_kYNXn_w}{P3J=@ zu!1)?!J^YF(B(N^C&6NHX|@`H1j(0Lf4&J816o8hT4;`~V9jt#`q>Cv#C>O>;xtIF z8AG*YMUum?aES=|0mk(uu2#B4oCcQAJolp>-K4PBeE8r0vLE~K$Q3CVl@$btj&*tn zME7Vh#?T|6R>+DNhM~_UGY;rqzU%j8CvTsiXIFAW++x_53Hq#p0I3V15YQn-`j>lI z1Vacr^Z^V`*5x{nMVce9T`HK&xia!h;qB0t2Jbi8%Nws| zB$QyiY{BD5+o(&Ly8u(P2N%LCykU}wf&??hESwQ`vVXC^X$M#c8DyjJkm_OGz2I)`3(%z~BB3S9TeY#Dvt-;GHZL?v6-x~IDenb$b6*VjwFrra zJ0Y|ta%;M~`(oHZ!Na3NOM|>)es{wMa7VY{vS`jf`W=Xf!_Kqs2F?Vc;;4}aioX-3 zw9qVDOx6v*Esz)G(cRsBfiC{4i$V%h?pgnk0{xQCGjzPb-Hnk4WhZ?Rf5f9(-7Lyx zM>-;rc_d5n$)G%_Vk)&sdw-2E;(#+A@y?4B>FmWDFq;vg6CPJ79Ow zc!sd4FPe-YYzl@@w%z`Ri^ey*Y}$(^`}|Gu`DNSfkMs4{zv(ZUj8bic=yM;K+iri} zPiYg3Y@51U-om%lR<7A)OS%RRk_@m^0yV*t?;V5Ct6GAxtr9by0U)HAz>4(gP&!)KLaTgkHK+A3YL!iPIM{GJ5 z9um?3l34hh4CMhBRt%L}cw$X78j)N`Fl><*6-Dz@{}6d32EzkJC~0Th9sa+CYIoVq=U?JsoG(o8zxzxIxH_&pknPOb{omJl@c^ja!u z#xOuczksoci3=Hmf@u_n5Q7p%1<0A2Y;NL$M^ID(k;UXAs1kb( zKEiX)dIarIcP#BqrfQ!(f}T;*96|Z8N9z=ipt)oU^rZ;P@o^@`J$T6!D2Y9*!->iR zhBf&$7g1U8R;jnZHk_~UUclxl2$fx~dYYe+3E{8L?GvW)EmZeJjP+%M0dhnVi=y@gKH2{PFD85@YvDpsY zw>8p$pj9zuHZbk!(8T6w2t_#D0vG}+H6NG^iOpt+GHKQ-vq}ohQHnzoo6QhPM;2&t zMNCjC42jKVh>w6qVsefE%EC~xcV-DkgT4zt4Flr*V`t+W4%Lp8$}$)uBqPHj_{s&F z_y`4ze)*l%rfSSS}35)PcF}2vmB~{xkIekA=Ocyr@uZO+M7zAuiJ4< zbn%J&1p=MH?ky}4qgJ}G%&w41>|u$_jpmEoXZM#V)Pb(_ap7S6oGRba($=pps7uLE8$St+aGE z@C6D*mAgZ}3~D60pSI@u+bz?}$c=Dr-JR}q?(OzSYVS_oZ?`zr69BkCN55ZJRCdrM zo6p-64R*j)oka#cbb}ovmNGI5wR4#=M)XuZ(ZpNDIbAs4%xQ&Bnvro*9On1uBQWhR zQ%=)n=~7bYGw%`>wR5aC%ak!!T{{P5kXOb~sg!+wNQZJCHvjyna05!E8-_}yOc|pk zaEZ*6?d%J4U*{X1hO~&=!Rf`8o9udvx+AB3K`;l|QF3~3!QX~V$NhtZd#)UBQ^zlSo}YR( z@Q3{@gU3goee8K&j?Xc(j1Jrs-<9!)55srSo0)8EEN?9EUFMyOr_CKqJ1nmQ&o%3Y z&-Wpab8w#i)KYS&el4wqFW4|hwKfUiw*1~g&&1jM9%}da>yES*wva82v=)+qpac_w zX9`x~rlJnh%Wdq(u<=-1pC@1EFLEg9aFE|S<3f7!XQ2XLU;6sHj1-;T_qx~a{gmU6@`4-k03msdC&$nsO-Ns`Q}WOC^!4qg`$T4dj1Pc)frn2USjvj|2 zOsNIaKxmC$)@wU<9R2-6|2m|B1ZNZH{oJ#T9KVV3VM=8|%_CP_67D{`)1lxsB$mpt zbVYWj!88J05#=Vuhw90@ULaZd&x1BZvOkewAszH!?K`kpbu98L3Jyf>1fS3Pn znFe`1JsCjW3b`>>1KLc?95w-jp+W;ogeI7U1%@NpvBI*g&d!auf?480M+3wZb zmtckiI&BE1W2_#+^4ySKLNcrv-?M8kX4V&mRArhW6u9gRf*>0$Ldp*#UP83oqpU?O z(b73v@=Q$iv33m9XBx*Cf=Uc7*jOt>Hu~r6nozDW-_K$`+~-N7F0@3>_z2tTLJ@Ia zgSyag>n;RwTb#Wgr-`Ew4xqrb`Xe1%Sx7rL+CNB@$^qT@Mr%?gXloA8kHRCs z(UE5#ttrZNTeE7DNKwZk+0icvzYSL9ZwP0-&@+LmfcV<(4r2VMqG7{u`-^C}Em|JP ztUOnZFlyOQX!V$=K8K!GPT3{4MGEH*D2%kDw`Du=*+>IF+-yCZua};&ek7B=Nv7Bz zpTF&Nd|_hTru!=NdrH*RjYx(WXWoNX z#*+9nBYt}kO)dTvoJ?IGt>>e4d_2|faTZ3?oAOGq6O3~4&$5}F#$tEJRlOYzHOt6& z>y-4&rAEZaO4A99dTeY}t_YERlpdt&Fx=}K#$I2HU3ZB#jJ+C+{hNQ~+dk);QeIlg zi;{6h!Iox`4|@cgf;NHWC>dvT83x)=goS{(F!YS`(4#>(4N)^D)mSGP=Uo+sprItJ zq`c^C|Vv4VBq?z1Ec}|qObB<#9vwJs~|?}Q{&>G=$dHvn0%JTxh(3$ zXmB5G@xA{mP#Rh+Cr&{A)gx}g;cLMips}IHA7$hnAuisS z!youZStmqzfMB%!4w4c|#m}XHt7P!eIx+)15tRD_7Jw%r@dTJGzMuCHcz-yA#QA0o zm{4wgPA5q5W7Bf_^&|#f+>s4%pTJIz;Ssao6K#NdHGunDKkGgJVAG>TDVzsfOsd-S zXd@clkXcZDmVr-LJ@ty~I6Ycm-(AQ`0QB8dn3B%sBne`8K-=aNctF;#Cn2b0*f#{- z5R?x)wt*D6_S8gkk-4)7c~f-T*K_~w@r&Ma`>k((J`xvF3_wNqrbo-fbwto8^wJTI zn;z}2CSe5NpsUB?t%QrpRdGz_FNBbbH&f4^7k8`fU7d-tt z-}tL3SFRVs^k{}^>$T;WZn2`r@(xY)rC;n&H^M6WLz7C3Ur${((uQ^D+JoxR z!duK2lYWktHjQ~o0H15iO))-T$@1e!uH4o{f*fbZ&m-m3`8T8?QTXJsc5djKL94yp zc``d-YtG8*3;ddA()sjX#8=Vswo`gQb7!J?m{^dQ0a{o# zJyH2<%H(9vLvWdzmqW6@#fx~f&TsT?<4Ch~66uvLn!U-@W+y8mD~v8Ii9%OVyQA<$ z0OuHsQNvnr=GiD`848~7;6r@$qkXuBwMdd@9eSa2&vFI}Npg0m^&$Zz?U5FDA9!Kt zL0JSq(MxPhCEH3K2={df5-U@%zbH>AWRb@yO-_*UNKLH!VLReU4bs( zikQL(sPu;7P(({qDCieCRaW3NeM8eVLMBa?0Qdrgq1gk;;m%B2`i4Z^zGqJZoM)}2 z{n>eX$t7lDv%c~FXYXx*Zp+fLur5gf!=QlqDTEnv0}>5)4mtbm-vb!Y?hw!ctM0T_ zN@8Dnrf)NsVNPGW+W{o8WFi4eAvlbw5-E`JHw96CqY+|+N(@z+h!U$vP@t5eOiG9Z zQWXLf@;vXm-nHJf_C9;>bMN=v`}Jja*R6Bbx8J?i{yY15f1g(p`>Xt_FM>ZI6QPud zt_t30;UpVY637eg`m{p%LuBbmKwNwiu@Rm>pyR<*067fvIoKa3r^y@)Ng`msVf{pD zVwvk8x`4<2B3rO50D#H{EOk3b!n=1hX!8^n(l&dL#!A6}(;Xzg-tRBQdrD6fUq{O4 zdt}`K5v0sjfpmsTTqRPdk`6~bYzh0tk#afqughKAoC)hK)hl-+wzz(D=^|0py*KIH*;6llq2`CT<=KLX0 z0Vl!CnGkCU+G7)%BRWa(rowMQek4%n;dFW4hYFkk`31_H2?)3-1wyy)K_TcR7jZfQ zbb>}hLxIS^pQmuCEsXv4j6*MhqX6*vz<_Md@HrmFWK1AiF%JjmRPz{JVzXg3>~l$2 zbdV{S?I2S_M+q`ZgC4FLV4mMWqCMFT-k=>}yx7Zb|Lewh9BC%OaSl>qcqH&i+ux>U4k$LFapyiRo;}XmJMO&2 zg;f>&uid<&WW#~ir&Ah{E z^SSl;NVxrRyji^g;QA8urOM`JlSCIYF!9S0Z;mE^Ks7+I9-KQYJADBiS#Tv`jBn8P z6z1lK7tn(Cv6-LOV}8E%_rB$4ek8@Rm59ioEzlC(27#J7ijPOKywJBAHicNW38L!! zN%dqo))fpoKwCROZO8YR9pi8e`FvBie&BkB6LZwIM#_ofoXTNDNmR3oisDu$s+eR4 zHoq|EL`N9v9#L|(BTROOW;??8TXbC=VMIBZ@sg|Z5)$eHiS2{Tq863HD-`kvXOqkL z=5&WCEo1d&d&`)bulIfzp>6>7;|+DA`aa{ch4GsyBS^K&518YmemoBP8&zM}ZcY;Q zBC#Jv$O4SYO+H-PZZ7<;7I1U(tgpw-EBCCgyG5I7v;G!c;#vQ!Kllw_`FV?~P2Dqa z%bsuO(lV#ofCgTY_S=0Q64%4+g$_i|y5SrW+5oOhf!p^X1uh9@&*QzF~x$kQq$2_7a1J%#lDkQ^Fm_~sWE&$>w>oq#uoY6z+|iW3)O*(8zh zh)N2P9QV)5R2$5wIgf3HJ5|o!tOe!XuXL8dC{*g3c>=Tk9j2QQ}%httbf%e z0u_M_GHt|`@SnO|h{?+?bnWXz=Fr!fY*e^TIGIbo!%bqOHh@C}4|8tJ-ZcD2H*B~KkT<2 zQ?y>;XXGvscRh8A31IgIc_ev-D`G@UpXn5J1F~8|5qj{HegltLLr-$zGr;b3ibS4| z&;n=lAe`$IRVVpsXo@Sb1uy7z3XmBqO&=5hDJJlOUZ?2LH!ol{GVw|TrdK$jI_e%3 zftxPJ|3w5IL0ug~0JcdG5GJ^d`I#_Iu3P$KG z;~e*NJlx;Gt--8)!biT*0bQmph_0DD0vdg>fW#dPk^6sn$RRwun_Yf$qv`8z(Po!l zk6r$z|Lyx<{B4UEnnDf>cDYu?0UGNebe#*gx3J4WHNb{*q$>oIf|l`{;TL*?tm z(Ef%&QHj|x}aI5$xKv!aDl9A1m zwyPXWpwpA@j~3Jq<|-T$YOdPnhND;8)MmrcS6@86cK`MJPhseO!_g;6#q$GSd;Bdw zwUm|C9^mE_Z}db>G;LAKAzPo7RwH<=GqNhor#t6aX|w5T#8F#%HhqmaYJ%Nd`WkIf z6MU5PHNlpt(y2)ZJZnBCNSpt59`J0vD*!tKw+SEZe&;=wYD0P#Z4ve@Q?}vK%?g~w z*X~@pGIlmrS(POT(SAKX7=C|_-Tp;IH&f!WsBh^~ma*F($D5JP!$qC(xoF9=OM#n6 zT65FM)k!9!_^&TF8N*|{nT$8rWL$TPHk0vsOvaD>?>_K5ZoldACt$CII&|qqOc=Ih z*E3Tt$HWZkKn9~lQr*5T`B6O7&4Q@k#%gZqFXiZPLp0;Ctj75b>=BDrv0h zYr`_e6~_w$(wTBjW~tfg=*tEw8b-y*PE$&HMWlm2T3pzy;~q)+8aBragDSOhPMY+# zdN+XZjYcp^&H{&D*aRnm6uw5ux*o*c4T8839(I!19RekbN>(8LD_8foh}1C>@b0E0 z{XLphaHKZLj`LA894Z!~RA{&t3HmZxR~#;)kCP?Ft3SH9_qFpcsfn$O`mq`WMRG1|V&t0e&(Em8Qw~<)RD{dfmxrkVfTyegZ0O@e3NO31D8EZ}v zlX22~%HnnYf;}-EfBPt;6dLtAHR9;5k!>-=rRAHmm8enG-C7&04nKuWYudCXAJ9JC z1DKI5=GQ!}%#VEO&;5Jfv*aK+Ry`DvRb^|EGsgP1g|fA(MuT^PZAW(BT+zr;IWW

    j|1#^Ze1d+QBzzSCUA;fjC>}AcXw?+(8JwP zK0WGf50aqXrP~Hi1u`Z^tGh>chh+Id^6HU*AGq@DI4^&GPUZ7+dNB9=ob35IfmpV9 zB#NOt9VhmNFE+?9K0jD*@%h0lYoDKY&SVB`3GJ78IfPKaISq=MJ#}I@r$fo64^IIs z6X#`tmm_PL3jzG7Qn*IOX~DXoYa#8=O1msv1%Z5jj@|yOoL2DJfIU)v{wy+Vm^<5nBz*ru zb?XjBQ5Xyg9GWnOwctsNT-DTZqf(oBU|zca^2@K^fA2lm)FFNRrF&oc;#VMboc~wJ z{SpN&k9tWiPB>zfObwsd&CWL8iw7$01JjBw>E4syM#(r)CYV|cuD&bONTX|Wbj8wLnU;$OJauWg zOJL&Vh2;Pxd80MX?aDfX@YrZM#vA^wu)pEQeaBaS)!#}9jS8hBO|=H!t7xhR`cZN% z!T~9S|RmlYrykCC?{9?7ZoCEDXD9rjAB5=E3>ArJSb8|xQFrh$@jeG zU`q9ozlrQ*res>F(2I(>bcQo}5hrol^w@_~W`ZD$w!lVI=5B1{eF<^{d0zr^DK(5> zu9bduE)nsGEP$D~?=0}22lrRe&-Oh@DVXSQD;kN4+2xs#lG?fzw}@!E@)l9_N!%ib z{)YYOGG`Cd_!e=80RQ?GFZFbb=JYRav+J8HS!-_5C5!>LzTxLT`vVEcl^EH8-00)M zFHdG3z%IJCsF@u$1(#D41a}$yJ`@Dqo&Z%6B#@gR+UseV8=B4`rGSW*1ZlUMU?|)7 z4x%JTAUDC_a%yh(O^d?8d`1Gf38KD^O$C&XVb~Q(s2%x13Xt2JU>3qugy%yWJTPuf2{lU+e}%R~M<$QZ2Xeq& z@^dZ%d4$cF7Qw%i{|K5TgeP3h0bE9nc)3nx$%Aa0ac%f@bL=hU0gTZ;;Uv zuqk0i!#K+Ipn9H+@-n4WJZsUCepnLT@Ia?`V)j1Wz&W?P*Sz_!oX%(6Eh4&Y;Jm-J z<`!K7&foP_|M#bSMxyi47Km1Q9~vUw?!YMnaE8wijb4?tJ#4agkme*ID1*uf6$GLI zD15VCv9g08oayxGW5x<3G=-r2^n)OncS#q6H%YS}EiG2I&8P+}{g{a36f=sIZO2&Q ziPIVTz}J^fMy%{02o4{nJzz$}q{>$}zApFr-6q^+n zl9KjrbArWR@->HckE19g#mWwnpa`nqHGo65#d(yH;sY_s!-34lknE15YpFpGJ5JP~ zsAMyQ&V=b2DIac-jDCXw^`DwVVv-M`8VDq(z?q@7BJ~4Js06yp_-Y6O3ngRFMNVkz zYl}~ProHCBao>4!e~W!QQtU$tQKEH6Z7$nZdK zO2o}giClM!HYMVEl1e`CwIB5j-(0Y6>b5COBVAK?^@h&K6Tp ziHMSOm7S6~VUmwh_#kMU_zVgRmtjaYRn!Fk$0 zebbp13mnQ61|Z7TYUc{Ae89Ij@Km(~eBhk>E)X%1bYz0KGoC0`uc@KOsoe&T)CygCzvQh?+)dZ{N^^!Wxc!3)TCLx-Ma zvfTlBYB-r?vfbF9hskhsD~6-8aJ(HPlps(z@cLATUj5@HxynCo&?)G)>=`%l1fPPO zdGee5$wkg(46|H6&({UmVxL5|ICkl#3!bpxxUax5W1Rc7tv+OXj|@q(`Z@dat|DKwmpO18H6Hmt>{#<#bAzO zG?)#>(6xoNk!+l|A2rUS!EAzvfS=a~XfT`LtUe$Hvq{1oh(|~9tF@Cb+944DvPE?=oD0&;?Wq@-9ZlMe4fo+3>jh+=Ak7ztYtA5EM@x>GGiX z6~)NI6TgA^&5e<-yG0wAUk{kS`SWjo(3$*N@oYtGsU-ZsRBVLY>O&~!N~O`_n+tW> zeS<7@5rjEimaP-aZN|Q8Xj5WFc+E>eg1HHHhdopDQOpJRUnwYYw^$P1)4dKs)Nhx9 z1aq4aN#dAD1j&`dqOWu^1alKab{$!FIODjqUh?E^X^rFKWKiB-F0Fa;CfT7oufzaA zzLQfi!RVHtXOKi}RCC(<<9<|JFDAboCe$L9yP=2;6h)(2cz<`=ZckN9Btr|~bmp2T zZ>u8}0LPcw#p#;pS=y>u%AeC0vh|@Z;b9JwM<~eyvKdz(A-`K#I$OE%Q+OcBuDd z5t!gmsI3ZlZx96Y+*~ysyx9tZN2Jb|C18R=VaC?#x{k2a%Na#W2!cKO3P{Qwa5!Z9 zg~NxpL9_&$v7$EuCO>!`rQF=tzKNC)1dn@E`caeVdp4@4Zy?PmT0#&E5d>%ndu74# zf_XLFfw2fd--#MI(GqNNE#+g4T!(9)FRogGN%rWtD+(9-Ih2=DDFlOLtUjO~rOg8E zgf2w%(pyg0HMT$bel}%Z0D3;SKjlG~^x5mGSDrLK0p?p8-4&+MNuu1tq{WAabp!Jo z4CeZ(S-3?Tm|qW=|ILs4=Wp7lD8KR)n8_bl3sAp~>Ttn}1>Tx~`hc}1-kSD+W=90d zBa+j_?hcCqdI{#XbccRIYJ8%%ZYfAGkAihi3R|&K_oX1gJPIBwHX)=Sy3H3$6UOAuk`cr+&Tnv6HEo~sJv5?KWwr@Ig-dZE4xm4lmg~9=Mh!x zdg6yxlJERs3YeQD+Wk_4f_Yvc8g7Ht;ndQIUHa<}*QAK85{S+MHyF&GN| zA6PsMTcMDOZR{KM?r0>Ib&}n6Y!FKoyfTNUW}w-O?OqDI;S;V81t(abP!BHDA&^v=zibOw@!C7a?FYuy*oNIs)=vl^X}+9J81IqpoMMj=0zx) z8EEme4~PP*D?piPGsku2j-K;{XYS~B*2V4bPAI!SAvIMz!E#@e9gxle8D9?M`?PCN|iyZhzRdnf4&WZT^7y%((rf^4;x^>hP@D z;6Z-eZv9-}zy-^uli#iBA4sv|=0qEJWZ8YJM8~y66X46$lki^H)RWD@?)m-KbN5p% z3TQ>R%YW%x{{3Yux!EI&omMis^J&~TnX0*!OvI;6J5jRk6Xb*O z3oCh|o{X=tFh3_ENiAjsos7DA)6%(>yeIlm;b}w97!zELX+)INGv1r4Q{M%DB7BL- zDt}tyQAx(Fe4Cou{#J;d9a7#^b=w1KpPQAO;oN2=zjpuig4E>Ke1DSgvN-c{H%G>1 zC0~z~{E>fU_}4xmS;=}c%t{Wo=5}b74lFpLY^v~>dKf~25hz9#ufX-4dv!ewv7Srt zY6{pq49#+}WH40iH8j~a=&o8UO?}!nVLXO4p4 zAeUJ56vc{I$tH>Psv2ugbF4_5*CgSZCTlCo&h{{ai_;M+*(4Ds*H$m>zUj*IkvMOZ zJi^(|6KbbwwEgYr4>)CA9UXM%CMJF;MR2lU)N(Z%w_}a8AS!=L1=Y7R6u|SOGb^z5U?6u?^AK9}s;GB5~ zbJY9RVeWzW%Qn8g&L73Z$n66sdX1uQGki{^q{f-w9Xp88sN1!W@=!RF=!Y$gAg!Q-R5?A^= zKjI&LvSUrtGHCH7c88y*un2VLVF+>wy#Hf94~$mDHIK~zl0W5bVrA_5=KdA zE2OCp;qKL^eBu4PLFoGT^OZyBRvdMD>2BWa6Qjr02lRjX$IO1Wut6FX?d&8-2sOdko*sly6P)eoK?pU$Zmz8c zA=CsPrK?87uV_G)P!%Q4mIoyeng1p*m*xAGYYCdc!nD6n&8M@RH5bhxTz=j{`j5Ar znAnt|fd<(f8llr90?qOD591P^_kcuhedhS^XbVg2X#)(}d6T$%6%b_hi&l|Me+(_oS zTeMk+>$Q(dti$*H^{@MN-}B~Yo`G{FkyDJULv+9jr9xH<6BLpFZDvOH;MtOpC)ls{ z+QK;rdh4)P1~0q}n+5^M^VVUHxTJD<^eCPw1T*XKP@x^C+MA)UCoP>>huT2{OSg5X zDN2IZZ5^U1s5pj)rWP_%Zxo9Q*{&p-i8CEcDxV5|j#YN#-3Nhc6JHdE@kI?vLqeh+ z{upwF_${GiE)&ekyAP1ptb3ijXE#A=*ch^xAaAbSBqHBz`l-)C^z4xP&P8vL<0h`t zVB8E)yVTaNTWL$0U3xL3OtKOZ6?g^k5Np6BJs_S$Wf%3X9`thM!>M2#=45V}dPBM< zG>La}y61R@Q~@LuG9r(T;^QVk=1c(q4j(^PIYC6GwM3l@T*HVkFewJSfN*qJjyi+dNRp%kMhYL z<&!RHz6dz@LJM$=?>`^}1qkNislusvnl=01n8`4ub^$6SFlGOaS@F>4Ccu4Rf z)^s`hgm1j;hk`J}yqmvFTSJ^73+FGTt-&+lb=w2d*0LG_Nj&puZ@G3?S4=%isxGqJ zVDUIz69Py;O}eIxldf4ynqJz!Mk-qt7lA;&e-RhSRp+|d;qiCVbvu+y`oj^Iq&plO zuP>3P>s_7cx^>|xl5slz2FL5;LUq4uGTQ(G+40NR?SI%cUe~UVyCysTrZ|5YyZv#z zK5en7c1>n$H1f(zCoJQ%KQ5S8{ZY-=pukmr`|Yheb-Qb9fe4uxqNZjqP?3WP9pUE zgCRtP%P%f!sY&uI^yUa#e<8_tv`O|In2jVo2Yq=ots`v0wG_3~Bt!fQsUi(rjpge8 z{ z4KVEot5>x!I{M=jwKSglp`w~k$;0Tji&`2bwaW$WI}}(=cS@_HN+4bNmTby8=U&J$ z)9Q-~ELA&NMWbvQ`Qt=bPyQbKqVhdt*6{mmcuxde;fJW4@<-ELWso^b`4=HaFpX9I zV66yc(1$>v>`eLu`Eo@p2_y;dY8kc6Of8dQ45uYRjf5JT^f>CpnOX*cOs$f!Q)}Qy z^lBNjV5q5H4+KhNYAMHV{{z)nxBl@mwG?V(R!u@RIaA9ZkguQXvAAIVaP;z+@^lA7 zKKUR;$Gf)bZe_TAPLo0oO?d7TbZ060N$J`eBCPGnbL9cRb+?F`&Xed_;gmB|&CWbb zW7W=SXZjVG8+FK8_4x4x0H6EzulT~BP7M+CW|VpsoTT(XqTb_3q|PDIBYDc7olu|n z0}nTwg@&CZu|g|qO3?K<4vt<;Wa)i7sTAhSfdQbtrMb7FdzODpj~X4kYGMT_P7Qi zI}QxlJ=~utk^ED#DnUQ}DShL9v%C^pqha{1<{fi6f^Ut6Up#GfHQu*H5VRLu0>Vj+ zjG`OdUwxOvAN_*ib6!qxuYrs*C>kP@3}KF&2Z$E0krJSIr_B$CYTOAyoS{Jw8MAOJ zK!YE8@FGKj@F5j(liG~vS0H-90Pf;4dP=wtp%<6}T?i3P#5!Fp308frfk|)~(s;<0 z7L&@Bu7J4`N*WG}Qd{y)HFH-Q4>|>_w_^=TZcC)aNWcfIQUdCyErGs$m z3wwe)V2v!M1D3JdUs9sd{_qW5R8AwBV46-ZPqeQwguCK$`#L=73vcr+!FGL$%az;L z>u%8oxa)7xC0R%x|JT0%EC19I;0}mRz)=stee6AoLRtitKD2>PAv`c4j$v3fbbFHU zGsnM2F|9|a(0w4_XrxCvq5%MX^d25P;0C1tOHZ^O$^aMi%z#luX}iA27gkK$`JR+n@albxUwqell7z(QZ>g9~F(EZhE0_*Xh%vlj^OG!o zdG14B@YNrauvv?F&G&8W1f9n3k)bp}VMJrt1OtdOHcj4oI?2N(ASsREC45fhtD5RH$?Mgc)I+{sys7tzrWj-P^*=cxm|D8DC5F+gJRhca56HW%My zU6SaRE7(}(zcQ;8zj|D|SSACbY=LmNER4acFAF1!m(^RHe1M#pS6aQ77REfP)r;fx zVekr8Zx)r-%v)#m=22;JlDN~6)WBr53dO|b$QT~pgc*}Q$jxe9ZxUU()w=E$ZC300 zTXc!l`fvQ?@agYfM#hQ`Qy?QiKs~GBt^x2oGJ?XGg!R+C>N8{n5s~0ni(b5%RF`JV zkWq0A`6VFLS2uAI^vKwr=gL>BHS7lSw`ccX5*t$l_s9so zWy4yutrNR39izC3ZEZ9SLiZ1@UBOvhOet?blf*I7JEvvrhrTv!UC7 zwDK_*ycGe<%qrm4R^q3wRzR=pOt{rq&O~d|tej{Zw*^c@THei3d=nhS;R)U#cU2Pn z6EQ~D-J%V0uLp8J_%)yM@o!s#+_oK9Y{&LA=<%Ap|8vNl?PKF17x`D`LEJ;`EQou^ z9p*sX8>6$GaXjP>)u2UwElBb;J3TzBP&7Q`0v#D|B|KVsxCyR;M?oZop7XT73R`)1 zHvy~QqfOW}|4sH8Wi}Z=>M8{|78B|WXCSfwMgv6qgqL=+MX%5n1p^?%3yA>`R~PLf zB`(3PZm?7j58=aI_fFm{)%EY>%~Cz1gS|2Hm;cIJe&cW7>cr6DW&gq+Xh_(M>AqW? z*j6VdI%0St@O%hJ*#k10Ilk42nTz5I>%_)+Y+Ie!Rws5Vj}VW6nEG9;+YiO!QIXGL z7t{O3!u?P!9`}7C#md85>x}kdrF@%vV!bMSNkrfm|Mb^?+fOFYvN3||@%ZqeBfw0qNn3`Ce9SX$Vr7ey%D0WFR58uJY4drT>U z{Q+GQazT&u9q15sM&!DcGkTc-ZC~bT+8uk8^GjF?^=@Q~Of)hYpb3|AcSLR^vMks* zvKujpdq$#NYb`NAvm{)meoD!SusQn*VIO%OK%-jTX3t23IZ=|p@K9HStFkyuuK|Hp z5(lVr_EHO&R$u=_oaJ=Po`};GJrSpcCj#rNcp@;%d^~A}$#GME9!>pGiXf*=2_ukG zA4_6OFh}N;KOo7;k}DyQsc$k)>KlkAAC2;-q@IK;CsY4~YSAU97SxO2T4a)R%!VZs zWtQ9uf&BP6PREZ6=7!HbTXP(F)-giCXN|Nn58=Ir!*d&|d_rBxb`g^}`iHp5c`f^qnqNX44>A+W|q)OCjYRs~s85#lj+W2*X$cHjseLzTR| zV$pPy@-t|!sH@am@N4bqV?~?MUSSB^Qt9VLxUscMmeu;Z_rW2Y4klML0c8 zTA?521Wh9!C!j0nvdTwZYe0fzCyVNXCp4n|SEQI`k{#NqhDTILDlcJL}S{6*!zVP#0L)$3YGvp^zJT%}bicKLwD$5P1B-Ds`BC6CMLWDhC6 zO+Ta)ini|hfN9Hq;i-od(`L_OSanJ3HV`TeGVrIPEvhdmQa*LBHOjN;Dr}^jG-+KV zlE}2K5i@0>b(Q?)zdf}x}Gr<+&?{EJD^j~`PZSfXGEXEx9<1n4=icDkIcU=TDm)a@ULh5^&nISJf#Ol#%X<_ zr%yxpd`aJ4GXtdue|jx!>^1~qFORl*^xOz);s-%;Xr8*VCgsy$Xp zZ`0@}c-G^ljAlL3phu0>d!_dpQ;r<)LaiPr%9zzR!gQm!&#te8&*K%#%E=!qjXhQD zKm0F;&;Iz2jBZ;^9JK&#NF%)+GJaUH1!`!4XG@SS^2fUt^ylKJ1HU;0eL0lRABQTc z6ktfX4*Z;HMgm7%8tz!QZKvy~`Gzz>c9z!ytBE>lY9%I!MnY-^fD9bP%K0(!of!rD z8Z_8=t520j`}}d#R2{?&Kt)@p7D$+b+8~`NHf0Zu4ZdaQWAIv+wQpI;7WmE}0LgaW z1oxzylh|x?!j+Du_LQs+P$2X35%;7?#_l{YGQf;dNc!8;cWjFztHZp=Bp0`HiApse07;3kOxq`r^bFq$zhXaMtRXE z!7Q11>wc}~aD^Ax!Y$fzxUMIM>+^rhZ~L;}mvXrDW|S(zETGeQVIUOJp2&B?U|9}A z9)sY{J4%2Kd?x_7T+pisE!{O2WQtSi0Ry-!-qDWGccO@_Q8lBqyL7 zrQruHg-f5~JDG=buOiIA`6BdYaH_ox35yS0R}C2l_?&7AmmFOJsOLz-6m1jgrrpvv zJDRD_BsKqy`#M5T!&&>33aPvWFLH}AJ6tp%2VWzA#%m+9!`0c9?AQ{Z1kf|QzNqK# z2=4(}7vVjQ7k;v&U;ytkJ6s$U?t}}+g@m>p-il+<@D>0|)iMDr*h9gJC%!k9qXF9= zhVFCS`8>O(I9hbci1v29JW zmKG+OrIw(bLF|6tBHSRkKO@?+%nI*GfqlkY&#dsFL3a?YJ!!AgjcLjXYSJ~@Fln!Q zE4)9#{FW;;Wn25Z(<6MMmuTM=dd(_;7X(icg9p=`-6B=QFa)%7O3;M9veDVqX^VW5 z&6#Cxur4cw_OkLF94@x4n8eNW+NfXhGSqK*(wCX$65w-C&mXEYt);b?Gp)HfA-~&7 z(>fb&rnM%&@U0S9MbS(%fy!Ka(;G%5x=uACa6SpujO&K182#$8JM+`LF>(Jt_}%}s z-q>4eWC_PIbc5cFy#=N(F|oi<1>!6jAV~xawKq|4Q$tVDJZxBS_!&XHN!H`WBueLt zHNRbKssB`?^^ILsJc0C^wS0xvGLWABuvjI6k*^X#i~y16R0XouK(=DE zsGv>4$mSSd49_9VX^0MYmhL1)m6nH5@+)! zIGquN)*P|0Sa6Kn(&$Uc4wcMRJ)%UFLFyz(hnfj?Xn86AVH_$dn{z=r#!V3ILg?>i z(dmduRfMARXf-*c~eniHq|d<+FvLIL1xVDGy+REhT9QnPk_a zN2R#$k7&bHO43M*lGaEQB`|?|Hf&;Y93A5(iI=N7+uLIklFpnY;}#|f8uLo{%u(7B z;ucPlFKUzQK(-zv2Pu{C_eUbTQF5;ATe6Z&@+#`SlSU%iNAlr&#f0BwGt$}YJ6d{) z2Ouz==ka;jQu^@akH)JmgGo2OUzk!4u7JPH(&Q$zlY+uT%Mo1q{3z?K;2c3--DR93 zW%&Zq<}OK7)7-M;IAPk{Mdb_9x6|zpjUh|lKk&Eh{SX{G#{+-SX{eXS!uEGCMC!?= ze>{b~zQS84MHePj#7+OW9{uBYfBDCJ!j}6s>7d_o-|8_{4h@pS~p+i+k48s2t?TFTQ0CUJ+bv81r-2!`Jg-IghcyfU?llOSzKn-Lk= z+B6KQ+o(aMHl74&LYg4kZNk(=QgL$#c6Jh!l$x+~Kh$&@Fc}<%Ofe%({5T_;un0}m zu{|IWEniy9fH326k3MBI@%x_bGIK#11U6%L7&;sydSdU<6uS@<6W=6bo9zMF_nLQ? z&PJIFY;pCfp!^MdXrnnL4r-D;^5kT7bSx_`rRHA;NjNG($I%vjKeOXp%zYE1RIOiF z&n2kJR+zs0yL>Nl-xA7lD=Nz5V~!-W*kjUY)>Sp%O;>%S+&6Orm!F8+Z{GZ?_vUw& z6>&x0{P06sz>&?Hzur{4a&P{+TeMlz>u=E|-u%D#VL$et{PKs#Vsj;}IlvDrXrc@+ z0B&+Z5X->RN!;=LG6MBMaF+NYK>U*t)t-!CYet$%Hz?nY^{MB=?!4SGogjCM%Z~8`wFHRfloqTVfO^$15Dq zS$VVaC1vv7(TW&BUeAq$xyM;9hH`E+1WSjHNW?{4-=h2_zp-AO=r_g?#b+)DTw**2 zXlu~G14ocmf31yahv$1kL1#_fu%z#Gw`fDb>p{T}e(3-Bv>#6~ZB!C!+kF_yEry0G$+t)Q3Swl%Ub_mB7$Cw$seTT{{J)3=qX{RZ3gSW3)%#ArQ z<*dOQyN2M33jBNDL2mFW{$tL*2_@%lb?=Xuwe^*%FBsA2AkM3aB4Cu9jgHgbpkd(U z#+@A6esm|5X~X7JJ{}VAfmpJJ1_i?0)$k;^s-a;9qCiWRhs{P4*m=UgkjqHAWU_gT^BRBg&S+ zD0*JZCqtby(}@=KAB~hJg;z%WIEHK;@N*lF!S6r|Ej@uNS21?C>c0(WzmG4LnDTMu`9>Hp6lbYIiM zL9neyNPEs~_x z%TGMha*+C{HTnaJ+sjL&26k9|K$@f|c;M>B7eD8sPGIf?gL-r;NsbP`(FwTBIkYWN zg#up`zVXFZp%abqr;tC#Z-L1-Y%upn7)}NR{9&kEmPf})gYJN@R2ZTjfbjyGuVvGX zq65gIbo%=aJ?x>4gC`D2cvW$=`{G=vB5(vKLU^!j3nK| zy#oak=?L+=LR4t<%(|x=$5}HslRqpYLP$S;O>onvW(SH$sP2@knIf7Wr{KH6aogfo zDnG4><5cJmbK=w)GJ`J&)a>PPO2aanv$M`Yj1=b2EIgO)<+BT6IQ$Ba^+?}Veq>u+ zTrl`;9pP*#UsKYc!d8c-hnN~A_fX=?bNBbC1*?U|9}jKFpSA0G`ETpA^xHZ;@V~8- z{kBf_+d7SZTT?9A@*_FLG@3A6_uIlDIQ{#sa%9_t*>CGn`qLahu;d92N!$z%p<{Y3 zDoELn#JlW}*~#1Ib`WGsE=Qq?PCh!cFCjL>8eLLNXQ`?S{Y`4LB%3a{MoG!rs>RO+sJP&9lwmz z@i*PB@f4ikhi)>LEd;Xjm$BP_*fqXAVbkuK?ED*f-?{ZUcKhRa{rzwHU6ZwEY~(HI z_8(m^H{Em3wtT)~tP&$Ue&&?DgCVYF9KsfAy*YCS7q1X=kd&n)>0s+m^XkqTAkN(t+A?eI%Cy|1r-&Y}9i`G}~tRc*ajNNo0p2D~f+BLcsE;xx6S5Fk7hbYT8=% zscTNd+}U3(M+R_S5II3&iACG`B(2_{NlUb zliI3a+a*~~ANtqd{|mpE5}Xx3%oA#KS1oWAKt!6$aYGEgMoh5lh^G)dqEc89D{Mj@ z6=BE(k#R)5gPffmGM&YUjfFf!p(u#3r+68e>8zfRG$Y?2QLuw^QD$sOq@B-5Ex`oa zLj`Xxz#lUPw{Dt|Zygit8pN7)#slh!^BJinm>^D;<$o}n z?dhSBL5ZVe4gaz~^+oK@;8jzZ;jR&|Jrf$WJ?t~O9pSD?kemKGTSPpu!#E^rE7_+2 zzBxaW>$8|E4Y}Vu{Fj+oTc-`tEgk%G?C^d<8%TjrCi{|UnXx?8jb zLf7A-OW^;ze)yCBhYva@fkXfd{)2-aIav)OG1JLeVuotq02Mz-J(h4l(~eS)#0oV* zO(=$aQjcSY@}dZWscfkkCrF8tAP9y8GUhVPr+fALM%lvAs8no!AB+^E!)8>4f-@ox zA{b~9i|5}VPJ$rlg0;g?hD)+^ul4{xg7`)dR8oy1O_`^A%@tRvlOU`L#nyIMn0T(J9aG@dbl8pi{!(3xQZcx4L%oWbW|zaxr-YooDmpdP(=sb za6m*Wze=l>4+6BP9AnMHWBvoU97GH*1NlVQNIyfbE)B|tu+b=JWZ_r_W4YTYOvi|k zjKg%N#gLI5R8leKzXb)v{Hf5>q%hIOsL9$mO!$boz}BO1n1Er8zxWvEVA##YIpAwS z*dxU;R(mJ(iQm+dElKS04^_8E;c$eOk2`7n{w(iNzH%bzVn9oR*{v3_n{YLVFwvIGn_FkHj)9*788j6lvSLAnO>nN$ z&@(}@eM&64gL$R~X%eKJ7X{T_PlC{DBuJby!DuvhLlqcK(u~A86U2&2lQ~5YN}P*= zRRe|(z!wU2LJR3MBXQ0I`vZ%uL=erZva?>qIYSl!v+0(TCQ^Q$g&l#t(gQs!E$-)X zejDp_mJfJ#9@)TsT=}sndiDvUZv(q04|d_fJ@bC@(dj4Av`BN-=#`Q*Imw!e572_e zzVBuKC`!5$%LiGG4ys?p4+BuKC`K~x)rH2uzU;*uc2&IEh- zUSZV1@T?EOC|Z9>kYHzm#|9NJV*PcXt11Kuc1iHS2rbOS2|;S^CWvHyrkf!-3v6AQ z6PK3eFVsn*^`uH_L9RbY@j1siQTT&EGUi0}5ku`sauI0-Vbxi>z<*`HXP*Lqq3@%h zocuxEwx>qjsH@?YlggnmmTN2cPnnz>=aF^_ZYO-K(w{1&OeqhRb2*OaJIbUm@PlN@ z?^^bpf}~|qRN>Sm|HmbOH_9;+-e-5fOF3pZUOXN#`JOpuF+Ni7Z)t;Om%J}UEzX>z zmggXC>{K?1G`4zLd#)aO7(X;6_PQq-wTYht(mOWp4Q#ui=1@ ztQ_XPk~DpPK2q&!bM{CF)9kibIT$wKganUF5?9D_(ZGyZuI}#-68be=9%mFP9NnD$ z2H_~l>A}1))CpwgexV8>C_ZhnE5JVlZJ2_;MtHOO*;wIMXa%y;h)=`o6Q*#)%GveF zV`e#(pD^PkSuBIud6;Lewl9ify3{4O%v^0>)J8oaD{9k1(xjKjI03mfV0H(LT=YwF zzuCV6KeeP+AQwH;iy)ABt!13nmt1-`6d$+g6^PyP7oVC(a($UBBrqKldced?DjzG+1-2k`=2Q8)oi;-&z$Ouy+Jf z%diPZ>CJq=Ux)^Gwa^Rr8NHbg+D+!FOGq|Ui=`R8nID>7QPAScNzj}5vmS=b%k|Jj$mIRU>WRhfU^Qmkg{$t7z!%BoCo(LOd)bFn+4pb~Pg z421ZZfNt$Kfv(xSWnE{gembq8vy3aLc}!d0mcynS!=$|tYoA`b|N8x>uvuex2`wlt z8!BE8Dt^mHeA!$7n>R_K2{y~dTB-5Q9OjIA+uC4ZQLb)uSPo2^Y0AtAkI(}-Iq9-CqCb;d zgk+){h#sdisl!IZt_`^%It9xrXw-D1eGGpIL?;PNi*G?}5~OYQ)c%R9FN3u(J$m9v zS+juak;@vP1sARWbt7p4ctgRrI4W~@&+)G9K)NYczp6*&{XSf=i&W{z$&jChe~_gn zE!?(UkNSU6vT*NtX|W4FJs{iOXt#K#x6qlJ_%Na=*Z`{>sfav?VzSRH*psRm2qJWC{w z*V7u3rZTJEtHq3R>vNnIEO{KkBH3VjI(`Ub=PzTozwkb~^B0;#zkhN5Ad1Y^$MJev zOE4FLCerUOHf=h7@ILzeYd%lua1dVutE9%LTF@ebth8yX0|{d}n4lzwMc4p83ar^b7vwrzOvpB5SF`Yl6s`{1~VEfPZKvKgR3u0Dopx zFg1t0S#zr()8Uanqk2M9()MUbE9e5Zdng85z(i8R6SC;E0lzqF{Km@2T^1xD4w>j@l#Qn1jY&kjZWan(xGyngCne zr$r#q2kq7zs3;Lc0u@a_O(=$E9Qm4l2YLHvJ9yZE%8UMSZhy3=%d{BLiJcZA;{;nJ z>A=PLsW@J*HjwoX$CprCv|_iujNSTFa@uV<+Y9BMU)hntj@*m=H~di9>>=={=i54*?;o}XP=_>5k87D z=<2)$w`X%jJ!sD6ih9sQt2=tg=yMz6wR07Kr+CnQ@GW|-jB-k8+8DC0&pr)Ygs@VO zr>e22gxKDlgVf-;{7Y73Hht@Ym{CMQ38U_Am2y+grq;ncK9XaFESXpGx*mBZ75HX0XwZw zv$20^XKqQOg$^vHH}iA*?zvDef2%xmqKJ3uPhTs0(0;(+s|xE;sd7}iu2$-mpL5Fo3>?t3F?-t7k>E`+i$Xmx|oNF&YO(D*>-!t$9f8Ycokz|aT z-7)&s5WFw6^E0}R_=?Nhf}7pF5F(h>?s$YdKcA5KUj z`OFqru)p#%$%fUtaUdbda1WQyVpwdSti2IqRIli602P&ZOL_z{%Lhce*O}zLgTeuZE&wqG{6Wm>1+I*t_`$Ns`+{)2aK;jvf??>&8>RN2B~>f%bu$o#jUQkx1L+*;70 zg)azs4_kO_3y;N@v=8s6;C>#rn|_hU+>_8lGCp8glxTY2r-BV_onLYbkFEDhUc!d< zG5^cQ{>s;XRLl!kvvuV3nyYP+%rZ5E(T`!qMdh7dvo0#{^qO^1IbQ)dL}xl+I#&Uj zO3bvN^iHow@jH3*SB%~VMaT!fsMX%4d7#qiZ;q!abD^~84=*m18eXB&EyKWJbj!>- zg)$e)tWzj+bIm%1+;h8w&uYdgau;B9z<;M5&K50vw9chqn?dS#<|~>{<%V-9YiSR1 z8)hScE6I0`%{W^tDbd&{856C+ z=UZN%tYC4rTC&L7I%qE$@cK$jF3YjX^R~dZ%s3yYk;mnd{`SllR{Hz%sD+iI{_#|A z3MJljFxgbpR`PF_>n-1Bv?AGV;WZhI^0ufraf`)@P7Krn8MzvY?2S*;)~I zwyZ6(5?;b0SSF*3DQV!pWo^Cs;?qiO5Z<9lXUlXc(+oBT*7Z2BKJ=6S>i_ehq$eo% zk~y%9AP#&t$^|^d(oZCcf_q@A^2g$u3M*zI{kc37e3QCj)WQL`ft=DQn`Pt+Wh2Y+}}wzd^_XQmO_}T1eGewz|JVQ9)y# z)#!TqTf{6mE(&a3Wg8WP&Pce3rI-k z!B&j+go@GD-J(rdxgKTZZ+ybH|EFJ^D!BD#l*-C=^d2%Sp3rK%va*_#c($97hr-!z zMji=gxrXV~2v06rGtChQGo}#cT|CCKL)zk^$if@9f*ik=6Ra^4skyBn*n=7W) z=`y(0sj@$%V+puxZut#&@4%G5fldFy1HbT6-z?qrFZHdM`pm;L&f}o2aMO#azx$7V z+uMII;cknB8J0sm{|-selMxIx^%0|Y7}~Zgv8W2}At?EIK`>;2LJ%m)0?Prwszgf9 zzeCcCOb|_X1SOAk9f*mOAaOU0;I4Be4VYwJ#(k zdooCZ;h2r@;rm1@;L)7^<{bN)Y{&32_Rx09IsM&1buR9n%&Dyp(j{7p<$|O+4+)`A z0XBH5LBA?v1lslUZ1h__;YRsN;nO6v%a+GQux6OTO1%v|Pj}{RXmqYcRG$aAuOuMt z*UoI{L6a3IIqn{urQ!{HyfxJ>AJe1e4SbuurQ!`iIk8K$h~*Kn703mo>zJV?!x__D z!1x@|nQWZIaIu|b^T<4p$K#0GeY`uF-RYaobT(hkz`OiJ-uMRQR}bb!K@Rd%>g1`3 zu0VYxPt^v%Hp~+3^Ab!C=H|58aR26Z(hIMigH&_hGq#zeYxu;y$Z) zazVZ?Y-zBUg1VF5_y)y;gKnj~0hlE|oQBnb~`iUk?$qJ}_p9VOOBn4U;k4?urfs6T?^V1rKt3G-qj<&QmXKgzBTZ25KXLn@PXoEgvs)RvPfe^z8qg zkNoCu{oBbKpy>^x95&4;XL|e)cq3Ell~3tT#Er3SZW7EA?qQCKJGuuOd71T2tsv~i zPjwq0k6wJ?DWzJy0VdcT_u8(+f(l-cZxRzk7B^M|HV*YLTAEsc35J$x$qnykq*f3G z5p|G=I?}&I7xIEx!hOniYrApEJGBCv^Vn3HAOPmsK^3vE3GR|C;T{g(P7r*kI!R8* zR`+-3SaoQ0$TJTCnk2av>m#1oIGt(@GClhph-?lZ@> zy7>ZbhGRcG{%794-WRZ?qi^ZxccI8|JC>YruQWSU!yE$;9IzQs2bETks_oT zM~rxH5ZVXnTbPPK{r~4^O1EhI?gPK;(%VaA_-dSGB-k_vzB%+n@r&E(4!<1 zcrd4SfO38LaE=8Nk-xi40vU%?fCq>qa2!~&Lu+KKg%jOCHaj$}BMJ z!afmNpxG1tO9ctZz!dUGWl;V{y?_71Bl{%!L4bV66c8QZS6&_1P`$d1PHAW{!5?Vlb5R%23L-#u5~KoRf-&D8$PVJL{22MFih?)? zNowejv8O4IHshj2P3$7Dr2eCZX8uzo9J1NAd7HM_`dIM`K*U^5=O;hz5c5fSarpV+ zF-VMZs#Ya1(axl4hk<=Oy}T$wnY;&&QRrS$&i(!cc$Il*WrMRz`tCB! zp8vk?7H!D3{uW)5GxvRe^Edp&FC;Iy22TyyER@=|=+Jd8B+hr13C=bNC9;{|Y?DyF z#Y}LvNvMyV&o&7qve}HYO+tO_ygeS+c9edC1{^wh#(Br%e$3jNY*xiSe01S9*BGC1(y^3;3g!9Le~(bK5)C12Y>k15hen9%JTMuTjD$ z^=GJ;8&#@_gD$MyqJ&&9eL(uZwkJ=gojKM~Plxo~vEDV{c+;4_Brs%> z{_fxsY6-vq%GvVFmF6QH=g`sQm(}ZF``d9Y+WrE@n)C+q-_Y1TWh=lwst~aMZ5uxm z_zPIa5W4(b{&ZtB1#I#$#YkqJa;+xhevh;|Cu%9z`d^Rf|NhVV=byYq z)q}(F`FD8m-=gYURQ-(-Ri98mwy63RRnH3w$2nt+dBhewArpM9Y5UY<=x++h30-jV z{?+VlZB3l=O>2rME{Q$-=C9p<=MN|Eg9d`k`w+rHh^qIscpsLc>Zv2nvF^*zwvVdA zx~-52SKsbH?xtpVSS)xSZ0W9J?i~e@U{(tHsCsYNdL(|&gXKzzZ!-=kE|ic0W^779 zDj+7QBnb9|4W9xPfrl zrh^}cb5?D6-r{{Q$>`DOs#*x-vpMN~Fv;#vf#-;GR);~8^}?oGi#~-2_bG^@;Y=Bxu8O#VE>}byY+adw@d=$O3quq~AVcow3i9kdY@b{7 z;q5mgQ$IYin@{29`V`jPqRpppJwAo^{E7Gf+&@g2`Wk>UNVf?56D-A_CH3*?1jo6W z{Vbheu4dn-6U>tO_;i9ij+St)+q zF!2Df8L~Pc)fLend`CX{Lm32-KbpcKgHgtWEy+(x7{8Ew&!lxSqYu#8 zY?O2t&f_$eu@hozb#o1^ZW4t+&63(kA%GDY;l%Wbe7NxpJvIJ{@Frf+KR4y(dX$@g z=P&=G_kUILSG1CxY>WarLXrgN03}y)IN%$Q_INvN3RM6mi1K#=EqH62gHQl_LCKbo z`K#N#B|!{e{ZT|tGfKvH6zn_k1q^*RT3WItf*_JAl++$+omDxbWJ{Rfa18wjp_FI} zWLjDRCMJk;5&Z&xAK*n1lmSIA+cdu797!Nth7CnIk+CwP=^PY8?0W^!2)sAUGe zrcYEsR})wk@u3JXt3Vguhbx&c`@I**0W9Kstla!JvQN&sm-&Xb>tE&@-kwq3#;F+8 z5pH^)oL~9T&-#uJB)nD1Dzkl!+RfR%m86&_8aCzFje@`}M1x`bPBePu3(o|PXnLb2 zZCjze4NbeSDa7hc5Ec0_dBs{pP`VJL?Q4Pvm@~2t19YM{J8fSRgkPUsZE6$%fYPp* zk$4*g>mKwoX9Q{cnqX+($L_8B(D5#8!pVs3nt;rHj*?T_Q_+zGQ0PsUKN&^SlRNYZq| zKhRo5S*>f6JywBtk7Ln^@2KN+=UAQ%oWG9&4~Ot zIT@ql^=GY)=^)v|)g6lSTF}bn*C#9EJ61=aH!cvG*oE%8Y4NO#?}!$A8Vu;`&?3M| z`nwZT2nWy@=4E`VU_1lPRKah5wok#;5RMkeK5tsf=2;9Ei7d!$%aotGtoM=2z7fWC zrZK25T9l!u3UgYtye!e}28uAe-Vue(JXlwS{&fBK*NKY#u+ zlAWyqe}nQ6{=e-K=ob3GcQxC)qnFJdx$Xj0DVd8 zX)qCN;&zYn`Qogz1iHS5m9LUbrcCh_DiOT{JNYmfbw*o{boYnB%X6B{X$IiTz7JbgVRD;V8}HKZ4%{3~=4D|4H~9=6;j zKp6~Yc(sv_T?+a{EwHqrR*Ozs@Vl0&P4Y$zGY)80ha4zC9=e^D`Bzz@7Rsu0>A;1a zQlN_9Fu3p4c-@m=&gV)6d)Shy24wNU*fX9ENurF1b*)h>A;)Q1Zi zE#&gkc{sQ=l;h_d)(ZBWw_pZqWv~*8{kJa{uo>^EpqV?5o!FgT+XcwCcdaJc>4%%%7Y`dUz%LOy56@KXR&D_NSZ6--2ZPIff1X~RHyyRlsLIO$t*{YmOd0ywYR`j%gwRWiXB{RD~Rdy;o9`>b>`ugZnhq+ zt~{c>?iOtd#rj)xNkseZKlW6Ui0wrzSqI_&bAeBAzQ7U4G<#2Gn@2h;~4Hau0=Gy%Bs zr*-7;36bTSEjsmCh@KsC-}ylD<^#V>S-2h__&R%UL(uiF=PO6hb+>3k(Dk?I5(M4- zo=nayZS)56C-~VarP#8i(D)XBsUeJ-m6S<(pcwgJB3 z+drtcJZxeTcy5xR8MoFsB6DDHin^C?Q z<$I~?xy9xqAX|O$)w}n|w~5i~ubl3`YqwVhR<`cGwehvS{K~8M-gocS;!0S0KlKEA zi7o_UHkIOfREp2|J-_uo`h!WOP^LZ0O$)qxXz9XU>1=Ka`i_#hl%QpTgA%8SV4x%m zn*fBwEE7aw*8n6!5*xzh>Ksmjge(&b8Sdn39ShPZH^C0(t^~nR3>FlCG$W026C|B0 zz?#Q6W>=+AZi0OWu2eb39gbu49Vj-Z7|1; zB&kxEWCzctLiG`i=*u~&QbfrESXZd|OftLry950|btK%z(M1=P!sZMmdbsLnL|2}= zs1zoN)InCYK@!>hnr#<0QT54gP=y>mJM&$9GnoZRV3apK(Z z2ro3*{^DbE3v%rCmt=CcKf()_xbx9-Jqu?rypWZ|FEnMZ)WLs5;YGtve{y*&91#5f zu`w+i{)jyop9}r}eu#bH3cNhSAUxd*z_z(F)&tv>yEE3^qD}W$e~T`0XM9ofmaq9U zzdm+gS5wq<53{X9fi>P;$0c`0MKgF!Ui_X6t0Fj?7avOBiR+yt3Hp2hLYp1qP#-h_ zuLVne=_&Ae@u3F|W<;R9bUyHnWix{NRbvsDF+g?L1X%U%jM)4g>4~8nEeU2B21oRW zLv{nfWx<_+G5}T;;*z(WBux0E{LGyJ)xxhE{BY(sp`NxEl!*FqK6 zJXi7_;C}n(QmW>|j=N(A4OTSrLlyMwG$%48;ty>H4JG9KR6O9^9Vj}H&DpVjRpyja zD8vd)6T-^cu(y z>JFl3XIIJJqhnWxG^VN^y|$nPrK{BL`Eo%pk&GRq)%`t!X@QI=`eExmE?0g=wd*NgS-H;Dt7`}JblD7pUE`_8jJ-#c}^!_$=%_PX8i z9h?k?x={G*eph>5iTbdsGYuUAnS~+aWFgeYU5#5F6PD_3S7)SE5XkrEIPKq5y9Q5a z+JD$JS;7+pvi)W3_Q&xeIv)3L_PZvtkeb3ml5yG}7c4uRX4iOLk*3=zf zYH+-sSEQ+TO;!g5fpQ1GV2k7R2~$nKYq34uFbHJ(%Qzi>d%#c7pZ{^!X6Fxqe1DGJ z{y1KL|J!QU;-%x(=LK>n+>S1opAL^#i^2rSb7*hf!PRQpIDGEeman*&u!T_+M#2_{ zCd{Ecsb=imY30J(FiYop>HfR$@pf_mL+Fiu zSKju_Gtd0(zxB3yqajh25a_t_N)DVM?M6d#dg7Hk(i7OPrHP@@+JGm>S>+nVWPqt4asG`VF@54MMCSv4WBm}l2>0my>|cg z`>&ktifW?jLd5(4G!X-5LFPQXKM_W;jfUh&w&wh<|L>#k{Dlt4Yq1mu7UI{jsLQ6PG*| zt*4_DcdN~b&K~fH!d=w&h=#+t;%|-=beZHVBdUaYO%jb&&oiCMn>tF)(vtccG($@o z+=Mn~Oxr_Mo4DVyGxE0xe68$uMyk_V`mrX-MJJGH8o~Pm-w(n5fijkw^T%92=J*kj z=>#@W71R%W3WVhHr>6Am$Y0IjBZEm*gUcNti5}V;e`HAW#SSa3az(TFeZzBFt zXSbK3V8%l;&yct@^BufpkHRfff+a@_E6pwn1xt07+aICe%uyn)O20mzo1|YHgfP|Z ze+^B%E{_g}UqCWu1?i6)!yThvv@IF7<_q#J^w z0U`gY%U#FQZ*%%&jj-sBRo&m$nt&Wx^lY|2ozT}drAKQkBg*# z_=EwM0fBU_hQw*C1w#XkA_u`GKp;Z`E&Vk8qM0RpK`IivQQ~Bf!>sk$>8xG)1N(l4 zRE7PRYexJ7c75jiXS);?GQ)CloDNu8G-*67o~2{W^v_;pBS$-Vm4RG3*b`_@W;D(F z@r#rQo7-E)_BVbeaY_KCXEXuIk6VIxfZkeyT*mbxi0lA53$QyB&4TEC%wNBl6QXvvgmBd(AniP}sca7Eg)u0e0I~SyCoaDiqGyNPZzE92e9Cyo zdA2k9#OIyp)aV>!=DBoY@j4S%xY#Tg4%JFjtW)=Cw{?LVy2!p^YnJC=%jOWqJ5P2 z^v)KwdQb0cQLFd#cKf~(ixV#45t*0w^rpgFl%dWQ-p*FZW}aScPJM>+i|;kNkn=^d z-qVY^!I>ginhZAQe37ibJ3Yz(rC%l3KP0uyd(8!2Y)*6&V}T?5%=;RhynX53BFYmO z1z2YpL!(py^8&Z$D7t0id2?5x+NX8LRjhzc4vZD9++zQ9TKqBLzfP6?DQ)C_^EPma z#X{NPv5*g>Pg8j zQeKxd?n>)3uPdu~TVVVy`+~{kN#Ktxti3A6Fr zOiw_vh=x#`8jUGEv_1b0uO}e9O%^eRj?w?R5Tr?LU^&~bBhwR3^@IT}sFk!}s$EB>Cp64_7xXudH@l5*IP^~%z!EWN!uJ6Fqd0QFeKYk#dKd0S zOz+ytzb?}o)|7ua-#3593HK$JT(=VUv*CvOTc8=FtkGTUYR#`UJD&Q+)0}$!jX(Z% zKlGvGA5dqF;l4SA!E{YbT|){wkf3r}Fmr`BN03c2w`_qFw1?+f^0vC}7}{!uqbgkC zAPpsxmAJxj4Ch_pp{>K&AdGF*_8f+FoMNP=Fexa>J8sG|=>EF!-_LMUZt z)S^00!ykL|;Mj3qqMwK_;A{-SI|m+sKGYP6QHi=}3umZ9MW+yf<;pKx&=z{o2RDW6 zZxlZ%3tj$9nWVU+Z=A%rD1xd2bug+b(nQQz?BZbe9s}y5-eVx4=ClJ?H6L$NFtO{? z`gB=j9778%pr{N(Sl;aqec_VQF~m1AkBeL$b5S5J&&`QN6#f?sC5TJiq!{jZHQo*v zr>%#Fe8B=H@NZdh`&(;*!W)a|nTKhdxJX6krq_x6{h$80&;EsFCk2~RQ?*!fQdraN zrL^@HojsM54rY7a-crlj%~R09Jt9%|Rvaa{?;&$i)Kx2}In`CvJ1K@9sqmV%KJM$8 zIVp|@&IsQF=+;S`2Y0h{1KUGS%A6DjFl4InUp3{)WNGUtK@TbjSky~TO5UfmXESoB z3&&$Gl78_lZG8aAI3&S>2$s&WovNfp*|qG^5nV6(9{ClbF4zfOq69>=O(Zo0*15{> zgt7_V=kPztD`Y>m0EMA_`H9?w%~5fMjtZbZU6IK%fvf8y68R8HFX9!Kqknk1&%Cg= zqO}`Pw6^XRZ6S*52~oV|m;c*e_koX!S$pSl;yXeSGL@QxSgt-XoyM>wrh{je8F3VB z4m3x-AZ=k2ob5i!_d*l|8L#HV53pN{8HxTTh*Uj|zGLb@kR3Jwt0gpQ8SD*`9f+#~ z(()A4lc3Lu52*|gY!K1UmR=2(Adz-_*Mu_sC84I7uVF(G)=wC?_M6UgTFl?WK}=Gl zq;+hjvpIaFBj4~cXhz|Z?uI>*D5;x((m9kdrmHQQkx4=+BZm+rYhjD`&yME(h5X(D z{5X=5(brmbm`O^|K1d!Bgi=Z*cz)<|$t2yAyNfhF#{&~j3rY9r?vDGzAbJ@Z$d1Z6 z#Elcr=m^qjq1>tV;yp{NuYZ6t%4NALr*jX`iS66%k>Y2%oom180xKRMthJm1diQkd z&ptpiT*^#?^whXalg!)>@T1_DpVA_?=Iyv1DJ_(48&8c4-f%qm-cLp2#a%ygPiKA} za8qYo#t9cr! zH8t8s&_5J5K@|~*4}8NYs$``Iy*c~P)FKHA!Uw@)kA5`NVvh)#sE;NIigId#=zRhI z5U3e@_FT!AmN!-q?9k_4a(ti;A>lR`6y-DsqEQ6feo#5B(Agpv6wnKT!!RiI0+gXp zdy8VXH^-hC?GD9k2w#L2v`KE(0}}jlzVVCyH#g76EI4%aze+EV6Sfb+(?Yx zj%3`68t7dUiIG0R30pJffrP7DH0a*$2}Og#n`Ge@ZT_I^@dthL`@i}#@2Auib

    & z23H*!byyPtfIPy90+jNh2|}(3w(U&Vh>#lvkyfgyD-*OxK4oc}_$Sa)4vNI61Dj7- z4bCWB@(Bi|JQ_3`i}H78J{IL~53bNmEXv;>`2Ru?Ohrm)DlqA?OX`YUcSOvn!LVEg z_bQWIR3ZekmEj?A5=Yg+QACI!ieEh7+joKXNE4B;T)xT1vT8>Dct)j=m8|>JZ11Vq zc`Bu)6pN?fvR<(@w!JK+MO;V8@|O&iWUga~C)Q=p@^_tLRBr2f=7{jXF8~^?zAXdg z3F#c`ZqcT5T#wFCf6nO_zR{Wa;=C_;nTEPXQv>K6iRC{95}YUhc8Wov)ngek5;H#p zg1TcpAPodFqH$&^n8%>VNkKuhilMWfGHhE|eo6cIy{BSbuz{nhC>=ADvn)+k(ahBULTck%8YI!z3&Vm+{$W)c1 z1Q}&{fkfx96F)X=J<9V5PKLDl!(q9g>2#c|3~~r4=f$t`r{Eh%SXz7&p|g=PIe^kD zFge2+-@r0*7t|F{j;gHuQ)5Xf$N}W4yjP!O6|+&10_w`f1&Fdd-g;Rf*GNu!25qv2 zheFfjob)nwP|Y8&8_G}AJnIk5niwA84V`ap5$n2Jw4w9$pz~Y**cbizKk%lQA)@)z z<`J;!f@9Sq^N=+vBZeb&!kb`7{Gi|huM@b31$5g5UMH5YNQMDC1NcJyJuC)8pH6sN zIs^(>%u{z^< zd*~D8>i!Pl;n8~JZVxT4l=Qa<&C_}1IcF6-EN*pwkI34gt5-B|)NV z;b9-$cIo~TCDl=edvs_*+$XIr-O)|5?b~ohVEfLm(xL`MRYUZZNGSQyNdp=B! zk{z$JR7Vz|V5m_5^u3 zGCfPO1-zcZ2QDclnr-10l;i}rptNy!`^(tvUnXOq(f38k7&u;^J;P*-OwW?e+kSnT zPicLjEpfwK&%)K>uW75pdHUK*hIi3|D!l1g*P~~>^SL+w_g|4BFRJw3ATLOZVqqyD zMb<359Jz-sZOWAhXS) zGB3!yXT|%dT9g$-BSXqtjf{}u%$2j!E+#60aWN?g)v%QGUMjezpk9e&9eQj8!--m+ zzd-{|9%%sf|~PbM!~os*r9_H1hI5Iz)h0RC=eF}TO@yIwCDiSyATwF3xdbF6i(4W zZ0WfaPSHVZ>A4h6)j@*fYz}9ZaEb6sXze{p;#|aGf|I~rvcNsgS<$Zj%|3JFmC=f% z%Y=!=w|Erfww@#g0=$?&t6}*!-TTV`~|?Wn1RGET`%jwvJTx=H6_67yf#D zJu`mzU;Oshw`|KusX@H8^uR6K@(l9_^&z`RTehWWHj6riC>PA;n9?TF-?}AXcQHY}uB+_;Txl8Hn{Ji*Pm@|9Wiv-*Nlfp81K?r|V3d0lh|$hOnG% zIdn(mvw}S?QS+4f_xwA2wk23OLUYRjCOIr}FPQnU!Okt->8VV{%#FQgeka@uQ;Ce; ziyinP=Q zzxOZwkw5anQsUwT4JnxeFBy(o;vzb9GN}A?CoUqNbS520fSP+6En{J_JSjpghxXb`2i#AGd2UuD!?CM)@XUC6BcM%S5?|@(|D=8~X z9)!Os?GN&!tRpJ~G6#Z;-TpY~i#R(Ne~QH&=N#rWz7yUI=C$1#l&41|0|} z%M3d2Q5X&tOG&0&4~rfJGv)ay$du|KWf-9EL7S|6+lAXx&y56`#WxXyLqb2-ITmaQ zeiqZ69a0t!1->y&xCI(p@l5M{FDySArjum(wChfaO6#jpK-YZOSx3%n3*Gw8h!f08!TM-8^ z*fy)yK0#`@Q5akyg#kKZ@TVQES&TpkVMr|m2kk>7y@%)f%-hzZ{6;_Ygy@IX-J&fZ zzFxb!BN^k08-Bse$|5RddjhcOqKW(u}n?`Ew&zrBH z4-gv?eLG95Gz*AFPT*rZ>b~L4*HFAaZ4i6&b=DyEg?BKA)gbNx%%wrBN$;Vc4QT?1 z!P6ohb=5#bL7a(J`i8CvAWdfR+5V6h94-Pf!3|9=6j<{n9EObi3}Q0`fp;GSI~rdU z-0@}9g z+u@$$9egEPlM=kA{69iB^4YcpM>8u5au!L1M8ZUCDHm>?(#La$vKUkn#})ze9PP z!USQGD9$9W2OoR(((z}s?qNRJ!<;n)89mIWxq1%t;|RZqlReC*#fSN{_%LJ5#fKTQ ztWCe1X$(Rt2E4UWG-3;%%s1vJ!Y89X9nyEhrGwV;drkSPY;NH>#}HCMXAHlC{KT>y zeE6tAxCi9G@rI!fM}zIDF$e^*@R5vD_^3JT8sC@%?KGM!d<21fe~#V$(nut&-&DIM zl3n<)*0_b2YWP{fAQ73_n3&{`q}UCXQ(By^@&K@ zWy=Mn;R)SOw^rX3YU*q5%CuZO;ISu+w>xAF<98DV&~-<5{@kv?ji$Tu%--AEs=pBd-rtnQ_CFtZWr=Iqjc2v}glYoQ^7{ zVa~oM69vu(T&#g0&8;r)Xp=k~H0u|J#OPWob%q^h-IL!HbAm}tU7l`EdEW*}XrsLB zl&s*O^>=(xIWv_$@sLw_KhvU(q+7BX_JMqYcuPNh@Qr`T)H3;l%XE?PWZX`+3_9s) zNNzu`C))R9w9J2_{CxCoYu84`EvMBiRMmia)hj7#rWp3$!4Nez50Mb8Pjf<^$+8N* z`Zq`46LR#0_s_yD+8lk?7(fFp0%b-j9#j)d@CY6Zs0lz;G@e)ASP~=*nIOD-fnf_SM)l4lL8=K+ zus&)#4j`})Yxt%4Zi#{e5Llo(v=j4!R1-|F@8Q8vOjiW-f>aYsFoC}ifaYf{YJy3E zCPe#t!0{rXNk65i2__laiGc%C*gP{y8gxlgcrf6ZX**=<6s!BYbA)!FCxig$NYdXP z@S4G6Ngobq%ajj2EO%=W{0X=4%S0XEY^tjWo^dlK^cD1uEE_Uv$|M{4>lyc=tg_gH zBzhRvyJXD2IFY#{tmVnT26%y_+(DsWozE`?0C|r9Sk50W3;Cl~)S@wcmQV|qR7TrA zq7&s$Jh>3W&*_Gu)hFzibl=OdKRmGuz_MZgdSJQo{G4^SXv6;Xx9E}}-zWY5?7eHS zELm0_)>as724o~0p@%JnZ%9}X&>T}$S@|engo19#!X5*@-4YL*x%3P@Lu)jrFFhU2 zh=+{9;)lf}goF*Dz*s^8g(Vw=giM5yv4V%eGGH8lF)|2?Ag~a|2EtZYAmQ&@Yp=c5 z-kFt|)%Tox&-CPv8@KY*%AHx2S^2HUx4w1tm;U-sI!9G6#u)o|pnbBKuxmxwIRa5c zmtl`^S_}_(RpC$s7r-j{pI9;JP{kD^_M>8_Bh~s-pOh92g_NV3wt##GHr!&x<}i=_ zD8-5Lb$^6}dUcKw`;*55P(@v^G+YaS0z7*SWitj^oO$klL9%jiheOi{_Z%gwSLc@J z-z(Fzo3!0JUIQvYuQJwIIFS9O391{&y#X6BHjsOg9k0LiiNn`_uIIs(R%Cf_<2kM; zp)y;Y*s5tBbXp??xVB3~;mM zEU}d?h*?4x8k*V2!jI{yAU@CsF-ru(XpiBSxko0v`Z8t6lv#ES9ROOwtx5C3oAlssasjh4BD)Cvzq-zgA2Z0c-g|`L7lzY1kneHy32i!bmMOU zcIYsC;k~b2<(l~m?|tBJy>k7|x4p|N@2|Xs?HAtuF1OIiyPDY&6ISE0`*e>Iqh@>F zEnK24z`mUT`>*~-pZ7Pv%#-V?P-wn~=@rh-11GwfVb@Sv8tB#@n=}S1y_I|qGek;V z%fapO)GH9VA$<=soE@Oe`GsF2Y z+hj_a<-G7CS+r*PC}Xw*QlA4xLaB|CG8+lAOLX?gTmBwu*=~F$scoy~lc}e2>WW|& z%8S)BL&M`5r-9F#dh}%~l`=yvWDn?l=9${SXa2H%h&H}m~Tf7;)8#(Ui*+5ma|CAuWz{mM7~t>6DAJ|wrbr~_+&Y;jzohpp&H1%4tloHi_? z&Edq!3v4t!jN<2*4Cqimw-`S$)6!W1;)O_ywo(X9F&W`m?w4>=U$r1Q;_e6X zL331Rql7iXU3~?9r}oz%<1#zz^a}Ypmap&|J?s*3HLMwuTvwRL%IU4l*d3*Q6_Z?X z*69^yy^%yoOWfJjCyKL9ulk;BS$?7h)w9|%B)QOAbRc<^mWM>)^z#&FA#LJ3!3&j# z2?n~9X{P6&lWtkF#OJ`|7_NCh6{|jayhg}@kr9gr)DaWy3d{Asm9tGa#oc;6`CBQP zaQwG&UHn$A^KS*O;%{ZP9j89})Ncjr*>B~BnsRE1GLak$6cEYJ1PaJVQw&`w7io&2 zsUudWFh7;ynm3i8OQmQEk!|-+(YApb#W^dGAOrm;?$7%ro-nt={-U84_J^=oLH}&{ zOON|=+xHjEblGy#SE(MD5nhK_vx#r?VM`{N4>n`pZ!@_*QZ3P#TV zVG|ulc@y{IG3Nj7qob%rt~}4PWx@~#x9lR{51Xr7Kp1#rJQ=lTEH&`Vwt#SH>0V(A zh;G1jA01<=1%%ep&tkhug6-W*%HQ{-pwgc$21#US{5FLngT@@_t=4@S@+41f8r^W> z#CsS{44#vmgBfDxo2KxZCOOXD`618tWZ0h?xIX|BIvRBck37W95LrP{hJ%llG;DlD zd0HjI%nUj~ORj7sdHy+hvLwUV!E^G=Nrq_0F;Wr+>x#ePhb|j)4m(np0c}(5Hqu&e z2iLA3w%Z`nTCYi8%`OglY#=2-xb0iCI`h#QjvlYhY2R`-AJ{$}*i zm=|OJ%eyIbpxT{mhfW%^tCEZdO$dev#C)pkQDyJz`nIcqh6O3+z*j6>@f{LiAe2UL zgj|~fO|>)L(HJGHf`N)yUytE6vW?>G+qa7?e482mVT?{4NBaWL zr)x`mB-=gjkiPZSh}V!IzHl0zNck^4mKHA2mTkP9Y~zoA&8K|k_bnm)`pL0fdjfzH z>BlXm1=7!rm@SZgZp3VX^d0m}2xCh~pONu|nYA^BMx;kRT)+~_TazfDE9Sz}?3Qs;daxBORiT98)J?0ZEB0aHM@LSV$ z4-W;}8`5X1D%a=;@5sG~?`}Gx`sndemPFl(iIj;XO#INayQWIK|H)tR%mb6yVBY@U z;QlQ)3itO3=&wf=Zyj0?`?qG(A~%-X`l$==MxJGq}HXkP(xvGXoe`D90da*SewdYZb(4ShE2m5 zAN7X);iOdrHzc5&Vb>nnUdlu7Q=hII641>Mbrwj70ae@MK1pS`AwzdFga!mo4X_3Y z3h0zE)OAAwx*2xu9`dxZ;+UL7KsQ4O*t2NL6|H>eD+-{SCE{|{IQg-6seBPEBcEqz zu$K5I6Z9kjbXybc#B6NE2Z#SrQ=#-YUi^+E zFf&VZ=xUELauJE^o!(mARx5y<{RG)MFM+SkF zp;-V5bxDrj8A&3zk#hui6Z#vrxi&+PZf<{$ZyAESmLXVoiM9;E?PLi4(x3d7e*QO<3^utU#IQKLg)hL18tEl0o^{|wOL{|0*TZxyhu*c9v=#m2U#9-|72t5tP{ zbs_OM1Ae-Sf(jr@?NQ$KYP4oP9O`O%F8V!+^t0wF3j0tl9_QN+lv$6*le|&bpW7kd zT&rD4Iy>$!^`Njnx5NH8UnI72^&Jr`()n@!3fcwgeSbQbzNKG|XTL?rHcQB}Q~>o9 z>xF?aoP^7>-|2?lu+2MM?i#kOyF?qd-43?>#@Bwyo4?kBWlb&_wprTr=Ih+U{>X$e zo+kTo8CRZSsoskiSQ|T`@+j)lF|!=A-=Lgo#0v4IN(u4#=j6F&Rq44Bd(3{%me^zV zyPqqu>tik*d$z_dT-*GW?~at;06c&~teBqOBF8gkzmw*7rcuq*xpxS>8LIdFE^#Nr znz1+mrH+|;e7ru1hJB_UUtFMLQozDc`;j=QYAxBfq_k#q9^;xa@befdM(807ZyN^EXN-qK?4iCDac1@cFYrE5YaV(EfkCj`WpJSaUB2Efrn;mp{=Ly_<~?#~~5@JUJ+MZX?* zQDow5XPFKTs0}U(j4^Naau+521UDDu?z$-JF45+q+>VR#k)QC}fAgPzQ*H-3Gv#I* z(n|hOa*)y{a~81)O;0HqkWHfPW5ZInt#Rp zg6OlMqx}!}Nqo0FF=eNIR^J?j9_inpF&C00S%jiW22x{EPD>+F=#dFW(JWyq#S;kD zjK=$_?`B8eAGDhVl4#=@Fv3x^HPOx`C7#I{Fygx~h+798(0= zMg!LPBi=pN_1z=$TZz^k#Ix4sjnx}3eN$<*$iRedCnc=yaqa@h(Tk5=H&B86v> z&;x_VR&+|`_vub4n_LQ~u4fZX*Z~GbMM<{ZsT+-s*|Uiz?k_)|a6oQ{{bkflIANL0 z68D!dC+yGdus_Z>7P#2NQ#iF4EmNNV$f=FX!6(Nc8>LvNVMyNJw5B%c<3X)F(l(Squ91yK z`pOH}?|JFfm&&%p+$x&jCP#l#{qnBRqWR;lRmQfo)032>{j4wjt)K8y&iXi$b^@0} z*pIGh?n!|VzdsEP3d|;Btc@mxdfsXX-=EHF`woN8 zDnp4#lA#a8g%%6jJ>rTIdYEByvjU+ho2Svy4*_SQg!l1nQ!A0)rw>mF;kR zoNpAjV4|c5?1kYG&%dm;NToC^Cn+^6zTC%`^|}}!mh8$1u(2EO9M0)uWuXGLl~-=3 zyz;X@^upC&bOwmhaf|_Cgut6uTZ8H;bqOfXG*(n6&e@^+4nr`7-PoN*N@je5nIRJ2 zrqSMy#F>b5W;iR$#%G)v&dRd!;bn%H&wlgL>$3`OOnK&`LzYc&ds4wIa!=7@%qdtxS=jmT%aJ*E>q$np;Z_l`RH(`q)(;W_2|BdWZFdsgRm@~Lk_TI%WGRT z=F8h)df1MsCXwdMS8j%Q9yQlP{%9pF**MEdwS((H6E{^C#fC0qY*byzwkl_Y3(b|e z?$=@q8~Nh~$RC-XT9ZF+h6|GJ{{RYVz`8ST70nIX%@Sfl0XeU+=2qCR8_U#1`4QXDSH$fJ#^CFO7g3PjDzRZ@rNqR>MN4n)`i21PDOwmtbX z;(UPR@K`w?ATr0+F6gjcB(#bzEO0iSeMWRuv-60pDD8#K(6-Bp4)`HXB)*R?7bK4G z2Z^ejx1nFYWy*@S->j{fOCC!Co~DbnWS18li$o|#U=#Y>sbcAP6wusNq34)$mDzB7 zRi^D`uL^fb!XF!NgIDFFo_gx3@A?nl{NN*8{!2Tp&7(|x*AU#^>5SVutQIn>?Lm*y zKFqAP2h(3>I4hY!*U1cTTQS4@L^=}`CfEPx-G#Gm33q;yqM7&=MmC`K1P5ePf^et` z)xHaUyN|W&w`w9{ndy?U4*Ft>surq7DCC3>JZeIsYv8VcRLR1okUb6T?&I4+$B(fg zp^w40T^}R7+ubXWQOZF1ap`CW$;@^yOYyRNYHQTIRUYrf$(dq~crrX8v4h+IVil-IX&mq8NX*QKKDGy8jvz&VlPwQjG!0|w4pcoz%Q!Y^ zwyiIz90L1-(3v6wLI$u%YGF^s75h9GA%e^o)@-2dSsz`9E6K9&_SE7!)8HE~&k0>5 zqBK61(MDP%UY~Z~@j^~D_@FQMWNB3a<5d@=uWm)7#i&{xCcWI$4(#=g^;+TT&KV56Hw4YHLeV$ zBM!wo%lWd{eSOubg6#o6U~nhuTO-`-SGe0c+?ce40Df&5ZuYBw2ZR<&i0!FNn_Wgs z!;w^g-fmYzi&CoHZndtx%6liv_HfW?mjhB~^?8aLkPdOxwUFPJHQ^)IKQ)#7PHUcy zq*CD%4W|=+o#l^G#l4eduRUI{MD4Zi&sW7TJ5S^Y^`|zqW_TJQuXv(5EjT|rOvS2? z9v(+mc>)=JbFF3|!}nQSBh}!?5B=0Mqi;T5Bh4r95r^iH`jMdN8v8`j0nLZUfQMLh zo*0pnA99#avQLlAEDmVrx&G%{PshKH>X=LGk%z zJM4eBYGX&-5tD$5>}Xqc#L0HpU&bE!`M3M4wh*Ff#RKpUEZe@nOj*jC&py*it;}>0 zu!UzsZZg9rzRkRed$EnO$M^B&wsEk*eZ55z>+JRD73fJF^eB2W;go*#CSEt$Egg7U-{6d0~`OT z9Neam&d*UIwQSk#IntfF#Z4NJYL<)c4zVADgv_0Z|I1q`yjV9y$U_Zz#RZ<=1fiN4 zpC8N2-j#}i!hjDzI8sEcIqpc8JWh@~lbI2@5jkCy%tQoJj!Xh?tnhp^#Bt=6?F_a2 z!lLJ*UyorU$G;yCjpd1e1z2tg&-dkCu=E^pm!?P~TKbyF!!6;tZtBA&w6pK~l~4Pu zcRGyr;_4m%di*)+7JkJ##2AqnrU)~2f#IEE$jm4mYBS6#fJh7^B^fs)jGAH6UBrq9 zh!$2W5=PDNgk*@2>5--cR+Wb)jGAGOa}#vLpuHqbaYNpS#`ao3HOcck-pXH(Av@>*JkA5kdt6VClTrnC0Q*0z zP$UNYp#F;c%=e_BDJQ7jZj~!w7gx*`5VDJ0fhG?fv1B_S)}xjWrSHpQRhADg zK}=)^2zI50ANU`-YJ`KJn^&HNLH4If9o9!Feg;ehOUG2*7Xbm4K%*z`#}&UxHS z-$|U2?6eiYGZh{aX8C)T`7%<<%KJ)alw)gbh3UF8Vbc?t%D3(kZHRk2MDNf4eZT+s ztB$xzleH3KBF!RGebYqm{)mod#(JoNpzDlbrW1CFxOQkv=Fzdb`0Xb(TQ?-)nqiXr z=R*P|gK9-0t{L{I#7WFN#W5%1njr=eohPFcam{d+j4p_qE%&H6C}Z9-`spo0T(iV* zBUW<2gx?{4u0D=LCuWH+669#LOx4=3CjFsoImd;UCTFv}2{L>t2B!Iag1i&5z!{ZO zLBYUy8ucB3{gqf6=F7$;D_%|@>Ep@kNgA{+X4Ge0&9?lI3H@i{?K*sxmhS+DHJFd$ zUGQRyOtlv80(vrY?QjV&Ed+Vdly(vNa+pqRiAOkm(ZpR2(T$Y~3LF=y{e|H^!nE~| zqhF7szsMC|j{fO(-q8Qv0w4*LJ(XZ^&Nez2pzYB*TDofI9O?m>1DQUPLF zM1c%?(_1Ot&W8IV6SxR_(tP)5DE_?Znqbh55E>A8%D}y7C^f)rcw*4Y3OHK7t3yjk zKNGn5=nYFJJrlQiXt!4k82RuK<0@zt>QG*`l)o$`DMN$3+v87aXhrgyOK8vK;DPgP%EQDWk>i7Y-_y^#t)79Kf)^7~QRtwHh;1A65w z!Qj*EdstJbILY!f^pJVy=Q*N8T&%eW-h!2RvW;vhn>7fpm$<5uCh>2OHW6SIOx3-w z(-e9K-0GF$?=7Rap?CTp-+@0Ij|ab18;!5ew%{D=MNzxJcw>fo&TgHghIhn%eBSr>=I?ijK{!eoC0u$6ge zf^*J@f}13fD2Bu)0#$koL2!|+M~}#4ACf{ta?9mOjx5Ugbf8uE+12ujT;sT>kedgEJ;iB=2qX2fU}(? z#?muU56eB$%(W%K*({mSMiP9=auJ*fvI5CDvNqU_|BJ)mr zc)Wa8D{{)!GP242=c~z;V(A9Xj{?r(%gdlFD#2jB#~m z`kqrUYY|uP8-&wmLe>~p4{!m5`)ne{@fv5U^3{ianJJi(wZZ-cZ;h+Vn7M$AuTdH|e`d*BGHcl0kHP&Sj zHk`X1ocqfAU;B4{$wO2nxLH*-(cQpe7Md=Ggo9D5Z87PsR8=*@p=mfR0)V);X%TKH zeyoz8xe#lhG;9HQB{`WmXDepygnsCy**`LbiF0PyK@>oOUM9OWjp~Oc&Sk^q#2#_x zTbmI%nK+jX5tmEshpK9tXyGeH7Bf=Z4Om)q1CF8u8qZNeR9mv|k8~K2KZY)O{Y4fm z=2Md4$!!N>`TJ4dt^;7Vs$R*lk7tO~`DpDTk6M;p zhW(9Bbrj9a;ih zTXDaKPeMZk&$XI~1h~l%+BFz}D~6rWsp5x`0M}ML>>!IGhT4@B4W)2wEAH4O%&%vp zM1-a!vR>_rMEIW^qtvw(m(;>>TJr=Hht~mLtKTJ|a{nfUOzms3k6Pkzj0LN{A?*US zH8B-TXygMvPkk8$_-)OUzX!dRMTUCKczsT2XO=I?=6w~PC|)46pHX=W?op4#d%b47 zLYWs2MWCZLblYcNbUUIevEXgU+d&k@Bw@t6$X7g~LA+HPm zw=QNJZrM67ZEcx`iSl^WUZvElz~~+kw53Pb%gd;KUsPTOVHyl<-=7Tcq8`7%sD@ba zA@-Az;a&8T)l$;3?d9!*^nZopLp-@C@57)d@5{FDFDy9U)UPL($FT_->E${0bn9*| z$lY~8)?K2_1-Ts;})whRZ)whQR@fcZx z7OX0l;G251DEMZ__mV|C{>)OcsI6z{&wb}+dEuIcn;L40J9gGV=>x3C`WB2ro6vG3 zDTJ1%cBGgpy-oI1oK&iyA zH8Ctlek%ip_|>0CAv#$O#~maGxh85|^{)!fPjWwV-e3 zx$p3Ef*TIc9m&1&Hz37(`|NDz(8Af!jDG#1Axwc;mg%a~^ z7&Q{*e!I50@-zu%)4!IL8j1cJ@mG4Z(XR!^pZK*vA#v5O<@$Q4el0VCh$9~pU5B$i zB19`Oo}_Rpff>PzR#i*qT*|h4ks}4h-4#R1UPOhP-Mwc-aWDu1Rtkiw*yzWnAYbZf`lFf9^>%^!ovA;+xM@0Z0IpU57JKu`ik@Vj>iK99DRqTzf6#E zq`(M)$YS)dC=Q12uT&tse-y<=KmJh!4#Ve%LGk^`wts#IFcyN8&>V!oW87bm-M>HV z5WhcsVPW&xXGHH2d3EFy!W*$`$(0%1b54Cy>uOvCvIMPuN*ayzleO+{%tefSaVgT z?ecauGNM^@RM;e28U39Z3U26e87aa6>+w%n-5@ zObC+Jx|15HA9~ThFgKypOfUz+{wLtycDJY=qblsV&yn3~%!i9bM7Q9{1}>}p=IsA_ z_fJ<*C;)j{jA;o7pebHQ07N{6kP^C13dGllsIU(ZabIm=~D;kajRrZJonOz-+wmLL1Y|M$=SP3QM0 z0Myu)g#FO9aYEcHA*|y@ROM;Wf`NPw+dB~HSB6YS7{KI8&XmGY#I{+5qlj&@3P%y! zW)+V3z_N1;EhueMt1$6dW`-RekrLr!mI=CB=(zjWBN&h^Axs^JiZwO z08h`(`Ykk*9!1|s5Yp+kvRNj~ECH|ruW4ePq4N4X14rI2g80HOSd=z$h}M-X%=f=1 z=$@h9Zfz)+74c?!M7K7S_gBfo^k_Q+isoSv3ZI0B72;WaiN|qv-wR*xeG|T)`O|Oy z))ziFho-9dZ18Oo^Nz|pB)A2iOk!R|hRyJFK3b8I7X|i?v!spf}4^VKG68fQ7Qu z!PDsvA0{n9{P}oo2348L@X--Y7eaw5y_nWyNN*Yg#dnuiwrV=5D%s9=eFs}WA7$S>3SD$Tv!(K*IC+5+yy7)V zB{8!EahhopT+MxxWGTa%;V!=FQ3^{p=P|LKf@QdkQRq6dSsOQ9*CHKMeWGw5U!e(^ zMJZYyQ_ELaGu+4++tX90q{VsestFPJMBz@pA}LGKHuyxz{kAnPyFahv{dt}5PrOR^ z=cq7bcaq-=a=)-7lwQo-pBQX4UC^;rb&0wdG;x1&L{{Wv`RT~3bbrp3fhy@}l=2qD zCu?pKG8IKq=C-*_Qm68y5T?lEXB3i92i_r}3?kc})WMXtQY{meh9ZfjW86HcBip{e z)K7hrRj8OFVpXZgagC~4m;;86gMoFAtLENYSXR5F3{}^iSQaaiQc`CLpTDfT+}Ao) zIKGs1!uJP*;`@_r|G*eC#yYH@|LL;1Wcb2DRt#kz-L^6im~x@{UWD~yv=iEMZnTD!xhA=Xx?#7M-Jq zlWHyXDr46uIYe8U3~Ioqw;n;ffWuc5$!RQ@@`%WP< z(pACKtutI9*?`2>9{B(S1R&Mo=~bpWLaqU+vIE=nB)OJ4+x*ZW)qq3qnE*se@hkiUbrE!_c~`*>&i~yxn17XA1dBB4{~=_vb+liW@(~ifXAqQi zV?p_SY@*pMxCs0upNto(G-Y!U*7^kLhjZpJ?g9Lh0i4YzfXvOhOSJg}x6^9&KYr*h z{^V=!6KF?<`2?vy<5s%e(eEOVx4PT?h`wq;r*u{bu;~-{Ii5JIlhX=0bc?|3hJ2Ek zVZS?S!3|wLB9k5s=@XdYU;|E4PPAB2VtZ{$fvoBquG<}j7r8v}amMXy4BhNY%EQZt z{)k=5uRS(R;kq;|OG>vpdZH@Jc!f^;b|>zgjiB)>wCIsUpuf-&5py*7=>I8Mp}lp` z+7VwPR#@Z@f$1aLL0?a{({i`&oYZ*?I44`LJ8!X2&(O{gujj~i@J9HP_RX!=eIeqd z^OBoU65rE&lU`2jl}k{e|`qIgw|&xUhP98CqiZ3NjNz z$r$xoxdIL6%r&r$75eEwqbTYs0GAW@$XbXYwO1-baX`!vZM%}aFwBfA3cQ(N?g`#C zA_)`?#Q{l%kc!vV@E&c%rJihAT)^OO3dx|F46>0XPSWRulO#zA``D1Vp>&^EB0ms6 z_9|#4sEr%V(_afVPXyxlT5T~~CB0B&MNfH+t!y(_FkWalmnc3SqJBLe=KH(cFLb?( zFcsgW^i1pJxf*sr3;M8_>MjmVypAeJWR^1amX^>DP;yMTK2n-amKYwCX7~)J@s$iW z@Kp;3TDaFBoR98H?T4|WALQqfpD&BF4#FI|u3aljXfhH~2-e=GzQmM>l7hBj6Ex+>gdYuc`ww%_v&Kl$obc>B9ttVi;*Wbl5;_k6&o|4d-;O5v)m@?9V(Igsj$Zij|d zyt0xbIf_&mTQ4XHDv_204_LEWv8eK)5h#&rno9po=Pg=Ys@p^OPYR63UXmVOLsFl> z4ADzR#TV2KTeRd?hmKXgCoCul0Y4S}I}WK2EPVo|_#|GkFMZ!9c|bH2mW9y8Gzt?S z&gUUC%3sEv@$%=;H7DDlcIHVnzB9`0S!VB?kfiK1X!b8^<5{96{_lsHf0cl~PMidw zZ?E>Tp#=#q5Mo0CNz0iYPuC?jG>Y$w4kYPO3jw%nhZ0lOas?HcLm{N65{-Vqs^v&C zJR##F9ZlhcVNfIhWIG&RWN^a%=(#C` ze}~*DkbS&irwH=rNWOZPdqi1OsbbXmBp9Scn&ABv>bH8@lZ5(T`nuowqu=jPuPOxw z^(M!%TC>eN=uf5~o7MpA@M&NxcBf7UICWrzXa~I;3fJHCT{^OEP-8%)Ze++Oh^?4; zIvwPwmBH#OvYuv!V2QB8AS)*QW;bN`Z-(uj6QgK2?2;US8xrcx5T5}`b|?;_G?>8F z4W*uzEp>7fExVJtLcucX+5nWb>3UKDha_KHv)xh5jz^yw!pujyS$2m#v<1ZSPzmKN zLVX5zm%)W(wBUHE<5|zMDd9xWE9f1VF=8lxJk#y0M}-!hyl5-oIyxM1dg;aTe-rBm)F~0af%cy@;e6a%8F%BSofdjzCiywotpN3@ksVphnuyp^Z@4>q3HyOZ*~y=UoCH|oIyeEF|S7> zsSRUum|5o&IKY%o={yeCR_jIyVt1biG>(%&)O2V{!S7RHMW4Ve)` zB;2}JAZ&BA7AFdHAZ1lY5BKgBNHN;ag>=&BPAk|k9Y%HI=YXT**HE%!*55&7oyZlT zeoT6C<_@~Pp*!e$;SR!aR@^~YW&RGDQH;_2h^G%U9TL(H$Y+%4hdSSZ9Kd7EchrhC zmrmnCzgHX_z-e4Xf(Q~cx_+`J$K66=R@SQ^+u55<+?W(H9oK zKipmryvYm`d|R9pV1yH>-C#2lPR5%!lI5y6MIdYzxu}hBvP}sHg}jY$!h)s*H59vH zap~i~=7-<)ah`F~N}pw%Qr++A3);$ij`?IKwz5@SYEUyywjvTLXgfzX2b5Zj3jpmv z62D*-D!ql&6x~xZobB!A6WR=Cd%O84GDEo7P+;UaVzQCejQr4%a58AQiiQVqq2oF7 znUhzXZP%s{ms#Ebkt4d5_L@!$6FvIBHb2o*k?jSI6>&{zI9=9}cOF+Gl$CSre>6g2 zSU?nJW0j^5W*ZG3sN1>`*A_58ssmLpk7)rB*WqqnG%Wy~M}?cgzG&c{&qvbMB!_v~ zc9=$$sH|f_DDM(COQ`FHb|vA+Uh56>hAupUICCrG4@q*AM_| zVYTVn8dEp+h*`KqTbAc`vONFn&0p}-ALE_a`g?f<7#%RM0O7&Ssk7+V!DV%p0p%0v zi)kUMQln!9quNA*DpMLyn4S_q@B&6psat#s>LZL|h&KmxPRqCy>MR3BZwsFS5;DEk ztNhr7Itz}?QJ(nRQ#vk;xYCaJ|41d3GdeCzWSC`(kWETfrzss5Mqy@&j2e}fMb%ey zb)yF%i43#sF%>d7B}nlV{?K9~Lr{LFV4tcmn#Y(Z2^Aj0R5ncTURPP6;o{Ciq4g$b zbPO2``NN8o#!^DV9E19QI12TYAnBkExVz;uDo3Xb&-LqZM?umXLC%H0XqR&d?{=m1 z=QKjmGq7L90zz$0#Cn9vrZu7;OL(hN;!g zL3~RS`*w69R!r<`fc4^SOwdFk`vdtn6az@X==P_YCc>wSonsG{h%iDsh?42(hV&ME z13q`m5EFq3nnPGerEv55q(ET|F$2PJ|iaBS7+mS^~n}_xJRJ_`2q2#C2FwyF z@rqHWK?`DIpp+Vd+EE%tJkN>|fa#>0Y8a&QY__7aIfboRu2|steg~Nd!Sh2`Gb$sV zgZZKI8{mhIXrB?!`wjppUk_R+>JL-k`Rw3BNebAjgq%2;chOLIo5>IyKFWq6Uk$Xc`#2el+c}<~ zY=#xtBPm>?Vnu?t8FHFk%4=f`Om%32w;7%=<`<~Q6#lPcb8%;bH;2z9S{GIy+QfzWR zaJ{WZ5gF{Fa<7&6SOJh*GgRKeAS}2ADAnVh4yF2vktbuxytYmTAud{G#Bt`zB^{WY zc^7BMu_LsgDl9M(DXNZIlOG=p$%2sw1N;`l2j;e)KfbVHQ@$Rr0LX;C%c%nCCSO3U zEz7?iwQfAizwQ!kj>Y;*bcti}{O@|^S6*7m3d{!kgf5F^JtvQlx{kPGR-gw63T}36 zJQS2kYb3^Da<{rZFrD2g3fUBZC50kNe%<{L81QmCoLlUF>IG4aMaVq{3 z;G4+Bn~gx=t_DCy!5V-aDP_;1?jF~)v9ogg1rDIDWchbL0@n+eYglXlqw0>aV`y5+ z5Ja|I0fFi~IRuT$^x*dum<59Zvt&D9RwaxMN9ysaHsE{<%m(fM5}!f%c;VxSZ|RsT z9>wLDn|>A>=DzmwAAeP!+A_M+(#P0vU7f_c%em{vL(f}xi8jo=9nAf?zx?-p@KYUg zm33voym7FSyf!lNJIEjisHC@mkdXk^LB>d73M6*LTsPz|IqSpUE8P7IJNQz{kEp`IlIe-bx6Y z4K)jn&?2iBxFHeN3{M!-DKIx2O%K5hiLhpf7$wOZG(DJP<)MkNW(Xx9oh{Ng2m~ub zBCHvL{mp45c(}Bvk5`67SU21c!U9M4m&gpoPfdh1L)4|&J%{)LoG?{bNN+J^OoYvr z2a@x}@-X@$C0%Zor-3DIVDSJqpgv1UmzyQT8aO`!N8dNe5wN3Qg4%+Whav)ns}!yaCCc*=6Oj)EUk!nUMkl&HP1_H*>;lZ<>1pG?AM6ePf}~yw&qS+ z;{dsEW6H055po4*r|4ydtYVN7&-OR_U(i)|o|=y7pe(P%dL~rXBcKL-geFF140WOmC0=&B|-~1L7weLW_Io-}1;NIPQ^SVp40q*Sp?stFdH~sq0d|(#+ z)8xP9o2`i1?oSjiTV{R_V06Q9nfdL4zW~y@eoDagL*I0bcec(NYrKzAW)+~>WeQP} zYeSo}fsOqyhEplxDbzXpH^IHh0&963Z-bgzN>>@Dh!fZu$W26-yQ?TzhF~31D1n}& zu0*J@Y+hWW0&(Rx?E!SP54eHikqDx}S|NiN3{I9=rF}6gP6LO3Ac^}w9JQG`L zQ!WfqZLH-)V{DlO#AtXtum*cBwoC*m80J*6M`J~7*|Y~uB_lf18mt?}*s?uzDd)_R zX?5r#w$!i|helLehIi!%=x*0&X%(sDlzR0;m$79QdmRo3l9b}dE@MlbIm0M)tir22 zX^TS9s|@!7Q7EX_fIPFRDzCmn6T-5{8?Qh-RyN}s3$O`8QZn;^geU|;E)*wS{wsg-Hv2GD7 zJD07WdFxeX>7P2F-}CSNFJJz>ADkmrEv8$zaD{cTM{{Et;(X{3*E2sixe0) z%O2t+Sd(0;CiQ6Bnv38Zqg0W{=N|~2*hu}ye5HD!cy%UO>?o}JCS9-x4^gJ|_xx3| zI+HNA<0BcIUa}F$PBs3Ykse2y=n?V*$}8?U$5loJoy4H@JS7@i#{neRcG3LtjDghWtg`r(G+ubdehRS@! zQnO6$ZY?AWcP)M0`1_R6ciFP(lm6}jAHJ}>?2e{ff4-xy^Bs*>>5jf$c}HK{9eq7_ zM_*sh-O)Iz-O)Jg;vJ2}U{l?~)n>Y*XGHwjF^1?O)9=+c3Mrz|3_$peg&zmMu%O>B zw2VQfofp;*h!(aYM^mfb%eFgy4Mgkl8}$95^Iqh%U{KKiWjpLIqW``>RQG{K;RB0k zesH`i5q)t}!*a(f+}mL}(a4X>@kbk%!}&&a;07Z4g&z;4`g(`x#~*RNF{cO3{3G7? zmO~g6`^&bU@bPeUj4g|hzCRY99|qH2TF z{u1pee|6LK=l4+P`u~M*{LpXub(b&D9I5&9Rt7?A95rt#5qM)-f->otHLdZ;N&cSg z&_R7~m)iK#Ti`m<0m_C@J4$_v7_BJof*aDGH$!Ca$X{XKB8CrChI}k%Lv8c}4ID|v zx=KcW-VE{7)MkqgVhi<(;?J8Un4*|+2`s@Ml0To`B6Bl#8HQfSK|HFccqksKt=V_% zfn=}+L;7BYC7;V?*wqdK~HsJ15WaeSZr zM5pfc_jfXA@xr5Qt{|=uWJI1{4vE;@;VS-Wjl#O(GivH+s=R*@n)O> zMUzFLouFC>!I2py1Z%MaWDtw$;*!`wHE)ToZsB=hAXDMF$ae5tWImI7J{-SPcwTTN zi~VIg>@VUDVSfxPy2Nv>wIjsOGT-JtzAgOFx9a4}*AD`$zaiRE(&J|#^So6jZ>Ku> zg@5?NzVD}|fJBl#?){Uy4DhV$dU{nx(Y zxg{D-59vJM7YQlj&JFQM6E=9G<^kol3Pd8%bs*KChz6j8FIyvG33Pi(0TMb}G=-F~ z6oIZA5c|nFV#;)F7=doKbE5#d;Q$o^9D1sALmp;^h%yL3h%hP`SdeQZJ}}F!VeOEV znL(-@Zn=n^8L(UnZ)Q->6x59ZM@KWkyAU?|J5#Uf#pCvr{nX#M&wS6urf+bA`Uaw4 z06|T;5!-u^JCICJCd)`g$a3yQ&U|CXqzN#V)wm}bL0Jc=6bZU(`av7IUVrw3cfWKU zbeypD+Etc#D&BhK`kilkmyaiylz6%XUH{RCz3rW!_aT`bqXLSSO;2))h#oOn_MCLD zplcF*1!%G_5{0)TWPyQ&QAAeIH5sDvXo|c_NsWeruD0Tif+k2v4Grq=)rx|ywqmnO z=n4Wtt??`9nhZ~K(!GMNwxV{i0N9UBlFdtB2vXmIuC^k?|AcP?=R;_w@xw^eU|(e4 z(*WNO`bJac+m}?5tE~xf1Ic_3t-2q@nok0H zffd9X3mop+QVJ01J_D6U^tI_LgZ@*kDHIvA>}>4B2_veN9_BZzWRkNb4ytbTsYykz zU>R=fs}qQ3LJz{4LU@Wl6|KCdZ$4{`opx4E3TuYP4v0k3JdIBTI$Qapy8Nedz4TML zei(l$*Y;Dnwx0@VJbq)!EqiT4tsmf3{HZvZC)ut4so*q5KNZq>(!p&#+x9a`Kb1K? zPfgDex=pOA$UW-0ml2-^1eQyx66CMo&dq!r@@Wb_2n@=q2)8}E1B!-(bR>XWHTT{E zx($M&aKg&r2q(TXqMvAIXBj6SG=eF-JbS2*e`=+4hDBUKWj{I_cxe=cR ze4LB;G~l#E0ulK%MbDiOWCDRG5oR(Urz0oEKR@U)C|FUrp7l{gosPEBA+Z~2)6|}IQi7OBnIw><}=3(5gcK@1_2Hn zWo_JZLy17LB_cUV8RF4B`q%|OAF?rYDap@2Br;K0Gce8}>eli!@p<+`;-3V|cy*u? zM_Lxw#Dyw8`6{=HF37_oyBK|alA^@|4w3wySS1e;JVZPAS2?s8c~<6EWv|LjpByO# z@dG;E9Sv1%JfP{QgS}Q0M_*q-XI^MIXB!-Duk1d7!vt1LIG}pH)xFSc`VO&Q`gW;&(OJ!p}3|Vr)n5-G+o^$ zWEr_>jlgmVsEZ>QKyf3my!7rDbDd>8iTMqKbX$q-NtW1t;_!EW<(ECcRobit zxW?gMKNf3l1~!q-R-B!IO{B|)JNAG8z>wfd6Fk#fh=hrBW{Bb3+66vkNTf5vG@lYH zB8^@)Bs~9|B;vpxMPnUXYR^yAW`LVloSUjG&o#3QZ7AcBTt&l5i^(OzPzA4aq$yW4 zte{O=A-)6FYaJ#Xj#VH=E_U?!0o?KOlD5;Bz8Zb zdL?ebPSsw0E+%(1lUDA0&OEjK3ui~*lUPu>3JPS<^i#kXFS1Oq_Ah`HR-lr2%$Fe>iQ7s z#>uPeF3}d{uD?W=&}+Ww2fpgff7G#GQx1mxDFJawa(gDjOmMLkXEV$(xs55`Y?VN| z95NV0>X0-C-DKN?D)!k)#YC({}9Ctcvt9WIzo=XE;&nbIMt9U${Q?7xu!dcmjQFQ%!%6{r^+%K?K4La?A1kW3L^|G9{xP$>w z>G$Ot@HU+*l{WJYR%BD(z`=ET!Yuee8^GQU!2YK1{I%vy4zQZ(sM{b{cZc+Q@Kya0_cboOt8iI1zgY7Z#;e@i3Zf*g6M zt%^oS?&6TTve#CN&9zbIx^(6ib*_Kn-gwlx?hKZ)*7VaIf<%BKTQE4(sWcQ&OooHbwuB*ANXqVUdbNO} zt$5r~kQ{`N!|7DAt2N`C&(Q{Qow|KeTlEzM6w``{fsPfAkUFT&Q9v;no-ocsDfOTr z)dX~*ahl;=n}}qb?IfXJiexqc`3RZu>exk7$Iziom7jY6+SfdJ2`}=Rop9Q*W}^+8 zk<%_2=WK~-*r`!upK{aw6~zt(mYsPWar9;YTP$A3MSnnGUR8bO`ByPqm7Nl^-LYT5 zzUH$}v#xw6c_n)e8h6XuwHl=!Fjbtznikb6VX5NH6fj%P+1vyBA8tZDMr0sIDWs4! zsam9vLAnY_>MiH&0rP!)ThK|mJm;M5?uB@3L;v;Aa`Wh~&(MWSw4wj{OLPhPAODR{ z``FJ}LjMlIBR~sSJ<;44(ZBCbt#(%(cS$7L@g<`FsfXgZq>|eqDciYWM1Qntk$H_) zZ*(r!SS=xOg5VCbMI<>52pqM573jY^Kx>)^-0nyHXA%8}f!=`taGx~w{2U|t11blp z4O{G7;4gE|X+KCWh#(TX`GCX)s-iY56dUs>8c1`?!7&x3bdMJC*I-o;?$KvZI|kN@ z3WA!}Y*`_vodo}v6Cs7G{^KrV3HE$Z2e+k9vk$KZN-`F;Vs`N!=W^oO}$C0nc%e+#A-XQl4D8`o_ zM;qjZF))u$Md4Gw?727p(>G-m1uY3!z@4j;8uhX@>42%1Wv!KvYldK9aSWFXBS~2{b^(3q>Hj(7g4eSV7t+ zahhQADA{WW7Nq<&U&Su!h>!K_DNLODTf)g2A9H95M+vk%zaH=0xucnXj=II2h_X3&D&SKgNb_IXW^B=9Ta(a~mCSgyO@uI+xi zw)>59JLI;S>iAD@*!_0>X!qN7zTfcbmhQKietyv3N;;Y(@`GbGqSI9kVS6-`UDObU z+zI^q+>k~--X;7u^wXE~LEs7%j^WaL5NUu5$46J#B`VF*Z|9B~R`ugUrMhsewTC_&pV$zNU(qpl94}&3ru+D|Fg?UJ(e1CpL9E*!vqj9f!!QpS zHIi#kI+!H;$PhXRw2q=Awe|9Ez5H7*|ARPYu$s;B-cR_?fBDab)RQ!{)ohGmZBrkn zY0;A)8)Gc$DUoeznCngrWyhvz8wDHV$i4#_9$M&yo@Zms_7fItjJbZo$i_&jPdN01 z`lqCxtov`S@lXp-$#S;yP*YE~W`ofXk|0Cdy?TVs8PUR1vP|k|EM{Fy8li`ie4^wL z`8bK%^%YzALh-%opplrNL8lFca~SW!kK(k^1jX6uDx(%skWiH zmpOA11vs{gtDl*a_pu3A?{#FU^cG%7foz4L^;L(PFAS}^L|b8K{Uy4jF!WFU*B}3s z0{GVa1KQAg88BF_f{RLlaczVNFhtViv|MXQxNW^EX@G==3Op zr66t?9VOcrYV%Y8?VAGCkGm1E!v3uh(F1uZ{aliW5v8Jl{o+jL===4OLekB=VSgxp zyl^&JUn3%Y1VJ(+Xihh^LkAQzUvnO z)1^McxYLr5N<)$B<4f$AfBy?zn%wstzOU&1<$YyLg-;nN=2Se!5f&f!X}|kl+qxan zQ%A#EBPVX%4qLaw+tWzpp-6Ajf0-W+xph0-g}`&l&x-6C9mTqeJ zB?XkDgbeKkv4r$cb&@5NV+NiA^xj5chczRVpM=OSP~PlRPS7kD0Xk>YSLNIlohuDK zhhs%|2-piaAN9Y`>nMK?RphB;y*UC?|4-RZ{f+yEFxxQM{@=J`Z(+w|Q9)Rk40Ai& zv2_pr4V%|L_&01m)k=QbL-NbZ}vX4H3l)WZsuyJ1HU*E@D=mQ=6Dr*_FyJH&vZrK0) zbAb+Sv*)S60JS0n(UIRK;kG@T+d*c?kdD^FQ>iQi9UQagk7VKDA|El%wYFrq?Q5Eg z()7~4UmQI+Tvw=nU@7`hhpw_*?aVwV`rPdvi2uv|fX4Q8KfI5(xNlkWN1C~+V^p1L zcR&YyLDj1}?(3d-Ot5JRE+PyHZk}vAH&1)$!vUq`Ja7wPQ0~v|uz%HJkEU|`VB-GL zbL!m0y2T!SYmrOYpvAu6Hlk;~JU+Mm`1r!Y=CjX82YzM~Vhha2+_jEPe4BX_JjSLE zuH$`tx#-V#Uy|yTIJj{Y`F_~k+T4SKC^Kwr3~cE;&am1j4nTe$_;oM5^58`doWs_u zFJHg(cA1pxe7$U$k5L#3$ByZMd#kSs{kNP_%qj)8d<+1l?D7eZGZRdBW%8hHAf-P# z#<^WnT0?i`C%ZR0A()Cy`E>AQ-@&W$QBOVf)Hl5PfBoFXImmQ}Sg4q-k;Fp9#yNNc zaSq05p^bBJ3lr-d&I@QL3E=T@ZyXy(hl1}NO+V*7?{O;uGQ*FEV-8N|vCfgMJh5qv z5NqY83rRiWSaGJ)`^wqqz5+CP-&v5kV|{V!N>3Z-V14Q763)R(f9fxO{YQLIR&G3# z8oKeG__pa)v8FxYRTbmM)X;46d`u0^HqRGzjk#9$kOXf(!V4$_VsAwhjfDJ30Lh%&4hk{}RZ9>|+S3I=WVBg>Ek zK{NzqL>|38_Pdc~EIXZ08WKVz8HeOX6p591eY(@36OJXA5oz#5IL~;2x&{>|Nx|$o zOcSowEUr+ViaezU5HHcZ9zeWCvw8p`)@R>5+a-mE3dx|ZtfZ|@6^-P7qy)9X;SsyU zlzfPy7PmpRl>d|$g5ip?l|+H$^$f8?-oI_?rQuHf|GoS5*kBM37uXJn>!VH|=@DH_ zLP(Y%G&q)7gZr9g2}ux1N`xc^42mR#YN=Id(HLqI$KRuNh5{&=a0jLFt;d4mm?n8z&6wK^AeCXr1``S?2F_R%F6T)vm#|7FB%M}@~r}gpJJ+eOntr=~%PYsOe z(2LP+LMg+b3Zm@K_5ah*#GS9Fy9Ip*e^oCUx7}*~7553f2xA25ucEXLiJc*CtinbW z3i3D)kJlp1lVnZ^Q)S!3yjn(x)W@O=;I?&yx~nL^PZ^;i%GPSRm-=PfPoLQu-;%E; zSJq`J`VEN0k38dfGE0b&x#&JBqy*|2H$nhM?@&?GMup9Ws#TX(6^-wdIw z&Wtq=P0%V2O<&XuPt6|ljU)|?%z3pUpDboLU_7$S@xd@AnYk0^`;wRkQ#o|_I6s>^>N zVJ+K1!!`miUpw^D5=rXn4>KuhxAa%_@^Ra(=3jB2FkR8onfCuS(D*I4pRG4hy@Z3~n!cJb%x(H8=H3+1)l2>4cebbWlBK0>OYd$vLgLwvsiT7md81?VZ$ zQFo>uFU_QpjkBYaeLXp9U#R%`n>^b9_9?gzI=>qs?@kc%(ha)-`rQTeb(d%Z^xFaS zPy47}{<7CR%-4%?9?+Ws+HnyHRL?J6LrBUYIwg$^e6p5$ehwKrO4$q{I=`2ZGHC8R zC_5{?RRMZ?*kd7t&`4c3s&U|k5zxCmTK>fF2-U&r&=JrPH&ZPLD;~i!tPBf4M_z z3qao;pqROI_!`0MK;aZZD7~U_B5s(0P}oDRH5;O#pU$tvC08527DVMt#p0>w(xCQ#(>Pe zxQTs{+`PQbkbWnd1F|_Fv9V))(*_-%H}W`vAO531_e*bb2Sn35=76MR&y%b(%$5&g zonf|o5bF%H{HK{4`Q7G2;0J&0w*7C*C>C_Hf~$;G#sf>%=`#FwX$mRMy@kt z58{F%gTQn48>T#g;58`5s}#fa*m01(&0CoIzgqt6X7UoOtWf{Il^M1&gST{tPWSbQ zLpt62eVbrEi zrM%H6(^4?8G-L#mc0(*65kCw&G`UoULTgKh?oW-j;Pq&StA_{BDab1#5h7Z?{SmE) z)rxcq%D=NKAnPWc{rYs zwSi@`@5=9IF)}CYfl5AwX!bWTNg_p2*AdL&pShXF zQV0b7yRIMn-e3Ii-}bEzpsn<58i4B7{^=g|U4Rqv*6j{sV~LCDEg*!{>18+8@zDb+ zhL|0r0O5v`0!)T&*XjIOj*M4cxEo5^I2&T5j6lwy+4^{BN$Z;-;%{k>9!^8AknAf; zT0a?5hZu(VFx_4f(Q!orXxa^3^APQqEcg!M{ne+Iw7wmBI3(^mcBv1oX??Toq%662f1@ z=lXvE+Z^`F)*Qd?Y^0j;{oyf{-s>aTd0w|?%IwwJv>#X9@vVtp!-TKEaX>3U-+*?2 z&jPJR@Y+0Pctw@G@FxJT7iH*izOjqoHst*S#g5+|oNgq{_vrCLHXe-~a=)%`_f9wQ znWybWyuSk!yLFdni+$I7SC>fSe9=39{r~=HZ|TyDQA0N(**%J>K)dE3in$T(3h2h1 zJH^kT1G~M%_z2xSWFJH?Wf)M>tqcq32CrO0+6h>U(2baZ&Hy4+47#rm9ie+@=>stS zMEG4FIzo4gfjBrvU}C#JvV`oPdJz)^{niDl5vCl05)jINiHzoNW~^HqoyzG<4w;t& zbXF9u4k4Qr3>y5||A4_=*={94Yec~+W~*Tnlhg|(R%rnUF`{gTpstQ_1(f3P|H0{*U-lSPb28BGgOTaCa{xg8RVK_r=4jy^gwDA(b88W_M2hir=uad0m4=*68p_CD}uHtLf3|o z_0^ts2LK@W>5a0q=xK*?2xe)`vhecLr?o3D7sa7??RO~-M$-& z-dz-3cZoI>y&V+&>fiInzIx+MXL*>2q8oSm<}_M}mjb>af;Pz4|EC+GTuHnFVD5DN z<>a#F+>eT-lAYG+aXs@UpQ>a*W;=4Ai}aqw3Nc;V_p$U0dI&AE1OfmadhQ4HI7=# zDmukmYqa$)NvnU>C;r_Z?LD;CJjW=l-b0a1vgJ$M=|0U-^^$AKoFE2|Y4ri&uNIn* zEhx`rewh!Ev>U3ydlY$@G+yFPLt#wH!pq!gjQEfh!JV%2?{o_6&=~$gG8qm%VHnfu zP(e$qvnB2{O4sZrN4gpsYvr-mhSnCsPW>bnqDr(eSsR^Q#Qdfg@3!s^=ztAFovU;pycj-cw!jFQvqrB6X|mYnmA zNuL_kc}e=TYpB1*yzjBj`#%3%OirWsNU2)waV!8D!|Gu_Q1}~)rpPGQ3G|qphR8Q^ z(votv;a|xuTow-#5K;p2XtLEbV3nz72d%ZQ1l)?+Z9I;GVwHHVmev){q@KChJZ~94 zWt0k>!2||XK?9aHnh?f~#=u8)^2-FJRFd1NlvIhEuinvdZ#sjPH+W<(I_GLaGm^Bg}b^J0+?Pyk)+9GLpEeqFl;bUKiACbguP} z269gjghuOi4e30c&Gdp;Zt+r-4KX{R@MYe<=APuyyWALKE zo?v2b-jS^flBf=vqy8F!(mToL-SnWY4uyMx8H`0;SGEHn^XD5j`6CS}R8f4|Qm8Lq zKag?J_|b2i-Itx$8sw&h$jr>;J&rwqp7aZ^Jb00`*kH3>lA3{c%TP6q|7^ z^tW&5l4zMwEx_#4jbt-L%kp}P4(#!&VDY02OU$RxhH*xdN>$ZC`>|$K3Z5<5I}V;1 zeil3+X~2o$vmlT++>ErM5Kdnbe~xOTm+B!uYQv6bV|l*dMgRDtT;r4o>OL-R{=#UK6Oe&%1@#4RSI01`d|2}kNn&Zc@l#G(=cC1G^RPi7RZ$` z@oW}6#>A(FouTZRfu3X?Jja+AJvcj=Owbsc4;OKEEGeM6&6yR9v+GW!#W-7{=QDjp^4>%?oY-9d ztK#0p;Rq6v&(x|N|Gn|nj#(Y+k_OxqZKK{`oiET`Mm*@jrv}q8+UD&T+Kfig@+NN) z)8U)E#c6lOff-X-w^TXu;9GG0()Byv_QKoW^&q=_GHddls$kgH^UBzYDTt4H>Zzwb z^NYUuQ$Nw&0-djIL@b+1-<=vv1O&ed19=i{D!rA6SY`+@WDM7#Az)8c8QqY$V}?B@ z4+w|@qcQNFiETHO9Hou9KkTs(ffV(0?T~dtx&^jkx2Lo-4UakkDXu8bVOtTJm0)bq zaF3jMd1$%?c|{#x$WIL%tqkcFm?4tykbxBHG}zXap|}NRc{<9pL2#$LgJj?1N$O-H zJInnE(whKgh+rQzp`RAPSFY`=$r1x8*p-a5Z@WXWp!_^KATC+1qUG$}kHRA<@pbXsd=ndH&q?{o#-moAUKIJr0QrkT5TwM4>;YGf2JBYDGST%n*ZO`C)Kq5X3UQQ3GER6Fntbwn!kUqJ4=J$*7YASEaOmsLBR++#*};<#@1sS+V`LdG zPx2|SW_KE&Cw+>%<}l#9f$sy~11DU4qIiM2rcq6^4()LD*D3wHwkA9{jJV>OdyI;# z*Nm44%?9-5&RE84)E(iR$;CWC5T;ra;Ow8M9Zoy(ea1KYCBBb%7H1iUugeV*7i5m# zpuS5gt;j~<+Sozue>ugKj*zZq?vJNtk|Sh^mT}^x_G{En369W+mRCC>(Dl5?5rLjc z9~~JuA_Yz2Ab~@0WJ=GY*@@(R)@Om=(!ewEvC%Ln92wbmN2U=S(%=Z4uJALC`}08? z969U|Js0bB6;GR>jd#J+rdUF{6uP-%=R@2 za9F+LmDgUmddJJJy!hISuc)4QUfA+Dggbk_EL@@u8E*#}-}Kfm|DWHxRHGR7N5pmT ziibUPIt5HTWi4GgDGT1VeJ4>HlJNiwqK+HJBsfaqC#~T(ht#p{hA{`;BUqMf`3aLN z6&c--$e6ZJj^8s3WHIA_{Cat4NoA&U>`r*0h8Rg%8A_;OALg(_ zy_kXMx-u+k6fOJ#d_OJ(6ThFLMseDq%0o*O7RGfCNiF+n>TIpW8b#{)XEkGd8D+Ai zeVHjo0ZS%^>Q5A}&j}N@wVHvsnIp@1fuLxE)C4~}+=u$!P<^oUiIP4$&vQuA!t9#g zq^F_bw3jg+-c(6LWACV9UOP2<=+LxK#Qm~=rcdZPYH3Lwa$ceUcAkqo>^F1=ytbK?JqFYa65=XD4aH2&Szb`Liwu6QvLK6v=B?9{^_&M4auavnTPGqLbu)I~oLTElDwZ;;0vSNMIo zbmRY;BYxNFoF&U1R202)dS1uMQj);Q z5=0XWZ$TO3bZEpmE7la}%#N<49o)9Z{phw;0*M{{I3%jc4xOC!(Zh{<1qj;1iA3?g zX}ZxT3U}^R+YLNVTK3g>UVi&r*SF90?Co=Hx6k#u+vj@K?So@3-ac4q>@AIMpSPVa zfxsCpg@?qE3Vx7qAEM(Vo|QWuAg{s^2|K{`DoO~l9UQ01@uI8oSRF5s#P{>3<8?_1 zN4)p&ajJ@rR%qhaAwN*B=%5V3;L;s8lyC|zjTBMB7cSd=z~;!hiSLgJ4S$^OxWb_L z{$)Gt-(B%Xl8@h@4pV&oMjrFwgt;B|my%Q1zrAV-$F-5Cf7qYfzQ0tsd=s}0uy=-Wd zM@!$Fv&#!kF>C4QikbrV)(!Y`qhp-gHBX)6t}5EVd{#bWNsz&0v-n;y%xoqPCcD|E zEvKXLM9<4a?DV5amMmN5g+iC=PRHlT2UvYo=zy-dD${oPE1LRhxl2;5;1{cxXx&wz zb<+LSU3rN#%a{-OzIRo~-~F23^z<)10U<>&IG7|NkL8f^PN{kbSC{Z^yo(NAq*7@5 z!-yHfCsa0Un84zShdo#ojEa3lK8nl`F0ra?bi4kjxiK?bs5ufFsKly*pu4#zBUHw+HViy(e{&U@bDR-3c~)u$$lZ%0C$sO(6bIZCUb@go%^I1{yud5g3PxR=6O1gBw?vIx;Bgy+F-l0?n%DD=h{8;9T& zR7D9+kuc`7p*nO-tF-9nkd8}?eiOamxMEDJw1}Y9aAL)nRvC6I5g|kvFeFZ?44%;m z$pbv5S{)6Cy3W2fjL8G!g^^E^kByF%x#R(;q%tcOEHF$Ds6V%|0yHInY8#{>3EP+- zfYzV#;>6?uzDxOv5W^gXaq1#oo)+RyNOCYGpj|}q%hXA1>FDhVSp%`m(+&JfLWGNl zxSeNr;+S0a)7U)SU|C$D{SNm8kDgkS{OF7Rg`j%f5}RF$2_}iU0p+|sf&JXBB0hoq zX*El8!i{(sNhNyF%J+~%wD_aE=+1Z@zVxF1v6Xi2MrlWX01jP~0LQ54NdO#=namN{wx)Ym*(vZJv%H{%-h-R28B4d1m$#`YTgdITC|7& zii@JVpCf$`J4eV+_mLViGk1WQ@pr}QKGg)Z)iNH_4pgMY*3^$-3Vr(LYOL>o4*zeJbh z2R@?xiqCv4P$HQ+-Nwf zM#Yr`^n=XM^@^)1JY}Fg91-e@;qg#Hjv`1L% zP&ZaYo~WHiSez}L$GSZvrJ&6WpzYhfVMk{C)J5GM%_3?Exgn&)E6YIoM`?YJ-d6$d zx@lt(lLcz0YS#r8r#$u)#a-gXoCcSzsD$9rrMv}D*Z*S=qTAR(r~Y@V`B&U`UQn?b z9!#K{2ilr-4B&kd^mPK-Q|=kqXkM!V{1?B<#;^-(S%!coNc07?54SFp$t? zJM541MVTafA|&w_B=!YCNFj9ia_Wb88eHTe12WU4bz~0jc56ECiLV^ldpr^9TYvA*{QT!Q6f2+6Qsl;& zJ{_oFpvr%#Q{On#+L>sEpmhUS$-+jxyO!RQpR-CYg3Wd8up$hhrl9)7zG5VRyYSuw zQ=Cw2hekN5!vQ-;In*oiF=~cE#*mm?GQ}lnmd>%mlS(2~(!>}=M@l&#thOSaQINF; zY@(A9W&YAopg3CwmbyT3TvEcgY`O37DP+wKy2o+NMJOh45gb8Nukla*96(sM6P7+q zx_h=ey4$7zQebzo9xd-cVL<*;JrGj5x z07a>9oV5Rl%^ij(L`122t~6F9HiN-c8AizMIA)g^1%L#amn%ld&9Q2?JEUTBx&^_- zijWHohnTahc&yxlRj6msg^X~1IYFC_@WaQ27P--~Hunv1 zH*V0{vcea|zT$_4*i-Tz9(zincLTevMavo>w-&7%Y0>(MpZc-?=es?=)N-_eol&s* z9&}z2-$OoC!^ZR$@Ifk|iDom))shNmGMt?xBo$Cw(L~r!;5jLL`k^J&n+%h#9q0ax zM-EA<*H)aHBqXU`TXAlZkRKytkg`A0O2l(c1 zIBd!<)zMt^`Y%WG^vmBu*SjmFyY3Qgq3i90uK(q?|HOkozD%ed*u%uZck|=^lDG#A ziT{ir9eYbOA2_E4h7)rEBbxWn6T+bdq(_qmBbsAAowiS%+EbblKr4QpSp(GVwA%$M^6*BzT)ddb4(4AXxIw3@%t&HbcgP!lZH?X==lDvg|SH2K9H6pBJQbPYn_PYN>Ry;ymN^f%+UTB-d22zrr$JpwtKlON{6# z&vR8KKw$b3dYIz6`WKGq{OhtWAy#8)K>2fUC$d+=_UOFPhmGsG4r*Pl$R2Q!kR-6; zlKqcPt%{3lV0{U&Q_!|3G2f+`e+#VYjYj>#^?NoN z^``T(hDUHo#{BR7;9I`*54+o;6E7@@V8dH5!41>+2*4*|J84eot&~JCLzJc=`~^ek z>8EV88`AB_hSvIo5qbbP`X_EkSTn;8!*8U~d1|ZG{5k4>6e;49MYFhlUpFtkq$F}S!|QIezCa<_v9jeI}5 zRL=G##qBUll*KtO2ksX9G9}anOC}M_5*J4WZcxt$8Lj*(#qBUlc!HdYhYz%4n|Wm! zFV6rGS#kE-{UCZh@$!hyOI{Oo3N0&OBBMHScAksQ1X1^4PE5$wh;A-_O}PnqT~W^Y zH>;-0>zJKzXTviG=1Yiik!-N^ha4uQCggP}-usHOf0l~X)hsFDR>_MnuVErRQS;U| zwOH|0Js%^Jh(kVtzvZwgfr6(eL_9VS-T3w?v}$1_fK$+e@p|4{y1lnd#@)?ith+>8 zCgXN88L$4>PkiLBdR=0=2!HcKhG%C7)1^ptaY&=g%ns(m#|-l%8PxYjlrlbs%&>1U z$cA9u0bvyc(_2*$es&sh1CqLqe;noi zyQ3h}f-bLPq}zEz|GSI+>n_oT{!8%!FYL4JE3G8d)IeJ zs+8Z6Boyou&5s!@E)cRZsp5g1>NVpPIt@pax<|DKjgRr@7bYpP-!aypJLi7L-yai` zLXMTZ5It^e6*%ka)KW4t>58gNPTSt*oQjIgfU3#k9Zs`N1$1BKF%j?BfUrr&DmwU= zKiR9Xc_Fvsg?#R>|J3Jy&teW@e_)OsjR1SNAp#4@cT8msi(LoE(hKc^^K7+R;f91c zGfW7N`UlihYr~j>fPjm#DLUv#)rK(#;oW@0F8K_8=$L~@BuaG3br=>`eHeNn`5ckl z*Zx2>_0RR*osK7J%(7Vluvx8GZmb|0d=`>hPt#eKkGFgUOO2Zv!JM_$IE5LY!M6~rI)q{8bYN2c3# z0V}q?zV%qK_4S>(ncg>B>A&Q6{@^$NvctJb|C-pFiH)Os025JATpxBeyEna+;M@#T z_JQ&@NLvFiT$Nn=`pgh5_sV+!QxOh8X-GIX!wxbz2t^UzqUF9ee67*M3BKefQQS$5F9fx83! znSGI>o8{>U&Le@Jn(-q`!ns>w>g`!e!g;ntl0?5E^#0TBh*w8S3TD}M9TP89 zmp@5^iCZoLzu@-Hse+k!Aa9(hDntzCYe(M%_VS;m2Ck3cZ84NLjeg*}=J%w(Q4UY4 zVtuT9JYA;XDprfhChY_EPcRR*g2G)WD5RVD%;S3N=`V+WuA#r(IQFl*L|Z7o-aWg7 zq4*s?`qzF-XmeC6($G0#v|GWZLLc{Bw8f>tEvMO}}nY~=cD z3A-XOZ~b=A2AWUI?;Erk0DHTxLHR$Xr%Qz%^i6gGs2x6ina6(qxkwI#s5|=hc#i#X zsOg4PVt%hBa){)Pa{aF}G4H2|CdT^n2tB|qW1i95p@pIRE*InTfcpZtIX*98c+B<_ z>*aF0IGp+vL}EVg3%da$_h zg!{TnwBh;sOLR%X{XhM+fBepGS;X`8y7fm8y%?hJ1{nb!@v7j??RQ71p`$-vYRSzM~K|HQ-5T_op@eocWsTYBpMv+;KI2KSv8^VxFkH=k>VgBHI4I`9_#&ZGX`)(&SEP z>{8<0@z^sGkA{&ZhdyE=H&$%MRK7@)>rb6>{-AR#5=L>wf+mNeyE4>4mqTu&Ja$Es z+k>A5JnoU zcbsD*e>g{eb3hie$;x_pH0`VB-+W(xrK_B+swcYJeuDP4W!3LORz2OTXCA*B?QNsI zz4Y!E(M9;eD-S4^KaI!NFP^|%0x+k3!<=g?dhMq7z3W*1=U;i}3-53&*ZHZ2<$0Rw z0nBruyrWxPAt=3-DDP$nO10L%p|qPw)ow^EH^Ved6#ztrx`3J+3ZZ7$Lp~1;`PRY4 z@vO={b3kV&xrn<2GXhtd9w#rS z$!rFN_P@Yg0$X{l_3-O6akb;*)T?|N=XmCF1im@7cl9?e7RuNYfV+)yy-YYq3NC@h zCO=Q3vE2vB4Gr{{3)iIkcLUtJ%S>B$iMF)&`uxTvY45N5Bfsq*f1LxaCYwggv_nTt z*yY)AvqR>Jv^T_KG^Gs0t+To@?L9klE>5NV%od!$TMR;nU!K- zUQEr$GByU~F}Fa&J`D-*{CJu6*8Vm&g6~Gz{*sx7(dkNyLwjFMixc6RoFMNHnl)%K zSfKnWFM(!(<;*vet!H#c6%6|(t*(axyt(t1{w~Dr z;FNTgmOgZuf;b*?Epc2sw<}N%o8lQeM*sipy$jH7TUH))O#&s|O-xD&iAX#kk;2O+ zd+qhwJ4iusn*_)WQs-VovFzjR`{+L1^uCAN*G@x1Bxrav2~=a=f~jgSA)tUjOhLeC zG(HF^grt>MfCLqzAd-|JLK6JGG3JQHd+l@n|D6Axp7+$Pz30EinsdGO`o{Ac z$R0U`v`QEga>g#S%#pvt7=&$>d&-!dem}Mn^%ESBc9Uf+5#C3G%0Jf=Ka3J{V?%YaK-I zG!By>G1>$}Aerz7LNr0tBuI=lK_~_6eFMQ3=vD|4qfM~yckEMx5-((Bmx9D-6U3>) zQ_dOTGboo9j5bNM7y^?FBw_0d!J0ptycW#r%fQkX7Hq$#(;R(EP}Z)| z2Pc_T>Vcj=)U0)(SFV%vw+Ei^P_qU?pZq?a#eKol6CCsk#DUwT3*0ZE+1y^z*FTe8QyIy?dR-WhW z{onS(t(N=EwcNvt>C7#vTEX>b1@GP6{_L8t2DW-8+vGK6HDL|79Bt>Gt{p>B;u47vgGFB24Uc|M`M6rLYyAMmTdwH zNq>Wec7TfqeurT$ijdu*ScfoI-|oXAq0=#<9Xo3fn4S)FEKRBVsG37xAe{SLn;hxG z63+-!#JHY3P9!2`XLQz=5%rvs%(ir7P%sKRrAXuu%g|4Cc%ZqSI4g8c5ZcP*HC27!Qmw!h@DxF$Uur~TWlxC~Q^-*MAodkRZHAX{I?ZhvVB?AAYQ5Uce2v!KKs zf6H;&9~UeeN)RN5HPC?vuFV?Oc=>oo+%=ke_R@=Vno1g)@K7 zX{wsw_xR@eeed7@^`H20DfK|TNu~w`-_nz>g|G%v=SjiKmboZaE20%XtT7^YP5`k- z+@cIZ_^?K-phtqKrMGP$o3U`gS>Pm0oohsE041^xGwtuoP*rSwD7YA$ z1`!YAi|ICEHw^+p5oVyLHCbyn?FW=#YErrm+cE3KCR&-p6&WX7LG!gN+>Ru+X7sqE z-PzoN>NiIVf;V+2AcHd1fU+ zFHgip40lbSlIw4QXiiboir?JU^{D{sJjyiT-}=sn-xa;O4&XXicZ;gi|9YJMf8+bV z;~(5jn5p)YVWwGA7j&6j;E3_I=^{srPuE*)_3ACth;Yc6li0aWuJeZJqEYVc(qX^H zL}Eiut>VlqZQH$M+|L@tG&Sr(A_0(v2J;4Nmacb2eO?V#?NeZPWgqxCuywKbxy`e- zsjhjhziXOKQT=31n&EHx33#h1@;4zxK0NGaqPP`v*T2wfeff!pX&Q~8o^aD^(tcw6 zl=pn$8=iOqI>yeQO6F~tF_4$Tlo{IKu1_xCJ!2+I6LW3peKTtD+5!E@ z)Syi>iZ3tBcmTr;veZ!n4;xs0)=5x|6Fcj+MO_^P_h3-dWVIxyHEBUIHEikHKt<~$ z(+!}Z)8R_v0$E-C-cG>M@4}9}Fia=J>SGJrirz^#r@uSM&)1%AdxR|15p9yI?CCrA zf<=ul({3h&N^A(I%x~&##y-JI^R-awILqh>x?i1d_my61WmpDZ*5Mpwmrbv3W`x!xd!7TigE z2HS0DyO>r-L)rN2+l+AO;JJq64jdHaoV2pTjvF*ABG)0xRu6dXl#=eb-hk;4KPE`x zIE!Au&psm*@iu2X_rn%!PvxBc9vx7*B?YN_a6;tAx$d`ewBO3{)Nkb&e=GPFek;fL zTfw)Jzm=o?R*uaDy@xY# z6vQzQC`k^U-Edjpqm|G`+8=Qh;*ATu$TO52RM^2gnA(2Bhc7yq+T8{v!hU~wUflj1 zCy!E#z!S2o)BfG2%>qvlz|-#b=Q!<;3+9LIe$$R_x(=t4`O8}Q>89zr-KGN&r}f88 zmw5yskgYG{w0_rZI`3fXnoWnFSh{`?$oJ>i?LTZf6ko^nyLQuM=ie3QFJreqj@RG+ zuHST-gRztMpF82n1#^e<#-}>5r;WK2BLJo4?cT-^S2GR~^y>~TEOr|gw}(h&bXT6)YyPf${1Z<+@!5au7ryq-rj#KC z>(T}pmP`w$4N$S52Mn*iW;@ZYoWh1yh%MB5V#18Y;B*0K*n6hA;N^+Jom>~tl%b$r zfNN4z7S0G#jzYa~+FH`t4=5GV5LlQaB>UQoY_ouh85&gmM5%PT8cKw=tmma()u6?5 zuB&A>$f8*zem`5h-?C{1L9lB>Sy4Jl4VVPG1|dRLDp9B0O)VgQcS7@P%o+GQGHWHf zJp+<;bj~64s&=bq_owX-l7_TLY%X1&^2aIJru?~RJk7t&ce>D-QDv;N zW#z`QzD}3@J?MR>)xI*!2V>w&n69PYFsTgl;eK+0JRp(1*vB?G`yDhZ-s;m4COP-G2WlU7m#l`n3#x7H-kUb)kB-7TWZ@F-%* zPRlVJ=fuM_)sdWk8LG-_-LONb#q zIke!N6s_TKu4cJGe+WSL`I=?;VS?b9n&ti=4#{&h%RT(Lnqku#y+$~E=plr|crupl z3WOD6Oy6yirce~zjw~>qPZ#35%LLI@TM0CgJ3J|F7KOzm5BqV4vmx>0AlzVnZBbZE z67^E-9R|>zt8S*bYm(vSuzO~YcGrAGhfQ>^5@Aeo1UZ;gH$xi=ow=MO6_zNeG^5Cd z#zj?knUf^SM9E!4Uo}^6h3GS_epa~<{610LoT(Pj5u$1l)C|8JDn0Ip+k_h8*QZ z??`Gv16a<|Xw^%Zya_UPI9#YDaDP5rwM5dR((yCzIrFAK&&W?W_a;d;RyrNMJm#-} zTA{uZUS*Pd6^}EIaUSq8|2$3pue(xUm@E!pr1eSUWsNInhglJ;9ynB@2!k`3) zX>+D&cDu5}CK5V`j>rxkw`iV`FHNksr7tLxel2v^T+GOpm`6PE3(BOIx^~iqU~?{} zc}osalw8d7_BRM|qtJw{0TFs}C&es`b8bORN1X(bm#HMxu_(EiJ1#D2eNMsKj#L|+ zvuqN(R?mr=#7?6s+K=Sk41ufYAlDI65fvpcXwVFj+2{$#nVux zV-5*|D;E_{mrfkXcu8IlDV2^b%`n2%jKP#*h)W9>?d4vN@RO-t564Dw!!)6Fx2S4D z*P{u2%cuSL=lx9bdg#qCuZIE7YE9_Sj4d#Xnox;1nt^UJhFHP8$|NRHK(N$F8#JpL zDhP8RRN#Sj7$oWfl?h!@N(+pgMGmwy->sB6S`=)IrPJoQzNfU36vPe)F zBwJy?*{j1P9is$O;@XQ^3o~_1a+$J)Z&H&CW$aQ(hTuPNm7tGB#zM_bB;D$xB6k1zZ~pjSs@aK}JXlm|BH~)J6JLDc&I`}I z`kWfpu|R>)D5myfnVmROm{7A5uii^vvlC+&sAeaUbKGiBYfS!nV)DP^AOFA?y!Bj6 zeti>{1ABfjmk>YXGMCV&zXfst2=2F`z%c~W0JuITzu0oc$K?C{7MW~Zdfx?VfHb3z z$uH*pc`yB9f08UFzu2CHl?WCUUu-3kF|jhKLFzRhtd)qly6sZ{IwbuY6_pWDc^WEl zdWX8f!UUF0vvmWqCD6288}XFAA7=o$60x335@ari^RhFs*bVsubxwEG9pcw?aq(Xb zjMqNs)_<%z-Bay{)RNHY{_lP7-GBQtQ$c|GIxG#&+|RCI2q}s3X5_wVy$6&kRY21O z-k>lCkd(h@n=$eR!K)i8@RA@Q*93>2#N#AE0=p%%+kEG6Laqs-1fTq>WB}Opnhlm_ zB;=alVHiTg5VQr?WUwSi$TdMwHEPya-&s?xBuL0LL7YtJ8s4EyP}0kiV8$r`Gak*{ z$+-ZMs7d`l)8K4Q6kdS)5*h?fTPP#_c}qcnN#f@0rE?kP+$y=GTixFsu<4R|o%R2R zak9FKJ*TAbNc%;c3Y4R$ejB?0A-T*ms%%E!MxQjo358rAo>kLNue&8 z$_tbFA^OFox%D%8LS+=Fi~^A>@G8Q@waTz|RC&bBSbyLvfAvo`9}?N!6%Zakso1m1 zkf3=^sX$S?3Z79aV9-KWIImRfhmlV@uNAsJ;e+!90==R%vCR zN4v6#_TiNZkPniHo%+lS0TAu@umA|yK^CoR&%nA@D*9o^)4`lzgr86a(H^ro5#$6- zww(AFh!4{FWJE>Fib|$bpslNNG=Zc*%SzpxnNk6zM|HXFRF{(&tsN&WCeU4DX$+N6>{sN~2DXflg^Dj5?={ zl6ocIsEtkAvf?@Af5I3f9noB&p128op&#{MUzvzXK+g`%(#A{pj7YQS>9CQ%e-X_h zD+@h4H#m+isw`x6pl1gM^&*On+zCrQ;|KQtx;v*hK5M!;sVRaCr zAGg8iZ@{@s+rrGmoERCsHR~@U0gHyECH^p-9tiQ=BbuAi1YN8wQKuL<2}{nFW!4I7dVr-#$}vb!|b4xHAF(9 zLe}_EItKamsZF~58KQDS>Bbs8&A3?TAar>?UwB|oyq7FLyMo+$-{h5p+`3y--N);1 z(Io`GU;m$d(I@@l)uqs{CHM`HgcM!e{*sH0AZJPEi@d!)g&w_hPG|4?6#B*NeZJ#t z>Ba1QzPzH~QucmEYqyxbpQX@yI^3b;RL%%~L)%AO%AKVhh695JlF`~NC-74Tu`{}& z1b)Kuy?ORK+4Nw!{0WN%{*maXpAXr=~m*pZbEo~Oir3&P(^_Ik~>ebvp!Nmo#SyPxqIuopZeea>xBKPh)oRK5lQ-DJZ{+pCpR850~c9P zl1;ZqcbQVq8@QoS9yqKIBNFxU8NGpv1EQ6Q{FVL?a@vEk2xRjH?yzSfn+R@^+Lq7g z4cx=nY4-WP*T%ZZZq>BAFh6qSq(vW=?$G?Mn333Tg5#k@8ar+4b|+M^en!E5lSGpt z06A^ufh@TBK??Rq$#z6no>P+E`!KY-QLhks3u`)Cn8dK(=ERb`jmTvJ-!PNZ(s8b} z*v$Y3q6>PjBe*v^Td>&|<;fp(j^l#qE@F#LYB`v|Y0uS?UXZ@&EN8jwXTsgJQ2sJq zV3E$2su47U%dtE>#udwNE|#ymMb(bK9y|W6zh(2U|G|Xiz4Y2PEY}e%1n|=z_K>2(zr8;)Q;(ar~zd_uM8b_Gq)>_;ZeA7JWU`%^Vy$2)O#{N?f zPx)<*y7O^9IxHY5($+pV!KQq(S>-s2)_L5P#C4V_$|ecQy9{l!ne7v{bFG8F%yt(K zPcr2-N|5~KV%et*Z879g&x%M^gGN1W>v{^h@cdRRzPVVu?iN)nz8)<8!tta2myb#5 zW$K5rayE0Z9(Dt(#erj6D})Z40v4NKr~sQ6%$&9gh;Rghm!|Bl;(h99{K9fJ@?#9B zaq{g=idHeOekII1z);sx@pO#drw(w#iaH~*!txn2pZb<+0tI0OmrHw}I-L31n-|sh zLpfvSQy&1uxR9K3*J}=3I>^kY9umBGC3#-?QD#1MRC2ML4K3zS*j`cr^b>Zf!3+rxCRTr*DU1)#Z|M@{*|GJoGrn=k2bXSKoEb?Y* zXiHUQFgQ_uJxFdc>}{!<_C~BX-D80>s^uHvkr(u)dor{^T%(R_GhtO-2qWknBb%tg z!wp|^Y2$m-eNUZ7%;yg7^=KX!Zk7AT3j|4j1o$LCl+KF7t!=V*`5 zaoyu{T=n>1%|tF_4_12Kug`H> zzfhdYqJJ&o_opL2&~!R2n)dqq4OaPQ4U-{|tuN!We%o#E)=k$BMg076rV>R6}m%iG_bFvn?sTrfXu&^~z|`zG8bX91#> z^6O_Cg-%FG&4-0i6drEf=Fo&OY;zs9l?!hwZN@?H!rhl%64v6_bth$qGCwrh6;aSS z_?(lA6He3MaVC;u!1u8H(}aUtlQmB58XG2X9wyY^dI!4}$0adJB!0I*%I~-36#b{W z9m{TZwmGps5A-w%l`jj8l5rx(uecR{K|O)hcZK@sn!7SD7cbG=rR6Rm^Mez z^9d+?vzXur*ODcmAi*SGn(rJFgyyu<8KK3LnJS`X3|` zI)e1sES(kVY*xwwjU?ZnIy}5|ANwnoOon9VOT5e;=sKLe5*5PSSkeT&p zNJ>nt_k3~li0VDp-6CojkD?^kDMh9dop_k0DwWfU^eeC~z9AOXQmU*`Wz00zSB`Ia z^V^fE`^sI6pL_o8N#9kiO~q`A87b>0`E#$|f8$3c{YLAfEo^5b0bo1OgqJyTNg}8T zh9KKKXCwjC1bg@g@1(&EG`RX&lMJ7ms zrwJYo0|SAe1DfHX=F86nm)RX@xJAiDc1O=b35U=EyCe0RIOig}qrW?gOpM}fvN<1w ziP2n-&<5hy+y`kcBqBCjS(r=5#Nk8|?~Wk4&)<52<}&4FO)X6Fg#^uomFd*9T*FB?Kk{K_SD*59tfnTSZTl!d zi0euPhq+D;4oEVMW(q>wH#$s$m7YLo$!~JnH?jtVuDoP6yqgk&W+!f{9D(*x+#*#D za9`B_A$KcY=IltPx9GK?C;aB``O`mkNU64ZGfF+-I$B`deDcMcB%q z$#5qO+x?QzlSC_%t*w_Q+S(YRkoIz0d)*7VV(9vWii)8p(EhcFp`ZG@yN`auIa~V? zV(6i5TFHFvyF*7H^TdkY*4`g>+PSgskQ7k}dRx0+qH6TE_99iI$I#{GP#!}M`;KWe z@I?&pF%+;Yv4rr#LY~cTr_PA&lu%OMl~Hnw+Ee<|`|Z?iA+J%BT*i9u3b}HuCteD` z&*Z);@K3WT`1g>zG0ohNjn3?r@r?lf62~j&gygU#O$Q_u-bue`XTR|&$@nz~QZC+o_3q2ZJ7UkNsaH+wna+`NQ8Y0F`3}ijT^T97quyNbum8p$e%DVY z%0XXF?95IIxzH1Yz~{s${F-ILa_aOkgR^Rp<~H1i zvpYQW73yzpf#a(3&@cmSy118r78{g#RI0OsA{P~ww^ z`f-QUc>>u1bP$?>mVhjSdaKa`)K9bw5A}hQ7&G<ce&`HW5B1xY#yo<8 zsCQJ0I-QM{F&Zonpt(}0-%ZsADY*)gfioI&w%X;vYa|*oG^Jo@*hB~^_UHs%p1GDW z#?=p?juJ&fIi%8@uQ{ba6$0dlQ43IwAPh_`;2)1nJxlt5{OL?$*@Bf{9o|=%SQZ@K zLsSi}#^D`)cvUs1szG&l&pEvR;kSR=r@QtGS^!Yi)CJ~XV9FT^=Eth0Zm|H4UVu<7 zfzPG-H^#xEpnGCjH%$0^>9e+RG(pP}Yy&*|R3y@_LHEvhuoE^F z-#E!})JcxB&T?DMe-@LR9CDu;>vrkc524ARE3TqOn8=c)j9s^~xGEd2Ev_5>`P3({9^f(f|KG~GR*b&t5|(Mxwiph8fz85f%FYH^hb4()cpj6ly27Wc=P zk<1KDM-pmEf`z9zn~Y>;fNM}BN4V@2k;8JA_A&4{$nOHfA%s1*%}SsJBn57x9V(;K z0qg=+(_+pX^(*RhnUA`dA`Q9UGBVdj23Oz6;POhW@Q_w3v|6Fn13l+~{?(uS=@0+( z6r9$g9ScsU5*`U9lgtFBo8Uy)6!OqaP^n3nN!>IdK{^TgQXiy%Y4@JK*#Z6-Ax?q> zT$?ely>jUW?813TF#*>E7YnRtg__`EffWJQ1c$z9MJa#{E+lCF7=0zy*nz`^p)uCMZ}CkypenA6{$&?*6E z)a*qu$j->$A80Kgos&8++^X!{&zkB2{TJ3}%RZsv%3JLM-ly175^jr_E7+3pb=&V| z?Um7NsU)gYyBXE&WmLPMibXo8)oUY)%^!Ly+X9iX5y>haiXUQM)^A))q!xaLRi(JO zD#f~6RBia{vEl#N|NNI<{CmlU*CY^ADJ=6V#HyLvt_^8Z`qQ(|_~hx7+77n&GNVcy z+aaMUmQuk`7IsrVqd2yM;Mg(;T=Lz}YDXX|Wr$_@ZfKedH?*X-qcdQh#+K!~jV%MV z%y-*^)ixKDS5#Q~0E--%;7Dyp@_2bNd20KXxoM2~qrG7lYR1{vs?L?pJ4jiaGt=iJ5+T(5sf0sK|FinxU?)o|ANYE>sk} z&7O?<)7^{;^uK~6cu_)_%@&t0Bif=@T%PY%XbMpCEF)_|)KUw)W}^)eb+H z3jQ}2{MX&03jWsv{`bD(XaCUo=7-IQ3NTh?H$Z>EmsIskc(JPI5Ui#kIsg@d1b-78 zkh?DCGP(fb3lS^L$QMNvMBhQ!t7yXqTrC9&{w6qXI}+4GaNlh6g6n6WA^4kMtnR{$ zMP;@oyPF_-0q@1rkN%9Kf`8Zqlb+z81Y342My>b2vcCLe1pG}Bp*bH&8 zu>ODki+5yj=Y{89eNOWUVo-q!fdBs-_$QbbaIe0CH=%dQt*6ehu%h7}ex0#_94KeN zo9bCysbKmRFC3@5K7w`DF$KwWSpz!=tTGTGHq{S%# z>0`sQUOfrN7w+T@{}y@yuF2NCq-_2d-uq?Ud4`#&V>;=P`=WnMq*wt zsT1t_Fha;?XLMi}%=sjkg%QvdMU3A=i&vr;)Jf2X5s);Y4U`W^sW08`_ZLRk?df!1 z6Gqr=Q7tdI`7^vX8AHwvjh=ad;Cq+^JF+msVI0}h7psrRcPLIgE1M5~9?rQ(;hhgS zoVEG|(r*ypN1wyhG33~rcP4?LGUQyQDo9Jhq&Z0Kn@=DRUz7!1z?V}Qa$b!6w<^?E zGQ78}?T&W|)W7lfeCy|ZyUQ4mGBC^f4+R-$V2^(8f+n3MtEVzEiAjg*G2jxT*Yg!_ zVn|q-*|4Yg9HDc*5P&+qW=^0!3hqdt4#9Dc{JmUIpxy)#3{b(D83pQtAj-;-NXcW2 zJ!sR(2-KV4xYMA)h{n3*F^U(!1h?%LIS6RP+OwftevFziUFUJrg|&he-}Gl;lJpVDz?0A zUR@&~xiVXJN&Q`Z| ziiyiOq1^*_TX|4BAICem<7W{a^k>)YMSjQW_+iLh}c8 ztx-I%JZ>Fs)q=gp$4b{%(3u!Zb>Lr*1OL1J;m3UF8&my&MpG==&qB(F9SPPL@89l2 z+FRHZ>IX~^jO{El6Tx5sCqW-j4jF3aDce!khn6RdkRw)J#?pPfAKg{i(1fA%9e^|s zx@YnJ6iEkrT_H&5wv!oAG>5asF{13fOkVKu{z3c9Bip{)3fqiNUdZD82av>z+5q(- zWF)5Rlg0Z7ye4tp=+6RVF3($_+YS>I&a7TQw!yYR7yJCg#Y#8HzUfDq6Sr}4E#=*8 zl83SDG|Qryp1QoYO>$^Su*1tfLx3;VS(I$hy+)6781m!1M8yz@kE}Relknd~D%1c3 zLHoW1+(TWzs1c=>A%8qUrYqYXcA!mMrmTGoHKj(evb?y7a+D z3vDuU>0eSPz~-kY~b(6N??EEXA;+0rp)h95 zJ$k|0zU>D|>?gaFnlEOYqtk%?al`UpX2ZbQdE z%LeipeS`q?IPBv#?|t_X0x<0$6b=Of1(2Z^t2xTGtRRe@+|ySg$Jlj}hu}}fM+o{I zdj5#(9VHS}Ad=u(aP;6)eix8B*%M9xD$kNj*d*T+!j2BqlED00bIoK{1EHC~28i}q z`@*3Z{?Ye^3r}|ix|<7h>uym6y6XYDU;KvKKl$`o1GpWTtVL2B)KkeT-|QhRo)4oZ zdlb0=mf(WkqAm`Cp2vki7X<-`01*)k$!uvx0-XsCT0e)S!4adu<0MF+Gr=A$>(s7o z!G%{WO@F!x4qel!T`TnL`7wF}c-W4p9TQ6#j_6`WZvgL6HYXU{cVkxyia*`fKA=qt zBpX~Gl=&4?=6pOj37ifx)Nsu;yq?Zm{OLC5pf`ucY9DghoFvr{lN?c8AI#b*!BB1h zqgRZi5W)oy$9jH^#GfAL+%;^+$JN0glu54D1_bdNO{AYV%4K7q>yz(=Y9K&;o`+|u z8pw_l23=ilsnXufWYm2+m5q|RA>V?*jO&B{-h^xiP4=?SS~_>NrE`^&N4ujRU*wAi z|2|NY>B9@^jOJI>itAA;zUa$7;x|@aU47*Tzq--oR$ko@-oAmnx&ftqAiMJFUKWU# z3=WqwC+@8j$d<~x%LCb!SC^$x&%OK3&9&p#-J%M(*8{lU{GP8Le%~9Oc;eO@pGw73 z7RV0eUzhZEU)l6Eq`RTa4McNlb*EZ0aaac?x&iral6Q4L5_nl_CJyT$xZk$Si-W-r zUU@Z<(~Q1)ZD{bs(Lz3eG)}GVBq$E+FeB_|7LZ{^WU-ZklG1L1OWk2Lr9DU{TK=o+ z?;h*>kii!6{L=a4)oTqng~c}zx(8fHZFlG1J&i5nOUi-AppCWbG->gN5M}1KJ9jkSbnj462y&@r(a9G^nt;g$P*VyR2-I%f8!qOO-#<*Gx>uyhj|JcSv#(pH zKbGUsek}OrcSw(S*wub4$1DD^y!o`lim8rSRfQxcy4=p4Jf*3sqHRFx;BzB~4P2mZ z2MAbAFTxBE@&t7vo&Y(_OUVro%5Z zoj(M!^Otd2zw0)gr@QEyO_$MKKp@|rW4HgX>3nW%*KWG({JY}(W$gCH@%sDU^_wos zjqT(G=uVj9v_CGG8@}ULhZ`_fCoMR9!bxmU;mb0>AsqN6SPbfe%)E9K&Zb0x3p!wc>_bLicgtZ{1B zm&_B$U0-?;39PUq-nEHK7FNaGqu+M-xX$8O;A!B2vKNM15u;aMKHhy^9F*dzJo31r zq+K@OLSu_#$2E`>SbbM$ZLGN~^K$VL&0SjV!lMpORt}JOy6UcQSDr`Qm1p*vzbhaA z#1l{a=#&4}zyF4_(L^O@#2f5vG!d07R58v+6NNwed^9oCvoK2*5x6W`r9CDsthGno zEdrSkY+0t02f+AtGQqyzkvJRiuND?gA;|ZX2_hakLJ%`TuPc}K(L`uCp=ZZjj1Uh^ zoD%937|N-vA@0hx0a7iaOk21d^JpT9l7wvoT}=kfD{_)Vk9e5-eIqeK_ST%l9ep&> z^#SRmk(iJ5X3<1s7SW``H9}+0JnFeh1q%uo)GsPM_m6=YF_nD-Yzn!y8Zfl8dQe99cf{I)R{c1ZT=$w??q7DV%nREqJ z_p==wxZa-B;XH=aS~Z(CV&Vr1wN`k&C1oHxaZ}?6%x`_?JCl^?EpysP(nU_IHc7F` zmBy(y;dBZ83Poq_Epp0)e)ao`S{BuqM@Fsn2fzGlKBJO!Bd1rPS?ZjvEMMjsqDd z!=1dC-f;un<0AeI<5+&yn}s>o&^*?n+{a2?X#Uz?y!(}jo=bH%i)EUj zy4cav+o^jHY-kBB`fHXJIvt%hw$5zES0xtavauD%df=mZkiKVo0g=!D~Pq%oqZy|w`LGsVFnTMdo{SDey-aXHAcdPo}2JH zfpsf+_cKp%ZiboBN4L2BVo$tAz38K`53>kBP3YAO`dih6Ux>P_QJXFy%J|s*?ccUd zo_$UBvVz!<`h_GQc%mgIAhI%sO@I>qY)vq9<7DM5j6XrABuGp)K`>LZ5Csh^LGG+D zmIR5(CI}Q`tN>x&p#|I%?~))fISRHTnIj<>nJ|+eF*yncS|Su?&DB?pjd3MP+TFTQm@rFiyjPLP4KGz;~;p!h70QtE`F zBv`@p#6iGCFMKwqzdQXfkdhoB-v(7zrKG<-!wzjYtj7&qsCs`Z!r9|jdCsc|;k*MbT?Q1pPpF$`_ zxbyflwp1PNv*%X$eO1+=rY8G*h3fsMCx}S_Kl1ZW{`|k1R0mDWGjl$q=^=xXttXKd zhU{P%*R+7crl2}R!4WBnDhS9c1c^;1xH>gCkZ#aa-l94;Us^JoH0kikP+|;0I3LQT zsXExwLxZ*wVx@QEq0CO?YsUn^wanB=E{)K5v9x4?g{2RVG&LFB!>t8Jk0gft3T3Iu zF~I_)=-V$^pvo5RYqPnd2Tj*p{IxhM9-u@mGhoCUPBd_+6ebx%& zYpF1f`G?5vL=+_^98!${pKi=ATWJk$7X12>#}G{h>c!a|>gBpN|UE+`^h$==BECI|{X6#-C7`IxkBnkczI9-Jq$L zRw+=KI%{B}1}0wB>gIS$B%!a_YWUEgMF4zSnZB&Qo<*}D)p z!C|@Y4hQ{=3ViW_3$0rB1iwB*oUUI)}Dlx_&` zT^QPyMUsfJY@10bwf8QNyGyGn!St=Q3E_e;1%QDGyFMr&Ww`?zXR^gge%cb4FiCU| z8;_-_>i6LZ@Gbzcy-@(bzsdK4 zW-M9q$ro^<6UUrr7Cwk;So(o3h_FC;L`CN>G5gPC|1Ed{YU|D`Y~9Jq4CEqKJO44v z{tIuPGp?9w=U)$#$zT1M@BYymE>OQe;MW6s4Hr=HsNsU7reunR=m&#^1(F^je?Jv2 znDGnLGJz{B69|N)HCzzmQD=P7N>f0q1OHyjMFdriAZK09AVGGCSm%*#y6S|*|Kb;( z{trGZ5u$0EHS-HtkH>3h6xwVxBM#lOCcQQ37qF#!R0E2+4z+MIr~Ns>gu1ucP=TrVRr?fLS5$BFvJ7v3P^>^%cCw|jx z2Tz|dp*jN_RaP;3-Bf2dUe7Jog6b@z`f4RbE*-y&Q%p+=YSR$JW=sQ5%xOYh=B^*8 z$lx4K(JA)Q2c@%Gx=gp@QF(Dd@Tf~vu-7rfuiab=2{2|pa zQLB#1coa0P?3`SjaGFJ;3cDn}@~c)Y1zxYSN`I#Io8rG*G+e35;@w-kD=ssL7q(KB zF)R6>eC_+*@_(nep_aUweP9K=*U|Zxe5F)D&UXH7T4f+R+xd5QfSSg#OZy38sc-bT+EK`o` zZr1qX^~4u{p#Q8t{<>6-q&MSX0RNWkBIki0wZZiFksh^?#2F8jGyn{%4(*QZhY9?o zmZs++Y=VD=rhy6W;Y*REojDD`1OI@u8VFKDXxp5q^Vw%I;BU8q(X&J0QnB>?0{;@V zNe28Nv!@G-nNl;{CUm64GvC5AlS|a>L&8wHL>chYFC{K4aGL}tl1bhl@QXGuEm1ZP zpKP8>GU5ifTjXPr<|KIqMK+5B+A8*`t0nhN+BbdES*Fp$;9oT0FFz~rKTVpm%t>&D zmTWPPH~_zT1$wk|3GDH~g`nj7<};{DLFP7iEk_H(+omc7uKw%cMGHUmOaJb(Z@)sN zU{CrEVto_*_XZ}MqC+(uhsE=9xEOgkFUxkoXPyQp?Gd z0$d@ot-*ng4mL`e;^y@CXPHFJ-=GH}Q4<(f4)b+n=!XCPQ*eGQ5xS@cvd4)CrX12) zLN%Fz>CQUU2?ms;ixfJ?jI%*_(378DY0q6?LgIcdFfrjZhk1>s%JHI0N_vW9Na!v( z_IC)Z_#P^xM$G~%5Xb@pGIsk*y0AN7=nR)okrMauvxtESQln->@S-O86XuUSt>5q` z?S>M@vPc4y4Bc!>2CgpHf%c^PBQpwuV!nq;)jF!yfqpI)G4iY@l^6XIQnmNKc=%^t zNHK_BesD&rrXy4$AsaxHiG911gpC8z7x>Gh&qAtWboAti(j3XxVCOg@pcj<4lLgh z>xUQQJIKy@7zfg{;g!232In)%%gdGqg`DJHjMzmZ3+eKR)?rhkya+sQJe$b;<|L_g zL`mhbgXFN2j&MMj$fcvy43JNCHX4(t80@C-uJ~Xp_!;rkR$% zabL%98o(HE$^X!6rZSw8*ZdM?Lexrv2CaNU?1 z@PS$?99}SIZc+98UytYifBeLIpZ5M_@1>Z4&Cu|CEYl5g0 z*7V4I!!~8PAb-&&h(^ZjB?b=D{kT_fOfwR0O%P#f@@^8KI!UASOA~IRV0%!zbl zB}g+8ZlmBHIm0?*6M!rU5^ha!1S6|19a;+er3tqtm^e()Ou0O3fm@S2U};^uBO6+N zeKHQy0XeA(%pLenOG(;gHs^@IgrpJRXvMMO4^!T%QF83q$d10Uy4Q>6*_LjCLOTL3L?G*aKB>@6;@;hT+vO4{OqEkC(1;%LgO36J*~@T~gHdhopRzA5W& zQPpqO-=a(03IE(DeC5Y|M$&K8RXxdUXh#x;Gra&9D!`b0+CFxUo}vitGl;PTzZe94 zdI146?1p3ku$Trxu$kt9nUh@G&|_(oS15v|rM-{*&>l!t&ddf-mlQMdRcA}@yPnyU z6tvo?G$Zw!C2yKOW13IN1)I;GUvmi#Z zMM?oB_g!#NI7z-7!w}Uba&s7(K=Dm+;&~8bZ1$ovfx87BmHeV-K@7}D3VmFo26w8I z^!Eq1Idp;nAIw!GKMFjz8Lf$TM9Ai=;8_)oVle?Csx_ofv4PO5$i7q|#0jD^*{JY~ zaKEKWD~9fCgSAVd8bYC#6a~?DQ+)%5h;g_~h%!hKw<1V^2!+eKOGpf0-g?*f5tbSYXuhQ0F-= zLZz*BK3fnK&g0p9!P2b8ZE@k7O(?XbPh}T6$fWz{!#A7IGl-H%tH~}mia654*=!Ks z(b5@sli-5#HwKboj0RUZ8T2H0gs%6ZX!^%Y^sR6(8#FdTBOYi`2gx%j=5&wl25?4V zdwB6Wr1F-lyJvTUgJ4k%{WN<#Nq)}t4^UR-EBEer>H(5kU$1~IKP+=wb%7NRP*zR6 zG}Flk=*{MUnLI&N&tf*p1L#?*D<%I9+&9bqA(jy%5}h1M^lZL;SU4le+$M==EuO@*22YV!xmeSv(KtuZk-N+UeOuW%FED(nc6xn?zGYnt*y1+ z$E_%6cz#YURu0t~-j`2kiQ7#|Wm$b!=-66wSLWpw?+SN0z9{Ve)a+{wFKc+e>KDKI zZ(Y(7fV{bU(wBvI^f1*kT@P25{fV#{)Gs7GTGw!QPe}Agu6#_u;uf zu7Z)t)Go&sDEsBVy8qpkR%Et4VWkyeLQka?dEVD3g=8920)j6BVFSad$|qK7MIH!o zYQ@oNIyeRt9z{`@i)vU*`od66>5-B-T z5WIRaM&5^Fq`W%f5nh^N(44UuAvC$$Ld~rFd7%j9P zh;}~2PFVVW9e&rfJOz9nuHa15RE;DP;JgeeL^wy{4P$NLvR+dc0<<=er@rY?DOKE5 z_cU+7*w3^dDY6YLujwI`oYZ)nZGV85@UiMbAanvNx)7i-$yQR#hnAH9{(7!|^t1G& z@}Y|l-Xt?g9>@FXKad{%)vX6~t%XM)Gp%^^Ya0TWZ&TY4bUxpyYc^e$@6<`gV9Ga= zak_r!GEmzPJokmtM1X31gpru)6unVX#n3trFiIi+GzvLQlRCAlIKFIGs+hG6K`_Kp zVi~pX3-5|k_G=0gA1kIX-Trf5^g+M!!DsU8L+2o5!#7jH9-m(y=yi~LJc3LBknQuC zU6L|VA(2>54G4w=xO8(tm!pg_T^6u25r2j>N0Kf>+B^sfQ4^0DN2E%ZOZ)u#(8Qbh z(WqT3md^6)o9(FS(FoP%6hN!=57Rb??=B@;hM{oXMC_B>g1&9qc2aSRZz8*(UF$lb z+{_lR�zAwFqi`F?||xA6IrZ<0;#2kkb~EYhk}MSL-JrY~3v)gguH|Ii{H5lc7U3EMvsDv0PIn4zugT$L4X@-ddeatkAnSy1>PcvI{RX2 zkAjN;>?*0?6l~R8AA6?mGUX^FXwcX zR8Jnz&s3Z*;HGJER6h&Wf|Tmzn$XS|VA`k17Ikof#9LRueI>m4x>AAP36&Fqv!uNE zy9^85w%@CLBJHKXVFgwXr1A`AK$s&ZkoOYg)V!JuIe~RF4WnoYpTD5xG1GxrKGdAvZTCf|Azw${5$ThulLP4`S^|tGjm5wcOG)$8cMhWC$gsyVN?S8U^i zKnlSQfwbt`L2g+Q19kdg8oLh+**IQmqonHHw6~rnmwVTxEj+*{Y#8rld=%DJHHd5CNJw?PdrZtJw;o~%%b)$(_kGE4NF^-2bdWY2)e)Uk zJ9KGdVg3$iC8!rR88f`>1||5=vhO_vyG95x_D?23F^EkN#v80S=;UoD#IjycwCx}W z!q!g6;n2wiJY)piG@}^ACO8~`+?=u7g5)(96oWVjf&-RK-C#~&LdE(tqZq^{xJC5{ z1;>%JYWa*}5C_2l{Jm1oyWgsb?T=9n;vk6zvTUJCGgnDiGf8 zaU=|>i3GaV4peQ$Vd`ttP6(9v>S7-g z#B&2%{&Xqq>x#j=mO;tms?%o1wfyOfy=`D$rlJ7+ODq>hv#w4!29dE#qtC|ek+-&09rLzd%xzE&P?mvy@2ZsqlLVTRs0Pu*28Wk;z|m7@%o$lP zgj~Tm1ql%~|GCfj{%@=l5wq2r`$`c3Vvd{J?^X1O$DAT!CdaKv8dKBU+wS7}akl%8K%8t} zlyzJdtA0@1`zAMhtrQVrA(|e0E6c~5S6Cj4;P|?@OSRmur$5X4|H3!?-S115yJjks zTUs!pwhZ%c>(ZwD= z-|ltGF1T=(kB99f70>g9)1P&k@m-zzw)z85z6);OxGcBm8G!d->Jcb>1FPBIy+@!X zxz!{$k_oYl>I!&-s`H>`t3P7r!F#^yFMZ#ba9o?-8ID^MPFRq=;`nyj{*-C0CYbuW z@6dJ}f#TGy^Vw$@oR5Nt@@vXCJC78C49=S%nCDyVKrtgo9FKx%v?ihSq-%staDx-a zO%TC*4LpxXM=yJi633%pJEChEL3c)wIBtTW)7e~)(%^wllKlY07fIyS`|ER|2j1|_ zJ_V7NE5Ql?RI~foNxa-MI48NeZ~1}=XzlNF`^^1~@~#uRnJ@NP)7x%HdRusO&jd(` zWRD_1S`icoy6zTLOZa*$;Wux-`HkO}5LDS#EWIsouYe_Z$1dmKUTJ`Ql0lVNT8N+~ zxJMlq5~eBGg;c8~NCY*(9xTaXJc6@Wimnowk9HM{g8MBK!axv%5;B&Wc|jtm34$Vp ziRVJegnaQ_kO*pmXhYrt7|~6Y+{T3<5!3`7FVbPJsqA4>=$vJO16o%}413rjJHD7v zV%Sl#1q~FV-2+g(ypUoEnF=wwF8rj;UYf8JsVo8&>0)^LS(ZAjM(n{J&&Wx|+X zZ=)Z(Xn7hSMhR4UiKMemIWB6nifvwMuD3Z@znb0tE`WPk*ej> ztiDFyV2nV#mP({hJuMu>r0Z$c(}{(jRYlmFi?Hi%QAOD6LD-M%f8=-FP1VzSGfIRF z5lD97+ksY}=32OG!AK3GCwrtMNv(6g9pOi%k2eWs2-|JJ074%xh%412n*=?=hLA7m zN(SU8*ZZ z@Q}mMmKk^3@))xcDddZTo)bQBq)|-{QrycnC%gerD5zQBnkwH~T3p=ACK*%<&{7_D z2q@<_N2;eyavb3i;0YZLDE}%Y{p~^5K}NeFx$lNjuK@|AJNI^^|AJk6$kLWyc44=R+@n%uqFjTsRdf83r(jbq2k!k@GoN`_=&Wq}S;`~Stqt0s592ud&`)u}qi z_2?Ww`1&9Fp9?6?l_sZe;Gxe@AIWQ8 z=NNZ5Wu0>f`2lvE_op8SFt^L@i1D6mFB}6q=eZZ)UQ`T3VwS7Tv;g~*Bg!OY-{^3}R6=g%1b=mFX5B-&I`MvK;N`l5=%IJAY2yeh(CKhc7 z*c8$8z~hagsn`}I2@-|E2nH`ul1jq3ANRzo6h_v(HxtCCQnKt}%d3H&X5`z&1W^FS zbT$U=l~K;m$QO$V?%N(KQn1}mmiEze&C{S#kf~yp`0kf;*?+}=CN1bzrb1NPWSmxXgF{w6&!z!V3YiL`^2m3eryzfDDS$GDlBAzL z>S8+0+-Fj!mcJExjhUhL32X&c`hG2l3Y?*2ElSbJ;hHsUkyJSEE#QwoDFR?-gC3De z;YkCRao9p2*DG>GVz;Mv!tIabx{P)n0{Q+NyY+Fr_-REBS}GS=!M!g2Z7U{FS*Z~(rQNnMkDl0cvSy^|Bsz>8`JQ{!TlYZaN{+^_)sNGtlD2U`f zi5mSHMX6Dg6KRjsEADmI97RENfTp{zw69T=tB;~wu2%&AUezmZu3oY37FE6Cdi09_ z=qKOuKYd4Pd8+jsrdNdO4ru>-7`Rtk{0IL&En-ZgHJQk#5O@RPt(f%X(i*?D8STko-v@A% z*O^N`OjGGBCmsG~2u}g*ut97lT8VJbG_V3wb1?DkI4c+4maGpb;4f5xs+4iE zD%o}v?vb<}lJhceyLevwdWE+gZAS;cKPu3&7(N*1GOJj|ZhahYMo@=vM`l%v@5Qg5 zTh+OZtQRL5#TdfJa5;E|C$@su%>}P@x2S^G^?=uZ^ZUQ%|ESzAVtNp=y?gjjxnG`t z-g}b;5)G{dIpNQ?v3(}oT>eIRS6@Cw&zib_m8tu;p)J5^eK~@z^I0-nUb$baG08*j zU$X8NRRp~r1pTQ`{hVLx~F2xI1g5(78E)ID6Ar6C|1XQXByMp&8?VQFT0XTUmMRbkZ|ST3Gx2i`c1@ z2jo_skDb!$yu`{wtT!`qVMM~P^XnI}Q|#blr(zen!;!0#%tSQczOUG+KCsnHyt!s# zcxRotMHS?(2jo8bi{5tUrxM7Wp!!abL=Yn`gzn`5|DGAE^%lN4e ztXE>z4|%kU83}SWBNC!CD|$rok7k6W8Rd-@W(+xItXkcLV(=s=4lWZ!zLR7+gUUr( zYWb5P$l20BO=cz`AqC0s3hg%IT97Nj#g7FCPkKTH2JXVV}BIa=E5` z(>I;vY_QUTmiCF7-JuWA=+4M)62H~bfx7RLwd1Ep#?yoD-yJ6FQp zGypJdhg!w-GAp@DaTiD#sYfx$c)J$)T4K39Vu+s(#pbPtw-;^ zJCJ9ZY}lxFDrU^k8!#q*-~r~P5}Vr)(nm9rp; zDNqe^p$bWVgL?EBQLSlfW#x92E;0KB(_`_FA$|ENQlSi55I!=b$mlvsp>@gN50F)+ z3@P&G@q}l9=iut04G8SBvZOqX^5?5#NdY&TgkBeah1 zAMc1_q8iI%(GxSgg{lIQv<&DR4@&{L^`)<*(qG0KvKFU|Xw zseqW^Vh2m_C?CLSrbWbvg_W-N+)+NlkuDx}V<-=c1qH;G9+o;-dPg}lnO4$^Q)oNQ zm^sRqT3t%iFSy?Oam%J#@Th|Xu5{*$0%8Z*L!E#MAd-Ou#@0Ry!o(*5IVD4tuhTZv zB@)|MpzlHyPF8yH%_g1uEJRNZxvvW}8_e2g?WJ`sy|ffxg?>Z~2ap$S-_!tZCELo- zcP-yYcy`acx~p@3z2~<&=TAIL)284ID6p%k_-^5ApaT8XYEh<;tKS*me^vjD9&v`_i-oaxSUXIOT~noehlS~k9QyVk2-lF2-im)u(-@*AI$v}MFHv{44Lo{^Dn&RA&H_m!r+ z&b3#uZT*Y$%I(8-x2R&<`df4fw!P`=zv=&dI)#5#(J*W?lPcf_?ZbU&R~R-G_F>=e zNt}R)Y14$DWfIKo!xnv-MQ{h+MM72*B(}w+L6`u~=DcZ|*@ru(F*C9X`%eSPX-01! zqVuy>_h^Hyd}(@Lf3Dl z32ihhGfZ;PE|&1GNe*4xh?;;oLzC>V2{%W=zb2^#0X(5CjO5~?XQb6gGA9u>&Irvd z@}?G;)88MIfoQ%tI-YEEuJE&(g5YzRIwpTi^wu(j1xx)vz8B^%|At=B0_gJHM|Km; zr|p*5Ey5GkL6%_HHmx_OKgiCp?S)RYPKPkv!9L+-E?Fdie2b_GD-u|k>X}e)bcQ{W z4_2xGQcr8zA8eFpLgfmIAdnSA$=L0WAgrg&AbVv-n{kGu8eEe(`z6RNFCn9xvS{FF zlO6$XNuF)cBQV0%jCw>d1i=QgK`&;G48N#qGP{W3bMJN$!{^?8Van2#s}<{RQB^Ch zN3HnA5B;eB@W+!{fi@8m<$3lQcEi&VwfkL*$SNps0z>iMHU+i91Q9QV0ZhTbHSGl@ zsH^+)D40?T3bO7uRWC6s{WA#saDw1ET@vdGnz$*)>cW7 zZyFQqx4Q!bF(dNO6f%>b1a-sGLwnHH5r-DNzlvj&pl%REziXg0RUh<-*37CjqXu zl|-6dIF$%0Nyg+QN`QI;_+hw7T9fArHBS8Xs8Fk4* zA0tu=;lp9@0kvgfDThnPFhv&n7)kgB$-`k3NuTMLg+7+U6#ni!NSMM*+I)ynN;2w9xeCR-+JZ()P7S1{q#xrM~ zGI;OJVOGj#=CH*2^BuAXMH%6Mo4)bpFdPJ#IV|IZuMZsI!0~#@Hbyuy%D6M_wglhP z;ppXYaL-?PicsL{T31e%o#jIYcZT~w|8?%~ivH`N<;u~2-7Tu{*``X*eG9{9xAm6A<)ZSLx zTWYmCB}SBjg!&KMa}zNMpXPC;wePo~fgxg{P6jE)qgJ1E61R1@wYC^<9iUa6V?Cf% zo#RCC>9tvm_g@X4{fA$lJPw+VXQl3et``o4R$FyjR)nW1r%h{u=uJiCgfVh>+kxj^ zP&|r(R2=o@i>#K{z2nj63a-0F)e>K?xLjh1|MHJK`Q`s+LdAJY90ld1fn#OCv|zw{ zdXc9`UKdHm$Cup7^?rEd>?2`a1RKC(F6b?B~-6%=ibAldY`?fnM&Y@$?q z>tW(Q_ZYi}F)Q%CKOBM?olYjhSd=Mfw-)f}NdCCLK3S|Am4m3+18yG59+yBUiz3vmw;r<6^dH?Dhx8(wRx)8%N9=zVP!Y2jxXOUNs?Sf)>LqPjX z_$S{41m_#5$fgC;T8_kO;B9*QOq0j*_hRKVqLnX+|XcfbWq~ zQIrmjtP#pXBvJjkKl9Od|MT+%a63A6$?Jx4b}?Z?em|nDw9l;KX|Zt`t!ESLcY8*H zNdPycYoqlX1@~L6Js-g9QZ`ee)@_2AhZa7Yb#$RvI&8u*(t3`9I0wnrMyC)o^`tA? z1kWNFU;Ba~8Y#WEOaLd6XDqq|DaAK|GE_k8=g~398D7nO7L%MDp2e?9|F%d}19lY; zetED~943*rNA5Ig$}d zE5P<4bZjF$x*`8(PL|0E=}w*K@gE)JJ1k>EfYavmkENi18u!1*l)pND#=N4X}*$7a2&yB z$EEj3?$$okVG~Y$T}9HA;`xn|&A=wu zILbhNlP=I%gcZzOX)B6s@Sb`w6vzy|+Ju1eSD(xze*ov2etLvWJNaqL?@4O;Tfo`{ z=d5Hex#S*k*81ciK7Kwqsmw+~s4?U8P;wZTO~i-DrT;u=HqwI@G2wX8rX^-Gk)|z6 z-;zkGJK+#nwQMNi=y9hbm&b~b*u3<i~u7T3{Ij zV{kIfNW8Tfhdr=fKyPT!Gb*2vcpC-BLFWI1X@dK4r)Daw)u0okrHQvuP}$jV zjKfZfq|(Wpg*=Zd#G*U*iW*$}Ap{rsEky`zN8Jfjq2WuQ+n7V8AQ4dS&b{M;v(%<9 zKj-G1`y2OjK2pQKn0ug#NVtNVJveK{ejmFY1={(|Q;SNUhfQdhhHDL-rM%6eh}fLt z2nIDtMr)B{SI#Lpuwl;qu0iJ&>Pv7(luxZv!o}60dT~ym*FiO_^hgL9hOLg=bf{j^ zkF3S16=&og+)ZeFjx*{|5|>F{{`4Hnr{@@-9(+6T^c?N!IU)&s?q&(2@u}>xsLFZ}c3E@*1ruD-!pPK?m9m%2Zmp25r5(T&hhL1-Qz3v?Vp2P0 zob7_iyE9KQQeqg_kTT#%OYoC)_$QjdQu^5`rI0bUeCTmPn#&p*h-{-E(AiE_=dvVDk zp^q&&)3)3femdE2!A;HseFys1yIEZqduGl7QY3vYO4?=PZm+(4y!*U#u9p*$6Igv$ zsD7@wEAw*kGR$3C?hWcRAq<7z ziCPNx22=s>v`Mhd5Jngw79dI_wTnS$Uy>%LZXgp(?5qcns%D@a2zi74IzX{eU(AdW znX9EcxM1*#L_*v|vB}g@RL7|ssOu%A&>y2$OOY~9PYhm~XpfUCD;Fykg<3(SqSOpI zrj8Jli6vDc>Jv*k1n0VUh2i>AhlsyY`snepj>v-a#3o~9QMEE518HA8l)<5rA(<+I znS2HvDF5w_OsiAd3xt3h8{|P|BeH42zBE;|W;x>O?fd z5XEV(0Grk#>PLhp`?fORT3&qiRy~Dt&BlJ7>BX95E+?pKHgA3BJCl@Pz}%ZXz1L5} zL;{KYz~&7lGh{}o25WLs^sHm|*|FgV++n0iY<1O@D+afC=6Fdu+f`n&+_hvJSEMU*Z{5u?f>~TKlA1fNnw5Iyk)3q9j3-{WO$Yh z&B(}2{78MH#D5wZb`8k0gUbPh~@ zDM5FTj8wLkxtY#rt+qtHL==_ttTU?5xNd2oLz?I?s&`F&?y_-Zak|J}O`9~Cifz=A zr+;rF`4i6@=^>|es|nS)bV76C;t5rG+3F1XLKfe>?p9}rXM1H3@nZba+yNutoyVs+ zPRbC%<)|u8UU>xMFN&|1&1~guYr54NpK7I2$Gkllf%x6r@VAR0E-il0m)9(W*G_W4 zXU=bP7c&6)D15+Nx4P-|)ph0;RX6?heB_0J@~2htazV|teclUChB-KN zwP`#eiOVX(ltv~=1aLM7U->C?W_bj;)+~rdoK_h(+_6>rzS%&(l6eBCXo zc)R`p%U^ z{`l+9b`(>uI=s+_a~;JN$XIE$T68;btO{%EVn;D7NKO}Wtg$Ck(`2k-wZM=(<;m*x-fzhI{ap<{)<1Z#S#wngj) zIe`lvV>D0s>g5An9%N6Z1ezIdp9$2LzZHN!afsu>N_dq8zi--ZpgEx`n7JG1PsheO zAb`_Nyan!Q-W*0Wxn+2y-=Z6Cd0XA%yg7gy06!2)4igqefyc1KX1`_EJb3_GA?f$O z5K$~la@_3@H_}H1X`jVI<(}vbqCu+cK_x{_Od&}{*XA6?4*XD@vm*gQE-6}xNk(oi zOg{O^OP)oPJnRvT;<@jKZTWi=&BY`U93o*P9%*R!gNCmZy;xD-fw(W)+Af`GAa*{zvWr*eq%POLHy2 z9pEbx=y1H= z5eF`V4il#1hd`!I%Qzi>x7&2y8~_7Bmze_)$oJ>i?cZ%WZw_?LrpwO1^j2V|V~7pjLieB)CcpD&Uv;O%?sHb&7L0QmxjxSBE71q~Ux(IvOs z#>MUMitMFNNuwIe&xk?z4%JH*zqE!t4*zZ&0U$7ixbY>6{qm?o>Y1U(ldPK7ys6e`#Y&HRnfP! z681UK)D$3?k|)Jr&nQn!`6lngcGgQ1Dslg89Q=nLJ$Fx22|c-n3?fX5B2`B7)PS$iQ_7Y517HID43;_{tpugOPrPdnBIa4XHqV@7w;- zUwdz=K-JuzDHoBYckaMB4IoLmh6P0?`q`ph0(J^wGIS1kLD8>6_Tnfk&m!0@auLA? zffXqjxvNv^q?l-yIoYB`1C8T7{;-tZ+3$u?1=;7XI7Wh9nBQ;~OV7|C`Si{RpJNY> z&|=0sy|ZaY!T52%lM*6-ee(3q7Vb4UogOTr<(y*b#6-{?S|ti=;~`LN+Z8&C$%2R% z*1yU=&IKT#nKv4gKb`S@nfZTh#{X&CUz1jnDXyU{XNsccH8TY#>_sS=y@b7J5(>LC z3FSdUVZzpwPMD#v%y%GeCTIH=g&E@ii?-`+y*y@JatK$-<=7ma()3EqUZ>vvc@!`H z%wVkyNudg7_7)M`9>pzEK#mL#lAsjvH9OMRCN}@Br|XT#Qc7~?wKqfq zlCmcfS|}8P-VjYmEC+y4A?OX!zG+$ifZsky9m=IMLv-IGQXm#9D=+h7%nVVhb45=i z@JZx?-Vp7olRjW8DaT zBh5S*kcn9UPKI?m=QszzUQZ;oMb=H60`EOFPB^d_%f43{qtuOoHP3 zwHaeN91<)w#+n4h_ZtL<#80H15dB%J?>9~fKeBkTk-eqXa+*?ozd`b_ZMH%SHICaU zLy-7>Vl4QQYsEC*gX#E11e1+6DWRu2hthK@E@QbSo%FR_!*4?72pj?lF@I)=!ubQ7AGAcMJU4j7zO|ajQp*lZ7}TTk@}Tvog(wJ8aXRY5g44h@rC@uax)V!s~o z7g+N2YB1IxRt4i5492T&Q3d1c0poA|q`&v-&m}O{ntJmVScN^{i^)|i`2sJ$7>{97 zD4sMygpA=vhu~ai0sfp#5cGef;3coYFliV-Fg8J~$JTM6RDgqFiBbW51<G#ZS?mAp)(DNbTR~ETN?K8X{H2%u?Zq)oNW?d-Nw$)X-4rDL`hIgi)DW}fap(j z5GP3*R+B`_;0AsL)UqI*O|#FOB)tVu(n1qxsZh`#glfPjDeTd_L?ABIJa3zGl@%@^ zuU>!onquoR3>Gw-`^q@fRrdrRvcoTCuO4uPOr2UDVS@?RvMMn89vADN1w;$~XZlNe#T&4^#FsvmeLntI9~) zkX2QkAz2WAQaT*HJPGGv#P^;gfjV^}NpM@W1S$$w&VKt>~oK zY*`KU(ckb-o_Jph5{&YyGaFn-@Vj+=pv(>2`{*kzg47S_hK_>U7O`&H;K2#*1qu5m z7(K@5DImUoFG$!o!ExJYnfD%i4VuoKmgf7y1e38IsfUvwZEzEW`y25KfFJk`1or*X zgnbhP5dzAvDLAtIH(FL{X~ zBU(z#6O@q)D#&=fP9xfj(k@$YLH^Xac2e?(pcb*=u#B%;uQ5HQ{1gv&#-ga)OiOBp z*x*htfyew3y@2j}-&ufqN=6$Afhu=j9AH}(VK}1d~n98f!K<}>{ zE^|Eyh=yS|5F8Df90;?1uf}?s(FY7r*$q@D#O=xRpGOwtjTYSdfZs-Z6s$8ZV-;Dz zK&!^_t~%GO0NEMh9zidHGtCNEx`$&t->jh7Q}t?*^SDL8#GlPsa3!FDjV8L`69?0p zf^dOD4I+SZNk)p!OSCh|`JcYYVJww|C(-3^JQ0+G8+6XN1}bwhBlJr;L*86Sc)t>a zDzS8gPz(Xk_YrenS z#%r%VZ-9A=GFhqT?EQYn^6ee4WZB*aw|^+#h83uV(aHAn<|N=Q7@o0wI|*ji;j&@6X!X`+ajj@Pjj=szB@I)6$u}e`wpSTE{(GL3;bNwD-4d z11p|KN%uq{nc4erGH9Md)NkDC+1)vS!cPEv&NJZc{Q;%z;_8_73}p6xw_{2ol!7_W zfWJWpRJfaGAW#mvE)sXYxJubQ+QESmJOe_vlWyi& zhj>PkuQBi(=ddLXXt~+C}B=m#J;Sv)c z1bhgUBo_x<4lwH72}2+|VHqcdri{eM<%#+YJS;2?x;UpW{4UObXVq`kgXfj^S6X+A zs(!Qn7F`n0_}EYT%YVA&6si@3m;%Am+!#a6DKuX~fqrQyAZZAk{w&`N(XS(?aK@of za|&xt;VX9-uVd2@ErnD*A}xMWLvV-}9>(+0A)Wf$#ZZ^|CJAWwuNaZ)uQ%hh{8T%0hAu}ivvkwTAD0c>8F;ldb*=L@Z81d} zaw6xiH#^ooL7P+k^*6y^zs^{y_U!uibG2trfc9no`Zh!VFTk<0(hz9;Bd{wBEg5Di2_tY9EIkL+QSWtKyS z&D@hpPLK+s5m9Y?$`ktgWgMXzfX(E6#q=cg9r&5KbCo*4?a!wqxkD!$IFecJ+^NzA z$oY?D?Dog;`T}s0x@H+)jkr48`W&bIsZynpUYzKS#Sr;#mwRK^@taiidW7h;?iN)8 zaQ!X1#2fq9zvmD9C*PG4w)AFLQJKB)S5ut!ZO=$9s?TPaOKAYwjFDwzjvvmW*CIb$ zhF(kja2~xD`Qi9VvSW1Z^9~?SVg72^1h*~URwlT}4<|3OD7i=w$Ml;hxkwPlP?bqO z2tk}6aWX1pI4u>6$Xox*>n1vEda6TExGj#6-wf$JMpMF_eZu^+0I3!uQL^J3@f^7!`+w z*U$-*&J(;oihMq*4Ucm}-QWA~e*FLSJ6|6g>S`d-{I?cXLX)}f~smX66{VKIO$;X5w9 z6eAT2BP|_jsb-pj5Wa23e%y^nn1WY!w+Ed%lTgyqYKMd=dt`ngdmNH2vTicT)rK#+ z*rvK{43r{`-EK8&iQiItPlHAKBs`*7t!7?JzuWMavN{{v0{rsZJOxX_Z<~JZGf6Ff zyDK36#>z_@wrR9B;hw5n0=83kn z<-?*o*|W)ZwBaw4XY&}JO?-QZ&*oA)TH)t&$z+n=?z|t;GT3WJHG9shgRP{?rR#@g zw(w25>o@6+iw>)JSR>yd+w*1xhSIOqUGThr>+M$h-MamyJ*;1_a5rHGf54M=xajDL z?d#j&;&_RF0tD@?r`ZlStFAzQTf7^RyGzDNf5rv#hl9rp-E{ee+rJ44Gp-hz<;Ed< z@4{GNw_0wU4zW`7m7y{2|Iglaz{^op{h^B>1d!gACZRmoeOn)qFhD>$lF(Zwkf5PF zLg+{t5D=tGFTx-oAVpESC_|H`^d@x_L{X3?9pyXc+;h&oGdpj0-;zM|+x&j;X3n0S znf=eb_w;j}(^MS3xA*Kp2lVYWfs-~S95mjkW1Kwbd^CB``3O;?W(K-%ft&A91O*ec z1k^HV7ug}(9uy%l0vK^ax^#9#tG9v*tQxJ#C_h2eYjj}d@#zCMhgQs2ST~jv@EkSW zW2mq4#(L(f_{$2kv7z1)&KwL|?zT5!#&|2(ZQnNm1Xp2ey1?2 zNYm!izFM&XeKe30o0E~I?QlAS8}xi==wUdat|`mujBc~PIW zg}Z~>-%c(&;pE+?K?|#aUq18O$z3O$;NHd#t}wGpWmwoUzsP+@5KIPR4HUO3SQ{po z?A+a1fBK-;2zI1FPG~uky{7w)u+2G@A;I0j*m3XP+2k6O$%W<%4a`BATtnF~%+=ZC z8f>0ic@~VhCN>MPQ@|d{RFXTlom_*1wGJj%E0ZQuUxONUO2cick16+|lSoxsEU0O% z!{ch|sI;Jhr&MCF2~rX0G8xuZC8l%d`*kz{?ETS(NVOm@lM(HFAJ3id!}GckXs{T{ zsR%Tg0FFwYF$t*0xV>D~LO`v%wT53IEnV?LlOWY|r9v(U`}}n7+_2VHaZMsne816GaeKj4s(qEz@2_M`B06`z56|nq{|cByse-HuIUJn(x8ie&0|1i!7KJRZAM2H zj&Rm%q%Z-Hb#UKgeobnlHz(boN^Wi!a&m~vHKB8_+2{un@xbSsemRsSCY)7pTElC^ zo$Kn{yO%#Ibf#KO5!DK#7NokM-QpMtxls#d%u5$AAAxU}G$TH1u~WIrmP#1ld$_G* zm(=Xok*!8kn+~_v?)8zB+UiB=ZI~G-6}Gxw|v8`yl#OA)^JxOw(k6Cb&Ab z`>GWfG-hl=7lq8-$-I&0tb+MPihB*Lg6ssO>T2PvgE3&P2}Kpz5liNcaB`I^UGhbTSA=#aU$S!d_?OG5qs8?@7sqeo{V9mXzk;mE4x zWT-gz2R$D8ygQ){3a>hPd^#2ZW(h_c)Q$no{fo6@C=}z|AMBWv9SDX=>iyGs3NU9l zj9Hh=9E_cir2%K4&B*Q)W8t(6okz$@0ZRkMBG^1OQ)w(1abTD@1T$L2_`uJ5(UwUP zQc3BrGm6&RvqfC1Vn%r@Xp4OPkC*)CPMdvfT!~_fuwxOnlfZo^P#r44Hj|`~Sf69c zpN|m=WP}(2g*@D_3@QZnigok~(*_jO64~{(qCOyu;D?4gg7AP1C@3|^Wpuoqus)P9 z+JaYvjE&4Q;%cQ=tn{N9gjWQ=XND2wpfEy)3bscA|68R(*~jjW!8J!1A*su3Q~{2y zrXQ1zwwT`E@Q}rR-T;#?f(~}a9gT&)xSs|&u4$((_ji*mB6qJ>Yo=E>C{Dw5-ilh} zB%MIYiR&nx!Z}Q>Hmb0iy{br}Afe79sV3<}6Z+(fYUj+h1PEFDhu*GU@-d{_q+H9> zAok!(vk;? z_E(a)7%Hegw6oD{Z=m1H-34Kq7s7V-ih89))2EbZ>%A_Ssn<(_mUvWQP?$^Ohh8s< zY)n+7yy^hCUI-G?_(?{{XHM}VPA`cZdE4tH(d#8aQcxvQz8L&}FG4$O_t6uf(?^6Z zcl?A^zhmuT^D?BICnHR-Q*(pOT>gk-bHdorjhRbjPHD1?Xb%e`T*w3*pza5HJ7eX7 zWkh>e7zb))+#v{V-KaOrR)>}m?O|c8L*Hq#hZ|r(o9YhBi1x5B+D^^1@pAgst_Zb7 zOk|U%8POh=D-M)l*C@1I!8C=&7imVahn2H7fcsHH%1WsQH69sGw1Yd&`@aaq!q zawKl1nT6?2;rO5_ist0vG_{`qk)3k-wrf9;-N+HzPo$DpD9GjB5C@HQ8fV)lmxjBM zBemPGPHWuAG39h`Dj-Qa-6?KsAIHF>US>E2d)a!SQ#mhb!RA_`GJvf)mof!i)}GNS zd-AD@@WmLdyvDS7MLmf-eI)Mlw=Q&Num1&B7J*+flMPdXU_ANVA$cGhHpj)2Bk}MT zBJd{51Re01d<9J1Q_f!h3oB()=`13Ijf6JI!E_Ai#PXSy{`QFUfO>PHFn*`CN!;sy zG3{N@8f2bEK4-~w$@k>;#5XvnoqWkJ#pm4FE9!~w=_9^>JLcU_i)}YcYGnsw09nku zmUggfFt@aWU4yw+sqn^xP_BcCZ?0wS8q8o=%bv$&$_{iHU4t2tVzHzUjMi$_6ixe_ zTbkgm!Q9dWPZ`XxA0r0XVP`_<>Vc7X_aRf8Beyof(ee?@2U;58?%Qc$gmY4+T(bsS zS%C`xFw%}5{1FDa-S<;1Lt{>3*uyr~sbAFAutyO~BHCoeTC1LjIolJ99C!a_BssTA zAgSKkx;KK~tu->Y1>>F$s-0W;=2Am=*;$cjVd>ZVN^aE-*x9Z7J~mm}kS>=>@1xXF z$5N+rYZ^nSIK4G6?E~bv7TUy;aVuiCObHD0;q7}wo(0F!2irWA-q&Vp8IyF&kdTIchyWw=cT>5~QfBTO0wO1)WRC3SE z8AvJEqYhH>p{lUI%t_|_5&PPOk+&)a#!89y5o|z)m&x|oavZ7-$_Txc$vq7slFI-r zBZ?+rte4Bs*BP_fz&UMl<}D+t4$3GM!BC^lg(H@cGG)z!Kn8FbQ_A3qa6yxMW*KqW zkt=fDGPwEcMNY!EjHo&YBa|mVRW)1@0uiO`6-jjvPDnX}3TQ`daq%J5K{y+Y1}Yg? z++By#3iKw}o14Z^{Chy9VliLATH_2Sst$5Zttx21?u1z^jjNTf*?`I)hza1`O-#Vv zy{$q(a@W-Pb4r^l>5Wu2K7KmROZT_lfqoL>?K9czH=6tj=8mifm|JF)0wfNirjSuX z+9dmnIVwtloP)Fi+31$Ag7j+b#RoSzxaqAh#ZHmnmbba;RB_@e1L#k3lmQUlZp|-f zAH#CHgQ1?@L=Y0wQZ>6&DDU1MC$n?^Dr!6S`B6DZ)jYS^M3MR$*vpw9hjsf?>BR8e z{ygs6NEXZ)>$&~EB)5NOuc+rYm_B}kIiG#vx4X=#ZGOzlXcGRWx(P{{RR}kd~w+z_!2X zTf4%adltd1`=L}Z!{`eCItW0cB|ufJX~$u2?F#=oq`Fg*IOrWc6 zNeO=_Ha0zA20*koaiZUVp9QocPDL1i%=7FIH(MpJNQVwE7`(@~1HDMH&zX8XLGPmX-Y7%h zCxvIHDiZ2Nq>$KJV(EX1-A|3;(yS^G9kTZ2>vrd6tG`%%h-(MZiU0OvQI|*uYVb|5 zJks1fPi->ycRp#UJDCfol&g$0Mf4{53*~27-v;?ATy==Xt1aDwT0w9=IQj?ZL#eb0 zDkgOG7aVd{;eehepkO=SAMPg1)Ktd#KJ{R69=KhtCOKd1_pw$pl{P_r5}oJI_D#ff z*!ejGkLXWh)}^kKH7UD*Gf?id)-Q7knjY9EJ!R{E(9^$Ngih;*Q&bc8*BE6>IQvWJ+`>?jQ4TC;r-Ga$B8yBT%f;nkq^Rj>p4Uo{q@!_` zaHr^0mo+QUi4lAy=C2#@usm|pyuX&K^-)GP_fi_iLSQ82%$YG#8hOUGS<8FAjn3Ed zXUa+sBeyo@GsYqlUjQx`gQW@KJU+?Hz)R6rpCF$1*7 zt&rfr21^sLt-)?YM(B!+W}(aIid$%P%2qbS46>5m6}Po|4fibp)45oN4+e$oFwvccex>Vg|#pATB8&V8?!IDm_&g@_C z;urf;K6$F1b8I@JMOY&gA}Fc7v>*c@P|Tpz=DW|w84apvhd>? zwEHgZTmpmN`Lvd9RMH}?zq19lCj&cQV0$uf2!*P-P7>udH~%+>F#cr?DYWeKKlBz0 z(>l0+$V|)6Q@19k$+Qp|C~0EqmTp@}XFQ&;MzkrU0}nHJL{Yx5Yt&7ULm4Qyu0gjH zlc5IQYa@R7BM1cG>L!fPu@ZLkA(u3^qCpvG8Bqobqb)J7LM}pv5!XA*SZbJUigN1X z+`K>#zPQaZgma6{HGH(@$t7$3We6z{XMd*9u&r1nQQ9_WPFy(+=~=Q`kxGQJk+>D} zXJ|jL{Tdx*#kPB%VIA@mzvJ}0&#@v&m^#9SWTz`!N&9kyRW_s!lkSU@j!hAI@Hm6? z>^wpb&x_h4Cd2f>MmZRvA5OX-rF=NjmEaK%?^uZRK)iqWPFo(jcH!z3#_k&R83Yqm-qr2lYCO=B)P!gRP*>e=}6aC7J3dEG50Fn2y>>bFUk zqv8ukn3KE53UdfP%dXMY0+`Ci&NpeaW8q9c#ZIlM(@qQGd0hA8zLRs^>*D5Y;g|Nh zjO#_VXQ*=o2em(9lK028Gi)A7lXCJ*mBng zl>5rqYS#x`FO;#>t`8{pg%J{-z%&6l3HwNJ9vgoI&xmqg7;&38$_)p{G$;Tl_bnsJ zePQICw?Lj!W1Tc3$$jONur9Q)=iD@VNpiCaCzKYOZSAT972Z$F;Y7JFoFK%(Q4e|y zH09@g`W#O8?SKX)oM7Xpeo&WkpQP=uOW!iqGzs>s)lS4A_SQ0Y&8BX-=DX-0l(1zk zl}gOYJb`Kxtxfr!^q=<9fB2?65AL2wpK(QcXRoMd%6A^G7*0U=z!QGB{a39>&kqQ< zOsgWF8^?LMCwhKbm79MBO=TdF3tiVGl$+`Bts4*quQ_g~i-uH*cA#}5$7CT$h`GN8 z?dgya_jb)((G3X0R<^h|3@}!KHN%J^odalK8u!C?o9MZQH12d5d^i%21dyrNaypoc z0HRMhgK0_|Q#4Ny5sie_^zK;B?&`dLZoQE3aO`wa@*F$2`;c%iLOi(d`cvI~Pit`HE0kp1yU; z&V{YkLCoS6i%okLx^^yXdIGL@v@~mFkhke8rtMrPrzT%CSlG~NF2hL~F{TF{C<{gO z>(f4wydAwoGPMn&)?n32P*b11X4=jzVI&)#6U2SfbEe!7l_IJl@Y5lAyy=?m`>Ep2 zC+3>P%yxcVXbxhZc2Wi9sufTHHKfL1KQIO_r%oG1!p);5{iJo}libs)BHAO?TyF?# z8o$@vh7R7hl;mKpm0Q2m>mKuYy2o_gErzF9&xY>V(0lDYxc7d04^G~-uh?^oL!w)$ zh&z>e$A0U?Z&`K06qJd&AXUM<$tqSF<7USwk!)yY zgjybG1O@Uaug02eXt`nu>~b`=VHesW8nW)K$%baeS_$$h%oSl*)(j)r(9Fm_e(V-g z<2{b;Gm;H0S8P>Zjp~BH0|E9H<<;2NLpTRu!)Ex|(151a&#hY?E^5B9tl^uh%O^M}z%sSy^%Jo_am~{n*|Qt_fZ2vM-!so$`y= zBLSQhsC3Idr~85$=1n4}NxQSpIUL18H42-iE<&8-z2lFdb9OCEfq*(m^r1_$=wrt{ zPv^M>TJ%YSOShoJS{@+puhmvsAK`afd*!L+j17^S{53ZwPh-+1*kKGCrmRg+g9Nu! z2{JIG(#PrC8uy>Og$xC=pGK5Yxv2anviqQH)b9QDyjY4kSvVAOS6vEh|du&?~h%%?$b}M=E2Wcd)*rKN6mhD)Flv6`p z9_d4VDf0pHI$}-?X8GHz(V7l5TEiD|JYai5ZpIaIoxP%-kefb2?vS6J`sm6w^lY*( z#cY=JW3(^9=?WWs7wc4&>*jo;*{qDF;U_V&W12r=FS{^;KN?I{w1#0PYZL#qjA%A1 zV}AqNePVJrY<)&%nPo()Oc5!lA2#slv;$L z`~{&1^B90rQwYp@sO)uZzl$gy!8_Ec^B=R%n{oj0jDR)U3k2s6ZADK$#KA85<{cgP&E{ zlM5Wa$Ou_c8Adnu3Es}3`sTKOBD{}LLArla4nGO6zqQN*iP33k)1&@6`Iy&tGQw1)Z6(SVPuloMfIxE zsrB~KDY$7>1pw(TQXT(h3{H~VWkaQ7$HSY)+EjRD?gw#TdqX~vyPC_UBE>}Nu-mwZ zdHD+xC@Z~t$92r?GQNaR*1-9(_cN}z z@9Y)z#QpRU_j51zkInyS#l6wJMBIxJ$PxvNnE~*!g6x3?O=VLUX)@7-v86GMtAjGO zGNxS{nPo225mz8`N@CC6+O?6P#0z%^2gY)pQ@1Q*%0`ChuBo&x*D6`7J7pvHm+HU> zSF9Gf&9=RwYa_R`x=Gy2&$bQIs}Ks$07>FrI2%%vJupy${Y}%5leiboIwY=Bk2Fk6 zM}*v$L!o8ig#F;K7dhJU6;?L*Bk*8Qn=IulHn`3ka^ll|gtk^ciDK=kLT9ZTZ4=AT z?NvxhyPJeqTi?+Vh$}Y^Z1o3G1@it{uGUBR-PW%0Y{!(|CL}nYod#&MDM>~Ta8Ai* zA!@-`NYU#`@bgIS3H}*Z@OSo#dV+uY2>u&xUURjcb6mBUl;H0<$3;Z87KZlIj97%_ z9@Rr|=+fU}&pEycBs%q+;}fQipENjee0N#C*im#4GGZY$*c=Wxtshez)S4S-;1@8P zmcL6KBz167@3Fy2@^Gnby=Sz(WIdsY<7e`qv-3K2{oGC7Uh1PxTE`8in0c31*V}!S zcGhyoeaSQ>847`+&nBi1^Xtt}2=Z^J=)qKurFQnX)nx#HjR@-<3 zdZy;|F*SGDA`g8Ga$L`h= zn4=`+IGFHB`Pq$r$Y-MPCV#|6vM>(RE3hphL^ov*VfTu(5RfktiY-mM6kvpqvT5XH zuZTvnT(M^MM}$pVE0DgHxiuQe!U*-zn8;m*ieXG8!w{^!B8q!uEZ1SvsUaBANESxe z{sK#{pfwnj;bwm|g_JF4wFco|;;f+)!M!(yl!bGk4*g*WAl-F~Kf>@YT1vvn8)3sW z`}^T7xz}tP{#Bc}WaN2zV@9!@jnzC+Lj%bBM;AaxeL7?wMz)o2xY2 z=hlM9YdEb$#A27f;NPa5G@M*$3In^j*qJCO_=8i;tT9`BIQvF@?wv8Rg~w28o61&i zS9nbOWZ55#&B38lfU`3P&SE$0i|3AMj_DxIDZyjIzO?mpuO{k$a64pPWO}x^6Pf?w z_NF>MSm~TIzUq0<;2vFNL$u@UuT?;%fN+M)CnJ5hUmQpZn%3MC6LOZZ)g>}EciE(D zP-bjYAhXkm`zj?Axm`AC>I-9k1viMovKS~9CedSWO&O!iSRJTVD4D4-&<|a+P)1os z${`WPGPI(mE7q`0TAC4sGk*=boKW8n)C=m=M$KNaW2rZO3Ku)Ufe~PEknf&`4>AsF zD{eYHLcukD%HWU=YV-LNY?mcc5dUT_FIPz=M_ZnZ>}&E;Z#fP%7e=wK0o!U)N4R>m zA2!fT!%dotaMrPJ2`NL>Dm9t2c!NG-M`x+80SXBQg^t z7r0xalwoU?R4HFS%~6}1U+(XNHiwS-R_}vl+)>|vA*H_2xz*Rol|C?I?Dxy1KA0iy z_cO%+@CEmQ%e6kVS+sVrT<$BUem^jz?~mus{o#4t$XL1BS5EbvDU%FvesB|D?&Uxm zFE>`Hmn-E4Ru=+VWw4{GS`aq@1vrMLK)IBCxb z2UAi4#%W}J6qHfI+U@u>dm%6NAr%5LC$L{y(>;dzs^(4LS21&<&@y2Hbs2q}Or(D3 z&0bmr@$o@1W5l_t(y7!vB_t>p7540+JvS9mWM1lHuX zboN$|n>RrI=;EGriP$KQdP1(Q|N*OEt*lrH%7W%pH(cT)D zB4r%FZhdscYSUJ7Zh}R%zs}kFP#S=Gtb1!*bL5IOC~M#=HWxUM1}2;X1GEt=bX?C# z?nsp*z(ayUgA$^K%>z-m*q@UsM}WcsQ1C$(fay=_w)>c=a)df0vyeZk3Qc#@MFyF2 z1V9M4vIF@tP$$mR-QD*Cc8k&f;1_YvWal~E7X(ju7Dq!OAVo}b*}nj%h!|_tJm)i z8seq&7CN_j3jmhVJ5tKRSlpf#)DSW}Xb(F4*tS4$KJ9$Fb{_v$jHOZ~tzz-z>||=x z5lze_fv5sE^`!YSRFxB!i2B`@I?B*`NGBPC(|ohp&R!8p{oZD?#X={qXgEoojY$hG zbz0kaRRuOOH4d!OfN??C;0fv+5<6)WX^T`0Y_*A3LEizmBDfW*{p_l+S45d6SFD*9 zx4;NJeT?k2j40BS5quuxsAwOrYGBVJ6R*k!wo>sb;}Xkf#I;FpZRBnz@hb4PfGqDM zUe#!TiiH5Ri&sHaD%O4iXSoFZ1yMT@gxbn-727O2TgR(Ny5{bpLlU)J%*u#e?nyg@ zBo|qo_t#41)<^i=UbxB?w9wZ!$~bz-;Az${*0G@Za?Zw5z~lBsQa>iS6q>l%#V6u#G+FnYK`6* zve3W3ujG2rO$LbL0VijNlK_G3^<0-XI9Na=xnIBmlm3D$!m_qDHX!;!Ge+QfLB&#+ zJsPVe_xVAlrki&fgB%vw+;<3kL+&du9;9X3w^k8ypV4o-O2CfjnHYu?tuPi!(iAf+Q~ zjHb<7u$Ya(ELkaHvTG1E89AijycfM5O$pppT`g;scfn*=+M}*ka|F8IZkb&=k)EdrYUj^W^Llk z$6s8}9&rtF_5nyEZBEduyO@S`7h^%u>92OttdA#Y8&eBC#$SdTwCAaYLdwowQO_El zKGyILChT4=&)p_@v!R=@^f%`_lFn`N+9189S~tm?6>wFPgqCczEyq#zjxZJ>B1OtU zrNXwWWkgZVXCOvv4e-N)?>lGHbuw=Fij87F)GDChZIq}_y_@<+0W@Z`S)Q3NN@!1X>#yb;CX*igIOyxUg}OS23QBdWmIp^Aq7m(5`mi{Dv}!EIw|2BD5UD zetM)wH1GIMqFnAi06Vv`r+NUo_PKX&>#0U*3Q40TWDEz!VGRdH!ooqm$qgT55>3sO zq_aMs$yK1@!iwm;Bj*1?l1^DxgJEf8wfx136=y8>H5vn)bqa$zjVo|EgBkKu%Q))c ztycQEmxlBHozdJ^AAr6bhO^w11r0Jz$5dYfb|3~77Oq*YG^H3*rXJs-54=B^$qEA> z+F)d}mMJzYoK+|}BF=$g4U|-Oq`)t7Ml?L9Y^ns?M5MD$w;X5sC79XdJSQlM*}EI- zojL0@(2eOA<#D6Q-&J6Bs><`M=|2!$O zGsv=lhV}31UIN5BaI+3$@ikkpG$fKAt<@ zhv!Y@w?g{raMDdPJtv#8PvPgZ%pR@4^MY&FK7X~Z0?%ik9~e^4Pv`deEB$>H*I5Wj zqLoy-Eik0NpLUj2Dt#3<-L_KdtE7HEFr>ea=g$2hJr)wN^!Y30zDjDVkqWJR_WjYh z{XS*m+EW-_73t1hg@o98U#0G(tyEAlgF^vEXQ?n2&XgIlXYo{+`BW~I^C>N}>Ljd? zZXl-}XK|wHu9BlE@71Qj_xSmc86sSdeVSGv?NA_S&yD*{!W35L9zg;X$il^~n0pa82ejNJgn)+iBGGMd7xL zxcDezf1?44jB6BFM%NKI0DdmAN9y20Gn%<&bRB_Mm2472c#~q+S?M|gLBTH@y&QBs zqwm-&x{g37wuTxqe8!wzBwa@!Xql|(L7{inS($bOHek09(srPKcT)v7N=E^x+5i>L zWWK^tp}Um0H#&Oi3$FA8b^G&elm)fXuJ#0q z{;(ovP>P+39D-6mtXgd{m8|qO@Q4(}(siiZ?$uiNYOUGGE>N{iUJVUp+nN%fAq#RS zV+iwj+vXRcVCM=La|jElDgM(^O_KKwDGh-cWd@5#v{v}PfCdFH>XgpzPu#}2uxx3FuD!Dvk2_zS~4F;fuI?G7z zI%b4q8EjMvSHvwtGFK$$hA^5a8H5o*LZchRy|r=IF((xMihH5V1s(Qh8+V;t6L#gI z+8iivho{I~lMFHDtk)}0nGC-N>f51yJ;O;Rt8n)BgO3O~p{P0g8qI<$&j}l$8O{o1 z{${V~z8`f!HdxQGzrC$uAi{mvdvB5i=S?)Hq~>UX8eJ$6 zJVl3C_g!PL7xU|^^kJG;r*!s;Pzrw;ugGW)(B%%DFQ$lgh{4qCC@`9XewVZxRX}DC zC1TX*Ppz9nOC3BsT?JHB-`AB!1{6d< zx6DZbC8PyuB&0(+1Sx50q&tT$Y3c6n?(Xjn{Qlos)_OYc-Fxndz4tlK*{$w~ zR@?LV_So>qPTgtYiY9aIRzV?0@==!mRdl6u^mzrF zV@>|`C9S;+eS_;HcsH-|w-s58^yw(AJ-v~0=-1w?y>PvMyo_GN#j&bfVz^ncupH{CcK^36RFvX0 zA~FZUIvg5-xGA*|-xJmTGmd_pf>EMVquc_$t6C9;WZ7-^=?gg<#1DcWvf-hO72!Fd z6B&fMSHJ2^8=i9WnOR8>YI)zv(6e?cNk!7ay`@v~=*@S9i=n}sw%mPM#n>#R^e2#J z%_`z|$|jl~w=jh?^Y{S7?%0#KW?M%+$Hm+>-L4l|p)dg1QQ~#WQm2SHLx9txQxkjr zKN|@-i)CYCl!dx}dWI6;km+5-l-F*`H1b0}y$O(DX^-qTy_^LI}mvB`~%fsX7-}b_YQw7vzUA{Dw+~k{4ngj ztGjx!7tD%gT3DOH+HLf#s#vkhLKf0^-Vp?+b1`HJs$Y6lM~n0^R`n&L(*I%p`pi~q zg)lPNKSroevwfPl^J9mOb2<;HEcxA5)WW1q-iJTSQWd;0xzkYGee-}KT=@EY?WD9x z%qA{sq#v)8i>!J($F6ntDH$~{j2q(W!%wCmGgcY;1?f|%fwlTi;T+UQ7Oypu5gJ0Z{Y{xLu9>SaXG{Bqd%iE6g45zO+4AMH}Lyjh0Al z&q5~`IWTwB?o1`O*!obJh?;;U`YPn`%^SJC=qbmu7Gv%0HjMsZpYX$9r|=^ix|ha` zBBbLB5~&He90v7zVn$u{k&59xA2RiM->GL zUgX9o8O8a-Nd4uVclk4i0@M-R{aW*g0#yCiPibp!Fb<#8^cm5uidknp{|2$0XNVJr zqm}E7!|8>a{Q0<$wnn>S&z%0N0#|4noAa-sKW2(jnQzDQ&STg!|19D681!5^+YbDl zL4Rld%(_qvLo`;nrIX9XmTCp z=b!wkyRk=>UvoYRlkY2v(a9Lr_RQ2ce@e|42{+`Jp-3P1m&y(CLkMC4^iB3Cc>{h6 zr5<1N6ZGFVU+|5^A(YG5_-!QxRDIRMWc#X(8$bJXIIjY!ELVPJWEa|P$lSbmRtY9H*gl|;ZmO@%^30?lpL!cC z&23}EEK+%NjS;;PNyNL2cdC<|lFi_n!R07&q&kb~GBsGLC*G~{>iHV0{Ut{XV>>9Y zReiiWL$T8iGo_mapTL&3@rP^Me&q4hYyPkmyv9Ve)rzs)TI+IR!i>9E%P2DPpxq~r zZ8mD`)4o>PIrX06WQRDO`P2T&5R3I2I`=JmdYNiaUsmtG>(IRs&&>HRnsB)bqg>`X z45g{z^fEu>SH%f(NKBM1rkxM2ja&%dyRTL&ud95_CUDZ`g;luDAnZ{@aKUTJx}0TH zzC2}$KU8z*>@EC`rY{7_Sjx|Sg)nOF5xv(L%(1i!Jc_ibGd#Y}i=0`uU|bT_O+v23&kR1B-* z3Bma5%sXvop(pMVN#%VWB)LW5;Ol(}R*qsdQ@Az z;)+_CiT|-qFQc90SDBR?aiH$H9s)0_zMFhbG`hJdlJW9v{*=;OVS5S;<7#3yk{aiUuK{vo%F^^KUc=~VQ#GhR77sv0QQVI0cS(0B> zs^75uRvtXl}8 z$aE@(ZzFN$ctZX-2$Ew8zF3XmM}ZW&$kW<#BQcSy!>`}MOrLFOIgFV2+c{bnuE(|n z@x1)|C*1K7-w>7j4qJwd?nE#C`9H!P<=0g&U)z|r=iekxjJSW>sR;!45gVRYlxP$8 zv9FI%mzRE8iu(4#R92pqYYG=r{k9uRYDU@ri_Hz4UX*_QZ~LF}V?2)N8LXAqHhV1C zQ+9l%5f%>D@W)NR=kaijG%XLvKWK!gESA`ezs(+I88{! zYsK>6-WcC}C!PeyZb|5h!bj zW!rf_!xUr&qY{)fDJ%5*1|veJO7v-&tgZG&gCxHpJ?~Yk`dR#yMUp&gMz30zL7n?b z$6BlTvBFM-|BNzIxC~KB<@moY+LtZr#r2=Dx(}mUG7Rk0b)=5bTwMAeU#R)kQdSww z&hg#y5x9+A9(GFMF^ACKry}5I4nKuT@UlSw*MpG=^a{o{Hzv{iqYQ||((fy}>ualonMJ;_$ zHYFzT2pv%~HkXJjQB#k^s8KfUDp`manS83McpTXJY$c+m?{|sXLVMTe=bn)g5JpQZUNwn&6hpW;RsNwFONSu*>N zJh7_wmnSgRZ%G4RrW;$MznQ(>WrP-&3oY|M5XooDb;_P$YuZiaw|4NA-`NGxBf5Kx zRTbSMc(=Eyyi9N-VUpdlxcDRjbC^L{N2Sx|J+@c@%bUQ|7ca`-{RH7dSbu8hZ!<5M zk3tzGk@Q0_1Y@oAbn;Xb-e5HPQK;afbgt+3Jgu!>Wm)$7vOIJ=Ar}`C<2${=!xi@9 zvrW%}8a{&|wlorL;>GLi-^?$neqRPFs7yzNw8i=OC9lHMN1=^k8f z;^)h?Q=>Qc3biW0dfSKz0?%xI{D|iNETvAQKa5Wsth-_mNf+@?NcRbQ6_dzobcXAK zglJYZ^;SL2RGez@=JFY%nJ9A6*s2s$=qOz}8mhQohe+_pr~wW&(^jXB@s!ACd*wYL zPn&r4p|P)AJxIt*KEFY?U^v zm*2G5t$qjpz!H9Wo1tf14Iya1{9N{@+mL>@C*7ANP4zsWf33khQOV+}X8}jtw&W&b zM@Tl##uUYb%d4*DYr=<3=$Oakvd#kCv36outLaBVrGiWv8B+d*{4VA1x0ty!zjFiz zu%RDD)1JPKWO?zgZOCLxTjAMbN<>@fUsdRVWO+f>Q>-b8WPyxbCA0;tNM-#!rt2kN zhq0G`&LfgkGZ3C2>f{Muu#WG(Cskv@>uJL|#aEEWY^xsMMJVHOZe*m~eB3#n(;s(? zxbRhVL)OXDa#TIiDK3p#+%J6e(6mlU{+DSvQ@5SA{8rP~vl}Q=w_F|Bx>9HQK)+wy zFq13G`{fTHVfA^m2s*qCGI>n5KGU>au7+leMC*pA5L@~;=<@S5Kii9cS!WU0Bs*0G6h}K$behN|T}6@~1Cm{=NWkaW98z?|VnMm! zG+~h-U1^+nGYZBjX~`2BQWiwVwY82?c1|naNn#A<2Ji+v)9odbC~HvmLZp`zWE4SR z3q!lcTJwQ?K|ud*X}HFg5`ir~?FWJ4Sh}&3iTR(olzOW7uW=)JYFQbwgQvZ3yb$P&h>R6sbVRD3ag_R(t@Vbz(^u3 zzj26yvP0lC%l9}r$p6BTp1iW7>6n?KBi{^V3B96yDgWrQY97V$&cB3Q`|IR++%U>4 zvv+W5_IJ?;J_H_PtKWJSff^q-gAw#G>u6U+7Rg_$gp~&Jc;eZxUW+~rZFsLcJ0xg; zc;nS>CV%()n-?`KK5t*U9EaGN&zc=?T03j$bXO1m3v{BCs)jq$LHq)35Y?Pa4l?liIn2;#r(;xz}04u)Xn3F^1*$@&91RlppYL3wtZDyYt|F zGb}KQC+nVY7j+D8eAM~O3k167*x8o&tJ~yg|R~x7s z2eF!8N6@wzKSB{lZt}pIvg~K5yx`qteuSGT9dIE-_wPccfI2QpPn0%+m7bTlO+Lmv ze~hY$JWTC2MQbH+xn4Z=3Bn7TcSh>*!Z=(Bf2L7lC^M=oiQD*0K4;r+2CmdsD;o>l znhx>WB3o;xDznc#*;+x{$j&z9Nv(RyWXvk8lVa(NV0-}S+dyBdr$a>1;d-0=WLPl# z`I05i627Z~;2WLf?gZCxh#t2r0uS5iJhv(JCKsw;(6rL7bu44^?@}#779J-i8e8!Q z(>HWQNQ`pJR$lS?*{0QdS!e>PAMN4mRl{p3`z9v-kw%0HzM^z{FMd1!p3=?oXW)0P zl3oUazh2p)f~d|ttlVxjyu61f=_~UNTvphwB|meW6FEZ;jq-ALS(p1sr-l)K!OO{I z8wp~_sb4%cJ7M`;5c7Kc#DZ+(B&6-*Cd52Ded6QDg=^~5K;<=GC}r-)xOZ#ix2YPt z%?sIQ%x`HL6vy6veneANCz!xHm&xSQdvvk+)QXAx}L6e;2$@xpS6Ho5IgH zc4>K27J?v1qjaH`L2Jsa;<09XcEqT1MQ7>a?DkobUoc^cQ2FwT4%4`ZlW09R3y%nh z&4Y98K(3Cg|EW%=PJ5#Cp`jt{;>HHNT{)>@H|Lf?aGokwTJL|mlnP7 zXA5s`(UN&x;fJ+-L!9T~XpTsijT=uBLyIjly8BzO2HO{xASqK}iyxM}zxoD5P~r7_gPW-BjzeLGKOd4B&QvPml1 zHC=fQi%aTB)P^U?`18LzTCW|8N?nJJIWEdJf{^xw&nBHMEi!44<98~~=9e{TKS>M9 zx;^{0>GL7*`0YB1&9!pB$h}TYD++7Q?q_q)e?67k-Ois^$u{(JtZ;zeZH#hxdkdNE z%t>4$E^2zSH>ZOg8APbc7rm|MEAa2depA*fJqdkEZK$~QtB?RgkK(VBq*V#w#;>2X zsrh&%6v>P5h_qe3l(Ou%QS;U>RyOE+A&9f?1bxEtg?KvfxwV(5-l>L~xElHL&vYI< zo)KxWa07jOsS#cA_0*ZCD&BAUi|n!KoOVOVJN^Zhu_Ee94J{I=N594q$d4kc`w69Z zKU?x`UGd!J(R9vovDvb1)XOTe5LNo8FAz|MySKk&G-sAqSPP1>&X5j=X0$rt8mSh* zd$nhg=|oE%RdD0dUMmB!ZZxkYh3vy9W7cHky7G{0>9f|KcknIMA(n-_BZ2)1KMk{S zo>tW${OP`CwR7UsB{AepXA4apAdhew{63K;a@SDBs|1Fp(>J0Iei9-5C2E@5JjUTB zaObvZnEHV9R!nFlHNtLGiuTkp<=2`EYhS4K#H+LpX>3&{d}spaSKl7^4#+3QNG^)D zu}2*NB^m{&t{mu0BHo3=f}i!O^hE=NU!Z`O;VB4~qQpO_ibt$8q1rZ+#n3+gMDWi* zB$WYAeE&=A3zf1#je79u7wT^!#Z{RC$rNAPqPWRmoq{p@iLb#y_0+$oIv?-kT1rR^ z%g}8jEeDX%RO_t7GETkA{E$JIfB7z)A=JJo$r@LdsK2V_%1Ox9ONjhM+lX#C zy7TW3Noh3`C%>O0>=10!+9o{rKg-DYB-(@KLh+8Fe-qt?D#yDj)piDTrUXo{a7L9A zohPj7(yAPu9i}(recy;^<%+=2-VB#|(;{NtbIvTWd<^vr*2eldlBoQiLB=%yGkMYB zh4yv$m~^V)VtA5uVnKyzw!^Dp&2f*WkKi_qXqz)Mo`8h1{nhYS&4oL6+-B z+{YIe=cj$E_;v2wr@a>wwW$re{dhL(*D?qGmOVZg{E3KXYThBC^;vJVyNOV?;9S00 z6V;(#OLHAl6JHXna?M_N+De45ws&~e6!1DJgTYKZq8A7uZVeJPDC`zX3QBPK`^|-l zNbD&`(SE(jj_R5Y(?-aQJZIAt;2N8es9}FoxU@8y(SrU6^~04lL%MC-#wqw%x7JC* zncifq1Yt@ZuT^4~}g$+Z+X0M87~G@(I#;-zcVCbX$pZWt3j7W3UG{_ymu zr$2md*ZRC2UX5?%aOPn_c>M;d6L|e$F*&X($v(5qT@a($W_d>DWF*2{9Y?UiT*D~5 ze$T_FB#|5VA-~rzSoulg_bbX?uqV?S4NRyrQY9m$3aUv8fo+J};NL(RIUJqDwY{7> zj$2GwjT$vAnURAMJu(f8p6?$Wry2g*aAe+RGa9JdG9ycNoLp83|(ponQc;eXhpJ0FE)n za%_Qh5NsG&!db69#zl1~v0w-jM*PX|3q8( zVaYLxG*r=OMxng?p^2RQYlJ6B9IBXzhH{69>`ymX2Y)dQSqEI%Iw8~7TNSzEQfP{U zyF&AWFC{bARw(-v`@uiJ-auC?X(Qm_Uy|iqH+Oouh8F;@wvcxwjW!uIhNqv_Dj1hD zSh`=TNfN!W!F=!(2N8BYOq6CFYvP@f(&F_sKizT3!6vH%*=t|Q%U9q31m*;uz&cpZ zdGHMm_J?1+$vDcI%Tug_VLw#v?CdFb*55|s^w$!e4sYO-U@_4rJJPt=tEvv6(Igy2 zxUZhv9I{~{`h3xH5F2H;@R@U?@JucmK`4D}G~o3SSn}Rr;%dYN9mz;@9?q|gY-Euc z`A~OTlf}B=8{Es!s^lLVE$Hr}!%tHF?Mrq{;!hc3Q?>t7Rt?Wsd)cCd1Kr!!j0nY@ zKDx|-S36XB5tL$88_!3Xe9_LAmh4C<;ik~P>L4Y2q~#_r5sMdJ6#L-Ztb_Zp=&@&* z)6zoCz(odLR7MA~F9VAtA$k0`h9@{=GipQ&Fi)j4TT3Y4K!eVwq7N05+&C*@C(_8;8_Q+$8c|}{})AkoZq8`1ERg1{f|Xq z{t1V%*P47mk09u^N_ii7QA8@!qCx@D4dz1yLPyL_)?)a^3uVlOuYfN_Ol^7eQuf@b z>@4yL3mp>)W12VoMTKL0aWaYu4g@$L5>#8Gz>{oxX^>wOvqds`!`}v(k)-C=rtyL* zO(Nz0qT8&x01e*a&}8PZ=YHgv3RZvZq9)H^7@o&{{6pM|H;o;WvG`Hg_ttTuvHDX8 z@idf$D68{~B@O#)GnwA^d*W3jK7>JaAQZzwX(Q&k$|l?Wzdhh4Rj)g-4yNQ9Y8K{( zMjcz6VZbqRo9BmuYw0iD-U`u2L=)3e=38zL&utt}n|M4`!kkvT2+uR_fXsbY$uKP0 ziXEkRVZFZy54HpnOswzN^9}#A#SN)3y?!(YW@JWH!T9DlgcjX< zfrD?{u}oi2cW;|&nZ9p=qa2LiPw1EQ(pn!3m~YwYU!8$O=~@;5?nGiNx)!=R=ipAT zed`inZ&>t0J0@p{ZMM1=#LV@PRYKxz=TQMgaw~oQfiu4GK$}GaC1ub$JSHp;vC2+m zh|OU;9oX=iQLujl|BeJiU^zl{77?DAy-y&tiFPdqf8{=C-R)c*b?}X64@w#Gl3`bP zyp$`KXiUU?YHq)SHQnzXnemei9!Ki+UcT$^|9Pj?)gLr9uFbGrp(Bg&@6Vz!-$$24zB~q16pdPA1OF?Kd?}-2WxX!=be~94~&= z#R0ecjzo1>iNx#2bvCd&utKDnv8t2lL%h%H&~x=K2H4C$&Q{GhA^#P#i>I^628!Ru zP-Yyt!;YS4i3WH@|fep<-h+|!S8jzg7kuY>=a#s@4y0%k!vxd z5AnVl_r)YOwi~WZCLVm^VKGt?r7KVh&`@#`~z#j*osNAR_ z!4a3b#Rog44HUnsIODWRH}nGunJPi1FLUou&q>^&n=&XEpPk2`A5GnBcxhvU_{rEZ zNJjS_yJYq{8Ny3!zjCB)<-DaxRMum~YUSzU!8BxMmJC55NFF~ln)8NULtd0)BRqG$}mLUFtAZa(U#!4OQf`gqZ4(!j%y-aO**dZSVL>Qb)(2NcvXZMF|%up5KLMsdscq*Na6h&_iDdvIZw zN2({~yfn!o^}lGuC3ZemPO%p|GA~t9p%Xp+7s89)v z+{KObouy!|Wh$o{aCCa6ISLgdzTtplZ2J=BYr{YZ5RO_UgT}|N9W(PH z*L=b-;n@`@5GS3>8A!2AfS5s1H_+h+@Vyu4l4grlj1Z?ut5%=EgDZ$B5Y!5{Il3fX zfm4JSbMis`WwHc`CxPrr0^vVi&d~zzp}+`bO1`;>C)TU>X^iBx;h1Tv(EiY8!akL1 z^4?kdX6+}u+WXqK7@IouNx9R-wSn>bH#iOC$vz-e``WhkaVua9=u<$O?I3TolvP<%JeF*u=u>&J&SX}k9(hkl^Teoy==cNXr4vVIzl zT->MV&<9jcKwGW5nheh{h`C1%^Ub3%H_6BmhYk5&+

    ZAPg~_Qu3<0O`k%i{7s+w zNIZ#C-;-e2rhD+$L#pw78|)^c!Pzn28=L%Ww`kimMdbPElq$Aj*ba?L4kboNE5xH6 z0IW|LYpyWYoWKRHIluu{##M>ug_*RU6-)$kA7nu%l~S9iBP8;&X#i{niFK-kuN4AlE+$Ialw~;BzV zu|m&CBO6vlmyX7WEW+Cu;MJ^F+t{{5f^1Z+eFQb1p(k@NTEldGFj|&J9qG zcD4J<)+#!<0c%Ci{jwfK>iyFOB_;R==C-PNQ=5a>7%q639SCDc0A=9zLKAWj7ew!n zLCxj^1c;Kc*31p5r)D8zSJ891N@ZB+3GVN=k@9;Bp~_#}HWfI2g+Gqt%QhV*38-T> zeGWGNXruNC?#qV!D$IkLL<0$I7zvS)zh){_Sg^BX)=FKEy^jK_N3I}lcmFbbJch*% zIHm#&J;z)wDnj*~wq4EP_fthhb5C-LCDd_MeuXM?>7f=jeZA1~u?+oUlO|?((-?f8 zo7W8AMHPdqjo%AJ4#%X2b1{3-ui+;|S1sgE+8#M?gEoCJdvH zUB}aRdN_y+2;m6$#vt`M0T-F<8=F%`6t3+20J72mfj`0UtXEkiBJ`Zb+Q@tIYCUN* znZ)2yzqZpIS-r08&BsjA0ex9Ot;LPQ`S^LI8ql?!ay{>x8ZDx*T;hqtJN zf7)8>KMG?qxaNpkr{IxAFo!Mesn8qwaFD$3_f2?Sv9ZY3C3zHJx^WyBdS6Zh1a2ky z(--1sj6M+EKP3eEd9UI*w28vd1YEgKf96c0Iu{%aMwN=TXt528Y6Lfr-&FM!7~}0_ z4TMw;zm+vJOrkOV{E-;NNBH^}iYk7Y?AAIPS9S z;Y=LZxERCZ$V-x`R~%w)KFXIDxE)}a$tIdDY&VUO;WIbj$hwL1=rvWVkvy3hW*W!_n$DOJ|~( zR6oov&46oCpn}L_)Hr)?`wUkZf4f)e1%GMQsyz~YZBDXd2ttA|eIO$6=DX$TX}<3% z0b=tJ9o})Wujq`JXEUoXVR)oBnR+VTS1X#3(TD4K3nO`JhADd`$7!ZN;eyX}VRZl}D!-n5h;7o5Kgb9ckpTLn?Qj!q z#GEsq<|f)L;HWW6!KeVh;0cF=2jI8|1%bocpxasaTc}Q17vq(c`vIWh3374KofsW| zqf&i1NE-K%TWctb$`7sa1p8-nLRZ#&KnPoRfx zLgESstAvhe7Z$iU>~5PRrT_jj6yx`uOfYA_AM}u7AVBpFwbL0b%&03qFacN*|9s49bnuOkk#Z;;hDi0(W~_XJ zq3d|O+t~nnm1KbOEKS7Lsc!1O+x=>bpSwZSC@SI9e=0!tDbthc~BIm@p*?A1YGx z=Uw0OL4I|3Vk513uIVf8t*tlq@Ea7UOpibwv-r{Kx{+s05KUE0Y@B1|U>$Fu`PTXN zU6&s46F`_lPye;%SUoS87|S7&jOGBKG1mUHF~^EZg1Iyf5Jpu%#FNGBH4AQ`pBL|f2GkG^34pwz&HRe!Bb7=q5_ge=BQvwn;qhn2w z{^2Z{0k?rEi)2*R?875(+h<|%xNP9 zu0>o~r9-<@bQlLqXbA7B}G723UGy^jZU>pIH+B2?|1zZw}EedQW7LhVi_ijB>~DSD4~t; za~&8Fn3}kDG=&1+^_fmWsMi{DI_Vx@KI9aGDPueWGK_J_!XK5Z?dF+Fo;=LmEz0?IqJg1_WZj zpm$H7u(B6Ht+>pqHMKc3SM>KZEHhjGBu}QR) z3-6V$_ z8!FIphNRd~nXb~&JlEZ~pmhH+`a8Qg1I!=~)1SE@LSY1svxm%q;M{r;9WaF*J)1$< zg7;Tq5>0n=hlbRxf@k#8#O{R*%AJb2c%?K$t6SU#-2Qu^s@i>Z=e3*=eYewbWkE)! z_LWSgq2=|Z8mc_(EGbZZv#nZG>WcDYJfuNHVu@?+h4 z-c@Jdj9$&!{txBcdWPiW(tLX6`En+UsPQ(F)@Z=56bHKOZx7Ajb=3W~Ln1Wv3)_p9 z?MGY@1_%Gr+?_0v@No|fvbM3YojtsI@o1jQqlWB%eAZd{0o%{F-8p6D zzp>C5(j;QjvfHrLmkk(8w09X8^5phlO5TA3pn=7t6a@+DAf^s*1~6Jl2EWUy0otUi zx&?W%m?TUe8p1B@pbRRYy?y<_2d*X7KK7?viEID)A8}5*d>ZsyBCd8ovt@ejYu;*{ zk12C%;wOvNk6-I2M*B?7+bn0s7DS6!E3GwkqKc6~A^@;X-zg<7R(Pw$Wyi&hMwZP_ zx#82y*nd8pi9Yb@!{TpZCBNy{V(FP@r#p1~b?Ub%gF`ikWRZ!p8Xj+SAaeo={KfZs zCow)ylE}ektv(`&i}QG>gah{Y(0Re7GR#DnF?xX*Rhxk&m`ikD)sip9Za

    k+8+F znr*zN(n{Lz_^j|~V zlY~e>Yg z@5EF~jMu7?$d02`E;HM0Ml|O`tqR)*Gkayf}WFYqTK5|87tbeizujjOD zvIXz?2d(n`Wl7lF8+G3LFYzERw^EN#yqZR~!39kw;rY9x6aNPN$Yxs%ps-}B|HUD? zZFyFb;bR>#H2Mj)Yv2&hfpisr1c#oAIUxh>7KSX{>VzYw)+6v-K`#e(+%WWhB7=i? zAQO%87IWXpYaZ9UK}tCFOEA;GYcKJ3bhYCQS-#*gW+QIvk`h@yxi>eZQEW6QT3IgI z8^4vFz$Ad*!bcVEriYT!uIp4J7Lc(B4l}tpNh1E;TvbsRkm!i=B8Uz=h-#P zod8F(_(y(p9@9~ggYFH&mB6pO#QoYKZA|qNmUVj6g(Q^KsL+q+9bc-&LG~l#@xmm2 zJf?@aNIkE$=+BQ@KugyWGOxvFwaD+0l&OA9Kfksi-bV(xn)%yD+1^wCq?~jnff1kI z(T^_o_Ftj#peElCv!W^nf&Uw_ccU@foH#$%`DUgSvnq?T%J(3r*D=*j4=5uJo>so3 zh#BGR84PAzfHZ_Qhg6-XVEX7@#PkI~gEy&wp#E;(uDO3dVFP!^EliG+XLUoooo{CS zccwaPi{w#XC#mslWV;Cs&;4JZ6A(j;hy$U^RJ>LhQY>S0D=&M#VFbIWOUSX1te33D z!`mQ}pLeDTVh8c_5a{~o5>ni27wgNFsZn7YxaNqmf_go|JYGUJ$c@hb+Nd|c&Vdg; znGKMTbGQ=#hRqoDvj5()9_6))`b;F#d$w!@=BNc2mnu}#KvoMe_U;<~Q z3+-6xyJMma+{AAcPUK;aAvt)S5B;uyhSb4)7P|v4(P~(^MFV)VVgYy42le#(B_6D{ zRKvguE|FPHYzWD8GCi~R+0soO$;r7O$3B)Dv~W|Q(bvKRPNnFhE4{;A_O#LpzZ$8XZ=wf}{&L!!t0v?_7ae=z~BaxHT!W+|&cE}(vd zMQm(anURG_T^TLYM+)Dtu1W3ihQ#<79bJtT_Z&cjJ+!gJRNko~%e&+Z5uDxcav%SA z&cSK^sz(9k<+{d#Pbn=^om|8wG11(|kFyJlhu_Ogr01bZA~Q}KC?hdm?q4#BVFDx% zI1jFm;7DlHwPCRJ11tr6WSf^D8h!M_#r|7IkzK}Ww&^G@Ro#-KnU=~% za2_D)K}Lnk?Tq1d%saJhOjkZB3AJ<5^_ae7q&sBLNGJ~_jFtgS3~qgnfwL%8F=5_~ z6j&4RzAGr(tJs%QG1)#B6?)%v2i-cZOD(ej%&|>1u=5ATGgw(;!**!QT zDIFEB^s)aYF3Ifw*{`cpYq)Kij)K>=fEQ>i11NmGLo)^SxDpu|x|d<#Cu`&sHru5O z^OMOnVGI^Je@>cZwMBYyyuixu|G-hA1%%Vr_PZ%ug+zJt5(_+&_b+uesi+jQG;EyE}>>t4ihzJ0b>-kTjD2b=M6VY))b(>+pAJ2 zcHKTRJh#GpF*^v0=Lg_&7%P6Z$Pol41qSL~K-ZhMhE1{D+$cHzhCBBab1ZSz-o?AW zN$d2W%=$>91MQhq3yA23m@TMENRiAcO}#|nOeoL_Sa>-DaA@91WTV8DksoMX0XQ;* zjq?RLKRm6*D07HoqjzeJd9pqPrey-iXwhzb^vmIoZM%)Wc!EWlNVJpISI={(6QPfI62zX+CyW%?F<)X1OJ^N4|=g8#b6q?WY>#vR() z33Pz-!|H#sV@-k%#;N($n#9yhnnA?bsYt8~u>}T91i=G|a6gXQnv4}k!nd-<; z*celV!E;!ELyUeT7A8{**6ISD{J=KpzgqwDR37gd%OiOZTF_49%P}|br_V!nOA71u zX>BoDRl0S|VC-)}3BKaUZ4G#L`%HaB%EAzyOP2$ zl*hKWP+`ssa#YiqQq_rDak9CC!~;;h>onPHX4ZgCvwS~4RQ`4_wZ59(`uU7$&dE3i zXm+5&4_b#eYK*=22D`#J=Q6G>AN;93F;5J7t}qPU+ow3*8~66o--o8}MjF!uOC zG-{LrL$9i-#NzcW2e6f2cC2&z;08Myl`3G5B+@_e{4ITV) zfd2y4$%?hV^6Rm2nzf!=)qL!^-o9n(WVkGtL5DIRh6%b?YC4T^WxO77upVhokAF~z zYjX}!2U3?m8Grz=c?jt?tz_=vS?BPvu>YDaY9(XjvDk$C6)U&EckU;G8si#9kL4`i z*+kE7G?c~DRUGK_nP?31euHir&pMu&6o}Qj-8@anyr9|6c2d*nD!frWhAt;8s=Bm07i@Y~(FA#TtG)502%&<0#(H9s}+k@--OWEU$e(sn?UDL#dY6J&Hp3L8- z6{JcxTAfXV+TFUbhjLYKV(nBenmxWMJdl*`Wy-bMnsJHu#O1S2*|mNif9Bz-{QDTC z#((XPTNzrns7Tw_lN z^X@LmDLpw`45YJef`7-y4|+9Zj!Pxo@VKLd227VdNN?Xw%zDc9_hL(V-PF!!y*5`~ zP2-sSscY!k+FaT19an|y{4f(|gf6^GnZ~udtg#FI`EaWv68ND&3AOLYzrS)DC@v_o zOdzteuQ;S(35Kw<*65{?gXtXp+xU^8*#!1-dST4leL2~^<2OE@sBcmi7gy=KBmEi#rysy zw?^}4cbe^g604Dfsh^;N)m~x%gg+P0^dZaD_N#NR;AnyIU5;_0+lK@dYu(ghQxmzb zT%u>a>k-#^Bo-qTI8X-<&286+``AfVka&+A1P%lIj)e#&WrEK2Wu0dXPB0tX?f&#^ zN{JI^O^kIgeyr)Lmz&L*m`wLN8~ppurm;<}MseH-0VD7EreL>O6k$@tTxE%6pYEg> zof^BUkm8g7q65Q040FEj1$%`m(@cQy5ro$imHGCHmS@ntfV}p6X$4xvbfQV*(~K5o zJsOu=!}MXm-eRP@`(QkG_%pMEx~aMNVas=YEX3x7M4!+tBZ^}CEw#-R5G&%xOqn!{ zSS1+?HmjiM@(r%X=sO8;zLSP5&EY|k=&R1H_D#{eyG9upTIAfRm%Lv(B_sa90&ltK(vC@@qkl$lhOBw<0oT&uyhHN2O9RJ@-+`v zuhs3#q!;qyj6b((n|L=OUwDXiX<$lHl@IUh~E&Q-u zQG(ziDW?w7uVINluK8xrzI+YS3cO~WAt{z}y0zOfiS;;(mad-qCZIOs8O7jI;E7w{ z^X>F0IDEhdDmPD0qzG{Pi@*0fu!#fFfVy zk2UeOI5F1d&kb`HB-a$+*r0abAbzUB`>1bFp z3PVwuQWpq2u<{8LHsj>7$#SUC0e*yu+e2(sD?&=-VUDic!Wj?wGq(I>?hQ_+u11>Le*j=x`+6k<+unPzL_4{yi4f8Y5vPQjIGRq%Z9Gn;y{BKarv(9b|#jcDcoe(nf+YrHjA^NOG8hnWl;h3v5haZkGwd z3!tFo?h-qEV*JH^J`AVpNPt?VedAG`T1Stknn_RUs#B(}f(Q6_TqFlPkOvv7vB7~4 z9VO6q=EOj#N|XmRLHZiQ$bXaDBzC``HvU)S^*YBQP}TfUrUglo)) zrY{wYX3Z5Ow?64;?b@uL6&M6|?fQ3dT=G4WbkMq+=x%qfmzt8s!Dwqj>zzBL#)xF9c`_mGN37HS9mA;2y{lg|8K;BISYCLwF8^JCOqn`ZC|Zr1vr zsgY4gaLva6ned9$A(!b8;ezUvrbQfE~{&5t@|#A5UK%57qm=|87yro{VIRLdB4M zr$VYplCtl+Ft!-GcXlb>k+GX1RCdOeErb|DL$Zx!Fl1lD*yi^feZIff%O8xHIp;jj zbKm!MU)S}V6Z^YOv^F)8@bu!ZI8?Nk=hfm(#n+GasC72LXw$(H>2OQ$#sbSq)Zd&s zOEBC~vz9R&Y~pULw5gteN|WIr0E?8` zqHMn>1AAN=+W5~88=L7oKk<;2&jNeW8s>#4-5wi8JxjCZMf5*&zI1ySvtD&`Dy3bT zy;%)Xx`4=LEpvlKqufQH_rD{{fE2agsp3JKvFlp-UmY0G74w*%!EB?{z1Iqu%x*CL zYsy~ieWky3{=z#-j0<`MG^7%}>05zog85F{|0v8zzl6M4upjBB$LCVPgG>%BfYXR* zby8v3=e5VpsQD6o z{n9Ff_}7UQY({L@P7T$1N$YD>>X)4rwNQG7Cr|Ecsy~*x7bec^6@xzOdNMmjl3CQZMVn z0o_Zu8P4}^oGQ&ryk*AAZcUr{apIV%GgU*t7Z%$nqu6s{oMl}-!Xqk|D=VDcdsZC1 zv;0zn%esT1YMK-ER5&6{#kzW~T>cq_UOi?Q)^I|0&O}aheL&mHsYMGTPTb_knk$d4 ztm9o7-5OxBzoxV5-6(RLS}TJH?Y%!l9*0}*vT)oGAUceZAr3|Z|1u#SLVVEtN*_$?TWLJx9sFQEuo(|@hO86mMzn|uXe)Z z5-xH{`tL4fIb#7;>8nPDcSM#eeNG24$FMK^U?(QZOa=FK$4 z12x7p#;eyO9Xhq7uF+|lOjp27nlqkv+^=pqG(3aaMUl=SU01zDNwJK)J+DJIThK#m zq{iVJqU*cWGJYje!CRIzVxl^Xh>`ipc-BZ;&ePl|`Jd`B;c0Q_7zyj_N#Ck!P?j%y z%uTYm(79ZDew{22uFLWYjGsUt?8kBZ*xx&TfrtJkZ_A}taI@u`E9LFF*a^vYaivgp zu1JTq!WA~>W1op!{>xXKzc{e=2<--EeBCVe*wAUl_jcOY7fmg1izm~E8Q-|Zl|^%6 z%=$*kVk4_|Gjv6KMvu$8IbgSUT$h9I+{M6~48b&+U6;#ryL4LiqX zeBPNzhr>3VAq}D8V11)ARpxVune685qK;?k;Vr#yM^;VznqP;b;SHFji3&}t?r>-RN8mte*wuzoqQhPNAc-I9x<@Zm2Y5T$iM+N)q$p>z zAo-m6zWwm`715{(XKvQQ@~`l%^NeFO9NhO#3#V#BpBPzHvN#pP?Gj?BEJYb2G*F|9|He1S*K7UdY zXW@2V8RCNe1oKp;EZVgB`GGAZtMTeY^qJzxG$p%ul{I}>fr@^Wg>_r?mdr3Yu+|g& zEt#a!$;wDLPLd&h7`iMXRMP_HMrb^_^rb($df&`{Zi`JK+rDyJ1}aR1kY|Se%G8Mw zzd`3@uAxs@y(d96on4wVp^e!N1oZt^wY{F)kvTNlu_U-ZMUdJlPF&YItiQ7&5q8(9 z?z>EDCts>Z6uOe96aT|ui9DN{1McO2Ez)6bT|#6q>I?m}-A9jdDN+gc`MOq~4wlKD zjWp<~a$V_=3n}$mGT^Psi1Ss<3y0RN{O#I?ZP|f{b!R6v-%8T89%eX4im4E(qI0)j z7z6_t)NvWhiV~Hi$?Uo}e=eLmnV)IFmBYnLD*(m zQ`&=*WRNXfsuRuc^Rmgv+rBbVF0clgH4nglHh;xkGx|xkK85L&CvwRm5ZhDE7nBW3zo{j;M7meg1Y^1bm0fYP z%L^3LbKB^O?M-?;I{(+a<5rvuYue^Pt|{8ZhiSpacmJ#0L$~`+4Tw#^{f~w@cifTh zMIBwNX(KB-cO@i;VoP-W*E)`;I`Up)b^0CyGoHGJjLCF|AFHM z0e`!l&j>qRyXTye(5Pt)0%F_AdOfPKz9^ibXL^d)2bT%T1u?>Y`P_qphX$#NQx7np zdeDr&BCpbON-OjSfEATXR99U7qU$2_imKFzW#%{2i>8PM&)*UC-1pqFX%H?tWJ{7K z_@FxfQGo~VsyNO)%mLwk9{Ed5Xjfe6k0~>Fm)STCp?Bw5 z=D$(FDP8kcC|4Hko8P8fXU{~r%uL6m(DtgPx{oLqUho_-l^4+hKVmu|4wPyq<0Ro6 z)^TfD+{A=&ncsC1vzEL%9VRfjr7vIhc@~2<8FrgC(qXK1rHmRN;YYu6<}o8eml3hy zZ_-ZU$o%6Z18VGB>S29}8y zZ^h-8mCH11Ns*ZGY_$?z2@SFvBCOz$AcMs}6t3AzXxNvKm3XTrMP`QAlWn>)EuR!) zha`a!!hhf!gZY#=YjNEavsO*C-n8>fE_NY3SCBu ztJ>3gaPE|1kuB~xxkQSbOxs(bZ!0i#cm-`E9O>}htz3%oa7Sv~b0tK(ZzT*AnN$yi zroJ1d-RQAAD0^yBu1hG9!|i9wX6h{%V%gQPS$DMJeEHHNI$1=5eL40rdw?D5i}63$ zV2-?uz=daNw39V12A40wYb-R=l>czx_yZ#xcIgROFZ9>>&#ipXRgolQZ6%cw#ffrm z717MWTgL<6sw9<(yo?AAeizQXRwkq5`fAg+OH3Lt#ho)Y>j)C0v0r?V=!+H6=$n%CEVnki&xPTuUw@`pcnsCN7x#6+{nzdf&^S&KQo?LS?&`lBYYvl-;Y#UECz zfaE{#Tb_p+>gmUO^ll3hbc7>mJMpst3AIBTFIwx=WSGHeg|&FZ2S)tRX}862TBvB& zP`F4X=}R8v_C}QCJ2J#Nq)XX|o7KxPZC^p@fSf)^{i3ID^WgL8yY4%m#kZp9u*vzHh>b^v%o) zpS2(O6$#)*n3@!|xT7lBfnpUzW_HOhny{|@p%bYY*yLZT3?iq7@^Kqw&BgXsc}I#B z&kDc-SC&SjvNoZv#k&#Ib)?FDId$CZ^i)~shI~*0weK=p!H5krMzLQ6j8v*y6zoazlcv}OLF3`NXJBBU+NV<+ zJZ!j{@*uFz^xj?ssZZ7I*3u~IYz*;jnl>oQSX+f(5tNGrmx{;RJj$_oQwN%z_InXW zgNLo2oFdB7?<%*A7{5((02fsI>BY!yQzy(hrw9zZEMlSe#oX_mQe+MV`(fFjh~eP= zK~0y=(Kv=*4xVK68q2#THqqA$P@iGi^G1fnv(V=v9XeDM33)l%N%rutBe3qf9h^YH z9;{3$-|7T7O_t!%B3bk4p`!|1B*~3`Ra*%h#wKRu(+h`=Yq4rrhd6EXGEz#Uv zlu^vYc%E;Y{66wbTnc!h6W=@53C7?6w^>QzpgUSHKfUDRn#1W(B(IN0MFhsv8`=I~ zGE`f5Dfyls(t2j~K+{R0dHW&9cdj6h{dVGe#%;&83QK;Ci&wur1xT~HkRi@}fQCO3 zv*>wl!}OCIs0kukhcMP#)#d)|g2w~dk5J&qa7osllHhTW3}K9fNmG@%XDaY?l!qhD zm5zyovLM4lCDD%uU6>ZO{_3* zC1Gg}>s6KSif%-yXn}$e0Man;KPtcO0*8kBE`M7psbb8Kr zNyhV5M#H9R_fg4YUFvGin`0$0!!a$|!lNB>c>j7$^a@m8M^+1zQ|~ob_e$%#`X*o z_G+WstgZ68Wu)v->s@FuvT7k6OG(#Onpb}sn>zcu(F#?oQ?kffiNRV6<&1jD7M6f8OVD2 zIgNXTJB54YkEUI_e}ena+SqSvjC-nzaRcHg8SU@Jt@;$By2X^nIC{&L znEWz8gNW!b3Oh}$#=yTO;Bad`#Ybpaz5Z-clyGERCrW&MPwHLV{c&S-#&M8KE`ww_ z%6?;<%pE-Av!V01{pI%Smv0g=h`l%AS{# zr~cUJm|P;NgOwhyrYW)PG1{p4w}S$cjfWA_wYClRU;b~k-lNu*tK?w1#R|1Q1uw^9 z!Cm)RucmsiE?sHoOFfnI-DsNbVI^BZgyMl1XQo%Xy?uBX0A?VDX3Ot4KQCs?cmRM5 zfHw%er4|cmQQ0dC8<(I=M<_~3G?g}38|@YSH|BIfPL2lk&a2wG29S*2EhfIIeF;B7 zoBMpS$&*THHGzQ)*Qtf=iR|m`RWOZsEJYH8a`ULS3LNf7eQS^J{TsN=r7LcRZ?BkD z{v(!4e@VsO7yTq%CC#*vG}4V6HcstR4f3$#SyBTzkYGo!Ho`<{=uB5DedOL zS+dL!Qb(d<64CTRWh?A3{^^{_4p08`-UR1dg#QiZl9-x{bX%MnedB=>Hh|;m`AzGd#POGPcV#WF{LEtEC?h7)NV8B~z;) zZxL^wHWY8rzwqp=9M5Y0M}N@@E3qUbCw#odk`|!+BVJ8Qy=Qf366phdKH@;4cBrM^FzCC~@bU$~ebJ1DnM(*lRmQNmWD65<} z>%u>ntY|EC3A|et-wpE}u||j%Rfdh8nC!7DzxBvx{k}I-)T!4qckcPA;X+U;0)<3N zPXnVv(Q*r7j=#H4<+Il4o1ncTioj9KDh#8wHi^Rq5K zowJsXV{DQ`84^lvo{po}5?+gWYA~w~M(!maDzv+3tbUxjka@T)?RyEA_-@64QvgB? z4^EB|{W(({4%Y{Kl?;!jFsq?FS$iYxK&1=R`wN+!;!1sQmIgiejS{ff}kHm@50_0e6~m zAcRdBdU);a6le07AW8>$|(2AP$GPEdUBnlM-tD-+D8vd^|YcH$V`L zD?15IA0y#q{W4#A1)X-($$_mQ{1G-$lUfEBGh$ z4l9zN5fXK6xvma7Dj+tT=x((7!kA`I;@K|WtG>yyqF@^Ef$_#~dM8Uw#$VyhA&d*J z&d|xgE5{4-b_yOpS{H~}Tm9SZ{iC&AP=yCz{ZX4c9a6S?s`TPtP+YZozdJJr6YOQdPE1ynssVwPAkTq{i{G6d_wDV z$J+uv?}i~~KclH$8NJ>$t?DiM)Pc!WdQnfJNMX)Y3D#%-5ju1xzc{g_cfD4p2^*2F zoc+@9vgY6GrINVQmFqjzd{^Qi^2yFoSJTZ}puoE?5DTa%P!O>ndRs*t)|&In0#Z*F zQoY)hMrc{#pr8R7sd~eS^K=PEKF7VCs{fr zTaKqS!IiJ#?YlA|ggT=BqtUbJPV6VZMAqDiJq%Hm^Pey8r~F0UhZ6xm+XIEvalGmJ zNOVH&{#EqsWACoM1u;w7H_MwB5?+!LW7Fb3&sRsgxh96|on^}zYOVd`mc|gS_IvvE z4aE};^Gcb=^pX6uk+i!RC0qv&0Y}-QB_Cn@B}dx6#lnQT;9PyJ-3=_@_*`Q-&b9@a zw=$fk(jt33_Mef^2`F0r-iMvW(rRuPsjHA%<}3D-HviAW)umBm}505 z6qz^hiH~~~&rJ5;D)9`(6ZmA4q^YI_VS*aN;rJTElqR!<`*eEQhOV}p0>!z+tM}{` zkXiN1a_TV+&VO>FVJ;m-jDUd?7I_R_AV!QN^pyV=z4&hN2z95z z#Sky`3wMYCZ_SG73HvZ>U*#|q{($O%g77UPp_y%nt*0qo`uQRS7vDQTtnKQB*(3?j zyoZA37wHV4}^h@&ZiU37pnF@ zx(O)&u5|yn5+zr9AbyUIje`Uj6)@+yc66&H^Ha)>j?G6v-STyxuXo4zWACVFs4bFH z-*{G$=g?|ujKMIBh|PSeGRSn1d20`Prhs7#Q(;BL2Xogmo`>&27sa)5SGMskqR+l7 zV%IsV!~Rn0c9Zc?*~i6IX&Fwi`ZL|4n1f3)0s5wHI4866DagF1-KA&QXzUCE@&>$FSqdMJqtJxpyym6ijdGE`OI9IwronIl9S{MDsyj5 z^ho^MzPPt%+gI-ambMuym;|4DSBWfozRsTX zZ!l=O9pYegd6$cP1Of}cR}7>zIEih@ce?BV0I##nvMKMr4Vz5`feye%r72Ld=7=I! z{Q=AA^4WOIA5RqtHpX&0MJ%ZE;+L1i3{%rV#7eck# zmi=0*B~vq=pXC8h!|_1SWu&x0cqiyaT>Vu*)9~Hi8R4cE49!Q| zrfJmcy+O8~@xEKF&8wVPp3In60~J(BiT5nG}Pb#~~i*m-zMxaOmGwm>zwZPdsodogQ`C2r;#Fr9-0u97#M?YlN3T%(0)D2uAf2dp{^vc+X5 zF5!XHc6qs+=HK2f+R1?9_xO0^$Yv+rBWy%-PjyR zs(^|IS=P=mSat%f_aoPv#n`BZ1gkGm9a)$wZv_v?)&yG12DScj>BJ9HzuIBI(5mak zqP__SEByp2qp=B8q#%H-j=tI0w~hd)a$5FgSc$W}3~6BYafGo+Ev)Keh@P#X5byK3 z@2s#ne6dabVVp@OhjdPAahqF=P>oVKL)EOw;gE>^^2LX1K~)*oB|AW#*Q}0ygd=0M z!cb>tzqTxl)>_C@xO_?^a4$}KmUC4HNA4-9<1pXvIw|Xa`>bj&-xOh76HaOEa1uGIP zpQlA1CCok+X)pG>qQ7?7dFb5CD?Q)#G{9p~2mBgTW8P#}GG<#9;K;u-TWfVD>c7f{ z2zi&_Bw>T)k4Ic5wnkX}2kO?zgNGaP>!Jp+D4okN=POP{R+59O71;*M$z})BNp|vU z^Px4DwCrU>T-Y!{z38U*vdvnxGOfX5F%*8+!A}nYzs01nIX4#*MP?5rlT$W>O&VH% zSrKlFEpS}@3j^LB)}cphN9%FwtPrhD^3L#2VsqYKmP7NscaxjHDJKGV>5Iq`FjYtt zG$Q&6a2{Ua?I%lIDKHOzDjL-IHoT%0t>~w?IpY>{s(;E2um%9f7+rU4(Yi0}QccEj z11z>lF*jJgu(jCZq4^i_%W!u-4PJegBGRGvh2S%DBHV8#mv%fj*b2g}@c zj-Bu;fZCYkXmBE!`Gcj*L-9X0Hcl-<~%mDxl-dK~8PHl3P zQR>449b`B`SiJ@?Yu?waV@F+o{qc**K2Cz2qm@NCnS|res}*m~!`Y5H39_y*A-Vqk z2n*v>Yoy^b0Ur6QbSj{@x&IUZO|BJ=J5(dMr1h5DFU`8kYJbVbEqo{U)X1|HS19lS zObPh0g2lem9N75(4ep6t3BXv^eT#()m2@wI?SverrPBEU5th8Y4yPG>F$ z`>>ccd1m?g*A{v%*6g)APcP0z}2LW)U7 z=Yg75eW2Fu7e+Ua9f`Ac@$qXGR|06Sxy-ow-NR)L**VjBOP_*@GpEFNNt^71y=!1xH03lPIt{Oe> zF|#Ke{ml$_{JH3};n=i$Iacf*wq)bxFWnq?yW~T<+?qBO86|d6oLgr+ZpJoI;^KzN(lf#Fve`{^~7SOJvo?S_*?n!f?Dt%X! z-dAOUFNK9~f&<*eN)XZFI00j zHb$hq2Yv?-8Y?4j0IBot&`|g~)HSGKpGS5pd1l<-9(@d3yqEC03zZ{0?CB%uukVUP z&lE-XMA*02m$w{e4K}c)6y24Ju)oAbx8>%0!cK1r-?QWhWogg@Od1o%heHmSw=@3F zDbT?dYrQnbQ2iU-gA##+B2;zXbZh@}#TL8V>gkVp7PoLi9T= zM>PkaUa@66mQ>kXMMO2!8SH57R@phS5o<4jyaFvcuH~T6M7JIkv5X6n*z6qRP&Vl7 zYNPNv2V5KyKe5%KSYC9$nba3Rf_cy@yq#sWuIZ;iqt8|iNd}MLawGN(zP|}r=iYF9 z;Rfb=Ep77b5O-OVmVaSj6GZoc;mJv|MSb^k-TzwK^_SV2^jJ!1h@~FpW98tV|9JgM zSOu6!r`GbdoPTcU%-WR#)caZ&V(&l=XK!L!4%sN8&D>0jFqy|V-CFyX8m6G%-%^Fx zD_0Jnl_jM0fdabaZIBu?2H{IO#*aNik_xNcJOUK#$0;Vm?#2$0U-iOO|EYoW-tc81 zP3$H%fcFgG;m0>c#@r(8(KGelr?t0*t4NUTBx%3f`q~(^VegLyxFkzQu(len8}pyk zUZk>VfaL&kkn1jwbMyq0vVx+DGev66@a{K1nDTE4Nm-@(HGNMGvS}5Q_O?H&-s2rZ z54Km+W5>^TKM!_NS>oRoJ&GAnQsx&d z90_;Z@p&Dt|3cU=xZhpLbE#i07s#hI?g9z_9R~8+H7)I^CWmbX`DT>cmp+wUJHxj! z5!F-BQIQAqA;3-r`|Ej4EhRi1{oBgM|1#{?@>nv_8--$?Z+NT5)^+@HwdQ|8Wv@7e zJG9t6;x5V0C?E@b#&fXPiPS1zjs%p|erT)X*hBLTiQLr%Rt{oBzOnm}$z^2q?MhhA zT&;!UZiZlL9bFa;`!kNEpffmb4EOhKB``Yusrx#6lXsLp&A zYM9kIcTP`X-*vuk>Bvh1p_ zB~r~8A=u0p0&G(?BgUJikf=|-8cDvwS)OY@cR8V)u^uww;5`_L34H1S50-k-8l+-H zo^F!fY>Zwdg|qNEF(S>);33wE*xwaL<_`dw_HuA}H##(MLx(gL==-kY?>g6)>8P-g zSedi_E3Rr`XN#v)a#iiQwGF^C1wcmvGaFQnSzRged2p}knbIU)q}g@*lJpT*Qp_7YTdgBmIDv zgj`V@!=}x&ssWzCW@q0KxoUynfE)}x-4l9D2z~=9o8YX?oo>$zt^}6Rwj))4$ZxH7 zk~uTq}*EVTN07H>*{2-imrESRhLm7nMc=ij#*xApI%N%;XPtJU3?lc zM$2X~b7QtFhuoY4DcT!jD8LW-sj#@~-{=e+;}4+JMQ@b?v>o$sJYjC(d2eIRQgVA- zHJzxq*Df6sIkv_F$hhU$mQ(KSN{wjxFl(Qgfq1iwQD03LY_KE@dlaKa#5rRlBe&^~ z{s0CXDYi{0F;6&$ncG+&5OtvR>f1y7KIEBiymduthf2@qfrgprWT?RR^Xd8qz$njV zd@ImcA8ht_=Ks!H0a$=_+f#GOas5;3ZY?_}pl<~(i2dB@vXp{YM#V)pENId};x5PD zX(^KkO;lX9GS{w#=0>1T%ue|8J0iB%NJoQgUV_HSW zPaDPr2XEi)}?^$kxNDm zV(x8JG;(&kpZVv;02FO2HdH;Y$CmV_QER=RhEXU-s0m) zUXKiDJE42;Ps4*hCu8>3zCP&vgFNi%wS>~^zXxk{NIE1Ju6b#~p8E#S?TbR>)dER#59<6MP^%3e;;76hoPOu^MWi;3!tWe^z%Z;A{B7iPz(Wml}4<~ zf|i6=wRr)S3?#tqdsEk6rf){Y!O|da0l@svhkVZ$PWxl)?Nu#3U)dbU7x2>A71?{f z!Ws(8>_^WO*q2;{yU!+-{=;OpgPq`*|6sH8T$T@&S-<4={Aitd%=6{{B8V2 z(4hQP-7WGO;bBpnrn~Y@x|=bkTGd$5SXD^+pY= ziUBL>MqlMqoL?>TYCHdVJ%cQyV~@D`J9azH3?@v$-s)XQ4>CjVy&AJDF1;^wP_DAg zaqy+w=WX%e&<*7ZXEj#%C~2tRK1A0be^I@E>0GI4#n;i;sZlv(H*QO1C|f%2`WmEq zON%2CfR6b)r1(p!!Q>~iv>fNzqt$yQ*r{dX+;+xHc34Yq03_40EAD(csdgA0OagpTQp_Q^RKk$NJ9OrL|NogR#yWU5KnTXpPokvWf4ap@7N zL3gc4?gF~#@cXX2#sV*~BO-5n<8uaRsi6qRH`;4vYTS>Dj+6ag$SYf(fWwEMH#r^^ z3m^md6S*#K4+GNy1r7DumFNH)X&8jl2n)2qWD5qVQssbI$pj0=zyzpnlqk05a{c{Lu(nsb#z zjPN*h{QhjomD!Y4HdOYzE=vDyBw3%3=ets?djWl=%hcqYX1JJWG*UHGx`@<0Q11Wl zQ8k;hy}Ihy!#;PR=3GM^C^3l5s#{n$-N2dEde%nK!jsCs zy%<5(oC_>tSakvuScc4ZQ7nXi9&+Su|Rdh>|qt{T4r!Bj;66`{OA*dK4OkoF1~i7(hlJ8FYm zF#86P@=AR14UaQ5Y5Kmprzs~X07-k)QX3gCEp(hrBN*~6zs*|Ou^o3I56Yh|KBD-u z5BKwOSL|4CK}Lf}ho=7$(`iHK1T(ByUmT4WWp>j#9sOLnY$4bjG2HmM9J|@tQ*C@+ zbNLHbnzEDEY@4P6@|qL;r76PCm8;Dt=3P)1D?gM__H*}F=?~|VS{=*Uq#%)bZdRP- zo8lMg+*ZeR-=pSGDZombPQEvP>nfa;b8)<+hC!RUUp2HMJUrlTVC456s9Plu`$HH? z6WL3olFrRiIyc`N65)`b0kB+^4WFjO;z}&wsE%|1#|4a|qvLp{5?|I)&m5$5Y2=vL zXY4h5M^Y>G#`LO!-uHFuS%tq1)@X9A%TmgC*HeI4(rRw?<>7|ZnF9%huP9LBt~tnU z&`plk(o}N8M9+ZO0mWu;gCfG}-=B0uFhQd9p^S|yt~Q6pG7dx!u8$fU7miHR<_ zdJ=QtCR}DupAF;f4DOZieA&oOK7=LBP%Gns0lMGlyrC*=Z~4QGGA@#>=IY0H&s0f@s+TF{*wqB(6mrzF(eE>S z9saRuQsXFq2Y7jdiYeHDgJi&}X`2f@6)r!ioUtlG7n%r0XGxaZkCT0|=~%`?X@b(x zSJTxpS{)ep^>$yL>k9*g51Exnl>#i-52UA9(0IE0u(jaTRe7AJEpQI0Tjw4$eimQ9Kv}8T8%OmWl%|K&lL)!J; z%CM;W6Tfx2tS+)+u?`z_UBpuZ+BrFF#_!_I{#@6N3S-DE)q-d`F#8Q!uN&xuf!PkK z@`oK2pE!PDRQ|RZIpC&z7}%XZ_l3V8j1ScjbQh(psiiwEs5@uSu(6T!?dR;qRlLFb zH>Hh+zt;kD6^I7G)O4B>+qdZ~HwcwFRV$6@`6Ilk{Y!a0wqAQA`;i)?YPIV>V060f z5KYgTzpl1mvRlHB=GaV=hVGfwWYMKH2E{cG1PL%~+w@g_Y?*r12c>MmVE|p3;YAZ; zwuZ5YAiEr^pW?M!!W=UyacsA$jX5)?8G2Bds%Q%{@1-QOlRth@1hz45Y8$<<#knl; zp;!u^Dd2ljyQgD)J@$f>z9zmBOV^IsW9!aqO=`roFb2(@s4j)VEz&`hT@yjKTMNh~ zJi*c@B9I7qAShBRR@;>06zuN@+rIQY@BUm_zC&Z(*K4G3vM>0ZHl2TuP5O-XxH<+M z!d`ACqu^;RME_`EGo1!fawx!H@c^((kVD&v zp+`T$dRPMY2I{LpyJBkG2h5%NSMhnRp(x995?{O(68wTrDDiGFaqjbRsdUd#6wVV}Ki*%=KhR!Y~OhGLPO8NNDN zdp0V!?q7!{%jFFkP?6DGu!+smX}G=i-47c%EC))(@^$BPOr z(0_$qI@gA@(+wu!GE4z~iPYX0qy_r2fw`>I-`T?(@BCxzO5;^@-NollerB%2EsAM! zjaHC1SSO0WXVuK%f4-Y|wkrBkqaiVXn<+p;I<~uV6(Xpa;l4TavN74xyxa%;fM8Ek z4o`C+>)BASswnxitTRW%ZS&Hb8ZALB3$+gpHx(m}E;ni>=>ThS!VRD$nh{Pp7O8^o z7l$22IYg8g|5}+=?@9wJtIF(!|J%r5R}9Q<~# z#|l(7&~l7S@$ge+P?bh| zZM*|)@yp#hmZA$iB5?hmd4OXOvaR51ny{{Zb!6kfKKI9toh_n7L>jc9bq${79Jx?o zOPg~D^zuXPo`>(Qwy!>6#LQqCS%r=_E=kQCWoe+TY%y*tw_F~ZR2~I^3BZO=o2JsY z`M`i4esI<^eIQV#f4ot8F=1kDk;@;W3t&wflIlO~H+0rH%DE^HfioiG0uu7R09wK4*?H~?m88eB7_I_c!D&JVYmH-{4?^*$8hT% zpOu=!qDmR1sX%1uwf5C$;J+GL2|^e658%ZLZItO{CCe|?%`D55kv0sq+H~gD{*=po z9{Lu-{lp4b26~rHq7%4=3SKc_q`oN7sT_&r@;L9w5QY6Jb8oF=w+*l}*66u2OjE;J zP<#i{v(%)-^jQ~H#&fYijQ(;DSy!jH(0=8g zB(S-Od({WQgbet$-PqIhtZeT-GT>yM3HbHV!iNz3+rp7K;WfhV>v@H4j3;LPUIQ== z0>fHVn9BV|R+akz22vQ9;VO1aqMno4Mbz)0RzSq#h>9jnWTg!k8qC@24%MfG&)smtq>X~&f&od?as4kEF+`ACqC$ud$`aj7gB!wl86sDC2 z^zc!KpOk#9ufC1-rSX%6D6S%0Isc7`Ykxf&#hx z^^T0cA8LCl#HebWr4$SU$k`ju)_8J09(XR=asx*%;CMoE4I?dA{I*5u_`;3=@-9$e zx%*lZND(@b7$<2dTOQxD+*snp!PheuQtGz3OFMSw{CJIn|LnaL%YLwP)c z74Bmxug2K;>xJR`ooalh7k8w#@Rovpv6U(Mb}NBo@(8fdU)h};U0F7~L8Rome4bE<4u|_j3L<98(Bv*5munNV}U;Llk`JCV&AV6B_ zv^k(0m?f3$DggZftg#p~1q>QMv4gAsM_&n&zp%db%ewON3n7m(&ZUU~?CoqE(BwZ1 zoH)SuR$l)CCT+ccfHW!EU})6)j(=MKg4}$msHGZuLs*`(!gvRW$phi!?8BSybdEteMk$ z(M(1O;^l#;_Dto|q|rCy?|d$3NF%6xYCIF@Sva%dk^*Y9`2)Mlozn}(_ZQBR3p1P9 zZk=mLFQk=G>R@{j+#YDc@-2Zlb38t*1BdK82JkwN#sMKPP<*5OqSu!cmy{#wzi--= z)HhkWYzk#w2t|vu!yo34r91UJs)o8WIOfV`1kYpjgA0dDHHm0;GqkB=VNZ!vVB6|X zK%Lc_@;XMr#;14hs!1)myMV3YV=UvpVpE<5-ubMF;Y(FX%Nc!Io#X{PBaU%SQ4{dG z_)k26q5}O>T5fcfBL^ItCs5dIKL64cr$?mVSF16F8FxSPwomoU(J-wVygu6b;V>HB zYWkS&7)}S;Cnh3fKvkxDSa*uSQ1Lya<*0K$r_-QAFJ-vc3?4TTIT7(r;P-mtQ{MfX zlyL%HOi~qW2)K{tttGe{JamhkvXg%*%uXbD3y^70*r`6wji1Ioep;e2&@$Q4a_p1f zLe8Z(`f_|ZA_WBYMqBYi*tLj}xxu52fQ06zA=}@}%$km|%5uvZFhcmO^JHx0z%Tcx z8Pnf@X5DKLCm!}GPhhCc68~-#6q8fJ=!3gN*s0DObMug zwd;4FM%>Uy)BsN5S$*!Ec;M_K$V!xa&}X_<&a07DE1du7APqTN_T6b;&bWPVAb?U2 zkEz>rE%OT4U$oi1y7ESQaN_P?yL8JaD%F~IW-Ofa3D7862%u0Kne+tm#47LL0>{uA z6=3_YLx&Pz@wFITDiXzKV$UB)6w|h6a%MIWdNvGR2di~iOGCBU(oyGiZk@XdFY1~Y zGs-t%rm(_V`jChF*AVa+gA5#``)Eb8&2;U1TBbM@vS0`s+fOL{fo&Ie|5}3(aTF|A zH7cvMa7D~&TS&-gf6DPy?Y=3-o=C1}%y8Eq^tl5=asP}^9Hul+s8{17YMLiXx*bja z_3w|hsL6$@1(4Y@N~FM!VWS3~2cZ4*_VhA`-InS;8=BacXB*yh3&#i;2Fzz8qg@5! znwcI?M5CBJ*|JWc`#O`X0x((5uJ|Tt>`&s*-`LE?}y^T-u!?E(98&QUPGrZUls`Jl=^(J|W-=mPCF0=d|K%S1-~EKhB=Br3^9q2eEXe%c}1cq+9` zY462)(`j=nmW=U0b>Q*9cp^mE>l0h)~Q^E6b8^Y$l+^y>ho`=!-5i89WM%7 zZPD{S$3$xPRWoL3jN7^!8RVUny>AS)wD&UU47?!c8dUIF6)}c(8E4j4$qDS z4_oI@F4M0R&|h4nAgqg&6Y9rE!;&!QCLZcI*`inGc)Ol}sm_mPGXBW^>UAN&RcIe5 z0jpjzK9i)m2R4YU>^eH$)1Z#96gn@>ElK6WHH^1Ch0|zzr6aKw(eDOH9Ae64pqMnO zG0CVu2F29pQ_BXwz5)$qCU|f4F)JL}@M!ImYmfOFK^n6?jrN|$yOv4X_pJ6B8%%xX z0;5GI|FZ53Ke9HMjTOFMr=@p6yC%||Ks0^C|QZJqoU$S z_HH=R8BrN$Cwp(Q%E-znWriXvS>566?Bvkd>uiUdEqnalpVRLjkBHBGKJWMI{d_%N z&)4|;{5;Z^3QA@6X9bNDUmfk#Rh_v<(#&@P4QGc?(>84zEHSuO3g5Q`h_X*5U0}Hio;0yKlDRI|mkp=S72_-XXP8;SQ^>Ff6{w16gL^Kmm3B zF3EQ;%CEvU3ea9?6Px-!wwOKH?>5VXWppi63Sm^WXiXeh^%ntO;RGMBGFtG>NfqiO zC5J(Xf-s6Me|c^0@CqtnJsOaE5Oj6j&>N6x)YJ7Z{dY}rH*X7&IS6k z`FK~7P|rJQG7VgqQf_6Zw5QH9ccUsNAe9nofU&=_Un2uY{MwvNbUFqPS@Y0wv4aYt z2GFY^CqSSrf1SQFmGoWgJ_))ehv?z!A)NZ@mhFaS_!|E{gKxvpBqc&sF!7%C^;+Yx zzM03Xd4suSE4#>VpGo2UUu{rVGBIFeFANk@04rQbnJG$h`-4Gh&SD_&LRr(&K|1Bv z>^zM}3%76LEiP-5wFVco@CWFDXk1AW)Sl5c%bB4p458Tt?@hTMgt(i;HTe71F(EvT z%ayK0I{fUyOLO`JLUgtXXmHLU&fE|BsC`~SybiGE!-~iab`K-v8$iFxx6_gO&{G*v z!VG#4Pe&!IdpmaJ2P;HC5|}RGMQb|t$I+BK$3xQ4hY8)8li>sd*X44aBtep~`{9`` zz+^gSn*>N}#3a7_4nOdB@#lF5;!w-q{SaNnS=pa2P>y3$nBa?5w?F(fZxWlLu6*;{ zPVpTmQ+N!~Il9GKktymHCi`Yqxj#u;L$@C$ZQVo9X@b^+xWgK~zBe9oe3_zC)C*Vn zWunB=8W``&INqR&p7K7u(v#e8Y*!ayF!_}4tRe9{dC0z%@=s5*LDm0L&jT?VGfs7# z*plyst``N}S5tw5$@D_uXN%v2^Hz+V#=Tp{!*9{G4_^bn=QAACZ|7OKXfPBY%PcRW zr^vn(t~1`fM*X&nzMzx#wZ)6v1-X#0%jIUwRLK1-#+vW09zB-pFY?+S7|y97B0BV& zUkF1SXa@|D0D_sp1L}E?XP$omb#Y$PWI~g%3z}P0O{4uSt&}rg8zpAW6wW@ds2DHmcKzwAuke5*$z>(<~CG%TqvBPKVP&$|%c9A# z^_W|sPkX303i#I@?Po0*(WlH)4QnfixR zd{YO_DXCz<2bd04Ugdi)t#7%a7rH^Fvt>QMvv~o8&J5mUonZ!0n8xEzl}0LNBXz5C zX@8k*b-95uaW#htLc_HPt22#CrffHGc|gVW#p;W02kXK70D~2aRa?4O z{7OaS_TMvughEF!TtHXt=&mho!icvdtH$d<{W0xOy7mR7mZi}dT^IZ#zvL!^HCAKE zdA%i&GhK9cGyQFBtTk&iYooXgP1gY(_6ZNk`B*iF zZ)*}Bg;|KcFCfI33FUC?FpHa9_d{3EtXZIGnJ@rAAFb~pwVCtypo`7h@t5hh_D~K? zICx_it9`Tt4KDq4=Y^^X1Q=AJXHK--GT0TAZK<57^77TWp9gliY+|$HzAF)@Ck?XI z_ekKFwAJ@OYV(uPatqi|kafS0{^1|W`>-CBCa|i|>eqV%8AGgl0X9%~C@(5GQ`D$2 z`O2E)(G$-TxJhjq(+MYMTTwy_6ch0bDXVLuMV8!8z>f!aWJ+_W-!S;FZJ{@E-e&px!*Am2<}xiyWUW8LWRMBVy%}KoPmQ+v-k6uZ z6mv~g7~eZStHrzEM+-FZdlDGi9d6=KKE;p#{2FxM{1KdnT=$~Ut3 zXiDg~qwm{tYlze6K$c)gt74%OwXDY;`kt`!rw#+MmyJ}T7 zE^zmLa?zs7+F}|%MI_&Q6F%6{9^T9S#&Y-jiz`;GfobOLile$(pg;m@KqdD~t7#r?1eCC{e?62NS&R6{_ zXgtBqw=6X>^PIG+v)}_g70wUZVMco$8ob8}|-L?D9IW zx6l;&<0JMZHa$`hc z!NZ_bqib0UQyFy49xCCa48s|TFmvb!QZzybh)%WBbLiy0okcZ>0>!)`VNOWq{zU2K`}Jff zqZ*MNId9$|%zR{Ob!}-hKg4mppU>zul{=IP5|iO%dkkV7`lyM-u@)5q+fQjlTf8J51N2!p0pKrMvPCO;=&sYa7>g#B-#)kc4H zchR0v|0YG*NvsY}4ccDCpgcrrfY<}wO}}c}*Ah;tWwQSlk{ap%uwKMW6;YAeGeP;4 zbM~M5?kIk{jH@yz?YNrJ6(L__R~wj6aySLjCepn=BLTxcf|r5)cU_@mxVznjQ!(0O zja#`DOd^y!ogHS53xfWuKBP|o-C!?nb@#7c5i~^Lj`K$QgH&kr9KRry{k79Og=VKG z_6{WJw;xM6gZ>RtVt#SRLKW?!-ULMWwyh^BdYc0{Ewa{GDo+<^%*}|x@S-M7=X5CV zayq2XfeieHHJ07Qzp;`QFlFcmS}zh`!JIx~4u`wTxqn-jW5%$(vzoVy>zG+}#KzMOuK2!p1}=oU zEQ4uXp;e2@5lmq6(Zs1o@gdp@(X@qGz-CU4 zEOfbrgZY0TClMO=L^43R>9QmmP3}2hEEmnxJT+$V6@Vg0p$gCRoe>+EWFBk|Aq`}4 z%d&X^Qe&&tD3xy@7ywrdFm<&o%gfYV@|kG7d>!p`+$7joG^ z9T8#SzGMaBe^NcLd?U+;;m;xnME5Q>+RI_NiQ^40IXTA>Fb2RW0_H!?2YR03q*oZx z9UZ2MCC%$HS;sL&nYtMzeO1K{<-g2eeXX}p(1V(NPU$J&Q9$v7YT^Jc;OTNJpHy6F zWsj+DY2}6GgkU;(zYhF0f5J^BJh^GD0Omhhc}({BNtuc&E{lfk2A*#vq|}vq@-)+5 zrirx4(Wk~IQmZBb0KEgmm41SE!G&tY&1luw?{_b3fD1X#rC=}iCeI?D@Ru3&8EBQQ z7RFX}sQB++dHKD`)eoUM;TGx1$0MUB!k0D%&F_QS1sRYX)(Ztg`KTPd;&qeuPoqA% z)?$m>0R8w}=1L}R5m-QhD{fKpeJ@H|KFdj134GYO`sqL`e=3-iAli4mg`&evOz94N zfhxyCV;oSR@vrTz`vy-y6;<36KB**1eWA7)2v$%RD%!Q%j)<95x6>Iiw@;!OcSP)J zn?%|;M@@l=rid03$qEx>qq+T)&#Y59b9)h&l%NW&VaMcIF$*n?nimP9!bJ$YYw-KT=m4cz2QV8(=^Xdv`3hxTUhzPt&TwrCky& za`ciDgIzuLgik3tOE7#!s_X#%cK8*BX5aY3o~d(GhqR;}E8W$69%h?3TD%?5#xgTO zbcfz+!`=(Zmg_JBCj*3IGwTLT`1eUJM8EhwR21KuZ5tcZLdl$-X~tn_llZiD^n9Gn zP4Mtj?5)GN)8Rawj>O}r_|g&V%6`tlt2{d~QhtoAwo64I=5P6h7LHV*aH{Dd|2~L$ ztelnxrNn=x&JqgWCsQ8a&B%B*X4G$OZ-m%A4>19ShL7wU78^%SdVP~0 zNOZj$0n9%F-5iB%WpwGAc&x_^BKaN|aJe&uJ$&No+aYxD;aXE>sfL7DQxe2+%Mf- zr>LxIH0+yDvh#8@Bo(p2WNvjRc>9vmc0d`jo@ARI2YQ6 z!ahwnU_IdLAZnZc3>ZG6w`31fKLx99=$HHMv!Q|zePB%;v+#&&3s?Uw)mrG7*aVQ?pn1j5Um!#mT%9wuBH+Th+(j0~s zO6mDN#>h`?y$fw+v*9F8<5N?r-XP|1h?eHiv=D1(8wR33)eB zM1(dC%uZ?eXzDD}M>1jFVh(=fBIqwmngw6V|9;98lW8arSSt%)m;l8PWsG(BXfx3Q z7o?d75lW?kabv7?5-=85oG4Rv6UpH-Mb`v4AvZP(5u~;Z0AS*U47>qzGuGE$vl(?f zk9a-)BlBAdWvAae7)yYC#P^{vWz<>s;D;bk^3l#I>MCHg^V}^&=UV<7dNMyvJY6tt zy9II)Q07B{O1-TlWnMVh&H~sgAcTnB(WZT!R&Bo1?f%d5c8qtoD29N{4!TK;(4V~F zqxP{51;DE=Bp6taX-RZ+cO<5t#JW<|&BsOm1@Vy*+h)RGvb`$P4#qO0Kh}4i}-v z--QgO$){=v4sU~wW-#3q&Tt#C-q}go1p9P&VrEmu$gD=@6jY?7iiTIN&KaE_MGoQQ z9b8K{RowrykXJ&mux@oquxi)`DJKu=RWfJRP4DI_vf9<99+p4PfDJ&vy-CX~tC$wo z=kHRZ3w1I8TV0K3o$IbQ7&VgxwT}R}gY!ZDy(uLggcIoodaF9Bk5=_JYiQ(bIv0ei zb`J*kq~`Gf7C)-Bd2G?Aba6vrW1!P*c>vR~X6m%8s5_jW&X7LqwX%awE}7D_lW_)s?NiJyJ%Ua6`xaoJpQUvSGT z4hkB*!kmyjUql60&qWn}uq!@8I9*t=t>Qqq+hwmcG>1QPIS8^;EfUnW|(HGcHty)?ix7V?pUVKk3z65yHdI zDjohFegu_NP$?(=oq=^sDiFg#07IHiVX!R0-_ANC0jmGNBqfDB@2Om-Z1Uxe8wwKX79J zs=Of>DgI$t;ns_hUNQPr# zA<}94e(dd;Zw|Ai)%j+*8f-IgOGa`@wHeZw*G{H04&Ra~(8QQvfZi-#6K>P_rMUyl zgQ~u*Ui6h$Um_k1I9;C#0h6lB81Eh_K9-Qld_hrXcNu6B1z%eVdxJn>!4>aypG;D} zC-TMWg#yYhDn$<<7L0(2OP5mp7KqISpLV?Bhe0|Fs$f{JxBB)+d8^_x`YVS6p-$#~ z(t8(i+Gm?8we}4R+e~Z7BbT^NUbgZFp zfSpCaQAoM?i6iUXLr?Y0Fz$3p$s4(riZ1T=-lliuxQbjhg*%zr}>+(na>pOlOEyGCf!s^W-8>0{C zlw#A4yTgeRV>=0yli&J3Hu1`Y#9aCMh??Pq3v)MdFe)OmY4%)7dR#MpRzy@mUz;qK ziQ3)HE>v#Qb5rb*fx0&lG9hSzUpHSH|_(mmUxuYicZmuMI`k$T80 zN|!Bk5toqX&9U$>wXq9($YtqxfdTv^!V-H;4Ml;Uy{2X=kS?UX#s9+ZU3tF0Ln+p- z>fq#a$*OSb@zjZh_=$JF=Wq`lJI5nO^3nTi1qL5Nnb;lezFnOzG7wO*{%LQMsKesK z-W?iYIokZ?gOE2+La2DdEwD5nzYr+VejsTr4Ba3cjp+!sm``95chJ_jPeb5laoJI= z`!l_b@>m^K?U7B)^FDtOw_l;m_-Lf2uG-oP+XO8f9Fb&Lz;{xw=FiOFCgqSy1CwEo zC(k4rgFQ?1e(g|x@QBgwfiwQKb`_RW%6pgG;IVxP`liv3t^l9lm~v{t9VW1A^pWM^ zT0_3s0t1B)Szafv<2}MHCpcZ4@QQcR`ptUWlp9t;{Q^Uo4(8_?!&6{NY%&X^p&rE6EQO8G`9=b4>UK)L_vzfIZd zb$#~WFO}UCi-~!b^8~I!{ZO+fRlj)Ju1}Jl{ZQ+E)Y_84ZSO1_$#YI%wcl7}6-*_u zq%YG$#yQdEG4-ih_dv5WaI@}f)OAErvV)>-K-pJu8b^4U+uhz6$$UNmcPC~IVv(>m zF0_p}UyVP}?iQ#N&)MTD4sBm3VT=7JIh^3%Mc!|B>mtb3#%%_9$A>a~sA9TUx!vf- zeOVyA>FzD5C1VQT$e4!YaSXJ2Q*HNqWtG|Rky0a>=?6>vIZjDdcruEbd(axu zw-39sDWE>=(e{PCELS6IgrirMW{s^T82wGDqM_A??^SAP{@4A*xcOv)4aXe~qmAGF z60aHPz-<-8#kGiaIJLIVX)`emy~+s2!bJ$yR(49J(U)bMD6Q>Jk+vZp&zw^(+8O2Z z1O~fWU6;0t)W3sUs1tS%`4v*_FDF5%7SE~^+t^KYZ_Y6KRc=HuZX0PkSH_FLV0ug!XGj80LHD{8W+^4Q2$jXvdBa-(b zeLKe#4||HNm9xgyRe|)?Su^fXgj4~F*VhmZHev@}qi)_wFnXRW+mLPFaCgsf2kuBZ z6>zl7n8w;)84-oG=nD3k3@#@CrT}l}D0Gsj&L@JC|874;R?qZD9DI;E6D5-ss!2y6Rwk8fX0k*?NHdq$eI zE2OWRZB7rDJl{mGza#hF^;1Drntr92QZ;i`0q4(4U7{e}AgO{gcJ$iPP8w^?oB?;z z*oFxIz#?YVQq_Uro5(*9kpJr~;o%d-IsG``8j|V5y#1UQ#3B|s4aQSf-L!2r5LDN%e4e)_Z5?dm zVa6j#TYb6~9|+cayLNeXX}UXnvqPEaNv_C=ss)aA4BD$Qw+|!XzH9v7xsvcfEwU_~ z_!D<@{37Odf=iIN*BtF-YWs0%YQi&`z>M+(?J~ zUWBEXQHXmaD@G)Duf<*VhMx7$g@aRCWF`Y=(-YSgxsnbD1|!G>ZJg~gZIQ8fp-&V{ zhVUn~G5V$D{;)H2L?bxusc-?f7K;n{(R^}mQ^iacymX3`3J-$FE8FEMs5ZBYha_zz z1M^+0shnkE#&^@@&!$y;OoDn}R_?`r>iM;)7oo{Vr~uH!MIny=FevQ%Y{s$OvwF~hYkDO-CEkel zBw65El;_cF-Wlbm50WT59;ipWAa>*I%~g zWe%ovre{QK4b05(wdunEhQzhFkd6MHW;<~t7S{cbD9Rs_Yo_-68&4y+a?)9rXB+`P{D# z2#2_lj*hk#28xNB-O?6cXF`wULdW}9eW?QUj?TA;rfKbJk(J%m?oU&Cd_OnB7^_q+ z7*I@Wl%U=sQfY2V1HSuHCQQq88JYq4NFz3J|k2^2! z10l&mLfjC4Dev?szd3+mMux3(V!X*s0LwxnGQD_vR7TcEYS&_BVpd;k?PfhWrNbhR z*tssB=iOx?;^Li9IZd2v7`dkHY5B}*gP;6XTxxIEoUTFy-&K!R;4$%z)>gTd(^Y%# zDksu;@=PT6Kju<*;2Ul!?sWUz*AzmQF~eUtHLPA5I54XHiDz~&S?cgy9lUs@UR+Q< z)QsMR{#AKsjJ@iz|NQ7R?k{@Xd#KnB&-uQMg0j=Qw&45Z@}>1>l85Q>xTI0^jRcsE z=)%$+KWtnLWeM|Ym)V2Ao_YScS#b<$MoY5n8Q33RTTc{Q#me=6N%v2_Tdlj@tU=dq zBXp^a`8;gm+ywSj#y$L6%z+K+CmZ=lY-}~YbPZYO%IA=STcK#V63i@lm$Cz;qqClde zXyFSuFwxg^jlErjazzzDm@7LxzGgC$7}8>k%cI}#4$OPInRsVUHmAPT$3FmGAvDjD z%HBuO1KYh*9Eu5zTbesR`VL)p1~C$eQadISA~Fgt=e;c{AC4rFeA*|Cu3IvrWz*(> zI+LiontH_(I0MylDz1P^!7QqJftK z=0?E3F%}&?WEVI?9R~1KA0E-6SoEj=SBMSn*EWU~g5z$s}Tu#hKL}$DRb}{r}V@lL~f{#tR7Bp^ABC`7?)ZF~q zMb6=qO9oQjeNOQ=^1S<8m(SBr*1IuyWX$q&DR}NRpS~H8=yZ~%_;$aLb==e+`|2g7 z1D#z3Wd(g0uUq=n?JU_i$+{riPw+tW2@#Zr8Pk@Z6N}H=eN4=wY;1BKiR{b?qaKwP zWX=eUm;nzRkWugh@3@H_LuJ~hGpc9w)l2`mjeU)U$TRZMVV`JuANU6SWbf|d(jH}N z$wSJBc74bgOFoWq1Xp?`zuOFaP2$v4g)CvEV&+G>f`2Z7P#BP=!TCxj$;zXl+`&Kl z)dlS1_Bg(lt5kpTnvI3Uw@n{U+HdtMg6SS}0d(9vfWr0jH+th8Lq(T#s685*j_Jfv zeh{5|=$@LBIO#Ld%uC`wZ7pZZI}syh(7wRY#D0q}%{dsnNTb32x9n+v3Lt)8k4t+i zts^VxQN$wiTOv0s(;|O8+rmx#1ru&xNV$mRsoU~-+&;xSW6^Ct?to6$NIrQB<_zq} zv!6ygV>MBz2Us{6fjgjfCtgYP>Vp;yY?E`B)BD<;+pc@)2;R%v&jq+|^$YzeW)97m z6i+VsE%ED$KwN`9f6LKY+|{T*xS9+kjY~0GG)AX`UNYhW3>Ny)XXD`19QTaD)RHd) zu6~ENw@>IMv0TE!ffMlGiZXS5|0izj8AOdr>pv$G%K=|)$=QqjDC3{4L*t(f5u){g z?BcidcDd0nZjqP>jDLXal(*-cap^03-ct@B+_u(W?gud6tb&(ME#y1y1jG zzWT3&*U;6h=A=-n$xy`m4JC*3QvLBYjSYycq9NMEgSz;&-RT}yD2xQ}2^cu=E2nTL z++g)rFfc;*?b(Js_$CnMANgEmpwVWS1b5!|!Yc{k;ZJX2fM{$y8 zuk-`_z(ux;z$Hi(q_@|arl^tO23zm$B|xBJ)*QJs_Xa_|&$M`RA)y)ahDeqJU{Cug zEcfWNx4wAfW=7@(g#C!}Uv*-HaTmlX>Vw&D+m;I3IMJr>@a%H%V5cP^#`f(DZ`*x*gtYBzW!=puDm4u0`2zFY_C`qPP8@*)3EuP48G z3%HcNPCdlzTWze<3Uoy4kskxu_{j9bPoI`YAB&Pgo{KpBJPQ7Z{Z(G0JV5)PINSZ| zum1w#FJ7KrNiMA;*h*}(2eILFDd?R&lbL30LmTzstP4pOtb)c0UOY{a4J5$c#RQUK zqbc);NmsvkgaI~-+cvm~%szAd)QZ-ASp9gy@!{S@tKN%BY(n9M?eq47BHyfJ?OLui z>rX-2*hDG|!c7ISEPT6@-Z{ZuaJ+)LTl~k5PJS0N6`rk8FyBsm-8PsJ zUKz`7Hz`F=*Pq${srKEd9A`~HX(tB|V|YPO>Jgr~V~Y3qqi|=86oYJod35Bom7M^r z(@130d?IKXyRBhlmY1?}F*+y{z8-Jl(%0buF+^)C+WpdpFqm(iG4L{ov748e>;lZ5 zc=mASbeRBbx`-ogQpOSTIRYDKu)VT?YY}!Y=~DR*E?`7n9eb797i9dfxfu-)1R?&C6#}rRjc;mW4nl3tH@X!8$Pk6J;c{p z&wdSBu-#-$>7h}{R-zRRDr0d5;f_A=Z6zj-;x-Re%sa23GJOBaN?1BjEPW&2vYo={ zAa6B-1>i&824%`1Mw}O((pWDP@_zlew$V-kTHw6Q%+5FdPfk*~C(8+|>GQW6meBB> ztZj*vFlr?o3gnpJqXMhfHF5d+!a28qfP(mlc=(lf+x^l=_n7d1;Apn%?-BBK=snx5 zuSpM)fEoF|f`GOERh`JH+6KNHCD;;%NPfyRJ9TEmNK6r{9_d#}P zHfj-czCbvL9BQ2YRIR;VxONk%pl<=>W#r1C;Tx+7-D>;CKoGVB>sM&D)tAJw#%a?f zrT4M)h?j^+s!cLkWCrI0RAAY3%542gU3vD*Dx?Mhv|jQOMbAQHdJxfx{HNHRZ- z{6z^PW`q9c@4|s^Fr~po-6{o{m5s7H1^|Ho9vmp&c=Fqs zXC#(HiK(I$o~mE+k8<^pFunMljf8BOgW#?0=8_4s;GIRY_jSA#VP6@455_`p;nwM~ zXS~R~Hzt=E_fLz#r4xQSlWl3;2eBO_R|!`a1ZJAmD8gix=bytJpS}+ToB*&gmaU!6 ziPVsVBhKm)a=rl1HrpqUg~2-Id2h0vz}XhZr#`~{(=lbrt~hnFuFK|uCCA5NLfsX1 zk3v+LOt^2A*)=NA`IMbx*G@O5=Ls3-$p7Kt9^7T}2hY}^*0{k#GJ%;xC zAXH9Nj>_{UI5E#G-#on~Lfd{1$L>N(T^#-v0(spb){q>)+n~*^qa8N3a_Ym6FMZlB&npD0FzOF-rVuNOof zv=OXMG8GTtzAvbs;+~Pzu=We86%Tf1Y0?TvB1vARZx5N6noy8%5ROgZ{C#P z^d@uSZE@qiJ_$nSGVeo4Mi?6b*=K{GfG&Zr-4dyjXJFCrG{A*O@_)`@rT(73krAi;Wm#wPAecp zFGYvtS>~ReDy?T!0vQ*zDw=~|kXQ#7+57X5KV!0VYUwd>?T`>cEc&3M*p#1^{27pC zArRchjq=mPm$sHJ12FABqs(!Gcu2)_k|gk;=cZ6CcSVN2GC+TvS+>G47s=zWxoC5C zL4gh=Z-BXI`LSh>PEwoMK(A97X>JC?O=G5X9$`uX3gBxrRd0IuPvBVK%RkQJ-9_&6CSN}5zayMS% z&5c9-mp-Jl=*^+Gmh*HR6-@mu15azOV9Y?KCt!-3Wvm4V>r&GaSXtFkOAo8>uKLBN zXuDciM;Zn**aHnLsJXBzQ-O2ZUPECn8so;7QcOLul!4mt($WMc<=IFgWLePBDq~w z2BFW!N3S$#7^4wG^e$Cd^zL&50oAbi*w#6%zNQ+BjlG9Ni28zqmu3Ea+3JtKTM2k% z2s4?|Vu{|(ozZ@ZFj8^?uGh=BmpWQyKe#EIq1yR5?n;%(e*o~o8F*%OsGO$}7bA!K z2_gw!^lyJx-FNDH*0D@$zIK`BC51=S5#e+!@>X zeOQ8jWf74Zb-?*kE|jar@q-ajubf&r>NeyCbc7nRKV6mEEw%Vwta)SW!~KcW{PIG! zp-l-eHmcG;z%q{X_`vWk)Kt?hl67m-ByNp>h-rBT6z&RR55!tWk~m}eLaH*r7b0d_ z@z&l>BH#v2EnPZ_gW+(;XVXVbHSW(P@uc%nW z0fTuuxq<>|Wmm_31*D8!<2wnE3LJ}?(bq8zf_l668DTsikLBdl*>Jk-{ru;n13|d& zYA>I!Dz(>B)@P={AU%o|oyORW+EUwhGu%~?X;Gka^YczST~@bzYV!{`C?KPC6PZVQ zi+&(`6q#k3#6>dVfK=)=8|}@a`MLNf$KhdnY7M6@P2myqU`K*Cp63%k*T)K43+&>% z57!YZXYJI6dZvpBeIrhEOY@?Y*i+2HH+?>I8RoZrT)@?mCq69`Yyn{A2!4a4Q8BnB zKlLog8$#zXVmCFi{n_K)&oeinL_FleB7gZTd+kqK1Cf}jCMd$ViWdY%0o=g!Cko3w zGJsvrC+VT`82@yqi#FutW*+m!iOjAz8fd)2mj9V=Nd5#E$!oa&_mh4x2 z=*#xfu1;P|P~SEq4`MUdlKoh}UMdMNavl1JdxCOtHr&PqOOjsJe$}ZBK2=my?*4PS z*TXDGmn2RGCr}3Dy_gzxIX-QERq{M1_f`?z|KCx);)!4 zG3Hq+O?HuajGRVg%gVmAyvx@D7tw16@F8RWZ9DSx)ZDY}r!C+Aq(Yd(&EoLsr^0wO z-r-Al+^7zSRlU;2f+Ig{FD*NXg_EeMG&d{Xs*N(m)Tgya#G>K&g1e5aVqYVH%+ES~ z&OR{$jMN|YTr(6Y*jTVrVbKOI6*xHDDjQ=Y6bf+8;vlMFezvl+jc8RS1qwVSL81)O>x)Iy)5z zi7^&3>kA+AD>6hldH!qg~xRiex?9 z%4&c38baTR`x`1yiN)JyJ0bNqV{Lo!hyAn9Hs35)TmWPqkOLj$BeS3B`f<%Nphi7b zQ2kFg0j3BUpD+k8{eM2uNn)1D&jpK2N*$X-JVy<5j!|!=g5s8|kWe%tER%O?iE?vH z{}oCDvLvZ2)fcYc!#C63c}{W<`D>B!MJd8U?AG)osgDaqLe16qL$Ue&UgtX$p)&@! zdM2Wn`_^n)AQlE~0&*zK+i6AKPt^YHjWbrf`eEsEh5iiF-NXh45F^*@lDWQYb%C|? zow?>FeiQReSs8#Yw2E6Qz0NBjvMLw5&l|vAAa-8?m0iI?dq#49jn`Ha&>ld;6xKC$ zX@oyJmhvn0tf;+5CMHT3Ei*6rv%!6FsJ7x|D@D1ZD09iGSoyIG5Yd8)oNH|+X*Q1x z;p-OfTRz-}p3vGVyL|b*L_3Y^^1bt2B+;^YSreC40ck|Fs!%CIlLw6g=a6To)1cH3 z #!L&DZbvSX*=rs6&MC}$}#Rrb`RWHZ|Fj}J(2*?oOObL;rpQ|`tp>z#hfciFr7 zkINhe*J%Kq<6KV8Bv}s#Wb;_Azg+E0OM>Cq-Esp!^Xjm649hccX5}RW(r5n4wHGxl zH1&326XJ0Sq-2RgM5lfr7`@cI8*;2NGUmSlI3l9ge-8=;frA+BrLN0QIdCc6MLVjB zPj}aU&gV+nT8kWrTdgVLhR=y|UHy1%=-#W_O*(<`W2`GgcMS1q8oj4DZotdRRsMffzmP zg+051u)|?O2>v>=!-!bG#i%1tWZkLR)6)6OYM&pB0YI|G^N{6Pq+5rUV|ZP>lpJxU zmbt$=hrFUmzJIE=w}clMJ_KR42!#h@$e*3MrPH>bKTv7~&ToAZ3oH-)0Yq?9zVz39 zR+RK0$x_6iO>h0J`J@bsBfZomibgk3xyHTX5l%TlvFD_j0R8?5>~JCbYLKNoDvzYV z-v^4PKDp$Pr+=6X_VtanVw;MomzU%tW>!$4&7D$;GpoDETBBBZH;=HiCg*Kkg((Yq zSe0CP%ZR=Dl8{Bg60p2m7u}lgw0G+Aw|x5;CB(b^<%TtEKjX?9Ngjb$4A<(I%J}kG zInBVU_n2L1Do^>f#_K#%vcSS=?(5@2Y98~?Iv(tFOOM~ZyXAG}OjSiIjVD@U z@C5Cc`tHtNzr&9NhIp?V!wIHY;z~>bX(h=goQl~9AZ<8RJAO}A+dH~%^ z8h20Ym0Dg7*1R5_`v3cd!mBBd)`W(!8*vRF~4Cc|@H&UTLXlC0AAOFnLVH+#L;q2I;?WU*Zudhg!r&mVSsgo0~#d4x9R zg-@G&isPI!Ki64%ATnK8r5A4eiYT7)x?T725{+#K;i*U^ih}9qswsJ_85KCd0JE{- zBT&e8<*nNr)J~KX?>^2jIaIoZ_PUl z2j71A5;A&Nf9&0J1~-RxC&DcATDaydfX@dm)zXJig>1wZexEYGi)$;k zb+M@0w?4JsG)+jN!Hv*E`4NPzF2(2-9IbO{E^zgkE^CLP{?AmXHhb=>flUMGAJLXy ze{wL-pINQ?7^88%*J2DyJ<6I0{Kt+`AAbtG5n`*Y^iGr>=N(G=kz33=dE*mb9=2Ra zdTW~)*~;!gZb19kOXsldVa1(IPI(%$|Adakj+pRDnHTXoNy_+$Mi3A{3VV|>U5n^|YqD?61wg))elP1Cu=6_P7{hU&TZw<#76`iqDuo7;`D-CQjb1)Y=* zxvz{xQ;$wmFo4YmzT~iT3z$l6&YCT?WP;5I95^!2Ngt;xvl@9d56C5;vQBH+ge)(y z44ZDs9mF=VSep^?#BrF?wqrAPApE$fMQwh%W@+(wlQj#x`)KE?u;4v()3(PMeFrf zt7TSUL2P&9Pf|+md(F;XfKJ9fU;jkTr+hReN#O=R*p_yIOhou;;j7GGhmlkNn9`N* zEW+H)2fOeVyE_Z{Ij&SafrCONzhCebD$5t{Hx1yT+BWLqm?7(lvU>mE31Y7nu^VQ& z`u)b98>k?xX0DVcF;S$HvL)}6P9|rv2o9wqe~0^BZ{nfw$xLN^3?t*g{NE}Yc$K!l zU?~m%MT6*2S?wRZxlyLNmCCG~eJy>h!kXU9X^e88~?TN!f*-Umb@> zrjD#Kf9=j^KRt6RD-qsQY+SaG_n9IiB~7~5v~ODNFInZ#)!fwKf)GealmP%E)eFJl zmyNgKip!dag^~+pbf+1lCsUng{~UC_8>JL&;=%$T$O{V4gxC)3??Jc9JF%b<2j+<%^^ z>ryAlk_~SPxt32P#bjv9K9LJJxauA#Wdd6dDD6se-B0I)iW%?!OQV6j+ zW-Zae#LAuU{7d0|h!>Qgs|?9p>{u_HZuyXu!KHbuMef}9Hia8|7>GXp7c~(n*o(L+ z|Mcjc`rM1XL<(FrfO!sK?#_2KHwM6A0w#_OHP<5C&68|(f zd#2{wFQ)k@;ioCD~vX8hAZRu>~JGpeiNd5Y}slAo&j%9rbwgj9|^RG<=6 zwbxQLvS$QUzjm8%I2OX#7ahmVb&}+9$Mua)xk7FI(>mUOd#m8D&2n14LEN*8&M0mI zMhl|6#Qe_suE~-VUJwI9Qdb<)#Xa`&9#Bi$+~*b(Vo<@-jdvQvQ3{cx=M zJ9&Xr8gGQf@D)mR_kQaLUBzX&$n&laTar57&Du2giZ=_Owc-bweLyrq=IK@9P6>~#En$@T4;+>KLP z=ay?24ZdJw1dBJPrRzke2Z%#W5tcr@K>E_&&7`~+6}K3k2OTMHQ078C7E05sp|mAH z5Azi)-_q*(CC4%;S4g!En868 zxks*t!(Fsj2YIt}v&u32XCchMr{%bO(ATW8E4wLnu|31uH9NOa`^y9e3eS|L=UswC zu8-ZOvz5GC^Y3IIr@@I?q!sa*-Q{+*Anf|1}s#;X|dS)xApIQ(P41O^vcf^ruEs8E1b4uHCXU1LOlorH$=iuG><9J`!y^ zzvz_c*BrO`wS(0=(cB)Y53@i<#J%F`^W;snM>qChjt2wCW{K6lKHMX=mi$&f+`j`N z086`(urdW`&zTSuk!pVPQH|gPtGPF^+;e+fF zoP8$$GsjAEN$}JZliHm9?Dp5c7uz=$+u<^gHZ4g9y%Q)kKh(El-&_Y>ccE?QnZ1|! zk5!~h6|WL*mS~EYNUHMQVWmBE5uz%aYXNs(kPVFhuhVx@rz( ztJ$1O#q=yo{{G2R=*d;8&GYt;p>M}p$T0VKh18*4i+xQVjj(n_xoOMR?%?6LRh?wc zWli-mFW$oGU&&j6MsAfFv>nZJ@>BbM3P(>xn3CC_@&y@NPjZC(yU!{dV$4~qp`0}P z!+lTtHe;K?dB(Q=09M+8@hbPQcf$MpcZ@V%aurWURn`k;9!+S;U=O%vxApRm)-AdJ zb&$vRl^$lhhX^l|$t>+idAakc_7fT^KHry$B6O5?E+0Bvb~k&s*yvz2UF_RYQqyux zhER(wj4Pugfjhdyi&5}Hu}~23Xo`@f{V>OwF3o%4uL%Jk($By?c<~OAML^MVr{C)` z1t@f~IA-3BSvc`F@w6w3b4^=eKSn1Fm_ox3#=_N7w3vn74u)f&#To@}F zFxoi#SOi~yqkD1S@N^h^P~6P|;DT4PA%V>}Tl1rQTjC*I^wQ>9(?C)LMgIPI?wrkT z`8_-73Bx{?ssE&P8JLmOh`cZVoN{wgiuYttO%{>GPtlBm;;Xh1`;n;yR1A?717s*- zn(ALmm_g4sLnChT%}$(Ca~7#ioY@9E{6k_Yt|2kkK~&c$=BZuH97*){9>!806`4Ls zdbwehCs1TiU&z!OenpqBv1X{9sH$|hYwhljhV6$!RQ6P!p>}TFPMqWL!>*yZvi&$U z8JEGgaZ9Xy+5G#TBgj7Gl8ZSVj)sIHM|C#n;3m(2kSI?*j=UBDDkU!4#A2q$bt(RI zOUezB#U#RpKdIf-37-cGPF#FWY->jcL!IK-i%(C$g2sNiK~hC4hP{V=gxuBs#H`Vj z3179C=Srj7?{D~CB-mwU+gxh6kP7qg_o_kZ%8_3yfb>Ns&dnlrqb`f`8?a-P9BNd{SNNlPw|%urNU7-BsF;ORqy!i)&qXDM zlVM`ih_k6|?Ra2;ZFsfeh=;h|-y8TESHwL|=+n+pz2Y&x?3O3D*-hZ{E3iRK7&v6@TGTeG!i#;WM692|%yg?t`~tW#e>68t6|hLq8C^ z?EmMRxGBr}@LoaD`(x42a?IaiY>Z(n$RMCMNjH)Wd{EXfLVk0H^%&h5%pt>6IhMNr zak$wUtRgpjwKSY**(~$}2LI!cC$_jG0^|KOY)vK`eP{V4jvr|Esy-@5FJJK9_g@dq zo4vwfTM`m+i}6WP>}!1)&448{bPuUyd)t^~u^d?g^5&PKnnmtUo!{>SQB$H9Vs;Dn zZ+lgz9~G9N_>whZN=f~-esE8zZrXVx&ypg`{vE8XzOe|ZW8Lz3T5#s>e!TKJ zRN{`yxr(;a6=FHs?TIOh7mmBw0T>ZH;qv_4Qxu)6q5cKB1JrxZmLdpY7I@X*H9A+6oDS3CWLrcO zZsMIN4}bS|j~YwoT4=ueMdk;&P9 zuF+YE+-g0wJ?w2N-`xz8%%!U+q!&$0Y`|ae>Dg3iYu7&wGFwpkXH$aGKb)vqi;-`C zdv86ATF5%6V-rY=$sNr#%)oUYOIByj`Z3t1U?Sd(dg?LY`E;}-xvmo4f zKpc--UyHtfR}$>L%RM$TqyO{*fJRtl+vE2IZ%X)FdwJEafbidfE96y;Q;6x{oLKxx z<^n)b=hIfBJ!y|YOci6h(A<@1;Ut88uaWo~--~V_O|ChkCGyE2VVSL!y{WTw>l8UUlir7>==M2_ z%xHDhY@m2^-hl~ZX>Fq?ps@HpTs?N;1rH-C$UO!>AC~_e>Wgg)xncd>77wBxws0=n z{kiAtyZD~TxqG&xoZextdpd(ynjy2!BKzr4PMWSS#=p`Q%yTUqaa`QHwKLUOY zkr6UPHLi!XqNI)c#Hu*kE~+Vh7vG>|yV|7QQ5siL(6oaWvy<-#2&ekp!~yF8!!A^+ z#Fp}9F9V)tQy(=X;^$So@G~M;W{8J7nT`lygjNQanryzV8#mhePMtmbj`F)BfluA}|(ya?c%Q9=maPu{@PXT4t zwso6|VCs8~sJ4t>n4h)+-2z3F{mq~1m-^T9K%e41OiK1+xkzj+&Lu_U0+ z@PniXQ}6$}T!GO8P8?x~W?upfYA1Q)k@}Q&_8!q(oNQ4GXj94?_X1v;muIoiOlJrk_CO+Z5-h+bp7s=p{wQjVApH&M_wyd!!|C1CX=hXUNOLKLqWnY|sZzX%=sq-8qTAF;#mdbL$pZty1 z^p{Uhd$B(YV}3Y(|ELr4%YFXZJ>}!OVX=^8DhnVQC65vrNNvHPI)34!#9jm{y1wvJzM}}9$npV2> z2XV6Wt;rutQ_$vm@7?F?27gg~a<#uWI0ZJ^j3r;*CYJ){pE=CO=)~%ZGG9w10!^qp zXeOU7pA{t?QE))mQV<%L7%v9UK9gFHD|r=Blq@Ae+YSz8|L*ZeTn*f&do6DfaVcUa zxGdM2tdz%&W?E$j^H(LG5qArMpkiHJT$&B8v%|ZkNj}p>LeU}UF&ZClb-@Rzn&91X zv66LPodQ97Jc2Z%APZ&in~A1TpNtrY$e`f0o3@nv%Zga_W@*=OVe?kv9O)BW{dSvgbK| z_{4_3i7oVhpn>*rdwXF)bF1?gNSb{Lp9iBIWCdc0n$j=-rSA&M`m_>C$1c8_-5r9u zj5O2sTV8x&{+eA3@ZX2I^fLvOExLUB-3gP`zjFA+kvBgaaZQDOwPE+z~tlD=x>oefV>=f-( z!!wWg?8b6?48~8pR~l%;s!xMk@)uj^)%F{R&8G0Tnx7Pjpi~;@p}Kw{R(a0<770Uk;La^J0j@oWw%_@>rew<;w6>K5xq#%*+=Fy~)>B?s|ReKaz zRqIoKM7FDjR6EmZ%^JJ*js*9u4}51)0x;@B`@3z~H8k^L+yWjrw~xLCn?>!`R}=Op z$$qR>;A(u|&}2p@`yW=Ocpv5;3VMn^?)xQds}6?6*R*e|4%xaa4PoB_-%H=zW&a`UCn^wB4QLtx389PM*kiavvDGicyzQ+X7;Ehcbu@?-#glS}Itl$=`~_rBZ@(3m6~Liw^V54|7J7KFX6s0I5S- z6ho7fWBkiwZ4TZuT-E-qvP%!p(_aU7(zOd3!c&b>rSM9!me#YnrL-8dC2o8q@C=9- z3zyli^;0oOi}j@|IRjRlN}CG{F!?ps2L}{S?s$Lm==%9y$K4`oBlTK_-X++k|7hgg zC0Z)X2qs<-LLg5DwO2#jDfc(x95SLnZf5uhMxVp@3AT22rcZm?c?!m3(~{Ja=t8_= z;o#%Td-^2Ny=GDR*SZXUlws(_st#Zie79*cHh7|bjE80p8hATxD0O|OC)lZ?z4!Xlao7-3 zKV1kQs`1Zn4y?X(mveh{C0Hi@%vN9;kC=~6x$9)L;Ck^A^*ijA`wq}U`3{Sc?d-*c)dw(57OvKVu;`FcB z)P{>i7g85Yo?lYQc;z93XE4lDPo#<~gwYrmx&p)P9yURk3vr_0;@y`8hMj4x1Q4A9 z@6XqOU$*ufs=RZ~j8Sx9b?YI>X5aK0z62?I;RJ)4Cfj5VI`7s}-<$~4N6?`7cN@tu z^!L$?J(CH+`DOz4Xo=c9yf3rLA(|tokiowbKjn2Rap&4IP<|p2yqGW-oNlzm552!8 zqsP>=ih@-3X*e(Y82nJ%o**J^(p=p`gH{t4i*ZKf-fN@bKgI=TKjBKUxg9x6fV9}h z_?C-1K9uc{T99wsR zM^LvB@dT${sEJ8tHP^I~`)yKH`@%Ql+ZTwFh0aK= zJKqn?ec5SUkzVv36?|}b_WS*pta_BTFPxsLdP}DsnV##EaX{|yT1+F?RxB6=!TQ@1 zdc66#gimBeF^%yG{UJLmMnLGsxZoTlfwnpIu%Dy0o? zQ`it77|536kWW)&Exuf+_cnT&0g*5sknpicviT5_aLG%pA5RSn3B{qVwT2_bp!Po& zhj3~UCknS742UtRtaJ$`)asKCav%eikxRhSjK1}|`2*V@%MSxAUOEJ5)g5zL`$-NX znIIARrvlH$ac_21&wtz8|5l?a2(00^(~VR@9$4+gGtng19BJTdhot$_m>)&W-sS}? z3jmHLEnYD+dZ>_<0Z@wJ-z)Q5f+^UV`HaUhQ&2?VCC93A!@kv!=(zt+>iRGBbz%*M z;-MREOc7mI>v{AkV#l6J;m3)h`;N<(MGBM33u%h(d&z#|*BatHEV{9#H@Ngb84s~w zHk?^ruH?4c4CFy)CIYmE=v5NcSywQBfR;d7Z}{TwM_gN8u8RJy7O+{g8Q34*P%C}Q<9^TE*iIfp z!APDtf}XcK%qmz_i@RHt8bXs#-A*^zOc*y=?R*Gmw54e4qas!i?HL<E}|+sM&G{!TYt8t z%kP(TTpl zB?p?~#WzH833mgs1^R>~iOi`6;K(>Y;h_aqz*Om5a4&1EDcMjMOD%rQ@qezwzVG zvE)%rt><+8!p_(T@1fc8$h*!|-lfKPN4pjjCg76QPR{WnqApEC%2!G2LJ19>C{0&B((zc3Y0;j}|yrq(A# zf^YL*mn1Ug=B_d2xay?KbyRCv@2GK_@Uu$JFp3LmtXh|S++`XAd__>q!n!7@Q;Ts zL%FS%vB{?N-_i>h$qWkZZQA$mxlKM5-QNFn6B6EdF7Eu?&MkhY$Tj)GhaW{xYb*Oq z^0{;M*`gC6Pc}rCW4DLq%WBGA`o%>OEuKaHh`5mE>N(tr^o=G>iGvvbVy_x7Wa4dQ zywrB@f|vs69$9`pg-noh^xI(2AEQES`bkG#O?H86-m#8iNu&%ZtJDTnGhK8j3_G-5 z8B2Lbq<`?+OPzGb$1P?x1;_dmdqW9jg#ONh+ZlNj`)VZJ8q2dd&$~ti6vNVyUfld0 zB9@R*>qmlsmUj85uJ?4=?mP_vCqx^r)@`VMam1+@#yGf3kL z+Ll)kL}s1n+(>?MnzsjwJlCe_NRfh6{Lf}2HG6Cip~I@}?!l0<6H5(*#S+r4(}1Ph zXjZ{&%$kN{s!q8m1~1V_m^V+D2$oowQvO_2?{<^-%stY)?ODU)2B9vcxwNJI z&5->b)jX@Z74wqw4z|*1cK44}CW=^kQO@@Qzu&>QAD|`itj(qPG-k~kN#H>eaRwZ3 zPiOfSs;QZ%Ui$7rTbi0sEG#m3>^MI|7)CHM*neg(5|>D3 zsv*lon!Y9j=}QV@9%qXz@x zyDOJE&gSshE0MtyTlzJ{hD)kbEBSWyNwP*fYuQ?ktUyXZin%#Tw(O$+Jcg9Ded)&W5E+4====NBYlTH7X#hD`sb&|MROqG3t0rS4pmTlfS_% zN<2sP3Qw1Rv5qc0QZzd}?nyQiY9xPKA6)zJ7QSYHg+w!327p#3gn1xFSA=iP4{i`8 zU9^Y1LZqoOF>c$ir?hbhD>hU|d0rnH`Y;~1dUIMwjC2rj0Cx_OQ9a-BND zfR;Ig9i0}-WsVH(Ejo}b0~#pkbsIDZAQ{0zEdDvN0K3{{cFrdE?q5C+xm^?aL)=+# z{}u{;J1TuL;1D-UQ{!sm!?#PK<=+U}hw%563VELFpLDenx$(*A$<^5ZG636)rS^f@ z88Ux8auXZXcQe%DG$ylJTyo>VX|oU2(>x;iZ#EnyZI!;8Vf!!!*HVpY2 zPU6kTHk=rK|!u=EN_{wUuNKOX};MJ#@}KUAkbu6)649 znF4tRhQ*fPvNK~K{H_+nnFhOs7(arsJZ=Kz9lnNB_gU<2u`m`{2;CEkoCg@=k*~Lc zPkM2oJ6iEyHDq-}2DBaubhXN|Txnw~d<9D$JVFGF-GGKEmepAW6(bX{3dR}35+P>4 z6fE)TUZ5}XorXKDRH}r|GSg6p;ah}rZ+|pKW18Kws&47X=)jb17tHrW<{*C2R1jg{z$Wx~_*Pj!q#v%`Nl8Q_?PR(Gub6bkoJ!$CtoPi&Ppg$O)^w*`x6 z!+J{F>d=hPO5rC_#;;9#^VH1K#e9A6sO?n&FY)wkyh@vNHc0T0eYPQzqG!bRi&`{I zVb#np93UDYK9Y(Oa!m&MCmH;^xjB7XSmy^h?$^{<3(oKsodqn#>@e1xyON}T!93;; z8P$ussG-x9DkGU0*d6X;Xiul>ps+ZJtcM*P?#CU@z!G3q9{uBE^wf!m2 zPz2)^8NilJW*TSPDn-2RqVD48fG9r!HkG#h#Td4hTm9J6zeCRW44z@WDAHRb7D@n` z`@XtHJsz3mZ#$B=@^&vOGJ%K+bp8=@wX=)$L-6VOQm{$dO_Vgn7I!|ojUWMC?X|HX zU$F=Kjb^B_s9jo_HT-P*O4!D5oPVo`N7vEKzrNmo1)h7fLwPxISW2JnJk4(Xs)M@)XNdhRt-quhX(ooJEzJw{ILb&4F3pycM1 zo6^e=QpHF6@S@4bV(?>r+^lOEj{~WBEhx^19qXpQ`RT*Pt-hjQR==7Jo#Qt>O4nOH zMpMtX;Fxmg;Uw*_6B)0LY(3*IR+y@hG*G)ypte;FA3^XA=|Q`7q5*x0X3Y954a2Z^ zsdJajJ~88$)ab%_K@Dc;Ur!0APqrE=dXCrKq!%q;|CmDHHfxR_z+r3_{oa4oP~*)|ywL;03!R4_l6nv7O}|*?%$^ioNOC{-?O!CM@l5h0 zQVEM6gw=*rLFdWlUA&rU?_#%C8DmlwSFx-W%i5%StU zqG-7Cg4M{KB@l^Y**~)Tuyu5zvpHL;B2;%npFYCTZeiw&tUq#Q3S_TEPZN11o;jmZ z(LVm?pUv<*jnIcZ&E=Jyze(QOA~y#+kIjm!FUC0J*1@z_#tfep&};JLRMvbS@_VrM zmBU$t`X`1@Ct79ZyWcqPT1B;|V;|rY^x#V-W>O(?sL~7HtITo9q)czu*tb`Ts5&A_ z7L1;-CZD-7d0-3o6z>A6ydE7UEaaC^pyJ(VfwKOYb9)<)JN?kneBkAIp@X6PYq~sB z=YcJb-=f#u@;=gdadxxB8*j79MpCf%OpWzbegrWG73ht-%r-uNA?Lh${Hy#ar2Rbp zr6D%Ig0_f1*IgF7SS`_6ZgJ6N=>n+>N6%l3}KWwT4NKRt7T>OOmKIMC8!)Oz9?n7OAOl{E)n3q4Bq{RMrEeHF}$Gs z4M04S6#2U+A`Cui#Vp1p7-0XeB?2LVZ3iZ@XZP_w%ib7r` zN^mir?hEk<iBoK~#`JxmdA(zO}{E*nZ%@Tk!Y{U}Owlt~YlcFwfNq;;knjj;f_K4UmLLVgzf@v1)PRI@Y z6Y^|Zv54^#`g{V&541{(iHBd`SGcUJJ~CK#;xw4Z=*v2+QS@$Z&zhN&qXGzKKe_Bn zufEi_6d=R>^cpKeq$}r-dpQ_x)6ak{BVa9`fr|UrkEN>aB%Q!YfD8wPcKOURw~|nr z5M$_HEFGdmK);}VHmo2$eS%V?1mVGggQtz1c7!KCBE?cS_MzWF!Ic`}Qm)|AyT{RM zAwnL~#`}NQ7mxiuDD+$@MO6D}mz2T(!>zT&@9z+)(fy9N!(Ee+hm1!Z`j!UdX2QfJU!GZBB{z;ggC_fqEJEim_&B7!8BX-Uk znLWhPIp~&V-Q}3omkxoYA3*{{_7A{*9%G4%?z|>t_ga7B8Ove4Dl8 zJHHA2ek>?urex~uq+?#_difhn{8jsjOB`Yhsf!qOlXTCl@goUAbUV2@WMLZ|xXl-G zygvF)?J-_2rFL=ed3+46<>tf`T~~;9lo)t*JIJ;6a|b7azpLkIm;csErqvDm zD3Tz96jT4Fulw!AncUGoaA|Y7IaKPO_uCr>5B&WNV2TEOsb(ka>-a`Tw$jDu`uJkz~AD;@EQRRbCu@ z_US*Do-=1|99v9=S+`i5LV|3{A4Z5Bd*OSs?s#-r8ONAaq~#BZ{ZqTNH9L5tk`c7c z(8sJfS-xNFI<0aOU!gW32sb48QZzYGdX;Imk<`rqREC>K9E2;|7wm-ZA3I+Ij3lOp zvAPrQ=mM6ncv*askK%bx7eK7}zL3grzqRtEG_%|lfO2u+8?zRl+U`h{Q6-PeO1_%7 z5QmQQ=|4yF^+h*B?-nZBdF6k*s5qksbEf!?{;SlGhByza;d^#11(Pb}Y0mg$%-8;o z8v3p5KtCXqfHX-0t`jwJ@PmSp7g5+Lc`6!o5&w==1sQNe5nKCy^h@O(TFGOG zLyt{!*`%-bc6mkvcm0*gttJ2Cr!V)@eNADVHQ{(_@f14}wNMTm(>olab*(Z#S(-Xo z?-HVNV^AyE7`st0CB!0zAykpB_|@D}^cnFcUT!NR+ul#Eb}Us4)~2C&ncOJ1W#vjMPU3h;Q{=>GG1~yD4aB%`@P9nj`U0 zVELNv)-W%n-I_tSoallhm^zn(Qo{0^_9kq=Q+#TjdZ{)jR}61BlC%LRjVcWhk89F{ zupJNsS)@04kMd`X`NZ0f_wTc))tBX;6XSeqxIcPL zpJTM{{B$evz5f@(r!}qFz7%cQYBDkEN6HQ7w49x&@J*HjZs-adw1<$s`IdO{=AR*P zPC?KSE|29spYM~1CHI3Hj|W1+SMCR&eE6fLe=}9reGqGqTQ~0c+CyXwG+QtqXR>zV zx$Y2SFLs}TW@Q*t4oSM3@phBv=8sfRL`nFbHbh<*^)J*s{DQsxtoC~Q=ajFKHs`J? zc;nwukxc!ns8|gLaz67n8fCh3hvVLtqdw=wgR=aiU57ZKtyT5;{BBpxmg&91_>qC( z>4oeTp*=jMy-`mw#cYOW>=45j88As5>iTH8l=&U&W~Te4Ca%c&2TwIb8}j+g6=#-8 z`|mW_ln^xu4>Gr}TL1Jy5w3OV@Q+T?vx+4m!53rz1TONU0ZO zAlH0kJBN2AM10HcG3t~BUhn?RP8SWd`o9!^W&;Gm8yYo2=lyl_Y zO>IsvIUMW`eoyh82HIV@_@y>o524Cmm?Ta?fr^p^&%tCEh-;49YO9Ioo_K8}*-7b8 zosho9O4bjEdds%>X?@C${l56|l-N71330*y3ArZwK6O*IGn_jYQR*jSeQYc5x$)vp z0(*JRYtT(2$skG9qGr<7mOV4avB6++`!e%vsT4yWf!0|R^zD61bei<%9-Et|ui(h3 z(1K1CNynE%J@OAg%?8bWjtk-Ag;mLul2!+$x38UxVLWrA?J|7HHOBRleEd{K8CS!? z)vawBP{hlMJAN%+zrAte!iezeDJqwTp{%ygcuMvRC(8ow^kS<0C`BA-Sk6DjH6&bR z+?qX3XA1-Upktv3e;#+~T}~l!%=gu(J-HEbip@jPu>{uv&gRn05I(m9Mhmafjie73zOZohRly!WbZbJwY&1 za6*1y*yC9Av2^u987kYbWNk4pdm8nHaZQR&fchOe zxtk(h_uk_xEl`W*)1w592yU#pmsp#VaisAX3&CYboN?@3V!h~60|O2uBowAmpO@Ds z)>z@6RUIH5+?5b|bL!&J+)VQPDDUt(rQJDe&q_V zYao46G{$6ZE$L4k7V-Q|k%25jg-rZV)=`lSNbbby%^Jy=k9SVD%Kgqmzve|}CF@FO zU$=zvU8HyJ802#=M}ng|ZfP*!I{9+HBjws0?au&HL@Yf=q>8Mj^)oVCj*@JgxM|w{ z&&|iXUSfl`5q`fq)qS&t-L}|yh0II^GM0>KdPeiQv^Rofv;xOrv^5tQk8`UyYm(wz zyLWc{;>lzCfbd=NTvF?7q3|wfm=P~YWqAHC!O~{|md}vEADYmVMXUus3a`oFRl^On z!TD!77lLT%-kes@l!fqvsjGEd!ZRv+ZR7;|R}+z1hK*>f$Zm(mAt9bIoXYXmNb`hoBNT{ZJ!h9&)a_XDgydgc*koNey!|EKEehk)EKM?&ybs& zKl7m*JmNQD^bpw9A85;C%Yk6vZl>3)(hoZb->c?+Kl~LDRerbro0H*=ixeEAovHbT z2b9WK|le@0MF@;9#X?o3#Sn*5I6tG@tBK}41(y6&AL z79gLUf90MbJO{AWGe^TgR!ftR9b`QA=Q_KyQ;qWtA}?iL972NbRd5NF!J7s76)xl8 zVX?54bC#;o)=NMq;n%waM;>R4u9D2VmjEn;>je&L^w^zio4j9jfNly|4d3NBH}=jS z9WtvMhuzth$qH0szhbTCFlx?{;Gu-HrU}J{kGS}iSsHF5?veuQR}(LCyV|95h35c7 zMCvf*<H8;Um#kW(QdEyu)Bc`%uF6*6dCd>sr5v{>(enzAA>; zC2HUt8Nyoy;O=$QD?o6^je@Fhsf14r)#XNc-pxvXb{G{L*8#n@Qhbt^L!o5<3%ug25&yf1H2 z5vMa10>te4Jh{{a2F{lS(Nb+ZqyUeAuK4o829+pRxv{RXP6btK)!mZabTh)ug6CI1 z=@PQ4`42>u`UzV3Hw`*EKc(p?37xPO7jVslb4(Vsww%g~NTLQD1}rVm>naS>vo4a!3l%r@g~ zTTS_mrpgosJAxs92_?PW{8($bU$Jc-L81u zWA)3wFj&62Yp6_ioYit=A;Iq6hA;HgH8vWu#?NK$7p>G-fyn}VC^pSz5uct22u=j4 zj0HZe4|a@6x`ISi34s!*@SM?lXz`FA68Iz_T1+aN%L|$li2^nW>~gf^Zf^+f3e-gh~Z~LOssG zlSQpHn>ABj{bFP|Fh=q~9Np6TMvwt2B^W}+QeWQtR&c}*Jyk|FP%LN@jP*qfd1^2c zxQ-w!WMG|AowYU1Z-6o~tSv$i{Bq`yG-PQhx;$RkL~= zI&a@wwK&Wu96wg5CXMi-RsS-Dv-Zg724%)c1wwy)g^T|eLO5(EaQDaFzx@2B8_}+X zmj*ct_V`(Tz0IB*+t+K&19tGHj1ikPIM!`PM| zQv0AjT9a?Z0z?amiDC)Mo$Tf*$T2uaN;9vUy;sA?dD4ugfP%Jb;gGUsf6}>NS76v{ zrF?Jef)sa6A4B;Ab~6aTlv+Q}554Ddkcwtq&yN!!^YQjrIA92%Ef`||8nma>yL|;t z8ma}VDP!b~^tYp~LZbp)ZyOO&x|bMCcj-|h0jUVy^|=H)r4myvVm8n6_&1~svqUpEVUZmX%@H!gry8aBDB!>_fw7SgwVszsM#2qVN+?;wnGA_RdhaggwU zynV3MRZ}!O76}9`kWaYrw;;hLkH*&anYvkhADep(+N~nFDwN}Gn&lY&j*BU0ynaiX3FT$Y=UeWz4pOgKGi6Y2ZEq&5)<)c}FCehmnuG2!0Z z><#KSxqs%?O$M$!8s}r!-}YuIVB=u5=h$Tb?;NUjR^1-`Hb2mZOY0$FUO%~l|JJmR z`v*_^u0XrtMHW(%b=$%9F~a#y4$3`gH4i^$C0p!vYp^4)jAkindCD>;kBz^<@sWj? zIX~?}BC|+N+8kYt?wC=-Rpv>a% za{CE+lbP!#1`xqFUHPd5Zhq73RBN^o`tOe>r+te{MhQRV=(93ZS5aR^VWVy3QzM5@ z8fKu}oX)!Z&Kh$6>3+v*6E)t{wA)i5<`$y zKnw{SgiDoUUX34P_R2dSgtjX3l1PehHYRE)=FC%Gmoy^I`b?}@U-~&%_TW_P`1o!q zqJ99hZ&F$oof3ixz+6oz!LRq|cZVens^4&wvIP?#3&`qw# z^P(!!+_z0r=wLM=x!SyoO`n>K;nPSA^K26^T<&Ip5b8OLCS347n5CqIbyfeqD5BS3 zKuQ4YMK#&p+Nyt5QrB}p83{XI1VzarW&6FP-ui>-2DQFW&sDMs`RQd9)}T34T$70& zS^BtYNp>XPW>!VX%k>9Y$PxFpwr|*F8$NX>N9qP5P7-jriN86MSha+N@gk3DB?;lp zVlja&g8{U^xVd;D)_t7sIR$iV*f1KEwz-nHby{qs1F;mLlY5SWSU|CBe9psLxIS<_ z?&x&HROXxy)mRixDv>>*Dam3!la5Kp5sU#wd5uicc-GULS*mJ^pI;IZ(PN`z<1C2>|xM5HFZqEH-BKF+21IJ+k;33s$wJ<1^LG+OW&&b0BqqMnx2f%ejFI zUd6Fb>pr>+D~Hsb3J{uwGoG)r1FJ_2)* z20qFS-HPbj^5l>nOpb1~p=X*a0FIwVMT+?dk01MWPw_e85fI2?b&{xq${=y+xG7O# z#NWEm&tjPhP!p~>lP}%_dxwg%S;?`|09fm97oG{Wy>H4E+ny>p;Psvmb~^W@+dS+K zZwJkY79ovmDWlWp1j|=*$}ld&Z7DD;`1}&itH9`-gd^8*v-NLkZ_wp3%7{s5A?*^X zX?~j%V(gZ@g3EA&l3LNNd$tG9sS5+ba-4tdFebd?-JTlydTTSChut~4Sc8PMzB(F} z!SgZLIKG%x1EwtD$Q}%1hHWlcbKT@qQ$-pK4$}@p7RxNrKiy!W!&Iogz$L<&&;z<$4vz(G5l%+l?6@VZ7Hqlxv z2l*(aRJ7{SVInrrO=`W%uQ8lEgO!FVbP0To-U)Qebw;d)#auV8uPFnRgy$7Fnom|BUb_G zf=L~&EdrB52L3=}5SEB+OQP`7_dn^QuO3+urKK*u5k~^f5#_oEn-HBB>3G`>Pry3D zSk2E14|pnAwuQuxc2e1rzXAIB%&+JoRbmRC_bzgARcy&?m2TzCrjY+=+ANkX)l(PZ5S`nAph(N_b zcp8kBGhgN!Am6*J1=XL3lg_^FI%92PC=nnV)a=a;S8)ycHQ?ZZhu6Dwe4qkhzhF@I zBLpCgG*O=&E!(iil1tkc?rcTgLMyo2-oQ zCxNe?NLfO(h;8hW2H~K7VDBOIFrgRC*-riY{AtN=>w28NKHbQ@z%)t!q(uZx+=Ycp zs8;vcEa|m-PPY=i9K|GfeMt1`(4h4BVv17u_wcKeM?&Uwg%Q*67Ua3UC!M155PEojGDE*A6nwa2_(Q`2tT;gS-jku7f!%Vy8K{ z(`?4lmHQn1j4EgP2Fp@?d>cEuWuCF*pJaf%TX!Q9u9u4-rXTmQ-HJ9~WpJpAJJT>W z&653vC~u56JKvNJH2BWx+;S)^oWml}Uqf+|#6F zS3yY{(8D9gWdvXCUVTW@+X0?seyXJQwl-Bu@@^K<{VFc5Yr41rYyXWTe}6p2RK3yr z7#Qo^vG62y^RnP&gREFsQC_y#_hE}phu%V3Nh#1?z3?z*qWhb78F9+9 zTFV|1MOP&+xAnbq%PyK`e#_R=ch^PWPh`>6F3Mc8sRzOf6k<0mA82*Y)CBjUv$pQ9 z2RD0MYP$p=bW#r!e*jX+rb-TepnNhJ)V{mBGtOo%E7{`Ppf%HD)r)plUt;l8je1F6@Tj2VI;9d3J)ZD0fDk1rsZ9T3v9U$f;L%Y#+mCx223^J}^lu8Qt;xq!>#~U#1`aDF)sKEO zNy<|rh_J7=$KTeJ^6P0>pvh=@{Q@SdyOyN!H`O8c1?^J^=YQ1Ll-!i~(iJW|x@E@5 zi=98Kqb}^LJa+p(zyGV~2u_7Rkla?PPq9DMpG`N-ptakQ=M&Nns6JfVka>Uh?+@Pj zhxRf4IH3r{V`_eQ`NW-S^TdC%hQN(2K77lK#x(U@-wq5BL{%gY4W4VDX1&z* zl`h;+YS8Y-sf)|2+_(KXd+0(;3~`kOUE285v=;mNMrk7`y89%;^n?4NFTDc<7U|u; zbfCV~68hh0px%`rr@4RC#v$r-9A$z>VWxKc`}j!`a5x1CU5C~@yw#v@{qgQ#=FN>&)m+mv@36xP$>G3drHq51VQf~MH82{eiNAJDy z^6Fd%x`ra>uZU@UqAA!gZkq;*+3vjI%eum0IcYfNA}cSP5n(K#n_4Yq~V2B5*$2CB4>En(#2 z(Y!6MNu7q+HK+p_mapgZ%Lh3wbi&*TjSJT1g_y7(uFwp7FR5>HBEcxslI*t(|r2{_$cm|y*&Z_k_+ z%e%L9_z8J$kk~Amj!i+qM+)q-=DU#v#0z0`Vp5(3FOZD;)oZ2 zXDiU4Q!1F`W2Tpa0g(1)H|xL{=5f)wB`1+)VLY_pDVZXhkGoLZr9u?+`fx0%4l7Gj zjH2!h@O&bYJ}&1+vd|zJ*1tXGk4=pAgx@;%KONwd!Ml8Oal7Ortq5Nt*x|%7!5DwW zs|T&L6e==1Ps zn&XXwzwV^p$(vf|B-=ycZdMu|2bp}2j^&(%M(|lPd{`!kXXq=w$r0q4G*Qe^OQj;NlT2q3dO#4_x zc~X>f9$BR;(7% zzCV5$Vl;$VYsl|uwq+Gm)@Ful=#a(c(e9-Gm`m)v&&?AXaEAN%p_3{GUAxC+B+Fc( z#Wk6@GZ9h8thA9G$zj&1w7l&d?I-e!?d&q@D@1b4K(Zg=< zvAI4Pu(`n~4r(qgbv5^U)gJsPXkciYgzO;7=O_-(NRLB z@{ART)oG=LxSWC9^3=&~S0T~6^~h#6Q@AX*PxtAF zrQ4}ux?Zv?rIvu@-MSsmGB$?E=rO5fAsr#4S0Us!1#82i#lytvp0fZ=l}Wnc3kcm0eR19@!Ht7)sfdkEcBUJw@Xf0UF6-=}ZuWZjWsXaw zXv6S*Wj$)19}+j?#U<|vBl~6DZKv)x2MJ=l!&J24)XPD^vNX%+80uy25sk7(vTtyk zKMRscL@{)LFG8RvD}JCfSjZ>JNfmQ&zTwV2Iz2^cxO=w+?3ADR^&E_UEpo`3U=SH> zu%=0I?tUPs=F$h=9G z<1v$tJoj|z4U#xiwpgSUIh0w%T6WFmd*?QA5GUCPv!fD8&-*^kd=rO z4#_5zjASLsrpVrV6S6}kdzG1yk-f9a%-(zNz1Q!4kMHk~`lxiy@xIUdJokOw*XVMJ zj9;ZA+*8B$O}JcKC3j~{o`p-`7{*$vBC^NiPc0F^ac=88JoDc;O#f$)p z)>pM)$2YUSkA*iIIX(0uf_5Dm)P0>FmlmDJvO&C+tv{yOb(*z^@tBHy&p-wfnTF*8 zB;(4=henQ5eZUkz%%E4rRfq=du9)y)X%dz^GK%}YG3(lZLKaBxU9WIO2;kC&U#KqJ2GYB@W2Gn;-a5BVO-InKp%eI zad{)j2gson&++IY7v_90gNbk^VWOakb-&Ltk?gTm0e}vw-1O$C zz&YH7H$qBQn6TZmf{RZ}euD60xqR5H?OV0r)3-9(JU=UgdZv=NqRsORLgRo9ZyztD{xt{%aiZRoTi!?1~7H7+900b^QB$ z@avl!#NA=#=M@Htth=gi2;4ZuMmJ0Y)WzB?>fPs-SC34NgAW*?O93nY@O47`FDX~q zfetlLiO)`iy3{`L=G=w?+LP^+nx%r6{Q7$L<_a<=N8q`L8s2ZZ-;4$K=wJ?oR?anc z{jT`_(_Fp4`6*lPvam!l`+*rYGANgXZ=W7yd+^9f`epU-_NAd8t;ngYth^nRjY-@Z z?T>nJW**@wGW%WIjc2)z6h^PGgnWo2JF`u1qD;OvQ2v6;QPz2qm+;+hrZBQsF_&Qc zDsnz!g1lBR>}j0$Rygr>$m8;4+=)pw6hCdm5^sBqpyK;dPdwjA;wrSPAsS$W3a+;W zaa=PEjG15+k5<8$_H67(k#!bL*`M@@^cy@sZk?qTzsbKxR?qILX-RwO_&e@{#7v4M3X!`P85HZIF^MR5E(J4Q` zym6#eC_Md5$Ug*J8nhLFXBPShqVcctA6$15c^6Dzrc!l{#1^;p6lGqx>pg_<8NuSf z&!*|d76+bd3B8JjT;*utAKQIb)5k;FV0;j7j}2mDhvw?-)cFA{xLp!qW@4*bk|j=s zf@EBhAcplT3Y5K*todfw#&uL#6?p&WT8X8kuUku&e^ykv%#>@+VYolD;o+k zD~1MQ^v+Vo)VRPE)qWNdV4{G;Qd8yWW)%LIfM(_|$z0{6aImg85!m_vo_u zBN|?p+OQGN1IEhXZ}P_>i?9I92T%vr4skkp0K6U&XW54AsQygW#b=9b#zS3I8 zT?U!US$~X-I1Klti`DvgxiG8V_mbmO)87hebFfBRFxlpO`|&b~bQSA$3Akr=N?fo1 zxeDC!8Do1yBMcm11XlA`H!rk*PN6;0rsztvyBbk6=r)zefH>;Rr0Rkcr1QwQ=&0bb(oPUY9$s30G2)=o04%MH9u@__qUh zA#eqDrqg_cTG!tc+_|-G9N2``SAg5f7fOTNZPTKZLTXXehuz`N;dm|O8npQYHKMZ_ z>iCXRndW=cIeak&*&n}&ZFnIv1G|qbR<)0O)KLGV;^TOSM7fWmd`Xt(ex*tU~jvrm;hyG zLz zEFxo4AGyR$AL0P$bqKdAJ#Ce-V?mV5$CcLd&sd4c79})>C26`M3a2x_Ag*RIxuCz}?iZz2Q z9R1Q0rj2iQ8y1g;&p3}qFZ_s)N6K^jba-2;L`BO6p(t8i0;8p}Up!n7g@7e@;c$tC zJ5=*>acAUD9j0NCNYUI6%zC!F=1dSN?kBCL`u%?BPv(?kSmd+j!`WwuIhUbeN5UaBnLH z-g|~ds01<(;QzMM?^5r5bTupOKtL}DRh5p_lHcn7_>wFKyGit0^cTeTM>t#Rux9vZ z!_idsj4{j9F!bvD3h|Efb*b$Lq)r$1&kVQ!oNQ8kP2$(^r&|Fxz2mt}CvV6P*M^mS zj4%3Ei4_`bdZ+fsfXcm;D~+bY-FRqs$9jeOXUXitIG@jU&F zS(Vh>`I}qTkbn^cRIQJpdCCcdE_u$?%ny@za#(9QoE(2X8yJN4{IKpw+3IxYKloZNlTj6}!{Fpc`_`)YwfwMM{w%$C zwF8lCk)es;MPo(x)ZmJ4x8YS?v!dIXrzAG6@u?Di|7DJ#C>AQ@L>O0L4(4fFgzy^T z5nh9}MI1=cp?wIQ(U=#=3N2^_j(|j%hEA5!GW$h+2z9%R(SnMk~!F+uFrHXcVyZAgV$Ds%@T;(R(c}yoI^&5AWo6 z>oWmAXxUS&>+?5Gv_rlO$D0d&6QQ>>mw5_q5b7@z@rBdpVQxo)4mU(!8)N_kwWo`R z4>7(CqJ?SW$DF+$fi4T}Oe83<@qdk6A}>?H;&EElw{^|?KvBh)a@(6qE%*G&e2AWV zWcQYF^?I?V<;Y#5=jA>xlkAqeG|!4vztD=!3A0`17@U`3RG8aCrClBH#XeY5*YTG> zU-?B#*;!HqHHvpF|M7G}P6DOt9$u(O)yoDB={w9Tq_qtK3D=)Epdi}^RUXRD#zniI zLzi_2QOs|`!8<}`rxStU-|QA_`z5`_ zt5$;!RUgO+Q!sU{tIsoT%B#tX9ggNV=wxy++mgOt4n1_}H0!xP_l>+_)5u~i8ab_8 zi+*tlcCm8fXr9d?2+}RYYv(-GF!pY2WqCW+4|(4T2YdWidXa(&;@LJS#ZO* zvDz~G8jLd%TU`gfR8~}z%In`3%KrA!L*yYeGO%-mn5srI8ou=fezc*7qOJeZ>Q&Qj zkn1CPPN#Cm{&VJ9hlePtbiG^XITo?)Et{fx{U@i6FK(bi9({-@Ga|6GP39_3Q#lSM zf!A5IVyUPMrUvlQ_IFzQ!3Mq!8TEH4a5_d^+*QMO~B$isgAy$Vn#AW5zCuR8#B zGtiu^yW!l34w1%2TT3kOM`QXk2f9 zt|END##~V2H0v8HBAnsNMgExwz>$X-g*gWynvul;~ z%1ih^XV18Fmcl%2>0*KBJXC6}ozD*QM5N_ou{fra&&yr5hJ3TS4n!UQQ{hTP-_LJqnO_s9YD6jyZ#F5d?g zlIpT&J3OIi2Vdioi{x}WzucbTAsbG568|9C3Tc_MQ^6SL$Wl+pFImmS)`kJLy#Zuu zy-?)$dhy93-rw;;6t2w%Cnmoa}WRvv0m- z0gHvrK>wsVa4eK);ws7?RrNM7D1Flq;p+&UBD{-agE)>!jhY5WH!E7(n4foz5scX5 zRAZPD&gvg`q4L|25&ie0^a@UI*6J55NpK(Ny4aI3Ua%bE*DE)v{29$=xri4FzmpH0 z&@41GFDi_cq0^rIMEl=>3LhLabv@A6o46p$?bDqMJHdyA>lw*^h8$Fg!HAROhquS( zI(>d_h;+YJ{lpCwCmG!#ZDjWc$EZ_$~|AGw?7*&nHx}r7Y?;M_skk*C^yTQYKTQxQ&nzz&NTJ<^{&SpH~8< z1uyn~Cp)uYD)X==|D#)V%h~D?6KZejII($53Z^K{w#bE_CL2q8(rHkw0TT%H!(CO~ z`%yV^cjTAO*}w!D86cr2kgS~q5PIIXvHhE!lB@c1|F34pwo&2&#(S+s`*~)AmuvOc z-*-b2?@w5a*T7TEh?I=^^qh#pOa`vG48fUJtiW_4cHF#Fc~1B@;(lVFkK6FOqnSKw z_O0@lmiZI@odsiFSrjniv^?Wp0Z-O%XDs|8cwPwf-WPcqxEfbK?z0xZK1f}y zCB7Q-J~p>Y=fm(W{d5dD7tIHsQf_c%i~?$$`*Vy_@NRp22aD!r zZ>$6Ncx;?%McN<&ujLp0=ZXTZ49M+`NxtK}93;bxz1te@Z8&#KSj>Wb z)h4J;)OXlo`R!S)b@G(ccJ#ivLf2?Hw1KN%r!3aiKw61VEkGQzm0)NkiQ9-60k7kx zHq}-tUxCozjbCblgwDm6$mvEpi2IJTGiSuXY0R#djfb3=wT;yg%i}QKGA5>gG-mM( zCd{^`hBMVub{M)iW}vabk#KTHM}{w^)aye&bWzBf@r3)!;07LNJP(QtW3TK&wymPG&Ox8<+anNLfpg@5{ByS=>VA62YAnSdjsq&CuIrV1mJ3mXl{!C&OJj zm4C<`rT1jjM2dRA!M4~J%z_{gPiAy8<4s9_Z^_z$zPAWh`Y+X-?4iwE9% zfs4Wqj@N^P?Mi$VR_tdfAV9%BRuQ`3}N-LGp1%z|)8A zp#o8qqNG&Tk&Hjc$;UmIKr0ZeWrQ$TlW2&&#Q4q>mM?lOmH$K z9F6nkeB9t=uY1U_iYh)Jr;emlA^(|&E{S~VRrJAFXD3b?BkxrTB8ypaH_2vaiH$Y- z3x7a%!w;Qb06;%Z(LSBqEVRW1BNm?oGo|9S1IoZs)oPO^N`Gk99?fw)j4$CIc3SBqwi zTyCGZnw)@QLmn7Eo9uvVyMi;W6?jSwS9goEcQ5|;DknMnU==g1)2d`}P?5qBWBfc7NeBE_1Q2z;JijuRsIHKv z2M#r`gUrPA;Le+@1~C*}3_}AaxhEr9{W7BYZO0Y~C+V3r1n2!~^ zm6S@2EE6J>bsDn(?`~>|o|Q#fYjjL>8m`T2A!AWHbL5ZX3!=h#MQi;yJP$QwmkYza z_%E!MRQ$0JF6E?m#Lx(Va(&eIw`(a6@ltY4gkm-uVpd+FyYR92{sPt-1*kN0*kifh zRkc=1(O)>Yh3x0b*(rQ4pPHAlZ4|M*bh&-JSbDtzvddM7HA(Bv8oCN+&0{~t9P;V> zy_9UAMFXU~5fxA94PC%yiVYHci`W;SRzBxx<1h4-D?{~(T*u8`!H+#Elh0%EjCDoI?Ik(huD-ON|gt*qD%e1?y5hfaKO7O^;iIX3r?x!x(9aFhtv|6 zauD}?F6z{toz|g{M6U{mD@k%ycZy4;MOI@YGjRU6zcV`3uuYhVs~`K9Zo3o!${A$t zOVh`HdLECb1MjO4&|#8ZK5ef}khP;|GY+#71R*_)Hp^(cAE(ypC@RDB9Ur>4eLWKQ z!LfZ7Q&})J0x*=R#Y6xSf{x^TAKkqmMewDBQlr7|eHF@t^*HS1?vUmQ^o!L zpCITKR)K_u-LBwBY({*Hph=XUj?3x!LJTbAcuUut9}LY6wH+uGqs3ioOp+bZ-))iM z%`=Mgz$`i)dZqY2YD2|#PV^G8-grgJ_n*BSDF*-E1~A$}ppi+C03yFYash9{7E>X5 zFd!{JjFLHXe>~~kkR}_nitb^J)D^eJ+&wMS-~f3|NfFU6;*&{*+lFsNdp_uykCvJ} zIMoOfhwZ*2Ok6~);h6?@+fm@di^#*cFXg2F)rVMh3CL0s8h3%P;;(QwOx&IiI5BAH zCiwjqVk8T*i3Mf)vi|G{=CDrqP<)S)pqGGVG=C~zFkyu0qYGm?uw;;7bmje`bv=yq zk;ErO%Ab)2EkAEi6w(tkqSoG@*?9mMI+=rtV!vy-@knmYC-hJ4wY@BJ1@;Q|U&Q0Z zmei5u6A#~^jG{&eP^0;Y$0-a3nl+W!(P_x7f*pBiTZE~FBF+&-8YJ_RZeI1hB*w{o zac|<4xp=LCr|?DPzjE%<8!LjDT6f!{>CnMg z?Y+0@PS*dE+V-U}b}FW3Iv6Yz!rTXd|$&@GENb^M4mSFRpF+Ig^x~Fo)&6E(n==UDDf}1Q)pGX`Kq|2xd zu?=(G8RETqeAH5)MUa9%5cN7!=o`$Exk}|WwQeDCW{~vaSvXE$SadKTo{fluq2zMD zBm}whHp|$0QdHKZq%m8~-hqa~PBDOtWsf)|r`|$~7Q};!AwnrYc2G1SPK#GG#C%JCc3jLwYhrweO)4pL!zOH5{@B>uw>!1MC>&{(BmA$B z7<#6vlGwp?e_IFJ@PSG4SE0}9M+W6u>{DobOxV9tazjftz0&-GCwfO=yT0>wXG?;s zOcYp9Xf{o`)~x`+`$0$HCGJrTHN7jf4;u}Ku7VVr%;F~WIHt2PGCz`%c3C6*la39k zHtXw&x;oAIyY19r>E-rXYTNVUUS z)AH(9>=!R5R$lcNiHqRA#IB9^_10xH zzslfpS%U5A(B-r3jR_UI^`e#W1IW)qFPqfZQVst+43{0xeetgv0g8NdO07lA$c>mI zRS(3^@LM%Ag^5^t{s)Nv9VoGK@|F(6A3p0O>pm=f1mZppln{I$oKrmwb2@KCo!o0~ zm@lqjw^(2t_MHASSMJK8wKzFqN~H$J<2knf-(5VDc|Hg-MC3WGY}^!8ZAu-zGd-Sq z^m+r}uN9IVH;DweVN@Erk&yV8CN|)!$0^pegM7!akoNyb+|wB*Z2w| z6Jhv@9A$x(b9ZhGi_kI9iP$23lIhcX)8@~aN1SB~q|~k1rj| zBw-b;(^{bCaV=?(O3!L*b>@mb9V2v2FYR};JxSdLKS-!*A{T3dRxnOdSJcRahN6b> zX5&JK@JP0;<9cyiBM!^JMn_}8q$v6Cu$lTGao_pf%=8Fj|CSNu^SEY?z0ab*;F^GC zwsH2Prha=Q7Mm5PBz8K$yd70(s0UY4rs5BIzd!awXnpy4*k9Nt3np)|3txu$Jm_HA zW_N_M7i=BycfFwc@+(q|?6u}Uc(Zp{@%QyN&3eH=%%WpW+h0s2*5oVqE)7ao|GJma zLz(+FTZ>|Xc?S3~y_kCgli-D9+x$pmlKD)6KDqjpwUK3YBuVRra|*KNA?GW!zVZpl z7>qrT7FmP;#6peOo}BC*6FJVn8;yq)!x*WzR&N0o2P5U!S>x8^E?sRx+vV{0nA~W? zxyYYUs_q1i3N+m{svt0C7-0MB>9BL`*$Y7LSwF^egR4tyc!r|`AS1hy2Z?%^BfNy}j-z+jLpG3-2=0?;cc^vqK!3+b+>sAwMhCzfBX1R`AV$3`_mUas zq?kb>y?5DJh9fPNYM~xs@vpwaM^`vrR<_86Hef(rM!o3A1Lee>V%yJN6z37(({HCS zMDcyYX!q$06za@jX;0N&E0CMtvAf4ew|QCcqS*!)leNCZM&aA{!IiBG@JHT1-2t*~ zLk&sCUxL0b=PJzl(@r7PCNj9;+Gsb*$=m7xX5%lJTo66%34ceGD`sJx5EDfa z7Fh4%HP&O&XqRgsKW0Bv`mTUTB+Cf4FoNDsS{r{n$t)5}Nre%|g5fJhVf42nhjR#R zL3oWo_OTXorKLd`XiILp8;ru@`$OW)#+lqNE4fKLI4D$BkII0|7cBL8VLkNKlP9>yasd@)bY=Nq zxx~{z`PMjCa0O0E*Y4-hv^9U;VS9vO;-SC>j6{CjJR+YR$)&dO&b_lNtnQ(MP`rFAro=qti%FEoSC;4d88rY$!q( z{HeyZ%Y69WS@oPr)g_KXMXRkeN`~q%sC!~PA6c-?q49B5|A_T`-JVNpb62Cv`2KE< zRh9IUEJd(2A7WFLy~a1Q9>y}Ed=VtSQ7a!X^lAa>Zj>7 z?C4lj6X8#FuYNX%nXGp^M%NB`lSNc2>X#x`lP;}`b?&A*Mr!e%1z|yf*+{5YuAOBa zcKeU;o0kwn9lCN2BPXw@=&%f{Fpe(lt}sgqv((Kf)yT0`b@zU@qFk#2$&8r8yAXt+ zeMj~gMcEhxu!2-;6E`#J8?`qsp*b`yaKyymcu7fGoJim|*f+mNvs_yQ+4}FT%h;v* zN@JYSEBAwzYr~1dVuH|_W^k)?0v`|Rni`!N8OIriec|3WB$lrYEav}rj^4G#z%VCh z9)zNw?u1Og$-MrAp=o@X$q$pyw&)8O9Ottyn1R7XF2zv{JQ=?r^^Se@FYQz|OMuv) zGYi-VzJ@z-Ga9eC23Nj}c3q+|l&Nfg{m#V03q}*LPv`RMl>Z0~6$QtI%x8D*se>|_p=oV+{kJi)i%OI)ZGP`Eg;A4OqkuRmHDuNIAc;G z0Vm#vg)W{Je})EP@q(L|m+L+kw-m1R@wsv_TV8EK)h5Lw>yBYyN&KGPrCX0MtA5HL zeIt!>p3#+|PHCR2@SGwqYV*t_(kDvIk2dl2KyB`ns>c>a?G8=g7NE{7{%2hyRCdN! z9qLKu@;xg&NPa`K;mv7oe;*n&We*~7EfViiO}48U^t(VQ(n^mSEk}&c57Lyxb!ctW zk_>(l*?UkJDj|gL&@)WuK-~Vw;q`9WY(#V+h4REi?7Ry8vOCW`>}g%-T$O|;L7%IZ z*Z^`=1eGdQZVlRxT88vqE4)L6L+n9sk>S9i`28-A z^hcBl7ofd_YqoT`w^E44X_XKVdM-q31=p2*m%OWktm_HY6@(iI#wwwzdmBmp1yk;} zqSPyX%3;+opn%g;Q-6GIhmm*|0DG9Wv*pMJaB}Od#;iRwfVV?F-T9EuzIem}Ws<0t zYrXxSV4_N|5=@|JXbisg@Veo!0d7w^EGRz|C>8>Y_lD?k?-%RCn!80Qqf1&Dbmf_c zW3lbW2|1x#S0w}T9@p7eme;WhimFaB!+W1Jkc{69tlXpC+SEnhR*{6tZWPafH0;|n zWT(Kb=41S(q2%<}_89{ix}IJBHY}hYde|0k4GvJ%u};@_SeJi`<4~9NH|UHu4B5P; zn`%fap|p>{a|UPxcsxn;?oPX`9tE z`M&s_U}FC(`hs-Dgvl*S#17r}b{i+hN$AKu zCA3_LHyK<9mDBjn+Y6^yW z4}AG!?!yN6*Typw3)f8?P^RC{%I^Xj3*bzdbD5ra-h1I&LM)w$0a-gWVBgD8QVc3t zxjRu>oXxs#g4DMOg+8pdJ-JlERd)9!m(99eqRE5=?^w2nLY|RD-`knyEbvE{RtaJ( zI9^zmSp0p9pErjB3(7O-LINt~be_UM8Q(RY>`EIJcXFN z*Bm#4M8!0bbJUtEvQ9qlqS|NAF&JqeoI}C8O*ESy4vl{?ZTy$!DC0{1*Oq@vvcO4! zy}+>57E!h`@(b?OPW%w??TlUH=`1Uq7_hCco&^5|Gr zRjdg;53Eb7FOOub*3FFHmc-}#5aptt0x`6I4qb(RVxosiJB<=z-+Lwo+!kTR2CoK- zCF}6#+-0JS(q+c~U~~WEAz#|>AgB96+e}}*8*A#FpQw?0D^%p|a-gDw=we&#uY02x zRd?1e=v}=zT6$CMJ9^^AZduOvi4MGHqAA9H2^L>eqEYUn=J`E6?8;Qn&UZ}wQNQX_ z*ZEAYFBetYM|G?Cm-mS_6(y2|aI!i%2%z;E6+ucgXbW^%O_u!pgSNW(C&7IM0u+0d z{h!y(eQ)?T9~ejXVN91W05WGBSGi?SEmkJ^FT+Ge@Ox)X)GHN`&&vn(d6@%mW3& zm!1`>TT$8{{Z^RWKowHN-+EbOari1RVKuo+&HB5|28VagI~&xO*gDOZ>KMz;!E?D-k7h@b;+N+_zH01HY)AO% z*c7RN^{eB=D?#{+zuW!cSz2M1lJS|6IA>mELebBabmpdTv`n1rqeV{R`9sfGHW>nAw2z6rg@w0?Bz5p_0# z%gOWDNp2O(D{9lYciUHh$tO`GyD#MC{LTDht}9FXJ+}6<84|w;9C_)KaG>x3@Fh3( z%f=6xm!nXFL0h=*sd_2c*k4X!x! zDWP}BBD{OfvV*SQl~f1*59FtyMrhwNEjqf~1<%6L}P;5?e53DqgMd;Pqt zdS{bqQJdkANSwm%QMa=?Q_JcB6Q}NR$EZ%})7l*YJZdp3X?_ZIpAtSu?6YjB<8Mji zo1GW_#C#iwB@vl5yU&*zgbBJ*Rh~_v?bY-1G)#rgix)X9zl*`Sg6xn*DdoS%Zadk{ z43M1NJnObmlgGp~Mw?FxfIN7_>fT+{shpTFNvS3+*IpLl@x{t2mdD<4m_+e~x8W=m zB*CN8XOH8Q8%CWz^{m5kuxi5Gd^~OhrkwpmiV|2YE{|R{Ac3?nkGW?_%zRgxN*5mv zktEx^n)o|83C2_gm(ZzPFpWVKKdn8~uPrywzXg>p6kCF)J}>Di=X@nVY6ciay544x z@ORTU>ADaCgP+-%jA7lbvPxq}c*B_h&p-aEsWATN*K)gjtil3Qr7m2@KViM4%LeJO*}qd3)1e966?ZR;db={~J6W)m*jkekNcZdAz+(JGwpm z^w~8Bj~VJw?Le1v;?>{wi|0Pylyaep$IPP0QCGlt5^y}Xaih`>)k^HC;p&|$a^`%| zZnPUya_)48V(ZzJ$YMB2m!x2hrOaOI35b_!zP%7T|GfH#@#3Q| z9~Lf453gmk{S&Pp<;Om*b@z1b>S9GL2~r%_9(`tZypKsg-=lbPA*{U1_jWf~Q{+xI z`)R-B3oP(5&|j3%FEZ_UFfGb?z7O5m@;5&_;Bd9r}}S>PzZO+namG z_CJqWqThY9O!{G!Zk7%Kw}E8xqp{(8YwSF0074e%>oO?KqKVwyJ{PUI8O9=RVgu2kWRN?Ywf&+wcD=DMwk8TB%T*yVnWp&OQJ5l$X#&0^<5_ffp&kMe!s8i=(StvT6`9e0%1yr zw8h^~g8s^+If}v=4hazcx9)iyG9ITA{TkNL_hK^4xb7ynS8Hjtb7jQ_f%`#e-!B#v z6Ca;+^&Q;u6YI9UNbDDUgsM508s4Foz7MhdGs@^V`*E~>4@?x|?OhXmm`~P)Z$t~D zp)X~p*RO6I99TEb%Fd;qrcL!a$2Pe0hi|I=`na$ReP1Pbw1%3REe3@v!JymelKadN zke{2UxgwN$Zx`V)NEJciBYMS67~l~OMWzI~ygCD7iI%e>`@%j-6f_sGkY<(LNa=Hr ziE00UQb$OZE)CuMmHdvFOv`aRB36a>5HBFrr-6~Bt*_eSLp<9*Am(7uPrUtJEMi4U z)I;UfaTmeFMA%DhOyAzQ?zZf(`SAaofH-mp$n@K7($P;oyzbNKUV2*Phn6D^TaGsm z(F;J=G=H5c`5*5MEN`kp;h9i0xbDwvkIy$Y9^Kdr9)swiQq>owzUF)hllt;!XT%2A zE!J@Ug#8oG2%Fz6ZGu{)L}lDWE2J!0KHBn5ZG*sV#a$7N4qef1Q59k2#^6dCm`tHX zNk*MsKt4M{fv%JTw@YROO-6{3$^)&7wg%BUE17k<84`>pIx`Za32RHg{?| zCKy+0-7@xAY`G6y1=$#zewCi^fWK9m4t%<%yS z7TQ|6W5U1o;wtxeSV+55i)0(}Tw}siKl8Hm&(_dNGnU>{iPkYK=TstOLU}lWZ!eN0 zNgTU1`;?b3aS|EE^Ga`~^ZOmJnwnTH5&nlNX$Xeb>RY#R-t0tss4=0KSZ_qAWF2!L zS;`2yxBMxCzkGn4H911C=W*V*9V+o_zZ$Oj5ce_^KZh&?XxLzX2qg|x2_i3Y_d{I< z{wNx+N|JkOu7vQ>&LA{Cj^bAj*jF}7cFz2~su@|l9KGby-lfZL*%p(N(l!x}vYyA? zv72L4%*JlGTI0??n&9fv`&Vy(IPN9O{5K(FlohYW!*#zzCI1}}aAGJHXdGE

    =^&ydk7bbV``57CRb9jscQiwVp2&RDBBq_Xwvw! zmj0jgqCBL7b@xK$dLh>jN8|{HK)xn%4O~a(fb;($c*^Xrr|`T%sxeK5xz;EK>AE|G4CCz2m-hGy>9j-<`D|q+9sX<gUg&6ofA5lAflLk*mm`?!5`N)bJETwCnUt8 z-6hqFLbi$|XD9DW^p4q767#dl_@5Prv=6fEVCNZ4ty<2zpvMPMJfXw{VDKqeOpd$r zMwB%JozvIdadL8wD@j!1Ds33qzjx10k6E~EJUy9ZIA1Fl)2dn3~Cg0 z)!{GFJ#eA}(6m*}PftC#aaulaFG>?>r5lhD8`?PpL@%ol6c5#!PLq|Jqo~J)Ge1+M8L@s}lhB{{M^;$(+eegid`^hm(eYKtU>U<*o(mPx3FUx&e2FljR zO|Ds6W35L4otsxG1;!74dkN@m-)#S}wgldsJ(RMhbKrSg-- zX}R`$R}I_NCQaW!ePnknY@C!rKRhSY1F~c(jb#+_^Ip5w9fyohUpFt$5JNY;_PeMm zzuzpU%@6Q+5SUM)JuUO;4Cg^_N@fL%*|L%{TJsDKpRegms|t0 zWl9vg{@EY>S|i;K@)Colq4}t`022eVuFEkv9psFNpAJe2X}mWP>a60GL>-bR<1UBj!{ zSGs!vEha_%toyZGva`i!W2qEadU6z_!!w~9^@ipF(G0P7QhRRCerK*S8GpK-3YBnZ z&0wE(sTai)H-3NG_=xMrm2!k-!Bn>mtJ;dY{yDS+7NK}dNgAKjlm$Z^s)O+p9=!sN zypiK9Nn1C>AYH7%vIo5ro{TyaY;+V`YsNITa5=HJs> zZ&Mw=tc<{+BJ3>IEMIIV#;}M`&MaTT`fPoJc&F79EtgEMw|DH)U~2TW`3F5ei1BZ% z&z{{FVj!R7^v*uVm2U7|$C zx?O>Q;iNa4R5`#tK{tw0SmRDfr``|c&WNyt%XzA0*GTw2w0jKmW-BW_`d|k(vvUURW$?ZjNY46Qr`<;*EaT}Q}K$fuJlajvp z9mN13jrf(|q$G(vwUc36BDVL&di%uKL4}zHqf#+bz~IE*lPm{l7+%eH&YUy7=-cI6 zvU;v_wX&g6i}7K)7gi)!C^}@l$t|~KVfKFHeW(?ob+8itttOyYbO|cUN();fC*eht zMq`bR^o~)HrI4nCgUI58dlLpcuCAAH4VbTfYBms3Md{8M&Gt2r#LvE+`+53=E#0dK zp9{XHiMS>w3Ib;sl#%KHYV$NB?wuchM#2zbFaq}GvdJT}^sYHFhfMGbaZ9SxP#}ws$2_amhioq~q53Rw6d2FSf_7gg z#mLdLXC|RKndMhr@sCF-XmTP7C!m$yVf=^5uO?Xk2to|^S>#_g+$wjx6X}M~lK=+! z`iOquQdThVIuXsme&s1~d_v1HnbQ|Sl)T+->HF3iV|yJqNGt^ClW3m>dVJaC6h+P8^fWXMPEY4HxQ?1flu z(eZ(2U=(|Ki5CGOf*L3*Gf+|%R7M==ikJISw_JfCB@+VsvgUoAp z=kk?Hua7lD7lqBLE%12Ub3`Ze-fb9dEr=t#A;;~CF;gj4!Y$@uWfT&CBT@_c9qDyQ zoJFQONZs8xtws@s??q&ZU4h;|0UE$IZ!me_l3c3A6@@C8iWr{jz82=?|KaiFP^**UqZH5NN-l|`XhzmBEHxM zga6(-2eo10->`*zbC1H=+oXwfEser)58gLH?T<$f+5GmX=X$%tZd+g}5CHbawcRQF zYU4_ju_=4(6QoYcUGtc#B;*vCGBuO2YpP+h+^enfR)jZL>xO zKLPq$ilQ70F)Sc7Fn9#aEMzeXU5*P)z`|y64Z4cDMPmtjm^N2+vz?(%M8+zN7==}Xb(Xj$ zQspi zeT`AGdH@!N-a&#s(Wi4YdOlP6qb@H!R9r&kuL`E?ZIKc!PtaBV&|6;~G+%$tUcFO9 z+}`{v7TdZg;&u9ip}l=B)0`yX2kBwo#y-S}V7R=d5{Z)~v{4VwVf;$U%BH38Wy*akiLf z>^#J@B@bA`#)G8~==e`OHzd$Gpu#W~aAQ2Dy@$~|Xsb^V^8A1moOX7tHaAU)pQ!7J zd4%OT6GgNoN@G$uvQjSfg!7J^-(jP1PvyWk^qOycJi}0($d@xok?;G0=2l^G+Am&p z#C2z1>L`ZB{l4KS9SxNKx0wY#6mzSe;Qcasi+t&$!xxv}ch@BMb^}A?{q1(&RznVg zQXbe6P!;u13I4J0lhNtZ5$iy8R+@65G3DlMDvN*IlIw4ioQo*yky;YA-w1!!x&|$S za<_gzB$NwgGCDl(h}=h~XG354FvvHU;kJ#eE-b*241`(7x9{rR2|#X(D(~@6mZ`_L z?wjRP$Pz*Bdg#vFJ)fCga_`39Xk%F6&4VlMdVetsGr(SA(Fj^Q<4(85yyy;@*4E|s zAzY4+R<;BE@f&muALyYtRa&aC`ixp^{DO3^KF-f2A>Y!5u`PT+JBBt*zg?C7PmjG) z3V-l0C&GaRf9*cI`__9va%uE_im@|W3A2}O**jcj(~Z?aRc|EmFE;5@u#DK4m)%_n z$74GV%2?UbiXwYzL`MPbuj zChnBSZzw!n=1yj%{$CBVn^jRgzf%97EnGG3%N;_zbGk)L8H?^7l^?D|8p^Y;E|Ni< z!L`N0P&uzG>#8Sqm94g!1NNQ#nONJKL2CJyq4@+ZG5CFd)_U^lQqT4Qy>oT}h>$d0=Ixj1?3#*G9VT?$1s8+&Cfx+A3c|yRf6bN>Dx-<*_-Tf}ru*^ksy5dfQz$4~qW|q-K(?*$A zgKUD7R{G@J_Q?pYx{nGXx+{ZxW0ynu)%^-`?k9%$yjv)sI22X%McKTrU$&AKY|08V z^?&RsZ>RN!P8+U73@mgr`ATg|0<#d1-PoFJOLD~qKLuC&pS6`QYh}NldI#%s0+;|J zD=ulW!xL+Sf4w$rDMD^w-B3;X4exX~?eC7aE)!M1*2xKjrcZiceK18(w3*^ zLEDl`e~tR9zV9Ahatews_@Vk_-@izmEg?#7!6Io$U#)Rf^@hf`#)63MJ03P?Ii${d z27Cy|u*b@v>@W9?YQNzE-KqJtU(P$PXDBo8%jY&Lt7F+@eu8ucIFZw_4wEGAMSzza zU3mrSHTgd?s}Mb8@8F%K(h@k;xp#MD1CxXLbp?@c6$ntj|`GWo#MzLJRz0A zVjsOib$Loe+q`|ZRE3+^m#s4St^mrE7>WERV=1qL{^HGd!;y+TK~@?Z`xGbDPyakS zeCNKQCoWg4;Fm_a;~F_SP&1gw2^jLDU|_-Jp-;g-hLwNo*^2_r*+G!NE`6K4;qxY< zrUy(sr(Y=jd=#OB^*SIi1C$G*tl$5*8q9B84eUK&JtDo$2HT#c?Edb}AbhIT@JZQm zL<5nYb$R7|%4}-3-il9M*B+ILR+~%0-vcz*rd~;zear;#WA}sP zg~@e>$5YK4iHYP+AlvZ%mNc5`B_8GM+*md-MgkYH@?#adNqG@)M-87@l>BLB7HHNr zamnI4#P@=kB4}m}jv}%i;iDcaK+WLo>M);6Y}V%sYH$9(I>CBG{_-VSFE>Vy7%zU(Y#&sP7`oV$~I!K-QaqRxy#tW!( z7O)^{GhWv>Mz4;C_L!Mler{1sE{F$sAdF|e)0Dea}!K&M=;3DpP)(8=Ile$juJDC54kTwLCgg5ApR$IWcAd)xPV_h`$cKnDE z8xDxftvve7ulK}bOIAE3<5cs~;uXKow*9M=Rvme4;fuxfNP^(o5|<}_hjCZN{m0F& zJR0N@a6tk#!G!B@jX)5Yik)``?@2dSwxtD3WyQ(9E&{@*KyEN6p>e2B;j72VyWe5j z|IF{Ozyo9g;GHaCOZRynLZfCeULE0H^tLLv(0UX`Hfigu_t$QXJZZXVj8FNDa zhz3nJT*|?@bSeVsFKzKy(r;?CBa`;0qWT3QYXaM8#-2kdw`TAy?skp4i~tvwTL}99 zi$hmARKL^3HuuApy>}V569M@SkcIY?I=1AzE1y+99aaFphl=LW{;Y~45uhxxUsp&R zd+9UtQlM=nly7#)9HKMZ+`L&^Lw z=N?1re7VBYztjdSpjs>(x%y|q^Dj#Ut9dt94(>i)h9dmMh=o9JZp>yZFo&?A-z$m@ z-`!>>`o;?FbE(QN(w9^^S)k8DT?}ZUeLGqp;C~gt8b!~d5Ji3A50>hzz$B6V#B*|* zZB|FKmG5_jlv8v=G_(5S4?N43R-5IKi_H>}nhg?EDlEL=DA3HvzrU`sU)}jqKP*bI z;7##(tX}k$#%r6I^PrWl(segAn)ms`&<HR zh1}^tqPH$av6S`)vJQHW5&z1?EnVL?Cp)`F_f2NrCO4coN0{RcWAe$Gjsxj7x)fCX zU_w?!wFrtKh>T^c`Le;}I0NxClX1gY$WaLdV9rMx#_^Tg)$eCWE?FW*G(ZMn2c8nu z)&0bU|ExgeJ%B}Tyk|ve-SuD+PinyI1?h}y1-D)LfJ*G-6#d1+UJNq*hzZ~z^pSd# z2lf+0+;2{l`GZA*yOlzk%)?fhN9bfakkG_hUzL?{I?7@MAR{<5RzDeuJAdM1f%O4Y zKSq?1JiELrJ_je9wKZ~66X$7Gir~uKbZtPS^ZxmwIG&0ENx31iCabsdy}6@NBkPtC z#9RSBu+wYBX9h~J!B@fr;LrzmJ9Vhw)X_sV(IJM5yk))&Qfxaz0g2FQ%B%hj8+wy# zY*E;&gfnl;H_EB^@wIc}(~Gx;r>(ibazk95*a=GsUy`qn9*E2IXQ#=-$MTa&txY7L z$N*Y{XjeX}!a!U?^D}cxgOY{`2t(ml`BkhVkV;jcJ0w|GYnx&3$)Q)%G4QDZ`n0Kn z>^Z^65&5*euoY<7B6w~_ThW&3^7#{opwcxj;Df1EjH+yZhWe&@XT|ulV3GIx$1)=%NA(GM-Cd<4wR13}(-)0Fy1X zWDlw)5)V z*McsI?-4F7+buIVm7WgD;a(FPkFkVWC!nT8ssKKq9w-&(lBlqpz!(OLYqW;fsz7WD z3D2X$B1wr^<;BmJ_rdL0gTpbhCSC$#3+4(!OLY6u0i77{-VRs9F%HgzhP8Pm2GD$y z04N!7iUx-Bcr3SAl!ypD7s-6kP`xD!QZ(UeCjWlhbzxu&K|g7-GTB@KW>uwK1^`ln z49>W$NO}B&tLf$ovi!}Rds!l88*YZ&d5jDAhzCJKUoPCy&XMitCpXJ>lF4Tt$giph zW{je(ZAJI5t}36hPt1uw8gw+`yox9n)IB}pN36E}20CsltVdF7CxzOdqyogr&iO0B zFu7USo7OW!8awW4k;ww;2LPL-9??YB0kF?O=%(>5B?Sm5QY%C=7+p<`9a3thW-}x-ebn5xxw5Yde0$N z7KEJ|hH&H^Uv1uI!(9zoF2+6oE6Ko)_mmhtu10%%PR1VJ%S=_c8QN~{zRjji@9ij)1ZSsVu zhJpX=OK13+DP9B*CNlvKAdR6R4WJrUe|2?S3xXyw%*69+$}L)cFNKQUgIEjZm?V!Y zY@Tqos9#B5(-&@m%upkf3*Fh}eekYTeiM?Q@43C;S$eQn9Xp5ehJp~T+T3wEFcy_M z9LCwx1MnAgCdcPfYV?=)h_IEM7mNB$Ay@8s;kuJF-Ia&CCO3r-95}GI=X=mT5qFc} z3U@du#%zv>+lZ0RvdWDZ7HM-aJE4;?*52{^S%#k5(}|07_O{;6qcjc1#^sm%J7 z#l_{qz}hS>T@(4)pXWUh&s5Wvov$)@gvytZDSp$Kd?-I!@K-7GRM(OV_O5Kjx^#MK zO)cxKKFj+ia;&I&?q5vW%Fj``KGM43v6_v15e$mD*azJLl9Wc;l}25Rwj4(lycr4lN?B_@t&b9P!${-|C0s^+8h` XZSvRr4>wj`=1DLIl&h~Ef%f2kQSG4& diff --git a/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.eda.qmsg b/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.eda.qmsg deleted file mode 100644 index 3d221aed..00000000 --- a/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.eda.qmsg +++ /dev/null @@ -1,5 +0,0 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1571318793559 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "EDA Netlist Writer Quartus II 32-bit " "Running Quartus II 32-bit EDA Netlist Writer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition " "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1571318793561 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Oct 17 21:26:33 2019 " "Processing started: Thu Oct 17 21:26:33 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1571318793561 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1571318793561 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_eda --read_settings_files=off --write_settings_files=off ten_line_to_four_line_BCD_priority_encoder -c ten_line_to_four_line_BCD_priority_encoder " "Command: quartus_eda --read_settings_files=off --write_settings_files=off ten_line_to_four_line_BCD_priority_encoder -c ten_line_to_four_line_BCD_priority_encoder" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1571318793562 ""} -{ "Info" "IWSC_DONE_HDL_GENERATION" "ten_line_to_four_line_BCD_priority_encoder.vo /home/timmy/Git/Learn-VHDL/CH6/CH6-1/simulation/qsim// simulation " "Generated file ten_line_to_four_line_BCD_priority_encoder.vo in folder \"/home/timmy/Git/Learn-VHDL/CH6/CH6-1/simulation/qsim//\" for EDA simulation tool" { } { } 0 204019 "Generated file %1!s! in folder \"%2!s!\" for EDA %3!s! tool" 0 0 "Quartus II" 0 -1 1571318794156 ""} -{ "Info" "IQEXE_ERROR_COUNT" "EDA Netlist Writer 0 s 0 s Quartus II 32-bit " "Quartus II 32-bit EDA Netlist Writer was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "348 " "Peak virtual memory: 348 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1571318794228 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Oct 17 21:26:34 2019 " "Processing ended: Thu Oct 17 21:26:34 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1571318794228 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1571318794228 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1571318794228 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1571318794228 ""} diff --git a/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.fit.qmsg b/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.fit.qmsg deleted file mode 100644 index 4192798c..00000000 --- a/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.fit.qmsg +++ /dev/null @@ -1,45 +0,0 @@ -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Fitter" 0 -1 1571318767033 ""} -{ "Info" "IMPP_MPP_USER_DEVICE" "ten_line_to_four_line_BCD_priority_encoder EP3C16F484C6 " "Selected device EP3C16F484C6 for design \"ten_line_to_four_line_BCD_priority_encoder\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1571318767040 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Core supply voltage 1.2V " "Core supply voltage is 1.2V" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1571318767159 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1571318767161 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1571318767161 ""} -{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1571318767305 ""} -{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1571318767327 ""} -{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP3C40F484C6 " "Device EP3C40F484C6 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1571318767708 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP3C55F484C6 " "Device EP3C55F484C6 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1571318767708 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP3C80F484C6 " "Device EP3C80F484C6 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1571318767708 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1571318767708 ""} -{ "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION" "5 " "Fitter converted 5 user pins into dedicated programming pins" { { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_ASDO_DATA1~ D1 " "Pin ~ALTERA_ASDO_DATA1~ is reserved at location D1" { } { { "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" "" { PinPlanner "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" { ~ALTERA_ASDO_DATA1~ } } } { "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" "" { ~ALTERA_ASDO_DATA1~ } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/" { { 0 { 0 ""} 0 42 9662 10382 0} } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Quartus II" 0 -1 1571318767718 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_FLASH_nCE_nCSO~ E2 " "Pin ~ALTERA_FLASH_nCE_nCSO~ is reserved at location E2" { } { { "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" "" { PinPlanner "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" { ~ALTERA_FLASH_nCE_nCSO~ } } } { "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" "" { ~ALTERA_FLASH_nCE_nCSO~ } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/" { { 0 { 0 ""} 0 44 9662 10382 0} } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Quartus II" 0 -1 1571318767718 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_DCLK~ K2 " "Pin ~ALTERA_DCLK~ is reserved at location K2" { } { { "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" "" { PinPlanner "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" { ~ALTERA_DCLK~ } } } { "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" "" { ~ALTERA_DCLK~ } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/" { { 0 { 0 ""} 0 46 9662 10382 0} } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Quartus II" 0 -1 1571318767718 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_DATA0~ K1 " "Pin ~ALTERA_DATA0~ is reserved at location K1" { } { { "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" "" { PinPlanner "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" { ~ALTERA_DATA0~ } } } { "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" "" { ~ALTERA_DATA0~ } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/" { { 0 { 0 ""} 0 48 9662 10382 0} } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Quartus II" 0 -1 1571318767718 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_nCEO~ K22 " "Pin ~ALTERA_nCEO~ is reserved at location K22" { } { { "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" "" { PinPlanner "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" { ~ALTERA_nCEO~ } } } { "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" "" { ~ALTERA_nCEO~ } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/" { { 0 { 0 ""} 0 50 9662 10382 0} } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Quartus II" 0 -1 1571318767718 ""} } { } 0 169124 "Fitter converted %1!d! user pins into dedicated programming pins" 0 0 "Fitter" 0 -1 1571318767718 ""} -{ "Warning" "WCUT_CUT_ATOM_PINS_WITH_INCOMPLETE_IO_ASSIGNMENTS" "" "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" { } { } 0 15714 "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" 0 0 "Fitter" 0 -1 1571318767723 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "ten_line_to_four_line_BCD_priority_encoder.sdc " "Synopsys Design Constraints File file not found: 'ten_line_to_four_line_BCD_priority_encoder.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1571318769763 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1571318769764 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Fitter" 0 -1 1571318769765 ""} -{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Fitter" 0 -1 1571318769766 ""} -{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Fitter" 0 -1 1571318769768 ""} -{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Fitter" 0 -1 1571318769768 ""} -{ "Info" "ISTA_TDC_NO_DEFAULT_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." { } { } 0 332130 "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." 0 0 "Fitter" 0 -1 1571318769769 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176233 "Starting register packing" 0 0 "Fitter" 0 -1 1571318769777 ""} -{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1571318769778 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1571318769778 ""} -{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_BEGIN_FAST_REGISTER_INFO" "" "Started Fast Input/Output/OE register processing" { } { } 1 176236 "Started Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1571318769780 ""} -{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_FAST_REGISTER_INFO" "" "Finished Fast Input/Output/OE register processing" { } { } 1 176237 "Finished Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1571318769781 ""} -{ "Extra Info" "IFSAC_FSAC_START_MAC_SCAN_CHAIN_INFERENCING" "" "Start inferring scan chains for DSP blocks" { } { } 1 176238 "Start inferring scan chains for DSP blocks" 1 0 "Fitter" 0 -1 1571318769782 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_MAC_SCAN_CHAIN_INFERENCING" "" "Inferring scan chains for DSP blocks is complete" { } { } 1 176239 "Inferring scan chains for DSP blocks is complete" 1 0 "Fitter" 0 -1 1571318769782 ""} -{ "Extra Info" "IFSAC_FSAC_START_IO_MULT_RAM_PACKING" "" "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" { } { } 1 176248 "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" 1 0 "Fitter" 0 -1 1571318769783 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_IO_MULT_RAM_PACKING" "" "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" { } { } 1 176249 "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" 1 0 "Fitter" 0 -1 1571318769783 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { { "Extra Info" "IFSAC_NO_REGISTERS_WERE_PACKED" "" "No registers were packed into other blocks" { } { } 1 176219 "No registers were packed into other blocks" 0 0 "Quartus II" 0 -1 1571318769784 ""} } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1571318769784 ""} -{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:02 " "Fitter preparation operations ending: elapsed time is 00:00:02" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1571318769817 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1571318771421 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1571318771528 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1571318771548 ""} -{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1571318771902 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:00 " "Fitter placement operations ending: elapsed time is 00:00:00" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1571318771902 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1571318772156 ""} -{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "0 " "Router estimated average interconnect usage is 0% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "0 X0_Y20 X9_Y29 " "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X0_Y20 to location X9_Y29" { } { { "loc" "" { Generic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/" { { 1 { 0 "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X0_Y20 to location X9_Y29"} { { 11 { 0 "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X0_Y20 to location X9_Y29"} 0 20 10 10 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Quartus II" 0 -1 1571318773117 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1571318773117 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1571318773175 ""} -{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" { } { } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Quartus II" 0 -1 1571318773175 ""} { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_TIMING" "" "Optimizations that may affect the design's timing were skipped" { } { } 0 170200 "Optimizations that may affect the design's timing were skipped" 0 0 "Quartus II" 0 -1 1571318773175 ""} } { } 0 170199 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1571318773175 ""} -{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.20 " "Total time spent on timing analysis during the Fitter is 0.20 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1571318773188 ""} -{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1571318773261 ""} -{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1571318773780 ""} -{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1571318773832 ""} -{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1571318774385 ""} -{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:01 " "Fitter post-fit operations ending: elapsed time is 00:00:01" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1571318775001 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/output_files/ten_line_to_four_line_BCD_priority_encoder.fit.smsg " "Generated suppressed messages file /home/timmy/Git/Learn-VHDL/CH6/CH6-1/output_files/ten_line_to_four_line_BCD_priority_encoder.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1571318776721 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 5 s Quartus II 32-bit " "Quartus II 32-bit Fitter was successful. 0 errors, 5 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "535 " "Peak virtual memory: 535 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1571318777008 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Oct 17 21:26:17 2019 " "Processing ended: Thu Oct 17 21:26:17 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1571318777008 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:12 " "Elapsed time: 00:00:12" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1571318777008 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:11 " "Total CPU time (on all processors): 00:00:11" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1571318777008 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1571318777008 ""} diff --git a/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.hier_info b/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.hier_info deleted file mode 100644 index 1ce7b501..00000000 --- a/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.hier_info +++ /dev/null @@ -1,31 +0,0 @@ -|ten_line_to_four_line_BCD_priority_encoder -A <= inst3.DB_MAX_OUTPUT_PORT_TYPE -I2 => 74147:inst.2N -I3 => 74147:inst.3N -I6 => 74147:inst.6N -I5 => 74147:inst.5N -I4 => 74147:inst.4N -I9 => 74147:inst.9N -I8 => 74147:inst.8N -I7 => 74147:inst.7N -B <= inst4.DB_MAX_OUTPUT_PORT_TYPE -C <= inst5.DB_MAX_OUTPUT_PORT_TYPE -D <= inst6.DB_MAX_OUTPUT_PORT_TYPE - - -|ten_line_to_four_line_BCD_priority_encoder|74147:inst -DN <= 68.DB_MAX_OUTPUT_PORT_TYPE -8N => 63.IN0 -9N => 65.IN0 -CN <= 9.DB_MAX_OUTPUT_PORT_TYPE -4N => 57.IN0 -5N => 60.IN0 -6N => 62.IN0 -7N => 114.IN0 -BN <= 8.DB_MAX_OUTPUT_PORT_TYPE -2N => 55.IN0 -3N => 56.IN0 -AN <= 7.DB_MAX_OUTPUT_PORT_TYPE -1N => 53.IN0 - - diff --git a/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.hif b/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.hif deleted file mode 100644 index b5053c4c40fcd2e9cd386665eb3c5a7f31ba389e..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 414 zcmV;P0b%~E4*>uG0001ZoUM^vYuhjshVKRY4}#uo7j`AtiId$mP10sdlXmI6OQDaW zMc~SrEEh_<|329Xw9rwyFeD+)$IE&3=%y7uv<+S?aK;j811iBcwsx3iDNPBUw6@Zi z4jHE8lx3%k&W3{~8 z-vlKI-h^ldFRk>#Kj3Q-whc~_t}=7_ulc>Ayqqo%WeYDQuL~y_T(IE*1#rBw4tS`! zsawAfCg)SW^S1V}g@aSI1|Ky|sJ-|JNXw`9>(YvUfQgworXnd+3Zr ztpaXr1^@7ZRBD416HHRdhB6xg7^>vJC?kqtPKeA|{K8=zU|j(3M8)e}_qF&DO_uBP zuY5Y&EG|}jb#}E_U7)k?w`=q}wr?#&wrbnaeMC=v?oKFQ^9FvkaXg?Nxqb#;+mO I4=<%LCjlAC00000 diff --git a/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.ipinfo b/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.ipinfo deleted file mode 100644 index b19e3be175f30fec0abce2c24772f0962e31a465..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 162 zcmWe(U|?9w%?KnJ7_xxS7)Xbu78Pga=P4K(>lx}9C^(g7=Al+yu z8Y={QDTJpcDY&L&mH?G - -Hierarchy -Input -Constant Input -Unused Input -Floating Input -Output -Constant Output -Unused Output -Floating Output -Bidir -Constant Bidir -Unused Bidir -Input only Bidir -Output only Bidir - - diff --git a/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.lpc.rdb b/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.lpc.rdb deleted file mode 100644 index 45b47e5f2e16cf9ff5fed3788e2a3eca5ffb8b5c..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 399 zcmWe(U|?9w%?KnJ7_xxS7)Xbu78Pga=P4K(>lx}9C^(g7=Al+yu z8Y={QDTJpcDY&L&mH?G*ff&Fb1EuGJ&4UpAP_YWeIsLv|hYSQ-XZLnJcz-GMT#};* z%iW5GgDqA8YN|8!s;bqOO?i3E;nSq|zHw@+SMemTn*8S7zQ${Iibh6kn@lG-v%k3< zVJ@t!zIkfu|L0R)s}|@MxymcvJN>cW622)C9cv#+Kh%FUxqQ~MSKiVM z6Q#~hKO}GKzjEhC%~0u|;mK=`?W{X>)$QH49=^pZdLp-XtrGZ elj^7Ndo=S*b_o=d5qk3Sxg38eFEF(J{|5lavY6ul diff --git a/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.lpc.txt b/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.lpc.txt deleted file mode 100644 index a4638048..00000000 --- a/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.lpc.txt +++ /dev/null @@ -1,5 +0,0 @@ -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Legal Partition Candidates ; -+-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ -; Hierarchy ; Input ; Constant Input ; Unused Input ; Floating Input ; Output ; Constant Output ; Unused Output ; Floating Output ; Bidir ; Constant Bidir ; Unused Bidir ; Input only Bidir ; Output only Bidir ; -+-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ diff --git a/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.map.ammdb b/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.map.ammdb deleted file mode 100644 index e93ac1af1fe66612e97c409c5531b892acdf5bb1..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 128 zcmWe(U|?9w%?KnJ7_xxS7)Xbu78Pga=P4K(>lx}9C^(g7=Al+yu w8Y={QDTJpcDY&L&mH?Hqfb}ylltF1GC=H?-pzI39q=bY75a<7Y5F5e*0MIuV4R5F*Y(F zF)%MOGcPhQF*6`jN+4HdVjx9iX>@6CZXgB#0000001E;D0000001*KI0000000000 z0M-Hk000000C)l1R7*?4KoE{v-y;43y?CwZi=y7N1uGQwP`xf`vTYZW-Lh^Ey$Jqe zkK!xNY%?)wp&*Ka3)9*8=9}3s`)I*&oE@;WZ`vZ%ZzZ;u^aAG;@COFwaV$*SzxB9} z8(`HMyE1!TwX}pjHUDsF9P=q{SLr)uFgpzlz;gW?ya%L3ksga%pr;R2X zYN50+W5%Vg04guFBN z!1#ktLM44x?e&hzs2gn`49CjErSB#Qt;2VR-;V*FHP+3HEVvHYY@Cv_AkMS#*GP|v z9;|vw=F{hpcEkxK$>)+3y!}|G>Hj5KA?NY_BQRGJ?a^EkKIfTERGD29-z&bWTn>XA zkW~Bv@dE$=|NnRaVr5`tNMT@LV6j^f&j_TMfLIxbodSI0o%}=nTpWWU;{*JILgIZL z{T$t0gW_ErLmZtPgI$>zbb#viJ=M7)0Hg(hSQ4tw!_&pZ)eluWGs6U+Z2FGu4Imqs zftU}fI>0d~#52Ux-;afX1t>6f3Kw z#Ny0kAhRelFWn|NBe6&aMH(a$Us9BqSyBv^wbSu+^^Fe>@rifz@$q+d4DkMR8-X^t<5FvJ=`Qs?|O$Gm%_9EC{%L( zBLw)#{wMy=!+HMX5o{|t7Afa#1rvSUO0KiGMP;rT7z)dfgm1#R)J25YED}}DaJWJo z=`JeTL05?PWDifp8f5LVDN$eL^TUbvE=WC(6Q0@#AN39#!{*`+bLM#Uu27q%Z5wap z?-%UrIcI*iwaq6SrfyYgxgS(*g;2ga#eUg)`+27sJwu^~`(k4jHpT zcyKHlDStVkY!HJ7`93jR!+} z*OwVz=sntB+T3~?n2Xxs0n^RUX^d_RvS%$FDvj3CXLx0&PO0vbP)7nkNXqm4$1u~_J7!553$#lT z5EB(wjzIbHPe^zbEZK%TD@k4MlL%W@A~U(kj8FyKs($wgh`&NmTipk7vTjh}oh57Wkg|UFsHm+g z@-aW_YH5mOAq)7FiL*cSTWefvH%aj5eVWe9cf`m833PWXtN05%xh;H-Q~t05#u|Yq z>lffYo#YtGqR)z3?DU51xin*1TXo*?aiXXQBf}5|jqy_}~O%v-wD0=?>Ym=B&CvDQym4LOCwP@nO7fd)Y+I=+P zFht#6(%HcUZ&S2B=!-P~_g5SYlkm_5FwN>l9;%Pu7L1tDkw$yuHKl7f>KiSX4pmJ5 z#i4*82_okVoJAF1TG|&yHBf_N7tb)4&`ikw=k0oD%U0m-LBVgp>5PsKEh|t*FMSRL<%}lM0UB660Q=2c#lbfZ!7zbunIQL`uWnGnv-p zMV%a<7^iQO3y1B70$(Gr7+%G%(thD)IbIb_TQzUY1I{$`tpE8yQ*GVe4Ll7T$8BeJ}NMmqjIW=dK2i~Y)QRzUj z(GhL837w=lExLs@I4C{x$(+{v0U27LvAAmvFt-}q#uzA;J(YJg;}dTCj@;@^j5SK%|W2;%g#(KkH3Z^ry%yg$T2CoJ=7-?cQ<44z#lD)hYU{?B^~}T{&euc z__v@(0c?vLgJ`)V{ab2zNDN2Vqt!jMZdT`}t!m`sH-ait3fK=b(X4W0ysg7yq*L9N zNkgK)angmcoqi{YLo&rO*sZ+cTs_;@%_i72*Ph(6pEAAp{1Lfy?@PswF>y3(m>Pt% zK0h&8`=4RqDJAzB=o;L*wxj$(9=Wem_c^kr$^EYXV^qS8$H43OP-dtwe}C7aAgEh2 zz?A8lUv1{;svkMm0m(3UYQHl#uYr1{^GxI-aM?r;ucsmIA!sgEiw2eRZ~*G)GtB^V zwXOJq)M1z$YGT}V&Zw53{aI*fKJ6J{hEy`1=&BrCUhemWlT7VM&A59WD1+LP)F+PK zesb4tYJj zl*FdVFEo2V_XnjSv}Y7sPSg)bqWNIArdft*hDcxjpUbT|kRSzkBa&<`jb))tT-)G6> z362t|lCu1xBu`-JD)MNrY||WMl~l`)s$+4NMZe_%WM)1+lk!^Q^Uco_jO=NhHj&l} PK!C$dEyoR^e=hdFG&h-S diff --git a/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.map.hdb b/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.map.hdb deleted file mode 100644 index 58e6853d6cb5fcde3b3b72772a5b3262954d868b..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 10763 zcmb7qWl$Wz(k<@p5+nq777gwMchAD&?(R;o;1b*+xG!$O-O1wa?hY^a-S_@}RbS22 z=~Givr>B4POn24bK|w)Pp+f&dC@AZHgY%!&GIMsZaKDwVZJ??zo%DcM$P6~Jjmf*(IA;`>q=_?F5imbbxhU|Lo=W)-Zu5$?s@(2so(FpOi`P+gV zU+qMPA}U^J~eSA$yCgR zqS^gT;W=Q!zAz{x&(B6gtq&pzrLyLuOu`=$Gp>VJ;fO>rEeI zO%QT*ok5(Cl%uKyi!G=Ecg&4UbB3r3MU8!ea$9;=Xn!*|7f6o$1gL^Lrq!W$Nq(Bz zpNd#3dp{U$#Y_6&QLJWtct&_q^SpE;DmaQlI{vzU3^tse4^cDZ(^yR^K~0KTS2 z?u`>(bfL4DpT!93rJi10&lelH)iBA=Of|r`(n~UqbE?a1oZ*PeVD2t|BXen6IVOT_ z*NUOjCy4Gu0~$;&3m6jWzX05#;NPv znH#-VPR%D9eAG+$mvnBuZqO$Q<3?}2+Px<<=JJ%JjMs(C0Crl-EC5bPY%ff|VUaDJ zBZrnRTGdYLF4Ke^5!m}&6!s%p6eLXQ=s2aU7eA4&35xA0?5`eV7Aug9)f2#QE~>bB zNz&G)h;pW{DuTpOY?Gjtu+`j*O*@H}D5ZI#5)_S18;DvpV!qRg&Du~=qHj>EB{56s z;m~gn=h?3_Ui0V6H%Dd39@bZJ<1m@!HK|$NXsNE6xi*F4YG_36xQs2G*sWhGU8g;IyP*7P`rhSXhk-+WyX9?hh-(+DDKP=^Bgd4c0n zg15@sne-Ouu^6!r%4irxca=S{Ri#ziN)WeND{F*p?BPSd<9=_8jqMmUKj=JC-25C= zud@8JUitpsp!eJTt)EF>-f;U&3h5(&KbG8hz>NeqRJ+-0YIDVY9lra;<@Z?bn%hS! zLF>YP&d}GcGhb(SsyH$v{i~~Umo6rx+MoC)S&CWM_yo+kwhM4^Wx~CN1u{z^ta>t~ zopnj4<;wFsRkk|I@jaRftXH$~p0txb%A3DjaswpyoN2XDBhB^?+X^9Prd+?LnVn#l zB|kWi+@Dq?+c5k9fqpr_yI8wFACNOjUWp_2J;S zH^iUMpFA!XnL2kTFkkV<+BF2%{Aq8mJwgJ)lqyXMlsZ_MG{bZ4>H9JMitl&fMVO+_ zHJ~28c;xL(e4?Gb4jo}@Ufr3&>Z}Q}eU6E&-x=N;U7k527TAG*z14-tDVsOqv(b;* zowUYF_;>S|(XVQ4?rQD-WwMMgA3;QRuV#J8-OAPvnLV$M*Lt&67 z9DoC(;|N!SV@f2fF`u;X4~13Q3)e>YA?sSIyl_N=ZQ(IJukjgXw0KA8WrZH=;hz#$ z67j9dlO2i)N!SNLY1JL3h3>Mgs%VZOmTUmF;u3>g zJ{xIODq^5gp4UY!BDajSu+`1o>lQj zwQ!&Il*gD2c-i}txbMz1$yFo_Dr<+BMg6e}yrI)MI|5#)M|bEy(7{DsC@UcyXZet8 zstb^9E{n?KvteP@^{UK4iOVQHk?0Dr$hKtyD+K1*9HCV)NVl&8k#Obdn&a0l(#>AqD2KQ$@uqS=8sq zJ74Qyp3)jm%?A`Pgx>p%>DpK*&I`X+!QYzF=yQ_DFV5nx!MG}v6cvw}W~6^hC!a=N zbd|f=q*Gn?d(u>(`4>Uk45xJ_QlE! zMM6J}Z%opMVp?)Z@c?eUrOM8(bKU2T3ti9YZ6a_h9vh@vZgYixP7C3G+Dw+8EFhh4=m}+U4982ie&e(FP9hzCmrt86W=jYZ%E0k^&QGp zq1w<%Eg8>gY5%+rJ7Tz!q2b{7|8uIS%Zg@4Dt%G!LzFF94YxDPqTv74)u@Z*hlLKB z79)YJe|d6@+*N)x4{4g7MKnAs4ZeVu?6!JvnU;^8d;QWMX~G5gDWKe4Zc%sgXr`-? zaOx;}Ve=LENFTY?Xoq?)RgX8@c-cM2xT2w{cVC7SsFU3vhoeyDq`_jivQ0NmFuzCr z9*s{<$Y}TCY-?^4(im%l^nGIH1d6-->>_&`_2>6&q(!q+oP{SlEj|NVzm9qSOb0tb z`7_Mo(T156J&Th7aGToR(jfrsFI#2r(hr(_8{S9M&sNN-nq0hBJF&ecVKyoHIjNqfcgG)2gAVN_pkDJl~?fp?;@nB8O+gy!u5OZZRJ4 zSEm7HYQc2Wf-ufbMLE9NGhGySl`jh>}sZDzf9hp41m7Vi^0yD-Z zMtQ~4s-i;r<GQ;Q`44Z}X}V^Q6#)Oaw#rZpS>NE)8|tj-=}T$w8A^k?eaBMW zPDcmixit8#P%tN@$)co&Twg_5Uj)3MS?E{1PFFXxbZooxvubkfK67COJ6$+~lyl8B z?9JfkyTy*KHL%2Q3}rBVA}5{i#a%3O$=K1NdPh(`)9iGSpqAmp>Z0~n)NzFa#h{@M z+U)z@Vgp6^ozyj3^LrJ!$lmgl)J45RbpRpx1E=51Xz-4)`FDB+iwaayHZ1FW6XX+H z!M^9fpL9EEf}FlG@+2G1w_*YcKdz?mDXP7-Uz7Dk*3Pu;U&<9fw3>4(-c4Kb7yd{%?;AkdsSI2Ii`M>Bl%i z;^%yS)&gIWwb{^YOEK=L`!okyV#LCHK8`vJUQ-$rER zMTG`#<~)EGJo7xY9EbleHcVAy9KeFwBXMQNqPYl8CAMz2#`idYe#LEBRFJ~JqYcdQ zOT_j5O|d0(%8P8XNZ>*38gB$xy=GE^oRt93A%c*Oj{tN(iopm z{_e(CKjj+_koXt!LjgvKVSUv?RN2sLWyF~!M*|DA;u`#Dh`D~Ks%2tJu>zAM z3~`Yiow>e8*IVAkIUcDT2Z# znv^V4gOeOZ(op*REb}mrQbo>BOdysh97?P+06iWKCAoo+h_(ZNgHM>CHhplUF)^fm z&DU&2rLMH*R5Mv9wt{sHZI%`447aQPiQZ>j8!R%KbC*IET3_mCcB2BG&<2x^8r-Fv zMb@YJn!V(WyY>?>S`y@~t2#n`rj^Y@WqG(k%2F`clbJ^JQh@ayccZFyqk>UDC7kwJ z4pQFU>2V)`5wy${Oze^M@20@s++x*{Xz zP!Gx%?)gHw2*lb{jf8pdGNdV@CpW*G>N!jxG+Cl>on9+6HnxI9F#M}qGEL{oZ&%Ld zQblW4g^lIoafqDD3!dlDzBI^??Xe+c)um0RLdjAF!<23ur%@{WJ4ivW@08{ra?Qv( zIeH$TCmhV*s$UruM4Q321K-l|2UEqNN$vY-k2&G0}Wm^6b?){?^eo0BU600${=4d^mc5XSrR;P*92DbX4fhQ>{{fb#af~Ib8?rC@~UHGbE4|aifeXD=~!`f0&uT? zC}{r{N^}M=qs5dm20tn%eVL*vE&{>W1NreV3qkTIIkh&rhtmxf1L3=GYTehhI54 zE5br@Z;2J$!r(aalz0G;N*5@TVx``64%*9~{8SYo2brIcSR<}G&aXzULTbk2{bPsO zTw>3#LN_>{{>D}NYlYlCbjA4m>nO{>ncu$%oR00~ec-Db5g2>=s>)W3?JKllwiX+4 z)Tqb>E_5d|P;Lo5e6!Az3lW=MGiy_F&~>4{4u8K2x@}9SyE|aM$ju^>&*kRh=UW%y;EN~! zPsfKxs7J?R{~W2eKiHK3S(K02VKdHkzmRh)!fk2`R=`VChVf#+EX$Ze`8iK-uV`BF zi8!8out9O0v+TQ=Fso3~Xc*3}^&S`UwJC>l3JMPLl;$=;l~z8%;EgCzsL||fz7{dE z5B6lq+(f_jZ$Eae7bCz7|47Qtz=@ zEke&Kx)5RsR4vGth=9DVN2Pd9`ZUyOj>uNVtQMKr7KhzKqIviiklNV2EQXi@-_6E7 zEM|!mKqTU^nfmoFsnDmsKaqiT7geV=+7E(t6^Yoy$1MbW>z>NCx*`A`ej?@K`4>&% z+P|6`#c{@U-qE-E&U1#GAi7{{V@EC_fFS>`!EpgDp07DyEfpJ!d4FQY{lrwZmBoy$ zrXVHyG!=OnT0NY?+-aaC3=!;1Y_?_0U%6)t!r0|D)a07 zLuW9dqIF+{bbJoVxPq0I`JVY=VMeGu@Eip_#)(wbT}}>Kpx|NN*3Wyb3I9S`$XG(i zV2R$1wG2>&3}WjJLISVeN>lIeJ+THepT6vh6Ho1! z)#94g;+oYanbt}HAjZVDk!V_9LKa3rZUCK5v_;AgZkTY9Avw|J7~MFud$ELZdCA|H zktzX9>ZcU|NV93J1MFasm0~^1LTS$GT;Hz%q;|AIq@FtI6Na5V^78elHu2DBL6t|Q zmhJqe{(Dy9(*pn`U<|ZkTD!yX)v>9XV9afZh#zs1PAMKn87|(w)-Wa;4zJsHsh7A? z$SSD=Nrh|BY)?92m6o`{ocNS1unxbo)T0=OWzp?tH%{3DE2d@|P_4x5HR0VJ+ZtX4 zro9S}azceQ(oK13QR$@617;ef-Up?k}}~xBl`RSpEs0ONtgqH@8np@T*Sg zCJ-Jh9vw444#!Mgi*Ak?R)}VR`QsmmG}EWfG4bGDeRjNc{*Uu8uDSRpCE|u|7~6pO zyF2O~7NM*2-SV#c)N{|#w%2!7EV8UG$H(X27uM>{70XRDKk>Gq(FBvhCJS<&AK4vQ z+8o(87-{1L?I}t#Y-oYt*S~o(+jN+!Hz^JRkck|Ax;Ne47A?~52#uU4`>E*Zat$!5 zO!Jn-uA6%N(DOafN!MHWd-mO5A6?E3KJzezA&pV5H7Oe3Np6N}Il4qgy;6-#D*BK) zqoBev>H$x21|3CA<`6mt^}Q@sgKa-}eKIGsitTo^<$eTI`frYbETG)!lZU?jUHZGS z@R!u#M5$`8rK!BVp{9@jQ>QlVwqIbY%)tY!=54KyIfLYpT7<9)ifRCZs!8U z;63^DtiHb&Al+fQC+Mx%nAHX(_jWOd0O>-`V3KqzOETF}iP&AgvtKZpfJs4u>I(-J zdPvO?=^c#%wcqv1sv>K2{lazkWF`?3%@%!NeV}&jhjK)>rrfx@(;}AEMYl*8z&Il% zA1i@{nh_@TMh^@y?GD(GuRXJZ6Iw?v_`Oa6psNGG9&`@qC3yThaRclbyAaMNI3lv0 zHd)77ERP5*UgZb@g$RM~5dz{7nQZ-J$XQ&V9Vt*8BTRc9(&P4OP<(Ep4v+e zJ-!w!V>a%OK;#f*&Q@G+6iF6fDh$o8JZ4N-5?f#Nk1fbr_%xJgW{NFXnjJ6 zI3@eoWXe|eH*}i|Nq=33AFZ|8-wU{NJ-F}L!8x*SJWWWFg4% zY*IgM=Ie3H1;KF$vm*205PzR9sHYk_oT#ng-?+)pC(nN|gc^G&D!lz_Nl#jMsa!t^~YW@!fi zaWJc;gHjKf*lyd5#vIJMrFKL!Jo(zM4mEuwU*!Swv6*ij(h-AkJ>-aI?dpvn;OY%j zj87i~z0@PR2!=gGq1|Vo-M66)I&G|}Kk}>c$r=6`hmxB{G>`gqw#`w|wBk+x%1_A0 zU(tlwuAypMd6W-jDqW4GwjZTAL!I{t{8G5$CfiKt2-DuFKWrZs14IRForNtkHbto* zrw{Y=SjJ1Q_TD^J{G7R(cbYZPwg_N1EB@B3Nrl&qw+WU;p^ubN#&)tH%wL3}iNJUd zjA)0>2AKO!Xi(k=0d$(t7Qckt!O&ibQ8?O%RHh&cDIy2}sc+D*k2|UGe((jAu3z*n zzf?|jnmxl3R}j6hB$6n)1LYe=tF2xavLP!;^2b8ed8ha<3>RU=m5vf9<-qE#}5 zG(x;Ffz5wJ2(RE>ad;~hsK@qKM<99|GI7E znx5w1*1w2siZ938Sf0Y?nL1#0P$$0-R(vN@s`>Z6h>Z7C;>{xTVK(%sO3OdM$+4qU zr}QeN^!ihVtkH+8!4WAhC6=1yuyE@U{_1vs=IM7uSW-3(c1Y>9PwG8~ifI;9Qf#`b zR?=7YIZt-#KxH3syjK5wZvXk5Jl*>NWoZpDYsKOrS_Lyg1rvtm5D#+%ggFL^8wSOV zg5pL%BCz9jD}~Tbg|XQAvH1C{2y&Z2%8|eP^af9@iBIJL5MI+-+D5hMX+I7QB+wAl zU~zPlXJ~h)izbQ9b?-~ops2^E%MQ{OcQ<{XHut4^??P5~_xB$PKXU)c!wfQjg<5%* z>^lK3%9KXqkZl^Cvz+)yp>f=oNeo|_!IlZ4j`F^A9wY9 zx0ZXawi4{M7R9e{+2S?1QDDs4g_BUn5EaLq_6ee2$+cN zx^a3jYj=!>lp|Df@$#$hRPMEpyQsGB9H{2w1T}&(ox^GD?^W&zRWG2T#LUlhYPNTK z?B@SU{{AcVn>R4n96r~KeYr&uAroh0w=K6lg;Vl(I@LTY)jTt{wKldjFSfM@wlx^3 z=?79%DN+-mO7L%pe*dW*)x0p(d>3F~KjPOJn>ZPz_ym4F2Amw|Z(nD+OUj${IX1bi z9hH&mLXYkr-=1lUv*tPD9^j$J1|%cs^oU1w(@g z8;_5bwn?#k3s+0FlVcbV4SOF2`a-x}b=m5=qemPKExCnxcoNp-BI#j|C@ z^0DhTVBsyIawfaZLo*}{FS~~A;3^AVh2s&8YAQNu@~K#VUJw>tO|nzOX(COo>T-l?-j0m=g_fpjum)UCVU#i@3#5L0)yD1GyG(GhQTK zJu`qlI~j95+hZS-V;>t}9}8n2`(qztV;^(m!3}m3nJ-banmgHDd-e@d9!4BuUxQd0Sy}K-5G(x-WM$_0>Y^1x6yFw$F zLx5IKb!lcYYBbheOT)dfp`gC77T@eXyw>jyjpm1>(WuY9nkeqpnRG_={nn|mZ&<(& zzJ3%X+pq6V|_$ht&&PCr7roCsFb^Opgz0okZnButi zLK(eI3(OZhcVXP)gi`3J-cpbh(($JPr+raRlQRcLJ_B5GJ0<|Y(quyTbYIs_ zU9E`j$hjBRPOiy;_V^BQvt5&GXEMTVBc?ARbA&vVRp$?zF3^J(RU7x~*Nb!asb7J+ zeg{+P>hodm9LGj`2yPChCW^NFxuqW zeDaNCq5FUlaWNa6>v;7(+IbRwvxf>QdHQ7$T}9tAxI)2wjJjq2;F$4o*HXY2dNyR* zTW@VP$)H%Htmss6Ks36|F+^nl)i7P3l|Fr_?cE8p^r%6f8S4}=1KuHdBUNfsokd?G z=>lHh_xeKP$mD3|LB#1!iao{DokEU8mkDslMXA$pJk_QKr=v`U<1EJK_3rV(+}7*- zboMFAD(@iEDD#`SJqFt#!3QQ3)W?S>bfvb9EuJP`7fbQpo4*L56@IFe{%@A#;0uH10skh0Kb$6{nMj{g#!xilC$f^(yVreQ8Z1d3>1qsXW zzN%jZ*UtBH#3m1lzgG}(D@|-merVh!t7wRqe$oL6MCD(9t|So6e6Cr5j=5A9^5p;r zH-*?b9TbzA2%geo+PJ6*CU5;<(!68)BRNXz2{ol4Q@6cZX1cR^lW;xJZqX-*I0*5D zkW_0Wl(USn)*xb+kz5#cblT=;j2IPJ^?$Sd?Ne>+kzImXUFZIwCI6?!!siPN73F?E1hRmxo>r7UESr&Nw_$J8gk(Cyp&3 zUL_eh|8X((@ZpXFRKbt{i1AHCq>IPt7Y;XGbMTTQ!lm;dn{$PNc(j?hKWkmZ&M-p- zUteUrufmTyejCMGS=ZzK`whmBk1@MigJG<>GcG#hq*WXa(%`1)a!>Tj%AKq9wD`~>5j{HYHKPrHsb}!B;ekb}E=jG`Rxcwj)FM!u)I_@6mbeh3) z2wDren_{tm%bvgpdfn~Kgp)YjI9$BdaK^}1PSqC7W#(}OWa`^+rA!rvLYf|*RjumL z*l45>S_C~0p^o4*Z~O3xI9mry$x-{#j{AJ1DdDgIsNDLBj=)|NCsKU`7;#TZ*!=~p zck?3j@@f_Qp0*k4ua4S3)I>`rc63NW`?h{X_4Pd_7-tPhN!EF}(K`V-bvSd(u znIL*t{tN5@K{21bC?cIJx3&tPETIH5(kP@J2Y?*ESF{t$>&g7^JzF^>WTH&X5(kZRs9 zr1R%T=S6Q3t2cQ|8y7|6EUcNAv!IlnFv7zq_vp-K>@K68CS0Pl)PyUXbt$Smj;;07 zUa=>c1Q3w&isls5R#Q_B6*2jlqD?d;Fw%`w2|AWbj;#a_^%$w+^F+bB1yrNy$5@&D z;fMZta9IJX&UM$7!$QrZbk|?hJ-uG0001ZoSl(PYr`-MhVS_m!uKX|0y{N1blai3jY4-c&a5!g#&%_; z5a^GeJHtNM24hFP((_25^&zk_12{clCm5YNT8E0g8vRVU*xcT!K-QDxMWK842C1BM z5O9UhNYQ%N30X=3r&$xv@gsfA0c^L>Hmkuh>~jlbTgtMA&1{bb z0iJMxdt*6`ioO6?A8=8@TgOpFWZ)&FiwQ@hbQ21Au}G;CI#Fey`bzvRVbU(u`Q9Ga7q7G#n=*07Z8`t| diff --git a/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.map.logdb b/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.map.logdb deleted file mode 100644 index 626799f0..00000000 --- a/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.map.logdb +++ /dev/null @@ -1 +0,0 @@ -v1 diff --git a/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.map.qmsg b/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.map.qmsg deleted file mode 100644 index 17e5affa..00000000 --- a/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.map.qmsg +++ /dev/null @@ -1,12 +0,0 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1571318761054 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 32-bit " "Running Quartus II 32-bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition " "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1571318761057 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Oct 17 21:26:00 2019 " "Processing started: Thu Oct 17 21:26:00 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1571318761057 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1571318761057 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off ten_line_to_four_line_BCD_priority_encoder -c ten_line_to_four_line_BCD_priority_encoder " "Command: quartus_map --read_settings_files=on --write_settings_files=off ten_line_to_four_line_BCD_priority_encoder -c ten_line_to_four_line_BCD_priority_encoder" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1571318761058 ""} -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1571318761471 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "ten_line_to_four_line_BCD_priority_encoder.bdf 1 1 " "Found 1 design units, including 1 entities, in source file ten_line_to_four_line_BCD_priority_encoder.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 ten_line_to_four_line_BCD_priority_encoder " "Found entity 1: ten_line_to_four_line_BCD_priority_encoder" { } { { "ten_line_to_four_line_BCD_priority_encoder.bdf" "" { Schematic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/ten_line_to_four_line_BCD_priority_encoder.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1571318761620 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1571318761620 ""} -{ "Info" "ISGN_START_ELABORATION_TOP" "ten_line_to_four_line_BCD_priority_encoder " "Elaborating entity \"ten_line_to_four_line_BCD_priority_encoder\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1571318761725 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "74147 74147:inst " "Elaborating entity \"74147\" for hierarchy \"74147:inst\"" { } { { "ten_line_to_four_line_BCD_priority_encoder.bdf" "inst" { Schematic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/ten_line_to_four_line_BCD_priority_encoder.bdf" { { 56 296 416 232 "inst" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1571318761736 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "74147:inst " "Elaborated megafunction instantiation \"74147:inst\"" { } { { "ten_line_to_four_line_BCD_priority_encoder.bdf" "" { Schematic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/ten_line_to_four_line_BCD_priority_encoder.bdf" { { 56 296 416 232 "inst" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1571318761737 ""} -{ "Info" "ISUTIL_TIMING_DRIVEN_SYNTHESIS_RUNNING" "" "Timing-Driven Synthesis is running" { } { } 0 286030 "Timing-Driven Synthesis is running" 0 0 "Quartus II" 0 -1 1571318762741 ""} -{ "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "0 0 0 0 0 " "Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL" { } { } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Quartus II" 0 -1 1571318763235 ""} } { } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Quartus II" 0 -1 1571318763235 ""} -{ "Info" "ICUT_CUT_TM_SUMMARY" "19 " "Implemented 19 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "8 " "Implemented 8 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1571318763371 ""} { "Info" "ICUT_CUT_TM_OPINS" "4 " "Implemented 4 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1571318763371 ""} { "Info" "ICUT_CUT_TM_LCELLS" "7 " "Implemented 7 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Quartus II" 0 -1 1571318763371 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1571318763371 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 1 Quartus II 32-bit " "Quartus II 32-bit Analysis & Synthesis was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "371 " "Peak virtual memory: 371 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1571318763390 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Oct 17 21:26:03 2019 " "Processing ended: Thu Oct 17 21:26:03 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1571318763390 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1571318763390 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:03 " "Total CPU time (on all processors): 00:00:03" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1571318763390 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1571318763390 ""} diff --git a/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.map.rdb b/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.map.rdb deleted file mode 100644 index 2e5191e1e164709a70c64920aac31ce4fbe7018d..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1321 zcmV+^1=ji$000233jqKC0001L0BQg;00011WpZ4R5F*Y(F zF)%MOGcPhQF*6`jN+4HdVjx9iX>@6CZYv4^0000002BlO000000I>l80000000000 z04W6k000000C)lHR$Ff)H55(&ffx`17I;7i9f7oTMcU0I(yqF1-E0>XwM!rsh^NSz z@uWr`d*n-(;fddjcrTyrNhZC3kaz+yl4Fnko!fWL@#M9^VDL7^<{1tL8P+vxmWDUl zxXr=tJbVRj?_zv_@j3>iKEZUPm~5@Ebe|Tjau+ok(c?UaD7hw^`zvcz5 zz6pP0J(@2E7s*?v$^Yq!-$Wg5MSK z@Hc>Gx@nDAUpU&EJ)r#XFyEhy^C=xk#|@*IHfT}igjU&)+8gqgldkSK{=!m;Zd@yJ zT1z`3sn?>Q%BvzsTgsR!Nuy;ASzWo8%y0@@9Xu?BvvhPepHst(QvfC%*;dIYN-))u z_O9`cDj~V0%IF#=*sTZ#sM3b7b?BCCPpCrrGOSB}2_~p9dTm%;hb;|XMD1k^Fe!V` z+sK%;x)99ql1g3pnk$!(kP`}4>hu~y;XgNqTPs_#e)SVC9A!>NZ$M;iqvM5ErATaa zo^~|PlTUOC2Nf937Jg+5BborFqqX5Y7$0qTKSOGf8cxqUdV9$oFuY8Bv!;=vU@OT9 zbF{#Eom+-Rl)V)00@MOlixle!F)&B%Ci%E;5qJ#DYA9?xDkK#}wo7eFUE<;AJZg%GI{i5JSFt_Vbb|Arf)s^&09J-q3I-g_&qlkrI#RIV#=on z`N5c;dVy@^k0z9lA5NwZ<6W`%nttFbI!?8nWWp!wJ2YI-LN8d|-9SN=<7z2|;!CHO z70N2TJe@sTHbx-ju3d6f=#rbH>LX0&y4jcfl1n;P4v2rK@(rkb&T1jMKMf(Tv$l|0 zagcD5?0y*ShB8UDd_8O7qJ5lzA=!i{6-=S)l~D0wfavz>O3)ptPdlk+yhLw?J0_XI z)rEQo|GmUGEyMqzWaG~P?pazaWYJ`AXK%FtGlBQR23V;383hLP3w;WDX`Tr zRmf(sGw=Xq)AxV73Q{Et#4ra!RJr=N`nvjsa4-k}rDQ_#kIDdPkhL%eLX-uE1bOhEHj}zA@2jtY9UYXNLE4B>{xP`lWO>!DD%nF$nbY-EBkGBJiDpzi0P-nd|>V`xOo?kR=% zRY3{xpOBo5!dLzmuPT1sNqhJcH2e|ILxA~6B~W?_=zdkz8IR^(n96>N{YiskEITb_ z7|ce4&~yBf+kQbgsgI-z`cuAqQEP2@cu+8$!0zPH=>`J~8YK6bfp*^J4Jx`<979#@ z74yX#IU8-x4X3E2Ayu9FejPv;hre_yZZu8ouOCcPrv^Hbjbfi8~>|}t`b7`vM z_g}zt0loebrTTqA1naqA?^@x!!@7Z94fi_1{126CF4+Dtz8>A=fY+{(FN{EMSuUpE ztvC$*&Dyur{&&~POFD!f>S%&+G?QD#)nuJrBE(y?3~feAH_Xe?>y;&~?eCbO(Mg0O zvh81EiAb5S>u}w`t#->9Yi(X9MO7L?l`L(GHnvAeWh~*FB=!!u%Lh?Pw~CpQbUpGwAKWudcVEC1xLj} z<<#KnPO!Aw=>iXY+U>6Q>9;@QY4H+dOwRN#F`*l}yYERRBkf6YcfE4J_FzRbrFM($ zaqX6p6V<~QV6@p#zfXeTF%XalU}bkj((?{Z9xuQr9VKB$_b$CMca#?s=^sr zV6`+LAitvHffA6ZX*t^b$AI>hFxP4q_mp!U#~kVq1ZZI@bY zIHB4?7pW)$5gpkym;2O|NxbtZ>4Fdq(DF+!Qc@WjG4%AAfy4l#Tsv;IHEO(d>dW%3 zjhNVr)UCgAw>yt`o}I zTfXY=M%oRx#Ty59X#JSqH zw?eIKa?&p|MpiU5=BU$)VfG1>)C?;Kyx;ZwUM>7#=`$YX80V?1;QJRr@Q9bfzLIui zVW+x9AoenI+rG-d;t?1{8(g?IUWl8fWy(}jGe+f;I%H7`u#*;fwj;7PN6krEVqk5J zVn~JuapKYIEX+i6KGqhOc&$NRr=_0aY>IclyKk*LM$Z=&p;9#ZeEHEiZ4Kq)5F@wo zNuLv#G{-UjJ7uPERY->k>k7#UuMV)otml;7e7?wOG`WE+^CIgC?)c{@E9mIv+>8$B SRKa7jUbs4XuB-mnuzvs~zqN`0 diff --git a/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.map_bb.hdb b/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.map_bb.hdb deleted file mode 100644 index 35018894e447685dceb0e75f4eae36c5fdf6c5b2..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 9694 zcmb7pRZtwj79|9NO9<|6fk6fhkRdq1-EDxu-JJw?*WeOdhT!ftxP`$(aCZs1dGGz~ z*H&$H)xF(aU8m3O>N+i#009A^8WZuKML@9mS9tz;Er^S&wW9+KHxCCl2N#XFyS1G; z4L3iKhMS8M$ioTb;^v`Im!r{!n9@j@Tf6-m%CGi6gmbF@0wLtR`=9@hU1$7X-3#$J z2i=Q8CUtl?&NX~B+!ctf{F8H+*p17eIk1&c#5x-KB6F#F)LQqZ5}fxBS{&Vmm+g$?(PpNB?I#D7~%y zQ2VUYN7Hb@aBgj#lPDS>X)cK5Y-1%NAOr-ZWPKmOR<`>-PTjzu?@G=j!^9+0(zG2m zw5W)Mqez7;LOZD)~$!g!_R6Rjc5*AOiE9@kyj$%!JG; zd0lIHL3t~o@Mf5B8q51$ZnkW+G(kEKb30BucR>VU1#KjRhgMEiA^7Zly$@~5U&-(2kfiA;0T)9WW{ z*4x!nC(gAl%FnCZDXHT1H`LL^K#1ELed9OU9ZEFE=$-hzkvO)bxDESJL@5S`&AB_i zr%Bsn5$ibTAR%nr>~shi_441|sEO`FgRro?4@nhG6zE{7LH8t7s zlsyIM3h%NgACOxq+vU6|Y}qA{)%3bzYtnlm`NcxwNM*l7=$rm+z#2RRSBBjO615hh z(&bsVz!$fcP#d7Cl|5)6CSQa@_U`3|U2dV)YUhzrQjycmjVoLdw0-ABfAVq2 zlg!?{d!_gtjcGi-BQL_M3_`bX}ESlcE5*^hp&leKnRj_5(q7Z?01A~$#?}HWl5!vpu4`wjF7Eo)LC@4uaa%ewxmFWj zwT+xMAMJE^+TCC3daQFr%RDo;g_~Uf7(Co z(blJka(s1ISLId_DAFbxvzNMF?j(ZTl#jX?XrHHH`#wQNSRj_TZgtfpWo&UITDPY| zj={KIlfEmA!Mt(gSl0_T5$*bGMGq+gaWVnXPg;{t)boxG!*Fr$K*{aK;SU3Y@V^9f zj*7%IyTl%s6r^!y+oSe9I)e?!g=AG;DpN?zPI=Yf@h4B>Iif19aT?BhCU?y03mFc+bLx*~HE+9A4NY;Y42 zCTE=vW#Lnn(HII!czGTVclwUkk3O@ZZb85(rrU8v59CwlD}u)ex6>HuA?4K096UHf z>jWVAq4l(Sz4YZIPI2K^<<7x_8nb_IUUSRTxp{y(bn0U^ANlg6YwQaDePmcpbzDZ@ z5oi~9>6bhFp}suxoY`TjcQThq$6M%|{ls&=>!vPOhnd-nJlrK^dx3|3tYYf)U~=n3 za70^-SP)6(Qayt>hMkJx=0G0wXt7)WDV-L^=;~ypO8M<5Cgxu}^)+?f&iMre&O1Gq zni3NI*heT@SheJ*jz&uw#-h{nWhhdY!>eAW)m*AKMF|fA#u(2}v3p)4VBWX$KWd2L1S#xmoCoYbb&;*RRtj7xiavr99TEV&0qM*g=4jSGVs9A(cR5=rN$ z80>9?1A~`;s4bLbfmc8Af zfEXwrV9rhe4-Nx_}eAOACH+Y1YKjDn^#u!E@{iUR0v=dm?}O~kZ8|> zWnS8B5+`>prD)fP+HTgnJVuur0Q?MVx?ILG4^^T)xDG0%C|7~4KS!C_ZoIT=C-3Yz zJ24L^&Yryg+IF%`9o5e+_LRJ+IpmgiXPBo6-=$YhY1FKWcy37DX^7n!svEUF9Pb2F z1Yln+n{sNmbxZEtns=`@1a}V{M*W)=YPsy}94DgYHv zh#8W%h#tK#fCDeebM5%Sp#q z16{wDz1Iy4-d2opvnq|QYQO3$`3C!9%Ch~{?snqlN$-v`E6}F8TkUWAazNIL+!fnRe09fS7g2`<6v^0Z(|>^F^;}w9 zbUdoD35s4(rivn3q+UFj{yVv#tKFJF=z!3tL7-PV-K---8Nl})poz?SQnz?S7fQjz zWmRY*BOBC`)~kF)At(5%k-x)G!3+C+m;QttZ6%M{xPbn4 z&izgIsrq&ueg}FN9p4w0c`5Q=`m;DpHvgdBD%Ip*dGZi6+$(U(l}YcwRBYJ`{f?OK z*J=uJ)Z1K6+sZgyRKwm6QBX!zoYAk^q!JPF5HEcUS>f7v=SO4jgbzY3m!Xf5T(GfS zG-b%p)gT5Rk%vA!aaX?AF>|GM)sB1BmPriubJtY}62sgnJ<`D2F`(jV34Jgx(;ZhN z0QHoF5-m${Tszn5Y0g&!&IoZJn%!8ZRC}2iFE%co|gmY#r_5dH7*BX zY0B^Jn=q}qUrdz9rDd^LU7%wtsE|6463=pZ1}R$=)FFjFL}l9%9#qn2A(Ir{Xc0C>(*V(ZFZ&7Jj~HjC#xLv`Zz z91p0_ZEvZ?3=DmZ?+dg)U;@Q<{bAnMu`izreaaJy zilX?fcurXN+E#Mfuoh-`)LDFke!~cFypOv7Rr7D9S^aJQUG*H|DR*y%Iavk_Tc$Np$g>d<=jl6%R~>?TvKbDYrYn28+bRp)_d)Dk*yVkA(#A zVxiC}J9Pe3-Ph2A*;H5=)vTR;C#0S*<&fp?3_X$l5t*n)SfZ6FH+_L08D8iO+~&T2 zonvA8{U{5F{P-nenXzj47!(_^bfC_+K{kA|Z&acRUm<(9@6-fU5qT11q5#FXXQsTez2MS&s8`GbLe4UaO?Jd%_8mJga-UJkV4oM?rjS{ATtTX#EkKBdp zUCuFB!bm%14VBfgYHsIp`mx9_bh9mXQhwE8EjK~ri9HSCQ><^-u!BmCw8L73yrc{f zBu$nHds?u*?~i#{tFHPC8nWWwflcl$>rPNIp+0cVGVx$6+Z6B^L_4i&6gD}NWsAA7C;Tj#j#}8RJ9}v748DhwZf18B0WxL|xcz%R&==1d z;w}{QW8}17okTj&yM`doAdJ8_-DBLnv&&~3^7p>xeH(23HAqatt?SJAUi4h+?*c>IxcXwu>A4D}6O z%Lxss2QOCuN3=ifdfH^$3Z)its9BH8cv*bBqno8yYv^xt%3B?o!V{)LL0V4{!EcUA z31DUzG<^)J{bmboDOt{~*cU**ZJIeg2Z56U?IN*+)x!X(;4yQZ9IVQ8FgCDCH4Kmr zRs`C;<$9{GLcSgexq(5Oquh)Dh3g!JFGdz{Vsp5*IlLQjt7ksbM)9tE@8X(km$Gj? zSQXjHF7zVlLMU9%p}2-vmHKUHAfvWOLn?fotv@#f{0ivz7=g}le{^cBK^${0ZL_;I zRL-V-n~q@4li@fti99%^Z6O%!Uaasv{f!jF&d7*%wq1>>N;j+%gTyxRQ@3!A0?8tu z5k2tCF5HHlopp|9J@-Wq??y=FO?P8t$aB2{yp*zP-4FMk(d5aLgE-jbK;b9zLMF@{ zpYr2o^^;a6U-Kw590I5BIi`G6#kULVIKqPbRcfkUi_goNtclFalFPF44Wg37&RdzPpQ!!eCYi%e7_EkVq1 z+~Q06w<7Hk?hJUa1T;Lv1oy4{9WQw!HIewAO_PhMT^K#Z;O^T@dPrX!(9DNHkc@oeMKYYjViySnn5MI}v1G7qr`ycgJi!fAXr?F4e!^(*DuN zgwC16*&uLJQV$`nIF6x(Egpor@4H{zS<41RWc)laBNaM0=T>s$N>*mee|b5!QF<=Z zVD<`k0g_3L_uvpJbghvPzA>mK@EAnu(T(N%IqVZlKh+zHvqs#pkWX~|RH@+jQRwiu zCEN251$g||3z?a|YK;PY)8Q4KK=cf@ZE_h@*CPS!jA>)Uos7k9blg4Nf12qWV6D?N z9;o=oMMstRsRrQ< z2zO26DdYnj6*?|49j?2PL$pZz^z8gW{!M+;5OOA_QkP)qMI-j)kDDU~-5dgS);9f2 zAU=JxbvZ|A9OLzop^CIE?^5|&l}*SAU<0OpRXkX- z^>nfeM})&EVD@S57qKc`{uYf)I45{m$(~TPIkGGekw3NG-M6X{~}R*B?{@w zO#+K1gS(MSP_iJgFT~UamVLFob&q7Vw7Dof(#DV{#`9*Jh2QBrLSg~L3bZoe8)o6L z>pEw|C8TzF?p$N)UQ?sce@Yk)jEN6jnIh;?B2YNc&+yJi-s|y8gmzMZu`M<@5QyMB z4PamSe1A!cXW}-t(p3cvQP_`h%=t$NI^X7#wA0T{Z@N3RSWex6&gdq+_89e=R(Psk zGUWnVzT)V9Gd`#IYqKSEG4Bk+TeJ$``k`p9-yWeyUpSl30Pu;EB{QCBX#UL1B6cCoD^85#f^+(IzKp2q*;66oOa^K@5c;EIDB28qGF{Zq^5%rPt1*UKTC`=>swKcd^X= zWz2+fjzMc-(ArUG?HJTiFk|Osm&4>1@hl*764e|YES=LqVCtp8bUX|^X6|8N?o+0m z^uWAGNqEdO%l$!`i(=szwjtQZF7VLpZdboX{36D58ZYkriaKc&E*hNF`RP1H#+puF%7F7iKNz9eQzfZu;B2ANLLC> z{{Fb;F<0T@4gxm>9#fe-jc%>F*?K5WdM#ZcWe)g$`x2H+jnVoTvic0XqIwtQ`!2h4 zg6h30ZgiQ~wQR+l*oJRA*)W?kL8QA6FR8v!=Z% zzAE|sf;4!V+gY2s*;xG)?eaRA-X7T>kScw|Xb-6TON3GHfoS9O>)_m;r<~jF>|OE( zUb1J@=vmaLz3HW|>81bv(^mklaF?;9|7XdyKPtQ0hak43n~!)ml;IyPin1$-R}WNn ziB-=K2bC;D>T-t%zpa&XMwAgt&CBPT=#E;F8o000_LoQrwVqnm?ug*=8B3lp77|62 zbxO^H=xmJf8uKESjU_2*3UQDb_X$xWLpl{CDcK8g5=lMEWZ|21hiG{2#SuanDLu8! zf;^V(f5TVtDedfm2SS?u+UU%P{oauR4Lq#)#GV7XY3NGn;Kh%6_IZ)*R1|xV$HmHZ zW~L3?Sy0a^&VZBDZ%gvV;pnu>W2twlw? zM`YEw0do&ksF|GBcdtcD_ml30GyiOiL0?nBfeGM1qB!PP$b<~?Lh#qY-uyDU=CgbC)w_-mh ztJ6~;S}{4!lWGXKxi8fgAzMbR*L39;f0uZTiRUGjJr&#}r->g5@RXq|_c6fP;2hb^ z0fVS2X&nqd88U9f1vyEVyAFp2;HUK9Z6tv=P=+=O!H_Fh$phg8?A>1c;@b_pB~NA+ z5ZP+78ji}ReEQfk%nS4yZ4A(4|8y~v((WCTIkn+KNZ*hbcX?WH(w)sR3tw!6jBkZu85&$pIyrRuJO5# z_!&~L4`vk0j1UmEZh8nOcBz@to{E%MeHdsm^AN(&Kc$Wvky1asnnm=?5A~l663TI< zzRR47a(VS0*-SUO2JLAS@v}kDy^$oWR?FPqZ60{z zTwZO_XJ_x?)}>gIHTUR4uJJ34T7!cn=W^A%$xMK4sWz=s{*#BC+Z4*K5&YR~d~z9A z*mum;(efx8@Sp|a`BZJ+O0M1L)2+8seLv;ZP=)1j>J+yEt(~)9nP73{q3PtiNapbW zzLoR#j&p!IM=SbdCe9)5+2L=g;Ba%>^#;bRDaD-VkK+fyS?0v1(a3w^r6CYD0C+AXAa6kBZ z$8Mt>M9fXtE-gn)-{!2r@zIq{6Y1`UqND<_wsjZ*g`6d>s5ZPlkLD>PGXOpMvKks@w zJ-bo5i zkhzC%f_`mEiX?1?R|XSxW8f#o-XL~m)|fK4>Q6}b@6o5~dnku{qr|9&LKh4uB{lTZ z4VDn-3dy$2{0!q%Caz6Pm+$8ysT!;pu*{{q?^%$sY4<)o^a!trNoy#ASVpmXV`Dhp zTQibQ=o3Z$CQb_V^zg70a0hkJrkosGQ^{e}GT!*JYQZ{LqHMJzY-tA z5+W>xn@?An+I;yX>5f*~>nYEh*;ZOvm+M+P*$ilgI15WFKdsCu&hA1d-8WmT3ySAT zDq2cT{Bil>=^eW+|I~Gsp$!v|xK&Bv^gv0bw7y<1{o-+D^x3tarn4AYVM+)x)|<>t z-egi1i{1*rCu?T@`EGiIT1^zE$V7f+rQVRhzEv~S_vO{#y-luU4jiRW2-VC@=zbhMWp#!368#&A1YPjcGKak0V*VOSx(-G@rVnYQ!H zTGhAW5CFG=KCT>2Z)u%3&8t=522D9WQYc+_vGh#P!SOp9WvULTftG!KOx`uQDVie4 zgk%xqEv+l1L+vjOP;#N)VjsE84@#f~K{Wd<|6Y+L9<#~}uC@uywsyq3=)Uyt{k~yz z$ap|oUKvGa!`_CxiL|d@-+@NHdJ_&bklKc-eb9IdHHx@**Ym3ECt7G?*llku! zhdqBH@3i9$Lv(Zn~-Ug)?nyhvAgF+wGDdmLIZ-dXZjlPdiFk_QCP~B5y?9MHAshUYnapOgM!-K5w)a1i5Uh|zzH5_6 z-4E)|oYl!hR+!2t6IS#Y{-!N3Y&Gi42H$uU9GuK?yrC^%^{6Cr>G?YqIP^rXwj}VxKB(6eR3(A%lAwVCxxlW~qP23rSto!0Av&B$Ba8$L z@&`N+3<=DR{t+9EBhw7pX5I&WP4%=lTE08l5X^aWmAUngu>d=a7F`>mWv@=FU6?;h zK~WWx93nEA8f1J<7~V{WBaEQVK-Q5MkyN5_lXQ1mnk*RnYcvr0yJ8JKinfBJF}YZ# z7WZtNE-tPc)4mpWwPZ$$om`u+A(52uxr}Ov=grM{_3$DxyBq3;q}TbGB*;;zFq_ys z52=5p&li!BuPcb_>7Qmf2t@fjcH7-?7`7KUY&gw+5Cl0Re@$D5Np)>t?)Vo))OipZ zvOhNbQ1xSM>`lPo5MO3TRvMYNAUC1w9yN!3nxWAMA(t0!XccWT_NT5SY}00Pq2;al z98Y&V?g&D&+VL-y?UvPz%7UdZ=pgTpG3&(TZ#?Nu9Gd*CHNJ`MFB7xHTrWL;n*6;m eqpM!nR9>YgrHIxlJ8g@gUUjbcB=FzU?*9PKWA*+3 diff --git a/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.map_bb.logdb b/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.map_bb.logdb deleted file mode 100644 index 626799f0..00000000 --- a/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.map_bb.logdb +++ /dev/null @@ -1 +0,0 @@ -v1 diff --git a/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.pplq.rdb b/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.pplq.rdb deleted file mode 100644 index b9c4e156a4305f663bf7b13873622f6ed74da757..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 295 zcmWe(U|?9w%?KnJ7_xxS7)Xbu78Pga=P4K(>lx}9C^(g7=Al+yu z8Y={QDTJpcDY&L&mH?I3f%P*m?1j>OP#Q$3LkwrAU`$F(NO-`QB$1Gk@_>C4v(aY} zrXr!wpMttY?l{{fZaQHSpvv6LAh4OyaKd5EB}_uyULt>lih~<-cn)xEI&OO4#?1?7 z&K@~*8ch&f)G-^x%rSyW8vU z_r34mH}jIrZe}OR?oP71nN3Il06-b;i+>OR`1Y^z{li*jKOC*>?Pz)VxOlmEXeFJk zY#_9}0w7vm9&QjHH;9Lqk5*ldR@=;kRt93_^lxaxkN;UAfbqWz0elGm2mc3pbNo-= z?Zts1cCw+Smk)OM*Qin=6>6;4i45oF1bU;xsYx51HtCFn#gTC%J$MW-C(u`QKe^u| z@oEivNHuYBd0WlA;W@Rsun>;wK$*Py84dQp98xnPKgivEI&{2wdvP=E8EVg@&?UB< z*0h~*eqXWeGuCvUnluO@qvcBv5*32GTdpIv{$vlHW?!x&nOf2}10%CJiA~puP2-aA zBJ7L=#X-UjSQGQFL7zePl1rGkcpKKysWI2e4MjAQ??3lJ!IRSNC)=w;Ax#|&qxXnToZ^fMeGIdYh568i~SrK@AR17}}g8t>BLN|c)O z{}n$@U7l7vmkV~{^bcjMKY{ao@R=sTm1pqv{G!;lQ*K^TG7-uA*x%5R+86G*?Pfxw zgW~VS9eG8ik9kK|+udO!mk7_|AO-lGe$b$moLIC6?d69(ziHGWOf`Z$>d*f_gqlfI z3yZNnM}Q~3DdaG=s%&52m)+}(Txx1nWvrF25!_jvqjoeSLr01U|1U<81ZfFExZK?* z>39Zo2+`tOp#to=KbsRZ#l{ZSyxvpdJYoBZtMnu;2#=_) z@LV@A`$NnUNBo?6*mH2#o~{mO9u*)s{u8h}S>M6U!TTraVt8|hrh>%nOT*}glV(5Hw(w$qO29+%K5lc`!b*V$XEHg9JlWh1AG?CU=VpJS=?K`uROF03G46m;TeO`5o;a z-EwV@woJ2|x5d@WqZ?6jQ4yindx@CG>bR^pn(9s(G9SMeRe8kb?|n%rVYVvD$gA^+ zt>62yP{OSHjd7j`M~|91$_81x8+#&zBekzY^FT5r67637uHJp0fqo88EYW%8)vz?D z@Cn!BTj$8E;*;?_P)yn3>YwNkn^+(+!HE-wl+NH(%aHFC#RW3(>#>n8CB^~u7xD(o zV^R)Aw0Oq_9LVbgK401p?av2Aoogt0gf1?zd>Z;4E*Uy3%f+l!tH|Nqg!Lsj1k*sj zWLlmRh@_N{0P=_aX9AE$cI~qr5ps#4zEF|m+$bOfQ_YAWdUENr1LYCG!%Q?S3P?tv}ahMxAj20?Njx1S_! z{b%g&s_yIT@nzhdAa0iXhnz=-`4)(S(IWa z?uqe;H#W~S2ur(b6rd^amVeY5K9HDl=yvd-#Bg;xFb=HpY2>{UA{eRVn(BR~$3CBz z1y5B4DW*+zfp()xOdcF4Eu(lWt#^m5UtPdSS_Y#+g-_K-zP6fw%e*ooH$4uV2(?w1 z-UV#zPOcJTdnfyjbh8kKf?(aCqA80B!pOPNtW8v2g}ilD_2l}c$8kU2&0w=kg82hJ zrlB$59J{r0K|!Wn$Zo;q3277nw!=<+K4ZPF2$s%R_11liYMAlZhhZRy;~5XnW>x09Un<2X$5#jZIHe&w)?@GwloGXtC(WyXYZ- zg{?$EMp0>(L@i0=v}+`rVl4m%eyT`M`aOhDd>7R9#m~KQSzNwhxhR~Z`Zpf$Zm^b0 zaNT-#JE2Z5)^y{^eJ{(RCB5QF#$FW%gyD%8>dS}9sT+*r6FR8rvF9BC^yJ+K3v5bu z^fb(CNrEuBX9}VAF1#(mU#ul{K0=lXls%V?Bv0y=Br;kG=ZmY$Lepn9YEq9vs#w~pQbJOPA+kyH81lQ2 z`Y=$H3n0(^c#S)JfqCqO9&*dlj<%(&njB?Wq+&`%src5I6-YUhu($So}Hrp*4KbS*N|vb6g5&tTAq ztfiP6!Eox|-84tKXVwiz)%+=)Bw4MIMk#cADLdKx)w`l-oT!5j>li!oV)N+J0oTPS z0=h>xtl?#n8Ygb;%R?`Raa4)S;=8n%5=nDRdU}9t58k;sS5H-?ZW_OWb*M?bqhvIs zDXHpFm!SW)UigPlpjz5!2`OBS<^F~ma>b2}JD|ixDjvE%Nw=Eg*^c)4_MR+!F0ypH zsQ4~|@MvO55P0rRC-6P<)_s}v?Z!W29GKk1R9WG7j0Td;2-Ts`GP zUe|Iu=TixGlS6Z!7M6Lk|5~higOEDrcqf`PiLi_lftouB+er^<;Szqu1SA#p4Qb#0 zQ8XVhh+^2lywPkc-L@PxtwKn^Cx~d3j$ z%s#EDGvV!Ugaj#)ywWT+@uSd2=ZLj&MOMT)4s}?j2R>{)0{-|4=32TOYz?W%i9G8L zJ!3@;9@%T}*W}a;kO)-Z-MUy`A3`h0mTY@H$?`_~b(eI3!~F%iu^V@TLU_z(Y~%D6 z-~EAvV0Y@O`g$ZGG!yVd&Ht)QT~(TF`uKZL2c z^O&NF3{{y1>vLB)t;G4>SkZb%@O&bIh$O5*tc1s5aUq=CxW&p;yhh$QO({Bi@iTAB zn6Ws;paq$@L_wFxMzjpIF5Iaxn|DqW-sS~){0y~gG&9uKKc9@Lv+broRBXEJfrXw* zUWtm2LzYyD>=JDM$QGc&=a*gbsw=F@h0@p#q2DcjAP*|-{i^AV$j95ZIUij^QkD?I z3JWe#N9eeVokYTuAfuM#&^>i~Xqkg%g#q^CsY)a)H^?nr$K0HT@iH!;eYAqBone=B z!krr&4cSeDQv3_1V3-_0Ck9#@E<1kYF<`R1waiu-` zFAuZ1>ahk%oC5H?r;=a`wX`pH)BN6XcfXC6E+>(Fl47>?ZNsq+Bck8-n|DK0^+!ge zQ%5oR=(sm24KWSYbDt=p%FABC>JcXkIi9G7%Y?d9Y-W8^3lI;-{ti7DiiyYxC+viU&8;#o@ZT$+@LyovtzRnO3v$d_; z(`6smJ1o&0pO*`s(D-ugfVvh36ZE@G_;DnI%A>vVNFA22?I} zd)xx(Fz|G4^M2u#zq0-gSqX(HvB*0ia}ojz*OEaGBaw`lI4 zx;;I1B1+Y>?U&dVaU87YT(v7iZ2M2{$D>&@Xz92p+~C0N`}wcy%YE3`tdBrDV#hqk zmdUB=Q~_B`!_N2T7>cUQotW4+W|84_ueY!^o@9|}Y~%51T=i!iulShF)qG#R?Pyiq z{Km|Jd7NPC!ne8#E%-d-ekn`7?6IJUfAaL0?a#94=B@JZus!X!FiO3q5aB8)%BzU* zsHY)@mK$29&3M>Of7-4SqZB#zI3GT(5@_7cpy@rkuzCJ?%g61c_j`QJbYhF|`mt5d zy>AM3ZP;Q&U{hJ=F3`d^>oT6X9C8UGx1g_bGD0qr!J(%2=-aPGpe#qK?ta{8CKv<*-a`#jqjr42BQ8O%bH)Jwj;B6d|%Uh%>x8AaPEOp=D zAGFh%&?7gW!uJ@nT~8|-7D;K!uRJ!JcTk^YP0a*u#(zIsFFtu(XAVEr8jeq$u3Ot* zE4Qvs@k_KVA@?tjGn9;vZ|0{CllE!El>SofH(Ps-xjdpi=3KMvN@zbc9aJ=kO;prB zIq%Y(RM^+`%fYD;X!4i$t8@=p zg9%ZOE@UWAuf^oIgIts_(LkLDgTFLb^cBwU%{(kg8rWc>`Sf}D5wg;} zuIMj;#c4nWn<{!B!;B`&7E|cm5KMO!Klp6BpM=j=Awc$Jed8DNw?wd?Gs-ZTUwrI0 zw|~NTw_R4PNdk+z6=tk=ys{ng<8@_nM8f|Gp?G^9cvWsd@#)0cmyLBhMTFGapOvvX ztjPWphBd>z!Dw-p9AsS;HVt{bi*YGJ$CSf6BJ~K02vwO)A!QEwa>M(%8JFIpk$r7l zLw-$*QJuFpzOIymnV3jdk#thJku;nZh^c*?Yr{U}wqDA>EDZZZ60T8L{ulj|F!-pa zfmaaq>ZRv5wK>%>oasfR5Q> zRe1lc@Q7pjQN6JIjk6C;@xE00?u>k~>lzVQwUZw7VGNHi;_Zzm+pqVm1|R82)gtk< zr3nS!6A{JUgz0~u%oBN*lT?W6jZ+Z(`H*jD6JV8Ra!s+F_zGr{kbm6mv&e0c_PuMH zPcS!E1Glvo0u*n8thBoH@EI?-#Zgt=SaY{`MyyO|*Ac}caL<^U+K_e2X3#0v3_&wbDpPa(7 zK%p*r;H)9hDlZl3k^jSPbdDR3rSr;ICywTy`NOfLOaZZ--D>J0M3`MN5%c&!V>*!{ zy#jqM=w~t~X$-5yCynRj6E`7V10tc`RD4VsAj=OFL5f*Z;hucax9n%XdJsz+m!DVQ z5$f`BO`n?59|pw)ZxS4~Vmga1qOIDHrWM=)B5&6ozY5>3o~$MTd5L1qAKmi#o#I)4 z6O$ViAJ|;mwuj`$3>y+jLr#Ca{iD8*qj(#~NlGfgNIcbOYMO3yN#SEq@w4cDdiapQ zEW8Loe#*y7s-~15tk5HC{F2TtZ$WE@UOc@CM}4ZGkd-)ry&xpt26l->s^BPR~7Fq|{*I`zJu0z8tL9fR@U2L-eoOv~I2WNSWNs7gly1 zuIMk$qJPDmk=259)N|e0T?JbYe@HTL>CcOR{LQN=-`iVQ4TzcwU+MZy+rNW(w9Gum zlx*l0+ekJMk|9TM@}+y0rWaru`aePd($tRHPI_K-Qxai% z#6QH@Ya5O%IC{^Ss=^guy9+^A2X@&GhxBK2QJbA^{?wAY?>yvf$e;3K`KNvWe>y%Z zYt)qN}m01_4;_@&>icus2zK;j5m` zR>`dgVzTdDf%s=r+6cdQ7?TwL?X>b^TzsTCoGQww-ionP|G$YP@bO83E#A406t#^d^^ah*)>qXcfw)9#BKNIZs}-M-VBfBLWKs628VEFhkUnrryo;^ncpSi@#YE>CHj3l zsv4%nGzP3H>;Fh=(B&E?Sw{@OnPF7S(#gld)2lBak5 zP0abJP5*|x;orrBu;J^9mPTdyTOo(6X5W>G{ay1CrLS7sFMukCQog5W7T({pWNX$0 zMvl5cE^ojAN%ykz7A?g|dTbiMoJ_dz#S}w!(|UD`btr`$?BT{+O_tN2Rw5mrqJJr@ zbL@;tL7-@$anUE5`d*RDrRUzN2(K@Sm4UTD_v`&V2qF8zQDlP#GIA8xNiCPy#8C-` zg4h6N)MVMz=wYpDMg@Z(U8exAVbtAMST@bjJNQ}pyri zH)?XTh!IBEf_@UL=>rxCQWis`GuZhmP0DyznsX#xCJ-VdO!S_5)4feVC_pG90LPEg z{_<;6?@IwY=3#IFAgKLU!klDg()!A(0_6dfZxi$>9c12)j#!=WsccUky|%ayW5*OZ z_nMErBwRJ56=tH{JKWcuPdeUXbFLU!1OMHcarKW~9^0WAaCP0d7q&r|X@cE7=r^0+ z>U>Ni@l1T_U0t+5XWE3qvm|yjdVQUwMPBpR+omQCr=^J1`uQ`1%b9@W9zJ6*)tXcL zqjFpbS&G7_3^)&b0V`CS8A{hI3#lm~`=iS*qg^NGtj(EI@GWeqnAN-aTbQ^CRu`P6 z(qB_L0V9Y|OY9Myerejk{D@$n5q}80Jp{IfRGtH%#8NF1NWS+IBJZOQci79eIBKga zEGm)0O%IU@Jy3w-c-=92@!pqs8s*{?EbYi*W)B}u>FzqhGW0(&Mp5zbc{)_D-!jSj zm|?SH6h#p>wPd7obCMT+3nYJ4!b^DxXX+a>2;^KVjR5iB3GLPxE23@}$8?&+Z25Ytp#pEb5df}havCBu?@u>V9gDYS(|7BCRhN7#) z(w{G%I|O zJO?3gL5Oe=qQa~)2~xQ{0LF81ZJ|L6>9EBd2Fs%MIkFk?jFq#zOn$^KW1r;h_%UWV zV7K&5Aix3FHxB(E)ibhuc%~o6q5lQ*$>=Evk!n^+45@5D?W3%&NIvGc6fqwN1Z5Nq zfeQw~1;b#A0dP2^vKNGSMOpe0WB7k9kHfzX5G2trmLyJD<4tM93AXoFNP`3t?AC0@ zV`!O;vu=%#U9ELp<#ZY$C!T33R>!j+NP*MGp(@%aW|U`l6#L4DJhoGrp^&F0tV?oG zjNky+ht+?P!QV?2qge*y9+UEb=EXXELi2#-Wuo!>?EP-`0r4GG`GUQr1#*fLCuyWN z$ow9)FG0mPa7wcA<^DAW*y>9;9kOmu^vw_I=)8JrY$`xBG7{CV%lKeXRw~e6dITRM z%=#9G!T-IhCb(UXgT2~2Qy{4Ig$QZIqK87k(LCq@f zA(i)Lm6(u9OtZ>hfMXQ=5M)j;42~KAM-73aUToE`Ktblqn7;}tH*udfzXnRWLB#0R zZ!LJ}E&MSq)P1yIy zTL_g!Z*kO`FI^^eL&mp@VorDx7>i^xon`WGMdi`st;}!Gs8sH6XH+{j6fdrN&|woJ z#_wVCGQVv$@-!68kH}QI1%V$ndBjzMni~V#Oth<@w97&eD47+d;p%UF z$bx4t3LmvT($0@_3djcwej$%#<3CS7$l^bj`yW6|){D{#5)x(Xg{}q4&)U9S7ER$y zko#0ZE_RIbEewmf)AX$?+W2MN$Btbv&;LG3(J4sm_ctiIi45aXN$E57^)dG`aZ|ot zY_ao%I02)#WRI(}%&Ee#z41Q4h~ndN=Dz6r zKK^n)sINiMZoY3@W0+MGvKzzTI3x`l30B#nvJ@Y$t*)^UqK55qdK?rsbq69?I zj&g)=*OnUlYf}6D7Jw0Jpb2Pxe>3vKW%&q~BG}yq)3Wy}&i9JE$QhuL_RT=B9v?+% zCA^!X(u{DuU>&e-Ht6bN>c=+=@(JT33sCnJMH`rLdtX&!u}LU7#x*x_iV(^{PC z$kO0s700b=+jYL({34N}9q+y0se8w(oNu~WeP<92zz_)s@a44=;1jOiiB921DqYqv z@#atWgMvQ0leV2hkofG^%LEE5W|n#=a+By5;f>B=Duy4h;c*h#y8>CVeWltM_qLS3 z+BaA$vy3s;Yk|A|A6Ne3uX4k1oJ6!S^yy;cQ+4s}~9pChP5xrk3UK1=^(s6d} z^jp|73+Et+t5ubdt80s-t^# z-)v>vX3{TI6(e4E+EUah2EbJqPJuKt=7#j#WZ7IV!r*!d?b8+s?CrvDE!Hr-v_@^F zSr38U9iaXyFiG#7=^fk2Gk4l+zcnG}=Dq7nZHN$m z%vLyv0>t4>J)b$06Q|MOI!K((I9@!(iE|tkS$8ukqb3qE1j#?mb&Y)A4Es@yo6PYZ0?vZw5WEZvNbmEV{UU^j>nXsGhyLso45 z57c@+3=vt3`xLw@XUc;&zNfTJZspe*`PnWT@AvV+#0D18UiwToN|Xm8AjG2YsmouX z-;sWrQnRKFP{`Y3bPk6Q$}Mm0kY?qOX5;)NR7n>ClZxd5F!_Z zFa;s5A(j1RmH(pe)#0FTqiR8jial{Q7pB|YDw!HcZ-ooY3fGG&;GGpDu>y zJeHj%`8m7Jvje9~fYVA@;K2R)^nb=4|Cx!quBj}IUUHN)`-&+0>K`P|z9P?FqLmya z&c-Lq#wW?fN0B2W#SBlT0!mV`Nm4PX+q8wuuL7rufYUL+Y1I$d55jaNE&@TEefmsu zweLMp6C_lRn3vMu<2P09j`St+=`U2zXLNlSKQl2FnDh8lUq#oTLEp6LY0f0xmB!Kw zFbVg1f5S;B1v5QUG5$GQ!^IteHi& zqK_grvVWIP%F=8^&V=REoTeVG!V^OOtqq+AUfx{LY}sw*<>H{Nj0aaYtF-nks>&?k zLm1+|C3w5IymgmMaqB?)M=&xsrve2i0%;}w+!_6Z54Njvy)BGW0<{qC);5~~k7GKI z5<34~_K%V}sbf3qhr#~|tpB3wFnBqsGXtPN^>m4cx0>CFuiyVvh;!gG-18iTcU6mb zg$GVczd?^(YYH?u21qD1woI2rUY&9+Rhuo0UMt$EL@wSV+K%U;+R+^x@2bMr0X|SyUnd|~TT8j;7DTCZpZ~cX>9?N}aAZ1Qx^sF1VduaI3 z#~gzR6*+O|WSyZJWTQ;<)DO6etnhnETvxGgv(MVN!`WNRdgatF=37NvRt2s2HRt+5 zK7_b?=U%$-UZxOJx)4*Q@SipJ|7(7)-ar3n-2A=~)Z3I;Z=hb@TE?GbZD|$i)JNdz zA(fJex5rY>MH(i>erEW4j9>mcNq?>b@(rowmKZaJgYxl*q7oGu$#da#rzSSPGIjciOC2MX9#64T&a6Tl|@K`*P)HRTf;e z4zi)@!-J8635%XL9{KWgzAw7Y`#_~Ek>xuVMx3Uk* z6VE3_X@y_WI-w>&w=q~#P4gmWXFro*L;CsRaR&6reUVJSR}<71+1|vCK`er9`s!D0 zBB4v)l&$rnN$= zKO_3GRajVT-i6QV07`r-P_Of4yxEW8H=~h&e3yj>e$=mhUcciSU1n84!o6g<#-89e0oL-KnPB-zvWLBCVvR_4Yun13%)f+Io>w9aS!k#7K zq}SA0qNxpapjgh5UOXBTENsaYl$^W?wEG@L5+NpULFKKpc;tE}uhXIPyH~ENA%dK( z^NPqwW{zHIgp(F}&gGvPW5Lc}DlmBtByV@T)Cr}{ivbB?goyM}XryF@acr(-r-BPw zbOHV{gNcDtI6550YaTNRvdEbP`A5UKT=kq1#+kU6Yi=sm)d+U^?pEE(luHh_T9FEA#~s(J z4Xf3PNOP8k-#kl!{j14g{iZiDf71%2B^}&FU$y%m5gL;C6k;x6+h*g;`Nlmn-?_U> z;a%>UmB$1!sx-pGWWKHszZ@p$N0rI*yB}IO5<(N7!WV}*BZ7FT-c5blUMQ2Q$WpK~_{Va6a)e=Fcn9B*N$8IVv zu{ysxw#(?-w+*7p73tx~>CW73yu$t*ieXKjGOhflYl1j(tv2jej)-dFi)@FTR&GYO zAAr7C+IJbNWO>4x+ed3H9)ORR5Kr_?3~deWulgTB+g-&Lgnp(Jcz+EQ_hHSUxs~s@ zU*`4xri7C3Xt$K==QDA0(phoHOA<{M5MJo-SP$4fH{R?Ua76ik{VcVCTYiFsnQ@@3 z?ffpr{F*}_#F=DUgn#X}G<>R+sJqJm5%K4CW`Yk=st# zL`cyk;U!E|c!3=Uf=>;xdWN$P4pCpC#NN%?OQ=S-o;=w% z59u;$mfJ|2+V38==wsF?VSJ$J+r#6JY`rU{X!|M95sh)=Z!io5BW#@QC>0DtTv<*1|V>b6gHK`M%;BuSMu?YFdf2~={Kk21o!ZdMfx9eaLl zp1zydcM%mF-6*%i+O5PB_a~%k7f%7(&Sd&h4Zk5scs0^wEjs)xH1}roUhuz>@BaYI C(0r=^ diff --git a/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.pti_db_list.ddb b/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.pti_db_list.ddb deleted file mode 100644 index 6c4406c81781bfb2812cb76d737e43684133c612..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 245 zcmWe(U|?9w%?KnJ7_xxS7)Xbu78Pga=P4K(>lx}9C^(g7=Al+yu z8Y={QDTJpcDY&L&mH?GC=H^%LfI9JNr??$_W%Dtc2YvhgT#b{3xa=w z4|6P;*$^Su!R%!7ICjpXTG_uccVn9$F~>AF*)}`J{B?R{`}fYDnGzNaSqThF&P#PX zjy3wc$-DS*w|CH*HC#f*-8n%*-jBSEij6;CdR+WDIHlx}9C^(g7=Al+yu z8Y={QDTJpcDY&L&mH?Gzf%P*mtb)=JP#Q%2g0d?ZlM)>m%vgk*m$HgzdY diff --git a/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.routing.rdb b/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.routing.rdb deleted file mode 100644 index e15e0349cd1efe84e3e3f6eb901018283c2cfbe1..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 4434 zcmds5c{JPE9*^lzwNz^`l-k;9jo3|N*G}i4%XG6gNKir%)Dp`OOl^HS2(^|_V;dns z5Vc0DN-a_Ph=`z1@Cc34N|9w$&z$;W=1p6Df4q0@Ilu4yeb4uPxBL0rd(M>r003BF zzO63+;I%b$x1=pBAkfp#S5aG6Lt8^j@if8{?xv^>(oxjb($vw_)X~z`RkS*%Xa~Ed zXy)b_v}NkTw|Cn^&Vg+K5P#=z`^WO&J6$N>BJF~oELk$zMOCG4LD^?WEivLZNbo^a z8XU+7wYo=<)@}lQaa?jL(Hzr$iW?E&ab{m}Q+D8feR3&B@P>M(hZ}3Ab>Om9D!1cW z%^TNE1j|1F|Hf*QP1(qzGI9$@TqI|;x0VTQ;ZD*x&BTI;Azx%fyHnYccRjJ)W2u2j zZqS7KaNo=|kgIS}NdNHGHqQ|DWLMS_(O}(TGi4x!o<&@AOi9Q03^|(%_-M)Y3DiCS zT_RjRwdc^&Xo1zp)hA$5KxSv8HN7B_TCH%)Lx-%Bmq-v$pt@Io5vF&_-Fltc9(OO8dq)31d-_y!%QJFpKvqEA3V5llyDjBMY+ofl$NtX^ zO)&WBneI*Dv?xEl0toL_frH&qNNQi@I*n3f&*S2o#b`<=?bc8yLWr68<`etZ8}SL1 zjhpr9G^~I3K!%B1Kdq`{(KqRbNZg{5_lrn%SctdWN+3)O9LVziw%K7CRR9d{zT50{ zoeT0(wC2<@qSt_5>8v?KJr`Rt7F%8{<_*@ejYZL-Y<2V1e8_JKwE(v)C{ZO zb=JWbDe#(qx8MgYDF(3rXz0tkNq34@NNq8uveW4&{d}Fc?2e7u(pEG*7|v|aq^gVk z2nr2?Qm&UT=HV&V2E$-QCHTM|_uFQ38A+Kvq;GT8rO0!fk;uR$vu}VF4Y~^$>aZ-A>23 zX5GySaj$MJhVht*7PB`~5Tr z@`-DiD8JVpN?2C+81^$c7osowxi$b%m&ks;jxj-^I{4^#8LI9FLg=rtW?>V|JGAZF z8wE{VDj6*YR$J85Ik4JaKMAbwBSrF*>Bl?Fx`$n>I+JH}y-7Fi2uo%=aRAzEKl`)5 zclY>2XTzadf*oLzy+Gc~Vhf2N35r-`cZ_y?b4K%V{!;FQ3gcq*-}CZA2uy4g2n#tF z@Ft-q;Wi4IWWp?&>@ITcw@|flPsdu{mMel6Tf)pK=jyw)d(1yxS=%bqxV}`!A+UdH zbZnvs{pO-QDy(vfZ{OK7r^VAyu}6<8eezWL&hkG>u9ZK^?0F7-)MO7v*rILb%EvZ& z)=TU>L?y$A)?pLkdC)$#R`cQTk={O?Tx5<(s1f>jTdp(#!dw)S=hw5?G!|+r591Nb zYbZX!9(e?TmPQ4k%h6v*pmvp9tvC+%7&*;f2+dzb5VMr?!;javP{TNWWbz|0qUg0P zglxm=rp{O6j9zC=K)NuDwgnCO6p!o|QMd{Pg_RsyxFNDTrLC1Y$ifCW?4g{G0wpK8 zPLJx3D}H*%{U>D zp5SCP*mw+s5&6(a!AqLpt|sotI-wI&yO8H{GFDfOaka~Eq$YV+zL8^U+{RQov`Z@K})%i6@<6iU`kYM#a~c14L=sRXcUL& z;tGz%$UoqE0JrsHhi(`M^KV_DLT5gx<`2M$g?eE~UUl@Q=G5)st4RL^xdpkgB{{F* z8XOUkG5{tE-D_jy-Z926#QS&*BOr*Zt&5h7di-r62=kfh=g!XkIH-*J*1SoDgNoH%`cPF~DLbnBsm$NL=;(`u{$ diff --git a/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.rtlv.hdb b/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.rtlv.hdb deleted file mode 100644 index 8c61b089c64861128c8e1dc9cf71a95eacd201a4..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 11331 zcmb7qWlS7U(=HUZ;PUg&HX7FHOV5-ny|4|qi%YTRaA6GMVaI~ZV4Nk|q{T|4h*w{)?bT_kV$4RI&cc|3km9{#SN`J@H0K z%~JKgm)AfStp6(3M)^}Cej-a)#@XgOv0VM;KF-cibX5A7j zDnd>!Dx!^lbk+EyikdpY+7P=8oYbz!sHH@J{jIoX(|yzNyzSg!>b=XAUarqGoBuld zK6BGY_}y`;V-q1w&Ws8;UpAs*oDsqsZA(s)olc}DS_ht6)zmvA-2n27aPf;cUtU1= zopB3{6OdrDs;B~JujzNYVilyiiQ53~n@$-|R8av=q!Yh|WhuDBS}O38^-<0hj<&zw^RgQ}Jf_dYr8&3?80)jz(9$g6uD<$=JEZS; z+v)66yM=BTrw{v}0n?6aADuALoN8Lo6Xp{=C~w7}ehYAANXnRnob*o;;~ zn@BAs+_TSi%eENly}Cj>>uI^;_g%Sa+d5`aAamJuiU5K}O+I5_s?_#0d$X=ol=%f1iubEac&r)^>=$+oc_^ zq*Z|TPYxL_Sp5uc=W~nhn`!SydY_2l%w~X(oOF*?9myTltE1NWYlXo$zNHx!B zjnE<~^k@#fweBBwFK6h2*x2IH?9EB$HS)fsjfdfbaU=BEL332wuuF@~x5^PCES8f91Imlo_?#~TjprLt5)3g|9L)#9)5q`aktwq>{9Si^+Ih?v5j8)(Bl?&hv& zyJ+jfbkL+*!@Xpxv!q*-pPi@})8ch`?|*ip6(&x;n3=SS>3&dxHkH9 zuy0@_^zpm3;q3wJPLm~ld)UX#_4O};4|S|$LJ-xkrFYDW@d3Lx8A}!lc;SVE-bufa z!R}&EwX>w7rz5PNwsh|^i4|31^^yl!T9j4_BOz=I2mykW!ICzC!fP_(_6ntO~`_{?3AJ*`{ThySbnZ-xsaCq$O=8Vgk-Uv58F4(h~4?0@M2`}2_#75B(( z0H?6Z^*zBr?ooU>A$C&4IRAvzp{w4-)#K@?0sFNP(7laKiR(RR-0cB=6(Wi=uhri` z(iBoQ&9AhIJx)rRD>-&!bMztR^>kTV3q=Vw@18b_-l~dn*_C8m^PND$?WEjVPZ>A) zv~M$GE%p4tnviNoVLj$rjl;p6fYes}Ol;A7xD)fhFwBjVErQaG>dGZ?v;aQ^n+18U z3N#KL;dn&eaIK&uR_w-a>z@usVz*btQWA2@sq%+>m{Rb)U@}P_4Y*%oM&4LlXtpaZ zzH5!vfK`00c#)&jy!fSsP>0;a`+Md%wn4=IewI0uQPTar(!VwQqf|Gn^9iZUmUjcH zKa?Vzz$`h5T$LTnd-L-GyHi1J3%dyEJVq4@-9N~{8?8{0f-a~bkU5XK|NGLSa{e6N zQanp2BU6>CayE>Xtovw*bp=%GhglBc0dq~`po-3$gxfWD zk1d9o)*O_7xV32wi(JWrvUQKUQIz+Y2rhIWr1gHKhq-!FL9oLncIv5>^SS6&L9k~W z5>y{^{?+U~zSj3- zS9vdik-ar$XFuPo2T$y=T6B1&vrSF?wd@cyNu-nBXfJt zN`HAA6M~)OJgkxfoY7|8py!=fTbFFBt}SfN#bms_gdGKME1R6+lf+)$!KTH-U6Yw_ z3OQE7nA!8`#bc+am@kMscIqQ(7=TDqc8WFNwGG#S>r{WHtB)Vs^bsG0V|Q`Y!0TMi zaJz`N(4FS+XZbIzkM+?@`8h*s^H)!M5fD*?Rl-`+jAU{C-QEc+t(x4ZYXtI7Iln%p z?L@V|5~sQPE$yr<$(9zp80346{m!d>I=5Gq#o7}6QcUFdbZKwuucAbyzxOjSiUq?k zf44l>^MZ1`8#6emIBnb386%_5yAbdhZd^Cw$;)(uLJ-J7$z4W;$CaP+>N_(~b z*ZNaj^WuJRaMNTIl+hPbAnJV=r6@@jM4RZ-8x))4?mT=`_qX3#_5>MqkR%aBPN(HA zpN(~-PN5l8Fm9;y`>zflRv|GZx z!6Qvued$BpIwLFB+o;K5`&YKGO%JE=gyZ_buY^lJw-=ES+|oJiL$*3S3*#Mn9;+Iq zC6kM;!*TWlLYBuJX`xEm6BA!ECXpJbIQ9T~NIE+OZ$y|iRXAzQkc*W*FP8Rhs>Wi~ z@yOz)(VP8lI;!qO053=T3N&IkfZEyqdW|KX-R7+bqxo}&P=+Yj$sYX2TSJ?5seIo0 zrY_1n6B9zA`6k;c5<4(7zo=F*Z7pO47L;E8b@06#Ee|)M@@^%6*ky&_l+f0C+B##Y zWz^kchbn#QpRrlP^jCGO3|_T-aBb5c=IO$nBIRfVVRC}9x~s*p) zwvg=^#@wG3v#9`Sx0Rd5ra$H8RYF!xHEO~K%w!-Hy7l1_8j&=2M|FLs1HD#ZuL+P` z&|6E~(l+yHNMN*k`B9GR%sF9x$;!r>!}TXy`D2Ohq~;5*@QPEhy_lZ@+8KZhaa~Jr z!|3&X@~+2<+oDIN#ZFsCqx+i|JzfYp(sXbEE7wC~kc}a2)+9&MP(;*Jf@`T{ioUjW zXf$_AXIfFiqqX|5O;z)%wcT~*26ko%%Vk?nk!`_qo|>+;$JEyhc?IpB4(Da^BkmY> zLVof!p#tw$kK%^goJo$)?aMziFm$bj=9_noO+P<*EBrkgoU0#soM^DMmDRp^yjr`C zke|NbmoWuLMssI)H`iHcJ6eN3yEip2?@ksqYn@gk*|0BnGaM>giJn*rWYmC-R~DNq zz;@%SOTZL{oa41C7u)Q!Zf|y{*NW^WV}*NjOZ^+Si~VeM*RNRxtNV1*ISSK{L^sxa z0?G~Da zf%YM` zU(vmpJ*~NaC|mMj_ek+!*?E2s*+$Ymk2$>$91>aWbf@xIzgy|^*4uI~mehLy{0{H* zrarR1F5?vqn)W2x#DA{ATpn?0d++o37gI0CVAsKriY~oJ_NVao{yHGx%fZ}xlZ{W; z^R%hYO7+3f;bl|FbIHc#Q96bG`RVPGt7}P(>&wa6-S{mg1;bhR?K+RhLHis2Yu`QN zk*NAh+euAJSH3>@^?0tQuc!I8Lhs4r)^T)me)XXJUFYfa?6iSQpZ$HJ`NiY0XYr|H zcWG6x#z*G{|F!erE|m7LMCsO2ts=tHAZEYn63c1FZi@II3%Fbm`3d) zIYf6-{7Phis}mM>{a}>_vORqou#BKa1DrWm@EyK~%#HK|?46iw8)NVzgm@pqs=Mh>vt$U~==S^~&|KSnVB0-Huo zJXj?|ACrb$2H3$?p3oH!2xTt8LeV}6#geW^9bBVT(&y)9S=&;4dr37ajzYOC?IqwH zt)u~|)IPb_#@d?&dAN>O+I3v;goBthc?1_`oyv)% z|0<{qvGumn4kpEWkp*uyMOS+08<*lzKzk3`!fnCS4Rmlc%Z*Rt$0agGtSfw4@JBBZ zGKoy0%G_9HCMkFpQ0Q^iKbJWTPV!mIW%(db6%Xf2n-Pou;%5Im@aadLRivXVihP~S z{QXwXS;~Bj=o?yE#*9*V(1sBZJ4Z|4K~Hcyjl;HcHd^EAHf7U7idEwp(sB~|s{h(Y zX9zF4TMc%fu6cVpKoQi|ob*YTIxI8~eB;EnsR_Y~khZ-lz2%(V#g4}*r)-z8M#dIs zLZz}SwTp1(#vl_2q2?c;p6IjQ(%G`T!HCn3yYjVtbZ zvqz+8y87UPH+1hVq<-+niR?pKDl-$q>=*iN;~GRPUPW*PlqzRRFR1KDWg#(aEJ@{? zO7nz!AZnQak7}LGULJdyCU*HjB{IIUT3|+q7IBr(7?~RTPd*yoQMMvEE%w-GK?iah zCMc^G_r6+@iSig~GMY(zWXNiXjrJYwfUyVPkH>{f(R6=D!=ECmg=V}uWG5-S2rM8% zRS-+;QxyHbczY6cz!#NGl4m6JH_FiL#fyL>Jh@aulwDlfayty@%~RWqPR|OY+62df z8ubtToLm(3ut)_@MC#7;?#Baib!PHnrspejaCaS!!ymYAN1uIGrPek{LacO&27;}5NDqKelz8e zI4+3bSfX-%k#8^El_^i%wDjXoake{COVf|0CbiQh)zh;3s4=H;Cz2L79P{)P`I67z zN2YtYQM)M1N0yfo!e<%b{-8`mD-wApd}V-S<5x==8~I%aeju%Iw0TLYdEJX*oa}Ty zlt{*jO1-Q)mU0@8M!mc`4(pIoqXcZt{Jg}X%b@)oTyGrF-emP;eL z3-cjkqw655!z|MX7{KhtQ*SRV>(Yd1PXccviEum?hl|jTi~O-`gS;7vXX4CG`QeWU zJQ0V_eh8u`4~tE}i3qFly}9q!blxh1=>&0Z&+ouY^hL%1o>7BI-!=?kifR~6pa?pq zZR78m!g+eU?N$r)<_yzXCV(}Gfz20=WUlAh&}Dp}nb*a9)iMvzOwfe0J7g<)H0Jl9 z^A9BW$D>cb`R>%vos-TD>ZK)zz;6LJ5Zn4%nhk|<6V6|9RNwh0QCCIddqogaSE+nB zj9qHb?+iL8qQenZca-oI_w(EmXW?RC2KAU~=67?E>?nxS$OrH2tUW!RJFaz?LAI{? zWh|l^_0#h`ut~Xsda=E~kWxDY^$^2Xv{TX^#gOq1!=;pdER5NX`w{^1O9FeXki;FY$ z{Al9db)}XJrBvPSm@F$ z;^GP^9*7aI!j{!Xno3&y3+I_&HNSWU-^adOxgSh*4(9au_G(7hx?xQ13Cw>IG$d?| z!n+6XdU8_pDe(Mj$ze{!@Rf>s4Fgrr#d98=nJx2c7XDce=vY?-A zq0tu}g$w;30Vf=6Lpq`wjV?n!!6wE{r6U~TbS}?GmMV5%*y@MGf2?kMkTb6LdWb0c zyBigRF4JRMD$_g2Ag2JGZbhrZ9#w(+KGXra7^x?_hdaRF97H)X9%wg+HKnG$UVTgOI4fxGJnef{3kodO-{T}^rCodwkv<;>}{)B51A}RMSHDFrBq*leWcHX3R-n4cQ2*CkZm!U6G2JD&Ceg#xYBU&Z*YQgm9 z>}1RKL@xWHHxtyhh|_qJGdks1*Zxk7!^aT}`#x1=S&OfQ>C| zCPz6%id~I<_3P8$U6=Y21Sc`^5kB1N5tTN8&*Vt__bkf**K#cq!*B9<7*EDpCxr~v zClXvcA#{zGHXZj?4#=Q|w3<2vL0m764!pNzf3*Zzk&u@sH8i<|}h@NXlU_JHa4*xqdTNYS4S&O3(}!C)(}|2vvuH4M#aF})5X z3wTswAh|)v>gcw6Zjy1LQuKQs#_4|iNNg5Lu9tDdyh6)?h&R-_7)KDdrUq#E3^>X1 zoel5h@hDCT}!pQt>!KXIUkL7yy5vlBba~e~J+2IJe z^aHL9vfU7NL4*aQkW5fv}h-X$HiECBTIsHiYabA=XH3 z|0Ba};i@-dyBCRg=V65GdM)-w*BZ?~m;qIKH=PyADiVAsu}6)6~Js$iWM`y;V1PO#gM5o@MUk@U(QH@(b5c48Ecn-d^h=kIpAE zKfv0M(*BEx%WQJkXxWz1@h8vh$b-OX$Nu)MFtCbt^CkZu2h@+69<)=LKqdNXszi6B z^l9#@6R2*qlo?`pK!6(~<&{ll@e4d^Xwt;hi=S#3?IB5#DEK7O7Jtjyne+lbV4c4LG`4-Va-%X%UWnd>c{ za6^XV%dlE?-n6;il9s1PcqPP3QB`ohxDVS{Xq9kAFHWomoviL(F$wsL*{)0YjK%o? zBLTiTQ6ab;9_k-yK2@nRZhjO}5B^gj&=Kr7p3L)z^M{_#r<=qe?2_y^O5`*g0j??; zK2P#+0duZgFZG-qrhZ=m0-GD_Lhi>ZJjop0g4f-Q9;c&b15=?fMX;*S*i0jQVjMMm6@w)!?CJ+%?8+rO{T8j;c z6#X{N?g**>$f;NJ+t9kZ5Vzieaia%z$ zG#og2?16F z{YH0v$wDw|-JxLP?4R+8@3l$leOnIU|31)-mg=eZk}bFI4TQV`A#iGcZlt&Sfo7XX zktIgDgMS9AFAB|^pgm7UDXctCLm4emBCm0BCo!-I==1M4_SLwVImq^+w|^XO-V#Ju zp=DP6bPE3-m^N7UZMAR0Zjak{uzdjQi3LsY3$)?0OE5$qj?g&3&*LNGGK~@k>fYNT zv%1tJ%Ozt!-F2#e=VqmOe9_uYd|L`x9cK{)}zLzd*%SBVYs{M<`Eghz$=di3Up@98g3ZWh9p&bgv z8?PW2OqheS1|-SSQ|g5;Vkn4%{Xhr}5K;hy+?&=8o77Uk?BAVA`?e}EL@3(g=CQ-c z%)t3D8@XgfE}NUwl9<%ij2UdgOgMlcL`Cn^Uw^4Yz9bX5XAnJtxvpHet^|!tSn|JO z@lhZl3X#Py`hWu4)dHVb6+7+Mb^Gp#AKK!#Hprikb(fyKPGeNOlxSaTBui}{8K2ji zR=pBm)0#Sb`*w|b94^i^vRj?*@h;aR@?WbiQ~aD=mszM6DySDe<{AVYFK1-|A&uYY zIhxw4G8sUVgn4&3d3OYPci4G%_<0+YAU3SLJKVfG!n}_#GFbRX;i+V_ATm}E8MVrZ zB6dT+L}|ZdDUC!ajUUc~v+}?RE4p@Mi(IvZ^aCQZPyU)hr=UHngYmo|vG&I7T=L`{ z0itIL;d4XV;(S9CMw=<|DBA%y_a*ke1{31NheUVn0na%zx7UZEx{Hi-GJO9M#lp%9 zXgrTB>P083_l)?j+uy^t&r8FDBMLBK-S(vT-WXWR zRL5jusKGa`u#OR3^HN!E`CCDXCF^v!?k(spG3fsaewQ5dyIk~UUBv&S)_-|b4)NI! z{f^H7dQszQM}&#tvLbLdj8ZF_5cbXiII;NmLI36xyhNiNbVMEO7XOuL=Uyg7cDkea zO=w1i*(5?2YH#>nA-#x1<3ok}A`3Y2F{#xbHJJV|#1PZ`UEW5Uw+ZYpvFKVe;?~U^ za2ng|^1%hB5qiwAJ!<`{ZL)Z~F_Ni-yEpRlbO_IVP>cvV+pmv#4-x6MQO1crebfWo z!=qtgWm{}C2`W1}7^A_%cycIO7xWdamK-0xajz~g|0I=o;f|Hc z>#kwZSG4Dkw1xY)gQn+YnF24s1nLSnT@oWIXor0jQ2gNP{RQ!v@He0CuGXqn28q@R zy0cI}dWpAe;U-w@GHmZH-@!LLp&F-q#hmwTm2xLx&R+XGc9c_rjPS9HU-~hXOHTMq2<#J;BbX8*0mY|Gce^RB;u1@m{SWMRbtSo^ zMwdb;Mbgsr9ERm{Kg1HYPrt9|zN!?ph}waZ)waN5J>cpcxa(P)y7B&1(Y4^6DzNtO z@bShL?e!PZAhIyFagz}=_Vx+)?ew=-Afkdn zv|+Nz)`)H|ZRVc*&<$7Z%cPaM?IX-mbuz#D?&uX4! zPyUSn<}GjjEB$6R#a8Mq+uw$}q@$xvgJ{$JP9M7FczZ3D;A`Pqh4fZ}i4dDLC{KWZ zoqi3!4$Dn?FWd9z+R~}$rG0w#Dlc^pM%tdob<$tMr$p10PNK9|RgQOEd7n|6((CHG z*=*{VVZR1LG=()fFLxcLUIeaA7{bbk72ex#K!OGlpSe!8wBcd)teP}9k8ZU$={@?G z$#(=8nD=)#*h+OPYdlrFZnnMGUpIK_&UsUOF^o(PO(mkL!x@yg$mDi3ckR&jkCC^r z5j^PF6uuWapR7k!8zd5ZyQ?OWJE4sX}o8!;5Kq+AMsCyhwoaVrmYrf?LjSX5p1WfMCNe?nwy6 zZiQF{r1X5ijf+Bq@k`I%E^Orujq;lwW^Osvg>l4)oE@xf(jk-kv8t}K4o{s=Ok&{Q zrIV+XMm5m*Sfa9Cid|31y+S8O^^*~B9*4BCi~`Ir#}s3-LA5c%h?`(6)99@aMtlL) zAD%^5uO&x^e3o<|Tfa1H?7iJ5EcsS_37fKAEC-pq!CT**vTKIz?pe5AwQv%rL$^Tb zJ2IQY`8oy=FISCjf5fBw)`Y@YKn?HMwq0s5+ovs6kBrRh^YcLk2Jq1WIOu$vXkj-` zG8he0Yy<8517|SgZ5rFEwy4Da(;SkFGBR61G!Lw_G&_Hd7L%fDnoq{bxZ(J5`&i{7*%}Yr0 zdXMn?$#9gNT#&fUsWA+C1WF}J;q<4R42IbTn*ah7S*xsXBrj`jZy!9!bm_Wst=u*W zr?RA3KWy${mQe&KITdDs+rEc4zm#utzx&XK4KS!s3wP(9VL=0*49atresJoeX&Ir4 zFWz>#D{6uEo0cpc^XQ7_+ETJDd||1Z1@mk1x7pr}UIztqyzrNJVo5Z!S{h#=?*{_xo%~hS84N-0gv4YX+@xguzy;^F z1~v6Z*6=Igh&KWD+gPpwNMW5%D~xakpI`W|RwLTTK2&T&t_Njrr%ld4N|0I9S-FJ` zW9pqF1QiR58YYdi#xJ!QXHrons2pYyGcNZ#nve5B@k(-^7a@6wF*AA0mK3UEHV(?* z-sQI!_A91f#y3UG8x(LJDlZ(vyTXm*buiKP{J0hkiEOT&JWoX5kUDV+Su|4kH#lSd zF{B`$Zg-Qkvwao8ncC93Peg9biN>a~e_a!rxO1FQ#jte{=^r(cmpx@pvJl-gJwuMq zAamEUtX0xiAlY}vZ3K6cFKJ)3M=Wt2{w&PwpKh)T1e}5Ws2T(ZSPT1ePFvqlzJ(!r z5~eS3%u*LHkPcelH|Gl~nFVk<9(Hlixhca8B~yN~am1C$DtB~M(i8U0^#Jzxy9BUU z5;Rm%rg_`%Ifnk`!b3c(E_Qy_+h(+$l>J~~Z#C3`wx-@$sa?##!9-=jEDHk6m0;hj z9$GP3zqj5Tlb(1%=!>YXFw`HG(u!C=Y#;7HLk#n2g4Nl_e~_JBdL~x>D60`9Db2 zw7uo!Y}}@*Vo6x3+gkc|aN3xCw#WNZDO|K10ODcd?s8ffC`=3#UkdxzrTWeB9g&ji z3ADNoH`jeex>UVey~a#KIJ-vFouGJzSh77}IFE05*$QSvxB8j%_A5w}_I!yuy__$d z6Hi6jz9g<00p=Aes#|TLP@{scJ>GYrKrJ%m4}IUSC(9G*8*U@hF_mzmNfjCNdQ^iw z4CUC$S1mg64UapBUyNTc8)CyZq=$Qtqz~nF+hHrRxtQg&8G3#7xn;8?l4#=cFk-Rj zo=c8S-1xuUke@kyrPZmjsNqC*@<)B>0^9%_{K<}@aFW^pVl8jO zBxmy1OE;Jo#n~&AVT%rEb!gXf-gzgoK{wM`@4LTgfcyuqbSE(Db`puIs?+smS-%iG zDBD4YvIujths&xkWBbb;eRYFF|2BH~u)jrewgQKIQnT}-Z8;u%JZ=*a^o4zlFnT-X MWb@x<@B91z0Sa0_9{>OV diff --git a/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.rtlv_sg.cdb b/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.rtlv_sg.cdb deleted file mode 100644 index 17c0b1db87f10dd6a9b6a7a6aa02dc17bd8e1167..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2597 zcmV+=3flD)000233jqKC0001L0BQg;00011WpZ4R5F*Y(F zF)%MOGcPhQF*6`jN+4HdVjx9iX>@6CZt*Mt000000B8sR000000PO+*0000000000 z03`|l000000C)lHnmdSIMHI*H#`uaFB}E7+LRfH>2qC-K{l48r!k0~05kiW9DJ(3~ zsSrUWf<@Rmg+&Srixep=Qlzj*ks^hHU7A!3n2@j;ZV=Qn50otb;@-0$8S zBKSDrd~;{c`JdOExsTPYMNvE=3_ao5P2^{b@N<4LBja{IXWeL*Tp)9Vf>9zUioLS_ zn6UY<`JbDco3PEF5gpi(85X%Czr0sQZ2c~au+9IFYuM&5hz{(?Y}vdViRi!-Hf18M z>Y9wG=Y$u9mxb?$E>Y%qo7Y7S#zwhxL}pcAO>@&H^QzorRN;^?e&Ac8Yd+_qb=HX6 z^i0R*7Nf22u3+z0u%>7DofZ9`8uZbHwhGV4zg-*5w98)hZ?jM0_*vwft2a-- z_x?fGj;t0%sM3=%qqx(U6Emr>OpBLP1-n-WBq zaDkx5xS~+GDyUaXIj`seT?|kHjwuI459m^WGL0z*MGqbghmHfZZ>|f&p$7w$PK+s+ zD|+NQAE4j)<7)666g{9v70UgIu8b)MMGxqa;Pu%UC)YVBdO+6#^y!XJ^ne}?(A$y& zw;5hFutKleO@;_2!VuT!0v|2ADN8Xm1!!*x&U?m8)4p0KEiF5zr8iNBaxm7Nx0Oa%uRQftuk^fu-wvMji9F=gjQQ+Q5AMSM zOglB&8hUJkTLp8Awi8z!$!wx(uAX4w%GvcB)yIw5)up&25>w!Y+`36-|>1*W#FFJiT2eYLAC>&s$oSzkYE%lblF zTh=-L4A%`#u8;&)7CH^1xchrT?YASA< z%QYm+vYy{R{jjU!6rIP}K3DxcEF7QH*v8-2a&7*#|MGEu5#jiglHst`Ru`44{{6_G zuIK&5xSIoU{KczloUeF?)aA<_{>(l&*yd_3pP%XV#qp_)ZE$CV%?@jA`(S!~{gD9# zZjZ3pVXe&{Zx0YS{;b&*$Ne7yw_W&QxR)a{#P9se`(^8BnP1*qqrsjov(U%R*F z>NhvV{$=0)XWW?jkNaEqGL^H|c7Bj6&Vs1p*G%NbRF40){E=^)f^U-(+mAl~U?tN7I-|CB=eq+?}yS-_xb1DbVbNN%@S*MrEvHN-H8-=?3 zgu@lZNjIi)>~nv;D6Da$a_mprf3;f_>L}xv?&9 z>kr~1$3Q6_nf1?GPAQegcs*x%x%#Qgzm?ihnNyCFz{7etXvC__&nu51#zc*(coO|3-;zmQxq{=OW@te`BBi{Y+Tj zL(=&0OJ5V;6&aiG?C%BP)do5Eb+@j6CuqVGLwJU!{*g`VPkaQbgs{YhcoagRk?8yYY9T6#N|gSGLZ=ahMQ+ zqJpKMYz#!UTXT-@T`*a%Wc$#@pPz!%f$;sdD4nqJP^wrP#8c~HYaW~d=$(BThuq0( zmHTr4>1Gh(&@Yo9Qw^(!a6a3)Oh;7-Gy;An8tJ8klY5^bb;4JN(H*!{!b0$lx}9C^(g7=Al+yu z8Y={QDTJpcDY&L&mH?IBW&s<(UHINh|)TU)b~B!Dp4N!B>U-ip+ir?rj`r zm_imev^B=C>Wngp>(D zf1OyrmNSLrl8=K9|B-Wgejg9M>r-6!(Q)0me{}~H9T%Qc{K)vvQSsxxK1LrNCI{15 zIn}(0RX+m1u(VsV85nP1IGVt)q))uV`*WA^<-OxBU<@4DW>;ZD!P8%*ezDr6E zVAP6uym@zdc}!ruD=u=9m2bl0;#{f5RR{D~W=c!QyyOP^8UU$U)n5Pr diff --git a/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.sgdiff.cdb b/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.sgdiff.cdb deleted file mode 100644 index 3a151fbe85b7bf71734c558b88b5c71a4b5e210c..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2745 zcmeHI`#Teg8z(eJgbJP9mM%^gY?ir9 zE>p%PDkRYvk<3Ih)@&9wmu-&k>H7zK|A5~2c|M=_d7t(4Qb32L!a2(GE zcbYN4a&T1d3V;e5ZTbAs@+?dllNn(jgsP*wX2!P%B0Wk((t-Ey?_98V41v1miu?`F zi?J&Wvf-aBh@jv`k8+_#smc79&jm1}S%x(pIdWlSC^nhM?xsH*6Mv2>g}EQ{DL{2M z2R(~G5fiieBcY|(D6gshPCVl4qli8%opOUCSiJsuw5i*gDr3I6J54~3tRQXWG!E>Y zM1$)vz09uk;jU*gs5v%l>$gb1oA}2X^^oyBf&kMwYqwjHw_;*@&7%CM>wTfVpk>!s zo`?QYZ@(kVaK*i?%BZaA57DP8X$JOK%7L0lIJA& zWlS8LZ_eAkGOrGZgC{&O=?*Pbw=>qMF)W=(X-8FWU^9nC;C7Q4&{|e>{+flh58xs- z7|ENbFUzcGjY@f`P0ynx1C*n7v-x4C$=6yaXtWu$2uL1Gem@fwVkP)PoSn{*-0JV{ zmWgOB{3^n+FQy;cEa9)p=cRBc!>0T9CcfSyZ+p&){BVIJ?W3pR&~3J!u7%Vfyf5{| zsnzPjJ5{VPi_r%3f4o!YZF((E*h&^5h10I*TKX)ss_~km1kCc?HEtidRoR_W1xHMr z<8maC4A0ff@(RpNYe}|{IZ`yOqNekebZ{VlO;5Riods>_M;2e~5grrjjl=5DlOCyc z@`5gM(pJtFNp*jQB)j2jeN>O|SEQ%)4BPJ(!AJO+Fth!rB3|J_#>!A zML$R{tV|!(DOl~LMus--h5&@E8z&8pY+WpsC-Je_Mw=i$03dJ=K4f?RTUJ~FlY6YKc0Z1~$)fU%aG z4n((0hPo!q(^A;yV5=cC9(T-k!hB=SFgfiNDu9&9Tt#k>$Fn|be0rQlr-v_^mD;Vd zhKJ1;MYBzTC!cgTC9MmLz8*g$I=+GOj2_I7i2HCT4d>p)&}N|59#`!D^v>q52Hhq! zLp9j~J&}wRzTwE+v^gEV(PKl6@vrPqN3Lt|oD5gC^LW5f*F2tBHM7%esd)J(T8w+3 zO6?V$Wt<|7Cs8fz^!6*ksr~5w*uxJ${GWGGQ{rF0YP|WBH#YE!1f=^#q_frp6q5^W zZg!-;cG;(_w8zS*?d8fOr`^4QBYP;sV6IICJy)=?W$9nQx7Jc zA6mC3E)QKZX;0MF^woYBmXF>0x+o8)1 z7}N8Ot(Tdkp~?CEiW?NEtv|xNDfR8OgN~154?}muPAKm{SFm9nCj*V~%27^;Gm<%I zgPLeD63s2JY0kpAWW{8!+W#`w*~j)c(kfd3zc#yLl#pX!rBdOI+j}eWFC`J_Mmm*f zufX$s;B!>rz_a%L%Ilajt;ai`?la#tszUX8QgER!EX<@bra6BpaR22?yYC}%u2A|w z{xC{xA@$M1Mcw`01De{~K#Zm8Fh7Vg`3aR8dYkEL^D_FY8wvlaCYD(A9Cp&kvZ(nb zu5B;kSc4Nc&iaOnG-G*YOnU(z`$%l8dplTi!nQBl(HDEv%VS@U>!5k!4xte_%H>>q zB*1Y-kAKcmg_$@jBjr6(1e|t9b!n+x;MT!=V|Wpk)%D;GQklCSAjz)vfMsic?NyuJ zSp=ijthOzchBG5d8SzdZCWgz*YsTV;$6{HI(!KOmPowXp4pL7b*xSGFaSMHmOeT zueuL0J#sG}+lBBjZRqU0dlD2>_$GFn9-`7N|F^P3zNeTAw&=qHWb%LxcUmJn=Q@w@ z)TgeeR=1_vjDSyV4Sk;ZE+E>$=)HKy&K_fmzrWH*Jra_i^{>givjjgQ(;4goAfd3n Jk@1gp{|4HOZs7m` diff --git a/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.sgdiff.hdb b/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.sgdiff.hdb deleted file mode 100644 index 0d6da8c221b74ec280e8c3550462f9606e05ffaa..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 11161 zcmb7qbx<6z^Diz%4tJ-xyB&78yL++XwAkTZtd!#JPH{PkySuxW0*AZ9uiy8b_x^it z<|RA3nI!YcB(uq8b{7u@2Br!P_8*6VvHw?j{z+ZyuWoiO&Qx4H>|E@eR3HyKM=L5W zer_r*P7ZD!4sK2^9x5$GDn08@R5Dg}?*FDvS^gKH?&JRgg3-bHulx^BXZdd%40~dN znno^@9f(SefbuobRa{kpYNTBV*W7xu-CKcTyq)*}1tECoBM&uvV!B{DY>06P4U&wE z^pb~xm{{t9&dkEAE*_#ZW{Zoo7omwZ!lgvZA91!lD#T79+HG_LHImdlj8cHEZ7hqbCaf{5nZwzQfJCzbJF{GxMjuueVCDZiUN5gwY`>o4=3_&Uv zmp82ko)}godKU#$R!X&?Gt082-pbR-mRMNVtE$jV=29fJ{*2z13}bq5k!8L$P^{*l z4Z*~gELlu`?uw2V#3xM#^eI*cka)9cMI|zgE<}F46=vr6V!kFV3wBBfKdXFB zJ^TOJf|hkTQIqi}9kGDQ_8*FLPWlyBYFGb{_01hFyO|!EHh5pyLse0bd>3z2u*GRy z1CTJST{U`#)u+N)W6L7k)dd_j^gXq4fc&waI!$pvs7nUIf#66g$FsZu6RAzmY`{0?A`&WW?xWOG+4Yky9 zJeMd~kHkvx=Q?|VBFc~VV;WaPx)5u=auNPDW>-L#W_iOY&Ep!S#YnQRY6qGyP!2om zqN_+oXtzY%1*iZiGPK=cBM~>zJa|GBLU_OlXmNBrQDr&sQCL(N;P`?#Y83G_HX!~7 zt12kV(VykOG=FkGB+EBk_+G$nxJ55{y2UsI$i@C|)Ym#8;%Mx{e>#_83|XiSI=+fV zX89r}9rxskzk0mYU5zvk4qB~Mcx*pY#2P5YmFHaA?kW`Fv6^V-B*sqKpXTM5PWd|B zFBw1-If^x1Uc4*+m>M+YJrc=`2jg&PEin)*#MgrqByC4@W)LK(16nGOlB{Q@*zBnB zm`S|Vd0Q;Q6yjr4X2@dTwm}|#2^kL`n=TcgyNUBd40e%f^_*fOG;AX^{@DatYUhU; ze8;c8EbfKAju$RWaY5d7fvy`UHt_RfLG35ManRVHgasm>TncHdO=%=M|jWzrT4NLx0Xt(_*On&Zo#XjNaj09;g9{5Yp z9@M4$ZJ!Q>=BNUAo?OZ`;^}odchvH8>tsH;-7TjgCh12#D{|x_ySA^&g{eXc+{jhD zL_KMPgy@Ll&uxW{#3(Bvg^MEkPgg}Y0<=31^z57mw~hCTPvm5o9Xg8(`=jkrY4c}z zN}*E4JDoYfIL_ZrlQ$kUCC7c)E~L{`uobB&l;aI0Z=g=1{!u6GKj^*I^;8Sn#q*xs zF{)%PkwSJLxUkM4V-U~#ZcM*tI7k`n*haM{EN9yG?rOA`u&XLnpYrIRHHWY1PXkS8 z4sN_&zhSSakYRcI=E+<;=Fx^e_;-jFd%QZxBKycbMIe<+G!COHN=C`MB=j$GSL>i| zLNWBz(ejI}&@^AE~=U1>{l+RYmRuePrkOm%G!#oVDA$hvZ zD05i$m5S^LEy9Ij<;_jv4Jk!%$UW>BCq$`m!CA>RO~q0_Rnr&(^*}J>o~(PtHGkaP z!Zumt`B%|l>*w(3Chjr{&ew5fRc+&IYT>1WYHAOD&C9*3e(jzUkHA+T@T@@j>47nz zXiaxBB5XRjo_mw&)VAf9`j;xhdC;_2=v)0ReAffdCKJ_L zB_>@B3OBqTWmO9?AGCf&(N<$umjFi(%f>@?cfT-8Bkp=Jh9LeZGU<0p3QXpek~T%j zFXSSuOF6uwvWXlmXWCu;a7Z;0!6E&LUnByu=A9N;^9kwZW4zGTzS zg1%iPZAKMI(^@PBv|-pzJXaAa4lD|-RcpTD9|a)ak-i#6Rr-F7`T`VhMf)eRed<+O z#3|OI5-$Z6QAk+^h^A<7cp0B22?UE+ZrAbIFo97zNA^ewPmNZ(&?E)XIOB>8zXVk@ zlje$XXr?wsey-TS8HCq+o8 z4nvwm5M7gCQzlKkdkiT#j7-9Zol>-V!ybi+pwi+y=g3q^cH4q{u2s(ua8SzUIr%*R z@pqUrgDTNS9+-lQF}%YLDy=l%0q;tp`!@cXER`B(F7pw*3r3Y6#0C+<@V)?|(=xay zUy^C~(Ooo!BW48{{a4ugfWeVMaM%KZ+fp2>-`NV2x6hN1#RFlG+AEFNi}DDx@V2{} z(B`WZWoX80=US4kY$X|M_M#<2f^IR7#t!^Xm5Ug2)#5o&wHz(&M{mlRvh+u^SVl`` z@$_`>wQGj0DvtH$Sx=6Qk_}o4oEJuQL@{ogbaYtke+_6Nom3vHb);)!kI5=O9+*j7 zX`MTfiTr$^g2Iu&fsQbiiRi11zwErAEur&1nZ=;UCpd=@k*x~Gs9QqQmP*0x@TTd*3{XLg{MXjX9Z^{52NUDhP2GkIR-7UQDv z$#^=jM7Y6!2gvqJ0&$vS8vYq3scH936#F;7HB0IC$b5*mfigdv(*;g zYb>{Wk!?~cZf7Zu=yLC5ZB||KM3($K46JZyyF4u1U)5yKoULB1uKPJ`iGcob{P-$nHE}89aiRQ7mE+F2$RE2i$JD+s~B~c|@FlFCx&TmaS z@I`_h^vkP_Yi77$mXPwM*a9*YCIz5<4|V0@!gE&S@3S_7`sbdhG6y zSY2)G%}oBIj*H+AxVvFR8yZ=DRc2bHHPpzO>fS1>l*4!bbTnF}bH$%Loj&eW{RFqL7ndtAO}a3-cT1Jxq`F&kx4zhEqUd=8n%21$MT3Wp^(F&x1^2D&?3R8>&`~I1VxuaFJ148l*>3Nkf zcHtZalb1Sf?9)!Re|j-nF#R}Q?~+lX>NQ2VDQh%nD=Zp%&v>>>JYOZ$+8T9{ zuDWSek%_YA0c1!#T?=6sHd8AR&aUyWG}IGFKWT7JuTCG^oAOAU>bz{~S%=ghPIfUH zh(J67>F>ht)f~>eU9^@(Tb)hoUmmZD&O=i$8bG&=(B6An-Rx&v+^o1!H^Z?zgZ%r| z{kw**Q?efJx*IY1*VTMoWO(+W-e-?GjA~Ec;!>w*n(Ijh&kBX@@4SmG>u?Dsv|MhGyf$ zdAAB=i^CCyg8fkjRPuGxGFNGTZAlnmTI3vIs5{4hC3Bw~)a7T!9RAS;bi}T-6BKZ=P1!*`DW4cgrr?uI-ZJ9+aCr^6W z=F#$-M@9qG(2`x$eJE*5O5N3;|W8uW9k+1|Bm>OW3q4YUlalF}KLA zZ!rEF8Yz;K{&<;w)?QjGpUhUswLK$iPGp!x*7fe-k|*wyMU|}G{H?o+>I?m?r?gfs zJL{3lD>wD-7?X9LM{|m}7k(Wdt!kOyt!xIB0pZ~PfW_y!2M>J2cU=P|CF1}!|60|e1egIBia^R%Ac=f4OMu@6`vS(m9b@9Z{~$cF5$ zb93;4F!Z9jvTft=9ixToPC27}mB`Z+b+8HDRXQ*lvcU$G>2~JFWQQZL6tH!FLtx@A z89809hNPmmgV~SVl}P$>22DRZzJ8g5&+)vG@At{D0A&HG_dG$o7@Qd2M`1qI;~|t} zp=yw~S*b+`jhkWp9v}rEBl@UsBUP`}41pt6z+h65?KspUOIRkOFOqqC8BW+riLJ8f z*)NY(&DUsq^VvOQV0pf+le$whi!;ofH}&k7u)t?Jd2Q+K0P!q4VvJ%%yZq49v{ zgm(YX)-|cIGwrFJSMm#H;{zZys}T&p(egP^HXa|grMdejr5ouc7c)| zwhhH6yZI|ulJOCFK_8e9gJ>zJl|%%Z7@z^~3n3dZEk1P)o}45DJpCm&&EFnkZ3F_c zM1&5NLSHoYa?#+g%c*oSEke6Y?(PW@Fm>Qqem*!%S5U}J$BQmYPig)@Rh8|vR;$KG zcLzd>A=#`D!HFHKms4&7-i^*1*NncAkEb;Bk^Pjt7;CeiIcuI(3q{hccpb$HVJVxp zbSpiCYZHU5fB$^Wo8YM*+7naE5|O`+kIq}A5vZMc?wzo#5_FC5j5no>^941q*#xHX z@hN@z?#?}Xb9rK!px3B2k&%II6PNCthW(>3>-(1FU%pY+0mqn?(!T-Fv~8;wNMjxh zHI4;UZR#~+m552el`@G(RK5cx3I*)rTpkmbp)?KDgPuf zi<}@=QxSWSi2*57 zor;o*Z>|7GAcVjH8*Fo#+y1$r-7Q{=BV!*CGZt-kXoU(#k1t2~axz9s@1XqGy)hrS zy8k?utW~BSlc^($=bPnRJf8yqp_kCSXJ2tej}gEDA&f3Imemcy8fN+9E1Gmq6|Nzn z^zn+jY?xk*o66)$s^ZGg=6YO&13&#{Jmy>QN8GRFpFdz;S@D03`s{-_Mduik5{-#B z;AX~+yYbP;eG=WQbWpbNmqiy9`a-}mD}^KbjO6FF-GK@$@n#wApt)h_qsNi8@U}2q z$nud8%yY|z93qjAlg#|+wp_L<);D`XT=b#bm9uL8kq_m$8+1U=Tw0=6og4xH4OBozvUnYxV6=8|`t*-fZ+kkgS6!Q$vS-qJ=@i7TS zn+!=>F9xMnq$cL<=>-?X$sPia$RA&z4dBu|PG-z830kTdCs$~!4n-w^GJ*1SvOGx0 ztazHV=z8ap&Q$E`stWnm5+<9PAcThXCgDH5Td~0`FR^6>8B%sl0=%3f(X-8{HqJl1 zP!LZ;$CL}l9GIzzqEGXJTgEjbR%=rrTFIJY5sH!-=2`oPoV^yQwvUDuSleJhwJusm zeVc&fA8YZzWetSFKqj^tOGndh6MdJ!WmE*7=9eg-&AUWxhy455q@VCw`q?nYk@JXW z5!xzSFLLDP6s7si8AuWB+NvQVyTY7}@xel3B+l04;mIgLW&`Vm|=hlEM?h_X_$0(|MlxCNSU8j_-4g`9DJ zpQ_s-Ts~v8$1HLKI{R4JS~(%V#^M*$r1#mX4{(ZG8gk?q2Z3DOpCI4DN&ZHW?exeR za8KFhvu{VW{~S1>4eLE0Y+z$Mx^rBbove-0*_1M_v}V#sy|`&hLT*!L(X#gy$`=&5 zj$8e0458()i`T4UFqTB@WplrnS9~To^>L#Mo)N)lyN<&iUtbVcW&G=(QE;gP`S5My ziDC3p0AJiAgLf?k!rlg%fAr9WPe_zWp(6`)Y?6t^Px?0JBK$Xk02XW-!nr(tXAO1; zmt4nWXh%zXo!qj<|a{>!4 zTkT@xr6bn{)S=_r=QT2wp#@~lFUUAQb}~c3wV~d%Qr@-qxad4qa$;+mhrHuIhc3XA zJ3W7|%!mHbrTI0u_7S)YM^PD#Ja%Ee0My3-=#GUhV1Iuj&S`PKNb|TdXF>7g9VyCW zeNPedx6gO5dz$yVOL7+>cbqzQUcz{d!=in%Re$?R04-#lPY#k}GYPlP)kATzj%R>kGi!Z($bXwZ3* zSVWfQH=hn$@@JdtqwX_h;f2iCY^jEY)1_CqAJ5Kt3=@YTGqDY>A-d<4*!z16p?canJN4ftTrfVTm z6pxGn%MnA4W}?cf+RxbE-7NWIqB1A?$-Dc>hx^I<`^m@q$$R_B6$PCmRED}K2;hCe z=qK1=#epT&k#WQ6rICf;@gIMCHm5?#`$8wEiEKcpQjIMLA?Z&hgFw&@pafwmtYN_2 ztFA#bmxY!6Pv%^9INc2}{HN;0)SqkbQI6W%*(n4MpbZ9a8ANenZ`34QWht;+M#2Uq zA%MmJ2Pn3CD0X`&{`OGp^ib^gP|&7%&x=l4-XQ?)J_7FW0C&7S;{?Dy%R9G_9fC!n z3$JhZ)-SZjLKIW)`#q)~iQe)iT7x%dp)>fxJzWfpxhO#zzQJNqhaxZ=^+(ccUHD5E*yJ@Rh`wGT9NPWFKad;tLa0PU{R7P zq;-(H%&EYAks_do;vS+_EM_kO=1A=Mm(Z~}soabz+jjnnG5vtzPr|??u0jlDDKBxf zHBF(ub-2V}?!zsfbo>Q2t7vKq|KX5&aD#gx5Y@YGzV#{J**d>-0^}c|j-LA#HgMvM z(I8vV(C42dJEGl6_lA?XV*OraVKXLm1qZkzr8vP4k!2?P0Gx(CrJuklI*g)s8N9@Jzh!1G_q0bwq>{pD&anwb>2yJFrT7nIbMKzxaA0LOk+2b zL%Qey6M7uYALlS*Sq=tBBO8;((tM73XYPeQaSEH0Gm|v|Tg8`fq=TtM6f(PZ(6an* z#yx!f6mx6}7k3Ml8AigSQ9Ehov!1vMb4l_Jf(mtH-RSm-YQwgMkm zSw~3P`DdLhe4;WsinRvsS_%qBL;xhgT(Bn3$q|yq(=JU6zeWh(HX7#S-r@TL^%wfx z0)6Ee|ALx6S^e~7)h6n}pt%o)#Q;^&5<8wjFeZ0*f0f1VA`bf}b%9nNbh$!2hUx~5 zrd`{Ggw?<$?oWmG6?AarlzgM09gcF4Z%03xqjsogZ2Qk}Lp8?33Y|BaOr62UBiFPj z$~uFE0!^eYN_L3B5#WZyBA?wlpFKvFPmxWle5_D|ozcUISMf+7H%gYNU2F{G|3f`% z;6#}I?0QBM-^DLj{rmpww|^&}FiD^js!2mKJH@+0*VmF=?<-eC^p@RPWBo?BX^oW_xBm^D%I(mUr!%cP-_mxfd2X>FtZ%;yEvV z6Z~^!8!G9JaQI0nu;>25{Qk=PpV5okQ)T1zVq>$rcNX9K;umBdFQv?NFG+t!O@C&Q z;}gfZBC06pmMJ<25}gEzN-_@SffJB@qmg|xkbS=)`=%g23-ye5iY8Fm%xkdD&#=y0 zheg{5%Qhbe&}XD`X9nu_jqfKv!2vvR0e4OmCvpgLSr{zP*R%-4^R*_IX-lg{OBp>axjde#IAA2r8q?YmjV<2CWNACrbGv5!?UXDi-< zJGVLbNKHag8PN=SGGVOsuDM}3wOHpno$hI8A%hr~yeB*qsOqsVHOcnZifr#!9G2|tsi zHFnKOA*`udk?{5uAkN>_%ev#ou6CIw%STZlfe7R^b0v&dNi&qg^9Ti50s*XA`?=nc4?5((mLsV?We zL#UY_Wa`#Ry{M1Eb3p9bF4#3_Yi265okWjvIoslw=7E2E-g-kj6gk_y8=bAd?)$@s zuDR8xoBNl3amZ`Kp-wc*)kQkKaygshgQ;uo%s(#EJ_%cnf7Wu;ck*?;&;NWWf}{A} z``5@i?#kaV%=JJJ$jhr~xW)sVja$HStSy!3UR_*{%))o3{V+bA?X*EIROi`Y6But) z88S-0iyXEd_w$r(CF?Mi?up+#s&hNM+0)6H20Ki#2N1;x@<8+v$tOB{!Uo(~hFG)? z&kM{i=`QdwLiLY>b{j? znNnVo!dsUXB?89k=5tODnZp7Qb$0y4HMlbNY!Rer?Vr!!fu;Z))`DEdXwiO<=r~BU z7bH3g`p?y#0EsFwe!J$NZ9b8yvkxe#rq)U{SXR#+(Oy_UB-wk6U35YhBc9JP(;ePd zifO0{PuWNNOc=58`-~7@JJwe9u;1y_Bz&>=NP1&Y1Bc@=2zFKcW)RLQ#1~R%>CM#4 zYs3f_cT~b>bb|R#jvbk2%f6OA#y=OilQ?rq;a}(Otwf-YSxXLP?r zot{R>*>&-?R(EynqA7EC6`3q|CeZ-C3A)C6kGpZlZRCtw#xY#Gl3q1V5%;-AzlbCa z{b9NGW%O1)uP1Ye9^d0?7`Kf14g^yu9U(z0GS6G(!M&Q zfo^kVjrh>^7XQYo;XW}}8pobkkqC~vWU?&EPdm_5o5G*L^UQYu49xpG7`9T+!4Xdfuaj-xWb@pXkm-YU3|?(`y`zYTo0r$siZzw@XTL-G{;DsAkV0^G_lc$|3QKIKB0v8{FZ}KI;n1%pA5g zsa$v453Qk`J;H-F2=WWH;RQIWcjm#zOeLepbptS~t8Rsu=3%)w+c9H+ElQdKywn7&t!B0fjFvD5Pk)aj7nA%`ksSh%7%?IJ5{Sl|eYV zT2ZB?`PjP=d|NpF@w?IFyr(apBkpRMKlRkC<9Vvf~}${Q~O_ zn&%Efz7oyigw0J9(S1+SQm9Cd>wNr3CEpaqSxAH6*0Nh>SC`TJ;}bSk();v7DGWE+ ztX1?elxc3eL?DA4QTese`xjEr_vcZnFIAO=U#BN)*>Hba>I38n-@so*zAcy&<*)cz zX--|#ITEAr>p6=c;Yul@&I&p+)t8*b7P^^&dAL7JMf&~-3q}rdak#AByl9`SkokT4PfSt@ zA8v9Ae%QQ6OM|Z7qhs_{M^j+-PoLp54aeDKapRzB8r8f4%4#CSLYMH!S|Y!4F-RZ+ z2#dNw)@y-R08jZ@$WaId1v^MNZAn7eD2jlw7^DMp93ih>8r~&rujQm<(TNt<$Aup^ zbU_;~q!tyndj~|JERvTqrO;3P;UH5k<;Yp62_7Tn@QCxmk!fg@py!<4!B*@TFNo$hy7E;WYr{R> ziU`I0GMfXvj?oQU2)>P{d+=%(W7E=W3}A} zM)KAw0Pz&*UnamOBS}qXZ%MG)vm}lOaofR9r@>2>`PrJtIEm}H2gvz`$K3L6T= zm&Fda)I&eKBhpAdJ*)1<&GVjCDAViItNElan&SX|W>wDT`{0foC-vn=gC6Y9Hsg08 z==ZRC`OO+zUY#$z7K|kcyQ;WOXfRLIB>q)p3U#_)-05J;<*JA{eochE-Xt&M9@_Sg zCY9n1WR&6&ThVnZk`}4eV}4FX@IFr8Ll#FOfuM}jkZ*4t>s*;?t?YTEN>FMm@OOk+ zOKKGgL=%K$zy?tf-&G$R+As%QV%=H5BpSPG-M1uAqqMPo9hpS|h|eg|2o9H6&@6RF1w>A{s{oD~ClOvhZ>#Qz^FrmVValx}9C^(g7=Al+yu z8Y={QDTJpcDY&L&mH?I7f%P*m)IsU}P#Q#uKn!Q7U`$F>VEe!z`q!OVp%x_b|36SH zEg{7tAtB+1@%Agz1U-xy4b27Et*eji-oaMA>$jod35K5r3_-$-M?UJ!th~8&=f|U} znqgs{okwS$bVv(Ki`(T}XUgnYT`xlQNzkeg~ zNS;M7d_yu@blSiB8lul6G8d#O9RI<-_k~@2X_lTKVfY$f^JT0|3wA BTbKX< diff --git a/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.sld_design_entry_dsc.sci b/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.sld_design_entry_dsc.sci deleted file mode 100644 index 7ef0f30be882876688803abb40a778e34dcc858e..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 276 zcmWe(U|?9w%?KnJ7_xxS7)Xbu78Pga=P4K(>lx}9C^(g7=Al+yu z8Y={QDTJpcDY&L&mH?I7f%P*m)IsU}P#Q#uKn!Q7U`$F>VEe!z`q!OVp%x_b|36SH zEg{7tAtB+1@%Agz1U-xy4b27Et*eji-oaMA>$jod35K5r3_-$-M?UJ!th~8&=f|U} znqgs{okwS$bVv(Ki`(T}XUgnYT`xlQNzkeg~ zNS;M7d_yu@blSiB8lul6G8d#O9RI<-_k~@2X_lTKVfY$f^JT0|3wA BTbKX< diff --git a/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.smart_action.txt b/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.smart_action.txt deleted file mode 100644 index c8e8a135..00000000 --- a/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.smart_action.txt +++ /dev/null @@ -1 +0,0 @@ -DONE diff --git a/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.sta.qmsg b/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.sta.qmsg deleted file mode 100644 index 2c5264b2..00000000 --- a/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.sta.qmsg +++ /dev/null @@ -1,49 +0,0 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1571318786702 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 32-bit " "Running Quartus II 32-bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition " "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1571318786703 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Oct 17 21:26:26 2019 " "Processing started: Thu Oct 17 21:26:26 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1571318786703 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1571318786703 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta ten_line_to_four_line_BCD_priority_encoder -c ten_line_to_four_line_BCD_priority_encoder " "Command: quartus_sta ten_line_to_four_line_BCD_priority_encoder -c ten_line_to_four_line_BCD_priority_encoder" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1571318786704 ""} -{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1571318786766 ""} -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1571318786973 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Core supply voltage 1.2V " "Core supply voltage is 1.2V" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1571318786977 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1571318787087 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1571318787088 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "ten_line_to_four_line_BCD_priority_encoder.sdc " "Synopsys Design Constraints File file not found: 'ten_line_to_four_line_BCD_priority_encoder.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1571318787385 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1571318787386 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Quartus II" 0 -1 1571318787387 ""} -{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Quartus II" 0 -1 1571318787388 ""} -{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Quartus II" 0 -1 1571318787389 ""} -{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Quartus II" 0 -1 1571318787390 ""} -{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1571318787392 ""} -{ "Info" "ISTA_NO_CLOCKS_TO_REPORT" "" "No clocks to report" { } { } 0 332159 "No clocks to report" 0 0 "Quartus II" 0 -1 1571318787403 ""} -{ "Info" "0" "" "Analyzing Slow 1200mV 85C Model" { } { } 0 0 "Analyzing Slow 1200mV 85C Model" 0 0 "Quartus II" 0 0 1571318787405 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "fmax " "No fmax paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571318787406 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571318787410 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571318787412 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571318787413 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571318787414 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571318787415 ""} -{ "Info" "0" "" "Analyzing Slow 1200mV 0C Model" { } { } 0 0 "Analyzing Slow 1200mV 0C Model" 0 0 "Quartus II" 0 0 1571318787425 ""} -{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1571318787476 ""} -{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1571318788362 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1571318788400 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Quartus II" 0 -1 1571318788401 ""} -{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Quartus II" 0 -1 1571318788401 ""} -{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Quartus II" 0 -1 1571318788401 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "fmax " "No fmax paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571318788402 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571318788405 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571318788407 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571318788409 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571318788410 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571318788412 ""} -{ "Info" "0" "" "Analyzing Fast 1200mV 0C Model" { } { } 0 0 "Analyzing Fast 1200mV 0C Model" 0 0 "Quartus II" 0 0 1571318788421 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1571318788589 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Quartus II" 0 -1 1571318788590 ""} -{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Quartus II" 0 -1 1571318788590 ""} -{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Quartus II" 0 -1 1571318788591 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571318788593 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571318788595 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571318788597 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571318788598 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571318788600 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1571318788822 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1571318788822 ""} -{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 5 s Quartus II 32-bit " "Quartus II 32-bit TimeQuest Timing Analyzer was successful. 0 errors, 5 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "369 " "Peak virtual memory: 369 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1571318788862 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Oct 17 21:26:28 2019 " "Processing ended: Thu Oct 17 21:26:28 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1571318788862 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1571318788862 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:03 " "Total CPU time (on all processors): 00:00:03" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1571318788862 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1571318788862 ""} diff --git a/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.sta.rdb b/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.sta.rdb deleted file mode 100644 index 2702c26465ea935a80c4f48bc429e5868c174a28..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 8172 zcmV4R5F*Y(F zF)%MOGcPhQF*6`jN+4HdVjx9iX>@6CZUD3Z000000K^^u000000M`Kk0000000000 z0PG(C000000C)lHUHf<2$aR*so3>`tHpy<=q)pq2yj!g|CIo_S`O!mDR@aH`btJ`U zn)c{GBqU-@0yF?xR(#wa`(O2+>d!r={q8(4gO^CDPQ9BYd|(2DnQ!jBb00Hw{21pCasC)5 zfvS_z)HOX*C&Tq8I6uI-vB|SM_RicXdm1MG$fMN!vH4%fJPhX-k$*Nx*k{9AtkLf` z>WMd|83jfy1-Z>jxWNSr7s-5) zuv35P#q2Z+XLy3tQ-%uIX&8BDA<4S-nIDX%i!oh~y;qO~n@8bUM94bCFZqsnh9xj#YEqG>#~FU6}% z8ks?*kheRZPyLa5GW8gKc@3_{OeJ)i)z1B70?{Jd%on`g1`lq+E>z?D5I2BH%y9_7 z`2rdul%i&eRy3WEdCX>RusC%`$s&R*Pc9T6)aXFrNi``8k}dSWU4W9v|1HVhkCVth zStMZ;TZ}!MdM+xAyi;h1lyS{qF=dStdb)_X&W*jq^{25_6E*_l{0R)A(m2`SVm5Q5 z3)5)G_C}EheK<~F)Gx`Xk7J$hkMMkx4Dhx*-|Y?AHMeijPVV8E8@bb|H-(YT=DyHj zByaqO`D~=0v2zzT>c^x9|G83niN?Dsqj(>p+htu$!H5}WXJ!if4kUa^<-W2JDWAg@* zl*29iCC5zZM7(Sy6WqQG`;RZdF2UV*5l|%2m*SFAu0ZQeWP3tNFbX5`yk@M5Ve=*C zfD-)R4NDEB)IR^adF_V)m9nJRX41FkCT=|Oyo4QaMYxPWu61O*bV|IBa5)&ia)S{Z z%%hzzCBsNfk2|=MTIR}}$9_nrPtnBGxHlz8ZwY6UWTR@PR+P0*7jv_aR;m9)j z-NIv2zmF4@D_d<2LR9$!6h_dW5RoMs|0j4XMRqhC9^x)NjB1n7C}#CI8B;K*zg+kb zbcSOCLR9+%Ffg^TH%6qPh?Kene`c2E_-5Kc{-q!=N~dU(8mam>>kj2Y>;^K^WBco(+nWA9y3re|255H%Y^O z86e~%c)5N6)}Fb^B-74qWRdN>7|lVwh$UG`mtb)Ed9)$@GR=)E-0wUAmC_-U`G2-> zSsh28Aey*mUWRX88viwMCSGtng?NsW@c0y+3~}>dxOY5{d~^Vki(?N`9D9)!C$9B! z7N30w#r~2oHaDnl)5WI#o9)ls*JV!OXDE@ety5%FQ9LX8<-kIbsHLGub86m#$$`kf z!*l6RVX-m#KyX?Jmgv%rUEUo;hQhJ=pMSQoad(o;ruXkoJa>HmF2wYLMc(w@cAQ+G z-%IXqn@!LWy0?82o-N{Co^Flec$*~`bMM}E;=N9G`2EiPyP`*FKKTkUUZifbI2zsC zei@B+qxt#EWAp%$ga`kx;_dr)cSNrHH3c$tgR=$N_1>1o*b>lNyiz0ie%gVDbk5GE z-e*4gAMqqSr<}Lh#7E~0SGU-k+6~pww$8ld;golKA6z^dqsULU>!SGfEz1uOq`o+M z@|fLYpH&yR1)YJUEcANjQ}=@1yT`U*@7~re_K#_`Y&WIl&Smu%z^+~M))wj%gxsmU zt!e2(yhN`lM>O8n{aWoL9AB_Etajrx43he(JM*U(yNn-4?j)SK5GW!^J@z91ROX7& zdzN_^>&+8zcjAs-oJHXx7}rPPG>mrH`NU5=JV9so%n#D+K(_DHc0Rvx5=O8+Grci? zO`Uds%x-q~`+E=icRt@?JD)R=lVQLzhhkvF+_x3jk!Cf;h9l`Gk;BDsZAQt4Tp1Gr2A&G(HRWecOVkUiI4{WUoeG7)!+)<-Q{NW zMu6NrJw3gHs`1o-W1xY{T}ZTHLze7qZQ_8wCe9Ylb~X=*8(HS)XHyULe9Jccce$CX*on#@PDP@_!!7tk^q!_8gv+}%?O z&(yug(0C3nMgy}GkH*o+8e=$ymYRb@r6mn-#%%gWOx6gQvq2*+jEQ-6kpPPpdO`X& zb>}fOQeE*zmV95Huc(EF5)OOx4=P+}Y-G~O^{IE7a4qBdrE=G~YErsLRvf0y4>P!d zUI2Q=?zHYs(KA8jnLi#+$!y4PrKwbgY7vZ~F>}WoR-(rb_vjzwNH&zbl!C#o9W|v|s;_)kLSKUy%?53>8Y-`cXs%oiQ zrHUmIrH{I4+c25hjfqDN5!}&J+~>rh^@}TQo#%pj1fDnMU5pdh?D(riOdW0Xn946JnQzYBJ7U|JP(G&IqO7*ErIT(Z*;P9Z@5n9<}1w`63dXTp0qK%-i7svu zDQ`+l2XxMbF5$nJy$#@?W<(t2GA zTLF8M+A_y5E0U!|HgxJl|4$jcY4h$0`Z5c2fdt{g)VS3#ah+3|Gz4Fm3qhsBNaJ|4;jFSZ}Q1H2y8Kf3~D#(=ibQ+KcN7N zl)BXYw#^kd_wdX`<2dvLSZGq}ZK6}ZBL*1M$;4p8xi4pXYD!nOZ*G$cZ71jG45(qM z>W!nvH^Q{?3p^IR`>jm2t=nwtH`LjO6W~*@|Hf0O)2~x*-PykXn@kev91-T+zYCs= zwPV0S#NXRiZR-b6a1S`OyYu_(Xo5*A`9gwvqENGh+$1On_^X0bOm0#Ig`-CuQia922WB{@)ctaM%*4>>sQ3Z;)oY+w~XZsR~5AQ2P2BSEZH6HVE;xgeC z3cPDE7Am-0py~LQxR62i$ zx|)>|Eti>XoqASpARW)ky#J64GbkE4;xh5%#~VLb)it65*MOR-?O7N%s>!z&phmd$yRuhy04V zv1L4*Eazc1KVL;(E~#(@UN$#3s?{ey%?_H=;~}o(Od6)KXOx6|1E5jBf{sgG-gYiW$nnYoM*%eG_ zPY_VDvwrlp1JqM)lX~@*rgCQT5ei&mX0jA_g?0ytc`uhjRl4P0g2w-wTQ09-HF_&W zYI;mim>!3?T0-vSPC2F4tYI}`^NuZr%KOQbT3q~QIl;?aa%D}c5l*k#N)`9O%lJu? z?q9D$_Y#L}ke#V#HLC3@sxR@5CI{|v4lZ@aDfO4sw*n{d#+8bbcbq2qd$?KM8K=bN zAq9mczW5gKOO>L`U7CbF)`YF-ic{jspap?f@Wc=(xw*3UQTRwJC;Tm@Xl{g{HjuA5t>p4F%(H&=>wiQ6$bxQ?5< zYJID5QmHt3pJbB1+|88|n}-w>=G`1z1-ZFWgfd@b61JL~TNzpqxT2fGk~Ugy^nLtb zAt7GA@t4YM53|{s^=pb=T99)6H96~&Yw?LiC+|ZOAbT=fsQZublRCY%+UM2*U3PlL?f(`(4?;H@)5L*Wu?|qEg>N z)46K+S({MluxH&5gA4vP3Biay^!eB-)BDR!r}Xv1I{K`m&+k>Q&M+N0Z?W>=XKh03 z=(F|)Fd&*T5M!Uert3|{C|fJH@^Ebok}t zW9QSi3}0a}ao40fd{n45k$Q6=wiTi}*k`f;J`rU6via$)x4Ej;gsde(O7->B5!eBa zk8u8CfxQ(MF?;lgJ;%0F*k6!8;(PJP1lDL;4a;T^7T92bH98JH!ryV4JA7lv!=JHV zc_-|l*vL?n#YQ#QQ|gsZXzVFILl((D#n0;7`)H^=u~Fkb-{eFje-}T)3+&?-c%YoV zl)*uXGOw=mPm%cWS##Lv?6-QYA%Ateu|X8dEqM5X8Tq=J`uh7m-e=<%hXACFVvIfD zZs5T%oSo1XPCOTX4}IXr-p)RD!xPIaB_3_!CicpcS;;G4O{UyZPZXy8;4G^f$AI^v$d&M$ez_ z46c7etnTs6!04-Hj~zWd)L{4~_c}NK%?lCJv{n}TddMZRB(h2w(SB`@u-77OsLJIk z+nYo#sW2{SQ^D-6UCYbRFe_U|tZjLQ5A==7ddP-@DwCIgkISlp=8j{uQ<&4le>lu* z(!Ol@FU8iXxtgW+QO)v_T~>0Hs>wJsm$<<}CTI}A(>T2cv|SqxqWxf>U-IjHBYodU zf8>ZfMDPP~Nkdw3P-Ay3yVo~wTP@j?Iv-W`ND7UBq%P|W1k|xweJK)g+ixrdDy67n zb$hZ^1%Zv0#MKpYij2096p4zRcA;WjQe^40q>IP{Ns2_SR!{m(U0h#@Ed6Fz2rmyb z6v_Ggjwx(#+N90zaOiv-NTyyQ>~>!^2+@H?vHX9~u21OOD zwH%p8NT%18#WgOcNQ%^8P2}(P3LNN?f+EL}dXWc`tYz~no9^-H^h| z1MLa?Z2peb?i;NJTT?c#^Kl^A1eY~`C(~-ZZn;7lKp7deJ4313IR3`)Kt>S14+Hg z<_B$A897B#Igk-m24%L_gd!RKsbw{lC)O3_fikT1drRV6%WC%|6?vcv*1FwHt2I^F z%TZJw=g{blB}UvrN6NH41E@le@(S6JMXD*PV6ETJ2)o@a2a=gSK#NthTDO-0Y8HEB zT-XLs6>GL6LF#jb9vMJYtTmK4KW0UzTB5$Uu`k9N`cfE8mvZ!1 z*(U{hv(JnCkO&Dm%MNi#sX2nbXC|BUQfpIwNiIp_2!D|TvtImu*dfCCs&L~KB||JYZAyRY{jKPD5VEGuAsX5 zhDtmTnbs0pSz?AWTqs`6$0Bbbfftq|>0FR1e6;ZQ(U=b z>i#LmH8|Y+@_27>G-#9?V4k9@f%@Z$J|fM&E@|&~ z(rx{6Y2%S}2)Pa{UQQHWBIq$BDKw^O)kL%DSqNx|6GuM(`sI>z-6W#{7m<8Tv3|M4 zrNQ+`x_Uz)3wpGExg?awur;zsyn zbxhXCl@|1Z0~z5-DrBC6rh0=WDRS(*n&g2hSZlPU_6wl4dL64P%mY=h)>dyv35XU5 zl(Qx+&`?NGvD1)BqAS){B1=at)8G^tKpBNh>CnaXl>q9i6-Rj>$(qb%7raFlh+Lc$ zdmd;n-x>n4rCvsf+g`=e7bB_+%7N15T>_}18c`F-DKdaEGHNvnu3489kX^OTA`g_2 zQMXue7Klba(!TOQ6*6*^N0oFGt^fUw=iqA<)3Ozd{)V$^gr|yDwAJcB0n}9M;um z200lmk8=%W&O+F&Zo%JhiVUC(Yt5q1*Ca?)Rj+LGKozX@mG_X%uU2_zTn=P}r-6`> z$nU6y3Oc{O5?MNGg<~G5LXT`)3Pn;>AtQsLQr6JQy$n!Kt;o^@a*CG4xi(g5Wi-2_ z74kr(tdSmN;+&(jp_-x!)|{+Db~@#@W`w7KZZ$AeT&1W1jd7!@P2fQHt58G$<;S5fni!ERu96{nP}$?>N`WhNrGt zF{&x#GFuksV0-3TcKGn(1VSFD)QCG+zG%$RWBRaSU|1FBI%?gD=0IPGX0Vlca*7Nf zL$AQla@Xsqj&vSqSgz?^wUkNF(I`ez4rGLtU+38 zIko`m6gyHJXnCCLsr7D>qT-^hJWvH|T}QGnfNVA3%&}%rRKZ%qmNFGU?TQd?M3sRV z=hD@Wx?+7LARCHQ&YA&~QOJhslj!35N&vN#hU9@HYciLbp3=qjmB`YyO&@MhROQ3f zB2`hmslK()xSS#*7!1mdwx_xy0;o~Z6*Pbh86lPwSG#Hw1f-*eo_UHgGIG>9U|n2a z37~dnHpif-LPkC1q$M3{;zHxT-#FK@95o$46t=9cGDS@xm)Wv7hjrS@F$$no#T1AE zRBFU6%U1my0o1OTNj1XLK-(TI)Rk3e4)m3PbX32Zvt|GpdIg5moQ^K8uOyRKigUEi zUUuO`@n*5}$thYE=de(>CG$u+ikh1TGFXF%yOL?mkiHU-9R-;O8kQL`NlS`dQdAKP zjPNwjtp@`IsbM@{o0X;aFtL~P@O-7*v@^)@UoN z-vBaX1Ugh(>5BE0pskhhM+Q(vMh!K)sf+6?0n|}L&pc}tGRixDH3ZhU?>o+|KmMwU zbL)@4xVdsoHzKbz8Lh!&83^I#2os;9U1>ZABQEv;vo)+g{^G46g{{Um!YfsMM7S)@ zLF_8N2%z=HUl4Lu?;xY~$6s9a_{TXFaqgXb{Pi)a5r4Xh|7k+n?G`_KMqz|ytQCAp@>TvQPi#~wOW52p;f-4q{wijoI@ zaCU+nZa@M5Zb~t+dTze(x=Tr`RNkv(PMI?^6aQt>&gUr;wwZ@^?J1FU zb(T_UJ^;!9Ck}Gc#$xafaci@fo`_FV(i{3f&OsDbAC^%!6H61?Ufu4hG?^#;#E$+> zXdT-oH5v_-h1Or&80a;#33f9rB!Igek3JfZlu%mFvRh^vk@{=(x3@Ak-yl+{C5*Ah zx+OL?-jmW$OBjQMjjDD zY$pvn6dl@FC1*wlN^4=sk(rhW5}BK_i1D=~)NGOJ4;io4B7Lg~(N#xr5+y}(yVYu` zs7(Sx81Jcj*(Qy?N}xjM9yCq)Q(7NWnPyt188SCzZSplGmFP|f1?Brtl#DjkLlL=W z!g?qo#(rim{u8LwdMJ_(0La498{rwSOYn1~;vm1`;FTYoSn7~Oo^CqsP!SYM`&2RE zvoO__O|Uy@4gzlBwYTZ8D6MTZ`equmojd6l*4>9UbWv`k8Yeut?+OgB| zh#Y_D;4VUU57U#`Swz|h+DyArgM7^h{a*k80RR7Z0pej`WZ+<6U}*Yiqss`SnShuZ zh=W|5;)7fR{DVT67`6cU2YIXvfE0rn5X0pCoxEI~Lzo$k0L8u(nLOYE(jYU0fEc9T z&ELnxH7FjUjD=wjP?*m#aWY5=2n#}$`MA0}`ao5&GMoX5p4IqR15+glRTUiS>+2X4 z86V>4>`+CbFh$NjD3)?ETmi~Vs4CbGbD{`TrK_)#tBZ@P3)Dz11|Fb9`u=ZMffU0v zHblTcT<7ZJ>g(zk!VPwgOi2FGt3V|nGhtqaC<_h=^7M0$hpOgbC;+N3*03%EDrNw= S6&BhdaCbWUx&QzG0RR7HDYj?; diff --git a/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.sta_cmp.6_slow_1200mv_85c.tdb b/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.sta_cmp.6_slow_1200mv_85c.tdb deleted file mode 100644 index bb58995e7442db68ad08abc0e7668d6271c79005..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 3672 zcmV-e4yW-H000233jqKC0001L0BQg;00011WpZ4R5F*Y(F zF)%MOGcPhQF*6`jN+4HdVjx9iX>@6CZjLbk0000003{0m000000Cold0000000000 z09XzH000000C)lHntQO-RTak%kDwI85VJ7iooJ>qArFDL2x$2zqo%BEpb4627%(J9 zdx5=&8K-iZ{&B`?(y^w=>7kV=0fB&z%1X>kKqEC1P4ZExc>8>QzrF6+=kPoCTwdig zcg^g(_WJI%*Is+=_wU^PwOZ{2(uze(=Py~jbkY13%jSP**~gZb!s)Z;%)fB?;$_Ph zuefynqNN{Pws6t%L1@oC?~Su3OgdxYl!>z^y&PGZO&VI{YPDA-UL}8Xa9O_KiO$YL zRjys_AE+PAcEVop{qf(>xLd7j0oJdxDL4D=Gxa|!BDM=k)BETpOSue_76w@>7;KvVc#?Csf5oU zANUdEpG^3#l74sgJ^TKV^tBguIO1x%6Fw@leM6>CXv=b;WrExb3Nv<0FypPq=e9;^0{9NVr&$I>=-cxbt%~ z`1Yiq&mYe({j-`ew~k~?oR{XEzkxdz_a=N8`M{4O|Hp(IzoP99z>EqES$~YxJxM6`Oz)u0cvjTUoxFg|tzfJ|eJ>jl{Xm=9$ zZ54JVgWp<#PXXUjfx8wqCfvCl_Fc;x67D_`_?y6gSz%`;`1%UG1AJWtemeLs67Jrm z{k|Qw+AR3mgv-TWATt}jCgIi%f98_EDdBw+-nXMxn?wHQgv-Ou8Q?!pxOKzMo5}yI z!p@oCHzr&jcHRR1(}Y_$?3_*hh6+1pf&V1o^04E5?)rpVS2@T%RHC?`Xv6thmXz)8 zFK)f4y9b+*&vDynhZ}cOP&@rr_Frsv2GF6Ze&z9h1v*vz{rig`p02BQ{=Yi&-${m8 zP(DVj@P|_$Jr^EKc`NrPS-+nG6!GzFGw=SN<9S;>!9BRoX@KGVP_6Q6AjQ$? z(eIHg`9ZE7MZM4qSzeXY%XJQxY(HYkcombJ3O*m)+9YMCkyA`k_L<_6kwP}#T<2Ip zC~TBc`8O|%?ZXnUa)fipR11*VLCsa+@&kbJHzg zbdp2gYi#JsT@LctuE{pgSR{ROEXu9MORqT})8%zp+diRdwV5Ojn6k{a+>Xkl$w})d zl$uH&ndP-k$x*DAxlP&Ua&b7KWm!LRmX*F#+pM?eNY?AwURf_jsniUq?n}L%EqI*Q zeNnsKf@4zm+SymPqGtQ{qV>iW{#*5oryi6ereu#xX#_d98Kb|PR6c^-u*-61g4^{9 zi;9Pnm!DG8GG{_5*@CImj5%0Jwr9Jgrczx~$%DOBS*v4%s=Yz2cjBG(Y#q4!Y>iQ9|SI)6aRN>+nxGFtP>p6jRyV z&;A&5c_ihaub8pGhJ6V|1@ls&V4($$xV6KgyqpC|Q9$LqY4cKHDQX%6^LY}J8WdxC z<%(j`zAI`anr^V7(-s=v;AHFF4>0(?75Y8Ln(@7-E2@G%rh@Nf*2!yOxzRQ`)NM`Q z4%U=Q4Q1?O{|6!-Bk0RG`r;?aQ{aDq zdwup(?HKrYlG9@@+%v|zo8h$lIJlq8ygRr9 z=dwVjQE@$mGkM2efP6LbImp)|?{Mlv-zr&cKJ{{W6$fG}s$?6mGXp#8xQuo1-Tyq~ zA0W>{UW>f7js4Ya>=&0j>>b4lc#I3;YV2Q({8j8PI|%llCLV_qk7uxZ7Z_M90$TuTDMfo7gA4NVIIp)Pj zkiU*RP=}ya>*xG7^ZQBsIRby8oi8C@g8V7k{VeUii~Zwn`e%&%T;bhr2>I9_)*`zP zG{=YP#g@DSd#=y5_&W=K-$ua?kvovrAs^`a?1!B_*cr;Eum9 z58s~dVL)AD=+(gRy_a+)_(Hh**$X6pGIIa&$UKnsGYuQ_u(1U`1%563J@9q#4)|vL zor=G=;O{h?dk6COk>?`cg!~YC!_XT{KX&8THsmqL{gIzX-c5W5GhVTdK9BqfkXe6ONhYBm2SW8>|V z-wK}${|@|o_V?Avhr z=G^i7fG<_gNPjl1I{#?CVgI}Uc>?;o;6ve?;Zxx|;ltsZsBjwoZp7c|_&X2zI^>t=CjHQNp+Cp=^6|yO%V$IbVghnohYQndMT`3)hqqX z-Ax0~RiP;BuD!0oNmd%;x$mGK34H8~fcwDXEm1raM!|O=bC)YV7y3=l{{a{X=l;_< z5`I72Z=2jpYkt-n1K$SsLd<)lb1eKmxaDF#$Y2GHL!m>!$d++Yv_U=8)-Mf+m9SSS9Xwh6DB(U(& zhrZ|~kf5N_e2Efz$+xJ7{tA)wCGfGthi1le)@_%97KU><^P8F9{ATv}SrLmAGq(3& z-Y#N{!6&N%^Nca!n4WDVyka&07enj*hj0L#u|Y5d=#iuoH4QOt>K=E46vGWuSdL*{ zfO|vpw9yh)4)A9+tBbk}ZZozndml}C@T7C^lHbZT1(TOlJ*g(}kWbnA<6a7jWnNpDDVKq=xEc6l;h zU4T?Q0Fo!Y9B9q|ki-h3M~URx%D`PEkRplhS}KhM@dKxc3y?}@>evo^wJptkR!fOv z&Wa`CoZBK6cI(+kxPMf=HLGwv66cy>*j>{VF-v#(zQ)Kq*;{iMHVu5O~+4M~6div_54`?>gOgDo=3*aC`2b@ULis(?y^@L>z&EqjVqvk|2 z?aNu;NSO*yTI&#GTTWDXTv)(6c8s9!H>NlL1?32BVYPtS{W1SUsn9NI#BE#XHrJ!@ zpA2yk(;O{qx~-IYck9i9!s8|(?emt0n&pDqXy!FfGxG}EEx}q6xa!`Pq#r9W4DyB`wpy)K6h?a;bK3z0aK+q3EP)%W^(l=*siJ8n~ zATV_~bvp?2KM6MKV^iSot=P!m<5>cxc2%}2X>=E?Qx#y5`aW*3hkEP%vb6;Fv`skH zys`9B7WGEr>Z&&qSC(ebN8{b;>Dxvk*g(C^gYRS(c7lC?>od_|KO6+ZY*>ySr2Rh& q&a|IO?p;~UF0Im!fPuh448r}S-@x~4_f=kGh^4cy^KSqE0RR8kaD)*6 diff --git a/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.tis_db_list.ddb b/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.tis_db_list.ddb deleted file mode 100644 index 33ec2f67ab22afad475c82dddaa37f73e977fea7..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 301 zcmWe(U|?9w%?KnJ7_xxS7)Xbu78Pga=P4K(>lx}9C^(g7=Al+yu z8Y={QDTJpcDY&L&mH?G%g7q^nv_t8uP#Q$(LJVi9U`$F(NJwBy5_rIpuB^%&}xjN?_Ixt^s4&3tNK^2^MPFn0I3OP(*OVf diff --git a/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.tiscmp.fast_1200mv_0c.ddb b/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.tiscmp.fast_1200mv_0c.ddb deleted file mode 100644 index a3ae168347fe85fee587e2b2410cfb2ae140dd35..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 101996 zcmX6@1yme8*T&u5oub8M@#0$CU5gfX*cON4?(Pmni@U?(P~2IhxGwthz5kgrnKP5* z-kap!Cr>89gMxypL52QEp`h$OF7A(~ww0@!t&<}aCpQ}>8wZtyhpmGp6(=7T6(2PZd`h60t2l{uBPrLFr%QN2y9|0MWC{=cLb#Q$FZOB`bV|C=}TKO@8ddg<9( zJq#%>BqZ5(T12NU7=}blI$9(-RXBLO$37G39S*EcS|%E*lRzqlY$P11AXd{aYA@LM zjdWvFC@3^~b6szzS-HORMvIO7&wPfazFwP?nmQ*ZCjb!nG?eRhW%fGBEi=dhABUej&_TM)we|l2>PQ_B|!_X8_{pQcx zUFSYyjC7*&G_66K&yJaKOiX4;6dfHDah(@HJq!H~Ugc2XA_GvJg=B!Hs*Ag#LP6Gl zBG;YJ#m|KjT|;l~V8=JCzO0&{$#qNxH1VU$b*uz5!A|6S)X0Gy2R;Wh*|sIx!k)hD zK_57-g&L0aaVK?C|CXf(s=IGmBDqc=B3mo9y^t>B)@8h}9CLk7wSgn6)BHR*l8y`8`IxxP6Dm9Xr z?QYVaUa0F@?LqLvobZMAh25-WIJJfW_+--tO!wQUBG z$~5VNJ7`v0D{s$h(VOt`1{QifUMSwlO&@aQg6c@B=!T%cMHr)a)`)wZ_tvH?@ zZ`j#4kV>OZu45i^u$lDr^~GL?@a@G(_TkI-Uq-uyEo-UJBb#Rxds{thN*er`?;8)f z4Sd3$#9MKvGw03U`8>w$x7Yz<$BcDGAvegcn!23(Ouv_WFoU@79{1^9 z_)QbY6T6e8DDfu9wSBLIK6xgF7xW`38d&~J*uHGfRq}K;KsGtdOt(P%8|dHNZ|Ao%o{zcc}*$ zN#p9q1}Ty93L1XViyv&zl6X)B%C~44^J!aRFXKT12}mDc$;m`aUj)f|;3-iLeJAtY zyASgDW*#n=}f) z-Y)`$rliTF0(C!@X@PMrxoq|8BET-`TnUrG2}lzuzm1Xib!?4AcZ0Eg9FsxwHYr)o zTD{wk?TfB7hu;qNXDk;NIrS?z0vI9T_mHbi%-h$ycEV@JKX>fF9zm+_dE8<*h8N@} z`qg(i26;zDr@8P#4f&Wbpt9H3!0uy}m)47S&yJ!C$liNS({zrX*uukMmC58jAP zXj|K~#w}G_Pv*4ep2NU`JR`Sv3l$h$#lQHX&86-hc-_+R4C=fTlh@;3YOi_7=@8lI zu-KaFdVf8z=rYe!1t)F(@Y|Az6ItcI4={KFZFSw|&G54cT6Hfe*w=18y)o52IQrfz zkduZ1rq6G_Y_UCb{e75Wf1mSOVX`GXf1fwG-|V?a>dIPwo8LryyOFEK9LGO#7I;7Y zgKOZWB3jgZKp1Cx23cd@Zz!fnzLD8l&A(e1@pAa=zwTSJC%St>e#dm1;5Hssz`oc1 zUaP3DBm6_-bpID&OUu^7lOcgOtMO(33W7+W*msy9jATOZsXLwtJ9%P3BOhnrUVD!HyY z7w?-r-ZYLu!v_zeSR9-sPmfj^_zWUNHr=@jzCKFW>2_H$?M^n4D+9bfWIYWU}Q-|flVrE^M?&bx<;?#wmt zZON*}=1{|a_8jq#;Qa~6^GNlI?@s!j{`9MD%2Ea*dBy_xiecHI1~_GHz}-T&y!y~i zn+TZbbZcA6@q1M{9r8%CYisrA7=h4&2~Vg#FsdzvX`8On7Z?29{3_3FBTp@|ASThQ&ht`JkO?UKy!kq$8~@&aP4{65S=OYR8xyYW z?SS&{#}JEtZlPYq7uagha5_C=QFi#;Frr@*PAOVyL8;xQfxz%)6SKr-v9+vk57E+p zce`~Y&#njhg6mRkYkW_`^+(>nU1?8yJRbtQ@cEM66!JWx1jRwqXPuVzCqr2+OTwNz zOBlF(laT6O?!ol7#G7=3mZPq#;mysSx(>Aqh?qW0>y9|P@42h7O}CYSz3AV0-v;Yn zOMkwbOt@@rUf81x%xlyj-!3dVJzp2ut2|y8r5*6C`=Nc^NcqkP_KG&>xZBRTaLW04 z^r-E3?7q>k2XormVzR%#L_A$PpXq&a)duPTH%Vq@YuIiD%*T3afgX&zG*2ttFl;vN z%cS+Ij2l!&KxQKMNwleUMsF!o`dP{b#drNUxNg~Ecpg#N8Vz(k2QO{h%T8wlf)}Db z8%w#NpnTLD;P}ALqmA=2#isM8>+f9?J@u-xc^q45K-ZNed@u5a_NN4TeZ}`TAbGYb zc} z;YLQNv(4*9p8qT0e);Au7ijS_mixONzm*AyU7&34O#(XE4Fx>-+*rYA;`(-JJ<^;i zVzIOII5gan>f`e!Y}BJaw5;|q-TAo4q%QBczRf72-k#rFkbd&NtgiOTIo1ISzTfA2 z);4Bq_#5w8$IZxsDz1M_3IUZzAt0+Io7YR)sOEby&x0jsvCG#U1_G&AK?bm&*waFY z3UIFz`Qi0p7TKEowb?Ka^KEHw)ThU4Yt_&Cou-SFz0(fAbk=SfPktqEU)>a?;<_?n+k;|&hJWSx1<5Y~^Mg`0pz@o-P3&YSsijZ@wC=vDW+6-Y^*73r+~6TugVaoU($G zS)KZ>`9p7xDeB^~o!&0}yQ1p+&qAVFfWZDh)F;v2x2)%v#G4*tpJkLWC1%zB?|%`Zm^St5^NQMq;HrFl)7H@`VD{C@Ndt}-L)F~sJLyhfp^P&;D2OHsPuUDfSJp&^wCs} zvWv8}OL7hW4I1!ikDucOY?{e>$Ge`%S=ZZV!u=;xuAgNWcS^5JH>(at?8j{>JzHLv z4XyV;^D>sFrK27u${U|Jw&#CL+3W-v>|i|QCS}do9F1hY zsAI703YOV}8J-9z6WIiwnGov9v!R>h@a&gQDDcVIQB|-I;i)gs-tj}-``c@61+gO+vqOyisNp?nE<)^+aAd{@MQ5rA z{P;6 zUhpC5lZcow2>uGb>#VzdWhd#CyG!6_G`9LFwhLeI=33m^kF*@my!uzRjx$lmWm*i; z{UWkiWV!n>eaup;{`yCS+;v|qobu}G>qyO8uB52PpB}^SuP#+_ zYdcs7j_Yguj(ba=YS5pSOn$EC3Z1o|I<7gkPL%G6`akZ3Q#vo~P(Bk?R%}lD-D#3X7G)UH_XatbLb-n7Bykz7ssw5?1WF=%A{e?`fN1IUZ+J>r8i!m7Qz93{s^V+} zq;O9E2sWBst}tS3Sf3Zi`5|1 zQX-^>1NDS_q_)V8K2A6c1ewoJ0iC+cIByIK0QOu79E_-9ngK32jKXQO?p)9awm(r0 zk&HnoHj`J9@Fu_1{;6>9f%Fy2<7xzw;(9a;aeLql6?gOuWi$=QaxcW3SuyqKciSOU z3zAwhJd`248LTKx#*vosWbee|pF~V|zJ$ai2o`5Osya+|MEb>zsX9#USA{|0xAlGb zJ)?ifYS4D$@`f_b{E-KA4$&L{!H_t{pZS=XoE69#;A3VAUT^?!X{ul>a~a`kFOO>ZqHU$obMXj?P+#<*k|^b*}ajJF?T4&Xz(g)X`Yv=Eb{!E`!}YSSy&3O zhzb#bOhG7yISX7WouznWqu(+Q>ulc?PVl?%j8KhaU>cbf)bGNUcKZTu$NMKoI74zfY_hO;Y_~l^Y@#tl|2jgznlB zfifj>2^3enlHvAb#z)h#J79@NlNi^7{TrV$42HaL?=gK`cFvqyqNEvt!EJM*!iQbj z84oYHSVhjVM2s#95Zz?%65!FWfOI;WDs*vR`qx+?g+F*IR>7WMR8R}r1YF=ZUlbdk z{q>MJl^j)Kk=V$8up~9lR5QrtTkT}Md{n!dQD*97ivkZ6DUrK@*Qnh@HK7!8| zN^0TKTzY9?NLgh7j%s6efq(ea_STos>{XMiH3B&D(~{}IYn+2p5ebPE@uTB-bZ)Fo zRM$ck70Lvi9tf0UGsg~O5$#9w!mRH#ypAN|3>iXt1Mc#9 zgzx&n*wJ;0`xzCZ3aJt0likHQVOr@s@`U6&h5>}yv8~hwScx9)5vqF9=%dgGzanL9 z;(w8?j8$@&rz339TCRZ)TX`p_&D7&<;EZ0QEp!>WzI>UH+au;v{GU1bBvT}w&ms3k zdIPoN*VxQaNB^qLuBy#KUYu4Etk9D($I;^g2YbRQ!iK68k>vFvIPU0^iOjMTtd@+v zBOZheLm%fVRt@pC#Z|F1mg?VXxoUjw|1H3?SlB8FUS9a|wK@Ihz1Q$-^Qg=WzQeph z^<}Ef4D^GoZ`2Rv@&;>KT$!;1Dps3HW_KxUC8>D>%c_u^zT&TvA>)P#=az}E(Oa5P z4k4xb(Xuirn{%2`jND|I;yk2g(xS}v6jHn8xAYokQC~|ym6o58k?56|11*i zTFITSWx4x?H6SP-VtQ}t_b!j5113QPZQ){)dEkg*>yeH)bK>x$iqu!IY@Nrsz$aFk&8ckx zk_QqQ365`6om{vx_q1`=muj}{9|cM!&qEeAqKHcTDSSO0r{vGwMN8y3S$}9l1%%l2 z8OdRHoe{`53>K3U_alIdlL`bSD2kLAxT&PdC%3T8WBfy1SqNm+#bbB?^cij*kQya| zbx#CJ`A}0%aiVN4YAdhmX3j)Ip1JMh{e?xpM!bkSGEw&}Gxx{7Gkq8jM3vb;a;j?~ z5Z#W3YB0?xIr6{}&DZ-WzZFD&`9l_cX�#1vMH(6XH)+Q`ib8cV9PNnkzn6UIbeBIvwRhVy=fo$Ve{=HXU%d3;^v)q~#;Hw$r2PD9f zkDGM12~hI!1KbpLVGmW;z&XAI9vPC6@B{)HGaR4)j~CMzdV}X;8Z|iS<8gBCtIo3- zyyp71jV}p}FU1In{1PfZC0VpZ9rCn>m91X~WdIiG+!N8EO)mbuQff2IG2p*?G+N(S z2i^02=PQ3>R)U@s>N7t>q{{@mjFU zPoh8v!o2j71Jm98do8nJEt=4prHV=92=2#6K1x+;6s=K0Ztd^;it3|gB3TYuk|9$X zWcfBVVchOo#YErl+(o}#s_A*S7`FG)nhS{vj-_$MMATH#6v|FWKojKc&}L+ysjvhX z=Jtit(c6l|XxjE!mXua>5JD^0^LL`j(wF_`GRt-y%dS}au=`%ET^mxRN`eD&apiiB ztCC@y5=u#v{J)eAb##BQRPj7sqKTH?B;Y~6BPS#l^863`^o8w((c@{*IK@J>Y|@KM zsU^6&X3A16U|sA*6VLVZe$iLK)hozE;L;`0B&3YVHK3u#TawafHq=@baAsHIM@hc0 z9@ohIoJ%fXa|>zi)V?jiqf>+0|;KG`ny=fa{Py=e$Ek&7u2DJ1*MOu0hO2hv=QXko~2qkpQNGE6x@v&D23jrM-mJ zF|=&E?`w}lYah8ilY9=DSuL*06%MMZS-z7xI$uS!wTz~Ica83xN~2RU z05s+)Ne`59qc;~U>ig?~Bhci8!oEteE_7TgiHiH%Oa(u#&5kc8>!quyQIG9)2XLeV z#IX`n6a9^Xv7O1`e+efQe8NeWPmPdMmWXq%&F9w_kcV3dig&-nqCVcImPmx1BVW{+ zhhplNYo$okl3%3fs%VkI$i1jevpZsx&;Leq6c57qtI8)4b^Z}VhB z1EbtZGdkc`VU+17SE$Z(OyTvmL`(cG6Q>WH0S@piyQGs-a7p0*tr9Nbl&F^db4Mgzvjc)9XfHJj`UMF2 ztkXb(pgzyhhl2A>_F%S4$>w{c#5~7uExVXDnKs)~(Y%f_vI5^fw&@GeMSl{RV$7WC zZPO#|T5Qw*VlghL#jEE!RMNZh$l`5GNVO}e*^qo{QJClO$#Ww4T zBNTq7+(3hlow&%6&P!X`Tby&eT`W=pFTbizBb$Vi$&Zdp3OyY6q1wkST2*8{Iz4%s z7hOa3rQef5k30-%Y#eVgGmMGDcAmq`M`li^-O1Z*>yS99Zp?JkQV;(Iqwy>&40%&0 z=gN4A3K&(DgCZ9Q3T{Kgx_g_5nI~S-~C1p8uext~i_-LL->FYoUj(L!a zNd>8nr6!rY3i{fbr3QvT(Uc$)H>>*;_ows-Np5p<+Vowj&vJ7G^jvymbgr=lQlGMR zmwA*NQUqL>G28HYXFhyF;%xEPtU&>Fco=UM7tg4t{}$6L4z*MEE^qOeKOJhji~@o8 zC*>Zgl8W$8<}^{96#@Uu{9SyHK&>7rsp$4C(E!SZnmLvsT5AsVl8FzB`7j>uYePd* zC)GeFWlUTuw3*CC{7TnI^D$2xTLwvvpX)8N{gGsU za^neCz%AmKB4T`iGrMeKNKR>EKuW1Dx+YF-F=Ne(33onsOGgwQWEgzsqv-cUikh5x z?YzR`JKkP>EFRm=4~`@9eVZaBOH7rt7S~TY&&tBKByYBKhC;qOVd@+9aTfWCw)gE4 z`c&zV)|Na*bA^iGbMb!&r|LSiTfUD+gRZQ1D2#aVDujN5Pi<>INKdN@gTQ0(!N`cC z*~#ihkFmP>n~fqu#vWWe;)j>T1p%ROkaWBehx}Q3rP*z<<{}N(>1rvu9L@!5+eIw1 z^8=_gTFfOmVtFp6HoxhadCYs~=Hfd#E?d7}WWonC&EG=zD&EYNDcQHcqZ2?yy3do_fW6pnE0{=Q_| zSGC%awQRWL7M^nLjW`3e?2Qx-BCX;zFf-KCH8cbr$GXBL6;*}$bOsTND}r*WStgdq z)M5*a8UiFc#{4z;mLil=`#G}MEa;pg`;i(jtQdGPu@hG%=k;lCIHMWSOQSuK#^6V4 zAV+`AyiaFj^z2t4E9+eSxqZv?ka7p2%J`&nwa{$$7Uq3AYwh2MQ3$(Y`Q;B#N{kX0 zDt2Tvns#~dPI~VHYkGniA+~6;-y_Z%yf_J?tCC({7ssc zmPg}wwHoplmx}f)H z+3*9c(?Qnk<-~0K?4zjlVT!-z51yi{*WrgD#7R~2O$Zqo*NP@W;(6&bt(7Uy$^LA- zSws8r4G)mwV!yGOee?0HbFnyD<_8~FvBya^%Y?JWMPrOiMGs|-uGEq#Crv+x^fob? z?k8|0J(qwAYeH}t&xs0bh~xunM_I`FXle`>hdg#-s<>enk|_qr3@mp_OI$iP@WcSe zoeO(uF3PrN(W`G>yN_ihoGAF`1-PdkVLbqofXzZHGpe1y5Z=$w@I|xO2Z^@O+A}EN zW;?Hpw?D>1cHm#afOv2k!Q@?p2sTw`Y?vt{LiADh>vzVLzvdxk=QhY|W=Dm6^erQp z;l=ju>{WgWjJR5iP^?ju7#pnGGY4~U_$|0 zvus~ZFxjY*l$XyY@bi+YD_aD1CPDq)_|Q;5Dzx%EjIUxw(0WtAFx|%D_xg)%J>Ht6 zhl%ah1`iT+gA6hU{V$-*zPOlTiQHwToz`LN7WU2+sr+vl+??yAaLOIY{rgj^6e2EX=2n_QlLJ1$-=C;4NvRzX+M0Mrtp%=iV7hkS#ki)P^m#sltj!)x%s$E1IM|9-3hlcSG=BB&9wQeSGWqq z5lj>(Gw{@iF>r~x%86y9?0@t}DD*g3=KqyB*RZWxh5vpe?Gby31yOSB-nA}%X-I%Z z<@s^^n&05S^CN@Q=JKw)wd$RGm}`9@ZL?VNc7nr;`7}UA#-{Y8eNH>Z#MeMeySN!$ zbH&L~xAE6NB*2!*-}D;-`Puw3oAj= zjOVYv(Fge=aB3L?}Hl6P;~qBuwWv%<=IB*UtbC&hIOmO|$$aRZ; z^O8zl{L8tuC#vxrlUqvJRvq&div9PeDw$qY({q)?s#1w>MEBc|CDlWJ>&uUo0<)~A z*67%MIf}pvpB6QPKx3rK2C?8C)+s1nOH(WyTQV8?m7a&oRWW3##$Q)kk2}WH*0-pk z)`5bVR%Sd&a@ocb%}`&$VDWx^+`O3_Rgv%UgDXs4uKFH*%Gn?b+f<ONkdz-!?h$hk+27>mCxF&@{mi$)|dLWdDErX^ORvzg_OWP`Avw{5&S)0$du|u~H zsoA3(%-v=bm1m>(gGkNx^#7gZcM7Nco=%&5|0;_esYEbui=D&bRd^}eak>Os=Jjkc z=2hs4PE6(x`4Jgc&go_PF81RYtxCv!ILF`JLgYJ^A`JZ8Vt zVW1(B@5vA_4Lb3s>_|{BV>JBP-=wKA+w|9d6Y31 zd@TyykIM_c%w|XHP8W5k^|}N(i)}1JmPYFsuASzjPgj^7&ba4dlT1V_% z#V@Ys8OTk|sB_+~lL#Uf7mhjc^{4n7!rD6%6$pMiYU6dsklVD`_oA~PDJC$B@Q@p= zOh{Pc;gLVcHa^R&Z1`HoikD)5s*N#6Vg=X}{Opka(=FLbrqW+tE8suZJAzdsra(6c z?U!X1BOx9gqZV~Tj{yvNy7Ro)HCZjx3bSN2_ykL8h7BkY6;6koFOQC*lV;LYwhl!2 z3{-{XMvhubz@pExOAMp&{NoQag^vUyX=6rIEZgLu9H)uG0vBi7$t68HeHQY3xSllzPB9g zV{@M{{^7N(9D~+j1=!)b2$I@gbHPhURoy<_Xf(fH{Vi{ghzi{xD2P;2V!DuIhKI+# z`J@0^Z&1xkrW-X~5zx)y9aaJCsoMz$jT1f_PWBk>Rv4TXtK~Hx2^$}sx_29nRVZQ- zr?Kj}#l)wAz^-yP5QNAmvi}^7d+kzdBF^;`TSy}qmxeK<*$Rq=V0|A}2nR^zZAwd~ zcJP&6x8bS_cvZ}XuSjKU2s$}AFL6Ijw#rO~+Z=ZN7M1dF*3~S=JmeR3fY|5Z0pD& zN6U3~qg!8d8ziMQzdu-ng*0$IY4>RXght@$3r`Ak@IsC-5elsYyvq8=uIXpYm{%cd zW4}e&887Icim%XBL;U|BF-EwF@s!wx>s^n1^vSQy4h`0*BN2x|-6z=?&`A0Em3Cak zriFX=xNfzwQQ3U1!jM|kv1aw?%0Et^t%BOr>NOMd=Lvz&6NfrEhRS0wtW76!yJQ{J zY4F4!{nvWC_nYCC&i~HlfE4t-#Ll6v@g<0RRys1$hGDM@%we2;FB|i|{&)zX$!>XG zajRpw7%KdiK7D~lSr|ujJcFZ&G_%siUWJo(P$v?S&F$;`j{iuEU|$)J6IcRNdET#KTz#*pc+OXn*KX zR!VcS-f!?ew}H$mP`rRLU&2OZY38$*y6#Ln@l{6`txVq|s8Gq`XMNB{$qQEdX;WTP zK=|&m!N0X{mR>@wE(JqePh_pp)FDSi1A47C-&=PSIJIORM61vIwJMYg;?8rP2tFt0 z&fYl9W#@5~xc=c02HAKQPcM<3fB#d{;4jlr;XA;MtYJnC3UrIuTVIs6$8Z=Om(w>3 z#QOZ0njUHGXMFtYvDkOxs4=wRC0#K4!QF8MNYS%>0-@Lt9#p)m>?wX3Xe*jpKN{U} zD6htx7_^-@GOCoqj^T|@5;l87H4u8R)zF7Y?l<8F`e@%d$#f|ZtjKxB| zjz$rIIf8>Be~7G?{JBn89;tX={gaun@vGXCJe5D6ip zp42>i;Pflx?pHMJ7t90*-WO;WjQQaB*lm}f6Z&w=oE7yx7mR`U^vJ3~G8o23%NN2u zz=K2qw=7=6pKaz!E4&aSi(u7Mw{^oqpiL~}V>FAi!m$d;vo0`|z5G%0(kG(AIec3~ z4egIBy0DZ%^_h2m@vV3}mQ)@^<~B6BoPdH99H4chF3G=F^3n2RS8t>&n>mHhJTLKt zvh-_?qrUs23S$&MIrrW)%Qpc9_w#{B6&VKnV;tb0dkuh86@C~&b~H$bMDW47);5Eq zUZ$?^0}cJhwuSASEgg-D{m>=#{@fP~ig&|mOQ0mbEKK)+fN2IafiV4Uuj0@*@wi$n zS^WZC2+HK5I%v3g(`diqbXkk8vW1XfuDm#k9%J93PKR-c6ub%{x57wa(zzRbn0!?Mxf!h%OtU7bi3vK`*DOt5d z@-`&eqB4CtE7|(nEehB*`lga&rxDy3u+tc^W07Z9-G57M{p>y{AR?KTkkheZBwsfz zixZ>?Xf4>4w6xAY=LD=)cBkQ#R*B}NpHQwppi&dBBxC`{xt5}p4tXj~x>%Icrb9VO z?x;v_23|N2tIB0i!M}OKXpKB_@l%dvR-Yi3L!X;=oOiI$KN}Sms1WktMf6mnLK}-w z5{opiJO33Z(phY*YVk9=OYpUc*7M?02M`~Z$(8`eo1CV;tRoknxp%Ef=Baj((}*y; zI2!R9A5wHzf`4^aSYm%K)E{nHvr6S=1(8=jT85~}r=!q_cv9(`9Kg?FM`F(EpixG- z?Ut7$A5qFs7As2p{DI9nJo>6<{<^Za-S!6#v(L!pH|ul`)`&pYQ{b9-(KKO5$n^HV z^$vVxnNv>TWNDsYY8>IkY4pWVlsV6E=Muc@F&q0miylqQCERR3$J~9%+iHb0H~w-8 z-f8Xzpu`03+3)0*6MiD5Chl3a%50pPUX^Y0Y}$rZ}IFQi`ThUs3Y9Q?fR zftqmBvSJ$#35~nlYU!6NH?vR0+Q^fp4$i~SA9KCxBJvM<@o2Y@z6`ZXBCkO8m37X$~ZWkHE7!iL!atf)5tovhVIanv(bmsqU&NEKWS7XF}nq>;8VEd_3F zzZK8+Rt-?H#Q(FX(6WxAX`GDUQWqPyH}N`C zx`E`rDPsjEm(De}7b;98zv-0(J)cC53uMS2Mi^=fXhb%N`(oNYbKrBM%!5vU6LE)K zUK=eQ>SxygDmYVeZvJt)f-ejLxvY8!tbArpSLpm+P6z}CS$T89|p^3PYb!&Qzk z9>@8fT6y_(n8Y_MQZB6&kFwVs^pwGdjX9dE<gSoS}eu`in zeXRt}CbWK?7Cgj~V2Dkr&Ar+w?w9Q|B^Z7GRrZY& zV75_4uirn~kK3L;Eihi``RXX6nDs`~sOHbQk1+rd3X9~{yQ2pvT95hsd7^vj zLV6de{VUqTMRKC0LHwHel~QBng(O#a>PU%pLVr`@aIiBV73qUaJ$TRlBtQaLL1AET ze#J=--)3tP?&&M1q7WObKumWt-K7FyihL(pMTaDoiM_X#<^ixNQaz@4pZr&a3a+AQcrnHjEwGxzf&o%*|q|h?&jtgl%7{c8>;s;nMf(2BfVz z!lXUNGZ+1!y-^qL2CH7L=<0#m>Z%y%q~5Jm|X9#LQvw3!V4mP6qng)R0ZQKe~VK_osuBXv#ijFwcdxO?21Ov)W7p zc-*k;D-B})aNjV!26lc#vzP`+>EpV3@fRM44qQAfnO1^1v+y4;Shx+OcSb!*7QG)rjc;(y1Rm!s)pT&f~jfaM^?uUYV z>X~QF#?9}2SZ9IXI$4^3A8FJsvrxB_@7;$VPn`pChVVH+bMCph}llEsfChvRH{G>q7{Ucq$ON&u46eRQB z5djgF;i_;YBgB8{D*RY$K}{R{nl+n}dwuOl#sg>3%LUCSE`L<)PTEV*K=TOJYFh8{ zK2hZh#Mk*M9U9iXGy#_GGPC+uU(FVE(y^|BaOpnCzyqr|HK2qwFu4LxF14;~IOogi zx%&Y!@vEG8gz+~y*3;A=*|D~%C79_;h=FR0!<`gMe^+j9 zdvb63Q6D=Jr{9=MKwozcxl=>QQ9}tI0j;YqOrinE)`b_0IXTa&3F>`gM{G%v9;k85 zE^Mk0U1ztriP6g34H%pambZwSb(jn+ z4V7+`?E4X{G?&OFHxUl00FkD=ep{jAAr~p?SEx{2yg3Yz&R6D{5d=)QJe1CxNGd_= zVi)g?7}>F(A}JImSRVY{N6M+unb(q`HLn}!d`62c{4|Cz(G~c-}GKM1XJ%qX2I;j2}HYq2X15%3skQH7XH@vf}2Sm5FdhIl+>PBVn$ zY&Vp$OiYJ;k2gk>o!XEWBfaQJAuZ3d7B>@Bf37E!!+~C5Z#~@o)M<)q3tD@I*r+?? z2oz?6=hwbV`DqX`J3BcGy!oijDQ=m&bdqNlp)NVDMge{-brr!G##~skpjS@c?4}V>(c z_?JGq`;zb~P}*;l&h`ch^}z{T*}xiU>&a-sWKb?IaN8&&7o@$t>ASIDJ`>$?3xO#k zlZQrOrm-Io^gO3vXyWeq<@lmH?I83}6W&CL!JzC2_jbicHiB=Cbi5=g$gZ9`Ld2Ka ztgf03JQp@~t`Fyi`G-VCLCuA64!67hpjqQ65|}4UI$?3}ZM5ucwbDe^y)MYo9pwhO z;t@zS6fV~@kfTrkq?j~!#Tun|P$2dWWR!~t@6N~GJ@ z7NW$s;?H6zjhvh**iMvxyUFOV2nl)RUpKREu?sE9dcu=OOw*1ppNL7ux;Yn)Tjhmd zmF4J0`J^fk&OW12I!8i^^K8QbFT6Mdh%Lx2mAB9o5Qtbmx~36pC#g8 zUD9{BzYY%xayx^GpSLq2uJClTUp?GYa9hZD;1YC0b*ce{QfXc(i0CWoiLcIyPQLB@ zP=YJGc!6e}0fZ|YLFT>2>f%l|(O|0ggp&FY`=rC)W<>i<}}%Alye zzfX5}r*xMru?qr{3P^~wNQ30k-5?E8BCtv~Qqrw7yL5NBbT=$K`}@!HX5ZX1vorUe zZ++q%skz#yF~(ywUP1xpX#6v;H#x8%7}ULVC49G@fh&aN~;bDzCG$v_1(+W*0Q!SLE_Nw8+F=FZoS_7*<$6{ehDkXy?LOzLYIjLlGvdO3Wk?pD^PwunfR|`;=+n6X zCGeAU>HZ*6CT3&ZYc)i4ll%_Qa$RASV<-fQfxha(~A?k|FOZ zi0-;6{Fp3k`q)^T{|S?#?$M|onKx#bxu@hr0jgpEgDTQ+5KedPOa2STW>*&Cy4$$Q zI~POtvpMf(%V?h-x8qy?#=t1@673(V{<)sP3ZeB{nAHloUw$3r$cJvt#Y6(2Ro!tu zD)_csSBMZ%t)JxLFYeVuFnC#k1Dmv)*BdumVg3C=~;I@hR`>Y!&>nnN;ded`i4~l-vhA^4l`Rj8m;1GyN!)& z4t>Qfz?+GW;=<6(4Z6##*w{KTejWTYcbPD!ld}*Uwa3%5dgx=po1@4XO%fFRAz@;8 z601E3bUT!kzZsZG5#jQ75hiR|QIMv8q^<_f5Cg27ZUhx)tK}J->(#K)ngqFlD6i%f zIlFAQRrdpl#`}aw*6ANjKRsb$V6(Jyj+~YS#r9%gLT)bfN8tf|D*i&H5;GN%bF5hR z$X;mX0_|a@n*VHPX@n3iK^=!=B3=@Avy{APGwlLfywgCbQHRvyGjTAteY*LMWy?_j zqm;OmYKj6)CGc0k+AWziKQkrE9J&`Hj9|ALz;fs|<)3)nUqj$;-bmB9T!g6BjX^+; z@mj;kJa*5NTFb8fk-PFb`4<0{3=R4)-J+5G;Kg+ryW_DcwY#!=w6~?6?}h-wCW)z@ zHjchBoF@j{M7M@g(vm`ask{phhYtWu@0?K2D&#)l7$wu>ug$~Fa#%cWP3%jFBmmb) z^>vgwY@PJ2XaMw6IY6kJDR9yRAPHo|7d!j9BYT)huy1~Q%-r$O4M~>ar?tH z8cpC`)2@fPMRy?C!y zg}~3u-{<~qXFo6(_O`fCOQZi|z4xtl!Re;$*%~Ae{t;5>YNkD_x}kT$BXv@Jo95z! zqe*NE%m=7ShG~`M9cUgAv$}-FMA2FV&45YiH+j$%zJTj{NI~7dR{H(?biRCfQw?fX*T_%Mf9$>H=U{v zSw9qKgX{atZeG&+{i=l>AgXev*mtLm-8^p@imdLM7C!9_&@)WG6oK~Q=|G5wiIM0* zyBuMrE^f%59z`D`HU}Xc0Ct8={B&V@{l`lp zsS8b3Od^Q;h>Z8o-XS}0WUv2I5o8Fjs!4?jVA*0L;TBUI$_aD*8volh3o^qppj^~L zV43ebs>z6Pn2O;OMi`hjggkm9x|^7E^8WT|+-}pysU75g1XEO#>4Zdtq=h6Q`mL5a ziie3N!jTQaoc@SvL=a;$o^4x``@Yk67ZF>|eeHDf8Vb;3hq69;{PvO@HBI1Z{-kwR z2z0GS;`*+*HYsrU)Hc03E%29t&u8Y!62haLIvBY!jS2r9zsn>WBEL)J2a%?jzq z)6L!0GJl`=e!8531Nv-d0avTn^@uyqG!Hn_yUBwDe0~TzC1iRjXn>Z1qIsT zE7v32cyMART7zQYSo!6OJTUHmouH0~`T^xiEz6Nhqvm|kIgmg?#*MTOL;jNQIBD_7 zwbv7A^u`0Mh+U00KWaQjAZ4UPI z_g7FvN55aHWo$(0sV=%wh=9xe}8z7AjQ-C9S6_jt=x;u^-5@AADv%m72y7+1=+p~@HgQGq?s zQn9h<=cG#_xznf8SrI*I8beFM8Rw||gV^Dg@03>8^R%n$;8VdJT$49=I7r|YcDB_n z&%lDi6m4!DBWvLr&^>ZtsFkp#b0WlS(XV8#TMvXf*}5M3k9*#>FN|u;dlz|20NLW| zyLxn(a!&G-IOM4itK&&9HOSIb^k zC7F(O#4KyXX{TK*Sb62_E`L+^S<13hOS$i=-96BpM7rfG>0*uc`z3*V7Nt1eLG4wb zg)aOjB-r|c=3_zC%puR)B;KzXA3F@_kQe@M^73BwGMRl+M;)$mIy z8#rv!h^A#Qp6lpa{{Z?8ddwS+(*xQ-s$52$Lqy+Y;G51B-P$qa7qpfWAITVc<^9$S zw7X+)s&tE2+jGNGfeqUfvaUd^sPl-=~}?M!*?UwOI3WF6b3lU(P?pwvm>k*;T~T+;Sj5i(-k zl!>b-*b^3f1e1unC)$n@qyUm~)nhfw-vzg3b8QG+l#;>MKyjW=g=&#L8sBsfX<}DY zOJ9`lgX2A16Ftq8-ks&iB6m)DWCU#W+?ZyNkpOopeWE@}Ya$nB4}^S)N_wK=KgqGY zb-npTE(>vzxyj#fp&>iC__blV23$~jw_uBh-f@8HYsP$tN239$7WX)Q6WM1T{*Gj| z>#z2LErTR&IUm7{Bp!p3yyEk|Rpn0~(=P=;u>u!5(1WPosEXG*&)xjBLS8{SK-GQ} zPQiY_9}dpwK@I@Y`{YqD+$dNyAv6*T?h`K7xBl7Eb=!$Ek^6iz5DQzYj3g=Kkxklb zufoe`JL@agj^yLk&!=Nt%)jJa6nW9U>IlTUplJCSj7Q3uIZL5`>FT3wqeVHG4UahMm^tx2_d)}tF$gkxKVcE(E)}#}79T^$+wUP_UgTK9|Kepr z0XMk)J&ed&1_l17`j7Z|@$(6tT-X@Dhsjk(S z{9o+97h+M+KQ87Zfinep=ise+dKwU-(*M^|Wd_P+KxKd?^on>Bf6L_Lyy5T61zyBm zG8s*7i=wAVDBS5!&b@q!*8(Uc4!R$!vj+xoRHPAnGoz}0;WH=@K=00ZFD$7eVnwK% zJhvC2a>~npV0|S?-BIq1b~Rg$IGub~$8?6^pAUHdqotaY6m5U@uqq&)nRg0V9DK#Pt;H}Z~?F;_iZj<^hOlbdi zIBPoCN0Kjsq{?(THmWSc=!9c0r=f-A`o7Zs7AMh_6O9=u1bU#yR6E*y?!gC z45xnFVio;I&Ku-yzVHokYMNKEP7-M2O^9mn2VYaU#EenH`^WLyC`nQ2p zR>AAMz2$36=2J9Cd~?b>z*h>Q6Vocd1wGR+d5)qMu}P%Z#HT2j76IhOh2nwr#_AT+ zigOelIe=RB(9VSbxW4h%`R&TZ@P`ewOnvaY>ZSzRR*R-rQWK11IO@ex9Wa?6IT&g8d4y5w`?#tP~*H_DvMG=z(M&D82}ozBT4#`Vn!OT ze5m)sT3k8r(?SQCUUCr8vlDY*Y zVn{I6%Q>`}$%_5vA=@FGF8wI65FmrS&l^SQf+a44J;> zH{X|vD)1pQ>+MP3AHCPqqDTjW>LmrqN z=S@&1Bgd@cOCOzlfAPpVlhdtIxdx+#nvUY5E8v_E*~tzkeh@LsKmmbRig5DkyRN%J ztX`PgVU6l~O5SuPeDkjnvy{u$TLCg=U6i$cx9BD(#09M}x4-kx{j+uGru^N3P6KIT zhBA(Ze!i~#IR;cZMQe*N}6BB;~Vxpn{M+zhR}=}n=5`tRJl#^ayx zEZ%7E6rU0k~(GLj+uDpymvWYW)1X|lD?SI-e_*srho7wz=motJ5OZ7rAAhzrK7_B5m^?;XpL3i*B$Tvn6c?;WD7Ki5tk)J>yafq$ld)q}Yz zR*5HuirW!67r;>=!BFI=2-7QDhX;f%g0v)Up+7zHr7MD&bn5)QS}*;wkB|VCXg;;i-RtWSI7ce~jydt3wU*q*OA07{uWNyBz>U&H-YGj=&+c31*LrB!x*FxUSDWPL%8)Ryw@ zoPf6}LkPJX%8M2ZduWmPIVFrD27E((A5-a9v0zp6&o=?q(USg{)HJ`q+jW?T0Y#`K zT>9;bU~YJdl~Z{hw+#o2PMQt4kd~Wp-k1M0nqKGsr2O6m{(?1{BXfi+1#QTVHnLA< zwW8#$i5CG>3Dd$FDX`<4zIFAITUZ?a@R?k{Q*`n5t6Q}~B&WB?Tf}X;2&LQ9u*#x_ z<`$It?FJ`A#Z?3}@o9>->e=ruBlGTuztMI%w7E~3SK%#H;sKKtvV9)b;?UDQk%0Z( z3)4P03vz0`|K+9!n+h@=L{g9RHW7_k7OgoCslzqr2ix5T@NkW${9zne!Y7cqSb$G5 zS^AWFV4*8i+7Sn%7byNZos@I)li{vLL^A(#IiSFEKO&xUGb7x!_^}E`Q};2EbhA=h z8z&u{L{0MJ`l1IxbuIlL_`3FU-CP@oa;HMfEgFTvY-UUv-h}z0VC#;z_&xv?HLqmS zQCp`jQC!b&$3%VBE0R#daHUG$)RJlEf+*cQ?Svf7k(I?eCIc2c5};Kjz0P&l zuCx+|;)0^79L!V6OVYW6f)uh2fW9*r2DX1b8`UT}^|+)R)Bj~4F?n%#nkQVB5ltM+ zOorGuZJjM|ijrWtm3)}1kSE*!X?s0Tg)Ly!5HbgLEV-6I zXA-8eFQZG4s51ve5X`6kHHU6o>3p!iv2e-Z94Ekrqwy*meXXGL9fpp z>M`sx-)2y@vLNy@(DbD?{~KM(Q_?y5AZ_9EzWUUAIW1SEE8y;&PvRN>wLRK&3B?KV z08`PDZhkHWQCHymQ&f&y5__1HD$$J`6C9%x6%&mGiumC6FI}7fn}}#V`3_AY{LR9G z-q{BHSgPvzETGb3CCuusl})vOqwMfIK@Ig82UJ=4zTeFC>EJG)p&J!>GRg6}Egl)l z&~9IO*Q-m*uR7ZDqD0zvBnprI-mHa3pbVO&=BmAHMzPiV~d6jp}x@U>>D6S zu0D^;54lfc>MlY#!wDfd%6`6QCfuGAkX??GDz059HNaX>uIRqs$-69! zMB+Ye=ac5IBr87mZ{FaYM?6*~;<8kYh$glaI8NTag+6-WYI9J1Z*9e>SgZcfrfo2u z!d;uK+3#sfS-|`I!B&d1QKnvcXfrvEIbEQa;3g&)`(gV)x9sIb4Y<8fHcb2)x5~kw z$MYfTG4=CN@Tyzd@Pg_IycOo;y|5MJ@T>kA6dTBxubm3KrIy` zAw?!@Yo=US$`5rNQondbv>5_whsDq(6npK?f2IAa=NC-`f6vp52d97-o?LD$gUhQm z&S>8T9=|*2w7HS)z)uVGp{ALknqI=)v6k>!Klw%n()#9`IyH?WQUD}?WTtaXPr;r0 zY?G=l7y9Q*+8E843@pDnd&klWg5*T*=WlK_k@{8v+s}YdMu6amPKCx$RXvz+Vl5$8 zQuOW#JJNCvm;7fEkO8^WvP~@$OnhF<_!FcAEBBDH?egg6PSO9ODV!YmR^31!kYk9K zdavAlI0NTR#|tu8@L3VW!Vat&FNZRIlGU}T`b(@U^B`LaxR@&VN z#nNM^sW&x60xkh_Efs{!9reU>Mp4A~^loMWBc~HCGg3EFuhWqW+56yJc)h^=Fk(n7 z)8ME0a2aIQ5xpmSj-n$AtPD9V`(ZS#o%^|Tn~#?x-IVgPHKX1sPg#c6u!1a_2d5wZ z?xXKuMl5fm=ut)vQY^=7!hVDklJ=Wa)j=qAsz}jXJKZ2_A;$Kj)?Z*HOijsWjJ>(Kf#|oKl(;zOVvN~Q-e4)7~ z!E5?><%L;m&g0M)3?^dpcI__k!@2$<63va!eY~aqNQ99D6g{4FLw8Z7Ir;B+Xg_N;)5&Svg%1%+(hFe2d|K*w1%(Hgpj`Z zbW7D+9zfrzRR8H+%SOQs9*55B?*fZ$w#;h1nMdb(R`daPUYPxb$y1A7T zHNE6*U-|99==~1Sgxr|yke-;*UK(JJuQIdM)9*6$Wd?Hm&zIor@WM4*eQW1?{S5wR}cR+Dv9z5bU(dzNuf|@E*zz0V4Zo%URm*C${$vXOKD%v6QE4Z-*K2PAQtq;g0wlP5m6* zhZta~t4}arpRL#alvmp~I``|}=5PJ?fi)0dau@TDN3MdU88920%(LtP0{e@HzewBN z?sb?qvlvH_y^zA%Zt;r!V%)FyT8-vgZy#n>f`ZN8b7bD6i9fZ6_S8OLL4!bcTcq0T zXNi!2?kJqj#QRuv%&u2`Za;IsaPs9id&|jM=52hX|MiP?Vm0zF%GLWBL`J+Izw1ZC z_0w#5OTvdlWk^p-2a5&>PMgz`uH{VibauV)wI*2|Lq4ZXwMe`GLCdriFT@fO##?YB zLTSE@nmjG(@8gr5#7KhA08iXBKkY_UMKHd66^gfoAh&hrn&|SPaXyZlgV6pItb5fBl2F3urajX`Rpjk$PkAa=zjp4U6-YSkuxK6J~Gm-i@CB zaq4{Ln(vbJH2+L#{p(Lp>=;rzH$uC4q3keWj*%`O%w%nyu$))=e%7^^?~Tkv2NHJZ zD0C3W;}JMye|ae4@=7}Tgex*0if`Le;PL3&cHCTUK7AjgC1TsMG_&lshH!ho(k9EZ&1`O-6 zCNh6A8cSU@kHjC$kjL>)UTM}tJGy6a+;jqLIxLS|_r6ZxszaQ;J7*5C+IH%jCk8s7 ztBnJKW^0B;Cu-jMFRmnZj=0xT|H}k>6&=^4L$8g~%P6~CgdX)|%c0P2FKTwU4Hv{u zhVr2CPv;~~mAXexZGY%+IW$2RzynGu3(z{skPx?8^D#y9+mmAHUm)8%Z4Y z4!SO3Da&p1qb>PpGW}Q|46ptGsYtgy*L6=9WLuFw&1|61BzF3&bAL^Du%PUfR0k+p z6XTg_Yz>x_szhD9dl+FYjD9J>lRI^O`JJ<9OFxJd_yfrh8es1IM7&j(tVk*G<0tp% z2PkTH?gvf~c7~!Hgb&YF^fjL3JWIdJ8liprZkDAriW0}oN0Q#!HXnf(sF4d3LB1N| zRoA_~iZ|((&NovJx$5>!0X9e~E5Dc3uMKG*5~I*;x^MphSh^BWy6x4-I`M*Zj|Qw1 zw;{0ZG=kJ;4|jB3p8KQ`8L%?Y3S7sv)}fqpd;zTq=m;K(rE9;DzVf zBTZeo=*EN^c#G7aVwW7{m6$Wi2CzXtAva}JETXGtC~G}l`*oyQHHMJJqL4grhB<-X zOqeMF8zGokTS-rto~yB-wlTf-j=auQUa>RV_I7({gBen-nDQG9L)D>Vygtq+M|S2Y zQUW72|32d9eeKWt@H*ZTQ_IqzPo;vAdL^QiI-z}zw+&?mBK)y#!k`3JazaQA9WrMS za|sZyf?lp2F;xk$Zq%2g!ewGm{z#og*dvFG84^Pbtt;f&|G_*JHVyg7rwh6p1$^tV zC^6to+z_{k_%TO${J^>^vIaKeN$nAQ} ztHY}YZ0P*ym+sc+giBTFD|s*#1#u4hV62> zPLvjMIsP8m59Sz0vErbq9liV=u7c|-kBRrw-Pu1J{F#-J6cJG9dU#CeNCPUN1$AJl zoV1TUbkOG{(SS}w06v-mJqMLl!!AF>Ai_tqPJAx{uqfX#Gk3o_4ST`~5NlMCRjbX#P@70}(N+=-f4S{j3AdyU_DiE@Z4*FL<4*MD3d@ z^xA4!-?01@^V^L_2hg&1eHt9_eUgd*WV&%MDI8A4x_9ZWUtRQ=zZ1_nst?JG2Ql}A zrLy^eT(*diQW~wuPlFeuTv72~jX~kWqz+sE!@PjWG-0-aBHPNHx$hbB)K}3^m{_1g zMs%U4u`-t(0ahij2u0R$>C(O+g)!c-8obJ(6y85DcgMF!3#GMGw!lg zRNx>5s%4Mc00cH29D@prH|1}eldwMjf!N$YUF#L3hRR*taO8V~qoWnZ^Oq~%cUN#< z1E=d!8kLJP8QLfS)YSg6YzxLLe7|geIdP&+ZW#E>GPsg>zm_C*qP!opDCy(Et=pMs z10uV>h|?MtfB?4#dkt8LljEV==1BN_trDJykB&Czh-C{b`U&>t&53XA*}~qnO8`J_SjGDm{okBfsfNp=*w!1)iIa%W7|wHW?jRl?_XWL*bANggZve zT>g6blc6MEpu3wo(gjmqL(e-6%X{z9ncJ2wGNXnC2lt4lXzf{GVx)y21qPqH4Ox^l zc%e|lcLSUV0BxXgBj%F~lu1MUPYipMtThW&^gD|5zY~GD@M?=!s-cDX1BWMbUfiy% zM8Q&V){!K(dHDVaMTS)l#dlZR73{LbT5VwLApyw4h2zrxijz*Pkpd2o(i>H}N0C2q zC*A}1TS;rEO9^Fk^d&{vf8Whwh37vDh!c>D4(fIDar#?c+^M0avrJA6T>4dr*(^=V z(fS7*SyZ~sQ$4?UWviuS-I`fF`Wwf+TlF%e5w}ln9Nax$c0Crx>dKmKhY_GxpR7Z9PAl) zXiEogB;K*afMjhX0*r<~fowm4dJDF}Wg7)caVD;UEroSecd1dZp<;pVd-wA7$9^2io`uUozzBgRGC4s|(1)EDNif%sf86fU!C9|K;ezW~tY z)(m4#{IuErrRnZUDiyy|cW1&BN_FZp@o7NBXL|PBaS$)JjW4WJaLQE&f&P}!(}j0C zEE98vivKxM z(m`?M0q}{4-l0-@2Da0b5WU;GJ`%RMCjthx>RbKAz{=+bvd zl6LPpFJx30u#qlwY1IuMkVxW_gKSqp`K?vq&2?FmI$Zp3bXUs|39c$8%rpzsO-WBPa0VJwSZb&=EPj!JC zVFwZ{F-zTE502+wF|%p_WeS~=fS?PKUS|=4e>OTi-mJLC@wPbKaO%t1UbZ4hZQOTR zu>Z{T?B2f>43*3U`HA(`Px=&*Vb`zvzaNX!8&*9h1#8 z4eeU|=H-qUz86c{MY^Fkt~~ppncRl$agAq?VESBJnhGYT(vLGA6q`Tb4B?oQ;;~*I z^ee%57)1RA$O5OfGo8RYW!QZ0w$JsDglDZ5F`^|T4bbtreVt@a%3?KTbbYzmE@x6F z@pMDK8Oa;J)as9%WiiUa?h~;R6Lb^VevkaIqShA_y&n-ny1DWB_GsU}CxL^U_+dk_ zg|?jDpVh^T;>%uKUPJ~nQ7ddOLPGm2!NFgj{Zvu7hgn#rV*9rE|8fXM?FzYp34aVH zg0X2pYhuZS|2b_ISLanW-L3)Ayh-Oz>^@AktSv{{0xm4)7aPfyPrL)O>~orj4NUQ?y7u^htte z{Ftg&))u=7s?*v9HdM`B9u;{yw9$QNsuBG;{yp}KkfLXsdX}#j#qlVZlY=&efhVJ( zU)>1L9yz5}a`}n~FNH2yvKVGN@$KchY48M(mwLVq4!x;+sfKnQ#5G<&@x$igBhCZu z7L6mFVE8ieH|Bo=1!{?RhAKv>k$C)yru7blMD^HDWu(XKt#_Rxg%Lua0$_&pus}k{HbP)4}RHBsqwizoGPcDUDLPNCJc+6=~ zBMdsj{V6Q#<#~L_t~bzc>(*{CI^i?rrbK3^s*Bnhu?VH_r#adzAH5eiyJNm&uKi|WGd2UrBTJEUy8QXaRv6t?6)^iE&P?P8Ba4Bd@~A4UV7?C z%a-t5zP+Tm&bVZXwdZ$ZI};eHdqpdhVtQFrtGp?bavY`HD)?T;Q4o{2Fh#fQ`IH2a zrROeiD8N&6n;~A`-Bc*qz01J)Wb60R3bEHXM~|88msXv$qj@%TBFBA7U^N z$fDL+1W9HbdeRj7Mn<|$(1ShWz_6p`cZhMb%`&%=VU)^GN8L z-1+$pX;<%pUFVxd&Z&T4lri6L#UqyQh+t$Id(s?e(O}#v@c3msXraHJckK0=cNN}q>sZ=+93v^%*vbvpXi-urxm>07~;(f393xro_>Y7Q#925gartL$rf z7kijV>x)7I_RI=Nb-KL;nJ^V;2KhjrxbVoi8EX)AmzlRrP~^vj4=z!YJ$9n0xG)3Z7lp z>?;4A~j z8P~4IJD2-gXzzzDvRn4RxE{X->P&|I;w0s&iF=0{^SqU*sZOhWP{hKA>*Od-#lSd@ z_~X~UwtYh$Bq!NWHO;RNojY6rKtDA>pN+~sw+B~gLkipGHY5I z%-1*dAB$|$WdeP$OzmKOXc1};_)d*LkPI!i-TmR+r}gZVk^cgLCONI)gPv#c3@&du zp-oaM23<9(yxF2`<~5!wBsiZT*Oac=qeFUzXb;DR_$j^h^GmZYS;6wrD1s}I%?KAc zPPk9%sHOfaXZ0FcZ%4}wXZGJhN7MXQ-~WUg4*I6IG|);A_IobvHToYKO?JlE9lsV= zvtkK?ZCLz@Z3ka) zX%kR=K<7C=AQ(?1MN~sS#omO`ZRoh7Po!~-Zo7h}2i%h@<>fPStNT1h!?5eeYh2C9 zL@RFGXvQ3Omq1C6M!)OPHT*pqHFLc`ut`3D{;Ts~N9;~6D>~6<1I~DwFs+m`D9KC@ z$?msqW=J7#D4Z6rjV6~ple+yGvdirr2)4()vdvdfhs`l%>C{!jsaFGB%g1WPw8RY`YgPO#e{aM4 z2PBRtr)i#NN|{jrG0eh#YdX8K06F~#4s88}{$9T8QAnv!ko(TVI~8b6&u7pNbHIDr*5BP&BzG*1C>=oS|F7V1noLgDN zN7&z|5VezMS{(bfC@;bBZT_nvPtk%Fs8ETC;wLxEzi7;)Hu_jZUq@?inVpK7r3<9K z9WD&w7Q1_KX2;>)akvqF`8z`q*HxDDJ@&6qqhfopp>ZatH08Vh*mooU95~nIF;CdU z9}WX{b0`Qy+Wr|*8YF1%5ygVzTA#G+#S>!)Wqc%aeONIfH?LOJ7z_f@0d z^@q!(V}eRIo))@0^+e8bwFh$<-3p(gONsOOfE3Pe^>u>npLT(_z{-^_Cf1A~Py)NcoTFFbbQe zyKFXS(GNF->Wf}{6|-WjJfZ&FuaCl46l^nt3K*q-CZB9@f#=Mm6>l459F!iVRe|y8 zdybb?#Z;8>M;dmG)YB{yPT#hkzBgJFNRs$?0DPZ)s z>Pwh(Dd4nXi8sNcy%#YDbAKXFPKvHOThL|OES6YoZ)5!$BQr6M3W!G^=j7xWohl5H~1FeqKpZGHK4F4Z$pw z(QZFadc#>qy#5aB_^UJ19Pvz|@%dHTJ@Ftfi;FM0635h_dv>uMdFOUyY$^a~8ke^g zZ}%HZA4w~|tgmL#Tmx)UOQ&?q%w{`k9DNcXO@T!TorCSug(v0LLKGnS?5*Qg$>23@ zL7#M@lyGzdcE@Dk8i#*W^`z0j!Lb!=7g9$Rs6#_O-x1uML=NOnGi&tTAhXCe9x+K0 zkZ|yPv!-^{UuU`_$tC}tiic6*n&I{JspPJy`wiwN(Fw&HcD$HseFpWWYE-SYKV27d ztk=j@Fmv*r~-EP8T+5*2Uqs<~CzZ)}Z+1JUJ_r~|XTxKo^zx?~G5TJx@^~zmR zi~RZRoTEh2#<0B&20~7rB>rFLJ@HMha)g1-pTn$dPG|)qLiYiCt<5pQ0Cl@@(igOa zP$0Cow3d)s6t)l6B4~uH;4e~Ek~*d8QC*LvKjFA_q$h7G+CifSu!aJ!1CqDnpZ117 zs>29DIVoIU2Yy{UeJeIK#Fn;S35uL-2rkB{Ru{CN=?w(0m#m; zPKEj3D}&15@!~}B`M}ocRa=-CngIkThv$C(uQk8K^mQZZnF67yBlVYurOSm2njL

    F1_fi=$Lr z-diXb=asMbxSg;Da;x6@ZT2K-q|02$IGooW!_per){-(;3@Mw4*YyK-4EOLQ7k_&r0Cg4T;>sVQih75XNzK#Sl zwKKo+;jj+pi(gkQwaVIKnBN_&sAK1Jj3M7Q{}z4kO0mCjum+otJa%FKIWVLUs^kj4 zWq-4{7Fi|p^fHzjHni!|SX)flW5#T4wA*@!zS*c7^LNr%3*os@HP)_gG%sCMXSi*r zUNaA07@#zu`0aU+FsY+r>%Ui_QD*Ax*;Wgsm#m5WAXD+%b%1iT*FQOOsq!P$dF5zH zN~Cx3xo0xy)qakv%1pY%~AQP&Wi3|o!}-1xOSV;rFx;0Rqg<<^XKY^*$2Ibp+Y&; zn$8xN6Hb3KyF@42o|!R_q92MqhItza18EEP%!@f4x#!Js1^QVS%v`~Dtim=KsCaYs z%cm0X6sodP!MEDUW^8k?tguqVHT@`g0^&kOotqY==}Fil`C8<|{he>qs8*zHb>|4i zkV#zerHnwhb)tixpHRUVn>6DKS47uoq1il0SoYwX0Vc%)x7{4=8S37FL6W1<%k%E; z88{>wGuz_HFtRzQCG8O$oI2VumSZ+D%#>13H4RHLGsLLjh`PGy;=27+1AENjpW*Q4 zOY|E2_YI5c&86zzug7?BwguwRM>MRjMd??ubHZ(64C9z$%s*qp#MQy;O4%o=?*W5| z`3mgpv>-YXCsVt`a$pzc^0&T1AcS;ZvCR-1sMSMvr#n8=^uBK(=0IEFa>jvfG;b^1 zs?F3fxFSqK^nO4Vjd`B{4{EC_n?oihg+Sv-_x#C%yZPr$I^6{DdbsvQOwEZ@<^>Y{|^2w%?z&di?hXpZ?)Y+T;esq)LciXJ7d42Z&dAa*pn-9ROKsiF6q#`1T5e+ z6M-*|o5d9?v2wTzf{9=uq8ZtI%B*$<;7yD``$Qro))u@B(MxNds8h%4uSez%-cu)9VfkXS>Ke&yzw<2#STuA=Pt%Ar-*5}3) zB67c<{ov#AgY@o&+HAD`Ak6!P=^Bo^<exn-B}~Y;<4p{EZcNEn;z=BN7sO_%DDR z=rM6WTOTKy)thtM1u9x(_`7W<3F8`%9c@f+sn*rf)P37&ckyL^trfG+19jxPW&>hk|f-^^mu9F^I$w*JCxQ$@E_e$u}NUm&rD}S&2_=(CX*Q~v6z!Qlgo$*>^d43paQA}QLnjGBt z^Y{9PV@cZbU~;i0Ytzs&;M!tZrm84f} zA-F)CdjO|Yjj9zNPTf2AHEq1la8@9&-f~k{dE0zCEZeb>r9{XKJMzOk&BIIS`-`MM z2mG;fl3~Ed!hd%%?X7d?s}ffl6hcqD9*|@z!Xs0Tu+ARoj#=WTy(PkHdG#7cbhf&v z-yeY=@Se1?%z4P*&XVV>b+)k6r5i;A>9*Y9c42BN;-M0v;eo!>A{e^smQC z$gXq~tANrhf%u4b(=z3l9C+^#iAr_&yYQQjEad@IDqT26tHOyrZ%RYN&(;0p5bA>$ zty7LjLvdlb76X=W6*ubn}U)@&@EPaJYS~RA>y*bO6zg85GbW2wvF)EInz2cKk}C|1>U;mq{Ig7 z`|A5&85li_yHhm29=HZ)O7>raO?7S&Y`+;YPMlW~+IiDtCunD~8=&(3R9 z%+!BqNdbmm?;JqVkjo90Je;_LUsl;2%}|cz)gnx0VQiKUEqrg;5GT|=TCcJix`Jmw z(bq7FyNKCjiF4VmoXK^>bokJnuv^DC?&W9kW%wP(=3++kZ&svL`${L@7dR0Rn#|et zI&6Xe&#*$hUu0jhSnqcmcDbKcZDRbtS7k99y$(OTXA9f-mUIEnAGD2+!djxNd6V+ccmRD2psoeG3rd^E zsY&}2;|y)%5MO!kFFe8nn+SLx07@j*^b>x!>+`J9^ZC%3fk{-EPI5}x$oiQj1cDow z&*gMT)I2q$R=V?7$Y?k@mugy1`T+yw2V9`bG_srb;4v`Uu}}afolzjDt7|JhXG!kq z#4Pp9*!yZf!qDbDC|Z$>}qez3{t%eDr{t_N_|pfOBx$zY1>< zv+l3x%_DUBSU&UZOUOpD&1rY%Z!Zb=8)0@QD!NnJW6NhZB<_a+-1{n?V0ZV0TGXSh z8P7Z&$m7xNPJ6P^`w|rtWJ<4A9hIZ^q8dl(F*=oN*P)*77G7kz+S6~t(TFderv<0{ zm@Vfm`)UgV^>8Us4yhWskr!sY@V2Su4_|>+sP3RCUZd5(6cJnoLytRJXRBE zuDm|DBCs(jq&)m&gV?b?JnDLtJ{SWExq4S@nz?I*_#3lBxH`M7q&FQU94iMIh4#BU zVx8rdYtrNxC{m_heb7t&q-kS?T+8G#jfUg(ev{N7o>b|x9wE!25Ll@3ZYEH=CbCni z-!fL;6J-bN%?_93#)-wpiysLS?&yuOUu$uj`gjzK)%$)731)ovm7ibV<<~7Q(fdT+ z-9t-v<7bjvu)9|ov-11oF(~P$v?B)4SbM8-&(Ok>(S;F|JX0Jq$n}Nj{dXz)fwZ8m z%Ff0zZ?})skc8iogJ}{33S%fU)yW>TO+jwId-f(+;)&Wk=fKwfOdn%Z6CIvH#@k!q)PTQ4LhhD{g~Obt zeUjOD;O2S@uR-o{2`z=hA7ISVLY^HdG&!rHgs9`cUf8;d9vbS(Q*i75g>&eC1G0p9zL0*^OeB2vtc@quD{8Y0QOv?4ZS%W!RH-9N& z^+Z)84n8RgGdw%TVJ-Q6Mcm=SE6d+lVz;)hF51|T;cB*OgB@zLS^ zttKLajnZ8%G`7ASFgfG7y1Xa)G;eU^5nCK_5l{FcrB9-yzT|?(pY}R$)yqF)1*)8{ zgtCobQlnkecA$Xha1IlJ-ZJGTw8k|L2R(JNUqm*ZtIc8^aX4p~$F-?Uf zDc=!d%QmvQeFmNvyEq6#*%Prjy?ujvl(r|3C1{h~LMt3$d*pI#b+6e}7HC$lvv=H^ z{7C?c>|1|{T|x#REB*bi{or|gja^v=UT6RgDQ3W@cDEQ?-|*ORJ2ClhKDvWX*C$$ zWd-JOHviV>%bazz;=Jz2b$V8E{B(6yxLmT43DBg^+G?PJeX?UUqGJSKDr|@H6=qgvu>Hs^&sj$Z_oU z!lGalNupC=R|HP87qKArhh+XR!x}~(WKU-52g@bSUhl&t?$V2I_$Jq^8>-P`H!VtnvxG*1{0_cVZYgh5PAhp?S#%{>OLd3eVDXWIQRlhXc$4QPK4*{0$8F}&v3`HdpR$?ON}}exHfo?14BgF zr$@v-#2xIhg^5@6`^H|s2#XKg?=_F2!bTKozlD-{`<*Sep9cpZi6lg|tgkb2m@yuQ1)M$ZY#^QFJpEPt(-)K7orT=A1ZcoR+ zk{?=1+7HOnJEx=>3BLGzbe1f3<$nt+Q)HXu9zH(q>z=h0`uY+Oq-AA)&Sw(iY6>|x zN#K{xGWKOXgwa<(dXAP}0*}2LGNt^kX`VhZ){E&T%H%o&d3YcGdneb>heiFj! z?)$klxzUV##@-_r&)@b|Sv#~6UFeFdH_Z6zs5V|^8sLPWz3;x}R~YrSDW?}7AB-pr z#s6-Wgfkuml~N*^CxahXL|ritT16~Zc9eiWqOadja_96p;K}lE)6I>e+?DHux1eQt zk%`MPqe@GMTYlwX{20aCQ6GB3k>yl7yqhByEodMWfUnnft`b?1%E6So4tSVJV4@RF z=*PisCl!}(^%eD5G@&PKS|m%8XOod*&j%z=?2_7kcJ>w^l~hPlU_Paw!zoV;nY!d_ zm}4}J1wlQ1ov3GoHXYH2E9SbuAA|7Tv^buLINUi`-12%|m`gJb?(y}wD-B@*xB>+n z6MMS*`*R#C9wLZRN~l$}=^1X}nGegpk8E29OmTnzOmDF6;-hzvE0HVFEC%?H#mAdJCxL=xvGV}Kd-JTg_Vjgf$f9z72rk?qt!RH@##X#SyAv59 z?9>qA&4#hVC$7XdhsItf*H@JDC5hKsjr_O2-yE*LOl1mddiORI^*6m6SKkzkA@&bh zQfQcl{=-!{Xy%7A=}jLBOmcG^mc>z{sTCbfP@6wjS2dCsw+KJ+j*b>Iedqasex}+k z5)-hWxWB$IKEUln*5M?GOcC>XY*6WB=%t#VEQEP@t*vb>i|cqgA%FgMhstzxY02Qz z{=T-fPRXgtuI|WvwPy(^#igaCuU(p$gw|(hLvwg?eGxa%(pyC*9wy|{aK#12HJ#t& z^=##7&%z>5P9O29Wd{KL@?&wtJ{il$%|3KzR~l`S?sXaYpz*=eunV_m@Z}&yqU~AF znF{92Mlohe)6AxBJE2zVU=|p1o%kpUbv}S`{6W7>&I&_*D{?DHWEit+=aBK(VM0t= z*&F6Z*ea04WTM2r$$OOe4$u#N<=U`UG{GLJV|moBf&tpFb5~QG$GxwWs>_BUpdK`= z)ZMjfZ(>!NHN|33(Q~6QWwKpnHX1VT9Cr>7JlHD7d1tu+#pB>sX%ja z?f%Z@`?E*61+69J$lZNQ>agO^w5#2N-rOXe|8!>!a88ihZd;PM?%l8m`j8MT+u@Fj zf7T~KPvSM32`_)AbMhhws{_Jd`CiqmO1Cq~ z*B-nVsx9}Fs{XrqbCGx2UXxvO#tCmswz(}2rXStS^IEYv9JAG_-mtJ4Ru3(4Ro?p& z(oDm2L|&Vm`2-V5d?5nABZ)a}ScgP^UK?^88%VjbU2Y97SVAyrfG@W-5HBSbMjr7M@yn4*?7wpQa(K7v}hn**^y6}ECBPB$E} zCjQg-E6ZqPr4shl*bwIqi;9GBOOy3k#VljUzcRLwX*+Lc$VaC3!KUu}0%eLU&Dp)| zw4os+**A7yNrGsP!**wmBw`S+1CNiPlWD9$t;7rHig(-ncV8zaqjPoT@Fr7{+1@=i zrDEo;qAM6-3T9Uo_arCLO`Q;$D#P@~iF~SI)(dDgQqScX&(CE2M89t;B1$-(gw->O z+PL4984e9!ePoz6j<31FPaWIhqd%i0iFLVE2~Ku+LBNobcl;B0hVqm^c6d3_@D|a? z>!T$Fvg7Gl`mO6-+E1-0)a={e9Nah6)T@c@O`;YFmKJWYe+XBo)E^q!#>NE7|B(^c z6RFJbuuaDOq-Oo)MrU@sp9n=^L2U0($?GY8@;bh`ul~ga7X3w7(CFGLEB`e0aoi)9 zwQr+cqSK_Nb;K2}lMRC*j%OON#mB^hd-%qGN7+Fi&vjQx&G~aob;yLQi_7@M#>>v=T#GxDl%C`o z>;yzh_+dC667>1>cnxN@E%gTYWlK%~(H*3b?JhSpXg8R)^Xbi-tK@5HJ9%+zZchCM z>!7^bzYh#^Nh;F29=>;eeTrDi(4%skHV& z>b@s%)-FX_=V`|6w#O?1>CH3S@#gOv$~aei-e zb7u~r$RLhd)jV(mMR_yM(&*sel=HPWR~xvQ2ra56eJP!W007eDGt|#5jM*}~?*9|8 z7h-nUF4v)@-O_&Pu}*1~BGH2QuEHM59UqtKO&g*y7FD@yXaz^@33w@{@Ag z8ln|(U89J@IWH|qVt7l+g5pBz7*AQ^>UF$cE!<`yfJ2S8v(Jk=Atvc-m=BNt=B3W- z>_m1UFAv}Ru%+k|Ub~&kJMW`ZmJ=%Ps?}ZYAup zwkAx~{FEjC3;_3&_KAPm*yAN&YKLhEoFojfnwd#$;1)wv)N>nnxOcek;!N3b&u54C zn*QmlcS=2-Yc>Jk%o1ho?&>YGMwOV_)mZ%1ca5IVFWW=**Pio#B zHvq;LarK@xSL(z~4g9Ypi7qE&!`5cZV0;{;j?Z()5KK>UjKaK`MVU+i4hz1&1T@=I4$7|t;B=EU2{nt)b6aZ6}TY0CdhIidjN zoO=LNyN2?j{}hS>d{_PxB~Y?ZKskVZW%}s5f%8tE^1zdJh^;D}Ue250JUcMiyo9EO zRUJItoRR6~mvbkQ6V$|Tv8qG8hhoN$;cWZ1T@E$E@MUmX*cC-W|5m*!n3q|J_j!hb zCaC|RHN8Nap3MP7KWfi4OlI@KO(1+v6PeM+wXrY)sXvlBiH|=nxi3sFC#&%)Fk<635y& zW?7$f7!}-pv0-kfYzlP2L*3WrTp~G1O2iUDc-ykLtc!hIhS!ums{0NtPd9gTmd7w` zvm-O%rd)8FN|<>JF#UD7tSYALOjH!dx?zWtP>Mk~<(^wChNg5j3dWp6YcYh2!W!oN zP}j16RM~UB*G?h6C7ll?;^HrQ^a&RqACW`xpd_uMjj$6(HdH5;e4T9ql|0!iM+voE z+Qw@=noe%(O)Lsd9aYq1)vPj$CYLOIy*MuRHD9pASbwPXlRX)Cc9OvmJj-y5^!?|&}<-^bgB5@(fzwGU^0 z{k8e=o6J=Y ztZ4S624ODV-y4$al%4FpOV=JWDu?i*E&nqqr(49}QotK4-LhZutb zu)$+>ofFpQi{@7cYL1+OeQ!lNN#vLO&jeUjVd;Ll8)Dx)_siAtLTq{OAk?!*9-z{a zl!p76s61XfJ_=9sVr0$4BFbE;|FfNg>77Y0H*_BWcfT5j1RV7J^^(8K_OA2?YnagW z|1%bjviW@tuyCc?9kn>dxkZBP_su-^zdG76k2l=!st7p2_%Ux(O4hziF5-{;Ay>nC zG%N>LxQqdyk`HtENq}g-(I#WR6KIj`{M!r);;ayUc&NdP(0U&bHl2SbQurnOV&lES zkmAv>px5y6T}#O7bgs&6I(C?OvImY<`A`OUNi;R4UnLa+LNk0sG=qmL97 z$>WEniD@Om9@Viwitdf&pMv%)pl@i-Zo<)4J7Fw}?())etEVwC3Y2)NpX~V@B|6&R z)vRnB?&hyj-r^JYct!(beclDB@wSu@*CQpm@#bTb+nJ{U_lu(}NtCu=DhP7!NS``w zhyI`c4J!<_jhOCiD~VW7yVX@EJuq=OTKl?4;a5gHTKC`aTT!|XkD;*m7qk?Nx?%jP z#deVuP1zAB6!-krAe*PbdwM`Iirw+-;{FSX@@MhkFb*uG zMYg198@Q=R3=!%)p?5HO^My*qGfi#D;$Tzfo5Ly}|H7>_LbJz7Z=O{F^)7ki9`Q?- z42J@8HO}Tis1y6%{VdHThGp27z8}+InOI^Z~cDE?mp>cS)iK}K5>qz>RX$=!VbU0z5cb<-{XWt)BCB7EKZu}e5RUiYu$dn z0Ygn1x3g$2{)tW21xU`zC9S`--WNS7Y1Q||5K=Y?0zD~N4hRn}IHOoJXLIQb01o*V zuAF^{$B&HH8N%TrKpLx;fD$ZaLVEu@1wolcm;K&A71p&mpIPu)rQwIo$&4qy738jy z4Y~zO0O~JC0Hx?}`Nqg+41hFzr8jTwFQ|Dp-#?HwE2h+PN^(Sw_1H2@vHN$^q3KNh zT4CD2setC)ckSC)U5X#2!gtpMe%5x1QRh5z#0)bxw*B(Wu8BI!yyJ?-PsjoH*5e@a zvCZs+h~JOioy^dnLD;`P;iJW0EFNF#Gx!;Ax>EXS_?B$u7X9Q7t3tyEo266#r|!r+ zvu|t>H;&KTYoS@?UfmC02K9D~g}|9o=J0YRqrY~*Qw(tvFdO=P>RUVPB?(N-@AzuX zlgCkiaWfOsh#|ZeIl`@$HGjN6hKOjk)w>PI!zC|`9sCQC{O%`c}HAZdrE zL4WRR;#l>Xw{$m%bwQkmDHuLGw_3EjHK4yFIyqH`II_wO*V{3227o`EajRylubnsr3JxHLEL*(2=4HVtWve%vQNJ=RoJ$`{f*iIN4NxC$Vg z&Ek9Qm{04IL6zGz)`)lfGMe>2IY~=mU-G>*!^iM0gxVvDuwKt)4W8I|2$UvVOU#iB zzq$D2VZvZ4{993#5|3CY@Wfd2q5f7o_jRN^|Uh$mXldP4z*bu-brM`aFAk5h?c=`0(yU}{FBF*fy)ut*H zAwmd8To|G~7}fKDA!V9x6(@iC(Um&33p-3kj2$Ldm)?MpPWH{p`#e*??gAe5gD&YpC)uI*%Ov;KbA3GII`rQC~PKCDQLqO>p|9#T+wE1&ejp0nD^kxjDLOF(>%O1Sbbg8F{Ul^TdHSaj1Un&|}YLnTJ+YCt4k zwYGn8u&v8g$^U-mwno^v>U^D4A%>CXsJaZwO^8Z));7Si4tt+tp{C1JvhzEcx?Z0Y zlaPjhd*2V)n&XzqVKCJ?5i=4mYbfHG!QEAN2l7J^V~QzOY`u_c-@Q z)91n?Ipzq68E4tQxsu>cKZKE`GR}yrvHXziq@?j-IXfw48t4A+W-{vmPh7x!&I_N@ zhqT*kU-rhK?oQfgX~7jY4^h(QxAYz1o{NvKYWiEPCe>m$?P<#;yRXI8Vd^=CQGu$A zz*Qu?DzK7j_RZh?=ifpol6&<1KL?XMb>d<+d;BK7c{=bzk{6_6?M**ridXDT#ysoZ z;r8tG0i}$@B|-j+kCG~PKN+7dC+r0f-R6X>`u=FAFSz}^-xOH{`a`lG(IcE5YwStc&TyDK+w~$K8KeE&Q#ogY~Aeh_8Y} z+mM5$iz-rE-oY4@*k%sRu$esQOfP&Ia9vY(rTTF7YKb{E9*a~72@W4EYQYLTmy+~1 zHq56`WdmEm0&r{8a)F`mX*ctV|6SS|`giFr>%-h`ti5>e zoM&qWfl~E`Z-UH2B_*gxwxO3nC<8bFeGa^>P65nzYv0&!AaxoMzwEugj+#a{C-V*- zJzROFIjooc2Fn<;Gehr=(Uv~#?X^ro=#;fNvJ7->Y^uE`kJ?p1v3eHzYS;0PHs$IB zq!qPz-Ldyw;Q0mjJQBc%sq&sz?Gef5^mwyH7`REpLtW?PrOH1wdH4OJ3ClBSVMnF- zzqQ|FiFG99_asiT#CNZG&dO>l08ThL)qi~9sPG>>fJ^R%#0q?;W$w4!_?32AS_>gL zA6zr%AAMylHYhOa=1;2NEgbWmCNPKVttu#*a!{2@G6cT3@fe%o(K0ud*EVMBGbr+zXm>kW0LVfsK4GWC63Edp;i)_V;t3ke%+?lUMz@g6Kp)ill~t)cLH zda+@k&@%L%uvt0y%D7JTzxQ{5p1pG!&BZgMLfLtF-4Iy?ba$Tzy}VYFzx5OM_?ewq zj&w1wXLo50+5_?&GIvhH$vd6`HSIU)7A|ON&|TU_&kZkHD}_{P50i7}g_B;@q1U_c zJgp?n_fb1Rgb4`hmi&2aJS?*LiHdKC?v{Rf_*fF)-kp&rFfg?coT%{Fn%ZWKw>-1F z1!wE~JzInE^WM#yMNarRKk+r3=3(!Z3CTJdmS9hZ+nrnqhIyxGKfP;>!wwGtgmOdg z7VI=2KR%`N_TsYoawL2Wc1MywJ*9IHD7IjQlM4tUtwNVlp%t#TW##4hr5x_J4omI0 z&l)`|G&l&%1nRGlso!^c@wnhkWci8shQTN|a8Sj~L7%NKIV52>-3#FRus^m23|Mj_ z4dI5Jr&YjeZhUrHDQ|8zjx%zI6g8M_3^8fC?T9Dt5_^o1KBxxN)=a*CgV>7~0A!~D zItw=Q8V?7zX`SjS+UFknqQAVn*6-^Dfs8s~fw{HnX=x}g$Uvv2b=^IYjb@iOvGJFH$xTv6-^GzM*a#ednhh7Oh$$M7}?-xlZadIVec* z5C45*PAN-v8H2!=_9pi*9J-v@>^o{p$zBTg(F5>tlzfw94*B>aX~K^5O}p!5)?-9{`H9`wZRaO$aV!g9S7M?8n&4rW zHy$cWvotv*t;|1c)89U#fSZIq86PYLWrVEXD{k2b@tUhbSHDNJUsEINd&Hfhr8dXB zA_)B`+4r4zF+EKdsSfj9D$nnspg5? zj|Yq#R~vrlgdjq{s+>|glc!M2n3tI$GJ76d_q5N)6wQx97acDG`pyjfd*Lm26t(Wj zMbZx+Fo^3a@d66px1`PE5mheim*kR!d11G$a~L2oxtA~eBZU(M3wu+i*DpJ%MrCMM zxiJj4+zIQjpYXbF!i%8I^hvcyUvB42=4%gVj05Lq3WJm$fNpgeE>nRy+~A(yUv}Jx z-^s|@ONNP-@ZRwL1|E@ykax{V7p2EZsEf26?;?l~?@2VP?#+ts*T8e1zN0Vwubhkx zaln$OQ5HVFQeCn&emDU>LPbt_wvl|+pqU-D!tCs4_jK+Ep7@`_*Nu)8>9sM^4V~jJ znvF(x2WM$Mc=B<9DcIrRr+3bZyiNWGy4_tp1KL0E9=uN`a<%>D-%Fx5cB5U5S*vHtdoC>)8$ z1vM`MBaXOT)+KpFksr&2ojYnJ$ki+}*;}yBka@9wzY8vVE&Jc;Cq9Q_yYz`aqI9u4 z5y^ zMa*2Cld6ZLn5JVS)|nnnax@IUEx}27H4h+&*U}r-URp4T=|mTx{YCKLWh%b8A|XC6 zvcrfS$ibH%(yN&0Pi662UipaxToY|vP)soD*nzH3B08!qBh&}iZti`kLuJua@$eGw zNo#8)k*EQbZ#vc3Tx`fzjMLgbOLsuNU!tuK)Ud#=smwn)qQWqJBydxNF%;w#7e#E$ zOj7-oLU=94yq)uk_td-5J`T~4T#`aa5qRtl=gN%=u=gEnIP4~E_d#JH-M0hWS9SyP zLAMGzwat5hH^v!F?PtPwU1RPSbfl`DJZlFuAJ7@|u&u+fAQGikl{>aZ&s&8M+q@7K z8ks2+D^&hPPo&{n4X{*Cbkm34n=Es!QJCpf^i_yaI~G4lYnhAwO056VZ+CdNHD&A% zY{t)ecUZt_G^DtrvC{jvXB$={KYncmU@kFD*Z7-Z^P6#0*CmWq`DU1BT!T<|M5wj- z^}9?W@(eNZaqrg&tw|Ia*O1f!P?7gh#f6`PUoai^numA6hm%3bJ$H|_*we1J=u}jD zM!tXOXt)R@n=+PX+|SfZrD={^jn)gu+S`S_-?$j$U68GG`_cTKu(m2O2x|#>Gp{sQ zm6Z1J`lO1|o&I?a6U*9O`M=1r@q^xG4J&pOdRG;7cpT5KR_mRJKYqWS)ejO0;{N0RSHA<_ecT`}@ss@l9QOLm z?^YdGCS;Dn2cRi2wg<@@cQS0l9<83qmW<80A1~PUIDH$0hxh*iHpF4r^Pfk2O{oyV ztUfDZO}=q)yqPqaI}xmmifNNT9B)$MJ z<*>`*k5E54wva(w6ctYlAc^q;ZcDxT>C3{28bl8!3WB9VD=C$L<_}W zukw*Zp{W)qz5q5zFK7{R_AjcDJwYDv4UY59{~95@I!J zoY~wRBvu`v#%m0@Erbzdwr)uo)6D*D8|q zOcV7xS$8(S7PZ%XxO6FNqVuQ!9~Iho;A?>&J+B|r3(d&b*m!!jm?^{Rl$v(yR8ogp z^^$6X-6~p#PW-Y0$vimc`m_a)5Six9>gL`ti7DWR&)E%DQ_mXstEfl`0Y}7fasW2c z+YdrmEx3XkLgm1jZ>>0@3L{Rsiq8-c%i^&G3xnCsx*iKEl&Ejv2WL^HT(sfnt9>A- zkMcztTjAJe8<8QH=s$P>{Fb&en)koSd)eNYSB8@h?CCD7uAJnfoO5I!3?x@k4<;&y zi#N$Uo;H2mp05VlNy)7ML~LU`un$kbo|1&HAZxyI^FJ4d)XQK0T_5@I?MHsu{YARP zjDCin(rbn1;VAQ%be+#%B*KAZ4bMMc7yCo`7xV|y3y;%}c0TM+=6(@2zDz29eJjMy zYM@YeQT?U+qO|#)+1CMkp(39O-Oo1pX6{m>^Ko3l99(i`FQ+&erf&HPkrDMF;mQ3E zk)O~^8lWDkt^ht+O-5>=zCaX|Z1xYY%yiJv_PG`~&$0M?uV4#K+9Im$)t;XLoMJm7 zm&sDqAwI}_GGop$+huGn9>&9I!}cPPuw&GN?}+gxU$E-tOD<;_sYpe$BL+4UWdEXb z5``OkXQ=Wl;5$bxhsmoR`WDc?eZDBE41L0?$+o!H1tD;3JqQXDncJ+^J(y z3IUuR?0dYJoE^N(al$~8e$(6&PtaAg{>*f()HBp5ty)YOLQ>5l$Z)+Y0l@e!Uk|bO zic_L(z%N2$3K9U&clJOig^qgKGyjo1hy2OKU#@85r~XMg z?}h(Pu01bEE}|EosAw*9O$pVSK6$;kK%1M;O_>QH>;>`WDXe+UL3lhN0ZzUD0VC|2 zfN$yyR@st^KN8DO{c6+Yk`t+3@5NGOdQYO98ckM+tO|SP>nEfV9(hB+g?0V=Z{|La zv3h+)q^eBsa}!&)MyW5}NH*9Uk?&%54=c#PhHvYf0*0o;h@^ma9^m~nT8K(n`#{J@cz^ zw_z8Jf5>$`WRN?U|iT=Jd-cI{eJL-pq2teD5Q!edq9K2eQ3(f`COr; za_lb~Mat(Jpwlp^1PcL~8hl(wiT$K)XIpS4?PQ+A(ra$%JoctAlFVNfAp`KF^{WC* zlUwAy;;oDOL+_&l4&L!nT&SNWY3gj5p67&btqK#qanJ^0{`>Lvs^FB-YNp+{%boVg zX10&ItWXW6iJXI;4O(ttPq_DtRd2$th9d9GMTCMNTDTNnB{~+O;xE=7C){N-ohJP= zwLb=5dNbRCUm*c;jp&cm$D)OIB1+%uC1N26zRHvH^NJ7S_09ZZx7VSEsc-k z#WYL5y)c|`L<8qpMoguag$C_~Vl@S=7eMTS+#Wyz)K?s8PVX@sq@Sv_FzDM3l>Ke~ znC;!M#GX_<`#5N!0c}j14hT`wvdlsyi}}K9r*%8qI~b&N!}0CoH%L^+C`&x(o1jdn zJ&Ts$A3?z3D}?v^olqX41J1OVq~t?O4C1Kxj96NGLTIA^%=6Q{z+;n_iJgGYElfNU-lm{Ze`vIPZ6&$k44E>q29zB>df3h_itmq@2# zuMcNzlQK~rywl7&$UU_L;^(;)UtMn!zUzVk=8#)bkCUD!`vuGy?tkD2)A36Nb|Bca>mOsU!a{&Wz1|Ivrr-sqZ(-CdD%m9NAA* zeN>4+KcX0I*nZ}xy<4)Bq@|(#SdvnC+*q%Q=b*<~)2ND;Rz3ne@Z2Gpql`1r!v*bm zd4^m_)zg?Nao=b#gcRMkj zCW%XEFa&4PFFkR*`yITyb_EBvO6Poo;TvB%eeC*tI+c9b9rsOmtwzY3Z^J5rgg$ft zdVUkOCS&yxAxJO+DHMk4*e)LM=SFkNU;!IE&0=D*UZ&sAYnk8CbjjqpuP6a93YK*kk1?Q7juh4U`d5WQ2XY z3Sq!5Qz8A)7vxlHAFyx1WDCab7jH}mj)yJ~JY1Pf&iAzzz!%scXY|JZrGMZsaTmyu zzL)p<>bvNw2qLfRtVt!mOjmba_1++4jGWSNq41~{Hxq@y(k19%^Vr!4#v97T>&ym{ z>v|dKsB6Q4>7qO4wdVpu*c&IK{l6k;X=G-1ib_5U`gpMT{6mSm5GCv382fq5M_|Jc z|J*$L^tVXvgv8RxA4ajDTAhN?eIte1;AqlAN4!*7tz%t{{h>s_QuB1?U^$to;)YxnRuYQNnyuqx@0LO67} z5l4ibn?ZE%p>it0w=`>$pVOR-X51c6SH$i-`U)vNUL9D0G&jBkrb`&5Y^;gEf@HMD zcsjdRvoJ#pqkxt}LV;713h>;wYmK{~%mDy^_RN5nr+_=$1fbo)1%%I;MrHNgvw);) zLyjUiHb6o7E)dqe*dus)EB2Ny3hf zL)-tyBFO@^bZzV`h}k)_OKXtQBE^O2&&s&yZ@)!pId}xmodR6GhPXs~*3Inyg>dQ^ zyq3$d%~i))Y6|JjqJc{SUm7Hh>Z>qGN($4<$Z6Y{$F|}hjhq;^O?;V0+(S#!#xYZ9 zkQA##941*Cl9JEkUtF*E!ZYJ3`4-W_O%m1%}d0(d&KwZc*S+}OiXqt%#Y>NYQL=!k|#SkBeaMrFt z<_OR1q2a`8#6?s5*irdgBvXbAjLGe}vBp`c5jWOEy+~R)+Q^5~wGV#JNbC4Z(UcA+ zdCBRKIY61i zcx46cHz-jHZ*6c^6}BrLJ<~-KGj+Vd}w!x42=r zYGNmK7B&nngvPX3CMC*X0;GVOf(0F*BVFTwn3HA zhB^e_VW9~FR+bDCDEDj|2>gle;9&hw0ku7{N?jpkBYbfg_|0HS5eUJceXE=!ZNRrn zL&*ZzC0zUX#oz=d!on~mfkjBQLgHYb=LnZaQvE;J)9N#nl9mj!173q}jbCx?zbmS8iACfO<2~?=a+I9=GyooVf0K=P07&h+hio>bBi2qi1f*82cQir(Kc6COh5K7ofHN)otv zc_0p#D9%)(?au;ZG6TFMJb;@wW~CWmAuFkaw)6Y;zHLn~bd$6(=e41`+TybB#pb)r z`Hckfq5Vhhl1BfLqEj>&E;aCIdsh#1p&CV zPtG0Yvb+T5D-adJu52aCd-g(u?gT`=zp&l=wM-k15!2sTQzb`O$$&V-;xyl~|E~^W zpPG^Gfb>4|IdV~Uq_C@-tIWEYLb)cbsiYdMU;d)1TXwrYE<`+`@mpwDe!KrKWNx?r zt$XA>M0@J$;!pFU*u~A(*%^|m)xMN8NDIKnm@_0-kNUCf-<5!Ps}i=Ghu0ONL%2aY ztY_OPeymAx)Fc3`x%$-XZ1Uvn*|6qm6O_AS0Fl{ z$8OJaaGNf%Q31F<2~Yfgt&gk(+mUaOPA`rg12v49RNmlt+}&`I@q&-x_)%uNjqWey zyRA1Cmu4C|4=YQk9Q>y~DKHz36?LteretULb!~c)lK2V zo#@@K5O0^5yGAj4Pebp2pmIiTGj*%kBTv?q1j4I8x5xQHM`M`((}QFc3C;jo-aQ-; z$6F!@M-n%}uAMw<|Y1C>hh0_8tX1ckZxH$jW5jA>Jy77`+Y-w zb*b!*WzB-@;YOm$`wCehAF}Ee8V4TH0E>Qp8O0A+21__7S9}TUQ|!TKuuR;{o(xq{ z6{Mo-_;D5#(nF@eV)+$?ma=8zQ@u52#ytig~ zx?ETi8^e2_^nTNA%KpCQ)MUBIlT*){kN7c>_&*5FuR#mrlMW`(%)hy|a^~<;4u$l< z!X7vC2;ra>{Ss9 zoxNw}<64m)FoRhGBn4Ygq3Sro&|*hl(zpRw`q)eOyfdAu{lO{`PE4eJBFd@TBBl)1 zV?j8~nPJvScOU%VACBhH)7bR*8H6{oS^n|$XW!x2aeH7`F>uapZbOOk_=v8dyD#^# zY9#^qzWAcLmC|Vx_zC=om~$ z9%(pQZzFnU!p2{FH7sbTeNZamUsx>~siRAVOMG*1e{B^ z%qntknd=v7GK}fksn0$=u`?p=vN~MN>-1a7U2?F~00hY`sVE}Ce9e3o4co3(I5Aa+?N#V6oivX?CX-WwH8ZGN1T+`&wsZ}zuf9}^*VJ_{qFCzCi?=q9A_5CT=GS)hhWL7cm zx?AS#tlQ6J8HArr3Fh@M(C^TuJ>r+PUU=93cqc>Uw3KJm@l)Du&E5N(Uoql>FTzDe z|FT}}jS%%huRHSCkz{vI@8`HrK$&>6wX#Z%NXMVQqg~xDp?Ec~nI?-g~aO=9(*;M6qB{hbgsaY+`jXJ^%VaL)wl$Krr=Z+ANYmRNI>r zLBRExzclU|$7*prA}*k{ASD3HmIQR#ZVkkLAf81H$zdBNGx7=MNq|odP|t9IvkUygp${7C!a?)Sc50 zpg1bu!S&`CFv0-Br#Fw+F;8#1U50#B<8d_)7NBqEJJs3*{*o^6j7(}o&udAl%v4_L z9Bf>zxCZC4qkaWYd=r;+BM)q4oV!o_pA*629WELU4bLLs=XnK|zdWn+8aoG#Ks^zS zJhF|g=X*2RGQ#nnn*9c)i(f`BVff{P7!K=I?#I+3@8IG=jw)}}na-9uw`TQ(cY|Q~ zpB~apxdSMWf#Ra?q|A&HXkWaN6cjVe$VAOKD+m6BnyN6nj0|TIo*CkLpaQiIX5wR} z$JCG9$g8TNZ)>7}MH25j&DDQljXeHja7(6Cy1v+7$`{ttR5$1QOZ0)AvpyMHwi1gT zN81&GfY#fWBAJ-_cPIwMMwkya7S-XL1>l`^KehVOg!ij~*h!tVHh28BTW+T}&t>OZ zOPQxk{nH!^&Q93M{(^j`4BxzjRJ4E2vTCYq z4LE8Jirt8dKkgE)R|>rrdY~Vy7c`1R6J%+B>Fe?$*K77)mXHL7G9Y%zPxC&*>^cYL zIybeJjXE=qz;obajJixD>Wryf_)f(tj}1ryzZi$%lwu&>M~?$eNHuJ~L$wdSWjs@V z>?f(#W$g3U0Rvh@?Za;2*^Aslc092c(1*#; zbP8(ef9HXOsLHppyn3sjw_+|`Ge{Chv3D9URi6EF-blS^x828x;M4bDw3rhY!_QWW z!Zs8hRP{V+>Y3wB9dS`dN2D8Ct9^N!(<+B+vr8xp1);6hq8Z;Vm!(2R_Ad?sc~WLB zJ>G~KqABG9pPUEq_k(6vtb9Bef$sGb(00J~-mQAA4I>aR307ZhtD0h`*w9kNOqto$ zGVHC!{=b8azvK+nL!_x>jJDhE#$Fl)D+87YMVF7U17z!MrPMm5&{TpOC8*fs<+j!- zspGM?(cj;>qV-iV@A{>X7kNyta}Hlwi(TGRcJsd2o&8fvguw2*oDH^|xl*Y?oe*H+ zAp;oj!u^MydSq*bWqH5M#H`Xz2_W6ag&&uKn%*&+_DzEp}Pn=@!PPbQGaoIX~$t;&egI))oz=gQN= z>;PB7SYwaPcEB~7%K9h@H({3>R#Dfy1S3xVmDSPq@|ZCN&3Dz~#S~2ptsi0hvHmv} zCPv3uQ0f>m8M5QmHukP_dg8bKRf=EctxIMk8Q{Vk8m>UJ)i{~OI**=}rtY$4V1o1X&q&y2mP z6#idYGq$$u(d@JEg3pnmU?R|7PIrfn-4AGQ>wl2u7Qn zP2tZR0V+1opLYHu9zVs7CH-_Mx2vV|0*FI<>fJz{lR9e{FAcu%#QwXo&P_KEbvp-x zjvqx_Pn|Q%c9_9Om-F$#3qJ|m%4VX@D88Bkx%y<63gYi%x`rM$TVztv6Wl0&0Sm8A z2}p!urCh^YG&v30&org%>DBapuWTU26W0k;WzH(h!!9sWUBX4)9XjW;*+qkDi~;kY z{+W%A8B5<+`*(5@WV+-Fj6gYohLA)(lA~Z0l*e&j3@t4$4^cgBRu$!?IDs4X7bVoC zBs8M`ET8d@@ZR*_bSYMT_~a4wd%rS=oxgvPQp7}A9M(v|OFjF+i-m>ZwIt*V0Y`#{ z6|8{kEWqcpxdEoFzUZLEPDKyXk#fvwCeN=%Zut%=gyZCq3Si}Jxw)ThPO;YDf*GPZ zZ^Mz)!b?*;%e|v!WmnQ2zD^pNZ;e2vUUZn;w0+7$>*k`MjeCx~z_;Hs@3Mbb!$Cv} zk~6Yc2(y2wJ;$d#`vSO!=P{!>Y>bVs*bJp(gBNC;x?c5mzgj|q`lOHhs`SLS?)uG) z7M1(wpvH4rJU*y|oImyn-9b`TBXge6l{R_r$a7lJIJ#e>%pcRK^; zi=P1nd7O92?B&1g2y&VJFDi=3l}4kNoi9cC0fT0NdgmBTT|lO^VL2-|H?NZj5(*H# zd^lW*{H?v3S6^G(9CvC}VKjoUg>9vO;fQ|-1cZW}=7s{F7N7q_O-V}kXMQg1OC=O? z82oBP?o8!8!jYqL`4_ZA7%#A zF2DX!edFKLOeQEkXtl+u8M?}=lR6zGnKFp!Oe8b}=skt$;2lOo1)?q8Z$5TJXW_Up zi2HtO{uk`JW6Z~MO;kI2k#&i+0S!1G8PYI|X$J%(<;XmI&*UUyt;**Yod)sOo8OCw zpUg9mE*f*;0FJ^wnK6+rm2%hPA1;3nrzwHAQ@?>Gqswp*S^&IUZi}@PVG$BsGAoJx z^Hfw&+3}ZC2RJ;z0ho&a z1$+2VFw7ft(gtk?b9q;hpw=you9BU@wkXG_r4K*>d>jBbF%Fn2T5bq{$VtG5ddM#Y z0kWcaz&f4Wr45*F_@ksHRz6Y&kzqT+GJ)Ju1(}KVgDsbG*D>=7lr_1t=oax~($3v# zv?p};vA#5bnyxbJZLHkcEEWijQ1tE~h`DG#&BG0*9q=eIUX@vuq&`QS>r;uZP>DZ| zj*AZRzCVi=?0OJTgH$XFu9NzPyPl4xAL=#k#UMg=oi`BMU6#ar;`Y1%QcbuJntn3N zZRVnNqeb5CmP}|H{HGf+MQi3A@YXhs?Adr)U+!E-i@7w~dl2|;E#WxyxBLu8w@+aa z^2gM)m;#xOU{@w=Ij$p02z9)_zHVp0P=VthG?a>VCQ4xa{jsGMoj^_74a$yMlPWjT z5~LQP=s67NSB$cMHgXhBrDUAdnWP6FV?O+4FTOb7lHRAfD7{~t{yJJ_Ncwf+&%#6P z5&U4oiDIhmntT91rys7Tw0gal_92>{^^pg7IV^UfP)pg(oa*BN(fC(o(VgWQVn%m`+^foU*pr!JroOER!TsNdeB_mnF!9sgg`W! zM_)TPaK#t>=?5u7jkgL}oX_7k!xUrMBRkBZHp3P-l58@ZE)~-B|35#5e4?v|sX3V% zA8?FPSA_>fT;I%+p6@ibATJyl;H&CYJcxz~!*@VZsUh=Vi*x}Y!!7eZx}z@>jjnh_ zWjsLxwTSeUpFCvI^YR9Ri6O_NCN9fPa`Pc{8NPCSwW4|19Fpwq0vsW?h>1Ia8#7+P z&?)jmRCEMGvcd?h&3w)ZZw-79(Gx=D!X>PFuY1`~h_&B!`)nY;F7^6f^xb;Mg+~GGGrX6Tdo54~#JJ-AO9Q$xV(J(y!3^h9RkZb*+5}Y- zwX}D;8pFJ0U;!+I;S=$i0zJ?nL~(VzMJPQok-7^%$GhaR@PF}leE@}_pghOFl1TaM zQWstzTZQidjV-@`O1F_Uzv~q(aNF%TQGx$2RrSS7INdGuyzHOw{g5AMabb2CdlGdN zd+)0$InEJ7Z`hfeTKTbAb`0H>=oDlBdK$#ALy!0{E6|- zBRqkbiNqF$Q^cK$0E;x z<#am2;q=-QV(-DWo$SraBVgCRRqv21HDyxe5Yq4xJWB%Sxkh>{c$&uiZtuAW!y}GlKds| z5ZYwYG?^rLb-eU+1Vjn$1VX_VLk(^g_wv42q%Kn6Z!+3hnN=YcfmSMOyu{x|%^d-a zIJ_GW8y~VzS5hjv(Jz?`{?}lzYXfl`90AIkrW6f!@tg%|@74C7h-cBAu+aTJ_l|C7O z!XUoDP`~~$PdtRZLdbaFpV%3~QSQnCA1S%61x5>2GLX)~0e?=Xk`Oe83Z>q2{GHH> z0VD&bfwdUx?|XMwgr?CZ!a9TC>uQ{QBUWa~({&2h<&j_p;e;ml<1h&RSgSME@|U<2 zGfZJ*HrBOL=}IQEY$!yvBADfodGd^NDeA%D=akmBT8jCkX z9~mI*(i;IOsKQ{k^Q*d)mXKNWRU89vFI3YX5(;t>>@AOqey`H-?FKa$HS|=^(<5D8 zdD-^C2>UaCqL&%#?gqKp%*=q&IO76&V`dc$?3B&em?nAHi9B@vsruSdw$2vmJ6`ua zTbv62DrbqPzu%ikV&AZMm55737(k$`fD>Rw|KBT3O^NMNWc*PDJlQE;2#O5RXGt?j z2Fx8ycUjrX02)b@^<3tz-iIp{t>fRL!mYwy*GE1UZeCdyzTwE8!M&#}Y@-uOL_ z@5{Y@f0be*Am3A$C6%tJNSCUqXrUV}+F4#`&?1qPeok=p{_A<=6&F{?Eb+>%h$Z4V zX_1w#ok0oL8q}W5ojd!ZLPUP#hMXL#?nyZt*g-Zd9pmk~tw9tSbs+;9(;0LZ2!7*H z7S!JaWp>V=mGL85C#>x>{?6*reI~bGRyvj`*QpTZ8@Hot3fN1A!elK zU^f4CHlO~LQ^Rly76*S_#MJvH;ZJJr%P1hFz1-OZ3C@%YtC`Xm-A^)`BAJDs%HA$; zA|+(2`I36EIty9VTZubU@&1_qT7D}vsI>ucl`;S>d^1QkB%l>}lUZlPhGN|V^7}InQ?t1k)DpPQ|Dk#zC3kn@H zdYB_mlsK7Q3rHhf+re%kxqtly*7Og-uI5Pl1^3cUBCH*e8}8_o>H^tD*3tfh^RtpP zU|ARM9=!;Y)7R<SeZDhSd;D|&*y7x3eI$MseX=UKGXgob zGCp>9Go5YO4ENQLi(a5AmlKPVMc})FfjE&)h9!4H)Fqv~u4d*xd|6V))pq~2XG0Vy z7km{P&Szd{=dSUIFTGYFipjicuSA@NbYtffC5}W{rZq2rE>l|?+?K103f8hOrgT5{ z$N`?s#ePh3b4^9+S~w~rj-@BM%calDsXNS*CZdIQHM*x5{;{dS4;L0`fmpeYxafRdV6X4^JuEm(?~NL9 zkAy8I>wnz_$=e=zgN?qOUoO|S^X1r)$7-|Q488f=XZ_Dg0*0WS6uP$YVHXN^T#((W zL(BLPYMX=x5p{o=;lUxMnd?b3lOFi_}l|M3QfC!nJ9{Z9q7rM!0Il>gXH zga-dsWU{8EusONV?o%J#`zlOm#48U+nH5K*5;;`L{em%+ikW4J4q|+4mz8qKnd`@Q zM(fv~FfdEl%lpkv3hK}1IeT*>NF-z@Yv7m)TnHbwpAw55tG$QiO}{s0d2PwZzWq4i zdK>rN$!4!ATWH$AVMeLAQz8(vgNpf&-tGM-U%K%&xoSbr*mzq#yFElRfr(-Fju0uS zAT3!rUGaVQ%5hkocc&Fe&N(LcC#yf~>z$v(V?Qx&eck=gHIXLsFgq`=Aijol6H(Ya zUJ7p5U600uF0$tgg@R2Ryl0|ZD*59KsH}89hTZNeDB7aG1;b|E?|vft_k)o=eW2Cv zKGJ!0EfCq2IB$t)W!mnlAEU%ASjdchn$xY2fUd~rxOf8%XMq!Crv1@8yv&}hvEizH zA$W4-ys1C!6XN41C62iNH2IpdKKbFXus8~MQ6xv`n@-AV*(*ruvwhqe#nYO58+C;8 zZVNqR&7T5HWZ89B;BkiX1kQ?h(C(HRYePMJq{|N0QMWJA9eTMBpeJvoByaBg`&FpuOOS)AfyAu2rSU&#Fa9@otv!a#|Q zAe+5bNpYQn&1n5w5aHYGL}J4Dc_ht-MI|K@(OJ;g27aVRoy39*L~-nnOeic*4KPYgr=7@=&wirGp8^ z_&OvM4Svtl$^>#L+!cVtAKYqvF>dhbUwu+*n|*qT0{>Z8N9)c!HpGx~Qv%?}0{H|}BwIcu#5%;ngwYZ7v}Lif=cGh7aj-z zKQ~vK53VS>1nd=YPK+p=Jf+RQ>dRIY6(|N@J`jKh?B4^Y2+jP|>z+*n7lz$m}_maV1;O&rHaGmWJQfLxwGs z^y>Fc+*zaO$D31_)F7)NO z0-EfECn}rO)4P_4+jk2gF+$zCRsz{~>6sBbCD@Pomp?*8SpF)Y?GDq2t0vG5?)G&cZCL&xn#2#IkOK z;%delAsqVjJ|bnFB}s(JGx$GhU92+5kDo*NY7WF3}Y{5n$P~M}IRW{kudH zIC0sC#5RhJwKN0IQGBi@*|xSB*1-&Fh5_f$X0JXAYBnoMmI$s8^X;`6CEOHA)SHq_ zha#VZ)|kP_JLmCeg|c7T=t8+dz2fo_8&jGP0SgiN&1|?~+!q~1V!?UQIfNh1;O}W7 ziq;E{EJ}VpHJzK~soxioj7jS~-f#Mr@}d3W26@}3&Np)x&RUbruz1@e)Q(ce%)*FP z`h%CxtjN`2VNe%Ij8C71KJ}sQ6)(&B|L*Dxw_H0R0XD_?4Nn~wLl*4d>5o2%JM}dj zrP2R6pmd*Csl$J0L|+!;mbLb;McZheUs9WSu!;8nY%$jp5>LfE>YkkX#ky4Z!r`Xir%na>vklnm< zLN>*kD740B^>dl%R#p6^DS|@4l<*W6F0c zN=!0mRT1rG^*L}O_#4dYcdAd%zljytwjFLIl1z_BC{VO& z;s+w8W*FD@NKRDjvAYTl31b=a>9x?3N`HhUre5SwGGL8y&*a=}uIX+IM&H_gL>u@a z$ggCI7$!JJyzjewFEvgB5yqSD6ru0NZ0x6m|BkyFYtJ>db7WsJD>>@e>Wv2`pp$SP zC^l7On!!p(!1C9ddEjnNl}lbyti}JbR9~EYj;8Ix;$Wu={*P=NA`H5pj;v~Ws z0zOojd@YDRYZ8*1l0VW$=TDaVNV$Gj#?;3w5Qx)ZCd>A;2>dkUt^8@N_lo<;Awja$ z@s@8+-i&EslOdd|XY@T4OCDDa(lMlYnL5$gJMkF5IN%|MEM;`a+nEsUc^|nB+ZR;pZy_B`E zojB@Pa(|qd6NxFRi6;t0OH7kotPoWJ|Aa@(U|H@+I~~GnGBx+*;#~PQ2-d(A>2a(( zAzevnmW^6R^_{i2)S~>w)#F`g~$YK!cpIN{=jV<(z zV=eubayX>qM-cU;qDrh0ywQ4-hAX4u^gWP9^DGX%kmvize^ zf7zRY;0a&*Q9YkDk}n;zM3%B7QI*P7^G7isgA0E-PKl67kf~`rP#uVr!iyFsKXfJC6y5n?as47%o6pd+c713A(Zo$rpSb zsh&f1)37K4*B)P1Sxc?qqM`p5!$f<3z*R0?%I=`|H_+rR1TS?saMr|!#Tf%}$3aVi z*PRzFhKhYMoF-2RJO4Rgc}+X+OP_ufzH^~W_+43g;?Yej-kSbqHIFXbO`KejzE;CF75!_&!UP4iH zhAiK~wRU;ve4XY6=dI2rtQNb6A8+^`oBDr>x(*O}jz79t23&0_oODWyH~q(9SEjqu zUrL)_RAj~4$)YPK?*lTLz6Flpwi}&)y5E&f%GrPV#vfSSME!A4tKk(M&Vdd6#*BH< zl5DR9+eWR~c6cNvZy{F-l$-9nzak8V<&>XtO~Bs?>|OHdTt6fJgM>pQJgqcFoFx1Q zm!1B>_M_Faq-o==Ln$eD_H96N&=S*q7lQRiP#{Z0{M#!J)-f)Y%ho=-Ui*UPEXyo5 zg&#Zba&-gcI#=lfwK@HquYKL;@EuX7m3-~@s+e(zkWT>Lriq{v3Ha?%hI?HVClA@~ zjM5G7r2)~CxpL9R{gnS`Qs~=*5=4nK#%0fShgcll$lPWD9N_LnZ&V@@waSvTBac1K zB0BJI4wLhlsf5qFpDkh;eQd%#M`Q*?zg?TFQu#pclAoetKL~^(OchUmEtkRdZZB}w z42X2jU)@CP3=p}MTNlr`V+c{}Qc7yyV3G=i2y+G{O)G_8bTV^vD1ow84ZDrth78e~ zIpD!o@|>HpY(r*OcdBIhEAMu0lL{x?nXHh9aFbz|fzi+rW~@TG6|H5kbd|H8#0{sF-zOy43<}1)Ykw)Y)@T|uGKSd{ZwtYjXJyt0M zeL~mJn?)13G>WIoxdiMR061cMV7rYz{&=w4RVlNnQGHbv&=nJ`rPf?8FrCeHE60A2 zLg#@RWi4Cp->kOxwvo=!9GST?;`Q_L{O{?n)=)T3ddUHzvTuxNRM_%A7yI^_(Hyl1 zlMpaV=4)cZ2^1qjExy&v_Az101EC{_!X|MD8YxR4uqU&MQm%g}U8MvGBWt6v7338V zaX_}@@yj{N>7S*Ki!EYd11%}sV)}T_EVME2wl;%Avdnh=ayQ1oI>jcx_o?^pipn7I zDPS&_V$(EHperMzM1>%^8NA7Teq#(dDOJ5Kg}9(gE<33*xR8*||B`_&T8Vs}b4e0X z*`&A>)Hn9ndsMuwUsE&psoBzSbRBP5B&~MNPsv(1(;}LW80(?@w?9?u2g-GfeL`&H z#~b;su@$&|X6bq~&(hlBduA%O6$}|p-ti%QoX%C;)V>jp-_@2nc^CCjhTNR;^n5+* zFV_$_tT(9n#RqUnZM(c=Ryy&nYvQD+wH^q3P`Kp;>$bkuiF~CO+&YtA*HY~&GhXb_ zTgN;}U4HMZdq%NObc9eIJsAzaFeVg9+^Q*#EcJyQ4DiQjY)4EHo@P=a~K@nNPhlYSl#m zZ<=EvBG!L*ScJLz1;)Xc9IJtN5nmKpf61l#mybr3Qnx6u9zY6m`+Z(Yr}?SQ>EEi8 zXTxw0(*$|2m6$(e$ocFpmPXcpU59c6_Yq{NfS^K>BsRbqwAe{x2?gYNAE510M)!>j z>ZOL*fK+BU=imy{>-a=ME+_HC2AjS?-3KNd6mC0EN~bUAol>Ay{OLZuyObh(^w^?k zk=%cSLxWzn$!*&&nB7oy}=#!>{Oskc< zd6A~vq1rf6dIcV&`v?Y1Z<$;F!jx+pM^22<#U4r$%LtR%&p*mwt}Mj*$(MIX>4`v7 z>ZED?L6W;q2l73N`@ZOcps&-37u3bsUVMm_*jR7IpZKjw6DI2h@=e#!R0a_SWJ>7O zG$&A56q|dS6|6P&u((Vamv$K`C#_haF>DzZSl=tj=wU;mF&<jp_(_Jel;32sSuhpWZ2A;5p?ssHLqPMyh;c(a=(vGmi-B zo|yivgpOO5^4^HV`%Q36juyz*p|_0LQwcovw!%o7-Q-VJEB!hR)F0A^3g4*2YuYTO zSDATdY_ty9ubPgrQLYd7ZTdOe)=i!M-=jc0`1XH0q(`v$*R=+<9~lPKHZ-vdf^_40-j^s`c+ z3?i%Z#ch@eItw$F#B*5Y;h6ZK`Obi&xrFMjKN;09%jgdLnT&xw;!TO>DnBzd2%Z9m zuV9PS2t``D2Sp4dd#G5G106gy70=S`j5_`A$||E8@!%ihJq#nk1=ZMOK=})`Qi&zB zvNtZ#-qJDo2`6~m=4`UDbY#@qxR(;tB)44&uc5bND(N2M0v6>65e~nIpQ^_{>Bh)9 zkCDHe(smc!Z7lrS`STM_icj4%OY(4EFv#-&6M2K)Z!)MZ(_>8eHVi;*{Mz*;eDEfx z-*WGZoj4NYop%nKxMAM#<`;V(k3*GSP<`~#7;HIUiy@aU+r)1Zv8T977>LUIcgUmkX)q-_{kKxJylVEWiEV{T_(Q zp*E;W7O}^oY_i*OGPOiXS$uud+nil?c~{nPh7;AIJv-*hmM%06(HZ-TJzOjLHKnK` z*=9=<|Vy%UT>-GkKpG zE|RoEoc_Zg^!Dtd2{nzFIFr}}otTn#)|e#&X>B7t0pW6K6v-lU3w`@#i=1-ghBv76 zxmZrwYhM>c_T2rNTGwG2Sdk>R4uylx1fFR@LN_!U(3*<=AUv{}q6Co?LTBFKCx;w= zdjs({F&@TIfq5%?1~L7~h0hZy{mGa=3GT#24#Pq@&86s1WSxR~+o^u-vdOC&>MBI@M z1-iV#cUungavdgId9yHh$%8-E5cM@>2IanHwt(D;E5cMkN_?O^-q9vvUV7a!rN0}z zXOjY&OuEwLUPg_w1L(A17%xr~oC8 zXz{>YCXvxaZQ)4WGPEdY?^CG;`=gi_eSVp?s^& zI$Q07wZCRHb$wh5js9Ga?K6RYXRE^rE4g4*yx?Aw7zk6_~F&DoFW*1n<C#x7IaiR+jgi^6SDc1q=qK z;O5jDZDf5vqiP%%B#w;IyJ1LuHTCDTX8ftMrxP_e;538FAa#CuB=oXxb^ zVU{^ZmK=TNMi*fME)5qr$w&|pyGEgvQ~H>t%sZv4NqDS$r<=Cn!##Cd+%b@w;$shr z9@M=d_6$B+L2HLRLj4%F;v|fgM(LN@x;w4X!aD>q<4*};m!rJAr<*zkg$m~4?U(M- znW}2;dzIDJg%kKb_a~i$f zeo4EfC#E0w_*`w;F`#klDR$qZK1(@SL~amj3Y?4%bT)qu9;aVoCcryoJvkYPGt#R~ zH1NfXY~Z0@{_r>D$jBDhP|SXHs6}`AWC{v2>SE43gh1PWrwwW#&a4=0$wv|4{R5^` zN;i5s(VDB)C9&B5fIhX6bYJo$WTAU*TKPvJ)ohq7*?kr!g`5(&A$fKz#U@XGVdMI! zL*2S^g)8EINbgB;f7~2%_fNcGZJ( z1S8xkuwYw`U8QpXZ1HtcM%iA7-CY&GxtWm@W-S;HTB=^IL!nI}=)PK;2;0$?BGy1( zv(WO_+;sg=zdJ8iZJsi1paP9%*t$BA%{s7=m1=s8;5cuNjlDucAklK=R6Q_A3lxiR z(L#3PVT}Z1YBGTd(GTk53w)Z?rBT7QxfB+B{tl5zjcb*XGqG6*+$q(f6{J_k?u%>c zHa)+{{-H^x&pH%tnTBx$g1#5ZbLX~z&v|0p;j zA*H=Xu2}0mF&G%=HJQzUzTcguWSe}}Lp0NaCKxESdwK8=l1J;;zw|gjn+UJv6Cg&7 zABFsMH~u|11p4|97T0i|ca>23L=B|P1Tb#t-9{2=130=nt;YmiTqTEEM&bX5s^K6t zFG^#Zb)B|8LZz&9qt$$OC)HAM8{J5O3ovUcPZ5gJt;5Fmt92^gDDeNjWS~Pws&W;g z0{>c_{Ip%7|7xp*9{P=29}{RT6#-4!=wlgFNU1S*-FU!Jo#fUxAI~IaH-78Kow2)O z`R@x5o)IWY?m|;-5wV1N~qBFFrIvREh&^hHfOvdm4ZX+InU#FJu1H{KEqu;rWvG z!90kXaEz50hL5k!2zAEOk1Jp{@_k2kf^w!CARGrXl%WbT2##Jx{vhwUUx5uITkV0& zh=LB0J|AdK$wostqrD>0XA#(?c?L;*jn@@(by0GpAIT*hNS)iOvm$x-PX z1%T_>e89FV#BzH3J@sP0Kt3+2Ym5tf1bE1Sh-&42j)Li9_{I1)hKmT=_t=rgq+VFS zNQ!}J^qoWq+z7y~gGRMrom2}aQvR)TdtQVl<&GzlHGOI-&>%I_&ADA;d)wgjd)Uz0 z+(XhK<(OnC_PFpx{R+YltIT5|$7w+P56TIK6On?YHtDBXsh57=-{o9&Y$sSxF6gP_E%A05cnj`{XPpWJ=?_ceh*u`w)2Vnf<1;ZzbG@k zhB^&46%@ztLvr1dh#G(`cAPP-kvLza=l*G~AP$4H*z)&jqYgWRz|6%a^T}gy2mV!x z;3r=dA=@lfbt2zDOXp@jPYyCKV6z;+LE+TDq z0|^s$TE;`M(orsI%k&DOUsc>j^=GZz-?cB)D29Xi_{{C^1Jr$UAd=N+X-kWG`XAXH zfvet+4uR#KvFt^J@Lsou;1E`{EAOl1T2^re0C5kX+fU4Mt^9 zojqU-I`fGw3*RA=au*%aD6hv-$3C<@U@4aZrKnpykV>scl>NbqW? zXrV@uE@K}RA6z%*39}PEelgngd_N`PA8SiqN>E=6?7pp2O-{AXNdz~>tJ77*ZDKQT zOzN?&teee~<}La~25m--Bnq-`4AxYy9!ztG4teslo5e(9K>gkiZDO?theM^{X&s|% zQoAFZM~x@z%2D7GKJX5|;;1$*8tcu8yP}8LwuBoLna3^fmulqAE8&ZKu$6z`gLBm$ z{vFLvUx}gV!z&`NY@qK68`j|4PrNnVplCm7SO40(fcsm}K_1)x|D=uObaERiqIWUM zF45DZgg1Et<6mtOhwi#-&u7AHh1-WMR9VHK5mWvD79lI2kBS zhw+FkRr6^1S{?H=J^<6g`%6RiFdzKxOo!yFK6OW`V#WyCevL^&Cu-ung?94Lu4@tS zkKE&)mrN7o_+ef$3GUR+#ocHNUrTw+hU<3^?=QB}&f<))iSKvovo<<^!HqpTElr8= zyHUkZyCRXl_Vw>C1z6p<{+-IVKL*d=qo^AyaBnj8_p7WzJ-iD`rutRxmmrfyuVltq z#^$fmS)4!1%q(oH8KS*J8Nj>J4UgOwlE-ZjzbS2 zA?PlnhJ4yoyP*X#=cb4I zKiQQv`$i#Q3gvU}^SZA5FG>Zj$L5@#T!GJcfb?rAYm!od3KGgi#le26FPLrA0?PqX zQU&GQWuaF}?_KneJ5C?P>ZSvY4z>9U>r* zZo<;CJSEoXL`@p9kn#sAG>WI z&iy-|bDV~|0y!O)ZD*}94Gz|nGT=!yhyvDB>)&#m;5@#S#bPe?4MA;47mlyqqKLe1 zVrPV{!Zr^~Laay)Ui9_F`9kO|R0W!I{j0+GhnZ_5ef#faE_zptS#96Os%7w!4>U6c z6IgS=Sq}1WcdNh`awDIU3l|?q8Z>_9dazh+LK2Br8Oa5kD7Wj8?}t*Pd$7QCV8*pr>V?OCaJIbEQ(I0K#|4DO|b$O9ZwZu6B%I>^TD{z zACojXl+Be~vJKTTt#evoMlFB4or6>pm?JFI7rNW^GRF;5cISy^j!SGk?C9N!>ckPA zXh2*CN!r+U;nZpqxx~;d!}sjGYrgjXg3F!4G4;XaQ+}GQCY5Y8*8)!rGhCTC=GT9A zspdvytEG@@G;uMPIcH*F{oaa8^OgjXgCO|^ zjSZK#C)Q^l_pPPeZ!U4ecDRBZ_n@z%zut}LX#R^RYYMEeV$_`6@G2)^`Uy}y^7!9B0s=qSY(08$*-T}aTyx~WySEkTm1 z`m^FESE5J~UIyj;&hQYsKxyBA^O6l8F5Sq`6A(+q>c2>FS@MH;O_v~}$~Na*w>jp- zAWt@@`G|FXbsu)xQeBrz*bV=N5z(Z`SA+fLk2Bg0jths) zdy3u`^a>UzEleBq{f7C})N8V&R-m-J6)z&{!%+7q>kuK?atH=rClKt29^QGh13JEB z0ulZ$SHhGu5&{dc&TU+o3jz#LUwI>~Tq`HGeGBgWvu04G>xZkWxp(O!;S*8~QLdjR zj2h~^RhRW;Xl8$-hx2d-++i9xb%E6$%5o4!N;aYLV5wJnI?xT|8AsUhc>%*+UiE)s zmOnNEmVa8^2k>0>0Yk*<-= zyEL_XPkjRBEPd6e^N=NgN)QR_x<+;BF4U3cSnIEubtw?pa z?}QDo;-c$*w5L|cT!glC&81>#-SxQzw=eL(E7^fqe zAaF)2z;1v|i2CSd#R2H$j$;XqD^lsnTlKBgp&3+bj_kIo}(K z8=yIheh+!^#zR}$6p;B~c*mVPLg2x3z!hXkcW}~d6$L${!N>6v-P{{-b`^Vx&ikX> z3+jjq#*3K0ilIa2r(sWi05h>PA$T*5E%y&Kl6@NYEo|#?+I3ib`py9LgR9gMpA?J@ z_DeA+lYe(yS+6G*dxx}Isx>YwoG`k~w4puom?$)+uGC=$2n$$bq_@}8!vI*m#WpWo zN-%3&>6XhEP_0aRy5(8K>gCcNnEtYH2WD{E`%v$A@Plghds~EGr!#!{^NW&4TN>8_ zNJOS=X0vSXu0y$`>&b4|gx!a(?^Vm5Ui+H3dRb`g%uO*ndDbUv1&3sr0K0FE|CleC zFdZG>C*QYAkc$wu3f!&WD@yJWMkhQ%O}#2n4_VWB%mdz$0ubdvat>-QPKAA4%Hixzb;QLrklLt@ z3Es~1+P9h`cV#H}!u6B+TLHnEFauADc;~zHH$Ab3mMj@xbw)lA`V#5-4^wBFzTRg= zzsID6%$HuQgC6=mMRPR z+Y$4PXWt^usUIm*qb1UkN_*oj4>Us1tvz5TSt!Wa{~*o6X`@IjK$MogPt4(|C;Qht&Fc_m+Afy4tqRDypoT;!}N;t;tS6U)LgT zbKY?Ut^SxF>V;c$R3><^>MC*imQtk557FTMObJU?)<-1F4@pRuW;b}q8PQI<7DT|W zv|mo}h%KPAUnatZNVUQnT0E{4jF<=kY3PuQ-DTrAD=Z;gNCxOZ(tQ}&0IPL1EYR5f z_nzpktM*jCdpUZUvc-tcNpt6dZ>Ld0>A%pYF_-UpTc(zHib7)Weu(7slUR(Uk0jJx z^==Vl^9E9rMtxN(dEu4Lky z1@WP7!JR*#f-%GMuE22AEm;D=Sgn6Nx{S4mIvb1I*?ZU9rsbXOw& zhTA}C7#70;6N=7jcPG39bbj7LeVu>myG5B(MVc=lTc|LGL%uJI{(bRvx=IThP58yg zb*e?1bno52?^@Vh-e2FZyiy6!iLPso4~*ren!Jvy`S8dBo-8diWaW?ggrc1e~&lTLtoR3J%R+gNFutvCdO%%WGuS2)r><~?<`P+67Gho4i-lhU==N?H$ z>uT}fPaqM?tnZFXBN!C9mx=bbFWlDL%>D;w^NlepK96$Ck~IxVX8O6l^BXiEwxn|MAJ zdFbOUGx0|qDRDl{=i}3-m{w?(1J|aQml~z`(ncHFQ6vCos;?s2Dlp>RY1XCQVYngr z8jXaNM?BByhW^CYCxzlW_2j%%nkN@bE@X$*B^0Q$5?;vCLeG+--StNnacKg=&j0ms z7z?F|=F|Y6r8$--F3x=p7`DPk&fR%iE9LOZngg;r`TFy3-qrfB!n7|u4ZOM1&9yJw zj2?aw{%`!>;D0}!1blkbz{@wK%g8>$Hau7&Qe{Y?y`#rr=qo+$|4{1R-|6?0Q?s%6 zIGO_PjAv+NRPv?Ol?39{Sx8N^B`~|~6xh32WlDi7Zyywj2LMj12zF)W%yw)FnLW8tVPzYCGjCF0qn}h&Yopg`zLN$bzxIxaA$D(= zkN-ToZUL4wyqjTBqm#aQXW%Bn`Dm18b%v-eNl_uYDbH_li4HFpX#MDmhwJOeZRR3& zTmeO8B1SLkX_3@(z0`ioB7227akGY>l}|rqG`+JOQLMi_Y1ibnVxcjKNMrFZHn%)J z{JO(W{3D_p!)5Z}6WjBgz_j>tfI!*k4GA0#o_j>--eIMmf`_qzvb|mLwywp$pLjsj za#pAU5s5bL|GlpYE;H8q!n^#zZ;{gDOvA*WWuH<4tTITb9gwKh zvLMy9X*0%=>OMmXk%Y*x4rk`S7lk&#{~cAOt{?-H>i<3o36b)`7|*%gm>Hv#J%eIu z4^#h&Dkt3SLY-OVVe0prb|Asug4yBw#kUMZ`z~Z~oCY{p9Zya3|xEt*9Aa3c> zH)dm(C;k5i|Fa)E{{OQd9jA#`Adj25Z5Tp&uLv2GSU+RR9BoR=aqf3#oK0=TLH{kn z++Edsuj5P|W4Sz}K-X|r&G|9rPwn@h=f$GAup4KXJ9i4$_;c0gBiElX!_L#M>-5V= z0^byq`(n%|aR)!S(VP?;Rz3IE_IC<@I0~D*Je#*h9NuMj6W#IQ=7~~U{Y&Gs{&j<$ z;aKss!ou&@7l)x5;zD8O+{ zK5kDISjbxgs;0!@+w}qh60P7rto9!+VM^XN$&wUWl&erQAbkQlmFR)byrrVCVeKOO ziZ<{YEWN?qK6iNQ09a?4O`eVqFnah>V?opUYIdage~?QVHErTDe{)gW&u(tm(I2H0 z4E^#59_+AM^;2*AF6L3rZHf1=lZ$yfvt82Y%;fkKW#(n zS-65|L246*?p5BHSsqd3m?5jl$d`-TuIs;IT1`t4Fz@M0B6^$=Ielg2{S6P*rQEGL z#&8cZtS{~O?o)qR24hCHr~Z(ab&G&+5zp-|{O>F-!1%jEdPVnhXEDIls10&Jwi2x| z#}(jcNe;cD8Oh0KhUQxx&WF}$Mj{@u#NzMf6u;NHxD(#3;99}OVye-PSy1GM3vIao zf6C3}{8rcFpR<_k$=*FAG0fhIDDu52@UzK}l0IgO{PLna+)va5d|}Gcu!K7iee8!x z)p&y;WE!4OZhx~KeqLU!1lX=EF2fdY%qSYI=viSn^Qza3RU2!480!?x)XQJ)*BwE~ z3zrCk|3PhOg0%N^50-vn`i(Xx=Uv}&N&_2?O3JLVwvIeqm8W?#iry12RsEjN-r5np zMBds5{arrm7cRhWMoq$_)sHNaO<1SnmE8Lo9$QS@G}&MTz)7jSZ@tf#_Z|V_1V-4P zqP7!9`zadq=b|f`prVwsBCP-8?B8Y>zbXGovQc%H#jQ^_X^0HU=FeB1itsmlakF1I zY@_PadS`N_kG-8Id;HlChF2WKf1L*W*1VZvS&_D4EMIr6FGkL=x%cHM8DeHxF4<1W zTQZasirr6bC)P2tMzvVQ5r(>sm5)9dBHR3NH5!g!X7|1ohc}ira`tLlAE)JnuE^CxO(DlRr zI0(^^q3qVkDP!O-Z`aptg)NWt^I}^<@0pHw*fTXA^!uy+OWH@|!lbFy7kF0_&h+_} zqwd_4j2s_Q0V^|ZINkiLg)+Ab<&CO$I_blh|5k@?a${tf!?BC6Ycu8xloxLucJ(I! z(iOmKcx#m`Z|azJK*vNFGd`l8HL&5ke8a*HX;>EPIyOweTQcRZ{0wLw`k+3X^WV8v zdD6$Lj#QFR#;0xQo^$&cu!1O~Hr|z*oDl_t;IjM&?w)&Py*ipr0AINNOdTCtOujIF zE`I~<&71M(clTZ%i$T%xG%dTt@+shyz1MUib4w1hOOA7!|J@~cz$Y&e&Tf?d|mK1k2EE%?ugAOO6m%Av%^AF_gB`L?~2)`R?WNYPQ_j9_? zr)gd`v4A)X#*{3n2ti;}EI7Pz z6jO{#$_>@#ont(lP_aIjhI4-Au;(H`!63!(sRxxAk_`K^H?)8rC7Z zw{bjOclL9Ojk;ey?gFIvmoc#0Vg15?uf9;xorj@~w?P42fOHzq@j04?r%-&v766 zK?N=0BMaAp>ie84^M`p2xL)rzJm~oevCJ-CkkE;@(N^H6g%BrT6KBdJ>gGQxWM$m} z?aLln{dF<@r03+3-f;&NuJmqA%PreGw^v%M|KYE1qg%A0LTS!n_{2XZK6dhgm1t&> z-QkG~tZLASd?_2xdk}!~@){Z*_aOX`7}EHr{VQYDi|)ffPw`(5&T^CD|0JtB^@aXe zZ7?*h1h{s;7#|z8;F4?tBwVOCnWz*fB7`C4&R_Z0`RCay4g=j>B2E9r{^hJ$Q%?0? zX|djee%l1+ZRJ7&v_&^iv z*D^U_$`DzREAg_e)>4k`weszyzq#stP5NIk($*{`P(}l0pV&sV+nbjvE1RpO%VbU_ zg92lO&6 z;})Xd7W*dit7gH%cC%Zzd2jZ)FVVrPNG|{eeOx3m6r*q125Wz3Y59ttXO5 zW6dBo$sSK^O}rY?+Dw*Tp?m1!1Go>$o~YK@f{_uY?66-R2G)yjGNNw^ps%=Ota|Y8 z@5`Y!CN!S*a){Enup&gK6pyiQlLZ$h=RY>Xyf2N{cWf zYWoCc2vk63ek3A9WFd>&H6*K!kiB)pyhN_8ANGn9Uwaf~Atm1DQGNN(@H@Y=cbp?e zQaH=y-0e&JzS)B-P1_Sj^J@ykOvF>v=Nc8zXP)9mf_oy;%Z``z$~WHmkjWaoE5Bpj z)3d*;3~ztbJ=R}!W`?2`Wfxb&X=vy^DxOY(a@~$+JU8%Zvi6Ta_{8kU*j6j+N@_g>nfttNNNx%-Ip=JU-98*&XXBg5GqBz|1Ojo*`h9h zRj!Hbn+HfIXVJY63sVlRND4BCFWXMDi@x7ULR{LBJ98!jULMeX*Lz_;74O7~R~~(_ zX1#mRm>nwX6j|NB^@*V14xXi%(Yk%=xxO1)_xXae>hQ-iN%1q+u)|YNzf45^(yN3X zA+Tay6Z0va?MNp1vJ(!20GhLAFJkL~>6U7+1H=F|oJq!RwmdF9Xdlk|l)Opw2kTMh z)y0oO>?-EnOD{w>k`|5gPwv@MkD4=OkjZyuq&i+w_0@JPd$CvPsQu;n zN+ed=h3vrZ5f^a6^ut-++Ykj~@{Nkt4-`u;$J|O{84R03#DxwNDD4axv(cN5C}oxq#A4^uTWf^*i^+HD@pchUCj?w3vH-0=%%oT^)rrA!Wbx)>0t%E46HtN}QU6!MKCbfghR^iH|tOrNA^(s+YkKgnW zrCH!tanDuVL}rtzwEFR1r{4~P=ic>h%w2eNM<^Nhc{R@$dyzd+hDROw`KFG21S;!^ zZ906}@&9{}ja{%h-NinPo1U96jBG7bcYqA1e}-pV`NtQcSqcyQ*0yjFZ5$CoMjwh1bcsM4^lFM*Lnwa}zoFmVJt_tN}nQcR2vEd6c(_e{=-nvtgYjLPw z5Qemb?;zqIF#b+c8K%oAC&#A?xj%y8AsZg|rhEIS30Rhm`*aGMj62N`Kj~SRqXkuk zNhtxT5=VI;@ay3&FiuE2fQ__%u3oehF8%on0T(`@%i5~MqeQlVFxA`&t|qvC;MbjW zCe5U>)tN=GOR;a8L80e$F6}nWP~}Z;@LKYU0>L#!uS@wgV(lp6sz=Q!F=9q_i<8?d z*IxymE);OTPcaid#+v+8Zn5ri5d87##rv)1fA%4r75aepx-I-7F;DV;L}NzZwBG(wckI&^r*=h=l}WR@vq5fDyk~ z?K?qlj^FR9_gl4tbs9fGl*g1*Hz4dS3g(b~uH%vk3q^oMPMO=Ta|n0z5w;|Dkt_Ni z>y?H3Az;z=MM^u&=I;iHvdDGto)FXG;Z@LO<293V58Vv4DuC^Up;eo&4a@oakI6Pg@sGj zs+6EnnSiA>{;b)P1w>#?=)H)6Cma>kna;<`z&?CHf>&v~P}b>D;?Jp3i`UBXwgr3U zT@0?qMbUZ9=+B;)MfYfC&$IDb%(3f;(J0Q<@VsPVe6cwjBfSB%aAMdZtMRu_} z8I-QbD;fVG#?1lEq4%31Vux25m)gb=zxRZl>m?zE3QwB*2fa;-&Sb>tyQtk2;?HfG z{63k$crubnWOg%y=5*Xz_NS z48+2ss(UaLBPX}(+fX!%hmg?2VDteC$lR#Ui9+% zRI8w*7@rO&EHG7=(I+ znW$}2>cW`ZGy6;%BeMD|vmr7Ou;_H1&2$gephdK8O*S%gn{bsgBs&gkqYA~_<&r4M z&^9SXCs6r$7?PDJ9$l_|J1smx%RsU-TTP0$L^^)08D}_Oe!qAwckY&ux}MT z@uNN%0YHp_orP4yuAb@Mh4)%?{HwrYvaN;Dp>W;kQmUP(EAG;I@dq~|l;mfeDv;Xe z+|cO+@80n2aT-sWblK!#YfNWOYF?Vpd*esi6*Ct&)`i#y$h(HmQMkbT@jW*+ zV7oLeI}y_KmbrJYFSBCNtSC8XS1=e28r-ueb4I-djUNx@C!ciy|*PdVXcV=6+23N_%z zI}-Qfd&w<)*|N0)^^JG>B)f=?)DZVipPV2{=3`Yn%|mzee~U^pW{r&&s(_N23oVmh zMtXGBXchUcitBavPVn>uXY0p=ZkH_rCod@ag?o!iIq*^ECb>5io`hEo(3w52kC*B+ zsQwGf!IzP^#Vtj%Tp)#ZZ#KEkG}Lq<>z(vk2VwHa!A;S4McN1PVegzWS1*y+^eTt# z=}hdk>8q7*ibSjix=ujgQ)2DGH`MxlFA@5K6CQ}*v7ocxLFJYZC91rt;m^+| zgYvbYYr#&Q%yD>V`EC39gMa&eeSBY5IuBZg*KJyq@Fe5EdWqBQqR7RZIY({$7?zav z?zctxo6KQNwiMwBr{qatSLTRPqh@UC)AnslX__u{OAia0&>rb@J=G8KB&`0LoHE_#crry~N&xyunCng523GTndZwd1O36>UR+1$Xl7 z?OC3GB?PH%fBzG`;C+E30IPhhFz}t3n8HOs=9+FJ-r!h$CGacdXV+e_S9Hqlp2@5g z-o1ZynGWZyqiMm#bN7;4)D+V!e}oo>(%cZBJJ(}TkIW2dmaoCE3d;f$>+mOo;&Z}4_qEUn9Xe3(C@7Q33;xqN&eZ^#2y_v zWGLO18Pe+5lfBg3ifPOhnLF8V(mOiuXveh094gb#zlQc6@|_nsV$P$=%tL)?>!cUM z-!pR_hEj?->I-CAO6rg+E#u+wj=8Q5V+-68qoSGhy`G6rb3`^N+@8wBTjmTInVSmq zD9y(0@XYFV%|jlpdool(w%S)pmzg~k{dTHr_XrMmBqImqnNAc*FFN2h&K%D%UddRV zItvj=ARY4&S()6yEeAazgiQj?8olTyV99TWlsQOb#)sqY-_@P<#C^Gkz-7rRTyw|7 zFe$+YeS*(rZ2%2*JUuJ|RuU8&PFKxgeYJ^(>iTg*Icr^vNHu$sA4IE*;ll0H6U!>+vg&`}^GidA&hkL1^Gb zdYjy%Oc^<~&hVis&bbUaRh&m==;q6Y{Po9$6LF3cQN5NA2`UJa^~tAR`31e|gawre z?tt-gLru>y-i7RBQE*?c0AqY0r=ZNsTjq@Q;?;Y3Mj&GarMqp$;Oa zyFSva;wx5In_h}rJ!-5 zkO1Hfjc{v|eO-;m5ZnWx6(mA z?z618nUOQ!6krtF=bcp#dJlFgHzndfelN;deHv=j%!CEGeP+W23qL8@3tiAul|2bJhN!RAw1k=m4^%~)9E}>gHLJkg{ z-&y>{Ih*`>Cj@eD=T~!t!sq6220$0%%Kc3`?Y;Tij&zn+_w2;3uw;5g>CM8;klp8h z1XL;SIp(!Ov^O+XX0nkrrdku9y;t9`o*uy0PfaoInW>|ry-xrbk2bmik1}^vL;0_# zV*kiZZ``ZxTG0)=Ag34QUaF|ZmD$3Ioxs^C4eAk33fA9K;w%Pn%vt!ef>APGajv{Acdsn9iOfaK&v8(CWC7iGu+d-Ae*{v|reHe0WfSMQn(6s80=+(oZ>$oKA3 zqulO0U&Mf~2nz@@d63zc0IS=S=iWaGwi?i4?Vb;sXrbTEl0x_Co<+((sJ?~Iuy7U> z&tz>gOTij@3f8-j2SW5Su^(H=DQTyaLm1FwU36u84fKecixN|2Sx5RgIp*|I;iAV_neAkM2-CCFN#$uqC2l2| zAN;=3u(&~y7#X^*2|`Q69vjS=nGEr2`Y&+cURcYULp(83ng(@FHJ8&R1vM5(*?`s` z=m;C(#v3;qnBIN|2Qr#c811QU!EBkBdPo#S;ZxgZTmW1^wwlrG7OaO1bxrDEjTC!M zBHwtxC)26OZEnU8POOIA11o5C-`Q2QqnE3XvDjdW0DpYpbV$&mm>ym4^&&A*_9wmv zHc;DVIw8`|zE5|yw&H|k+nBR5M;zI8QKLRYm{>7g z&O4x95Md-vUc0(0af<9`gJjJ)C3a1S6pR;tJgb6iH^i!VJDx6x9nHP63d{I7y3NrZ z_ieaSdiBjVm94SN=<>4`j;q*?xLSU5TgaLG$lF`~U>7x$jWeNH?l$S4$w>TYBGEbZ12R%1WC(vxI3& z#L@mq+CFlQ?`>NaFG~n}!`|qnMTvd^GD~^P$1q%KM%!ugL1R(>liRoER3y?ZH<;@) zEnIp@18?YV?SkuLiQ-kM+KC^5@t)ph5&@y}462Z0Px?Rj(Vy~~6@#nKW|!GzH>D+v zh|Y+SeD<6Rf?fY8K)|G0a;T&hrP$C#2CX+@5;j3x-(pe364Cs34umuSs=+M_##H@_ zfY78jf%k0wQDmiYo(52353K(MueBs;=_YyIoE(WJ&$M+)^ppRt0F-i>-#7s_IN?C}o6EcRm(lJ4?NsoQTJu~wvo#^Yd ztmB6)!LPD-vU?BQZ&8t#BM=pn>3`0j=lQs>zOW<-hLhq>D3F*bu@ezxqaK4%>+mx! zG(WWh3QW0cfIOo_6;b3m(uv06B89Byx8l4=^#0GFJttHNkza zB6F^<|Mg3Uk=(%+IYNVqqQ*y9#9xD`qgJZ)4O#O!cfEfw`vhFzW@eK$G9&#(-w01Q z^WobCpt1FrU(aG1jySkw!JLDg{k^$$+GgXIzJ7NshV&t0wD;{~_g>7&Z6^D${G&E3 zEgvDGb`p=Q@|-tX1ucK|x!t(zdJ|yne8%o>6du@{h9NoRA>J&|84ZNYW+_m)CNc5s z|4#pgu7g8UdOV^xdkIDT{8pqO&1kW8A<$~*5|iE=rAm8`S-zY2 zwvn#`1MGlhoe+>e4qn%(MyEodA?4Ig+RygZ*lI#!LL4vKVKKyKN=Q!%)Gq$7gkxdP zk8E_eTi&Z<-#aPO?yQ3f1Cr(-S|zSgMap;!eU^Ha_9P(_y=MMRYRg;yt}#J3xhi73 zVf&QKZV}Pk7)q3Zrf%h%Z;oh{Js{J0<`D!IS+9r5v@`#%G$n zEC@hZi@+zg@EVXoW<iw?EXeH-W(thUBL5oW-4x|2pBRYj19;2`y74RqilqjZe?rL+OF#Z` zYk@r9t%G%Q(H=$lQhUdCsKhT&V~3@C`kwD+)r^TtX!;mr|N499J@kQA3!6L=Ul?J+ z0vo1oQ8a($m1;3Ym@BW0IAT8}jySt>(bEK|6%Vq|?${awl0-<1Pb~EwPbGa2U-;x_ zp|c`Rkb6)U$_DWw@E~#)B2U`c=yBWaE=U?18=9AnyW*Xk_3kZUJ7-eb7}dCec^-2_M4-3CzGlD!vDi3zeNxV!%v;Qt@yB0!)Gqh z*$k;HvM*|uK2*fIFc|GLb{x#PP1Ge5+kM``M|at7pL3{&J5vBeHJ_QjFxz~52Et`( zks?%17?=ICM(cEnKy+A<+NROok2so76>hCp+u+>-$BG6s2y8`VWGxh0Z%rntEVX<2 zIw{*pmGxEH>7S%O*pHXJB5Dt;4P9$m@oCnzO-s_hJo;}sLb)??nHF7QpXR1=EILd0 znUQWh@m=`l?ANl0`=*=ha&Nx-K24SZW6($T146}vr}At6%1%Eb8k`F2x!m?X8IMLR4B0B$~bM-a_F1&sYAEezEOF%^|@ zs0j*~hBdXW-&)ghr{O>q-q+n+mS}2=JqYOlh@!?+$2gZ2@H=EuW}+o7G7Tq6lB?m2 zte(%>O}{YpgtoHHE{H|5cDyv_k5d08P<04NpN~b-EI{`$OkG!LY3T15{|BIzQicTzd7Ia&Jm02Rtr+vX9Q! zXf`jW6sz?L0Cu|a7L*0tv0F#Y-HtdWhNR=ai=Q)(R>{4;efv!Q4&~G>P~^)_B8<{V zyRsFkLqgyHY{rOi*|CKlCv@dC%(-7`e9L{YDNzIh=LAe*?XKn5&w2Vw`&K5*Y>O}J zX-zjnbIM5xIlJ94Vm4ny=r01_@3ZX>-J~%Ey4?*KSLVOJXU8Q+ zqxLjw(H!>4+MYCMvC1vAD-KU=1flFl+&7vZAhN0m+AVQSNHM>7;cfV0C3%FW5^Q1h zOy2KB*$f-lKQa^Ty)}No^8U~-ufQ5)J7LcL7%Z*-pJnwq`%pU)hJH7B#tJzwT1J0H zT4tsut7+X{D6G=RvUqXRs)qyUTJ>LK{Q&O1S?zl< zj`f|@BhCidU)BHz!2`zlwk?6Lyt*pRHC1M}mdruqvn~ecI2m&|$7gu?!Snf7Nv!qB z(^7zqG@JZ!v2umL1p2pqoHuTk51nNT;Zx;(gz1$WXw;d~g24WV(e~7q-HKfptnidM zX`a*<}4YKEWP zr3=Zze4N{E{p7!M=ZqY$IJz}}Une-&JND}f3tK)p> zEV|_^SCgC`N_|s-Q>qeIxppLmWyn3b^CsfesS%Ap<&-3%N%_FJ@>fGZVICs&3ny0q z;~MVs0EW}O{$gG2aQt=^d}xfgranbAxMA7e)bv?wFukgWC71dWSUL2#d7ML z#PFdvq&I6bV)vIA?X|flvd@xstIaRAILajR#bX)dW>@_NVt&Z9ZnPPWg|A0pn`E>m z!tmCVrSbI#GLbs>a9;OrGHA4Pg>4%)z2#@srQ)jwnH z=)o`Wgo{tAK;;l)XNgpwq9rP{`s{I$_Bz+FqF#x&ra5IThE9_)eG@*hPYOh|fypFo zH)^bX?q!70s@(mVH|qu%+@G@0a5$Xa$0BFvmd7X;;tmxg)FAYqCBQux4TIXseLZtB z4Hav(zlBMQ*s6oN`&D0`JsQ|(wW<7#!OghG@5GhFajxP%yPUqM0O2Y@W0BsqF(BPj z?avo&90sY%noS~}9UyNGD)*SRMPU|QsQ=vOtmE@`ZghBfa zgLD_sDM>>clY}QVdtb?m3i2O$(0HK2c81J!(D`PEHqa!Ao`_ znJ;v$flv1%`vL$ot_i<1+mn*NlcCTg?#+&Cp!tetyjGBdB;Q_w{L~5M@l7rd(V#_< zO)UKvb6oXmfB->Vw27M+L+|yZ6R!uP5o>8rCvfrx`zan&V-$UtX*Yb@uOp*%nRSqG zv*sdj(iXAPC_`5E^N-EOjHzfw@?9#VIpCF7mW6@jKNPPB*hxPn!L6A!djE*1Wf4}C zlu{^nLg|+h=vjJ%&p??eM#M-%>y<*04qpP})QuqPa}qzJT*( z`i+n^B~`$eQO-a~s`@1+coVUF2KhcpDZ&oL5+N)ixRpc+hMUrQRNyt<+<_e7fd)6$ zvBHy$#P7SQEdoh)=>-*o_AIRLY{I{wl!@>_q$wS&T!Z3*{eg;7G&^;Rtho`hr)RsT z#O_1n>j)7Mna=Cde)6GS{%oVLV7dFf`&3LLiD72I36*mJLhA6 z=~!hxzGvXgV0I&$ThJPw?hPd5n7THcOU*0apI@|a$|BDLfyn#{#E?cr_E5sd4JT3D=X$~Q=SG1RwSqMCbEndz+dTPVe^3ay>%D5>Hx7Os zZ}KyQQYQAyQF26c{bFU3?((4CLn9(2+~H6`$h?K+EGDR}OANs1mfISFHbxA1z0v@T zNuG=sK*FzLljX@ym;ga$=8Fgid#JjDM@~38)eibO)whV`)*V`!flpyyy&PpW@$g&1 zi$Yrd=5$d5-X)?V_tePF{)|Ojq*+wnMfFE?*RLu(dVLXtzBxj=53ctZ3^*6aQxGuk zW$`Sw=+pHZpmuF*Bi;QQk;&n(fZwXpRsICVlQ!!zpG^e?Ou`z-sa7f}ybgjg%~N7Y zi$xLqDLbr=4AU|QKp^_|*|HyfI+`S8ahyX97fj>9DCXQktkz7d#yYqa4+_Gj+}#{w zyv2ZgdQHZJd$)fsg*>CMgMByGOm3Pyms_-2l<7k531#svgPkeCS1}uE#GbWBdJ6Aa zR9o))Vn?5DTrS=dtL=Zvi1fg7e!!Zm#rsslc}76w3!v2q>JIeXhy`XbkkQ_LkkaJl z{$123q!SfNk}3)<-~+dCE&nSTk!cO#p1x*Yw`PXrt@cQs(Y1+wM`@q4=Lq>DiK^Ax zF0y*@q+Xe#&PU$D5@lOhh7!hq=gLnbL~Ox<6e!;af`qvdtEXLiy^QeoiAn@@slQUf z!PLFDC)9Yy_ADz@C2@U@g+7S`9a0p4N{TRHgB6x@i=0a}C){56648CRWXC+J;-50! zt%#vO@@Wm8#yC}9R+Wx#wT7(!y%rN5m`)088CYIf-ymh*wXskq?3t%*yx#0k6`P0a#QEtPo_}PHfsppR3i7!|;vcm${5qPFC-)?|2@$o5kPXcZJ34+tB~^?% z3xYT&!i71WB^9vjnEvrqfny^t71gssnE=nkgXSD*oG(CFZ|Ip&4xleg?)61?-XNR6 z=9Qx-=!Q-`H@&7px0bJ?-PNCMN)Hy{-?mbWPG?V zK5`@rz%=qMhxl|z=&D!=c~uD8Kb5nWF$X4-pVrh9#Xduvh z)5y0GAh`>S=wRQYt`)hbycwiIW=???@4K~&ASRO^c0As&5u3U@NhjS-?)gL(L zjUxX)8!=-1Xy$1-F?g;H$VyW94+4{(IMm~-P1cNhR@0*bW@JT={C3j2u2RoemYKq) z|0ah?9V>5J1!x@TW!<~PF-?fAnkM~zxKPM>lYT7maO4BgkG0T4XYV_awtVh|V z;v0z*Jh9ybclhCz;)`Ow`fnC!YH)gkc{;Oa(|AAnM4HvMGbMGS1!2S-q+D#7QuM4b zh1_dsnqg#U)~v3)lvj%L{Pc{)ioL>Pz;J2?MwBR*q7}CANrcql7Sn8fd%lm+I-NO8 z&m3mmN))69<&Tn2LyDSkUOMIP1~mGrELXJ^-1>G_DLEb zRB2rj{HIVMg zB59~mT+{bdfS*TSX#k^@PS6CKlHTyI790S&l z#VSd|{r%Di)GL>!NxHqtxCQ?2XS4VM$8SELqb)BMU!E8S_q%A$cs3d?_8KWLp6hZr zw2qQgG$Z&mW#cCn{B`-3*Q&WpHFE54 zySG}2XpZ5zV@~Ax8rc^$E6>SgGRR5W{CqV64-`4ntFfI5%zuNec+WTyo>YfH{R4W4=6ZKZ!T*IMNezJO3{LF?<_r(1WRZ7B-hI& zwT{#U?AwX%kb1ho=DkOLo#w>Xp`VoZO#psrhxq6E4b%w}JfTb%^X6EQO47_gdzBw> zBz(%t=m)LO^4zCLWw;z0e%(WKhvv=0FK;%Ft^YjrGz?f28T>e$qxL)j`drNG^!u?F zbrn$;X2uQQnqDb6vh!mHzvN$TG3PsoQ4j1pVA4DGNK7bim`83uE(5wClua3Wt86U~ zwtM&n&qIWL+MHjerkxXW@gN4PtUnG>z;#S;dY$L8o(I@kiQlU!!Z&%o_}}D1~E+sEh&nd%O>2m zrrC5MMzXOk-W1PyjDdTa1Ii!XIC*hItKBU8hr_PvLR*EFLY49AY6IMR`*q?%wSa)3 z8;w=u{wqNJg#~gMo8TGZ!u1$Z!!i$>3J+sKT(lHhA=1MYAfuv{HELfCTs_= z^>|Qc;D~e5N7K$6wG--}d|qzI+IJFs0%m`akTXfUdyALh1iml6kiF{?kRu!# zTX?o`e`<%Xhn&Dr`@F;5tH}akc8_X_Xwa|hZQ6*J{xKYO!*e65r_N2NSFVKn&fhfs zfL8ls4x$|{Gp8c`t~^F0%C+`k}Jt z`1jt>k47jsWiSS^%hBw@>eb@K8fi)+I>%GfVn(-=yAUds_8BCIOK`U~+pxk=D0AMQ z-WghlPY9d6)c>Z$4Q#Jy_D9|V4}QGr!=*Q0#Un6q5cXBs%oukr0L6LY7HyFbfOgT% z8{9E2gpZJ7r>E_@+`OcxUW_CMoC75AgE%e0ijCo|^tV@Jv5D(ySs-6Nba}CF*cI42aj<9}nuAIiu>X z#dmRo1oW9(wLQ*;prad@1#-JCUxcIrcc>$qt|OW{smrd~OtOjtgDv)`dZZ61P!Ex? zz@DuS(hh|q%AvwqMofnA#M!g&J?@?Bv*%k?S2pl0$7DzS!TX?5(YbwNxt7-xBFQa+ z)^lr^w{L7L0ic0~nlh1F4vaT^=avI6a>OAgofUFApKr}uZSWvUcEeq94gd3JqbzXg zHFI(#Z+3ag=#jP{RH?*gm86Os)ip2cCjkX2G}icfAa31=@K`JFR9A#zU8Z=qPc1-$ zV8I%M?jT(fMe6x4X$l94ten{6I|lg}$#0&F^EaCyxP`RLhk>#4^GY((aas%E`^@)+ zTcZ6zwo(3%BJP1q;@j)Cx8Wk@y?3ZLZ+64+2yQ|K=WJtky0fjPiayf65Ct2I^jH2{ zb7ih&n$)<^TW$4wt;cvh8B>|0-{fC1;*dFf%xq7y|I3ZT8gFGEam?%^QDNEq9iQ(% zBD3SXZ9CR+WgJ-3wyq4NebV-1#W2t?un&QR^i079lSgl9*=d#PuVk&(H+$;lDZJRF z37|mTMA`&XDUZu-9bF&ex{N`8t*!GJKPYLG;$YIUenQO3r&&Z{0DCyP>Vx=K$ zpXK;pegDgua2kk7aC~5Kn?O%0c zSXaY-Hpf}aLo1goe}DfiWleK5rWL!MT3aJZAGsL(;&aIb?dPgL$q3w1T#g&xlElx= z!YGOBYbCb^8wy(zC7w2Z?`s z;a*#0OV=rT-LH2?#UgY1z5cnT5Fb&cv~7m*y1aP!&9}hrvdF&{H36bhydx0wMx`hS z%tDpHQKN@x>y4~B&{ab_0;azLW%`nh}}3YE|aPYxX5?3gin%f0M~QSGl- z+8CG`e{*T*f7nX|=?hH?ATwdYe_kcXrnT>PWg!8xOb)5pn zoX&d5P~+<$@9sso$WmT9InCUKA!ZQe<3a(%ei*K&?7SKQ_gPzP{ACN*tXWU0Qe%Na zYifGH)EVaY;`7h$9t$-nuMw{-o}pRlU*K`;xjF&ro{5Uyur>_8`}6SqYlD-t^Ir`j zUtLY$`S-zr2B_$1c6g}SZpH}TiI&b4@Uwxh03bVp4JwP%?_n28bH9u!`;{8Qog^m`a&yuI~$E2 zXZ;zt>86`{lBC=5s5`P5()d)Jf3imFlwObYd^~Dk^-+)bOguZ6m%=H7=8e$r^w&=Z zW|ZESpLEi7ViIta8pFC>s{OBA$^O8h4AGP@gt?)t@5b*gFGW98t3-8ON zrQs%%!26ee<@Uv;C`%<$*a=3=(_?rW;6z<{S*{Io{w;8vmnrx=8;niEm49 zozPHegEbg8ZL_nfT2sJK9tRPi8#>{0P}-yyyp67LznYrRW?EgB2z^-HR;!=vH82Rc zj8*@Dw`9|Ljo*!VFwGW2p6obM6}cmTADcPT zS|DTJnL2pIPjJ=UlFJ{M1$$8*%Km9X+Z%kNCWK8ZAMX&;mWR)Y5iuq`YiH)*)z}RD zAMPxjZcmY-skEc-lFDPCY51+qm+-WGrv=KYgq_UdF%OBl&p&tA2@%0#&VsaSJWg|> zJlNz43Iy;_sCP#(&J!e;?M4ZW5v(8=Mc@3cqh-m7dq$GRx_Y z$hcw~^Mo$+fOMj0Iv0NwLpJkEb8ETK@}OELLE(a*rMuc$)kHj`ohAW-o-YsWFrqG= zH~%MRR{WTiY6TAd*6#RXK``|usTXnrU#`p-%1@;Ix>p^jdn>m2o6DDkO#nbA<&>Q4zG3M^TXh@|~k zWzW=UdVkf2**w^vh16b{8%2_D-O-IAK9LutSr`gWXF#*?X)y(6q`H`=u6KdD;&_2h z6J`L3QN%VSKZx1Po5rPCF*{WlVzs<;s99XvgX>4tpoTJ+3%6-S?~4kK#AGWG$EQ3z zK}>z=8at8J9^E_DBckTs73n@ocH6GW(_))Z$2YKhsx@CvC*eD+fT3b5r;!T38$94j z>~Yvl`u^M>rB82creBHXwBU ze7CyNxY4SboIsGp-*+EJd+Y|(B|HTv&Yogay-JOZAshHfDQ-gNtn$RlseZ5j@(j0s~hU>AWq5vR~SVyD4UHOCj_(Z7Wjg>*Uti-R}MAuA_@uUM*y z)9Pme4cw(79y@SqlKXA_TJCMAudYs;ZvdFS0rSS>F zcs6j=(IEfh(#Zt_GHvsO-lv{R-F#-B@vyF0TQ{KBbm}=8ywiksR2n;kHD8t3Ht`sp zQnNsOJVnnJh zw_8~l)#J~p;RAYyoOpi+^y_vnQT&&ae4$z#E^Z5KPX8h=u{oLf#klFKIaV(fk1`1Q ze)+>}->`QFPRvRI>U=}ESXDI)+yoBfXy@G3ftwC8!lz*DzwLJpb!+)!+Jaf;6#RLc{xx9&^nBdlh zJ|J9Dnk_F!)&ioOr!UGjTsrnMD!%N|54ukeQS>u<5;0-FQ11>uTc))$ zSLXmXNIhl19*%nk#p5eIOdJ11+;Vo%q8 z`d^tv{6M;)&0F?pcLS- z*we6u{Xxv~UVIw?FUL$hqzo5KR+LXZOh({zdxN;Oh%Ni^lrQTI36Bq&hQ-fZ3@vFW z3}Gcqt_=u5c<}$OZ-LX@XW{&)Fk^bLTa~oxOz`zcs#@bZ+P7D)@e1bmx&43=Xfxp< zvGwf+qxemi9#Ja&Xs{?Gw|8H5RfS;i4jP|v`?(2YdOCPO9UxvZyOTuawG#A+;K*iY z_|BmUNC~mGha?N{do)NC-d~k~8Pc^RaNAtXK$3EKl=lN|W0_4JRqc7RE&9%(8swk< z+_c}d5Sy)5o@T%gw5>B^v!qYxz}eMJf~hi*103M&`Tkfr_-p#6 zv-^?eSjOF!CXluu)p1d_t0C}L!}n1>2Kzy)H9kw2@5v`h8Pes79J30-PLlboC_gcR z_qr?Qo?28EJ<~>Wbsp7>hy;XImQIBZj>F3jwt3i?>{T&6cq5f#>g=_MD-1`2*kebQ zOm^cdx`Tg@Rfkb;QQ$zNR+LUMW@7SJJ)FNT7p0sh&jp3yBFnxefa5Bn8aAd^vQxp` z;iFq|P}3c$;|ODcKwIYSQH)&?Of&1)=KU|@oUOh0ADX{&zHM`M`%t=Rpb4m5C;fn3 zKttfI2lZ}$Jrue+Ut`-xqyJDJkl@S98e+;NLqq<;@Ak88;KyQ1`?m#*MiZK6Jx#LC z0-t{b@N=q89+r<+_8h9(?eX4z##|C?{x|ECEP%)XI{Tlo=6nmN`<2C<7xRO5t~?dk za9&N%mm`4BSN(9%Em|L=OudV|U()&oL?9*~$r7(D;c@bu!`JZT2}cww`8Nl1(}}Pl zxwV6UA-Q1Ji=m8+t0TgrMM!kjVpC`XcWlj;vr0<^s``(rY#=kk^1`S8bG!F>*hkED>(M) z3Xwftu!mk|;0}65Z3htSyG58asA$xwUtmp!l+h83n$DRliQ6gc%v|>8M<(=vT`6U^i z0~_9o58`G6S%@jk$XNQS=V0q9(EK)+ys2eHbq~eumTf$U$XvT|lv40F zH){8*@~Ym`P69#D&->!LVz+QSeY$E0enf^!r*7vm=Q4{~HAg)%VSl8G;GcYXRD}?H z5fTd!2k2e#yR{eJEa5YWny@nYD|QlCt@f_@v-P?`G`q|Pc7q41)u8Bt1awPbw(sYs zhndgpLN3SWzV~oBx{gam0mj}zQJ^yZFJ8O#+kW89Dhy3yP!D(h?a=SmPv7a6sA)@8 zeI53MNaKQTOsqr7pT^g%O|wr-oI-}2WFd*KqP5ua9->$sv^#vS$r6kGSM4Mp=b2Eiv?1!G3HIV^sYstJS5NFiG=@i49!!Y3G(E?8k^$kmTES?{Wn# z{)KVCYSvGLR-NQl>Erv*8QAWhaz|R}v#!+a5)mrT z@xON>ouX8$UAB2bZdN~FlV1VxqJDOBBH#MUoI_^gPq)Ve)VuW?m(p9_;MI#b&EO{@ zI>2sN`Poxj6F+b7*l{(B|FmsHOYH^A!A~%|lw;VJfhLw`;XGwQH;AZn&zU99_D#KS zbqB7TsSs2AYIZVdY77y$aj^U$IYL6{@te<$`3oP4OpD0_5WoyzN9@_)?%#6l8=GCb zgX~DO1}%)4y>zKHFig8@Hc(RcD`59?2L+`~zeu}sp#33CB`xV9_CdjgO_TMpSeWfx zaimhlkvsWIeZ|j=T??_Aw6)N7z5Dlrv>hxoc6lWO;z>4 zye}PWFbgG$cJ6SIdOJfh!#5RRZk;m zihdqevtTRIc&?>4s60aNiF>%05@H&%Dg->Uov%$HmOOFP(gUm)hBZImjxwRE%tz|% zi4N#ddOQMJox6W)$pXmrVi>Cf;t}iMcFdMwpLZ{&DCF**D>UHg0+BBlwiGFsyb+iK zTNYa$*Ycd*X8M@S&TTU@>5XN0M+&xh%nXu9_i6>6aJlWgmKr+3I8k*KNS zSY4vF6g+~gT=lr8%gZ$!n?ujR6@)FT{QIq%FUJ3p*VsQD%^uM9Vd=GhGKUly>@|64 zxVMs+xQs4IZn5i>HDm3vyBNPF=`SYTACx{^a35s_97Cs4Elol{UO< z$Gw|<4czao5c};#=ns6*b`dUycZPiV?@l-$9CoE6dLaqU!?tiZN?;c#i$=Imv62&5 zV$PCs?E}>qK*`m}g1MNXL*?Vo9_*UOGut?4NH*)_^Uom#OM!d8;8^7lNWsE-nY|0# zE7SLcG7wU;_<>?ZdfrZx1{Jt5cv}psPbIo2;-9#Ou;uBKc-x=zhUkJ3LV@V({iZ{I zuV}1Lg5DaDvwE)4l%S$X*t%%pJ?+owkU}eyg*y44GUr8Vt}{NYdwM94sI~5^U8b|={VpAv3$!R4 z)T8pK{*uo3Wz_1Cw|rTB%~_1F+a}IFIF|bID0z90cpx+@)_FDbC^@}VZ{($&CB--!&SHBs>TBZD@uHE0(f*GH*FB(m6>}I$CXLpyxOLYTVz^;##7aC=b zn>Mx{3{fR-BwQK%}QrerRi=Y`|2@c77D!8{G^B4F&N8d_m?xB2Z4T#YMc}EU)Lpa%|S@p}t zR70?T9m*Hi-(bFuAY8+_+J*Z+B~RrxFxxV5pk4|;r8OHL4I!3szvxQERJDMD$*$uK zVvA6P*1A56){tMXJxk{^JY}T%EmY1_hL6-RWgg?@b)jvN-TKrvzi zd`AkTLgC^BiK6M8&^ca|q;YuCF!>(pQ|!jo5rqpVormFHhM|R_YG(0>H3)YnU!XJIOw>7KcyvfaquOOkJC$~;~?w%Pf4 zszXgn@tB>ay$K-Ztu!p?|NM`Zk$r>7z%>Na1tH=TPRZ)Tseku;qyIS*0iFb64 zUk?u2;|JM!TO6y863qWmnpW)9Jbz5TU6f~tl4Hv=BSo_KnX2nXc#qF)V1B(zA2O?7 z`P-&I;1!f-af|(m+wq%cg>Ke~$!W;`N!q<4u*|9nRz$&{vR34uNVn@%rV*7*=q-0w zo~Loosi7Z3=E%hWdNnH^Ac*^w&)uFXlnagjxS`N0wme7Kj6&{O3o?;W4Z5Of7>x;d zRX<=y>$Qxs5VlY@09VigQ z2ES0S*Y11BR%O$RA@Sbw0 z`x`!zg{uWva?r}|!EsWmC&gkYG}dD&U(L;#Oeds5?^P#H+ETxFUj*B)Ag>_{wHGvW zJu-$_9bYo$LCO|1#@`6l!X{+k_rvW{qKnj3n$cE(8V6FXhAqZiLKVoO(>CCO4B^hB z%N>P)!l2~(E>}P`J~fuBYf@#7AN8khJ4zV|bzPZn@mJ7>e$ZBcQu&L?nyo-%*TO5P z-G_}O+6&^k3qeJk8jqUi68yskDl99m`=<6CNpx=-x4R4bD?<0pivXLIud#B){%$;H z`GOm)s{QfE#}42VJoDM@`N_xNf*HW#no`kpdgx6d{5pH@*L!LoL-DDpUS^U6&lq!= zZ@~@HO8t*?u@RllIB&qL=&M=<9jFe4F9lKXzF;T0kq%wFrXt0ten$B*JhXRXXlPtpwAK{6B5 zheEf19E9p2+gJ!OHhb!%azcS(XqEUks_icut;xvB%q&}Ma{t@XrAbgowF%;bn`yI0Rb;HTXkVIJ)6k>4KtknXW4Zn4>*tGYLG z@DZQN^ZHqi5U$~`yHnYwlUNHB8ABu47MeN?iJ^|BCsQCjwXaijNJv1^QAI_H&*7G+ zPgm+drl4MHykoC1$pF+X>eG<5^}FI$YgSr@!9?#ZFzbz#9R2p^++$@q*G-T+GaCCd zDlLqsy77brNUp|(&ERmz^fy^LH0fMu<3(`!Fu|Bb=^FW`nE80_dyU!nl$b8?`-^@8 ztKH|2>GrG5C5+@Or0F@RzS;S`lX_O0fwp9z3nQfo1Y+fuxPeu1O}&tRs(xnq|H?9C zJx1A!T3EaZAUQCy1?fsEC{W<8qZxGGLza%Rn0vjxV~BGpQHk(QF7X=A@-dmToXoJC z+gRTRWfWO#TC0YLMtEbtkJlodmt#LxDILDU@S(C{zTF(e#fBg8CL!c|-YxuL_8DUK zgG4FJd_b|&HGex?_|Dn)GBg0~*^?^&E?vDFp+)Ppc3iG=Ab*PmY2dP7a3j09) z3rTZ!l9)zR5B|&o_3}r1A8D$x2jl#X~~yVO`=_*Kr*=TY&(!uq5;?Yd?I zyN1CPku4L4ogdpB#Dcj zEu3er5^u9^oYzsTEo0585=YWg=((jO11O2^?-g>aQGC-7<-`$Y3B@SjWLeyvt){)~ zhgIJy+W3IK0l;^buO^GiwqBKc(?F?ox;FD;caG6fVUdLIFW-)X8^Os+QPJ(8r{azh zzh`g?{~M77@5WHxjKfr0hIKCxNrlYq}vb`{ywdV zMrinc&n3D)tn=lR1qFZ44jA_oxNTo`OuNoG-# z_>XpvEd>r)S)L!t|ICGs3|=AKbw`XAd|VGLeb(HkG;>?`+e=6j6RfNJi6*quuan>C z`1qJ`(AV(FJ;kTQ`6+qXQG2o{eOI6x|Mu_{yglptiA6_kG7#IzuwR18C!e2|?^pj; zYGsiYLPhn|`x(1d{yL1&z1wOJ>&pBN1Ixq9x1fm3Z8t=$hC;;h!*?p?>t z8+#Y67_)Z04X>P&*i{V{r5jNJ@e7}X;isLVt_>w$O~~#rB&Hg!KlZUOdan;P9Am#R z{+*;?bbSN2lC5jL+%5T{r|@Pr@f|ZyZYPiaEXy8&s)7N{HT=7gVabWI#W}#g*=D-e+SRM}pULwIj!KQIGZPsKI2XVDhbs8W-YZ zbKr+J{_3SoLm_i(+C9wAzULd!6VUm2Qj+E&8{pW7@G%8hM~zc2A3x z{gqqoL*g#Iq6gK(vCa)&^W6jT3t+D(po+jmX2A-x!MyFaEsO{6YB`+g6Mo$9Hhz8I zX89t?decWZVz=7O>4H$jfm$TeaZISq_&^Q68;Ax#1#Y5s`yHE^$({-&dhfI`F&;qr zCrOK<-|Cn9b3M<~=I(ZCJ50#?cDPK~zKa62{X1TzMv07Fs_QrL60)B_!NaRjUP9k1 z+REHayCU0bB9`#lxRP*)%re!;b7(q!;rnmFKbhkFA3VR8J>I%;RUbI_aN=>UjEApa z&&2;`8A|`AC|mYM-jM2lWD_sgVM6DhAZE)J$SpSycJ&pCu6i?_2b1%1#i?cA7sp>~n^-sxC6o=mZ*5qa%`IaNMK)&G4BGXrELQaF# zYLwZ)dNpDkG=2Aw(;+~sn_E&7Vh9K2O%-2cZ%{!tcmPZl4X#%2VZ;GFB|I1SW61YO zw(YZqB-M71H1c7!PU8Q3`6*~-{*rFbEuNEl`{Vb_h0|PHAAgoX64tnG z{P_u)&A_(A2roX`nsP4jO6ZEX7c@xB@-*CXMyhMw+-|f$>`Ru_Tp_A;HB2`6+PF}e z>X-qdr03rw(=KqQj6vZWI?oaeGZqo5ODUVbvX@bsJheyJq4qx~CSIa0bsi>B*S<1Yn1vsEk&{_PXw<(~i<}Hm zH8JPABe?#oCX+Z{i9u8;jAMB&Dq3(flaa1zOuSoqth6qi?Ul8R8P@m-;V!_cy%iSz z2>X!Sf3Eg#$jUbQ?WX6sh^3Gf2)D~oc8=j>lhvZnxIV~x54}UYTY1kw}zphXe%(2PW3@*X^+i|K|d= zTn=$J;rkQ#8(*=9?ZVldXlC&+m1lANfTp&0KtdTmsPxeHsW1ugC&3(}?mzy*(gne>#Qo&r|2;t$kzXuR-N@~k# zA#=ZGH;)8`OMcolQZeS&KrL=s7uGM&2KLj#X6!=HTs@aG3omH4jDzDc<@z(0%C^(I zogF*dTu)G6)7mc|nC@u*$mtX=%^f-S*9O+5ZVz+-o=-(!ABo$TP%3 zUd@ln_=otPv38afBfg9R^0T<&7Lq)>OUOQaQHp0`YqJP(gASmMVaO4|CXb5(~rR%_+X zo@pk>X|Su8;J-rDpPj!-D~pEy|HHohsAaKd-(@1&qb4sofbQZpwqPaB{Ue1RH;gL# z!{zpWg~EIL zuC44w-4|)jTNDUVC7x`TuJQMF2`U-xI=Y;s=^>a@r$;IE%D_s{pv}NBshWzVAQ?za zMaeS<)71uH7;-3yit;@t~;hyt6TGtRe{@~5L+4lv3s56$Irot9uh)%!6{boCA6?pX3;Z& zKG6ReRx81qVcBhp>uALgNXkM;brmCOC{T~L?SDozX*b^2qIvnU**A+H;QI6Hq7EAh z^a2U`jSwU-6YO{1Vo~@X5`Tp2KQz_$04Zd-TjS2%#=K#Lo5KV{QsupHnHr85g6j)L zh`ZKVg1fucIX;S#VYO$mkR0C1y@Z&#q2@ZJD7&*H;GP}+m!HkjYn+)eG6PYl7Bcpl zEqykd74g|)I2gXrqwzc)P?T2@VnwbX4g+>I*$-Ao19}62Yj(4*v%5{uAF~fGL0#*y zNpW1zcePsuhZHR8xPfg#dzdI42PoF$ zzk%AmTh{_rQC40JjHf<*EHp~2dY<$yJq_JO6JCNj4{Gwtu_C(um}AvRK`j8q?Du zW}mU>E_-sl@?8b`8yUJTOr_-e8zk@ONn)&jlg!8q|2q^@&|Fq1BxUJbNY26yR{fsf z>PMlZ!m*l?qwZNBT}Onxoq;|g@*Q?d!+j~zoAx)1{&%+?5Wuo}?OwA3lXCy(z5A?v z!Ybvkp2=8GgqZLDcc=@?tf=en#di<)d=I1d@Pbdgi(%*pRU0l;zD_v*mPSe8?x%Gy1@>Vwo z0LyW{n^Po+o^wBJai*e-Aawg14(&WDFy64RZLm9)7)<62!=c4-y1;Pq^=4W#%2x)5 zu%SfWsVbV~xheg(U;?YRBOZTgiC%ROdn|S}_bYY_(L)u5_3bnvZytXUp|$^3M69+< zG-{zIs@5Wah?Y{c@22?1zPUF)WKWN_RCEtGKNU2hc)*LR2W*+oPvP*~9{)s0?RuQx zJzddUV_lH<>gn<+(Qun6?hVe#89f%O2sG=4(TE1pmLPx8Swu>MXZMoPzj z3irZ-xi{CTjc0i3XWKmn8k*G>u8#g0S0C|zeZrXJFp@F!)Y)#uRepviOcP53N zwD)x>CRTxA+Ww_-s&@Sm0>Ov+1s{d(hHo}IYI)wQ`6yCBRM~id5?T^yxgB8ay6#@1 z=yb|Q$~1>p!K(e)eP2URm_L#;BJ$H_gqnL2r@gVXX|3%SryKMn`^zHAO1hx~!avbF z52OuP$$3x1Z4W}PM;s(TQWJXXD@w-r9l&XkR&@A01UsVf9?MC=EzyS$Akiu}TYQY} zbD0IALau~W&;Z+xVwucjx8ogxW7>Kd_Pnc(6c%d31Cb>QWp0Ox8@5ES8?awn~Msza_S^bV0itUHGG(V+lRJ zU`NKN+qv%QyOjh@W^IARdM4UlE(a;>WReCtE?_LHe~mU9Rg;Yy%~(WigV*m)%~DLV zBq-i(AyMI?-^rS3wj}L7r_)LKy;f1rI9hC{Hu}A8sAk}uNB;7>ss=Ih^xB|pwB2vD zRgK#)#V=8>0et9SGZk9bx64eH9@g3?7Qh#|s#jZ~j|L6tt-N$g^0CSqnPzKAv`@6a zHa9g7tF8@OrZW_z3EXUE@Qb@^J?98kNP=uFR%3k1|mJmaf-B}(y;^{k@X|IQ}uBdlV4t~vcqakfm?}`%-q2o<}$O? z5_JT!5sA1jE?*eb5`3q6OFuPq;Wn!vI*-z~YiItvlCZrYIT($J#c#^HZ0sLVHX z1RQ6$87QIkg28v-((|7qr-5g4+&J*P{dH1nKvs)!D~$@T@4b@w0qn3_?^^mMl2dIctwzSkuLW;gT(K$a3As| z1Q0SPx1agA?%(DtS&ij3sK=}%*6P18qLRq^uQ!(*GH(smITM=FO7Ca2#!;83F_orf z^wM}!<||9xckFN3rL?|?tQ#nN(9K%j%Z7zh-8dkJkoX1yC(w|&yS%Tbw z&-YHEhK#(_U_pXoUr7Y2p2wl=@8OqU`inZ5KKb6-pw9UWcUjjDj7kHodsH@;Pcjtt z;pLm-$O)b6q*Fh+<$i0(R4`nvNt2o~6?GvptsrA>p|$h4x)-UDe2Ct<1C+Pli zBEkLfLm(8-htj1x*viHR-ruzulULZ+Hvvl|Py7*ihrUCx+X0H4-TU#?wZIqO9H%uF z7D&sb%b|Rt&SyDl%~ox)QsL46eIv4X_lWD%s@%)-E!(+m^)QY6koNfg*0l!HV}#4U zrJsF?7X5)p9`rHoBL8CZtwTE{p0$}5Vi3EEXT3OL5Gw}A030o`aOte>EBv;S;#MFI zV!nEm!}Hym@ktnblE95_&0-}&4E8p&f7ZIw^Rr9%AIC$@2%fK{kvB_@*ed%cQg)w= z&1vLpJ+xa66rR)ear8MFvnbye464s$1nXSshlax+j~%o=?*6?Y?1Tb{(x`6Z*89;r zlux9&aJIUc(r3`Q2oJ>pInfDnl!#nCixY8Y%q0AZV1XHqO*+HR3OP z{cYxYa^nJOA{ag*oJO!J7*1$|JYJbt)cl<8S9(l;446IEjmWA}K9YDeeBFG$U z){QC+Q{UeGZK#Jo>QS{7B5Dsh#vl5R=Yqca2?3mNgT$ELZxg~+qZZ#4VGQ$Y$_WUvmow64Nx4Q0AT zxHB#hO1jp{QdI`lwOGj#V4t9bUz>$Pe0NSRePZa+kDW!Q?IN+4@EI9AvUB62AK1PB zw2%QwvEA^JlOkT-J^ZX{A)dEg`T|QTUXVzTV67aLgfyGjD|?0I*vZNsfBQ%eSpvW1 z%CTF%5^7BKsMe&OiTp*Bu{ntNo_}II%8TcGD)rOn08ARTUY~h$x?J(AuZpVc1p-tBT%mS^Ya%5$<@@{YfQ&m#1IVqTHQ<|KMTo(CXX%H zq0?Wvxt0{q-FVi~y0)Ls-fvR^6cl(fY#ecbs8m4o!HVKv?{gs#)R&OiJS_N48!03$ zZm9X;@KaroP>#=gI%5?tX$+nLF$H;HXe=PnTMe#HvTt>k`2aGaaG zYT$%!Jo;2q3_cOlnQaDtI$@Lb72uWqz(pjrzrB2}NXgw`X-1BK+i5cUf$^CJJ4ZUZ zqo~aqPlhB^^gEud?@r`MJW)g zv=WqKC7aqfx+bf4O;c&!3JP*GDS&`-u?YI)Znm7s{-zXo(5pRksg)}$ceen-H}L4k z?_2p;aNS|a*oMkId05+Y;$yF6!FJEhqX z+Lqr?cMGa`roZe%jy8gqnuK}?cM-xo#8>LSqUm(&;KNt+$S*;e#xfL&R+VH)drCaD zX{V`E_zJQ1U)Z0Z)gTTHd|cG^=^C>$DaTre98PV%2RgmkcHa*k+Z`OnI1%-#aS5)q&Q8@FN&4rT#bANk$7M4QHFQBqoB7 zw6t-ULYU4m_wu38)VD)q+&$$|feKYnH70$@zmnlEMIb6ZwIc8TIv97*>qIi(*NezKif7CokPD^&3F%*%P;DYB%ys3~dP94Xki*TnVE(B7ywgd7 zoXHz3P+o!iJPp>;>tn5VksHzv$_q1h9Zg(?itk)#n3ng=R2hiKY)Efaeb}p;S}TTd z!JVmrZLpx_CpMkgHBAQ==(8zb^r@7Ge+sF*-$V@`((5$($0;4E_H&jy0dL-E654W( zFc^z$ypVSzG%1n%=;w|=(y-X1JiwNUIN?eapl00?x!kA)9$&m;*RlB?=Ej*W~`I$c293GI|Ahgq8f;$Z8hzN1`2_|6cuTeii7vt-La+H`u8{=^=7=?)Qpj ziDq30WR*cQ*kAXDg~_iv7C8M0^48+J?eLBhcCIWNrS9G*luQ&HYECna1&C(J`gcX3 zE-R~AzPf_En`p7#ba42L2;_dwTzZt~Av~lxK_u=UYU8EKpE0ir_^PxY$j!3!maGA{ zf7p%hxUp36is`TI<$0rE?gaz8&Qqo53{!vr5tvL3{pps1;0xNv+}M5GR*SBl0F^SU zZ7{XfB1?$*{Ai=gY%LnFJpN~^kHMHV+F?d5DX&!)eqIQ$icDyjHUW{26H>vFQ?uB! zNES~6u01Xa$uC=YB2wzOf7`WRX_R}HTSxK#nuj_4RS{pqLQjj&7f^7%PyW#gbx)Wl zX18SdY5K%tNrBWK%>s{c6hQ}}`%Z(n1Wk$^M*-G=8nxoK5%0(vVVNw+XM82;=oO{M zKnSbyfW%=fBIveh$-^R2FS_+B>=z$8&@NN zNV7#BPIn%T|MJ1u%odg-HNAXd>yult47TYMY*WkY44+#BsnD;SM?%JaIjkoYWsc-h zRg0z*{b7pu=|lqSXNjo0)zF8fDk(InZ(gT1%*>#>qY0}KcRwZk5S>b2SmBM>FdK1^ z$7?0J*#8U_lkm|iU}>3Z{k_HJg^R%at3!OGm+oF1w2Lg|9q4q8HCvK;s_o#F)JI}F z(xp{TflmSt3;pvn;7vBDI)=9OBoBRw8CL6mLdfUnup=F#<$2bAte+5j)$c>yK9t=Q zQJ9JL!!Os;Zm;q0=EWZB$WtkN#nvwTHOa{-jYXYV-@Qvw>eW^SExfk1(8z^VW_s2~ z@+iNMvfp*s*X_wv@@BGN1Mc7D5#bN9^rqgB3Z1ztp{$NM+CJ*}IS$0J(dh^MBSTC}MsY5xvl5e>M) z-|(Q6Dv)I|Ezs%c8EyzPIiQ@32*7{wfyZfsb+KsSoKL3AIlEnI+;d=>=JvAoFT%OUOFu zBxwq3b;;a2sVr4>Cl}EuLH{Olh@7Ra2?nBEMb4t{_;C8^H*Xi(naome!t>U3s-l6P z-lpF3I3)o`*f0|;vhCO-u??dU?>r+rNq(kt!qv=_hJTg0f9YwDU@M0--}10QygkkG z=mq6X&6{cseeC1!;Zsa&hUG=#mOQkC2LCpeg@0{`Gn);!_)*}_*G9>=`nr`e8@|zJ zOu_1uJYNo>Xoc?&#(jiGhAsGmljz>1SDpJq80+V&PPKAxYJPTv8%3q#P7tshDGmLX zBHGR#Us&wgDwWk>-YNOz{qoRzNHuODeL)feg(fQ05yqIHPdF!okhXCSuz%aqzk##! zSHq}xq^R}fQ9-g4i;#7p%1O7v+){gAk|A{5sRAm?lv;D!@igZTUVHT+{m!=+*Io%K z#ih8AU9+wSrw#H`*@8^l1{+vu0smH&swV8aqkke3ngHM(<+#dqk<#G?T+wbGiCr`| z%B{a{Ng#5B5xR81o+8=553WzEx6R%)bO=;W83=kdTskDPx?R5Gi4{MzLa0ol8>Ekt zE7mL4b5nD6)wloG-epgISN;~38s}8dWjp?KOS8U}yFqV)X+I!K15U!&G!n9ZS`CI$ zSXJSS@Ws!DOa?Fw$$vG?h|h?n^{}XSM>Vca5&laJHf;fRI=apiwlqlx-2b(($?fC` zuNS3ZwZyNq)Gh(Vxf^S$$Ud=k;TOca9cOa-vTJ6yjU^h#nhyveiV|X_EU3KforS3z z-$%9et_sQ0hfKp<%cxf6GdGE&VK_2$u(YF9P*-%F|9>qDkF(J#tvVpU=z`y@b0Ja24`*;hCRp(YYw+eC)aa!KX&vh;`KVsjnbdJ0C zw^G`_2cL9b{H(DScaEZS6tNcf*>Qb4JI>?CaZ9%A9Jdtq%W$^qS{-j;|CRk$_Fq-H zv)$J{_Fvh5Wjn`qPAAwoUekF^XI*DqXID!!?=It0Td z7sWP1``Zi~QYNp4T4QaD>D*VlRIpjZ^gP@+%jzMa+lq861lx(&&jousu^RhZ_3Z|tA)z)qX^52#+YJiZE`zv7becH%+6AN z#N2F3EgRe2w!7s)jPR!Mg+voyh>uEQs|gQ08R8=ljWJRG0VO5jfj2@-4B>mu&YgA` z*!1q{&i$No&;8zW&m0#kM3)d^-MRVZ3fNaL=y&W?v?qOeQbl-#zuxBM{|&G;3uwm+ z-B-mQc>1qA_^qLO6Dm^LR<1m&<47s(tJrla@k+50(>H#Y8G;d4Fm_|aGIaEFd|<0p zqJ+tX?q6D;!BYIa1S7?Acv8N=VYN5{;KOS(U&FA&uEZf9e2uU%ckJBEqwA}LxV;5h z;I|!n+Q-ss#diEUDnI%Wh9hl+rKN2rQdS&9>v$(LOCL&(c2;k+*Jrj!!fwD|S9RL4 z)CLy%;bP-Ya-xB?dETnp5}FazCC=dC^{4MWf?;S=0(CU?WVn&l=x;4{)56$;*pVO~ zD2N^;>Vw=QV$AdmPHcnWVCIYxG7Lslq#eH;;PAuE;_6x?JvP{H5Q)&4{UX&vsh&d=k)8nn_sNA?;6}lf%8Hp3~ghG0Qyi$REKko zR(+x|exaZ>G#_}Un^h!Y9|lqHV`#hH_;_wgx7rs52QQ6}wVSg;bQ0Craf>BSdAbt2 zTDopvNu24@+;CxdJD0%x?Vr9!AYPLS#CY%E+4X0?dtyW~>hmc&fexk3>+BR%>-%Lp zq;n#AXA;Md&PcV)=q@pdsW18t{Pi+4Fz9YBb|qGT!ehyrF8if{f7!!*@}*|#2b;#0{5u}(?H=i=X+ z%b^=S7Ftc!@ndbvSZd{0y*_RoeV^3+SxjPYhNafwIaU;K)xMT8)Bz}4st;nyZXj`i zqV1KbHJ*NR;ONQtr{l_^yC zcIUPoOrv~>#FyudlCH$kw`F8=T8H=s_~-wN5JG%9CIq!8ip33|)avK%exf=5_XMUX zn8}#2jG*N*14mQ9 zs9@G36;KS+)=2qc4y5;qkqh8RHF8u(j$=8N-fV_VKohu>gZJd&Wx?Oz9=LG-#=vC= mNm^%wMb7{L0RR64iHm{& diff --git a/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.tiscmp.slow_1200mv_0c.ddb b/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.tiscmp.slow_1200mv_0c.ddb deleted file mode 100644 index 26797564cbca6b4f23800c75f8fdd44dd5e6b0e2..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 102377 zcmX_m1yCH#^EQMK+(~eEcR1V~f;$PG!`(R~xCZwFg1cLAcXxL=++9E3-@odct(w`H z-R_Zny65Ss#fE}{Do29;$f2MtKQ4}sQq#=Q$->T-l#PR#jhU5H%*Ddml$4E|os^B0 zg`I{x3U>{=YVN=#w9CtwfS@ z!p}13pASi8{FMFcT1R?hIK;%x|6)rv&;EsL`v zRfb#IFc!*syK>|iaMYx2OaepP-LGxtCi7k~{`xb0qJ7z5@8>)s!JZ~OMGF$c>}%W# z^7||3!5=JiT7Zq|!qwBKyjPd3y7W6)FRQFNlH>RWyC##TytWRdCX&;5ZYC|na=Q%Q z^}^M$f?}5O+>6Kr|cj5EaQU2>`|;N!fDhtT<1XIRFU2%CXGCV z(@27~Ug`CA%h|?%E>xb`o8-m9%bdpf<`W@VC-f2&zBo9a{- z@+#Y%=4cBH%d}t=E^zN2BEzJS-$yAx!@S$y$?&5d4hhb0{urMdrB>25jY!l@Pe-f@N(I%uYt+qHOG0b6B)u06G2uX-V{P#yl@zXD{W zp*{iuYqlH>d+K@Zr}(8jj*YhL3y3eTC%mQn5&1@W%NVZxc0eZIo3A@`THV0UQGW@iA z!t&?)c2zB2YBPqZT|Y&jf`Cb;6859n*9Ecg!5&4r+OjX$+ zE$0WBVbm6X+HDMQvVV6G+Q(V&nsL~{{%jy0n0fp*90#v!fXME@uWHx_5-B-{3rfD`Q zZw+tB5;})VuATkr%EqmNHR3M2F4(;9G0~Q4BDOKnG(c?wz8(|F%XYP4#JduHQyVZ) z=;%uUPUSvw{^wsqDr;66c$VECXIn0xa@2e0UVdM%1<@c?@w$ffYZ>idM#sN5i zbC>=5$)4%PZ+{Y{!!Je`X4PzaYEx{jO63VbQBxz0Pm@cJ{bkP2s8RD?-yozP+5M z;l-^7RG2`kG{}bhNuQV7R`}`%@~+?Je?{D$@LHQraP8on@E*nsoe#RX6V~?>W$snH z$V~77SvEL)qMX;2GTinuVMtETQm=|J1Pxx7L!2^HXjnB9Qe#FUJSi?)X)2bT zAh9N%wjIBMGSlBr&9cj4nH?sMHp<&>H%2TsTF&B@!_}B8x_AJ*joH2RNR5@=M)5nA z=_d^yr+IB?BMzhjbrTly<*T)GF!h;ksQ6P(2gMf8`mVQk^_5H)P0P`!E3NbV-W|b= z2LXN$TO^g!wfBu)p3KkZj)DWAJjTjNtwL0SIj62uLBTBC4$uTzRQt@NuS8TZ@#HPvfG(2d4%H`)% zy8IpERac_d8L#nHW|r;GC#6BiAR3W+%h@Q0m6dP{&}O$t4WAp?VcpXxKeL>q^w!xI zB%yS_WI^zDlB0Xd0kKwj?h7iNb8T_Y1*08(UA7M!u)UVD$?g#P$^RCJV}+I3B0Lc5 zD!pBw^k{op4`eR2@jj%gADFn@`*Vl=Bm=H7Iv4?LT--`7yzT8Fm3xmlB2}ziS3b@M zzxxez!?N^pD=g!l9$G1|d|-B2G)xT;&5BkR@&Q^`v(0MYb8{`vKumh~@s&qD$&iwphZsT3?eU{#HFZ}`pAQKWzM*6l} zH)55ge^avjtJFZX<@j2S0MuRcepoeT2|ROgKi!=&vC4Yy`7H~Exf3qE+x?|AAKd0G zcN%HMJmOICug+>ZDmRq!`<_+h#CXa-ECQMB^>iWi75m>VRvUt5Vax0tXXB5nZUR#+ zWgm7c)N<8o$TAWK2y)VorTdr-5a&Cs10wK5kyv(HIHOwQUT=VD)q6M3VGZrw`px!X zX*T^B%WV@R`?6_JQFiLuX=nM4FIe9&$Js_jpHw}=)(hK$oKBBQCn_?kU+$g2UjJGrf69TU zA>VEPvbn|rf;hIEA*=+9muUw24GsMZ3#Hx;0aR9SHve3ikGHYBHz*v!C%(KpVX;A2 z*!taWajbGH_3z52Aj0+dXs7>P_kd!H*DHEiM|d{y*}w`Z5LT6|`! z&`MW}0w{hd6h{HB!b72JwHmfPqoc9GN1TtCtf=l ztevAw{Mb%j=PS9pVJ4~k(R7ww|MmPr=x*xghNa9)Y^+waYbBWb>5ZZws@)e7??`aQ z7fEe1!JXh<%JqTm%jm=UbK#5fWtPTkn@^NMHY2H61q2-3B zO)P*)epqjNtY5M62m6a#{hE)x#c8#f&l2rS)vDI}+fzM}kCXCgkKXNUe@3kq3=QM` zLU5KELBsORvNt4CQ|~~peU(gj_A#}xT<3X@cx54Ytw!!NOoMyk@x?}Pctm~MCCM>& ztv344&%5FU>B`!ZHV>ULp=GDohd=eMM{iTM@-8e77fEXbr>!zS&*GCEvD_e6ae&18 z1*E^dbYL}-5$bFo*_TP!!dcX#rRKZhmFP;BX#A|>m*=k_0;&%X5X{uHq9i|b3A!B+ zxAz*a@i4YXy4^3rI%T}q83-=ue4xPXaf#w47ND`AwAh;**jw1)?P+szacb3g0;qO9 zH{cm@@$nH#D00F)=X&>Co9STK z!jtP$<4N+%wR;j3uU`W!^A%5nUG>tHyZ}h;0C#)4Jb2n;RfW@n;Ep6rt>%~YGS}fZ zW~8tXL1&56ofhBfyOwBT{g!iT7OTZ_4r>4C56jmY9ds1J?C_j^tY1SF{?{=03Y=>P zc%JJ+DAup|^qcUf~vB=EJ~u z;aa6I3y;Rxd~XskS)30K>j{3Y=4-Z`>@K&%*(I+4V^O?Y$Q) z8wciXTR{`c!$^;B$Gh8XwY`wHySg)=RiO)s42=uRqgz&GGL5GWW}zvOeNK9)LqYGv z8MncGAI-bf&3V?*QG3aovu)2U^;3i7_A|3i^PTlX`r1fFjrM7^Qb)oHdiHT{o? z&BOlrz$#bUO8f`qI<2H5^5azWGM`G{<7h>N! zy<^u-a(Sn8R!zEnXt3dd)eC$BmG5Dk`<$q4h_(*Go#RKj({_VU$WG$=A`#9iuXz#u z`uE{eVn*!1G!8}z^md(FyC~|TuTWzokCOJ4#Mu?|d?f}*m`-~kodOKV#GBzJWcv0o zUm2AVuT@ea^a3zq5@8-fyX(Qv@{b~!-91Wdem3u)-C-oi(|#Ccdbno-Y6&}^-v{6v zFVG?UG;tlJxb{U~_QM?TZq0I({Vr^J^)8phqe?G!p}##h2iQS^`4HY4H_q5VW5FHC z7CW|vpHSU#1sE$~%$98oZY@~sZ~ln4(atn%%wON&iT$9PdAqh!3YpHLsT4L_PT9^b zFBH$9pW*fxzV5YtgBSXkb#5%-JqNsX2Tfxyv182tt4 zxKaY0^mH^Z-A1@1IT4}%f{mmk4CD;v4E()SKWEb$_XyX8{}V&3X32O@As4AILP5tz zGEAW^NYfE82>)$wz?TRg9#i^{fKYtX&~Ww>)FAF?io`>fGR03o5G7$1-7&Mi`~QJq(_#35ykm` zOg~X*P?RqsPD9gCR!Qx%nsXa>OFg|{`zwmO*ux@9-F03fue$CqY#$ef>{ z=36ysu3XwTWk%6i~X6V*KU6JQ0@nZEw=VJN(6)0=yBDS9pimk=^*eAnRBnEIE_rZM*_ z+elOGEU9rn@C`xw%H$EhpDo+5Kc!@uX!=8lqQ1}0?}U&(Z?sw6~(91FJ5S{d`S zDqa)LG{x-Blh6Cwd0R5$aprjiDE6wotzw1i5q+zI!%486v6ixB$q#2o*yCY} zM=$o3L)DVYQ7E{aQlCm1p|Eh>2m7Q%1v-FI{KdqsE_pEfFO6tfNuStB;vZym870gu z%io5;&vKS$BN&9RU#SkU;udE~;xq`Q@Kp#6Gs?KSJ&X3ny{T~TlBahSO=@$emSdx( z)H)#^<{LNW6nUoc`OCxH0=U}&vX+ksXGif?`?^MqsRMPf=5%b$cs^m>_$KY2YtUs| zUBi|6YLu6=R6|*L06(U*wr|vpwPQPtny@i7-6C>m9l<@AY7Z&>aE{D}{a^XC2)fO< z(9x!HPDFa4`F?rRh^ifn5ZOOR5na2cgKE6XbI zrBYU`vUoHXs|CO1;d-D5#r7-)AAC2%{DK*gl4hQt=r+n(3ud|_D|>Nr3{UY zYl`VD;FU?zHWy8BQ&r1gazEx2iqojUyGm4;9K^_ika2pmYmte49PI&rqRbmhT6jz7 zBuKNnDd*)Q!sum}`(<=86<0~g(U-?ALwYSIafKA_VlQG@p`j2+_Ts+;L19#`Fp@P- zK<$rMZ&caG>A+*e1w$1MvOpg!s5XzM);NJOJdXNX^u`6WDH&|BxUB5Ot2@ zjptHGzVW!MREabM{lH|Aq2e|R3oPhMR`0GtL=rg63D8Hp=q|WliD7~t;^vbuUzWHX zg8mX#B4r-K2418sVa*oj*$6QGpouFaOU$!bsDc(pl=>*6AUA+)xb|!KdbmA|Qqd^K~f->MNCpm(`JBJ*7&( zHBFZ@ng3}8xA&zV`Y}^Hy-&j4`VHfPx^ERc;-j+rOZ=TLim{Qax9)K@Nbcu*@l8u1n7j zR&eyYEd3Q5rOXv^nW3U)SDYp}i-$U=$Vp)InM6^Km~z|vNWNeRCtWUmE}@>3Qb%jd z*vK9Cz3u7d7t(l(?QChfIX9x13)boBNemmhzn-SCOjUlc+4#P5j3Eu19 zR4c*m*#^Qw$%KAe4Z@yUu{G*$R#=W9vqq=MKpw*w#&zM-f%-6rDIeLEnXa^!0pd2_sJE^XMW9ddllFC?lj z>sg#*{hd0;KWTO7@r&Q{IYw5^6YvwjvJ!%#;Q5_=F<8uu_$ zx>J!QY#EJCj~E2zaoNb7Syq*BkVr7 zU3LaGuIy#&n?^Rv;G_N-T7dG&HWo)cp#pfdou2Vufs9e53%Ap!ZBC(zO&EHKY`iPy zeoMT^VQk06aq8!NbR+9<7;Ky39poQhd8G^lgQ6`!oHoq>rXO3eRlFooC-NCm-4DMI zrgF`)p(1u3Wxi4O%pm?vD7+-ok=0eA;Ho#QQJI-Njew$AB={MeOIP?m&@Ak`+6{>+ zqsDBk-?^Hkupc4^cuS8t&Ja&`eP&avS*2vt`DyfOvz*rzQh50Rw>;yK$M*!ZjqBfHYpoA z&RRUMpiHl3l68DObQ@h*-jm@fi_@%VgfRPra~z>&SzSj|M)%v&*T6g8(4llk^uRpS zGO=dHm`T-Y7zf(r)1sA-$%*a$c=6qPs2eL9adh1I?ya^#d_kySN9pUS*+wqa=|)b~ zX>l&qIIPm?oM>n@v767TexVC{xl?~a5c)BQw-5+OTXBe>AGyCADvV7At+xZ6|6tXu z=<CaxOc^ z!Pmf&9d43|O%I6&Qo`->TUJuTs0MbO3FZ~WmB<^b+Q^;=Q`LO)@H74Aoph=;jyKFX zH%$pO2^{0XHhvYtiu+pYUD~L$RG6f~^@+NK**|p<+MbWZ{ht#N$zmL_(KuwX-D0JX zFz?%w+ck=AawL~aK;A8BZE&(wISrJ1BxT=1HZxuXKHQSnbTxHLOzK@anV1)$VN+9e zz*i+1T}e#-)ncTT?1Zo@4h`s1K@0^kZRucL`I+*+u_ERjCTTl>QA9Mnye}t2nbWwG z^8u?~$T{=&5Fv&ib8KA_gx#48OUN+AM=6G|2(>wv<_6St+#1YfdN*3-dm_ zjY_;Sr}lGf#dy*VRB8l0Q-*VFU&pNH*s95ti*rfq1(r1Ax0Tmb>R7NI+5b;gV_?_2 z{V1iC=*stoIKVWf#02q#@Q!~dm{UTAyT?#-jSZICMgflIlul4)Iw=m2kTBW$(I-5s z4|d6)p>&g&<*lPXvXA<6Y_M}ICvI_LMxim*3SDJKDWBpsiLjD@l-~KTe{k-~Gua-K zkoOhmHQ4MzYz$l!F51b}-4u+&$hiY_tCi~?elu~xD`wALWm|vx6{PTx>`<|m=J5lt zCYX@)TS#L--9@2@j2ibovBBYU?zW7l<>Cf)i{l`k<-BaFQGRLWe=AhNPtEOLj5-}a zNu-MzKPQR_B#^_dDfZDA=+#UmD!fwGRe=-4iy^OT_ z8>QA7?953r6kZV<_cb$YhDF8V=yw=ykFf$XX-fdhceK^Vex8{cXw!!XY=h@-}6VVQBDo7C}U~Vx#-BB$6wi zlKbXsDGk}pP7RacGbs|EE+Oj%k3cs_<3-Bh$s*D@So8sl{jV+5ig;Km2sjJ_s5~5DJv1-8Z*}61Qh37fsS-AXNjkWzMFnx+pWHG^=^4CAxHB3O;UhfxkWN0F@b@N*I+w~gU) zE@AJ2 zAD>6&9ZJ=(zLbQyBvG!rgHoUsJ6EzKBp#BMwOA7$D*Vhh?H6M zi~dp?0Zk{SrU|3fVLZnDEz7?t9yaRt1gB6QIW^ID3NDvsGIX}!M4NxADQ)xAu;Sq^ z;+mj!_#tc3dU1rwcXOe*{-oXlDcdGX&T?o)E7!g>c}e}xKUqwI>Bi*bDX8QXDX2z% zP*Cw!;|Hw2i%7i_5)s8|)2B9~lciRoXA7cEdLokqEO7@C*{Lx}S78F;9!=e?V6;f7 zkIy@qxa?7tnQOS9FuyFK%AckaSw}HaAweU+*fBtGQE4MAK!5-6+Sv>U`&Pvd;NEa3ks46(HonD(*N`nP# zp}St2Uy5Hazx)wX>mWqbOUI#GrcZN|>MC7ydFiwO{X z3yoalG>WnQ>fuNWLPnAhPYYos^ZZ2vANu?*P>yL^#*h6gnh+JgmDiv``Xmf$%Z2l^ z_!Lm=`PEKxsQ(*gqeNu7La9~f>2AQS*f?)YYT(^)>#Js2&aNstg}R_&Phv#8 z5EBfz1nwq@{cF<^bd>C})r#T9TWyIa&3ST{@65Udt+kn4)e&@)`IE05CCb(6cZ3cu zp<2nUF=}Y0k+Le}n_^E91Ns8x65`2}yd&smVGDljwi)F?)^X)qzg7Fu(^u{1HcVZO z9S#k&K~0Op$ATLnaR8Q;oV+tDg7^|zt6LD7Wa-Z#5&o}11^21_Sf#`Dw#+Y8r4DLz%A#g0!!OQYzevvc|(!d?0yBKDykql7pn ziWUCV&%$k;gS})uOpqQ`(W`J+vpW01vZ7Igb3co4w`1@5kGWH9jCfz#7#==liNWt; zI2)6Gxi5^9b*N~oeFGfN{V7z8N8wz0)SNQ!dSOfPorEc+H7jLH0+~4D%iGf+f}{P#6cse`y9UhwOA{9VdqhPU-7ondf({c)2~ln z&x5%csd7$hfKH5@RCx4I)6?)dw3(|Tvsn^R92om*#qF?&PQw(0Hma}#W8eTti{9-) zh?;f2@`0C-C|5{ngTBM>rZ=J3WYd^Nm+94aoQ8^9^d0`~J8ycn358_aH9#x$!JXLb zuAcwFOb9Qs^hwW5iyO~4l28tdUU0FQ%z!l6oBkRF-Z65{>Jg%@Uh|e-4(nMUGR(80 zz_G!P=K>?*{N#fC?UwDy*p}?s1jZcEqtI-sDGb%%q>X0^D!hlYEAUn66|WJ3()OLk zr;?mv@3IRJYP;E$3l2GIP^m`4K`6$O<=Q>ugIuU=T~2mMJ&Wzz6?wOa3cE z-I?^kQ0s1`jcaa!?rCN-fp=!v;XCVI_$0E5;(>V$|4}M!=a(Q_C`#mu2Hi#H0y--X zO>=<}$?RQG-RR$XmYalsKaL&nhxhQm`6iabSgAMsGC9a-i}yjvt=H#>v!McTnzixL zaIb0{VbZ3dF4LQ(rCK*skJ`^G?}G|2AF+kf)|a@%zu!rJcy@0!j$KNiCYvq4IFQhA z1+ON28NzDh$Nsk?P)^D=Y_eIAB#Fx=^-DE(o0bmGDRCM#auQ8Qh04%DOG99T<&smn zX6cxYc1=&EcC8to)$#9iXH6&E2Y~k`p)&uM(kLi9gxcl#NfXUu){AL;0Nf%Oz1_#m z>=VAtpUX(4u!`X2&~JbA6gAl#0Kl|fJ{&u;gr%q}6SoqIU#WkHNZJcQP_+5+KQF7* zz)oHKLeC?+=qc$fZeBI4nOKhhrd&^jqBbb2AzboA);{^7k!z_xT@?!+y|s~b9D{Qd z)<)Qjf;EJXMTRN~B;%0j zH*+jraS`?KfJH}t*@jl!0H6L+*7+S{ zJw;-tmo>W{q|R>md*1r1u3Ob`ZrqfohkCo-68+=0!5nq428FO)c^l%8t`(MexSW)E z)C&mto#sa!mtIhts5-Hc7!?JNi+Nl@1OCIax}pJNrM45B3|S|Htvq8@5+>~5+V`_G zvaqjvUTb3DclReHaA^R-MFTGoGAgIX%*-ZMb9>NX1>aI|in2;USL!WizU1uS42PA& z+bLQk_}b_y30*nVLz*D{Osu2gm>P<~r@scAgd7qSK8obH!}r-!_r-+HWFa? z3>*?)6c~LZ{L&sW+{xQnX7XU30%&x)LER1xPK=C&$NaHQ3%o~EgO3Rn|eyWWJU}E#b8h-S;TQFO>a(g-aEi7JNwIs^|>)+Wp5+|g^5KM<5T$Wp<43tjG=q=X@{ z7$+j6?-*?(C)DMu01K1XYnfS{trPAH&yCP3fqkd?|wZTRqF zHz3M2c>3%{VWfEvJ`XCps|$Dgm7peV-yI0B6}LT0=7PcWwo}l*?*pBvN-3%5o70L8o2ZQ>ulQ; zB#%z&fa^KfUJ0P#b3fE};b{Uu4Vhbv`@)l@-E;s7UEF?Ed$7BEl&G}s(&P;v$n+zS zjeEM>H>ZRYP%zrZ;I);*>$G_UBFX(=dMs)R~_t zr-_t;{$wpmrY_;DqDiHj(+TZ%u(JwhKP+0*d|*^? z#oD#>PX>oDCm9=ciVO)Ms*v1sqm-|Zrk1NSceggLdV&WM_6TrOsy0hdHmloO(e2q7 zYEV^JP3Lgm6lO!6!bj={hh=gCC{V^hl~zJ_On)C|QL-xhm5Q&G*25nGjdRZeS(reE zah!_JV$RpTT%Yr?cI;vBM32raHTX_kV70bRR!Oima0E+8-^RAv&3N4!bA}K2JrIV0P`a@|DFB zi+wqIFDO&iIkBWkqLe^q5>p+19sj=QjUm^Fz7UUyzaxojxz2ub(;z zOcaVBkh0K@O8`sQZv{_w#fTs5eaRvLci;}=2Wwp!xRL>nA^Y`=8L@G^Eq-1y%DF$) zTNKeb--`Z9j;5L~Y!Qe1RvP{CY|BR?JopTb6ta^VxhF92o~fJQ>Bx0iPkr746w+L1 z3kITRpv{Ft9ZEdYp}E;YH+)eQK>#y1*B*>P!}d1OF%oIPXDVF^=0Mfx`kcKBpq@k? z4}qZKrX~RA@Sn(@EFGv~n)R}dwf^+_W*!AdL4b=`#$Ybw@!T(P-aNaZjF3!jfIK}o z5|wqmn@GhJ(!7$tNe|}WliV_Oj_r0h(+}^cQox@i|9ZSmSd%rmvoY7*h)KlZQR`5^^Nx zf!W@CJo9n<8^4!5EGoWN2O9%>t4b!cLgT6Wb;YQj@~&*p|77h6v?34R>s#z2VuuVkpvL!*qy z(G?^Q;}9FEgg|y0 zgviqi{FN27Ng8v72<$9wNq!(Xq#EZ7SHiI=zLKnq^ar@nT#KL!;W^N(z{l)?iHE8e z@Px9AMzu*;Enq(hd>$m{6Lp~Wqz-u&j;`g}*~DLk9y<899iH1Q6u|%=OAK_|b4uGJ z1A%)?VD-uToDeX;jF13^-{`L+2a&~7xIiixQ71UU|7ZGtR%9k?&90DQ z5m;|Y*+IJO2xp@T0=-I>q37GT)P#cskKPu{zQ>^cgYv%U6WR7Jiu0bplC#Y%860qA znRh?-q1YZF4^+6^gdygb-P7#AnD>t6YD3_3SbOD@dXCpG&*TGeTZE8-g-oxStcnMQ zx9r-8*^NVfwo`~BO9UwSt_$p5RO{5SFS~(TIB>x`f5Dsl7^p5G?;#?t=`a*4L*u_^ zQi3Tju;pzn{`+p9<^YM(eNYINL?nGn!5q5qs}Rs7bfN-gn}ST5MXAT&B;x(LM4+Tu zdsk&Ln!%c}kWBof5Nb3%Tmp+Z)S-~UBsw%YiEa=SnmXvdQJ>}nJhh`VP;RhBl(WCw zd|*6>gG~HgN~xHCep<+Ey_yr-*dnEJ|!XiZCz9sma`2wQ`~&$(b9?7~#<0$vaRv!*jUC5)IAd$@Q<* zAm}4lAsBSmKnnF4e(9MelM@d4t)=<=5*{4uP@eVg(!4=BC^+(|{*2>P+A+J^s}F9I>6rpIe1mEjDHAKB z>4f$aia$sVOH@X^H@N3TfEL~J(f&K6bmW1fl8Su?SO$aYBpL`bWb55tHO@p_;+?QD ztnugPtSj{Z>#dAxteZ%o#*cw%*2&^=1$ZUiD8Nlpk&&N5!-)!?L`%@9^3t&GzWwf_ z^*uYR?DM@d;va|^iIA_}I^m&t$-$4nT;erqZ%Xw@C&h!{-d!@}#Mlk-3kPVm*-Nby zJySz`4DE$1?9Vs=R&<0p(U*Q)3G2&8IZIjetWGnURtNTSz99+*X8`sIJ_8;rw9^(-;*R%k{F0v?PPp{rCO*vY5SV*9>^VI66m!Mr6D=ug zr!_AwHQyGb5zDj7nF~8BD6_U!E=R+~;z=cZp8zE!asI+j9DVuZ-b2%tHm84~#qQ)aDPe>GN=*m?ZwSx%n}s@uuo$fLr^s-5s-=aVn7+iomWM=02z zBY|8R6-}&m(c}u#zHi-4F2XS|^|U@eC*ZQLDZjSDmUP8wRJ;dkb@IqXW5J&j`pu9- z(aiBchl9yEcWQH$Y&Re3lmB)@)05Z-K@FBo6($H(rjBVV)ieF5vru9AaO>?kme5nI z;x3WdeBH`MxA6bMy_?P5#Ba^S>;1F4=~*t&g4MR#>ZiE7>r&A-J~Bz$FiN`51aw+|Lhyw zs3zb)4aAI}|D}(>5FCur{gda5<~_Ip;{U`M_Q6o2Si}4XjizrB_WSoQ%a!S!*B2jFx4vl6>gt37+^#ZGc1I zT^F*#MI(`=#!cBgxTv#Z>RdG)l8P(zj2oJXwGT>lBrz#EabjSwGTI55QDna31ao~~ z`(bi3b?+%rzKYhKt7)#~`p4>C=cJ8R@`E0@o8iBQ0Px!SsY0DUM_>P=pNY)m0qC*0 zjshRS0q@r!TW-(^J@sY?NZ@sp^eK$~eEjc`g_M$~=3~bKP$f6o8_5DTpw{nb2kbRJ zI6bkfI|5s^4Q4hn{QV~nj%;E?r_FN6>&f!N79i6`#^eg zwWSr@Ta$N-b*S?OdjZZ9%{MdqEE8FaZx9TweTgc>h1khKB7bs%xVb^!zEKlC>#tfo zY`&@@5JLjKKtx417k3t$Dj~?@mcbJdUw}UYuH(8M>$`Y6H(%y9FDPKmAYLfrY{3(! z;o%3!b7)tDgDq~AlinNh1Us*KgjMiUavbXd`$Kev)&i*$4(_z7=%3@JI9}jMdy8A_ zN()Kwei+vMi#w+`7CJ6nz3|ar^$7&iZG@npe|%WL4~P9m8(ddr z;IQ_J8?xn)Y&PI#U(Ag9N@p@+;n`c?sg=xJqAxzL^scZBL}(amUqmF-RZEa*%0W(? zq4WlK5GHGW_*kGX6`w)%dHb46?QDY+v~CFRsCLz~d=t{4ayNA49U>Csr+-1OTM)NN z=0-b$uOssqP4AYQhT>SjIA-e&Cn{xx zbI#Yt3M5g|7uQ*t(-4RN@pFndhY)b;;d)w$?jIsB$AGSKWHG>4r*$L3-!yMU>nt0E zq;4jLqUPuf2}A|`bfM?)9|3RmX}TZ*d%-tsByN%|`mzLD(;i;=gSab$*ZqDb(A4cQtre=%vD`t8f?ed1j|1@NeHAvXf0jV_@{jF#l#C{uRhi z-*GiP%Xh>+7}!7k8&`as@hS-W!iYK?t)s`Z$dBmS0?wZg@4C1JqQS5%nd`*(4&ee# zVeONOfLP+QF0w-OZR}n5n+bRH?d)BZZ!Cj(D|1>_1}7?Y?#okc#%L z0v80^`7;XPi5Nn7T(mwy-so=;_8P${l0=lIe2pg3I0qdAkaaY7;-d)72XphCBc&jo zwmgrC=XqEp4n!%n9EKM7B~I(IPM1>S=lm>W{C)D_8sGR_4k4i2Nr|jz_OVW7*?0Qc zrXL@*V!WEMUBf1Dd_`K@YdnAfNfT!TDgyTKB*vpxoAADA1 zKmCOBnOBJqEDDmE=XeBeslO8AF%L9Zk-JTDT;KBprn7I%$hcS1H{WV=(% z{XM-B1>;9Jdxu8b&wS4BaUtySYFjV@S(O_*b@0U9ISIgJL6vzmkNaQJsFXM^Au6`; zLkBG6p(ZRyJG9WAp{q8~M`ZebDh8XYzro)espIfiL!$=x zO{lAhP7LF8hF%8ApOBcyd98XsHxZod z8Al7;C5?l-r|>Qq10)m(a7!QRyDl?|bUK%3BUzVJ)}hF~#s=!KfS;LQOvYKTlSF1m zq@@aTbyz-;O3;+5GT%o_(%XaeqRW3Xe4da#i~GF2!7z-04?yQ?g7Od&6<_&6a`B0T zTp+ho17WK;qKJ`}?Vjr1{3AA;-wpyjymFgFdE-fo+4=ad1&Gn-h~p892Y#w9G{%zR zrksBDs@37z+Iu|kFd+VoTrK4A{gmK$G`@ExC%TvK(|Na7pYix%3njbVZ|AABNa7Tl%+VHD^e|Iix|kb$aNSZF`fBvzJ>J-~5*|Dw}F&VbU9kItBIV2qfESw`A3 zL8rh^A-SZrf42?oSqpAuGi!y>t|gsa*odfk$KY#g$WYHu)mH*?dUWHhUIYG@JXQ}jJMTxlIeT+!Bnxsq9vpiFsgH4=&a1|zvz-o^ zQYa9$pdOaobL#tpCYkw+f1ABGbr0Qx2ouD{TA&zG*NMqcH`t1Jp4gx2YkoW3m+%Qf zt}fH$qi^^o#DdV@G$So=HaJg>le={OUT{?lRGz3Lx!K}y06o-ImM8xvqd}NyO%p-; zJfI4-_W7sUjen~KD#S;UApn;~W~;AaFC4q*+iv*?Wa5=*Cn1yR@4jmPkA$-hYw~^D zI0#60NtcL}2ndWCDF`UtsdR^c)CNdPcgSEM-7O*|-J5`P=ja@a=H2)A{K_-v% z!x`Ff*E_niEgKH^glg-;Tq;@9Ju~KRmu1#SFLs40oFx)MN3ZJtP!?+XYvDvM`a_Q0 zI|yXuY-UK@wO&G?&j$Cp!>r(R4I)pFWUZgS@#$>I56m3#0QWXi}&Fo9tgr35J zP_xZ?wteGJtBbXK6AoWunZLhia)KPLoUPqmg#lHA^cEr4dg3a5tc$hxeNwO1(p;aO~+e|^$;mF?yg{@b*11>4xR1Ue22{dY9G zFqh7}>5}%AHJ4P_R<#Cu%#QseX}v^}2t-mx&i7}g8l6;F!jd#kfrD*t^Uo{~i-pnR zl9{XCdcL%@rsyP*pEyQ3F_Ld*^Js(Oa*jNX*Z?W3Y&8tf46j~h#3GG*CENsP*!ny_ z3uuB?N;qNG9o=he3-lK4^Qqj+wnSxj^gU$j5cB->?zy3pq+4^s|76lo|^T31Is**-MF$;5`cn?&$F7j--)Tahp&1djmu*n^fl7}fSBu# zI2NN^nGYl4c5lKZbv4;#X@XEDy9%l1U(-0wp(X41Px=rPJtb)+G+(CHH1{dz|F#H} znR<~MKaiCioh%B4ok+r;A`G6E$bddN&9)qQ2~1w=85i+E!mrD%yV&tw5+aPvc4-#K zkZip(*<_Z-<4;4d{P4`}f{q?Bzx8O!c0=kplPlKb84<3Vkv@MZHSIIW^+&xaa2LC> zW^~TUXbkYoX<)2`n2ADWtTul5)_H(LT%)_HnLC-$*2*?YMua+#7tK4RoL+9KmuJ<7 z9A&^uiO5=tCx?m(KUmIR(#o+0Qkz6=EDE)$e=2n+SufGcN7lc8tMG&Q;$IB(xUjx4xZ6DpERP~h*6#s)Ru6>;FBk+vO?cK zBD1c~*_XFEOFc%}5k1GB+9*v%14;RsB2cf2Nj;OsC)Ik%5dG&I41?U>{lbvM=$%B% zY7F0Y(7z=UC8!SpGbf7_isbra1}z9aX%jJvZICCtVRS|M)ht93XXB>Ed!n+8zC+6b zBki@YuAgKWbiEL;=?KkTvBzZJV!*0~awXU3!VJ%L4^DnWVYTA`&4V!h}msPQS+_d=e-m>%J`*;v8td zz9t_I`1VBvuunJmErMVlt&hS$lzD{~wyc+PV|HQq1G(^$ES^_P?JSymS;aYiw}hUt z*KHNUOQfL+5Zhz2Fu<3Y7Nd%U`(dj9H?EUv-hRufjxE;k*%2-}vx@#ky14%;DJR?7 zGhIc0udd^aXBzf;&|huyaDzdvf(65oXZ49b`KCde-7S;^Ihbc;2a~iqY{X>f$?+2~ zn-119Okafh*^Rr%76Vl&tADv7N6xsc@L_0=j|BPl?Sv)!wQ&st}~Qwl%66)LpdhYj80Afw7+PTUV1nityWv0 z_aoiT?7)YGMnPESdj002xe@5!$#qq-d54YO@b8h;D3L!fguF?p&+MD}TG2`j8vbt= z?++Q;3{n%{SP#)pY4>Dj30Grl``Dcw=%Dw24USU%EAR9hq|1C7UWot>PwS3-*-Y{y z4uLJMTV{f{L^n&8{Y1vd^@Jk>VYNG@GQX!THoIxJ`$9h&h zyOj!%8eZKGD(#0e1kfN}BUpR6wM}Dc^48+J(1HQ{s`F3!e!)4y^ZB#mXgh6vmCbW~ zI5IAlC2+-%+Ui?vs_^f{)q}YwurE&$Bt3Ti9zg`R-Q}>u3U{GoY5*sR5^Y_?27sIl z8;%eP<*+)SP;N6|ReaQG7|RI~Q?o9*6iRCDz>f3*=y;BRJjt=4I-`47QFmCD1O1*U ze4A8Vh$ZN4knH+vs>Gr2!A9UuzF|Q^Jh>?&h@J#oU=g`F z>3KA5)rMlFdOi3TIk=EGb^*njK0^EAfV>R<`Nx%|tXOrVXZ(H$AM>%7@hKd)WJ`So zFVNnCod!1;ve1bGlyUnCm*YRVRv`rabomNz=RX-=dEwzIC_E7WrQ6+YZt_hq6Q*!Y zNC@<-u5{5Z221x*GQLvWsJJ9(X!4_(VW%KURx!8IEP1l0`_4k|)+er5h zn$E7*rT27?9gZFSrXq)SYd&-!ZwC|+42&n=iZryO`LuZ5Lm+Tn7Tw2ON&J@^G$JiSqZqJD!l zh44;j5I`6(0pk&)u)$3_R5&EV+hgquI&?lzPHg~=h#w^ zQO@v8xHDAK-?6EGeAx1i+74ZkF4@kR06zp6ct%-NPjqYOA>2>9F^blX{@YC1X@s70 zPTia?JJ@0~yyvF{UA~4~%-{+)NOH>%zScEih%itPlvyt#bvE(b2HZto;V*rF7zSbDqw2q52v?9ilp;&?SqIG(2524=`*%4 zYa|of+N=(ESaVKKLYaZ*UhkP>n*y%i>gdXzsO~MdQB@n*Vp|rlAcn+^#(JACJPxq3 zlVzjB1i;oDgjfL>r*Ul6WEg8pvAXIyfU#>lJ4Cz|%A>3~S3}d&gD$7&XgX(MXmz%^ z#ANL}&q3^fVLCg@4?o5;v&+bE>tD-Cb^vI^&Mj?{?^MdiPndne4J6rYtn40D%#h<| z7bNSKj_y9c%xv9}0UdNr19pO2kySEwMBXs^l?rap@jXusm@%{eHB`B~gZ)iqmj$B% z{q&MxIoJB}Bln=}vLW|B?!DcoQWm&To4g!f!b+*DTH!Bu+Xl)*PQ;+U8i@G&S47c@ z48qp!Z|}|QwO@tC2bJRk2I)E=M=aRc@6Za_qAGV45Y`Xmhy%N7rn?;z7sS?{N%0Xb zW6u0LVjzwWtSF)eUY2R@xfHj5FtJgXhmwAx=*@bXuLu6N$z5A;- z0Dpba0^&F@(p7X+--FpX3UPecv*NFfk{nw$A_Rx-Ae0a}@73W#fLajWJrxJj?Qwto zAMxUDpK5tXtUnd?@pta$j6IZhVn5F*AI{0{Ip<^h8Gsz&g>vCjUKCO+=#)(?$s5qY zds_W2l@riG`?YZdoJPL8uH*(A`r#D`>39Pf-6tYeOu!Zcdmd7EMUQjvn35VLgnl2u z&B!iU494Dhd!jo)SH3|VrrfPG-GxJ9=m&Ir#?z)ODxNHoQ-jfkSsr^S3iz3u`^mi# zbwnApa(k9L!5|6Y+bvkF{j;+BJTvwFoxc>g$ocs zD2ZU!JzOpU{Mb>U*_ zwoOVuMnYP51Z|n+qb~K9*BM7C*R8$MlD8O@$o|OfY=y35@VH~(6vhB)t$R0i+?Y>> zaYVjsfB~5_=xI(Mi>#ZM)=(dBZAs|;s}9G{H!tB6;kt?izL)R*lWxF-mhXa$oi#&9 z;lsQ!*vSH@>R>GVt#HK)*}1gK5vE$w>VMTvHSUSZl0TduZIv2!WCHHR}YJ5F_Z_;v;5v z?yA695Gg)Jc#fSx)aH^wkSTs|OXP>g4*Nu6=;Rb(l%@?*(#Gy#n^7i~M4XZdK7wzF zHyf513)^NOCZ8GMg0&Bh>Ep2(mu8OOQUMe-+#l2a(_PyR8`80)u}f0Uow&Ew*%N|$ zR$w5#^Krmh(_p>yf$n5awVVGeLl!l%3v<`ZI>7L$*b{g34olyk_x?6#lpxt7ScCRn z>AKC+LJ^^hEwC?t#A`Juay!&yxKYF_qTZbE|Hb0NlVg|g<~p7MQ&Ks|GA#y|4AcSY_~FHV4!FG_M#9tFJgK~d%g*Y%P&|CT}> ztG}PQrAFNN(y@ijJ?&DH=}q0;-z%dX*WHp)R1=0~o=X;Qn?H1DXJ#}dmW6(CnTg{! zbUrDi5uG>|OyrDdO@~70BuM&cTx&8jMV)^FszfIe4YmJP-Wa18!y(5fDK0|;$|9OHzwyM zN$tNBxodDJxYPs*eVU5jSs~!!s1DJJ8MAn&=>iz9A%dZ(?JRop`4C^W zcT32U$2G?AHYI3S)&Zypy0gL0ZcgZB=GKABJP#LsD+sluS5uNCEMAe(|O zF;%wq&2@%n%D;D8Sn80mXQh+D6b+~;)bMPJG5OdIGW}k%IZ=@*wu1`wP3HsJ5yXfY zf_Fm*QUdMj3hG_DqGP(GR{&U!4w@fWtcw89?MkvfXysCe#9zn^Z)E?L{lbdZ*sIE2AXd@H!CZp{lO-~U~mXh67aM%m4I_IcAWEnW&>R< zC81~KP3eM_mu__y?X1RydGee5FFZ*&StPMW_ez1Tr5EH)`3;^G?vess2>EI0j76?LQQvM*p}anaR~%jj(j>vFB|RnrS6o7E;vxlHZ3{g*`7lACU>7o}|0yFw z?=J5!Ms=FRZo#->n7K744mL2*%d+`wDD)ov`st4_4t~cXtuS2RIaA#Lon!eBA02Mt z`LO+R7=PFay}3v~3{ToPs^``MUBWaBl4zfLocmt&)5y3q!Hvec#D{I9Pr;67#v1K9!^1uP({0S|i$FTSqG|J~`J(ZM^N+TpSqW zhBuyjczF2Y<+W`b1MWC*{>HwNSXB#x0yfeUu%aZ1x>Kd9UoZ{WkPYq@@;>qsaT{?- z-yOLal}rlc3hz`da}4)MRT}g-xQ|SB3zEyU|ARF1rnA$L!`LLK z2aBQzu0dSbej$4!4P5R?Ij8UsS&>Wq>USc(rL3m<--IF`BQ7R?L3}OxQS10E651V+ z7pB)b`YH-`I1FI3`%#CMxPsm=qfrBq{2iRP`bx^>6ke`I7JuSsghuR;=%Pur;{Nb! zd;mBgp*+NXLp1Wl4(-1hTWF-GQ0Z<}D+a?pfY9Tw+S%5C-Y4t^;gHVa{C<>~ zR|K64w0kx5D#_?hjub24KRvHQXAk5<@3zCJ&`9Z0E(E={2VzZmTCY{;>|% zhvi+K)OK!vGU3vD5AOOhbHCw+2dMH_c8$O5*^+*KTH0-OUC9aev)g!idvz(<>v5D# zFi^8gLQ^PgH6oh%eS^;(5Ra2ELG1-LwFujF(ZKC^QQfwk1|qNlB$=tN#^%3j49{%|l?h zazC^a5Z&N;^XgSvjoV8e^P&MVnwipLDai;ml0g->z=-tc5wl#ChRV+n_rHF4$>IZH zO#RC-yx6i=OrQtSva8&xV0T^3U238>or?V56Pllj@O)X{q;SGbaU!J`HI0m#X}oI- z{l9DUn9g!Fl{J-*P}>MLpU%~u&?$$XS>+HG5dK#;jVde-Jvg zM$sk$+vwvHRIDZofaDiP@6)~^V!OkUo)oL<{~ZAcZ2G;4-Qw;Vx&DemleDWNicMXK z<63C5N2BT=-xp5lpBh~JEa^Do6??#NR0pq8>|YGdcV5|NVZQ_3qdAc;dc$q1Xs2`) zry6f{6cu_$ibo>bt(E$2;Mup7GYkm87w?L-7vzrSk0rIYcsZob@jQIfXQhlfA<)z? zfEm&XjWD7{V+T~WSt-jZK;!n+2 z^G$1O>g_-|==2DKK)x}w?wkOvgnZ{gT`nVrcJM@c{-hwj`7GRMecg=w<{@h7sH;E;&@XdwDX)MU^jtRbVb#Bo=RFJ0PvU>|HP}#M?tn zC<-|+*dMPQGphpCo0S#ak=bchO>2mo|ppDW< z8qmh&JJSJ2Uo^U&vAn7w>%K6XTKpHyZB|?+R-K^Vv9@@`hu5KVLz??GV!{vIGEa}E zA_0nD`u@51wZt5utwoztP{=sf{ZrqUBjT+ElL1S>d_752#9diA_P6?5!WU|7oxE}hvU-r zrX>kZ-(qtI6_5+`oxo!bxqgq&%zk{0wZ~T9Q54qLjgUdBZ&NW(aSL4WbEa^wzmHaW z1UIbU@`QPfGgMllCjr&Cw>h^Nzem*DKh45iSu1lV-1YZ$HdG@2(n~FMv4w30 z+0+r!XSu=VJmCu zbwkT8E70-}++f>|1rqZ4sn@iJryj0mTai*Fc7R6{Igk&Fs-4+;^}{0>|2LWIlQvhQ z#$anPy3L;%q|ERN`i${@CA;>RL{EV%eM=Fv@n;s@VCHnV*kb$Or;oPRH}pERom>UP z#B<77?>3|O8T@r^r?bv~8EDxQ`aGh29wL_aGvN>&P_+Rhr&UL*=pbswHc zFYK!X&yvzpPo>9CzNKvA&4hT$I8&z)dpHUgdJ5j<`StbjqPiLMJcG$-FldpRK9AjZ zupS*EIl1I*;eVE^KM^@`roA22zO@j#==f#j>g6rm+BTxcb6P`pKb|)AEx@cX1&uP! zU{QDA6-BH@W4v=}b(@u3fykXA#7lfu$fN|E3`EK|+dq6zuZw1&U&qjshRP7JnKc#- z?N+YXh3So9d3`xbc~Mf41+f&UdTmk0q=(!C=8o-XW-a$VY>3dXr116*;%M>O{H`9_ zHGfgUD_8?4kr7)C_~UF?TnFQN6sz_)Jo?FTE8N{(D;#wAZcAFNEok0M#jO!v!Rh*K zs!Ya8NoY_W_P1AWcp13L{pGy)H^P~xmX{U2bol7+3!}e6;gQY9g4f4ia>G!#nt;P< z3@O97o`t3CT{&-=9mb9(%I%OHCY|BV^-J;IHMhDzv zf2>wIkRjxOaf3JUsa^(LpE$K!0T1|+?0ybJu8`;l4z9F{K`gY$2IGq;&8*J?TSEW? zH7aibQ_GJ3UNUHlF!Q)@YPvAipmu{wM5jMf$m+^6TOUYNCFoGi7vCNk2;Gg zcuzgZDL@}(QSyvM^4*-D{Vi&)LT1dKtEs-OU3&Y9_oVo+>3mjrWxv)Fg! z1Q`JU^fWG|2`CeJRHkDz&#UUU%9(fYm_8pG>ke85o{>Ds3qEnq9}ZAD7ULj0*u!Jk zKx<|TH2!za(5GiJs;PWG(6?q_Cq<`|PQ;xi)8w zB89QuIhXUF8gSxbV@?zNWPOq8P6nNBa{$w-YqI$Q5vN9@cBL0XY23*C{`lX){=~F8 z4S7;2&PTlhmItqC^K$9Jpi(?QZ=cW~!}gzq)n|3%lJ3B&uM!{IV9?{g3oOEh>DcbD z9S&8yAIGoTDE%ID*HerQY843mWZD$`YKE6G?iS6CDk``vLt8p`wuXEBxDZl=M=zx7O_c_t6m-Cp;{`-tiDmJfytV>j z&}D zC5g1k?&J}3VFLrp=qyJRvG9CREl(brNNxtGi>Csb)>T>WA_C*d*FOqeJTW{mnp*ux z$#?Sd8Z^Jk=yvr{n&EHQa1Gkj{b){=-)l5;GPhiS4H%)KlHXeNcu)QLg`;=POy=H5 z^f_dt`yVwWZueNWLuOv`-=Zoc;Dp^(+A&>#y&(i%Wb$FKCk>K_qS5aIMD zk~6L5_vEdJ_qcbtjQ7!7k?ggtZcDsn81I>py~6RixcpZIFG95hw$I_uK*MgA!L+RD zq{lQipUXSxwmX$*(A8X{yv>LF%hQPW*n{8ihrQ@hCig#NtsnLQN;{c^UWhO3=v3jI z*+g{Y9aRf!kR6!23$I8v>Kv{eOan7k{wOeD$22w1uqU}f7q$u(?AQZ3rOq$2-rp|f z0v`xf^=|n(x+ulVam(fr^-U^q!a;_yVww$_osda4xii0 zVW^LIk{Y%wWKshSCYj{!JxWbA6O3SOm%TzOvkD5~0yTa0flj3lmZh-Qx&!p(Tv-GV z4_THU8s9$$#pescJfahrSSU`F5B1c9O3wbjUL_OCVtSBB28Uk`*;78J>C)lvGx#HS zqTBgex2pu7z(|7%o%UF6kSIv|ocjPDF-{b)G+KF6Pr~CKj3`5*9(-p=LQ|Flc5}FdZ$xK)G3Nha`%drm2F=g(`*vp$A4ty=H}KPNH_@v@4V3WSMK# zaQ|(;A)hXLhkE0oCvRO{zj>})kdRyFqYiB00|^=b&Z9t2b~_ zb_+c-IpoR8|4HVz`ln*xuDs+64zF>wa-Zfy)4j{egR9mNaH`M(RQY<2bS8l*IhwXT zYQRynK5b?8D}Tfy87~I)>b5eJ_k4c;h$!nV55`<)%pDIUC6N`PA9$p|SQE3ql9hPE zYFZqzcP2IU=vTgV#a=8iugUMacbobI7t&UVA3-3Uge{taz ziB}5F`KW4emQQJT+#-ldJ#j1BI2_i+{6+-6qd<-)Pt`kLxZ`>@}v zUZH-TpaBXrx`1KnKNC1(I?}eRTteNO>nDI|lV$$TnC8rOyl>OUl_cLOp8zt8 zPG_F@b()I0(+DO8(bngsAgrKN2^-r_(TPG4v3&~Dn-~1|*G#IPay|Q((*8(ohPLf8 zvv!MfXs)TUw)tNU)Kz}DM{I{wpnDCxKM1+>8-=d)R@BroYC;c0D^XiADP9yTi!~odP&Vv@p3r&O3djmDd+e7L)Z~8_*0fc7Xsy)=jp) zSB!PpSGa%e_=Payb%|n-Hob(H(weo)8rjzbZ2Lh-TqRZ--w!dW_@$g78HPB|d}V($ zX>xF&%q=)25>A}Y`R2KQa1ny*4#6hILo6(zB?mEytFwaT#j&B8&$iTOxV6vNQQN_n z+s{o4ReLy7`=UhO0QS!tp5y1jJ7XruVRZbut9W56XgiV$Z9^aweP2_`{=!+6tBUj^ z&S}+nC4Mvpcaco+E(zK8cr6-_E}yd-?nSzQ-*6jZyg{fF@ljVM0n@k+;+=%r;BNXx71*(Ic?_Y_+Pw$~6{=N|~hrf(8 z5W(c6)iUHuPLTzx+r7cVnaPnxq3G7SL{n9_qKuYhq`zJ1mx3kpWAy^K1(lua6d?<*w^9wQap+EK z@qL!E)~P3*l>$xml_6fMp|xLY1%~|_mPNJ*dRwpjHQ^dZ7f8x2V2FEMm4Hix)S<{^ z@J2~OspXHt&e-GOd~O^u?5tSeD!5*1C7Ty-e5qK#Z~=+a$$t|fbc~xd#qp~IrsuMA zXBQFn4QW$g*^bF>O#taBxiMx;^Zsf{@C6FRd zSn^pU89M@adHsFZxBzZT_aTX2f_qkgJxjkdWj!r20%9DPzOZ>N*hEkhar+ zPUAziEa;+a*4mTFlIgSTlvp}W^+ZhvWjlf>9w8}^9=mTUX4Y%b8ki~vfej=TSw>hBs01=S49qxAzTFy;SUjxkRR;x z%5$|x>H6rj8=Qyn@qWt?QS*}VM^0Q{VAsvNoiPnp0ak5^yGD7rD0O`o!s9ZNMt;mR zdXcH6#|9MVN$Ur_$Nf9=R3dz0dPP-vdcE=t)ri997X;&Ze;L0$M3B8LQt2F~n&P#I z8cxY~O-g>Q9W^{F%c06sGvoF+gQ{%LZ9v`Rj+{UIo@rlfkb#~<5S0zZ?U(bn2Y#K& zmZyEI=Tf*zn;F)1G7hu~4%ewbWkEEv8`}{JWrs;nywcR^nr zqxCyR3ukMV2Ss{u?`Jt#el`rQVcM0O;TFm-VC-_cBthM=6jAY-<(CN$&%$ndR+{!si-@Ury=tb7%O50A`DU^bPR)w%x*pkpQh}$$-QqDf){TZtxRZz% z$$D>?>R}L1aRZ+0yz{oyaIXOyi2-vw@*|Glh<+RG?kJ6_wLFasbHY&Y;_jLZcMixl z$2_hwBd6oM&SKKQEk`r*O;F7w(`QFKl#I+b_sqRXOl1Vv;h|jom~5Wpce&W=4gq+b zveRR}hT2`*-!`gM?^ta&F0Y2dpCcBjiVs;BAR-s1O50!z=BRJIytR>qjV!!WAG_ZB zi`7$+#^6UhbwZlQ+_xmpV6A!=>pLi%Gcz94gyiQo&kp|*J;=*lJdpiuzVvIu;1=C_ zy;m5gtm02X`eHA}reqk=gx$nKX&Q1j(VYEQi+I@gn`zmET2yYHqUfFO$%)dkJUzQB zC$z)JZinurhvF)p$n)t^hPiZO6&9jHrsb?Q0n%l1zGBIXB%qTum@GTV+{}#0_=%7a z?=EI99TuMVdrlqFSmNFN`v3D={24QxiKCzua5pNHjQca?*pde~9!! zB*0aL_j-1uwIC*+t;a zAMO(fP#)rS3oz(8*j1PL?^ZSPT4N#-AwTM2g9Nq!b@EL=>~c}=c=9!YSYV>)i(bC4 z%Z!jJ_YJ5v3!ZiVS*+Dp6ZX9&w~nHcr@m+pD`s5?=*BT<@eOX1KcJ@}{g)*CJLyJc zzL~8Etkpr+L*gG&(N&hp^EI(d-i`0;D48|aL@qKYZ=-s^uZ{s5fQEwM-5`SdBhggW zOP8zbxnOn-0}b%X#@klN?XNK~-V4t^w=8X6E{@mZ|2lXMok;?NjM;u+xNH(ky?cyh zBlN#qjLv^FLGg|Iqw?}g3?M_ZVpylkpA-tYL;MigXO+<;6CI;65$A7t`si@a`4}B_ zAHrJY;$eVEI&G>zx?~F559{q4JKq>}pYYEbLAX*P>Tm=;t6c$+anW#mP@&nOR)2fa ztnCNxc+SQKlNY_E_S7uNc!Sf+h)`_NqEdi7TSC$DWfoZ2le{U}LYaW?cNQ08Wqz zB&B&SGU1|L1*>j?ik{5GpXXBvRMsLt>XMfDo*u-SDUfQe&{tY$wlN=vAW4k4&ED1h zxpN{d){vsrwKiY>Bl?TABI&Ux9i?3AdJ*z*N{N}?zfiG*`9L^Dy`bhgU6;j_bASD2 z$4G&#-HTc+_{2erU50~LqX5_@92x=k^qa=_ZcJ6@g0=Na#7Cbqf0kHzZOB(75C)V= z;(F?YrV*I+iZfudKY(ph-}Vf$R4uG)ZW$gN-6b`e&bx@W0TtV*c&ql7Ca?ZEQRJHU zg$Sadbj<4|f3Xuz$RnPFbcIlPQhP{bNtm(fkg~Pea9RR#bMm(IzVpMX6&@#4DVG}H z>3^YxsekqflI0=Irf2UlkszPEJY2SlKL&RH^rxHV@s={A8$TRt5lIr z>-@x{Q+9b*1;1{-+`=t{H>g10jsR`;MhgL4{;D7*{*%Pw-(m_U%Nv&MjKApr>H~2j5x%>nQ1gGnLR1x8n zBB>-)E6>~;{e>Zz(;(s5q~XkYvAgkm6*%FfTFViofI0sAL*lZ5l35jizy9GFb>S3< zgaq^+n#@zgbnh{0C$L_rm+kS|D1k|)6`4RYN{ldG-)O}{Kab1GfD;?pE&jdZ#V@0pb6w-(Eeker^Z9Hft2kHbPRb(Oy zJzr&JG?Yp?(g;v9uLB>g!IhbeP7`Ldi^l{)RmUE5Fj!F4$Y@8F#kr67$1)97Lmxx= zr(jhlKIhBvH4Z`_ebB{5?1o2{RPu3HpQN^-y5>hq0E*BvhQ8%(gDN*~QIC8~M-nM^d8#?{ zO=TmP9(~Meed{#R5$05;&RLi=6aEWcn1VNe`iH&sP9RBaU+-)@^QqCir1HHp7j}+! zNpzkt!n7&@#|Rv-WBC@nhWNira4Pz27XR0F{MtTz^WtuMnt5A^1);o5BymhKJr9-85FiWNQL^^KH zCK75(MDw$JE*dPDe^bw*Rt80$~oiK(X6@-n?b*CjHKF~} zaw`6Xc~^J{Xz27I5B|f3r7*W7ui6jKq1=M5$uLehTYBQfoKuw>OOuA}p=pm&V1WfM z4vhpUeLC96+c{zqRs7D5?n0CDIf`(`7c&0{G%iGr7cg%sgL@jx8NLO$79owN@-O{z zvrnh~_@A z!Ug}HNYMD!Y-ESB_D`$VzDYyZmd{1-wh)0Q-CR{gUaz&7WZoQ0xRc|`qS4j zKC->T#-z4!TQ9}NMws5zyZHpYcsMKbXowcm%nDs5@}V%QATE$HBx z`76N)q$J|lg^gT|szDrV;s_xObH1lHPSp*T_FkW3G+|=(R(TY2?6HIJ!iAn46%Mr} z^B_5TBa#35m*Zrj2G>H7_lF6@QX#yswK4sY+$a=DcQARKFeuV^p7O$>ltn4ZCVC!5 zj_9bL-oUbsi<6&Y7Yg#Z4!0psT)7}Jxkra2sdsy<{u*q3CQ4l`u)@S-Ka2WHnl(ZE z=0yil+_#_@q|v`aonlybGw-&BQGuWP5+kee@%T2P3-fK@t?_M--x7pT~55{4OC;m5J&1h;h z@Qv;%21~tzQY`(I+G&tS?+e)lIAM|>f;T24fid;q>gNoWU!FQ|TsnlIRD<4VF)370 zj2552c6@9TLdMK5Xr~WO%KHK4jCUK-^v3M`)Hy8KwLsZq*iICI?PPJ&WyXV`2}>~j+LE1n$rw)Z6j zqFkRFp=v_%VhQTr$vfECF@OV6`bpYUoVs0#q!@s`=4Oin$*dyB?gQVJqUxJxhnmZk z81fP>wR9F`DtYy#FqAi!8fk2C`^rQXIsTb=89?$pRRxdVYaNKpky> z994R#2K=SM1Z^)pEFS0k=07hJi3O8@ek!eWY>*cC%H1Y=a_qdtrRrKQO3CLLbVe~f zV)r=(MbCKfG)*H+FqN$ff>S2usRYz(7`V-6MhkphXpLQLtAuE3ZI}dh=W0G)-cBZWfh*yXt$Z(Fp)q4zZB=`NZ!r%93BuCVk4Zi7BZ}#RnR-<38W=Wga_rmSg zEO6bt(Tpzsmx$`%N4|bPbo)kf3LQDUYau__N3Yw}b3J)&`Xt~v3i4H3V&*CC?Y~R$ z^gCQ;gY^?s&WJ=r-n7a2C&ukXgB3%*lNP&~?>S_JG0x2B{&Xi@^5l!+v)wfNLzk5n zI+XB6LYd;j!26|Q-m9HuFy;?Lj&_^}ex^BATURN~WQ`x;FxlABb^8X<-*Nxk; zEgGDPn}LP!D$J5&#%D4^bKoY2+s|q+@Qu`q!HEW72p{=@ug=--K4L|Qmw;1UwQc&L z@2e*iSb=NQhH$r#d0csd0N##nLm;WMK!~~hRl3J9Lq?VX;!=vWu~X4|)t$tsh(mr)&eo-2#S7k%V7;%Zy0IvPJK@<8 zcB3oOT-GhJ5?a;hx#A#Gk*J57kx)@7;zf$^OR?fy5EbFyT(eLO_Y7S+W)>_u?KV?} zp&WgQ`ktUAlIjeV6iOhn_is zmT3H4+NCndDny3#Cbi6p3zVLih5Y(a@NfmsA~7GVW$bKkkveTyd&p$gC(|e}f96x@ zr*Ca<;bp;_^l)k5^zvRXW=UoL1+aSSQ=DgoOnljEA?@uDKcA+gHySQ;XY ztUfisn_spAKRop84=$?#I6@%Dt|vifztM8bui6|b@g1FXD~;jyPwsdlI0?_)y8In| zp7n@Nu?*-Ul4@>XSh6BKNL}C8gU@Z24q)e|voKmFWQ$XcNiOKXE2OPENBMYLCNq3r zHdHF{jt-&k`x-L&%`v&byi->9Tw~gz#}qFK^}sz%a70w_+HvLewh$U0&(Y^t7h(uZ zu>K^LO^*n~oEQxC-17qY;+CkT$AfawtTZP=DR=|(rm&IcqNe&S%|=e|kxGo2ERDia zO)Tv(!}Oq#bzOpE$ICM9-w?i^G)6=K=21(N#J;C2`%<8jI=?k5<=vlyMKjkytv#eg zW@Vxp1xjOSmT+`jS<))8CM6{ z1UsJI0JPe(SS@sn{?2$$PZ86{(0`WJl8$`?$tc-MpU|-+MmKq+@w0=CBf$w7?y6^J z8M!1`=Qt<9I=G<_SzTT#`-q;ZhbNq#{oF&$u>_tMPJ;nle-ZE28_I;oTRn0&|JfeY zy{@AA6&sCcFjIxFs7st5={3kSwfy5MYS7ci9~WlC1^4eZ)y^qfYvg7rc^aI=@lNV? z)_zsp++REI`jtHCp@4 za5nG@@rwYhI{5n=g<5zBFr0C}Oijb^?+u?y$IZn@!Ld*|J93cEo*`qKHL=RBMpuuw zZq)Fyq(l0xoZNKCPf{p-5PhFKVfHXRSoqz>vJp2J& z=K%dlzIF)!T!ErE`9%itZ^*9gt)gb+T;#>|lU+&!sc)5()~xEwlWQuZ;!n<$`kSTLo~MT_cHPfLHFE7>ECOQ$jU9vBh302`O`$ z_Tq;hNi4XGOM*h1i>a0))#O0_c!QmlJcw2@D|#;Q_Eq^I z*^J95Nm<)F&Xgj5Uvlg<9vNo1^Qsk7Xkqegr~Ije=njP9hCnqZ`_g%sg1uh#kI~CfAwj4sKgTWnnfR5NqAYQi5l4fWDRc9lT9*Vupf}^CU3BP{Eh#%~0#Ol{I zY^@5=3==F%1=7!lqBb3B!Mq&_&%xSJZ&eNK->W^2FEaH%6mOd8CRY}T@|4m0f#1b+ zP_Kl7e^WTT0LMH3`lxXn=aQvFQwfwz0mpNo=bJy{?U^ApqG#OyN7GlwHTiyFBi*Hd zbW4kr^ynH$cdCFRl z1H6x&2QAjqfg_a@xXtg|6kY?c*JqatTQ$&nsv}g3cHK<b zsKxb(yy)xG6{~)QyL`xQNy7J+e-FOS(o3!5M6wLu5Pwx+FDT0oe|$PDHgyo^ORfS^ zGnJ_tiXOT!E$#Y3GlY0F!f;m{=3O?&v2+I+~=7AwkkRi9#fw5t!#`zXV5WKpB93F`X z650RUg4%!ntu);xCSG8Nje`r$fvggUe8H}-C2@7`_E&%UCf3YkZb|3Z!}JgJy31;v zNnxxoC_Bh1V-TwJ4AJ2fioenw`#KSZr^rDs*Z2hp!3U~aL$NBTa?2))GhTLIwhm_a zfSTSL3*KP~=v_e+S~?LOs68+XtG+U|cvW|#2|%f|SYKkSFOJHh0YZi#kVDY^?nL)% z|2!YW!(3x2mne4sldDOo-1L8|*t);YU)q)C^_!`WuO>Wkvn9@#UL``=bbeYswz?xr zCf(~XFY&3%$@A$idUjNVv#Hhg7~&9Vs1h}0NFeJEucEzFN5S@MBEI4R(zOMyyAqbF zBWJ_|Ugh1%m%7n!p0L0R^sA4` z=4)i%&uda^3(0O3+&noGsJQPR5LepPf80NUVk1WguIx8Fa4^D3(H&Wl-2dkL1VuFk zqjp2?Ic%am;F_P@y?=LCge?9-%{ad)&77*FOB{6)dA%5O6RJyL@)bn~9I7fF% zE2qb8Vy3bT(3%q(;+zl^DQ;BUR4b z{SA(ydmDV0@?4;2Mpd{=xe_zgoc-byd9N+Rlg{h)o`mBST$nhR8PX(M1X=)(y_cBT% z^JgOfVdZ#!!A=|dk7rkxW}Mn;B&ZHlVxYn>Qfutk7Fa!n2l_QmcK31BG?5{`HbZd0 zl{X%cvPoBOW$`j(WgDBSI(ZzhNaJVsr>AzIL2jY0qVL5SH* zNEf|RL))PH?bMioh04T5OkGw^srU-t(T0sIUyv&J&afNJBKP+*MHU3Lu)jHJg#&l(#%F6dRdo|>M#d+hdjxO+S?P^T+K_6npxrF^ zV+-4gE!%lw-LuPL4F}&vOK)mhxF(7UVF}mt8h0Pz;|(*=)gEmndgFJRJ=!*9GV z56&V!%Mc(tRpnf+RCY=Q_X+j9{(6Y|#D8DGQjfjTmlX(2a+hBp>n=?Y(XK;Gr|ZE8 zTgu%>TWF^X2)%Z^36A(wcZ}_`@q7t)q|ERG3N~PL?3;{xp4OtuOjbg8bVI1)~A5nRAUvOrh!L4Hu}J<1?}ZYvD&Fa$;F4)@+z@s_rjZx=N5j&g5=E ztQ#EkKi&y|&P#z$}O zGtr%i)UL$VB}pYEnU^ue}6 zfbcQ=jb@my;zNv1AZz2tUaj%S65=*cH$-Z$wa=y+>nfD7c|G@+u}*9_``72emsDS6 z3nkZm5teEtEN7HcO&@Ym8dOmKPsvcBXvpQZ^7J`L@WQ>zWFc8HSOov!bCEJPXtEEC z;!%{a-Dg&rGuW>d7VMFPTXO@Wla!BGxM%}~*fT6iR$v1klCq|RsD-N69Ee8D*=b5V zHSE+*l!Gtb1*g__&A9f+m%eM7n_-(IaF6qKY_>!>Isme+rpZlhy}}7jL?D>HWV8v@ zD0}kIgx|TMmGQtz)Ur1n9cNkY=e<0S97sIk%`!=$yshg|?3ZBfd@^i0_5IYys2^l& z@Hkqu8hEo?vHVa%kq}0Oq~+S#;SI$Ll>^+t5wJ9H88p5r*Xb)D$^$0#%c=#B5OFLpyY1OebeX;aUf@ULC>38Fg z3`z2J&_Au6N%Av}Jf8^CrI|3^fmzZ|%xgGV>Gm}_T-0=&+X1+uTWlScKOMoFB6Sap z)SKhMm?&$7Ncor}3Cz{AOvA?wNIu^iEBC#7C=w9XRB~AZ7M4|uh~wv2b{V2@Ey1gy zE`qerG#6=P z-b2~8xe+BA6mD(UBHHQtPpOPtUok!nnKZ@y+Kn+f@f(RHomTHjSXjl#K|^m!vrf&s z#qHZq+v5^#Szn4}7O_+p6tOM4#Mq4;TRqBboE}!3-$UM`f}I)_bV-OrW||ek?sdObJ}1$lz0etEt-ILUZJ5MDP5A9PtN8h%B)9F5Mhr31J32CIKc*vmadXVys(BOa-w zt+`R$$gf?xTyZTofs$=iWW2WxG&21XmQy;aj)gCadIgnrrkG;%e5}z8*IGw>^7T_> zfsRc)ea~)YnW?|9ebsAsWT#+lyh8f+_0dnJshpr?4?EXR7bd>F1oy&YN9mRmk)sb_SWVw$F}#=3iQE zW{v~hZH~W?(rOpn2-DqKzi@S~Y^1xtobJeM2;roHlj@VWLi>NY2$)_wlkZbHW!O14>h&zq=XDhOU%TI)HzO^Zo}7W7n<&54-E zs$X@*YDs-{Xk97%ll^)?nxQuqN9h4$Zzs%?d}$t`?zX;rHO3I{>9I9*>3Dl>7|Eh` zO)k~_1iJH4>BzivF1Gi4-u@LTlomr(YMc?PbH}j)-2*Z@mYiFu@dVjDO{fxhyov;p zk$#h@Bj>wFKaeSFDV+1Oiyvnq1b{h4)r1h9Lz7!-Z?x9G0cA=ei$Pi@32svHM)i}U z?|6%D&+=B=?zg>NQb}VaIaDjaO3H&8IYDr~+FiZ+`echE%g(zxRf)=!^2OMcp^pKj zmn%G`OP}8)TSRV?T`8e2@}1d_hxypNzCl2Da4Db^w(D4`gg8V7P}uRdW-+u$$+SsD zRDej}8c`xd?&Q<^EOO_Xg(8O*ht*J%Wp<>%TMH|x{F`VgV}R@jZ2`1zoCW$O&Fl0L75sjf*?KP6EW~qZSI1IkXH29= zzm&fJ?4!o=#e*zO|7HnBHA^+N%$wMk0KN)PvQujF%oi^=QNO| zk&Sg*`Wp%M)ET`wrHy$cr{rY|gAOJ`DdGk51=)d>nLGnH-1zI}BrVtBcj`0Er@!)Y zWac7xn2XA9ZP#qerj>CoPD&K2SSSMM6-6715-~t{8muGR%;FM72 z@7bW~OPr^n#MRF*NQgaFf&`8#O-#c2(q0Vl4a|VoiAvN}ziYYgzy4I4MCu#v&TOB< z88Uh)OkaIm$jrfI%|ZxbpwYknE{R|=s%PR5fHi~x)ctQQ0~7H9dg?_IC##xI-Ekot zks4tQPTJ?CN!_u>{W714CcOM_#DRKq`;=2Qv$tp-1bc)%65ZXa>bPUjf#-U|0U$Dl z!vDtlc2!=TXO{{-9tmlTJyFRXpSvU@ty-0hx)A^`wJ0!7A`d2-|2VZVGCV=ZfY2OY1~)H|)o~2P z*7(1D)-6xy)V(hZLCXqL5eB+~i-Fai?Bz?&Y$&aD68xkS3cKj)3I_pQS8A!OQaM%aRd6n)O|}h=xRPaScV-ItevK_?HkEo)_<|F8$dP*dVdMB z4#X&>Hm@^P&1Bj7e!@;+l&WW@5Qb;9oSEn73E&63&Ikjg^xk~FAmh>kIC$?s>#QpLcn^Z>j3=S}rSady2;PU%Pk|ZwHiQ5B}{~UOA_5e6-cuuabkHD8uUlaT!*$^3n*Jo$J<>;Emzdrg+CCES|ikT?fcuqNZYMhE9fL`{= z{x8^lWKAE1`OcrCQ&j{yi6=ZmELX<3Ec7cigvS+NvxP_yn#JQrc{n;GcItp0j@9)s z&y_-wu@}Xlk@=gZ2lKFyouu{3eN&{k+j$rodv(b|5#7z8K*Y z2p3cKYn8ODONTG*?0v__WCPbb<4j%Og|i&H zMql#Z00zYT!#2a0&jcA?I8Z11;kalVl4U?bBdgBt4l^k4UV8xGDi+l! zHXNFM7yXfhs{q4y8dgVyU!kApsbG2-{`ViFjND~N^dUxug;HGX3Nug>Yw_|>Zi5n0 zsenDv`nf<{RpF^%hxvGYR~=W4aUypkU904I7B9b4;jc-xg6j6{)y8tXp;^7i(~HpM zSZTW_%%Hfi4;JZ4g+sPa%fuMiK6egfw9&aB^eaT?I?IpL65W{dKtyX2pJA?46^(qS z7YEOw!GO~l!z_M$?!k;$ zD+GuI@s-sh?t=?U8tmt)JR>FzEj(HIuF!@SqPilMgtxI|7w*58irWc698x?fxT3#r zD2&H7UX|RI30lal1=}lcJ6hXt`}|`PHfh^5Wzvt4n6%IxMSs2&oS6j?FrZ&dE;>{y z>PoFYjhy_vQq1r*n()BND~c&jc26Hl#f!b7MiD%A8OyIY+I;x$T7UK0I|Egl#Fw9d z-XhC8nllYm(%MP`s1Gy;G&BTq>uN@vi*4cj0aqHOV_WMk#= z)Yw{i5Ps~3&s~$h2K)Br9fxJg?U4sJwYY(6H(M1x)dj=QZa9-1mnFS6(51fxO(r69 zkZ&xqmSz5eNAP~8dNCgl>&bef+@Tr6*+cd@j(Tqt7cm?b*AhMU-QLZWD0u2AL$JLj zPGHchi6RN&Vl{OT02Y)&OlctX?f58$`;;4s$~iwO@g`;8D|EjNOw$B0%2c&J8|wdY zF03|v{#3)MjYHu zGr$)24Pon2b2FxE`#J?x3Ep9L3FlO`6&!Xe{sKFUGFx@7hx^Xja0fRB50P-XofbjP zo31Dsr^AZKQJ-MyoaRY7zR#yt}|FBqpo|oANed~pZzG$XbT;C zmo@!mq^RvqLG(D^eW+w!@{Tj@IhM}(hfw&V700FZInV%zyy7nC{nUhRoIzah=X@sq zPLD8&Ab zpToS8`nZGoIL3K?G>lUmI`Zf5`(BCQ;Gsuwt839`jpH@REa{_=AiD1Zy%MRr%n*8m zmvD^>Jq(o*nGu7e#gd0zAj{@;^0^KR?Cv?V>$F+I_2j3!T7A?^$i|%X;gs1&sROb% z&bbKV5RbHms^0jy&!{kBsoz<>j55+OtS9a$3lr=aHv+8~{7=eUC5P!Lf^hcY((%1bWg>#3_k^Zd^X=1p2$y?*}T__BFUfzidIE$C=*Fp+*U{ z;o+BPJ<*E|{T2_F9`p}Tcdw7yA^VT-+40Hq(x|M+$5Vu4vCi+s@GIhoTHNMGwAK|( z5Bq-nhcJ82L^_8goqteQj-L3r>8i*ef1U>uXhgnwf|@9Zk@^Prl4gl#(J_^|L&X}s zF_8J4P&2gBa%!LJT}ek1#w2V0zp^a_NJTiki?|YLlksF``Z?4>^3BGWj--3iiaPYn zS!&-n_5Cy{fqZ#e5R1eUPfbDZmUv7c6V&Qm+aVKsV1x{n;CC4g`;ZLLHqDFImrDs@ zb4Yg8dVz`rB4}^8if3`hlBy|Sdf8+SY5p<9WMh52Lv|Uo+M-|S1A1qO|NiPd83#wV zXf={gb(0)R`M%3+uNJ$A4785icqtfTL`d~d>30cnj`9W5Q8Fv+(pm>j6?R$81+QFS{$7&!7U>F%E5ykdcRXPjry)Ot|L=N9 zMZT!AY}3{9I4gbty-qAXdVS^(wVUsu`r%jt5vz@7qi)P%YwQb3eNLSspW1g9zuFr; z9!vFjpC(DpAZPb`2cl+Ikoxs+aVi9kP=$2q@Ot|RTp#}F;fpIW3V%Y^N?as|%vt%r zBS6oDxi_A>yF?*}4saMw#RvUtoo zwB5UcF?INaOwgmO*Ds?^8K5S{LuPs=stzptQ1H6ib@`u_ zDWMJSR4C~CPx4Dmk{`98NuAgiKfj{As&n7`aPsRu#je;@`7uEO`^gH29e!K?c;w}& z(g#Onu0BfN=3~j)=gqx0RH+={yXTOd9;g8A0reL3AP+SgNSJ$2ZNK`fW78*)Bi}^=wk{(H5m8<~Umf!=DNf`JH z5NiP-5kbdsT$VYdoO>U=5ykC9_S4wA$y?v;owkI+`#CcIGVeffvVs13UhLRG>dKel zkNUB5?8OPfWB{o9LR6+b)duzYcd;s5t-XdI1ZAId9v8 zDXiXAPY*47Q&v);uexB>yBx?fm-4+Nl=~ZR(%Ov3yvUFSNkE=%v z!+&)6Ong^0;HMSo;e>Yx$IEU%ZQe7!4Kb_4EgCUiG3&8dhxA+c$30$uuNgpm3AFuW z+)fxq($5O+>tGp8Z9o}^3~1$B5^T5i&ng-vP8vcDJxIo@v*+wZGeC<_UDR`UEiQYB zeSxNADV-U(TWNju!I>ix7HHiP5Ja3uBgGf`Pc z0!SY-%E4~FM?hH|B^jgm9n7*|RS>rQCLe6%+YQp7?ml{sxF!jV{nqTLNR6kVOc~#T z=lyR^9(^_UcGbVbr+&@fFDh|c1wqf9=$>#jzbaLpYc;Yh5jjz-XOeCw)dSY%9{$zc z_(SbV)k(+fQ#q}y_~aX=S%FE?a*QyN0e|lqR^6?6S052JPs;S+STN5i5%s5}eg7|~ zeTat!vMQXL$z^LwOvAl3ejW}u?+~F{6bqNVzwxCMoDAN$5>TJ6w2=5ca?^%!E{sY} zvAKf(Ua59f9XJ2nWxH(N@?#%Tsq<^`!E@Gn1AoD?-moKaj<)*)c}Udhenk#hJ)R}{ zOARj1YVYXl(fa7*JV|OAt0*-yELXGOqxhYZtFKIs`RwV{CQ5($-FF-VR;QH>s%?laWAi zEY!I?g<9lbTJk~K*jp7a>_|~%k87b%6N`cpVVw&Q;s4-&1Ir|D_$#g?SH|)=7S@;` z3vY^KsK!VAAXj5bqj$;w=)&wFenCwLX9$egSna9(4|osML8B@`Zmc?`JY6d?_4CTM zdKvV_bOIbbTD_>powtND%0ixi;ohkOq@GvQ>%x%Iz_pgh_$XRxR`d_*d7zw>4+SLD zcCPK4Tf{zWuumtCzIuaT$4IR}k+P$9kKza2`NqNhmCH8#1O|S1n+vbc^<%nKIGf^Z z)SQ%Jpqi#cuM$n95RPAdEi?%btd01|d8Qd-jKTeH{%;`x&jM)($gHdAmKufrQL3_l z48X+krI*Qre^R`pFD!q+dRRO=b`5cm6RWQ-U#GY!hcd|b4Vnm4^nGjhe{~NK@sPD^sSIq=}U;R|Z#f9YMX{YD!{j03+Rq3gA zuYY+&itKJDbfdqp|4V15WXkCb4G0c?(aQOq&vw5$D=fhvLDI+gp;cx8IxPddJ{qim z`l$YtUSuhb%^>~bsDlXk#V24&ly0tb6Y5dM=t3_riw2=zIbnz@tMNshy z?=_8=@tnPlO+}q%g#KwTBlbQd;@!61X|U2P=3Q~iT>f^8&@6^_wM7`~Th%T(YMFQ~ zfOK0A?BR7)Rs{5)LR8uG~){%du{c zb{hLC5~O{Ie-Ql^3KHFT*?Ug&x3P~JZr1kI`a2jqtI~xOQd@L&%A|guby}tnlrc@} zhEg&Ceeb47bcKhTlRN8j|M{1P%WisRclErHlj;@Rf?)K0HxEFdJv%zX%>w@3=);EH zD_v3npGl|RDexO6U1weMRU#UaicJ^u&R?^={Du6iDr|hobAf*R?IN*1a?bCEEBLfi zQx``nf;o!YhbEgu*W~t6>aVDO&a%=*u#h5nz2ckIJ+lagi)Y2-Q(2q~8f^5v|H3hp z&p%BWcNGaxa|npAD|Dw1CQ5|hD&~c!@W2cVWO%FFbJBrHNtYLpQc(|@)k#VP> zEC4h!j-f!()Nq|^qJ`RuW6)L8-MkX;t(`|ez3%y>E{Y{+&{_GNaM0BkTENh}UTK0@ z^-BCMPfKilh5+dWQcF|oSm%RE3zX}hnM{R76Y|SQBb+0Aw86g%D=NPVDrvamRR=Mw z(#zb6K@ZAq#gJm(h_+Z29->m>Vp%NK6inCwF^8!YGgqTEK~&j_w-s z3BOa^tBCfEi#axXj$3E6qsou&z)ItU-@Di%&X%FN6(WxuU$g^CqPPJFr!1vpl+@)l z1{Xo)o_NE%X&EM=8;CMFBv1Q`V-wxh_we?>veTrjMPIuBm1*w^nM)D(vZn ze;lsFi2n@V46jvOt#1T9E@Bx+rVG}_Y0FQ1jE5>qZPFo8x(Q;GcE9ddU!L9@>TCe^ z=u@h2ju3jMw2Iei=!}$3po^%z{18&`l5{J3+#lV8^DBa+bWUM85z72h@Gz~o1?gO} zGmA`)7UW&1`vfLal#ky&x(4z$2STe5gr>`dn^HhA7z=RI21a_bAaCjI{z$^R16u6v zjn-C=*GCr3otxx-j7HGduBYr?6ppC`w&&8ux;Nb*4ZW8W+8hNzO!n7aSA-tMDOAh5 z8G{yN)*G{)a$pnU!vuYvFPAw`3${ft`&8_9GzbbFJYf+)M@rJqAtf8>y>;CN%WUrwOM4{oH7owe!pLoUW^kY@v+HW z`}wYpvzj?KZRGUmno2MuzS9R!q?&Xc zdE_$~r0sU=Qu(r#=VDQ(`H?x1;uWFk<(=ek{6iW&y^($}&$i}jKgV1-<7&hlUx1QHzJ9V?E@|>a9K=Ao?r@weWQg!{4*SHk`1D>%0Q5sFRw7~&P%*V@ zy(X6x&GZ-Rkwt>B52b34#DPcRZz^ASj%cad<@MJo=Hab&5@84GA5&Cfp0fvfIZ7)a zS*K@+YcyZewbsZXL7}i6tAb0%H+%^(4_-l-_3wBrOQYsv3Yly0qr9WkpM%GqD)6jD?dH61hs=73>`0MY9%fX0%?@;=_IfXk?ryoxX$v=-TnZ1y95Kvn{WIIa-NK!BdlkIB zv(|r&A^#7AX48~o;Ahlgw1Dc8V+J}A-^8slm2Y#q&%6){GmjfizURDqV&yscb?`6a zI#cpsYm65oeysyg#A^I^wSGm1#FTZuo16*u7DvS#%s;!&b`NUu&fSjL%4p?FKLHD4 zqx2q!0VPypapGlwX>vE1lNX+)OdyGwKz8O+6{ksM=1>F_(N_7eEO1gp`r>3G=j)?C z#ow>zTs7Z0P7+Gzn_*Ot1BsHK>R{2byA1~1?(}f3iG9-Uv3`z1d_kJU!OK2kq9YcC zhj34$+qpz9wr64g1viI>=XL6r3ekQ=7Eiyqkx|hH)#Tr~6a`3IskfKc`#Juc1BC}dN+l1y#rI|Q1upc5-&AT@zF*|ma35szdOn(W z6t0#gP*Gqm+o3m2f&T)olsab~N`pC5xncQm6cN{w@}KUwNIA_W(e2IGmG&u?uLp8G z_IY)Uw3u_c>6_U^G@JT~RLp)pShNge@Xr_b;36&}sL~A2w(_W<9Bpo`IVICrLH99r z@)(yA_k4_}@d%Gpn|rBL?2^HCkO9!uyRcKSrfd0f5$)4l5D&%--%_F;bTCf&XgH5@ z9N2Im4wY5|pZv46Yb&VujA|FfUzbr|mmBN{@_lnhBQ<(7Z)CzzR2sd2U0quiz?%~h zjJg-{D;tLxYBy?nPB1n4KxG9MU>NO9ciS<7k_beVm{0{Qq|YNmiYNx)?%+J#;mP63Xeze z-~uWRfge**_fWSJMkiYz&WM-mLgUlSL`k6j=3Yb53Wib$Ty0;R^4c?8m$WxWp$U0| zEW|8-ax3HOc7ebQeXpbTZQ&QWR;G}05zYAMOSyWdjt_2a*OMx28n+TmFd4~H+Ev@ zxtv(itA2jEn&_;<1GJdkB{yyCvD=!|xgQwfWAia7uhKG)X@WY)5mSd!-}u2SKWt@1 zpn??%apUoUYk{2s(pHqdAcD*~12E+6p%vN#x%53{Gnvz(($AFt9@7gbUYjWq6^0wZ zJYp@FtHg@>_bs@5oFIW< z`t{eR-Z0JciFjJA^(@0zm43VIWmgxfGEP67a!^te!1%0Tb#)BU%$uul@VE+6d~C&EIBFF5Yg5H1)P^9>)bv% z_Xza4H$iL9!}cvrWO0i%={W5~X1vwA2^Lh?R-N(UPz;liXW$nhSOWdE14wTYOc z)D?xa@fh#!^*$lc9~b-6=g_|L5fin2e1KASZ@MR*8D>Df%*1|ugrm(}uz-izK!?kZ z_%3!T471Mn%T_2JNE2oH-yiX5#4B(mM)77N_ej3_lhiM#strS|Uv!ZBX#87C>n(DE zjIZz;Ws9;5>@Gu%(`~J$SHJ{psNp8YmtHeJ;a)_Lbl(3GXYG4P8FJU0-RGJo#+%t1 z){~bCRovg9Yaa+`*xo>DgklFTPb+5ComJ#;CG|)`9dj;!hb7T+#q`LzBg=5Ji&)8X zn4+Y|Ytt?M@gQrMO6sWqxLrWv%z1qdQb5e|Z z;>zP%_i<2M?37_OrP4NIAHR^9YOFvx1?p?=sCP*dblcTpq>j6p9Vc!J;t4pmjd#Y?NN_zJWB%Zc87sVYkRR0SZRD*H^!7?6B1QZ=^ z?-KYD_B&s338leiEpi`&9&-Q99lsE?yZa!!)rEWx&(bG%R-Gtn#udb0JJQJZK(mQP z`mm%|kP#7PBST|_-)uRfkMo}T7`}H^dgNZKN06t|Wd9qF<1+68hcvNh`F}brlURUmLY{-o`5xplM>K z9BXf!1ch=>c`s&L2SDP&1aVPP@cN_{crXTP(gi9{*}kr!GkQ#G_wD0BUk6L=N+?C@@e1A!*#CG@oywy9ia9XfW5+6mYX%>nm9^K^!>N+ zf!2T2mjSP6d#eD6LN9Bz#i|P#KM;vqVrHzC#9!_*Z3Y@DO-aEW&U_lYi+~|_CHCn{ zgyHJbl}xuqrWxO8m9KbJ%=%Q{s)3J z*7cwK+Pzthc&_EjuMFkXzd^kG(-NG&QXc+HG0PeBC2XLSnIrs#kC&Qa5S^hImeC87 zl1Tl$+7fZ_i?!_~sv?7Z*&6;MVOl+1PR+g4AG)2tSm4#@zCO7>XyCfEZB!gPQ_*Ty zJ@M2>{DO0i0XVK46u+~PB7YX}k0zH$y`X%#DBk)=Zt0=*eSWxCzjXYxx+{g^-lGo~ z%K9XrXmH1^sKLOl0^D)MG?WE6eJGNho{2A=f1ESPs|6rMiNy0_hXpIEE-KKg(w~}#6A&B#VULFJncrno^K-$*Q;3C zi)lsnZV82ugO(jh4{|=OB{g8hPeuT(8@pPYUZ_&B++6JBW(IgTDiKX_51=5`Qr)>7 zMvm^8Ry?(rqq=htt6UpK+*ZzQ`C)s%^Mu`!P_D{PD{(Mune6?;AYs27{hX=) zpNv5I+WSr&)7bG*;|&~y@uO~W!w(vGVKY?F6CTk)@8Xfw1)L|26R|7T1=Y{tOvA$} zI8rr_%5$ggQ{&mjA0+~bhzF_S7p^~xG!v@`7LzJB7m?VaIX$QaiD_3?bl8boc17Hq zClnUbcWJSJdCe9f$b|HL(X!)XRiQ(sqLR-){chL)~@RpD287=?%_uq(|N^bKWIw+1F_UGvQ|@9sxq7X!}f8(dQ{|5 zX}-X+OF-j)FQ_oXEP&tHO`(X3=mW-k`V|7*IcbcCg*aI0yuhH4$HA{wWw;g-rd3{U z$y6lUwJvJy+!@d~9qfmTQV*H-O-H6pj0iS=XqjQzCB>MqO^IPXRr_I_!+_(O6+XqP zvgbQ+53J+-SC9ixdcF3bYSIM-?c$zKzF1VdtiU6+3ST0dN%UrU`7o$Up7;qSWg@s@ z%`YH;c(i~y`-OG4oTwLQEZ8GVdBwB~oE7#On5m&;>kSr!{V4Rk=f{MV$}}Ifh52$D zR4~mYS+nE&MLY{bjlh!_9q*j7MkN`J2@g$JzCt3j%1pGu0J<)B&+`~<+j3hD@RSjVdSs}v((-vHv(U0x%z4UqHG81wOZJ@#fwqStjj;sAWI z0<=jo{oFv_JI3G*0Rl=ONz4k9f~pl9pj0VotoZ&k#qN^>U6)cUd=)cWOV;pO63q0M ze9Xk0hc@8e4)ga+R-VEg2K>5aNxX)5E{CfhMF&x^cNCA$c58?cB<|q9JtH2v$SpTe z$?^_6Z8PyNk_T3dD7qcEk1G?%o1_*rXaXNZ@r1vN;n|Y7drge4b3CxAhU2YP_ydwC zd5$i&WWRrOZbiA#o~JLAzRg8j%QY$H`R!PeI>T~#zDR`kKD(4jrNpe0c;&g#62JO7 z)7(+X(PH^+5w9dXYDEP`Wz`*_0d-XDw&DIKwi6^%?mDBds%ATMp~XXnB_PEgSP6@6 zQ;lDdxt%onL3ubGGgcldJB=L+h24BOz7SH$+kE$74Jr$-^oBPL*Zz?%D~s_{kX0YW z0NcR)5zC%|7qs_gRheLfRbP1P^7Os0pkc}}82cXB$sFNVgPl_Q(_S5{7@$0iHv3OP zZ`-BDgq`UucUynk4-yzWw6e%iY5NZ`EKr_eXmoI5j`>pUCls^Xv&|eiB4a0f%i>gV zjQckcC?n=E%xRP?7)Qk~QtL@^Ez*{5UNxX49J6F!`+s;F+Kr$kFTvsFiLrw?oEi{w z*MFZ}D&@ycHJ%VYP!V{rIm5Uo&vKfq6c`NBvWmc>>Ht7@|4BU>A7tK*ikm(m{tMn62OV9u#}3&2%w z>`il%u_{H>PDv~c)XRRC-y+ts?4ci{0O~wk$bAE7InKS1Dfhg-87j?xbEzkO3rdiQ zgex2|ujeN?!xZhgM$>0Rs$8Sb8jkcrJoc;O%?+dvEt3hV#-q@r!Zccu98bym}br1sXHIk7Of|6R7TfMIP!pFN?+pXbkePGpv z%r?zn*&ud=nh_sH!~klqFhg%Qm?-SzJahzNxnpmw=483!5%JVG(#9`9TwTB{^7G!G zEfY8Rl47n>lyz5VBe6YB>CmsJvlP6&re*3sVzf^ZkU`T{M6+^+vk@+gdCqTEQ$yI> z?v^ythD}5<)Zwgorcbu^(dhmKRpG)%-<1gvyCdP#Z}7zd)a4`pRTq1!t;H;?{l( zFXhexxqXbS=AdeB`Z9hGF0T)99DaeaYw(A5jtEvTvkyp8@a39qhFOF~N&C?BAA0hp zzVl7em@Y4vIt`wmmqi0u>5`m1dkNEtTb9YKKB&)anft4M-(TF6X%=yH&shuL_^l_j zaZl`WA)yI2Ul#UBS&b6f2a4gM1WV(SiW$mx7Hcd92*csW-hPdFQ4`3wR&wjAUCAnI zBw10CJ4`tlsXM;LYqq*!9c;S~P*&?RB{2D8)vSPN)>Gg?eJ>KyL(LYruq4|0;CPI{ zU!YqHaDEy0Dbf3Rp-pa%)6mh7Ds#`rG@1g$3vEQ{TNpvkuoEriB z52Mr-xb&0k9>lfh?N-k~Q7HeEH>Y%Sc!$TB{4GW3ZuV2#-{$v(=X$8;XX-uJHaBU; zFBBH_ibMg)?-Hy|$Lm#tYmU_ ziRRcthKL$9L5vx}SAkdIC8KNp*UO&grOyYIAi)5gqa-RR+{F4+aP!>`y~{TI;B-}h z6l6jCw*Ph!u3s8hHka!4WN#sMMWG)+@c`KE-I?Jf&dOM=NmQRY6tF4dK}<4+)wK>` z*F``!be6B0;k2lWq0$>Es3LKK@7cC~L2u)sY_xFv{Z_?1Ge{)u+1DY6HQiU|gG00H zpW_^&lg&v?7*@&35x!W@oK886rbl_iFDVy2UyZ~c{pEe?T8at}*E6%^pkx7lv^~sI z7XA%oM6t_467?Lmguy~PkiS>tQM5AQe6j5CJ{MLvbK;TO*WbyfG=G~5Q``tn#1sIw z<-K3xr??Hhz30KKMikVne5BZlD%rSZWL9sZ1P=}0{~_wD!=n1aZUvQ;4yC(Gy1R#t zkrYH4q`Orbq)U))W@w~KKwzk$K@iEIy99>1$KUted;d8P&kX16bLQ+=YrXHgcE&7> z46wGq#a(gEd8^*A>pBGmifOmUJ|!F-DF)(>?Bb$oj}>3-xKK^=!%WQNmU|fpQnDGk z^BgdSFiOwufl5|pQRN43r~1#&{6n=Ey49cErksX_2^}iUmVUKFl#HKk7 za0Mn8qmymeJUMl~{ocQv-C@!O^h(}TuEu5{0G`nvSzmGyr&L|WGkIQ45}gg!HPYmu zdqe#6^J@UxoQk~52s~MOep1ob5qY78PF#P+!pwo9a@B818Tm>q_Xrntv~|PNTyb#s z-~c~eP?){%;KK+d?~8WMxb317{wmZlbNs))jU1S24YeFHA^8THej}5IMwh{o=ED$xieJ^B$94 zJ~Q7Lin0uy)4u&4axq8?)yX``G9xZ<-7vDdzseNCav$-d%thcOo>%N; zPX2xS2b}F}U5TW@t}oL8>ge|(U(hcX^kl&A zaZFZcjRN7RUs{%S?Fqpnd}k7r_gn^N)7b}BESTlC2k#s@<=g}E%r`jeJEd)m=z&J3+6_m$`3meUG&HRz; z%H8kSNIAk?nvkVz-P;|-AJ9uQM5M{6b&`Vdj`%z*pkDozM8W9uhFh^E3duFL8G{>p zNI@qdcji+GJ;cYmuy3-7H4?9^%-1~{{>lBmZ$|N=_6!SqbKg;a8K?U(eUFZ1A zQN>b5{}plwDccDc7E!rs2MRSj(5cfT3mW!Tp*A6EIXwnyj!V;Bd!jv4>wH0BdGt?` zbtQQ6364&E-C|v&2hh*GDeV#+JswbJLAP=ey% zo32j7_Rmt5jaBk+{AdE2)NI|sf(=l@>D|4P5D;r*v)QAd2r zQww+`Oh4Bh*6;3Le3IDsvXI~__oR4Z4gU`hlQ!m|Kw*1Gq9^<%4IL%y&Yg;0f&pqXWi{s5p+ix^+%N~b@n17X z7{xV;{wKa4Q(rlQd7O^0&^wiYY?Hb1nvX&sJytR=xkMgc!Tqhk z7azoP*X~$-bXqHwenIko1PrR~#=OIER812hy&_B|yz19@4|a)ezmS4fXEAsgh@=?K zT7UY(dVb)H)>CO8Nfs7Fvb{|2iL{czehvodN$*@X5pI?LvX$PujoI`l+((cO!X{ua)N_BjzhYLqSze zw@(;9?&$uH$&oPnh?vcz0tJ?NE+T0g#TRaOV<`GTU2FfEjGo)6T-<#MT_2+J?&Sf9 zY*KKJ8gNz=10*gG<-`B97aCyNom+)PIsj0<0H1clpGb$58(LFm8GkZ80;#9#MhJ>y z2TOy+#1R~Al&a~Ib|9)5^*^n-Qgn|CFOh3nv-HoLxl7`)Em~95o0zjHAL+5vHm5(obJg zFN5h!r*>vF@jq4w2LsJbl#L5#54-6i`5v~OK-%lkmdQ20M?{%4PG|n3sUpBS5ER+B zlIj@RPwuBSxheKJ>&$ddco_s85!@E^NRT{{6-+TJ>=uL*$Hhrq!>_r1_{yr5Z1e2T zcSLk>>~PjA0)=m-#h?J*jT2pRWj|Y|GxLgw)tjz5$(sQz#{J*LYf}h{?G%^m zm(PUA0hAt1bUpBrS3Fu`BUbJpdfDEi$^``t|&+{8<^@zTng? zq8^lU9D{fAV?nNJyw=A&^XQdc`JqSr9LhUknXw4tIEX89YJa(HUh;j+JKmt6^1~g8 zJ8cF+KTxd}!}8!xpzb2Oo>yWKmQOfm&_5;9%Jy!o=R!1gPg;u!(udi*ztN>2xVECL z;oDq!{@vl-l(c5!QfGO3d$`gwV$E6DJZhd&Q;G2rp1wcXbgH-RNJgN7CLDum`>mH; zNSp`StbI@Gz(STth$xWqjZ74y2aFlfwOIB1PQu{iS8%>HOMT`gSwCA=qDp-7#%34Y zSdtQjw~OlA?tl&<=>EOVE(Ar@zEAymqAWMorWS)wa;2a#?%${ENlf1_&fnpi(fH7( zS$?Gf>q)*&J*Pir(Ym&q^D|b}m{S4_S41zoetqe}&BG2P_Y(@Ds+Fpq(N=CRe<3Z% z&?1HWgIUrBi`?1AH(0iReC76F4Or7!(RnFQukFQEt?`$d(h%f@ZYOqKx=9(Mc-K0B zYI;{F0fUp@sb=9~qFi$T1`h?5^Jp%nZ5(pk^HRpyS=$nPao5}rFpcndt5VanMd+G~ z4K^?iO|=LN5Ea%U#-WU_=9Kk|Y!FpwwSGlOtnE~;ediN~OAtM%A}}9kKGAFci=|n>DFC0AT@#x5#EX8w zu}d;`3cHA8xOTNeK}9xaJbk6z^N$brP7wp=&zmet?6N76c3Bz%X;pl3TC*uQ-}7+2 zv2nhFYhtV2yUwWl^OQ793SDDLWl|Ha!TMOXfLtt495+ zLGFpk*)wjUqoJCorZ9kHN_ z52+A`_3`PV`<18c1{E8COn|(&*=M4vK_oza$8*r%W!1UCp8ccvaik*MbDT?0>0!2y zbAij~iD>f^HnkL^mXLU|_XT-1LZ13RP+)ZD=ccQ-Nm8Y8_wkD+{3y6nR7h_z{=9`8 z><)LKL=Wn~SuV#4{%goWUuE69pv%5KiNeBXueJ!%HrKpzoi3fb#75Cs4;xs=(f@sz z`#P1PL@>g=2*^r*tOX7U<=@eyYVDX->v=e7F$4j_UZgbTU>3y}Jvp&z&3=5B`Gs#u z%ghB*0qfNpJEP^rpw7c~PEK~Nyv zbQ$x`hJY1ceNG49WZuj$ps|tGIL;_Pg-2nn>o`(SBa4L4bpi}{8(A?+0XC`kD-srK zJVd~iOK3FtnkTjiymDV-wTd&1lK1|L@v=#BkEYlo3@mcLVAzm0GG>Ah%7E-}(sBY^ zTCK-|I!XLRhx1qEFo~#+M`mgc;J=) z6||L-aJ0L9-}Y4}=uLB~yBpfVB+X#9FdfE5E!>3`l0gvW7OW)_nFzm4hKJ^ieMtX& zJ`9iC)%VrH-RKZ*G_3P6kMr2Mzgv*>V5qVa`=GPo!M9-R|K@M2&q?N-)qr(HM?DMj z)fwnew8BlO%Dm7YJ@lYPe;TXTIx*I>71x#WeY+;GB|vs6d<+Oo?MifP;!F1Dim2@v z)xQn>(OA@`?UV8&MPAqVvB_9ICr&lc86z=uBH`_sJ%Yn84+}=ITViXyhe`tIEK(l) zC6X19cXSpH4xn!k7T+hfNj|zuJSR$}8{c2MLSIvaL0fttYG@Q)TgKA> z@pnG>#pmOC^w6dB5my-;cdXMJYFR1Om7eYipOcBo^NX+NH^}>5J#;^LL($x+Mg8}A zq}Kvx*C(C$Hwh=5D!Z?%DCX-m=e?q$n0m|a`!mQzFg_L&`^fEx8(pq*LW}-M=>+O? zQ&xSC<5}c=Guy1lftai}_HvezBHiG!^loG$4|cyoF2n)?Tv{y`ykl z`xpWCGGVr@NbODmgOznMC(O5vD^)dj`PLj5&WqHq3H*A=GI1_BSa`j3jbV+U$JQt= zALmPu*s@Ny*AfKU6BoL#-~#5GL5gNiEWBCgpQn1O%RlRhll;4>beRK)0iIT=zTVU|gC}t0j}sBhyvTuh#`e^qL+A$L)tyKaqppS3#@q z+Ed@-Tr;&LWVwnTrc2a)b%c5or`%>D5Rx>1ufhzo#qt;tmW%j^)h@E5FwhjXt-xD~^iD!mE$J1>tXA9(i69pZ40D?t0{ zMFztL7jgQF1THDs)*x1Q(zGXr2CJzc4+$eM`Ee}X#Ko=mwhN!*POyTdTpW>Ur@zZ= zl>Y4A=UWu=ID=wU`{z?9pKO#SK0))qK{fNycKDOth7tz#=@ZwVY8NBvS(3rL$s54+ z+|i-*)H->=E18Pp+*22OooDpAU?1(Bl@VR#F#Iujal=`IGujSC_rDE+)YyI_34MfS z(zInktY<{^?6-S9FbLWVDqUG#O)y7;5|4%bz}KABJtki{1qjw{De}LYA&7dv$BatV zf;9Tz(c`AB(D$YvmV8!$5IcEsy4^zWxnPu3xmOfV-BwABsTl2k$j)Ub;bJ#8;(yV3 z@xkQVC+#0zT8$}gA_hG7Uy)n;u~JS$c%v;=hbanO8Vy?MVr*6EV+t3^A+Z`iGo@;V z5Eq13i$`!ftNT~|_Y4m`&o2G6ZW=16Q@KFqlNvc_Ix0U&~ zFDVUmdcL|r>x!nd|M6!lc~O=x@Y~i#u~wJz_t8P?2K_627p5GZafAw#wrEmN|8PQ! zZsmGRrmK$Z2F*X|u$G%1hlgRsm-R+TivBG)(&r?OU;KI?PXkxio*-D4P5AvX+osYX zamiBoyjj!vSueZr3|>d7$l~KLcqDGnipc~NiH*ui197n3KrM#}HxR1& zGcga^BdZ$P1O@2nSW39}E8#3d89H&^LbG;;Y7)$Jt24E43e!hHx)B?qxD!iyPPwxR zot~!5Wf|16f*YL;e5PIIw3p8rH^LC9nw23G#Tjpg_i9rA7Pes~|8@_SNfw49^hw^h z-4+x`Cx0-MC`cp49w0ioTyAm+WIaDg6%r)BS~l~~Yk-kZgTXv}+!ES|#L<0!dq1pw zFm1EEQ1IC)yn*hLOg?=-~ClgLxlj>c@x5hrAp-o7>tmmX=jat)*@Osmo zPB=vG@Yj#EszIy=!<6R6tcjDfM_O4|EOq#qiu3eR0^>^LaM;~95Zl|_G-I~01im%n zvuBTD-j=hv;^q5X5FThI&3d8Aa){RMn~_lGzTo{znb2(!+A>J4!OA`!{=Iu!sLUdR zaLmaU_K-dutb;cXpy+v{dxPSHg^J`lp`y6_2?vHNE79tV+>EN~*0MIClxdfoSuNGG z6uunj9{CyA{2VPO--E}=sz5w>N;HV6+0yN)>M~=B9#|r4xB;;;_C9NhhKsaXi$_xB z;`FJQ!7R?ebA19c+e_#u`Jb*8Pky&LmXoX)je0(bk|GWHgz(hhLXHPP*iP-{UIu?N@GjEDbU~h|EeQ@QaJ^6M$?LFK} zy1((7-NbSTxu=(IFEBO3cJ4nJFw&8Wj6^CK;o%2aT8!<-MKi4GYq9R56bcTD723ts z$Fmwg4d87&hXVtmBdB0=VDj(0TC!Q2$iA!riOu6FC3*|Q!JPb7?Bf7Rtz5nhFZa#o zs>ioqD%Dhs@FuSJl8x|Q3u+m%ncPgMg}`k@_1JSKitRx~-F%2A01{`(*0S!EN(Od( zmh0+m%BTibc#dZhBSnm=yA2Np)iE70iS^X^r+G{bz%h)wv2P~>(^^tyLx;`Fi0jSV zQ-#>mFIvFOAL`9LwPT7PKg@+xqT2;p`f|t*`+ppOu%%r-(TG>8sC;1`Xz6Vbk$U-L z5^ww5|4Cfg)#9>z=R;_YO!ZEsD{LVIo0G%n7)=Oc%KqOZi> z`HW@1*gzf7frCFhew2gjUYe|-%|!*aeic|+#6&;}&Yh)wJ(h-22h_asW8P71d?i4s zfHcE+@UvwQW9G?y94%7v0;V=Gwf*RfS0))0>3iQIl#{L8;yBG>`-kH|HVDU`{S|@u zlYy@>bcYfc!&bNlouQhgrt<`694v{@sg5kWTy@yO&;I0QTJpIQJjTqvwk*Vn!!mcW zR#~lKADFdrzn+)gNK(!3gfv;AMar@eMNEHY_NF6^r=EM6r*J9<{An@#d9CqOkFnG|0{BOXxvt{D%0*gP?d=UC( z9seAa3J(;z5!kSWv_8DZn)?TyVs!?O(f6bbq0CrfW|Cl5$sbT-9yU0NwKVxUtZsJk-$yU5W9aAS}VUNWI~hnr^FxqAKi)9fFN zWu6gkf)-rgb~$gq+a4do;=OJ9BKC!icEDZ%P1WMhIz>Dm_ONPxNrCHKd)mxdI^kCtahR&AMEb9RFrjx4Zax6qQmy9 z>2n_Q^z9QTVK;P>cPwf>sSoTmMJ>s(d*7;}9Es}by63&2VYuFSof&~4I?B3d6BLLR z&I{Q?k5{{+l5Uvnv24?>P9({HB-V7CxmzLq*Ej?nNo$c)`4{pX-&)M?kC)!#d6Kq% zhC&`3#Kbd3t$;0={M1Sz57uiU2A~8O@SrSdIv?_E!(sAysm{DPZZ)u)AxL+hym;!? z+Q0sPH#wZgEAOuw6PEs1j3^M-02$a6;5p>Av%YNkO#P-DL%nanM+RE=mHOp~ed5cM z2o{(c1GSm>MZ`#+FwC)1-L)9fMNFC{ugN31T5ZZV-^<-vm!Rfd43V;`!d9M%xZYL) zOByaR61cVcznyvDcqAU`)2**|mq8cRQ_~A8DA>RqRB+FApel>DJL-~bKKEcs>sd1e zUkX9n36|;aiZ_`|l(I_Oe5$iPoZ_`)xn`F}w=)cX48i$qs89^~o4SscsyPiqX;Xni zloCk{YI$%^PQpyb@~BkP8vm9h5-V?p88?+ z2g(pQT)6D+$<%(sh8t_I2F;OMU>-=Ct&lILq*WcbqFuH)c23qn85hnSo^#CD9}3$H+=`}8YX{8c0B!CWWl>$_ajA#rc5ua?`(T|6IFUW{;7o^+XluqPvfiHjh*T>54khe z`GGgvLhjyG)_Vz4hyaG-3*7ZKu5(sD`MksoqU2i(kXj_nVP~J<%mC}&h`5HM>dt<> zk!Hw`e>POucxez3Gm!zh!H@?_F4(#1;N*c|=B%Y=^n#}9p*(`LR+NdR;FnR9v?8BQ zghL58H@EgJd*6{qlv8}R4VK}PbCl2`sPHDGiOE(H$UcfSLMXMqx{>*qx|PA0d1*mm zrs)0}Yb_sS7Mget3% z%$)|RsAT-@4aodrqgM7w1P-Fi0?r}+3=35XED#a>h{ml97+C7^j)f^S3^kg zvl5B*#n0xw4Qm`bx46s^XL#FN&Gft?mr$yRnj}9>iP6efE&wE&GmCtN|{PWWJ=R!buvA_pYVPDV=5@AAJr4o z5dI4vxvpYJ_0dMTv z)1);hxiKsC0Db7|cu1~+FI>NRk1cvXC+N3dSa1XF?@dZQTWo+Fagp|&N0e$k#(LV^ zN`!+R%A)k8NoR!zt&0NL{xDs?mJ4Cu?4e+No{?NiJ#+H)FW~`^d<}aOoe8ipiKSh~ z9;}g3{4<9gxr_TV14;5A${sI1?lK)}n5$^aH4j1{aysm@0rlrnNS6hJz9xfUmIC!7 zFyd$kJ}YdBg*s&R48HnpLH8wU^x~D}3uqnQgratd)CnGXVgPAC%Jk2>kXo%L{)TZ8tIrI;LE809xf|MyS~U@|n<9mG4^a2Jyy;rXk{=#r z6%cN>D>s!BhRVMMD%7#T0^52{)D`-gWM2B;$_3AoaT-D5gG1B@VZJ%2s_e>Gll`J7 zD*PK80!6R)M9{1eA0y(V;!k|qPl`naO2kOAAy^g%|)B4D={z+$Z_mpb?J&yX-NTAnnP{V5{PcRKV>@Kj{Yb zJyj~Y+T+`?QlS@rh|k-mLK6P6-CxJ+p!pOvxYy=(9$ld^%$v@E*Q_HC}J zdU)bNFIFfhgzP7q*dNwDg4G_W(%;>R=pv76@o;@(4~lgj_Y&wmENAhjrlpQUdbtmwT5Aa4FKR>c5$-$Mv2I)De5Pn4pkrE z{e830h=-cdBHcyqM7FX1OhjrEeb!a7%NK%qWem|YgsH4YNIS;M_q%|XyWf^&JWRNV zUliQf9X>l@nr6gVl4pg~pEY4h`)!evGFg1#dDd2gKL#o2>nNXdnhn|Ns-tuJqtP18 zTEk2M{h0RwWpjJ$x+(PpCU%>FTKL* zG9gegJua0kwuzIq0|gFtIQG;wf;x7-#tRfNCzS#b#om|`v{S!^7(M=sSyVV9p~>hQ zpP!}D0}O7mp8zf%+9m7ZgkK`&qVCbP6l|8Y$n{uYc6gs(NyY9b`QJ>c5Y_qKa0BG= zVlpt(^MUYEuS-s#Os_*|7^%P(lxBwy7{NgjpihZaFktu^JQ9N_S~EZeWtG z-_LO=ft7`4)Xsm`c-VY!<^uV&I<gB7NPlj?n!hko)5Ig?+uw!^|y)W2dYT(3_A*t6U={ znm#VBe4Fb&KfC_)oV62-;h9#Ng86FZk$i4W3Z~gdBZOn;D)3WOAkZ1d-sYjr%iD>s6wTYrBwDx71>wdA-`O?ob%e9%}&a`(qFd2|@c zlWD@vZhvE}NrHow1>Q2=s^IF+&sgAu$R*Fy7mwh+3*F~uUR=}1DbS+ui;#4&`$bL} z%Yv0b!=5=f(bBalaJbH;MH`#5FFOL3w;jqRtz0`~%v>o1K7Px1?yobKxJ?|Wk3%r` z)%!HP=kn7_K-b9EqF5LJfYbpOWvo~D2wvK#_+pN-eUJTOze%pTJN$3{CZwRjN)vl7 z(L{mr%}bYE`UP8u{IolD^xmzjONPb!C82PEg+BIk~_eszq26O!) zMgaEUI;UgoXOE=p&hJfkNR(no;4&6#;@^U(R}7A#w0{P_=x-wI7u61+679s~Bc#Y1 zV$x~Xeb0vcroB;jZVV!R&^cr5^Y?`?Owu-nd+(V*(f)f&Sw+}xNl4P;feLxBsAsjn zg$C=9Il$D4eWm(ix7+cUMe~>ttdsbse*rIlR)6&K%%6>L=^5c{XvT6{|942ogM-~! z4vXK)2*g5viFkEbF7{@qXnr+N&f8o1#rrBn5! zdpiZ*CraleG5ux;uDh<2=BWMmvGc#yCz>%q5UIQ(-<+fy2M``zwHj+BdP>A^qL&FZ z&WZxJNi}DtKRywQ`DaC06X_iY|59pVsQzXbB-gdC2KMXRNDi?IQ7O3i3Oj ztYGqVl85_)4N^VFbsAj5#>$gOre9L=sU#2oR!KFZH9U$I7lGSSv0m|FK|wS5K8|O# z)KA7KvL-6|M=Xh{#@#rR8#)Tizx7roArH*A$KAsFb;Vfp$znRfw(whLgBlv&(aE;X z(Q@Zjz4`#|%OHrNBA-)M-FDGlS>spmcy1qczi_8Lw8BI#LDQgTpZ%0I5kHYGE;5u< zU`g{G_k@tLIOl57=P%LRA{0TdK6QzZlizu8#|K!_l)615%zBzkA)cUBMCrR6jy4l# zwFwUM`djAz+~D>V$+Ob|(P<>7TI(*$jP#%E#kEHqrBEOUBF#Q){_+bR`HiFdkIGa3 z=gx=PVYk<|1oOw3Nd`*wZm=?)j`Y&0h^zap1*{o!OK(WTqcY5bxa~@^2eaC2SXl(R z2ikD3D}Lp8$pKVbrkH|Xvx{Uy&5vC?3R^i+QV7>^$$-AP@M}EDEU%gNbQI6E5l_*V z`carzteNsbNgyg5m{RP0gHY`T!f?vmTVI%xtWgz&HoS^^!N4Vz3e_^CBM0dX#Le0{ z#1$dNzvjfGx^39ebSA)h$%KR1(gq}W8iHOYBJrB-$FZqil(20KOco8dxP3=UD5X}D z+VT>nE>}(iPU+)wrmQNs(g@E;3lvEGzu&E`dMh0H5Lgw_S_d)YSz;&3Q#Tl!~8 zuOpwn;o2CeefE1WiO>1P?dr$oR5#0CKZ1(BQ1p?D%m}|mRy|T-QvJkK^D>;N+9SMx zBr^!*)H*Zb(6xr_RBP$Qd?qarE+Te%_UQUCqIFL>HbiDxO6fTnQKPF6^7VR_uz*Z< z?8fr-^J$TFzDum3xSYW<9S4bHxu<(d^=I(ziB&ZGM-0&f{oy;HEm(}T33B%VxNecy;#geP~Rd#{yg^* z04#G-+~=>!pYSPgn?!Hj>9@EMTVt-={3pZ`)k_imTmOj0BH}NQfwu=V_3SlcB&f|_ zgFot?@B)}-{*<(axiaCIY%HP+V&-D%n-8lqV!xVtObntc z)k-dGn-1S2mapW=A2Vw<_vU5_BdG9Q651F0Cl%d^V#tA6g>2=?+t5{-_y<$uXo&2z z)D|HhGh`!MWt&^T`BOCFqU>~n1w>WmhUuSERV#5JE690^(SN~yi=pUv7i&Dzg<5vn zCT$}C7WBBq_>(t)vP{XBv(-|>5BR93*x?oiUzJ7gzYIH&b$?I~q7Uq*XmYzP_KdrX zrra%w1yJgx8#c7yrq$Y3S+`Aex(p&EBZ-9ak^4nzl4{5)F+}=^UTe*5B=Rs!eC>O~ z==V>pk`080#l!q}IuYWp#gmgGmuUyB|A|H7oSt^I7}6EKYAK{_BrX*>y~c0)O$r4; z3xpn68J)^H3um5!=J(JNoP+`=H39Py-3vYasjh@XepPr-xy_Pni*~8d<{p(k(Vxtr z3W$%9A$(S?yCjR1Mz|UxU(Rf-Am$>o;Z=q|#!=4Pra#bSs@k}>5xs9=)t3@&paSSgjC2A;z$)UAcf!yiMaQKyJXNT^32( zaxkh!;a2u%%P0zvZn6)Qtn#=FhIzBL0OYg?T z`dwGvg=T5E!$Fo2xt!wV>f{KEFCuN5jw=7B+Yy;92nU@U+Dq%(4h-I$JprYrgz^W}P+UJ`}4ui6nsXX^30iDM3u$AVgFx+ofQBu&MKwcL>g zc|_Vi^E6pKacgcRQXJ2YcdQRncIV09m(mOcS8UZGd7rG*>QT8GNY6paaaGdPJ8SG? z3ep!s)y*eefqm>IzsN(Fp&+KE;pgB>9<|5;_yLzsaiIh~at?yV&b4=Wasz2g4Sb*{U)b`Am-6Eo7d5Ny zN^6O@q`uFx_(ddE_MMt8ukMUYD{aehAlrRRq4__ukN3>7{fCZpJ#Q;C45VQZ6A7$HiqoEqgnPwk+8$Xmoa##5RQ| zXyi>l)XBUTJC-X5k;1Ro^b2{?M0bqsPo)YsF+Wq3<6r+87G3K<^H2f7R@Ky=c;Aye z+5SoYpBQ#yoE3aq+Eog$EZ0U`BN9KZ-M-w~_?YsLw0)|u+U&PqY}Bh)_ezv)Ou_xs zBq{@qXbxH`{QzrEI}TXbZ_t7_33fhwjP>CK?cP&W5=3OF_XUCN?xD#>@I@tHQ{8Db zKNBdVMbSz9QjtkbF(-{{M^TO)cLIi6e%bk8XV^?M|7b06UwMkMzMk#wlH51ParAz(w5f<45bMp>d)20ucxy^R;pQCq<6Vh{4NKKJX`M!D0cqC z{id2db8}U#MYB|(ySVll%+6Oo?rLFxeYgqXg?W=j#5tG9B%NkwD1SWoN#F7m4Qt;x z*@I)!Gimhlx#P87@*S((9Ik4)*!jb+f3Uu;zase`tZ)0jus#t-wDbBNyL5M*iz2B% z{t#cR?0F=dX$s0#$-O+K=q{z4N9gE_6aMg8?mqCH{9l z5paIb3w;U;mo>vc_E*M-9SMd-T()l;@PNdODcQ4q^hAh%wHpc;5mjk+R3e?!zq}*& zg`=cMt_a87O5ls`c0(BAyp^q!U%&y3+wF6%lYDM37f*yUaG(!3ah2Lq_>sHa>@ff# z`ixwT?%*dC5En87`Ezi?R|dAXUsbCiIQ>$-%M+AIlf_{!GT-f6?mZ%l#qC@H_y@^- z3j{3_&HU|!d?+yxq=1N+6j<`9m@Tdp?FS-TuFjX*4?E!4?`Pu|t59AY?6SRvx7xZ% zRjg(iHeKxIaV*u?Y@B~-5UJifgX9_e(`q*uw%|i+Qw&1#H?u>TQfC=*A+PLPOkYC> zE=cRwCKQ4hW7^AV1rnb!4`>wJfek&#c<* z#=mIv9wmU-x-|W^2LRRaRNUyLjR4!Bj9;YvoDfYY2r`~tn#0ifo#V!kmUr9=(Of(} znOa)#j$f1ofBu~9j{)WFqzbgc{@~AaK2HN_jP~r@n!~D1go=>`k4gBzn?;jZ;oB zGz`VqKhV0ws{YH%j^}h(vGwctUVT|2VJIxy) z2ZQfejEhpGHFoE#?pm{zKKf{f&UI&PaOa=-nG}kK@6{yW&!c0?w#G~mltp;a&YEj6 zRpaR{-2Mjc$p5=rE5gj5e%4%igb|2cRXnUu&Xj52d%b67DS0dBRdTux=Ech=m`B&S z7c|`ADM9XEi~?TmvO;&2KT+r0W5KhE`XP1wzGJ`N9bX4V3m{zXuT23TtN#M<}+c#$@-rxaBCSG_kLN1`!cmAgP zyP-;=O&kp#+eI2H-Wf%pTC@>Cy-88V>KYJK>TVuzcUj|6DgDX6`*xYNIYqecMblI#d2Gs>Mt7EH=OKIL3Wo2z99nT&%hC8~$>ml`)YAdhiJC zr#dwc%lo;BcZ>HsafHS!;0KdX#<;rPU704ST2AI6VsFsp`?KZw>4Qhx z=>1@Bh3dWYeS+R9=D)VVc&D;+)uAG3qb^}_;pNSO@aer7z=ZQWG zC5MtDox%b$5+yO#(&f8)xtJc>PUTf`yIY6E9oFxi0eoWCXA~&URs7co)^+L$u^17{ z+@Tm8%HtKEH5o>Z{v%5&Ml3|X2ds0{zbgTMd0=hlgadmPRE~eiJ+XJ#P4j12jP$@_ z07fa3Q-u!z_B#39oQ*{;HI4E_>sVIp+qLR zMrIET#fsDa9g5<)@CW^xRR@})&j2p7HO{M-(wjCvG@Y{*nqyt5(Kqp>z7uWSmR8v3 zuhXmI&$`E>ZKY^NA+vWrXQElSoishf_zJ4jq=EV zaKEjRq`=uAP#HxAkcq0s4^XJ)v*kD9mH%JY z=5iYo%Gm$BUx*wZVSPUTQq-wBMWyd*z61FbAO)lwsHL#7A%o*Q`(G|TH7nNMn!7Gm zeR`_6HQo=RH+y`<(_SzGbEpm^WL7HD2B@dh#kyZE^!WY0gJlnnfOe$&#=Xw3D3JD~ zHSc5uxLuWeS4?xFL_PW32n=qDm+NF2#npn???gbGlWXFWYRvM&xy@CNR-wR>-lc_* zc{yK}3Oy9y+H}~UC^2=qRbn%krDh#mRHitsFUi<)Y(;88Hkz=}bR1oA+DRrmGWM40 zDuHS)<&RoSq6QNISl97*Q$5r4CEG@S4pkDmgbcyACObG|U?UC2m7O7hN=UP=Q?MqW zLrqr*Jz`B(f*Nli-+{fAetHSdx^eo+Lo2@dzO`_t1TeNsVvTC&L8%#|Fu*9fW;9us z2_+kRWBoIczp#f)mRB`d4JKeFYpK{~#v8~Y0iM$OP1kiK6CDqoXl(fhQSFw2Fx;|; zMw~RR6&ON)wtzI}g!>)~zPP0F6j}LrZbC znD)51%&F1gJp7*BJo5Vlz<~q)<60hewqJFd6vjENV{H@=(>66|?_|*K{g0CbYaYt8 zaWK|+3QoB3=XL+Y9ZS$>tm+SqOj8p>tt>8oqeom>tOiLqm({2K86MCOz8G4{uc^3sb=oj$2JL7eh5+J z#R13c-eDBBMsa#G_>Ii<&T8hMd!M8SCgeM>Bz4F38b^9Qh|enAmB44^5rUo6sU-#= zVeO?5d5bCy3_0|j+=*lHGwQZ!fdJ2sM1c1F?(do>&8UfH7`=CSP+KZjM?1W>BmC;? zun*^M^WX>#BN{+SY`IO|r06?O*EZkr5@R{UQNc{ASzO2}Kb#WQPc8uOi`!c5ySXwiROKTckLB9I&2Hy?cpndf$~Fq{>`I6W44)E4%q2+(ew% zLiF3hIdALd(ma>DEIHv)WMT68B0JL;ZCQXmc%H`zI{M%13}qj^Oq5dZJ5*0#vw~Ws zpUYxX`G=OSG;i?~PQ+Dom0Q8tY9)%-pJ+%shH)p^w*+g{NV8{J4j1LC_r3Y^nX!TL zST9sxKBT4}l~)I$YJ;QfzuT9IfcO*qKXp2KC|if=JSzrIPqe!t99MG_iApEUWTm{P zapF%AY_QY7xXp@5aykIa0HEaBIEj>&z@M|N{<}uK$LI){^T5FDMBpUn7LcZ5Rt*vS z`5z?KDCjmiSSoFeu+vOzB+Kuq15!DLuX^@N_#2XbXZZqI9{}ySfq8>&b+8$q*nqkF zuIOFXa@4vNPkXb7e*VM58UP+1*Xe-60tHJV@eOiG*{I|S7|OoOOZV6TcnPTu=+Db` zroK;bpnE6kd1l7Bz3VkJ%K)C$tf$L(@-h)2&US3dL6QKi61scsMkRwWAiOx|OR$+U z7PU_!(ANv48RxGafDGy^4_$q#UTVAS&S8i+` zf6W=bVGPCSS*hAn$0My(rzq?TYX5)s6J0M9)B7K-t&0e z?y&6&WUreZeVl^aOtnQ|_s%8d1(SYXfGXEPBt6By+&1^PTG4XY@xRv@^MVw~H-mPK zW8cEIka*A1Y}kEV#I>)J2;GzT`beqf-j*s2`;*xZ3z5_76)??Nhd-p$Jlkh_6l3h2 z{#yT-PcuIkIg+RBc|v2}iB@E1*vB5y_Ftsq9~#2k{l-vmAme`t?T&dY|1^^qxhd_V z&1T&7iR7>&vHMfMhgH9DjLZL_>AmCGe82y3YE`XH)GTdjt5)q@qqJ2NZK*w~s99o5 zLa9-+)!MU)dRehoB#6DYSV_&;AxI<<`FekTkKaG{aE024C~TSdVi)=s{j1Y)-4TiFkFV#dT;X&wFIBlTdCy@p!WVZD~J$t9w`Kw zz85Y0Xc|Y%W|4Zf(W{(cc9U9~a@*BnrawORmiK=b(5sdtM@+*0M_;RD_|p2_NNCDH zUX5X~eD0h#tTH7?k!Vy3&s0sHV0e?2=9g;#OU?k+aEuQ5zsJ0u>6d+HP+FdHK@wik z_#dD(zW}rq z{aZ)P7!iU|ecQrWSakXE|q02=U`lN4^E=MRlB2HamDn;HCbHDW{pMZ<4>!$-b>GdHkq?%5%M@Lg)g-KWdJ9J6Zz0albTM?R<)z zpT{6khyU%!hGv~Gv^L`cZKN}DHovWm8CLHkH)dbIX#(6gx;)Ai=`g+Gr|~T$J_lv1 zEsHILREqGRo`DCiLSJqW*Oy9v2GV`)UOy{~{fKxBE~=w-ZBh_2OU}l;-aF|3_kkyF z@cGEMo0C;H*udleF8%J*nL0h(jdUiahaS613)J%!0{-bm_yPXA?W zwMtFw48AAyK$m|Ckf)@?YZ|ipP@v9A?pV_FRrAyp1Nl{l94u?>e?PQe_jLEg#qQ9P z8rXfMzgTz;*+tsCwY}0UeR1T#Gn*#>!|8*@|BYXIlY3wE#EaZik2-c!Qsk<9)XXwb zw7)Oh>nbua=jxsF3USd0@ak^@{MxOkitWUuPV2}@)E8`BSN4_u(O7R148J*MSW=xF zuk!GEn|5zMft*3dL~6{;=7h*Yk64%L|Je^NjD-Ig zW8~QH6RGJ)b!&G;Us-fMYzQwTRbdqL!PW71ka&XE0r$CYX}}QrR6WDR=GhOp(OwJK zgnC>d+jD9@k>SiVvnln6yt>Y-`6-XdRFWLR7F+*ll-$%uO zkjg}JT$JV4{gzLC_R=OFnKEw$IQh3z995|gYw11-)sH6pL@$Aw5}grWU)8>gfzD&< zBM%hUuIM0I8wR{TzH`t>YzmyYn`|EZ;%!F1egDM8HfZimdRJ(Aly+J{@hiitjwJ8R zStemNH^?t;c>b8})4rtWi-r*JSHM_K^DPl@zC16?8`BGLKehY+Qn$WP;$9h!aH-+0 z67t!w=C2{g)9A>AmY*B2u5R&YU#ne*9PrCML^XSLQ?yHB@fg}D71KD1(k}c;6tKb> zcuWxeuK?Yy0ByA-?kRZ{H)(`hG)G^I5yVDWHiwujIvbAQra&7e$0%x_+9#QB3~7$# zN6S+->uNNJdk0M?|3sz+D0k;F7DnL(H(8e?S$4*2A7K1z7_r;_w%7=mO_||BA zK1ALby#$A9ZGZwT^?`u$sq|N_oFgh*XPzOkA;+nMJhPA|p`hJo-p{yw-sWjg_Cpg- zL~jxXT{lQFQw>Db`YVCmgEFNa2p_F~ABlQnk2*NUSOdA(h?cxi4mxmI(cr>QC`W{> zYHj^h4iO#Jym9ZvAi=1GP4PYn9#ope6d{=p6`|E2+$Xzs+a`Aj^+ZP-xXb=BAoWK2 z~`xF~mR!+=DUDy`+?6#zIeCaMS6zYniYUOj(+OGT$>9GUTJU&<7W`Z9bK zubf+Cp<~|`?|)6{K7BFDhwXD)l1rsXrtNjy6RpHMN)cbXi-rwv&S0W%&Nx0FLI1sH z-1=}C;La`opymze(XR}wFt8=Q$m+QpD)@u6goi{vL|wNYWk=TM(=EViziSI~87cgJ zKbK*HaMN)4metLw!H4ArF&lFBL8-+!#*10h|E;|S{ZYNf3mc(7R?&RXifj~1omxvK zUet5>U7XFHb6-?9hn&5f_%}gax1nr7*n4$og;0>h0l4Xt#Z4V?sa&}MKE1l6n;CS0 zQ{A$jrufI--mzJS4*o*S*KS_jj^!HU8gzR<>tqyfzekYE3UNEQf;ad(wUUYnm=@o* ztsnK7!YxVw9{-AhKf}HFO_co#6RTIf$Q~B0<=@I=uI+1YpCy*0t4BL@P@HCnSAvbg z(I?5S%jJyBS2ERAC;UV&f_()0fI(ZYnT=&y+g9f#+FI3}GqW1i zsxh0Ca$|pnHz_UxYlJ?=BccP*Wx6927PpQz3~G+|(iu!UOmIoa;6*=Jbsrybf+|=z z%J+s0Dq7Y-QAW2OUfgtW$`-d+y)|XD^~7H~%aQ%!XR%pzXq_&Xt@!`{xG=uaG6k zc}b*e$oh)%zS^6SJgp*h@f&WV=jdVa2l58IefK&zkW?A#2uQl$bWL2jo(M06aSpMy2%1( zDWSRR^wOLGGep;$1PPuRzC@K075Iw|W#2`?o4*U>t!g9K=q8&!3+@hHW>MN7z2~)@ zdho8}IMZfP0mHA=RB_JwRN=(HGl#%ehnF{wSlOF~a>dhnI18`=TQD z1VPunc9ZFA*plw>`Ui1r5(MsX>HTq8r0*(#T-Lmcf4iagMwc2+c1Mgh-XKCQFCFW+`Rk!XSZtGVm1(O0xlv_ z)Wl2njmyk+Sfm3f@Y};im^ntn#Jn5h+>0TW-G&NVF#f1*uzfCZM898uXcpOIG)(>$ z`KkAwM!41mrfD=z0zs-iMteijPgC_sPdp&;me_29&0=rhx zA*Y`7iv3~(w)Xw}&%=cD0jJQr7m@Cz-k8XTqCcN$~nuh&LJ7)!S;8W~YQMyM1$(Km09*<1*bx z`JN9_eXG@9x|or+4Emf3yEj?pEQJ4NFRj6Q?iAg4W`yZ59fKJL9#~0i4OxI*rt2SL zsMmxswwf;Og(bMbu|~cDa08NQG}rzNvMTuLJl2DyMQt7G{6lv0B@;i&deF3OM6NCO zWAHMuO$*u!uKaw{M`@*PfKuGQB;VH-kQ`DncLeH5@D?=HFngbA_-IGB@GCrsN5lGZ zvW@c;R{g;!CE_f^@-!Vm%dxhsLt990co0R6aF8&XDx(ZhjUF5MeTDxOEdrEpvT1Z% z?JYd6gfo9`tKw$D&vAUvy6+cP3A#loj-2OODqu2BRtfU%keQ;LqGct43 zf6Pm5-Z;5??|QDDnk`{)hXRSkY3%y_M)Kp6W1G9x8|wZHP9aP!lWhH6NaYXgh33BB zYbvvTdalj1)Nj3>Kjy9RUC-x1CE-)r;b87_UaTC{KjTlZy%Rm)G;xbz!Cgf3c(R^; zfTc~dMC@sOWuR}xj`x7fX<4eNF*dL&xNcDN2smkmZu+#~pQUW`2m`)na$}D3^S&t6 zOT-qguJHGaJ8f&x?Q{?KZtuWHT6hKG9CI|eEo+j?UlFfrnX65Q3@!ca?(N&xWZGwt)B7V$OVijRgZE ze>o*nStg$$CeET8B6{f>j-3;k-z?Dk2}^Z_oGE`+rJAS!J14fl1`3g1C7(SNk>a@F z#3?n!-~L)YjPvlveMp@2S-|ZPGJ6oa)u8-(cc_|FqsrPc)!h*y36qR$T6!c3=%M_& z&U}hV(b4P`xWjSae%4}s)W>xnmfvb%cpx0lbkZ>+w@VK9q(fM79jMPh#ORg~Y^~i8 zka7gU*k@(#y%c2g%V5fVLr86d;7t{)HCpYg_O0Rw;K*9Hln-k#@IA=8Vmd`-vA2Qf z%4wuZ@cEQJYIKwM-1(Rb3e0E}x+=f@9?9fEKYg#rEG8+C7j7%KTqJ|H0^Ynm>=z)o zSEBN6@N((qf3Wxvz8E8SFTPJCVK)2?3#*eU01Tn$kJAH`nRc!gn2*#^qT?KJUZNf&c58;{;_LL!R*@~f5%6j%lxbgEH2}n)_~f|Ut^>y+8Ca8O89gZx4*k(pG+2d zA-1?IfVB_bmr~*niLeVSjwTHibh6wevCj0oL+$8DeXufVY`vhOr(+kZ*k2=Bt@f`8 z93S{!$z6ST8hvEtU{wtayZC`@jT{34T|u9KZ1uz?ha)JfmLl&!thWTjKP5WB z+vbj_)*BVECAU|CcBs*#bA~{(awQYx=an}OLF1dB%r4vigAZzRCo>I^#o8gyy6o|c0dobx3 zxD`^>!sZ`&syM)YOjvGIm<5%M&TJjA-sL_hE!CLB&p6(@8cBEC2EG3dy>pbo$E2BV zn|6iKFI%U=Q+ru}$^B~Y-fMX!Ya63c)|>`y{LiOtIZCaL;ymZQ;-P=WU&RAM&DVlk z+r(|j^rHH!w_K&`n{TgoSF-!Ya-G)~WkXJ;dIIW(Gm_{!;M7N=YYvMStX)9`+9i!t z8vf&!*M?mIq*cAljg(Q-hf5mbFm8B8p9JDhR_tj5rd8Hc&vFr8*CYgNwHxDLnsEH& zXs`{Y^!&+n#Yv$V`;&o<^lMJ@EZd+rF9Q=x5FwQ{?=COD9P{~`@UGg2!SNj`fODcn z;wgL_c!`wHdK*0Sav@5i;v|o9m<95f1v$PT2HsjZu~Yhd(jd04;c%G#C<=dQEN}Q^ z!O=WuF<+n8)V2wVS83zAuGG_NCOBt9qUpQAQT}j&5?pn37`jdhl3|ZJ!y6slKWLF$ zW{1hjKzY)DTBzXsBBqUBK$;S zd z-$?(|q|6i>IJ-B-*O$oh^ShEm3BAFLBiM5v2Hd5d8;3UmnWi7a z>+Sz(QfOLYdv0`)DGc{G{5xnDhRzkM6Vd8DJq6PKvDHuNT<0BQ5WQE?_DLQ7))+-z zk4lhzypIa2E6Qm%R3y~VsULd~O+SYQqzJ!1S)fS6Q&3Zs-PfY`T{{B!&?2vR)Vf8)ebQxx$&1@9GY5KWrJV8)H~ zTKSQ$2$RwLNtL*lp(S~+-Z*eR@NEw-BQHm@CeKHfB5-7AERKQbS$X^-{|G?Rs>DvXu1#p7)*mbf*Js~>7vcGV4N+&kGGN<(R?T{bmzMl|91KpKKQ({j7tdf#uVgkG zA|RVBH?wD}TQ6;p*@g3z`FoD8at=J|Js08b2PssifBJ*wD zjnnKiCcJWocQiR@2aSL!(AnC^lgz>bVG6+@$)qe#l_3_wODdXvf8}SH;O@cfo$~I zoydaVgy=F)Oa{z|jRaaaUXtkh76Mc)EYIjk2k%eK9G{Z~sK^jkN>Vb{YA1;JVG^9P4VPnhKoLd$*My_Auz z+>#5-DYTDKk*J)_FWl*9N)=C;HU1Rs6?1PB>?&?64UNlo%wmc1HkJ<*=n$}K_rH$r z;NP#}Qu^11T%%pk9nsC5vE^cBY#qsIafblXq5CFTUTD%&YhR_uZ9S6#FP1-*^eeW!NWi4G}qhsz`>27`pM-wpH~%<-goKSxVOt;*!ji_aP`#zVT}yjA@%i{C9Oi z_TM9$d+QO6wHm_g^t*c|VZj;UYL!o^QLjJpc_4G6mWlli^j3{m+f?HnSwn)X8B5ha z!`EW>ijUFqLHRjQ{*o>6j_Gx)Y(Z7cQ{#~~Bw$1NS&;FD&|@##Wz>3j@1C&3w$xQ; zLTo}L61bv&LYIIL+fm%4bTw^5U`WB1Pg$?4!GmBs!o`u0l*<%oZkHN6eS&}4&h}na z$3n$_A>vkl+_Ddij)r=NxX_R6gL9SMzpgiR{D9qRtI;{3kG_{XLIDnRAZ(Q!O-Xu{RP;T3Z7 z?NQvy7*nRU!l+(MR-;T~Me|hjyyLfT)_3auG4PjPLS+=0TBrRAQ&qn_xk_V6YFn|; z%N60cs&Oo4Y>POz9N6LpFv&wfMe`1B-(mK0Ib?%%| zJa!g|pPIDZ9i8i3=%KMiGS-CJwy*nR)B1R4egyjxbC^@E0lBx! zLnkWTUpYXr8|>g2Qp)JPqYtgCnMuuEPo=mKPlK~ z62wvAs2u+pGM>f+*F&?q-t6rZ5tMl&NNhcgA;V&lwSlyI*ZQVFnGWjeq`9X7VF!q4 ze8nJ3J=Y>Tw5s7O7Nef3I({m+a>*iFIzHtYJ7j6S%XD#-`yT6o|7}Nl{%!fL^~$SB zp^tr#0Ep&n^)U5Dn_WbIkF?MYz>hzEb1_te09{UkR8)npSrBDqhGXYZm6-LcRLejX zyR{jrQrRfFl0_0H5h_4bXo$WTA)^`UZPJVmunQmdZ@u6XM9UdcXySTxR!3vJ;P{pW zr<%jVY<7Q3Jwq$eZ4IGeT)oOaC5w^fuDC$f7L?2W;Wqafrn?Gy{?r2a1{BIFK+X!} zk95v!Ppa#=W>yFHRR_!8f+f*sU`IPu6jil(??ovn<>5uuAGBz(Zu`{9dQ1M^enN{o zpigi3`g5c@qd0>9kj;KmejKT?>B*JjoMyO?JbF1=}}|T z$wpm^U}5=J%6)3%xbEGG`;+ILJ=f)NM$C;K5%!}MPX$6f@f*3a;DC%H6Zp)NqwC|> z0@`uS*X=AVh;mtg2PNL^pv&k%iA@^!{t<)H3K83#5cG<|;4(`*2X5p0Mfia1a-Gl@=eAZGk$iPNH+j*=uAP2c-nQ5zli zCn6l~^>?)tIgS%V=6(rhCz#JBG=EKdsl!hW)8Rvcgb~##k?hAQ71Zs7xYait>4Nq=KEWLnFwvvZpHAdH+c{4_hpmT`r{{?8|w(ID_rYW2DE?Dv3S9( zDZ9)YXl1>>$h9NL%jn?C=Zf`8i}!ouj(ft7C64f>KuN2e#k<3x8^f`P5tpp?k=hs0 zI2QX((W3vVc7pBn2bptL&d#J}X&(2V3h%0VTF(fyOF(V}I-Yl12qkgkeqkrujmYzN zhD1lk9YY5vCj4kNune@?A;Aq>c3fDm)$N8aRUMTn6<*wa%7morjTzPvPuw-qN4MnN zE&YDYb{9X0NX8nocS~o9m$SyXDF}EXAB_K!yLkgU_vM%$-&$bZD~n;tNec3_CaW(1 zVE(~1_0Nr}tN+gZ(Y`IasnYZ>$8ra}LFEzn!#u-NK=~&3q=_!-hPitHF%ausRitEi z{50p?j8>h8)KLA^=h*x88*6P7?BP|`!X*S-U8e-P-I{wdjIZu5iVuU(?08;4qQEkV zKuyM|s=a3ar;)27(yg20S*uhMcK~;6xk)J|N&HRSD|FZRNoVf+<$SQyGNQnZGxht> z2Bq;3)%HxIeWALVD!<8henBM*OuRVp!5-eKYD+SdPf^Hj;(~B zI#^u-ekt9X%=d5@QWIwDL66#R159V1&E{H5Bna2hjLScA8wE(*-T$hr?A9impsOc% zVoa6rcrPZeG5ePoYHuYeZ9Dt2z^a$$;m;z3xjtP`a{cSI0>hme@a4_aDT{}Z2{M&& z+b#Z=gX|>^wPuZtIOX=DRXK1`ixpKp>ziZDm!iK9JD8(LyU z(fqbXjr~2-t%-1sEYF{V&TC1=f}?^B@W--2h1nXf!R6!jp}c@V%+-@soz;JcV(mb( zr6ovWl!J9a!g~D{*)?Q#_L0LY#6r9ES&#%0`zH3UT=2WA(swaI!uSDDmup+xQ(ye`qh_r0lU-v#V_=oRcB2vq$_-A(LZ%@FKX!%uo! z5%QdMprfIxBl$* z7jFd?C|cV6D9rjJazw6}hzadbr5=-EJw9?tJ< zxK((vkn+(?%5_CK>~8L1l!ta=yirQRW6MOAb)9rQhFwKzMX8@?!^W~V+3h1~y5Aj* zWOm&V$%bP6%*|ltWm4nigC(6=4zwyg|4gz9V+hTSzdcvIdZF2Lc&Wlx=`oLw+&z&( z9Ds$}cyYuX@<&7>Gcr7CPs}W7AsokdjAcc3M74?9kq6awukS=^4*EsTRwdrPA2fQE zzo2BsgM?Zt=`wn~F<8`a8~x+dGp-?LsFq zC-48HEby(<2_*bTh{}lSGewl`)bB;tF!~%WeK=K_xa4$QY8SJ{eZJa7)_!h`R04VN zj`9g&Z3s)RQHL(Vs2rO~AYei0)2vLF*1;+Ws{?9mD4SSTQyvR?|5bMGr6H2Bsic4U z63xQa8e{k?g?=U5H>?K@}sYdZ5Q z0*bh$j~im`Fh}GEj~^R+_Z?n9-mCs?7X|i7@J&5G{(-RQf(ObtaTm}yyoMo8Wo zd>TDtgOQ6F{|98NR_pdHExKSMx<6Z@tRW%K#d=YkQnr%#mxwzUooit`8xEqg-2}JA zyPE;!;}1VJTvhU9sT*Ak2vzsu_OL#sNc(io1diUar$NLv^-_K$quT5CUn?VTa&IeR zo@HGu;n6Gb;*!OfXLczD^v9<_+OXyP6SCQ?zbUE1MV3R|V1ipSUo8nF+j#($yRt_d zot2&ezOh%^3`n=f$}U_|>4MBM?fOP-DMsKx0}uuJmaRT*DAu2f4%LhAR2uw zy{gnwbAKs~RG;<_d{DF*eK6cFMX>F<3(DJ~73M%z_y}8#RX8I@=^Enfoo_Sds<83u zYA`MDd#rE4wVTAuxdZ5j2#>xgd$|HO?}n(RO1qomQssgaqNSh$y{F01tqJ4S+>j+U zm4|k2JowSDrBO%|`$sxNyF*7TVauJ)_dJcCfh};_dXy@w$Rs zC2bP!A%1fN1zRyhE7&fK3>33mYACaJX4q|x0!~Y9QaKST+?&5<7;n?7yaDLi2)2P5 z@J_}Up*;PYJI5D<9;ty6I&qEPz*P?@(-roG-WqS*p{;lt))|Vj(c(UOE8rff!(#xR zz_XAhBh!y`uYCpK^n&VO6x503ql`DdNL%>^SiR+F>|GsPP%$H!` zsve_z(Te)<*8=K?y9gF&qgSi)JttPR7{ebQQ5(GOmD)%9v(H2TUsYY=r8de`*CNKb zO^sDF#<%OlzwAlYA0r>xaq%{|wHM2n83#Ip3@^jvq0+Zc(rSk}w*R4xSvd$w3ymekQ zN{Zh6MKE+w{k!>S>yZ_e9GLCTMrePlQl#`Q49&yY^8r}ze0%A4{vhxo2uO*+=&G4f z5(x1Pt33=t;L=vW!-RIxBTR-s$Wx`QAU9>;s6t#)U~}AO<)7ff2ONHLhd#on#>|oS zcQU(fw3he2(=0{*@#)~-M0p_-<%&;aHm2I(zx@(c+*s5e3nfjS&Bwj#pD;X=|cOam@-dSoAE z;3sfx`8Ra4A*tK|iCeg+aod=qAoW@Koby*d8^<=(XCcS@Jyz?1lA!$m!VMLGAZnT9`c)U1#(yUt-)21xr}pPC0cC`(WKt$2WRvOUw0j70Hp_|9t`= zh*H;wcMmit=g5zccgJ@OL*o|q{dkq*Z< zou=bXce3i$BBUdlyI8D0w||_xjg4`u#xsL_H=>*q0lBfqs=O{(2nPn2_;GR4vq#3HLHc%3?hnQ?d02 z`)b{Gs-JsRcL7)66HF%iBi=D{<3u*s##iJy1?cid0)JHz!X?zr-T+>_*!%6#7ZUBi zBOujc&hJmawhLQBW*E5VThAprML)fKPrg1eRNctHy3Q1MMEE96xJ^h@dU5Fz{u46` ziY6C$e>se(GSbd2znk;q0Ty6;%|?}XO>N!p>-fUn{c{JM>U-|*Cj=YD)VI%$!Td+9 zR=7vF)8pCO|3OP)A0Ky&&3vJ}#;@pb%hYpT!5RImjZ~@i*X4P6Xf?~=RB`v^OL0NY z2@9k6yx*Xv3fQN!!A!)@mVKbYfEy_pu4fH5M!T(~`^JX&j;nea(&bA_Uwqn9thL2zv7RJ{0XeariH;8Enic9i>Q57V&dszQOKm`5jr@GKUPbuh# zb{!quW4V3Qf$GOKi~@EQO!w&iU>_B!XX4I!t^U){ZEG{$ZtTDmjtQdMaabu-YdF*x zpp z#hObBR!CGA5B%3p+63;SC^=s>7nD~eYbqKAPC6y3@416T?jMP06w)L~0%lUY+gRtjRr(l5O*VHZ+ARQqkpdIX&6J%SS- zRh{NFz}auWp9=7O5CoTB3**NOguFO7)I=9`a&JQj#kMZkpRA7YPcG!H+(>$E2GqYL zy)`B_1?8>p4W|B2;G}n|m*XW+ z7E1a%B^WU)xT&p*?8z%>36Kr+%5a2!hW#$5b;pX$IC$U|{{w=?4P&%ki z?6&1BhVw}}u?}q2*gPq!dO_LV;o$85!?KGj2;teKMD1}y94id*(ht@OL2g_vyWv-C zY+E78>&C(lt2ZU+u^M8?5oK$igId%MivO#nGRWPL+29k|~M>9ktJVNVWCr z66%w30*{XD@vLY~2DFgRZ}|>N^xB!A61GRgv*tQ85?J(`7O&8e?a4$;a@Nqi{{b5C zqHPtLxDLGoj>-PlW}rpG4=LR*OY$=rAxoza=EDj=THIb&(h08kN@;5&P#FFGA#@Ud zw8?xIe?&v=5GhG4wntayU8p?I(T&P;X5{NxwAi4ZpfHTPvWR~ih5?oK3-r64>VSQ- z^b{Y|PQv~&EjhtHsY2So7s+)lMLm1BN?rT2Qws68VUBXV7+BC$A$=wnmaT$q^%JJgq;RO%lw*!PQ?IL`V0NVUJEo&$DjxB7i+oc0kVqW!4E0b+b zl<^w+!R?r5zvej=W@Sn4;vFls+U<|6o{s>FpxrX|Rm9g-r?mv9QFVdbJ;za14qbIL z({vv2H-{j8pDFZd;KnjhhRx`RZ(_z{^W^N+0uS+{?7ZT<1YX*rj`p9|bB#Lb0#TUs zD)+omJA0M1IGB3Z-@kQu6#spGb=Bc!7qC~^=u=K>J@T%X=}=O`d8do%t~hMRlPmdD2Kq8-M6Lan7r7Um$e|Eo>Roi_lmq~#1+M95rnfNp!P6Vi+huZmE$ z+Kk0rffj*&VGF+T+c_f(^(j}#{Ez%tH>Cw=Z0u0*-C6ZmBqEX%Dl@4*{^W$6nTAK0 z?`QYrYlL~vG4d=eB(Uo7VYpGbNw2=g=S;;X5KOduS7}t+V>?^<#|`%h-=B8y!o_yb znZU+3n*#f^x`f0qeg`BhN=Rc*NObB1&k0Gps67w-uqD0f#Psnt^)cWKq>*tqC8|x$ zjvM@}sp>M)IBLs0Y##TdV-e+2+tfw`9g{f^xWIbS)WmDMiFob_4#3~mu%HEg?g@VG zd2_?)GuolvH&3HsG!Sk^l0y4fi4Q7;EyVbF#5BEj%TN()w4nHCM@w}PzG5S6NN9M9 zL4QFh*fC|Qo@k$l)s@+$HPS1m5#Sd?U#tLWD+1McnnRsFM|4NUf$BR(u{1ordiqXk zH!+Ne`V_$6{;aT7#?I}wzjk}ByZM=J|0G+STZO;OF6-)78}O5%!6$Z1eX(x4qQTZT zt26dhI^Uf}(E(!p4+8|K51ST_VVp^F$@aq|@L$2SP%6y_Bu+QLt$jvj7el*%g2Y{F zNUC=;=$H>$F8|bNSq+m;&^Fk zJF5F^+4VL-6AB;iRy}%(5YZnzN${*WJA7O?8N@JLMv#8IJu6A|pYHA*9%YjcEckkD zud&uX*UVmHO6kD4j%zV*DZuM8ch8_E*IrGgJ!R9A6`G{bV#_!LJS+M8KwQw{!7a(o zQ_XgH>D_N(9$rWy?ZPxf(GYKifbneRdLhk7b|pNF{HRZW&z>8xsRX8umZ65biCL9NZUmck813rCiZMtcDTanZ&A*PfQNI_qSwb8!vo-C6s1rb6!aE%j)Xv%FBK z5&0K{3DC*+An^0n6Q(OIRA-QeS)l#hDzL5>>fI4`?)P1H%i~Fc36!-Jwfp28O4wZB zAl9hum#^L`i**#{5?&e~IaEx-HQitN64aq=r0FCU05YEqtC{s|2Yo#_$qdQh*0Y8d|=(aLIc%NVI9E6ZBqhDxBtz60_-t>p-0Zhz2!+UxzK zmjvEvwW$kR?sc8)j7o}gylP`+%RSLY{+n`gtIa6r&A$`rV&ftB1KUML1^OH=6I)LC zKv@*Vy~b0-IuphCtfA={(ACiqfvXV?q1L8m`F&>bj0M=Ald5H zT7g=uya`-79dsn?_2ZyzPtnv-iskJ^cQY~d#+Fai+->d|GaBDk8q0pjq?_`d2GHdC z1lc5Cb3oW*(5e*=j#8MdbbN;S_T3(heV)bsFp^yIQfvKxfy%epupi;!ge=k(1y3%x zXEQr~%*CENInj{v6YX<(mK_8ZrEM)0W!%8ijUeFyVpCP)Z2pc*g{ef#NEAo@P=}T9 z8K>LBe{}6*4Gbc~3(9#k5qXy)Bv;wF<_$SA93JDuew-(g!UJf)}w8qPu>7<~WcK zDyk%vOJpap(sxGh|)%?!Ek(x5;{uu~5KvGx`ZLotvAuB?x?S zmHoC8mJDu9%#ql_Io%x8_&Hbz<-AGSvp-k(b`H1rR2!zR&SdAUla2#cvS!DAuFZF>8h&VU zO{9QVvhBFdia#Q->X0qdrjT1QeK$0gtJ3^NT<*1fCTmd^ILzP)7wLw%?G~k2VrRd- zHEk{Oe^oJoE62WeYpC@&%kklk6?s%`*r~PM2=!33($@+20KgA05W^jR`|%>#=s3qm zTz~ZT)rjmJarm$L{39>%2NMBPv!wky%ECt3cR`!0S%rrv=!nyjgszVG6-(Gtp1#Vm z(bikmSxNw;3yyMmqte>=yi!~}EYS0D$!IH4d9_BMnWCOuCAZs%!dX=d;#7KmhVrj} z*b%q=;CE|=OR*{`t^4!tTws8o@8o(-zW#e`)&%FrH2nzc0gL9J1A#TWV#2`pQ+ek$ zTW@+&NwH|9m>fVs3N zap(Ucx-R70;@#RIdE8RFFT$TN0TjdS6WIcqdc&1nlpU8~@2=sZh>5hv-8`aw;-9m` zwu&9aB){uu4+!m@dpf>z5hSHg4>ClfbFPOTI!1L940~8Zfcd=m`Ey5|7v;Y~ayMf` zY1?29$T&LxFZLmfyDkX)6=ZAIXCbfO6ozX(;ku2D$1hYDlWsQ{C6tQWO4{GFl$ReM ze0tpQ1}p|DT^~07(fIF%dQ}X0e0bGDAx}d2rF`B>tKC3I{PcHoD$T>c3gePrR3GYc zI_j^ev2K3x;~gv7oNdrd1M2B5fu5Va_cTeO=8tHHZbEH7T6A3p^oTSJSF173GdQ8BKviO%a6-?|`7dOw4O5?R zgI2L?;hvRkY364(JBNt-d&vZ}!^x+|Rir(o>u6E_bsxv8@M!VH-X-i(uYFp;%-;7o zpzV$2-%Am)nVUe9W6_KHDJNz4?rG?At16i+Yz`;Iq{ZZnIfxcCz0S*+Z#ja~t42@l zMW0!t$SK&aOpZ4FU0P~{HTx6y8Z7U=J-{hvaB8;s4wa;wV7@=nbHR|tp(rLB;BL^v z?i1*Jpy?xY`}c{^t|PtC<5q^ZN%g@0`|yjGdL5rCXaBZ+`8j9WGgbGq(U=;mipZaZ zzYAV|lR;C2lLw?C*=g+E0^mCNcl>XPlub?etABRtOxOi7ndk(L{JF6M;w)^^xOC>N zzE2JdT;@II9!03LHPOl25?r4yo{N2d78-phpuBdWx_y1k_F}K_2D%72V5a<6^!$y6=8*A%&DsP;PndFsJ|i_YOA#NH*MORXZVW`{4C9 z=Q;4uZnRJSkeS?(FPXlT&&3JZN{2T_aDJhru7&cDUK|WJ!>}<`qUn3YItTdHu-Ps0 zEpow`<;+0A6I(eAOxZxF#miAj)lB`7hx%n=z)wus#t%>t7$rfyAkiEptT!2cs*L9l zk36LNDairB4{EHTdUtePZ6A2q-lEL!u(jefbeBLy)CG9fr^thHv(P;GV5_^6tfW^$3YUbM9yGpQJW19s+Zg z8@i;IltpZ5v_7;J*ALZbU1{}o!fF{bn(P<92~8vDKg&o6I5mdl*n800wcg&ixQgBO z4;E}`?kFRRh>L#j{?ELtd3W_8I_+myH;9=$(4?wL>(O14weY=bngo4Hk09c^;QKn5Ja~i#I6@Msu{?2lnQ@xqR+Bk!mYVwUAs-A4 zYq$N>T1xo3nh<3$j$;2Ycg^}i>Z$WAU+i|yvfHZ8vMY)5W%w5kZk-45vsD-M`x$)` zr!oOsp3Xh~!ld*q9g;hyjCzabFoZS8$Ya%+j%`o873D0e%wfu&l+&jw;3SuFNkFA* zGWF6<1?WG#+esZxcyXA#m6z81f%MmV)ath!FGGoXY=9JQa4mY{RIawM;d2iinDYlp zt%f1P?bcovAa03TWOW5$S+nO+#|BRdU6Ln_ex#J8jWl0buRN{&7U1g*-T%-kbPdG@ z*8*#$|E9`ovbBNxV227gR!?KG@ch91KJR$>cm;>)+P~<=uEd&iX%%i}k7IXlO{U+9 z@=ZVdt3M~ayq$aaf1_iKqgrnPDrSeDT-ccafjvx;E(IW9Tfaq>F4k9}QdVaszouR$ z3Jnp}x}sy(6dz9E4rt7!EHwohhO@aRaWwD>{QD~DIy`se{*K}BD`%T6ou$+{M@Ex) z$JQ07#+3MNv?)QSX zAtHjuByF`NvR?4BERMd?yi|8g{pMZ!PQl)z`X_$AcyUASCy;tDxKRuD+{q$JWFi&;k_TeqWm^&J&D|Nc&*xCaOa<;3*6&7Jiz$i)Bi+a z!-h1wb5=mfM^l@wPDCsY822j9Efv4t7)$^KhPGfsS_~0APMQY#n26{O`+(47`L|6W zTLL>5E@+-Fj~e0}CfPo0oq)yBExq}{cCLl9F+6@Y*;{q8@YR1HUZf;KIJ~_;+Hr{G zZ)za07^T}Ac+Ubl7xIZ(;ZaDR|!RQYD{ueHDZ5RkY{%slwGcF)(CU$E+YfaN`L z#^;90t?);av>&sT9T{5LLc{!O3<~5r zXkd!AUU0yN8MCQ>dZt1H9=jTc4r+1`!OOsf%@#tVC^g)@va;@_n8W80)E9 zHBNV%KDlWN5shokcWzY9N7lbysn<91_fM{xQ=cI024-y*%g&F7vwRELF9YAyKACRyp4r9P(cjz7Fz zRhD7ZKBT}n-ySw_TytGr1@rK?hnhQ{w~?ndpF0U%e~eh1uJ2P|CK9B8||WbkJV(k>hApXUZmS7me#?-Vi<&}L22E2?Q|o--{EYhqs) zDiC^J7b+2+bXuIHAQB6&i1``MIiv_tuDFwgDfyi#!W5^@6q)$dw*#aLaNOBuUDZSF z8t?)ziZ&mjR|6NMh`F2cia<a}2)g%QHa#q6_?cHX-Of{4{dwJ#M+^NzpiOY{)XSc62=C>F!r#^5k~9hHgl)hTF`b|CZERmv=e$K{W#{=jm*# z^-V7K1U`}4gc6@$un+TurrY=w+*_S%QStEJ!{dmTsN~>7g?8IZjj9IxD^fV!3#57B zVyBl7+(|~5JII`*Fu8NSSo{t$j+lQG4g<9~K&;WoS#D{Kr@*>c%eA_KSe>g4@M|`@4Y~<9{4n2QbfD(;qN-aI?&g`Ron~7f>qH=X%B%HMqvn~X?t8E%`LNRA zwVRZQqguu_sC%LygAM9n=Ku#L{lqNxVoG1(R?k44YyR6B%H97)nai|L<)`6%je@m2 z+~TH`!1hKt24A~-0Z@$){kg^de`UBdu|4Yz*(pD#-~3)tzIvmXu5Hh+@~EskTT%IE zoUYh!P@7@`?jrNHK2$+9Li_xSiAfOD%FrL$(G^aHh(Aj)k4AJI*?elw5t$HX$2!Sm#1m z_WvHUex$woOp8;^W@ofKL8Nnum$RFR+)CrhggA)y2^WfSCZhs^^Oa_xjP@)phc&y| zymnExr`Wleoq~6J>keRNz-5f|R?#1@!o8SBk0T=7LU`?m0~8@IlZ7c# z3`sSDv-|upKIE?$m5YV0R9AP8SE|=gJnsA(QW3c>`5qgA8|RN=hk>@9#@q+bKj$tyf{57KvR zy;?QhN548}F5OyOxhgUG$?aV*I~h<+!ooZXnt~BmwmpISKN{t%{S?@fDR&jSv({d( zlbs1EU$?&1cKdc42tEp5#$?wSBuMeZ?+g9@v_J~{H+oTeG1Bl4oqez7^{gUu>Oi@c z$BHQ+&?_w;m6-42I;S_watq)?yz|ho`8z-uFyr_3{q9?+j}nopES-WJR2bR;u%f*C0aq%+-SZkoOCMFw zFoIv+D*7p~(%M^9Uy8mM#XK277jFOAM-61?>NN#jS@N*O z?H^vj+4bF+%FkJK`2vaC@Vd?nZgy+Qhc_VUIixQqfkyEI7-u=V-8#|5McHR0l^!)6GGU{|XY*kIj1 zr(;lW2#2a&*S{X33U|q^AFHTKt+Hg{TTQsOcS|{endtC?W@jJn<6_L;<*=Ew8JAbY zEp*9`H`Y-jH-=bzpQ$$|Gz+fo0AAr@E=+AoRZfxDjeu)1Ca3RPWBm%ba*F1^z~~t_tlkM9BSXyHckmPoqff2+}m-j8?;{;^zhAeZ@i1 z^M5T}l&Ybv>o289>5B(sH7cCQvmE?8`DJDH@XaMHOUpKbx1{ zX9Z21bO*Y{{u2U7y;>#E=%BYD&*+Z6Oj=H7PHsfKcS@o%g4|JS#gfLl1jOc`Tmto4 zyM^pD{+Ql-f+)9MzI|C%#KPgM1FlaXv30gD!YYwWT;Yf@1#w=KOCIm`YOPHOe;8=Fkb}=!<`US|pkw2)$+mAIBhLkVBhF44Y9D@>7g&(srNkJx|F9^U@Qc3mjp%NWD)tobSx%Y!gXLS| zv?_aVR5ei1Jrtl>T^{POaKC*idD9k{ezNiU+}_QQ<8Pe!VZy@ese%0)l=(Ep|RLpQ1) zvkI0FtH+JVPiO9pu@afRTXu_2lmk$oE#^rSWz!9(o375_t0kvzkX6p2Z@0F=Vv-eH zDs~e#B@Nc=w@@3&FXAL;BWjdv1wafp6DjDweM08H%Z+^1zz*p%$e3u9`Sxf63~p8W>-b~Efrb>hz3O0@^9=DGX;J*v7_}S z)e3D(VGo9+3xkW0B<+&W-9c$lmLI@9i90EDUoHlf4LMY?9~*=}tm(~eb*fn-?1;w1 zfmb5i8FJHIF_O0_`t1e}P6aK@!cW3$SC2rdd1|a?Fx`&$D0SdP9#IdjECcKalaZC3 zfuxm`Cmf*lQ?jrH*uald&w~M5>kWA>sjX>3_*g0w5RLDs>VDKY*CG`WF8OIouz`4Z zANCs=x$#(qWm7+AIxr%_On^VRfKnof6DF7mI>Lm4qaqL|UKckH?v?~t51&>x;YNE* z;CDB^yQTP+Y=T%Z-+k(lhz_V5PI-oFbE56~w%w^_nYOG(wES+c0`&;H3lPJ@nI9Z4 zVy80b3K_}EQ4yX@bKh&-;N|1rq^myOKbkZ(Rs~+)TY8tgA}ekc`=O~|{#~)sXX-+f zinH3bwC5mwwnG2PMi+gMrxC5g2xVt13blg6^s8OLk7quq(LZ!hkrxf+C`ufs=EV4I zRg>SMp+;>=l{TVm2N=n_(|DCWfGIKL`Mtji)c?-JN3m7Ty38Rxs`TQUJ2zY5PKKgU zn+}a|tSG_2SiPvpkb$+Ia;Du05460GYnv&wS1cL{4a&C@-BDSfC2aw0FHcl$4rgI9 zCjMwg-a-?vc19-oXdDh04gkQVt*zoIN>yFio?ORibi_Y`e3F}b?aJ^aY0oR39W+xj zSS?VuQWZ7tln@>TDut0YMD+s4gDPtBC7SYfjOD|^dFPRX+2~ELi?}{ow5wT315K%J z-QgwZGPM)}l&d1dQrq`w(fUi>67ynUtE{x6?L2} zq7@xj%(Sa&8d+v%F@4xiTv3)wD%9#P3kNDxa0I;KO(mUu-|Qe!ZIk_WN9luky!pX< ziz&n{d*cQ1?;R1P;C>tzbP$)8HZiCTL=IV~1Ca<#L7)B{wIx0X+0Yv-?NXbqjfbHLyCQOS|yI#-6XeF;T!=s)H@*|1xbF9=V^0n^?@0Tyq>X4@EAH;l3Oi&8JJl?Z>7_F7Jn6froP)1BNv4wfR+L zc!w!Fswj7URRU<&^>rj`c8B8Ee)(+vWr9Ix z)fxZm>g0Mda&yVNu604e^?j^us@!isy#Ddp)D@b2RMF{$Hu8~@1zLvng?z6g2ENO$ zp>+byu3AfH#qBnIpq;&JL#FOn77ZHG1hhC^Z$PX%!?jHi`&PWO^fVnM!*ft3J-a7O|*ZHZ<-!_4vA=rrz#nS)zDTOW#KpTel?wTJUyZ zun2s1Lcw0^+IqyDgYy^wvnMoF&E4AtF-0#^KTakC7a$G&m?z8-m|t(+dN>r`&C;+h z1kf27h)&$#&c?B?)8P(%JWqs>dQ6m z@p~wDZ$-?+$a6dWh3im@y8%&7ZR}LBDE*fQxnfJLk(A09)P3aR#P*b&0{9R1O7m&h zOGz`z{dNO$M6ZNvl0&El39gi>xkB#u{0M!euh z&$dMUIh_7`f@TaXhmahzcAp~BJ{M`ntCh&HNE`Y7+u>#Fk-Mr&OVYa`4+1-AM~E6b zM;Op8UNL_ux00z7xD(TVKj*9BiK+Ms%Gh728uBw#Qd}T>L?vxC`O@?qqaCXez*Wen zyW!|z$=gWNSAkq3oLd9RPfHXN-#`@jrk{LnF4HXt>=Gkaaaz!G+nES^a3?6cxX%qpT~9lU=(69y&`V285ecr zv8q#Bh<(f(spoFH-lpWkSb)GjktsVBc8U}91r7}$Wj4agK&+zglANXe_#IXlpw?gC zb6~MpPsUfEM2j@0QoJ!wN6Gs;NG;e9yb`46TE*lnG}YXx)%Z8{n6F-473tnc*lic0 z;z-yHqEUis`|5lACk_v-cbgdg8Q2Q_E~yZ}sq6EFBr~HnwJnLZ+EG@(S2^@OjFMYE zd?&MfMjtqEk~Fj}W8Aal_$$8QVXIxQ-FL;q`N>ZYn9?|@fd2VE@bBEI>I#ML5M8V4 z1FM-2W*efi5|u?~F}tjNaIfh%8cK>5=@0s=)7v#t-DBc8X|__c53l}}HKT^}Xsa5) zceNIjlK)jaeDMHlPZ)#>wz$@~BqnpIvpB8VF0g4=i;4LVjbp?@!eGqWUH@;+)R~gj z8L<^kgYN1qD5Vb?b#r+seLc81_CO$QCFa4U#BTTDd2=uRdizPR;&Mok9=8}=T#Baa)V6N*Q6Anu1Zl@ERPT%-*D2<%@_S6##3 z_5I4!+)$CL`jhlg2wo5rMXEj#cD1&eH|%yO$uf)| z!!tv&9=;`fjW$C4!G6S#>v5P=hoinS3Ov>8_DMv(4mnZ8W0==46R^^$O( z!%W+*h1v$6!P}gIqJQ+99ubA2+T0CAuAlS4@84dI!S%Bm2s^^XNz}%d8{6tz-?s;W znP@C6Qa&Q$-m>kb>B!(z`PLo{Bhlaw!I-P8zc~(x_V*@=(pK-hoehcUy5ZL9u^CX#gtv&wz+T(bL7Mpgt*|m3k)Trt5$|J2Byg*v%tH4Vu zHPX^MgnWqINv*`3{_*Rb%EnB6z1d8!DmMjiMb|VBpMP7hkP6YfM^bTpM-$#$WC#1r9#v3uLb2P zE~$8@D?2A#cz|xp$vNVpCv8VUsq=J`*C{UXk$1^c5AN=OnrBQpA1R>YU|>PyaOeiA zlLmVY0}K1>xuXU#GU?@(;a;4m`bg+m8z(nucb}8few~mR-ex}qr|QYA5lV9qdgEVz zqXUyCaxO}cC+5?N1jfEp{jvO;_p3!oQH>nFQFr{w>-mm zPFJ+8t*Ivaxj@65skeEu^o()k!X<``o`*og6n59yR%`~_+b^@~nFE-?-Yv_8o_{!a zm@BOIT+iUJ&BqPXkK`fn91(0uCuqgUM3a-38-hwggNi#lluiv>;8@Yb9vdI}*6vl(ymR6u;5u2+~BI;wY_ij$a4-UZJrTvH;y z{)->VLhD&4JZ8Qek8<5o3N%MLjD-8bOfB1<&(XSy-u`W%GY3*nx-27Cf=L?znafh| z(c-j7n-Z!_RI0|YdPH3Xds}wayfaCag41Kps<$Zu1!TXPS%R*AycQ$}NzQrUxH*l*QvRV1gn#;EwGJG31 zs(~@)=a&!r;)al4ij>o_1+c_p?C5lpw$Xz=CECB_9p36a$Br)j%Iy%1)w!I~L9*gf zs?C-_>w#>j!nMvHM_F&&hWgCQN`J7^)IKd}+aQR%xtKp8ik9Wy10fc!JI~h%p#1j{ zubHPe4QjQ9=|2M(>X$5Z6x2$34&`VjC@Pi(^@2L~ zt^_BmN55=`aK#@ae$C{QAec+^ta@JbqZlAygHN$ej+%z=CQMQ;wEX&_8HUOANN4~) z=K2vC|MgQ+tGZ{(EL&;w{MOo*!OcvrB=+u+jwykL?D=MPAwE?L6~4g9+I{Tt1G&V> zWr}D>qJ_>!f9;56-64)lTy{-kb!25k9u}_`0wrGCM5F54Q6o{B3*D)?R}JbU9uu7LaTSTN>6D1A`yw zwU%y{MXrf$sdQHvK$i=jR%}~zHB~pdMeRZPhju#kBcSXeF%i27&whHw6Tl0#*WiDE zUwqKVmLmII8XDEkp(Sp*-T`=RsQvXbu8M)D-_?FlgL>U;5pGsBT9~$YwdWajmykZ+ zK_}62bH9^50HqdM$KUQzC+w=aghC!^Eb@Z1@pF9!oVI1xy1N&pcTb^jpT3Bn^F55b zJkxWP>f!58aCGQa2V@4nDagkIzIXw){GKd{ZXcQJaujy@$X*+K4oub#QYnfWl4p*r zhmti_`>$tMD|9L}i&&b~-?2n;UaGq09rbI@%W5}IhU!Tm7IrTYwzz@SVuMpWzw^qdtF<~OpgI+*SGr~STziuNq2E?{)=YA^cKGx zHdcb}fByH}BCk&2EN%Dt;-7?=I{|rV;J$_q>#K#PKA4+3NK5R)DzodJho8MpG*(WG zCGR!oWrIj*poAyMYr420SgeG9uTEEJ5zCgW!{BiN{a`l4qxk6&#+vyi6IO%6c7<2% z{wxk~#1t{5pkV2S;-$Qi=;ZPTwyVl%-KjBuy{1OE(`leefD5udyBW$cd^xT>j{kW` zmLU)$`JO9jBg%B~)!XMweD}yZz4XObc5Ep{`s1p)y9W(l7C1uwPP41LwSzZF;sW8} zEzt-=@KrakFvV-ixq?HHWx2G1g%QQ8&ZO(|_|ufRc1W(x<=~=blNte`7OR@V#A(@_ z2)`mDOo3&~f?IC7hk@?H8os-BbqNMa5E;9*E2TN&mVJh;;d&EIois@*8I&LSX^<^d zgDNUf8DD2-x0m1B${&y;l>QkP+xi>SJ|VAVPX}-NH+ORFPmI5fVYX$=${F6Uv~P!{f3`eRbXr;_G#dcem?Tg;imw2)vRq!o^mX z*j6`j&>C9;Al~#^3*dITI>DDRsMNF6%wak7)DedAAv1XrrpXikG@WvYS2li}{HC<+ z#NM7sUCIdLyc0CU-$kBq$^C*Huu&623wJ`Y zqb6+3YEx<72dqHBDI=}(z2+Ov-sfY!{=U@s#_Q?w7-S3ijfMPHxV1j_O{{XhMl>ML z_xcP&A?&>y&)JrnHK~Gnb~ToQdZwJu>HJ&da#v9)9wFe9s)`q)7YaGvdqj3(7k>@eya9r&=DOqksjZ zjO^PD5(;jwvya&>8b9*6d4y+)RuwfPyl^q$4Swk=z*u=m=#3Tvn03A@WO9!3wU?w@ zwv_s{+qQsoE}(R5svNmgc>^;*mh+m0q2>T{=`y%>mOPULhDa6STFReuM=$z*(qii! z?AO_BbtW={qu5={7)*cb5k~udhyR;qnuR;@M3^b3v;psQ!O>}#PJ@e(9YJvg!gL%O zbE&a;cu?L}*YJr=alR#T@R;~pnpoSY6I1yQY-6$&eBdCq1k?PYvZEN9HuTcs&}KsC z8m?*pUL5j*DWQu1pU@!~9$pl;6ZY&N4==(_&SHxeGI7N;9SGgS8{FT0>V5WfV)E>i z*nEK0&ZdgpBD0rMJOJO7Rgn=kf8&D6{Bm^4Cv(r%2Qn^wL%x~L?V?Qyl6FGVoljH$r&1zSidIIB(>==hXVzdQAS- z&WjECeUU5~>_d2f2KJp-@AXH_0qr)E^RT7V#Wv@S#fV=mPk^Yagsm}L5q7W1l4oH^{n0(T%Y_mFJxl52mj1Ci6SV`H3-%xmhps005N)v=9 zQ&0T=)xGmC|I@vMod2VHL-phSAKhDmSd#AU6s1Y+olt=DBVTvawDkn@| z9mpa5PSG!^5!9esSpMZH0J}N^jeZs}r zvi7USm)aXGn~{;W%yaIB?^o}*oW(jyh5r=AI+|rQWX!ayd!Vw?=#B>Fw;-KPSHw#q zR=JCv;vlR2QQJ4ja=7B=%g7DCD?`OkD!xi|w)#oCP9~5ws!^pUq5F#GS?|eHPhFe; z&r&p4z7%v`P4T2m{HIz+k2jmu-0OrSFB9JUuWBv%ewCBIZDK2Hy9JC;#nPJT4@aH@ zun)~@s{J=Z=a7EQQn%}&X49WeJM8oZgPW=6noV=-UFv^(fS&1-|KV6Dw4*fwykQEp z0moWC@gM`@0xe#ytGY{{L9$*a3sKgMNWim1=jEldM5CJLsSmjSM@QwGeuI!k=-p-{ zC@*eHR(+slBPphoW1pD$ItKDlY)5i;6mxgHq?X0L|0eGSrJP?hU%tFr@JMe}XWJY# z^wL0=!->-J_cHA+f2mF5s2BEagy{4g&BC>c`4gcDI`w@J( z$H=GIj8g(GYc>AMXO>14HOG1Y12R`8yCE{?_EM%-^>n2|Ry^#-y0@7XlON|K{^YnK zXk1QzO={me6J<(lyKXrMgp%|crM8^o>Ct6barAfeGoBrxZ>$}EM(HL4-blC>+8nKkAud_=Bs~ ziDR?+e{s`0+u~4D_+QM`CHHJTtz1H8%$hNUw_QSLxgM-;tG9OQA@<-;9|{M2p!oNL z%Fpj@5l@WQ6aRG-A7rIX@5oCcJeq$xFWunnvHB`8AR^hq73%Mcscp(j%~HaSKYUkF zG#3(;V&CkV2YWsZdk$Dja^jrX8@W4Vitzs`z(qyPmWfPqT{rnUKDFWhE9(*p%QtTA zCTNwUTCJ{wO(>7A@UHKC8@P}hepFWL%=Lv`i5~Jz3ur3NK?|ig3P|@7g(HRxU#tBc zf7`podn(j@V`uU$iXC|eW5u?E4%_*t&1CePAJWoSFcj@RKQn8?@obLX5{lO59!Qa#IjExpUNn^nIOIF+7J0bZVK)Z^w*OI3Is4y3-TY+q2b-% z*NB>hRt=Jc;PQYTb51DO6k08S^cBPjl|#GY!>lVSBk^*C_(;RAIj_PU6%RK~=@eYS z!0FDr)V5(soCG!URP`qLerjVEQ}m!lAce#8Q5{LtoT-q*=UZBKT|o$;1v?bLm|Q zWb*vCz=AE#HGQ2`=lsvsew}@_%JDBa787F$-VNcE>riRL;`L%;HpK2kqd+a_80%WC zI5)BR;{JYL_a!$b~?SA5piBn;L+CNrL)nRR_szi~! zh*20_fQEL|^OCJill(^3T)&HST9hIRDvj4o=#r^(G(ckZ606GQ`O??A=f@}nUUvKOZvi+P2FliU4kgpQLix3h0i8(Q{vuX*u7O%gN>Ih z!9oULbN|32N`0R9Cd?r9i;;p!A3ZL2C#2*8c9mi8``#&G9SMb*29o!R3}i@h?$=d7 ziu5}ZuAhp=4oYv3>LL8|N8)sni|taKU`Dat z0@KJao03M13lw*ys$CDqB;svg(v4$kpTWy>4lxh@(7ky@e7-I_3;OshPXeL!)vjvm zR(VQ$9vFQYsyNxq*S~vj;g;`x%j|GIy>GX7UjO#+a0Qod171;~@oq9Lx~h0t7heka zV(^C8V)efwu9;9}+-hdGl`o}QVMnaDfH6YLoT>bLctMgJc{yOM=mJ92tEs`)&XNNMPHj%cc(LkfBbc#X`755Kjc`{f6sof+Vk~a)2mUYJJYG*L5$xS}PH3eNrep3%ElS4R z8S+##ta~+m1r|OFIG2Cd|H8@!kG{R?;UHoL?aGR@nZ!FW4apA;+eG<*I$tiZ+--Q_ z)v#Jc%??pM)b^+{=v{T?mVmAVp&)OpWLu^^P|o(_XozZ{+IF(;_WWXG$i1eBBcssU zsJ+2gfl{nMMS@Fbjp$iwkfi{`^I|`2Gu(`?dat6FOq|4n*=%8fGJ4;2j#e!Q8Vp1$Yi_X+KAAT4Z60=hW1g#4&+$ ztlWdmrZTFZaLP((SIX0IDW6Zs1FK&;Tq|mD`*oJVme;Eduk(K?Jg)+UMlu-`@=2Gz zCWf~wIBPgA+E#hr!>{6%v@^_ZR!wbf4Jf~J0^ZEoE9z$qZdCG|!F{6&(yV;fn{Up{ ze_A+T{%`caPhj*Hw}iBHNIS)tTE4QT!t2Ws|#jtM1WP7>|}@ycz?eil1>=MCU|PE}%diOWY8t%T5dqXkQY z%wM1BTLrR!){(g$&uR(#aym1RTEbkg|;F&52IQP-dQ?CVY{%>Tw_$Sz^N z8-9YSC$Cd`q#i1oAhi)aMb0}Ja|MOaT#*05=7r6vCryVYHN|2i>1Iw_J)xk7s5P}$ z_p>}JFSXRi+0~Yov5pU+?kjFBDTA7$<9p3puu~5=y`Ih>L)1c|Pm=Y?u3!E&?{=a{ zu8O_=ajH=1=ggI^v}L*xDSL@Gnf7`$bJ>iMR23v^@T)nyc_}jr`_*BVb7vB?8MHd} zy-(TR#hQ`4&gN{z%q?Z}oFxa}xKS@dq`(vsXVltYbl=PIsyrKUs_go%5)$BHF^_ z1~&##wZH8&0alhi&HMb54L~g3SwW$}cme~OP#)H%!kpbiRw=w25oYTB+(~}e1=|vc z3Ie=b8WZ}*k}BfBXV4NB=XJVHJ#oJBe1noQD3hO55kzGC)kEvrGbkW;Np(M7)Q z*AV?z?ZUGMMH%aZBtG34&4?}Cb8mY^l;$dn+zJkDUc9c%jo{XsU|vrqV+VxTnOpcm ze4h1K1r^JF1=_lyz_WLifgR_u@4xpJK(%%`hF`e1BJ<16Sl$4q^F+~i{q~r(>fmSz ztk?Q98GoB!mRkF0{{DQeoJjRK^UZQ+aKw~gp4xJreuUu*ExWSDhIN@I(*K0nCl2X6 zncm5B$4%%wDJ;~R<;ab=HTXx-9q3p+=Wa`?`$qfJFUMAPj2%zht(-k8t!qvhtvSz8 z<+WEXAkGH4q81N2>BoN_UkNxMmLuJzvSZ1j^dI;8=C32dlkUjC=ue!M_kR00l$=8g zj@wz)e_!BHT;o8s*zhZRBdx$+NZm6VHl`?Dd`-yd@UN>g6iuofWPJaEYC~8naQ1-r zE$qKf^m`q9)Y$F!%;JMj$(49F#p#81>eCpQs76*?Y9a^>>V|-&1t6DOL?} zF|5kXCS?7{GJmi7Gj*O9A{dLAj^(l$p{cDGNLf%U zz@1BXeCQWFqLe8F9odmb7_|_0>OBpBj?zV|@?W;!Y~VtTu=H+XkPE2U(zm=fu*~9V zrz`q01p7?#VZVyXT8AHi-IJ^4&>zBy$@Ybzk?Z`#0kU}pzM!OG;XV^xt5v9CuV`nu zZ}69IDzQ4AU+FiF2z(#Fs7e_WE9#?TiB7-`-YbJ}nw@C6p|U(a-ErMZ zukdrI|MmocIzkqpi<-`S^iq+>6&tTc39V{!MnkzlG5)wqgt~!^hJ3ZLO&w0I1c<#L z>yNTm z{|#uD4NKr~9hpOmSDzf{RA5`p?lHCBw<0c+!;N(HzX0x#IsraH!j=H^Vq2ixab#Oepm;my+(gCNm(3h3 zjf4lzN`;>#-d|o4BwE+ByynDr7fCI5pKy_*?`EpWOXb)pu4wa4UaO8YAh!oUUdcA; zz6h}{LW4j_t6RWj88?I2idB6H#Lg0J#!Zdo{JA(p^(-U@Cvv}!j4JsK%;J7aQu2z< zzdhi?=U9D9E51JhOwjJs8Gi1a42C>-h(8wR?{DUWZaPRGyt{MtSfYBaLC3cWRa4;5 zwIrl2M{w*g#vYyV{mpjB>Xo2e>k2<2)H%}G9Z74}SqlwJ=_%n1uZAyBYszNmkD(T+ z%en#EF1}5MGLXtVHAFTm%+a7})fj1TX=0Q*C$|0LepyBj(U+bfEnFxTRA!*3Qet7C zH|T?BQ6dL~OQLquP)qf0$Y9ra^T9m}13vGsV^76~$S4Q4wcpMKnJmoATIW}dkP5;i z@fW#R^I`a9EvIGu!=;d%-fRm!>c}2$PeAoo*GB=9U}b$7`wG8Ad$uw>3*#s7sp){X z8jfPeVLYAwlC2Bdi#-o@fQBV5IpB4PZSRH0;nmDPQ84mqN8>Ua9Ua~r{5yF*@SL-O0@)&-L0kPHAxIMZlO&MR11eITiOJJGTp?jmm2U zr(ab;M1B$P;VHB+35=HhJl^D?t1>)A`e*C4;Z5-@Lo)7^H~Z}(Zc967i@bjxi&(6F z^B{Vk3-uSBAVoj$n2wNxRVJQBbsNG68qV}>=1}MM8yk1E z5MQJ?S&iS6A!_df@3gyKZj5oO7OoQ2blwX|fz*SRXHIHgJ7^=OtHJu-6B1$OK7I^a z+*3;i>=dV#752)MJgQI|kO;FhwqqQet(896cw8L|Gs|LSDDNnuWas53jF2RnSZL1r zEIN69`SD7Ne(i|VL%mL>BdPRUZNq>1=8FSSNAa|&o=?DE_i1dZCK=jkpt81D-HZP8 zs_HTObVJ8aEvW5M#yC^ipmc^jW(>^qe`oln_0@rO`5g}j(C=(pM@;7Sg8wY6Ok^ZM zC)(%4v{dI_-4LIoH%jYykQ{BnDR2-Rk?&^ZQTz+Wh0UX1fIz`yQ-=xbI#iZ*#a~~C z>XLRq2bm4#V?Gc#{75^xzpdmZo} zBJSo5`+xDUB~?7?7nyIM)vS;62+p*w=)O27tJdowyl1)NKOxKD?fG}v9piJOyW$5s zbCq(lo4BceHx=7nLEe0~j8Pv;>{!(AU0s|GBe#^nuQ1XzrEa)SMH0aUZL5If#5nbz zplj$K3qHg3@I4`Xs}fc33|Zkn$u(-#OaJijUF=TB|kRE#c#@z zzz38?CXTgBAW`alvZdMgmdl)yGrqW=J~*A9r??5LRIN zG0E`sk+TzlN|UvRat7;{e;%#=4z(l(YcsS{l3H<=4@r=Wab*dQybVwiF&l#OEBSgaDZ- z8hpm!T&46c{X=YBgX6PmANWu()0Jsixjv$+Bl7fi;H|sEG#!x>0c!W0%0bwwMMsRy zwU@PPpw^|NxM;PFJkD2v3vR_y(}qICD?mQszb^o{;iKHmr?qrzxM%`REq7}U5?y2o z=iL97g?RlEr?vo}j~vu>np4|uQcFtka(-OEqhnO#wHw%wpRQVi8QXQJ#M*M$f6Hm7 z2kD^%JFn&G&b>54KpqVK)^1({p1aQ~cB9H@o$)!Xm4pLrPMdAY_hZCjlNQ9eEv1E& zhib<5X$p$sOXg<%*}Yi&^UQGT_#%;J$85sc_6fPhA1{1=J6n?Pt7M?x?=WAxiPNM6 z&ed3-{=$k2gv>Hc&gQE@tg1`CFSVL4H+qtQybKxlCSDP8^Uzs;pAp~WPcE-lCSN!S z+5it`I=A&doa}rgP}vs*iTfRXeMME2BG;*^;)WCuY(S`jI95 z`<3i>WMCw{PS}rOr}M=8-_e*b9`h>KcN{o4=(YA>Ozp2W9~0`lL<+rEid1zM7ncMZ zC#qSET7(9?y^l5>!pda;+lTdaf2m3Z&a6BOG4Y{ zS}hw&CW@Ukm0Wd(Ualt^GoQ0q!$ z=*8WUuPQaFi~Uen#RyzQRAALk>+$FZXAO9hPrr^rdNbv8pSVgc1Mn7P#jF*<`g%cF zNSmW1C=N<_5C2g@bt()!A`z74DS^5m~UrJ!Sk$v z?pzmKG$KekC1GzIw$UMG$=!O9q-G@rR3_ByAQy+C{$A7;H;evTlH9bWGE-XU{!9h8 zR;}I2zoFZ<`oa$;#k6weffy>>m{7$n(Pj6I---4hzAWsHX2_PdZ@ zM#*mW*Vtd#nl8O%^Z!=>O9r(0K~mC~qJiLP#27kuwR>HX$VE0^%ooHh^)}a9UQ!Cb zp4uShi}?cEBIYuWqm*1fth=4l*Sczmcl_TRR|R+AcKHXNV5!ayuwP``cA)8SzkJ); zHo4o?gCJT(2lmS@W|^rZLc%JmN%N0#&VXd`K@ zFzb!7-f$e5-olnx`SLw^1L-?g!YqEW7P5K*I*IC!~KW5hB$m7W4$m1wtW~0uS z);$_-brzddhsEpA@;cQ1So>o!r`UgG{}tpQVggGw)4h+AYad~gk~u3e|JF8!>oA>@ zouAVF`6>3tdTr&kmDg7Fx?T17a9LZ~k7QkEU1wcqUDsvHH(H;eoz}^okJ>*LXSjT$ zsN2{qf2?z$odXRUWFlk6ddnw?+*0T2ch9+qe4M_-&X}iN{?2CS>-Hw6@1fi~FV%Ue z&P%OwtDH~QUAOl&pAPeC_;{>etY55OtY2bZSM8j>>X&xU*M9(>Y$@w3KS+&PNQ zQN&u@XUFyJ>^P4j$1T~ebKFwcFT>fcYj(Va{a5y1*?(2(&URn-*negJmF*ncIh|nV zcunUuopqgcopoK8U*5SWU2(a+)giF2K98K&s`#ef>JSW3brM&UkdgiVz&y`?Cb5ydkn94`~Ly}00960cmZ^k z-)|IE6vr>hZ_3Z|tA)z)qx@JFG{zKDYm?iVyD)KnWp094V55b7*7&|dy89Mp}KCsmaQNrYW_fM^BuoQnc!AP+j zo|G?fSSbzz_~^#;S1|0bBXP(FUnOkJ9X&Vw^yUg7?rnk=_-)6Y_ObM8u@%3L$P2e& zIMRApTH1CZWyL|XhIc}<^r7TvXZ1#VZDxxk>^cl~Ri_Q)&4{UX&vsh&l=k)Nw z-7jCUQkp%hyjfr&@z3e2?;6}lf%8Hp3~gbE0Qyi$REM*TR{dgQ{Cq)cXg=^xH>*g* z9t@)1$Iy1YabBSdAbt2TDopvNu258+;CxdE0@6B zy*u9_5U)uEV!XHU?Ao*6J~JX2_4yQ?K!?)ib+!wt^}Vtk(m4^mJ&9vTXQWzYbcdM0 z)aQNsetQ)f7<4C>I_Px2KjQz)elOKpm-OW9M7us&n;J?5gIp!Ei()D7G1i=%s?CgN z9WvO&c&n9}hFUKXGvhN=tIuS77IT1iVYnxEo#?_XO}baSg(vMN69-nol8!wXv=i3R zwUp~}QL>b~L;VufF8%SIrIpU%#qSfq4$(0F7jhIMW z0s;y-fbMYuEXLWJV)v6cCaXneA9sSvjbhgTQlhL#WeOF(-MMZ1(%qgrF8hvAE%rTK(MJPc-L#zl>=LW-@2Qh zBb!F*2uAS^rOBO0Kxzd9B9y{AixWGXQeP*O5wu)p;7AG>70i010*Zm!8Yy4Qf%HBx zaseEvMvm&paWu!$o6WEZXabjV@UA?(B=|Azf%8vp4P1keq;*C}u1xguWDCfsy1%tc{}tXS=BXa7Iyrg8T;ADoR0v^G{SMP!b8v>>DguB!~i)f?62$8zw3$ zYP|Q`yua76JWy?N<8;+A;AnH=KI>RUPmi#q#6p5BGo)tuQA9JcrnMx1J}41JV?wy` zT@D!iwvdRs$r~mF`c^m*=}!f7=C2!DIYa42_3fc0@$Ueun2U%h2E`qin)gAQUuFki znoyIzm&1a*v4V0xvQRMnkEA_c-PC*SnJ)f;bZE!-LOu6Hmn1qXVv9U>oNBU%9?~H_ zN?Hw`57Qs5I!IF9i@zH25v06del^-4Nb%RMVL})B+Wu&zN0iD+r4Qk%ooGR%0roQO z$-w&2AK@o@iOo=pgXcp@9vG@$=H0eu83G%0Q5Vids%taJwK^!f;A32N{hqm|>lB04 zm7UHwh^NVnMBa5Qh3+uG|zysJAV%w=(fYtpVHStu02oo?V-zU~mV9)|b)Ge_dUk|0aNI>P!wNypqTV7Po65{Tl1rqcZpbdWMKG@GPzZ0j#7wP9GFrf z`2oEONg&_iRpT8f`V+2F^!#t)^U{GXTw;O(Lm9L)^D?BnpVYCgZAGrw-$m+m%${!GNgXM8 zg;DQ1B_YkB%_T&u5xIy{jDDiVmEJS9y@~=q`x&G}UsnqruxlIh7Ka$@;eDsQ!QUQh z{8`eAnfy(fPKVMJnKRW?XWz{P63a<&^R$oE%XSUf)#>`zk*w3Rw$FIt39HKchd&Zu zNY`ds@8<9}`(77yYn$dv%i2mbFZ5fc|I0h$sLII)kXJFz!T(`zZ6!hXkcw{$7_M^1 z-v?p^KUI%b@XX3;{`5l-707N670wy1NAac1W$4C`_%V7o2zI$*c8&9mO1pBf7xG=+ z`5U@x6FyMWpp;kFN1H8_2f0Jy1Sfe&nfnk-?G<&R9a|GpX(515?L-KmC72f7!H7L1 zb!vH*8l`n2)S@LAZs?=+6$|a71stxUP*nF)hXgtC2lmktWVFd-&sb>PgW_&N?Dr85 z9`;mbTCieMBzG6Lxd6y$*e${weuRxLFlf*b{fZ|wv;?Q^ z>f|+JcKZe`uFZ43^Tn@GZ&M$;YNci9g6r&X%o3?DJT0qe{$UC6IEa1Pcu#&7EzAOz$gcD?RVKUZJqRb2FL8dP3f)d4PsfF5>^uYY|% z){MeBMFDNro6XSnxqCO`gA2!P@6%P!`X6sHzHLmWH?e#HSX##KWj&8>hWK;%5sUA~ zUcN1QT_y(2AJ)FIYo})jz6nfMgy;^IdUriBRg#K-%iX5$G+MUsv$I!~b_~`UG){*1 zyEeRTIu)Ds--aW2k%GhSTX!pL?gU>!RWC>%3o^d`2E2BllDL(Yrez@oOJ87|o{rG- zP8xsxZ{#mKo)@|$4p=MI7p?DV&kOp+3^h{|54rUUn}v5RC*GHjYR?MK*@N9MiGvkS z*4)PU12sW>K^E|62KDoQeYwY*L^pG6s#hKwC}+*CrU@7Ae4TIBb<*@3t!=`3-Q|v7 zgEk-TeP5T{Ubt{u#5U_zQ{T~#eP+AjYD$)%=+Ypj5-MmogWq+q{Me@-5-q6nAKHe;c#m zjcw#d`#6ur*9LF8n4AO`R9owLG&2JmOQs}uk`YA>1}>J>^(pV?(N$2x}M0=2CW{nRgrf(>e)+9v3i!AdEDS{ItX?Qe62b`dbGEBdw4U54RU?N z`La{d>2GF}6t}tXJSmFZq~3KofCQ>N1@n4=7liDE%I-!$&DMl<7mpMZNH;!hcaNSe z%~(rsCsi5)UI1*+Cj0wyofullb<+zVX~1sud!oncY^o(;=QCCnJqTxZ<-r7hubxOS z^U%Mt;xniDul^bejVAJhxTq%3ekNiGmcr+^Sd8NfB=&*)EI}Kq=5HPs9YU)`4I0v{ks9om_*< zrw|Uk3O-wl+LC%5E+?&OaSl~HlMB2PN5s}~tAS?H*)zC)L8r2>+if+LIf5UeRWBRB zpC{4Y=L*LzZKCct#&gZnY6_%|i|mDL+0D(BJp+rz>g@Slfxi8ad*z*E z0MO-YOkLC`#07O85U2-HcA8F8>Qua%k1l|awf}HVw&EW=XS-BhcvC1`X`ShDBiX#8 zF$*1Bo2~jgFuoibp{Knaz{_4w#jYB>L*vV2@5~zG=NlwEJ}ItGt+>7o>crJ}tJxHs z5Fajush!Vtvpcl5H{DsaG#+GL^(-jBzx@e9zgP6vyvV8wQ}|ot_OG?1@gRM2S3rMB z>C-(~Y`efdY+`qblYSklX7}f9tE%OeS79a_KYh}Hk(9o{bszZ)p_Z;cz?~YV6GGso zXKT&*8}X#x4nEVP_KAy$(DKT5&#n%?Pw&f;%v;cM@BH= z428nJujd5+vs#4z^~0=A8`I6~LQ_`m%=4*3&BYS0?O?UvcJ#vfX8O|enQci6k=E2I zxI5o2frnCdL}v%c-CoUkpN_3lQROdwg3m8Bn}C`6vH-SS`3v6M)u9Kq+Mfho5YBhQ zj{27DcFudfErXi5S|8>XP9)c-x?$b)-_|*G@F$!6Z3aZut=}$-)}6CAYeYOVWL|g0 z502gZJAj&eHP{i*qc92ZH}JO z*&{Fb-;{PRCNx0f0|kE4Yu=MbRUHZ&HEstxC5>FmgC{lbvcMs!Bp)mhovhYAjdWCQBrf?DgM7Cvg zTIJSmc(a^bn_!*{L~~Qv+5ePLzyWTHv-SP^81I(Hp6FJ9_v^r}R6ASFg7-uN^)0|@ zNxB33^md=XqQAt)pVX=Ryz*M!Kp(AS5X)-Mc;q7QWyO7 zed{iP#|(6V9fTDD)OPYY*=pvgSW)nba1;C!tS(h+CB0K_^--d5|Q2^|;XMS}J;g{{Rm{ zfb?h6()z+aF6S1`Tl^c`h7zfpsm496?ZLhNytEG%5i0f7yCn;kpADY=TC;zgAr)Qc zHxySB_ztseW1bf$zB~T-5AW7Z3=i}8L^nKWT^{4D9Xew^<`-v@+4C>vC$|J87h(Ee zBUGLVKK?99?WnFl?Y!kG?__j6pDoBg5UucSYZy4#{e6XO^*zvCZ^1_^G0pM*?Z8d( zuJAEkHQKV)d3VVS*tCALYRI0v>NhcuP<*^;?Lco9Y5?ZZPaf;tSb}Oa20gVtC!PT- z@xz>o`*!u;G%wz>x>`>&yWSlyHo`g*TRJMDZ|tJ_KpSSA3swe?h9?PT2lk9^7h|me z&9TkX%-ky=OATl0ePXkKX>KPj72`(BN~Oy^;dP(L)9b3YE3diYwoeC*&*x4QalaVQ zX1hco$Y%E}SN==*WD?kmdx}qK_F@AEJ&vJyHiUfu04ZY|F1exW`|y|NS;{1_v4Y7Q*9e$C$)3k9`UEga+|^eM*^X7*v^b;uRK1R!SjOvBmaeiZepmIgKs_E6)*Vn{-$+n`ZdD*dmQG>YQ zLKvE`5sV5==nRutwoxDi>`U+5=uAl??u{;}<=b>C?++%iYGT>WCWAy|PZp^8!%A+&xeK{s$N){oI zvXCQ@r-J;&`NI;8RSsQ6o++2I|Hq%nEEy>AA+a+#OsSdC(`~X3TyNNBD(T9m07!N_)ymkL>hK~&CaHTnC31O*3cAU z@b?U(U?;s~M=E@33>B>gu{cgn=vwjcOhyP(u`Yp+SX1M3FW9d&TPN0?)nItuCp-hP z@9x41ztB-{e2L@~{xuCpqz?|tXK-xv=c1_nF3#<9g8~+4D)vmkBo(|pMUFr}|He;B zWx)iM%uG8YEg4&vnWX=dnwNrK6kcHtfm#?M_&zQnwLQo^y>HxW!y=Cw zuda1=xl?(*6Sqlu@k^4uqVZ8==6M_=TI}B9o;S{5@IYA)?zAfFi_PDz;um_xDOZ2- zEY8MMIJtZ?*2)H{Qn{sYR=)9KB}2C_HViY46dKYT%4T1)F|Im)35prrLB%20vZ!95 z2GWc|-4zf1kXsQ3n_|?{Yvl~_shPJd6n3#`NNL8^m>%_vB{8m}l-0W?VdbzIA~Vsf zkJw7sGZ#g&!R~9MN{4gsP+BO<O2K1bYf{_9)DqdX&Kkgm zz7=tJqA#CXHa2fp%wk+#2)H_UHKHol4=eXKn+TUivi3=8Tfe}X-wg}8xZ%hi)Y%q> z6l86uAy%@QOOx2_>?;S)B!tZPC5Z&Lc3{b+9Lj8zTs%ZE|dAk%`gOnC1jPzrt zxJ-g#XJ6$~qP zJg8ceqMCvDtbG*W35l)oeIo=EvJ8zRBm8A$6IktTWCp`@S|L8P=&sIvT{kG1fQ$;1 zJT{9H)*2+6y8K2!KEnuk20x8*MbAHVOL{|UM_IbcghUye!gJm_yhbtusuw9uQaOkp zJulQ}E+Ue+Xvq+Y_6KdJIP~(oBzA{JkuxFu)Zz4-pFADg(^=!{SOhrthIw70En4 zZu^S}hZtzf=;=fIrWn;V(JF~kF74Lys-`a5&_@rgD@CT#G$MFpN0!b=Gvr&Y>oh9U zHxHwIAN=}nq{|XvFe&nLzX%NDORa;yYbBubi(^tD%(tn=i(SvJ>3)%paF(D6~~XbRZikbY!Ym}>PF7CZHt zkX+RmSsPs)qlqYEp~-o}Qc5fp&!oF8t_Zj0IsND?nKpNFBHBG3sIfiN|m=h;akC;910ePJm&X& z7^*y6#(K4$Zo`>kQW3MVAxE}h$N`5;aW0Wrh5_~h83(IO@i|dRE~MokV@wtC^T=n7|3au^#nwA{3G!_0DXv~jM?nYhv{^91-jE37PO zj+L73CcX4(>54^;DBEZS7)ExFtPz6Nnc~2BQ$ahqe53M1+K=EK56egjf642MLR1dr z85BdF9r`WxrWRC4<%+`Ka`QV^S3 zB8cfj*r7BUm){1t^Xh+A+f7WDW7g)HBI4&ffW4E7mcLL4Bbf-yAzWZ@zZBY$Sw(Y% zGLtik^^jfvU%~`%Hrt+M%ZOoO6wxb=_1!doRi5j44hTS_evw8rbqLOV4^^-9Spu{M z3dY@`Sk!-mw=#JQjL843rk$=r9i^&Oi!$rm9muCuJH?~*^qaOckrJu=5H>t| z|Hn6ag$2xiUctHnyd~6De}5#V2npoV-F|x#%z5dB!DxHzGi8KEr;e+EgRe%TJ#A7j zQ}~fRwAk+>973Z?YS5$El)x-c8g^z|?|_85Am{Wezlm>RN-e?Ub{>4inNTxQ95p+G zNd$|3H9y=rXGKw|k%A(qjRjZBlUZYG#3oP$A>&DAkeE_<$Dv&GEh#3m_*@@~r+ed) zO*bW5q_CTIRJYB+WLV2Q%m6_1c^us9vMA?D)q?pMzXO^ktP6me3s!~-) zNlX=Y|CZ;CWPB}y6c|4jpeB{}4b2*pA4RfYJ@&6J%sMo>B2vQUSC-Nw1Ytu>_^<~u zn_CE+5yD}OA_tqoLIcX&_Y02GGoJKPb{(eQ{(WX0U11^Qfx%96$m2L=Y)*~Lwak*`1SiB0%9 z00%A0p?WT}8VZath;-Jou}=r(R)gi46=m)iygmh`+q+N})&nL50+xZ(S?&nxIL#M4 zhFgJ>al^c^6ja{M(gXsObBX{4!d%Sea86TFe?%M2d>9rOkr`#>Y7<<@t^$&XP2*B& zDDy;0w8W?>a$P!{7mm88HLe=DVg4~$dI$jo^ENy( zu=)UAa)w;)h2Sr@-9s|@oXCe)D(XpMU5R~gBazX<_G7VYID46 zKYF5iLZ8w3T*#T_h}cs``LFd{7%I|A@`9?OBlRgM64y;u zc^87>;IL?=ulGpIR?#RYsON$bN;ZFPex+0&r5lnV8BM0xh6c>J%GCx2(@uN1GNWr} zl~1|K*`{c_RR26*`O6GGrd8;)0@c!zfIpZnKsq z7{?WVU`t?D!OV<}sG4z=+W=?94zH4a#BG=aBYgG)a(ex!m*YcWhRt!tGI3Q5XC|B- zXL4tPDC}q>3Z!AjvtlD+Aa*75j?&wCB|hz$%Z-3DV`)qMjJlF+kwk+{P2kMs2Qktc zkJ4)e$&cvQf$g;pH_^i_rYsKi)sdcI%lGnwP#5$f>?UZk7 zapveOl%_ph(Jp7Y`)=FL50={BpE(FsW?Az>(+$@&RtCP|U~0^$^uBW~r-)8iHYkiB z(Cghq!&2=`jioQa6!S?TC%Rfn%c(<4XIsrpp>)?E}s_$3~QM^c@Mn0n-t^aAK52Kh5{qUd#GQLL@MPK|t=ybdQ? z^ixt1ZJMKbh$E|J==;g3*_4Y$gQbEVM&i9J$;fKNnkmHeaS!<1RECRf1x|9FJVmkp%iI`aT>4g3nOYGxA)}le&eWK`gh|SpXgi z#<1?dTdH*+H~A3X@$eP|+HsRgV2p<&xxCyi)%CX%f?J5^p2<&wiU5f;7RjKGx!8%}q$KnN*8!!lLN(D~tF*7SacnqQY>61k*SEQOVB*tl zYv~hdbcn{l|os1@ZV(XQUv(_wXXl9+Ay)`-A^MwSuFT(Cc32H4N5O<1k)oBvEhpU{8sXNJn7#05j$hvAUUV)rWQ8zhYDu|oS@ zzmW&1U`WKf(eRgjBF7w2=0ax1Hm(3 zbo`-odxhSrq|`Z8aJ<7AYL!p<0guQu$AS>(ky9^8c%On$d*PagCM_G6+=#qW&h3Xt zeJ%+puen%{wU3)-&Q~c^IxR%Kw@}ViO&_=e1uTy50Q9HC$aGhp7}X=!0up0!_2yj} zqx)knF0ASS1_SNQ7U{b+MmQH zQ;&`SdX%I);9)8rA2bd2G9Co1sBKu$y*y&GL~3Hlui(6vlvxDmbl>YccVT?B}@F&8dI`r{h= zlI(b!4n77x|Kuo(L6hBi@$x1lPxb=>%Fq%8@*RDRMb#f$VtP0Y^~}H5yNiApLA37)Bg(z!C#AI zLCQUeX4~Wd>B#s-gqdSX2p2~rel>04WtJGCdm+;FCxTyTmu}w-zBZ%HQiPRmCCqoH zTzIF62;gIdM{8!Vh%`qE@M3h5!HbDzhOv-(nN!1rLw^WVq1spSP10hs$+e3lKLz0Q2)0inC)lKmwy6}gLyB0 zo|$`waN^EJXx}S`YIQ{+>G$%ybz&qcHiqJzkNZ-hum;L3fD-{@6s{Tv>n+BJlxcxD zc}I}^)w-`ZQ*8gNynZ;)sw89)O{EvMH6z{=3RDyWul;aeYff_{dew`evWViN%r9NY zt>R07GLKbP88Pqkup_|2l}+qEK>!}nt6%dk*TC*obINGHW#|wzy#n`d69oTQ^lYIXAGc!+Cp5s z{bd`xH$vY&@+dd^wO%cg2&MC9a4|b)ikRHYt-=mP&YyZ99->Z0ptaWzru1THQ*sVL z5`4&peTDetS(=Hh(9TpF>py!v6jJ!>6s|HpP;zYl87WW+ye_Cur+x0k zG7R|?a=JTMki6h1XQ^OEX_=q1ITEoSINMQ`&-xKe^3en)oZcoQ4GZPWV&{-j?fRk; zx6~aSgP{4l9tnB9f6?SVhgDW{?vnxJEaSUd&{U|!Rl|A_B$%y3VW)FJEO|4`__(Fb z2`{QE%7DaBY%Hd;uV!?h1Vojdfu`$g2n_@Uf|E9*ZD>EbBa|N$5PV{RBHD% zwPQ3II%vBXHZ`g&YX)|78ycHV^rr_;AGL|o^7y2;pP0_|4&@>W&gPiA&FroNq|G^` z6{WsN=%F-UpJgpg_flc1be?J}>&@`GS8nyq^p*uxsiKpq!>Xr;aQ-w0H$}0wFJ}J*&MPKx#4(OA?AENMM>d?KnvxO zfjB>AARKzi?*NF6+5ySw5~r;#psv#I>7%wK&x; z9wuLLL@2)gqB5G3M~kf?+fIQcS5-=x6p$>?vJNg#Ex=rwX7LsbtGMl>f(+qOmVmm* z*^K*;`3|u(5QhQ_{aZSH;4|m$+7klGQA0)&ikv`Mp%3IGQ$3%*bI&k1rB#IT{|01@ zJhS38vrSCR37aGyRSn}Hc3GRw)qn@KGx;p#X6Cxh&G>76q6d0$Mk@|S_j0;@0#sR$ zJfZEeVZ%9lcG9tVHqNcZy9GP(X}YP`iBs_eQ&U+GVOSEeN+S~2K573{L`m-TVQHUG z{*xpRYs5f=kw}LR2b*DlS2J7{zw-X{P^ke?%mr7oOy}cbV}#NCkpH4hjYz$how3yP z5KL9Vhkn8bwO(UxRnGr|U(+Cq_r$7^T~^)e`!^e-*MizLc8Bx~w7?M>Y{TGeKK0 z3E5)sgvkoC0`*Q!D&g`$r@M)F@=;Bk>AvFc_z0MCo~2bddu35U}m%EWPu@zLt7P4~DE<&|5DHQI{GPiN`r#rLNJQ<54b zaGCxnAmgWQs+wgalpD-okl35`m&1^kG|xYFJsg7nlC4ovvXs_mkDX4n!{4Vc}g_VaEE9!|tHCcx2zKpJ?C z^lg}rlA+bI?GDZ#E6VIPv+P?G{w9RGCE_GSFc0^_T%d)jLO10>QlM^Q#=!FKqLTtU zpGecB80aU_xh&5dOMwH;(S+}?W~G9T1~oR2q1>eoq9qK_wNsHgxyN|H8~j8zQ=dIs zZ|jNbMm>5g;2e*AaKjZG?AMv?9YrsZ{*Wuibgt?*^ky}K+>HsD9l@lmDwdU@UCYuE zpXZvdSpGL@dc0u*WxH`br=|=4D0{k-f%(8C;#xF6B-+&ymJaIhbZu7R`IW8&cfE&L zKf-MM(%e=wU;oI1FWYTY@m7*D>aaHynIpW&n-xBYmN`^goFD#B2hfXo6P$VXze*5SKr&`WqykQh9IuE6Q6uW;cmV5h-oeyAVe zkr$}@U4o{=uPq;7($g(eaJ2n?HksVk=&n!6A9ShJs^MLzEfNUog8AqPU@i3?3}JQ- z;zZ1M3&tRqitcGUJ&9F(hwf6e=TtrijWt+`0%p4G=*}X?Z2sx3rHvcMpjkv>GNNa3 zKJ+VB>cGbJL|bCmjq5hrm!)Om=~R&(*3!qW9l2mHy6)X<3&-Q@fEXuF0I;0xPT81% zfAOn&vZ(_MncIwfHlj&2~dro@3!(KY|{gbS>U^A=Ct5rqjZG3-XRnpWH8&e5pR3UOBJ18C1m; ze1m2@S-au?26`IA>0yH`q+jXKM56;t^SJ26QK z@b>wDUFGYzSHb%84-G;XH^YZ}E#71kWj`PEW%#D|$9Q!gTBK@_y$9fUd1uGf zc9Fppw)Zk`HLkml=!73I3uu;lS@NbZvn@ED^G>#t(-$aWpoqoy+coa=>X(pAoK2?3 zGt4|$IC1la4`SF5RPvyYo34al?ZN;phd3MJztND`J)nLgH3r6hMm;8Fl;r0vX=^K7 z_#WBl)0P6s>2@S?#uMhWawKx&y#Np0sgHlRB#D>}HV+wn0XUjr5B><|&4;&z;{&>| z(Ce2IPCWD$iqmXj7#g$!wlePnuvd$&TIp`;J~FO_eFpXU$-0f0Hs|a$$&zybp)7m$ zW-|I*-6uSk%lDWFuE$o5S;V#KSjAJ%yuLvUtmKkM%Ctw%e)3JMJsD#g_-_3G?t2>1aLKEoap0_ z+=06u7#_CVT8IUSELdCk%vPdO+6#`ALo`eWogudfa9Y9b6s=I=0Hw{4GqVj$53 zm!AO)yw;Fz0x=rWW4OU?_ky-6Oc6l zb0E(-n7&{=O{a0CYwlW(MzB8idXa08ZwFOceHql#+rySQJJX%d=)(wpr^ZuX}`PK zuP`0*{NorNn#w#q1%}6i>-#8&ht&V%afeCMA=Ztv?(AjFEn)6kB``)z;eI9B6yW#r0YBUv!vQ~kZh8jpvT4Ir zyT%_3qt&%MEjmWiY}h5Vz8*rsJ={#{+LYR+8YAjeT-1%&u)10_Gbb`7|~Jmv)|fW z`Oq15(Mf(tgu9yM35oqS{^e)mherFb?UQnzbC)~8sX%*mH9i1mGjgu+m%yn>jdJYV z*V)@_pL!p_+4S{Ce81v|TGd!U(muP_bD=wI`?yRf*J)mc8q=#nAdZDX&@3T{nFdhQ zPco^4S*zp~5oGYss;x=2Ypmp%&7u$nn-kjz1Cf3!U*$4pm7nE&T$t%PY5&}yRB>Qkge;#i3*ND{=*n9ZUW>A04R@W(KW~9tG_p6x}-3mi~UVf=Q z-=@DUlr{03E2|>s--t)}7_omZOvAgL(deTl?{H2WS^OS}jEXEk4wzWaCvh*De~q@|HR=p^?R*_HHO}Uj>#|)Gz~;2c_2Q0mfyaJRu$l*}+3c>7 znvdULu>aLuxbzgeB3+RdgguDPYS#&8g5MCdJwRIFb{-fhPiu*Mj(Ky^Jz_zCIJZcUGbazm(NvV4OFLgGayN9tnX+Q3cfZy8D*o- z8SojYF+fx2&Uor!?CHtKY%-Az_MX^Ml)+YPHRiFi_HNI)Ed~?EcMHc`ZOCanYi{d~ zb#WCTHNyjAxi3|9f`oH3;lDp#YyP5a-QCQKR#d2E zHn0A8GD03Vepcxq>Q}5*^Y3osLIi!#Xo-12qb&;GN$9SgnLf(-QKL_bhEAp-g8b*E zz!*)%i|*{D86L>;$~S8Xy~Bsz5*B0cO1a9#?hf4hFI^_tahW;Y?%y0}$xoQbZ5U_4 z*4fdi<_mSM=ImNSlZfD#lsMEYk!#Z@O)W2w*0ElF6N1HCr`GD3`2YnNzuZ@zi36!? zzw|!8Xhim6QE^+YQ_hpAx9y=guKq_W+3jaQ?Djuuk%W&z5NyDAn~}00ck5Xq`UU+I z7VryKLB1A^OZ^CA0AuZZkbHeD(r2Le~O!E>~6ZE~*9cfO^KDA%@`=qO8BLPDZ zi%(Pj{p20ZSz4ZYIUOki6~3GYNz--Z4rH07GAvEi@7eV?x82kQM4UHOD^?MS2{WXB z>;BM_THse~m^w13d1-*B%y@xn(+0I@;uRqVXwOfQ5}>qCZY1TK_4x983L289h#9`n z`hv35F<=CGjT9nrhyD6FdFFw0zw@`X&WLZW$h^DI&!%68le*_pY1#Kv`XPw2<+6zL zk};Lzi1T8iA(&g5slNQBC0pdr5SupKI8xRhblg%u`485zLvNT^1@zPO+&qMvf2E7e%y;nsEu{k(-LcRW$K4L_kCTP+}R2V zFnXk1eL>cm`wOLe$B4d`x+&hi!A_aw^A>-Mh}$k$Eby}QEtq^OhdRqe&lio!nN(86qAox# z(`7;LmAv>4zR^OkXzS_+YlxvMKZ7zNMT+yF$g?1l9{&xU%uMm&^v{ivN=Y;U`X` zMK(|eFy$)>4q=sVZd)XjzYW7E4pTn*90`ixc5Gfg{hDDRe>1Of0pe=aZg06jo*9=n z#Q-#DCvXX=GIo~0vNee2rjq>6%LWpq@@N8}n;3?U+2P$mzOUw_U%px7pUlm+G*`5J zP*a2bb0=fWbG-#xC`c6~JhV|o9(_^Tt5t&O0FrosR~)gcZa)gBJuDxO_d74$bGt>h zo3%#3RsaN`eJAg_-{KB)MqC~FOgTALscHS^DWeoNf!|-dQd4RP>87l_m2RaoTT|Z^ zB|FnncluH>V(|NKM-PxbB&zSLeGCuwhehfAH!sv@UiWh?$|%`orR|JlD8-N*(mIA) zuYaE^AJ<5ix?9Cc3s+EI3376`@?Y1#uh=AI*?D^1N3$=-Ju!s z<7jtg50YyibC!C2PM#z={)L~#y%t2hl9L$OFHzejPPZ<{(Ey9v>D|&~#JW(dRg3m* zmxg+}=@Knb;UHg%_GX1gB&KPyq>a}d;t77E9HqdmP_vhO?GlGJn?J2EWo&#CmQJkS zKL~z7GqP!`?T1RnuK5M~JU+<IRx7*SQ>AhGiM>UA^-0f>!6*N*fyIa=q#G3voBT8SvU}Xslz@4Y9F~P7n zzNPqj)%q{_#VRzevOU#@iTiE)BH6dzhm)RLJOMucTe{4b>wa(#?dVOJ(!d~~E`BQf zW2+E8K<(-YcG4L|CWrW6avscYXQpxLzU`X&%uMJUN{=He0x7H`G5DKk0%4L@ABX7s zUUX30NvtnBz+(CdTtuwvh&dtFrKUgl*4|^)7Do>w#C>>q=T7z9vE7iCzk}PFzrzj2 z2tJg#r-Ag!xdLQBAtwOPm5`qbNnA;AWZ|ZE4Xdg0B9TwYyLWviJsz2(iLcG0N>dys za#t_odNjJ%UEa}a=%p@EE)%v$2eWjy?1o_b_nqFKHy)G<7WpdG0DRB?p+P*ihp z$V?y=hMstUsWa5%f2^hUv+YPfihP0&#l*#fvH16TiOfh8afftBFfAHC|T3={gXyc=86>q)GL;;e*`+ zDBzcE^e<{}a0o*lTf1vx11HU_j5COkq^02t%Jq*7@&m9%H?uec@T3##w%WfI|CAs( zh2OJggVlXJ=9O|JWn4dWt~?7Ta*Ea0B2KehUTAXIy~MPlH(?kmAsJtuWU6ddv{?q0 zE|CX9Z_2XGT@lm7EfHVrvFK5t4n=Q$Sd(cveN>&?&ow#ihY#WA&G}lse`g|)0mPNI z->foP=i~||jdB!w>1w4YhX3?o`}=QC_3>BQq{akR3xPmiwa1ZPydsGP<>7d&fOMya zfvFR9e6)UOJeh}<0|wvMLsG90TA^d{d7ch0`Npo9utVJgm|?Q@o!fMkoYnK_z`z0# z&?0c|TOr5Nte|&A5ZeD^njCyHEI3vnzK2{anIVzfgGYD{43nJtj zXzkph%YGsx1VGUacxnlfyPcx~(CGS{&J_x_k0KkYuqWO?Wv%`y?h}VLmJ3l+pus`> zxI|C%#DL!YiPXsic&XNUsM5jdyNc|KWS)S_Y->j!L-Lv%wO4o`rC7`B`&c;+Sj$We z{9g8GdMh+*PteDM)_z-nNt|DROPWfF5iW?C#ZpfSA~$<1)R+%W1GAT`x%0#|1Dq5* zx5}>H(}x#bz8iz*aBhOwzc^cWJ$H9}O;e!B0kX52!&tR>Cs*e74f}KBXWKtMrVK0b zxyIdZT7(;)*1o9v1EBq8+6OVnj7f zq?&E|G*WpE@Z5FYSVz&(9&GIK^SWD%0Qkj<0 zOSt2P_f5Xt(cTVQ?IANcFJwYu(WKaTN8UI0={R5om61i730$Z7pQ@TpF;7cQ>jq;m zLPnzGHpeY-IoPkWOJLI!=1xwOb2@VT0~)x%`Sqy@BFXm$u+YYia_Sc8Jw2D#ez4T! zJ6k5O`7w)Aj5>=m-=J)n*nf9yj?nkJM=GcQ_aG4H$Rxh6H=Q4m%e1Huu0H-8$U;pluyKnn# zc|_EgOEkM#N7CuQ*Bj2b3r_Rn|B-N&QEfC#m$pz`TcEfXcekKLLUAuvin|rp;%>zY z1b1n1r$BK?aCZxC!GeBy-tSLN&e>Has+dmr+${LY;_J$1uH zKs`;hQ?*PN-z&xRKF(7s;e?zx{<17b?|X(O^tp&l)m&9s+#pp{0`(`GbFATcdb^&^ zm^UTLpKM=++r($|z@Z4$FIs-#RH&I!9Cvo;eqmFcRC|h#knIJYMqkvb-9PPud(*7V z6kxAlc1h0BQz10|OCiAzEcyw^oCzV&HEyWLltUMo*YAd)i9&x}P)LW$aZj|zZYZQx zySc6^$Gcp8aq!S^Fxa=k#OD{y z8<4Ga=n1n5bLN}ln^L)z<)3(Z3H~V9n*C-bBmQ>B$vczK^NF1Tn#N0{basKx|5;rh zVJgta>2fE!+~kCQzy>kN;bd?2;_enb5CkJoKa5J~jd=JF#kT}l9I22*^^XvKFZA5{ z6`C8oWgxYKw2ODP%vc8=y8(htU5jQZtj7ZPyp@Lx!SxGzTK{bHp|^q^nj1!Zg&pz9 zp&Mo`GLjq`sszEW*__SAK5D(Wkb3U)--*d$n1yJq!7~XMgI~YW>YxgJc@vC@_e`2R zQtl?^pd(}rm->Ok0Z=%_kiL4c*p`X>Yt+*G;lRX!CSbvtFcKLvdQeu}r( zu?5lX9>K5Q?+d#MZQvYew=YnWyb`hyWlXGNL*~&UA7%y>zDgrUPEI=Rco*qrvKjG< zF5+hlG!SrhU%8ZgXtwb$KUC7hkkXYm!4)5Q%kxi|5ZFJK5w|c5CLHjE5Xd>I#Yevs zHVDfHJmxlKZHwMo@!}9iCqu#uhoH}-_s2;ygHY{}Cql~Ia6H;$o_Lx|LzIbUF?5Lb zx9sYeKY#S-=*IRDwXSJ;y?gTsX9P?l-ghFW(0?R=g1aemMc1FX{-7-cJ~6kHOvtjL zSZ1k+#o>2r@E>Q}khhG#!PF#TWCA~~jtX!43D2*(VTq~Zo@}pN0*M0W<;N#SmOcuM z?bCni_kBYc>J*pL%u`=PHoN34=flZZ8}ncO$D=6q>uWtI{QOdNEY@wQceI5rc% zPi{2ah3WX@6E#PsL|eTQcmepPg|4 zCmyjhi|fqUeO}A8jOg2^*$MgFsJ3kYe+J`D zDp`8Qu9|k%A8>N$`g!Gqf@tXeO61X;3FE=bFq$$u*xH!tfBXy8eNE*9hKi%Y^E^aX&Z&Z%{ftn9$jyNE9#imrSP*UMYM)Vjmh_8Hm=b{VB}ltW;Y)wBW?!?n+r_SKwG3+ zLbo;{ZU89lfRw&M(D#BvtE^(Sr-qqU5!K@BUwY4rBIaq?jo$-!vCux9lYU$G!CL&& z!VcdTe9l?!*)S}*OL00unjPIj*QW%6zxcqxYEgxtJ`qOMJRtc~c3X<^mY6l4;q1QI zXfI&1{~Zz7LqcCeD>(18ae=NGo-jaD0ZUsO8wmHvQ9gr=?fYy; zxZmwhzs;F$e`pb4_Rl6OG`H|hAWF0G2aK`c{&tfysNZEkB0$B>B=Q6t+jsyz^XaVS z@RBWY6;gf3e!>QmytTp&ar@chorvn17=~0SQmQ-4BNDR7jnmbFXV((d^9NQ^h#h~t zzY$un?kzbUAMvuvmXsa}*$cU_0NKS@<>_QUs zE@Cs{GZS*rwc@y@betrAhfuG-ms{J?=Uh(LBlp~2ftxDS4$awF2KeHZw$m6DR`g#! zU(nPsZgTVr5m4vcvcv9B@shypfN0Sl4XYXm3Mm%QcdavRCPx*^lCM;r;6HlkQwTX6 z0K~8W_}A-r?%DG18dh%x01z_&wr14~Pbiqrj9!Pa4mXg&s9NFOY60K((;-qn%JLp) z4F8>&VF;wu?i}um4Iul)*g>3;w3cazYF2sJ+{m*ux$%8azFSy#PT&IS2x|Md_Seh= z8{y5PuoUa=>N!YGsB=4X=pLV~s-^@JR_uv1M{mMHdomw?g@HS52Vk6;8pcyzF=FyR zFSmpvHw#@N4?FsWVo3aRst)Viy19hzjc}od=iUA@ax%y!T>wxwrw}^ z`Q1d`K(l4NfUK(04n%>e%!MoqN;$0B9ELU9OFW#M&tk&&-i=^o`=cp2^HC>m!Wx-h zFp8}jvMO9;iJ8dN2DJ)b{lKb)aR7bfMnB|q=Q&G?Gq|sPR)koS%?ay9ztEV7$!qzO zPfEt zXSlBCE~ol^UZ3Zw%E@OMaYsBClaG6mw@$Z7pWjDP!2OQd^K)7LQZCJqAjf{6iM`s?iNPjAm|7e;P9W9L&e4}S&ER=&&L-UN z%-5Nf2t8MB9&t{&wnj2xPYMV^R)t$jXO1@-w_Dq)tVu@*JYGmmXVu4}`Yld_=zSRf zUG^6}uZ0A7U7tAa;7;D#*KnPc zH)n#m98&zZ4}3!Et8H-ELEBj1=kSEFp`w9{yFopfZliuDS8Plikc?BV=%a%Em~baY z)+G8{D91M0>cyW2!8QXEiW>K&W_WezMBwqVw)-Pj;Q(lqQlRi)6*MV#3!C*YQ&wts zQrAR|fVk~~@)Il?e)b5DZhQ^EU_nM8W7J29z50TR)W^Nx-pyrZq#vJa>-S?mB(lA{ z7CFU3asf9XUG5o0xiP#T6LP3sFqaMhJjt?e$sWGGrMUe6FYNRRcjyuv{+wh~?#ks8 z`bCnhi4FD&fyso_r~HA$V?;Q~lWZ~gJmAIY9?@%`RfP%A-?vVBWB#0;#)|j9J^4)M zudlrx^;dWfj?Eh}Ycg?Z5*uIcoEwXL_ckzf@*Ms<`ECXM z=;V=jn~V4gWM>b@Kp6&y+Vf#+_QK706hbd=fY?)#KARUo&7nI z*}gT1K*G%#YMh3pl5;}p8PIbfF8v*NYPgGKl6U};PPKhDJYx!Oyec&y64lz1sVj?< z5gI7=PD-mLUy|uXK;#s8YsEe`L=@ptx$8uIrrI*Ug1nY5i);YM8dPSX23R0LdC;g- z2}ZV7PqVpuuCyM5s^5tr*DHo5LkNK~(A-54FbasG7u7th4oLZmDxh_sub&jQh9^Tm zJ7^3#6+3)oiGMgP^Db6CY^s9|^UqXqb+RA+iQ}WbgugPpKGlNeemO$+sAARlk)tH( znTmA@E;LH0Cb2cS zqWrOo@$MkiyE~lp2=e=%Evdhu2FhKW;K_1OyWe34KnYYv5LZ+ZvRWx`7 z${0-(NJ5V|HFY0$D?3oW^gtJ1qpHYQlonC_ zdOJj|w7+A0xx|oQH=_?oewF zM+OWO3{eS4We4|;1E`UF-+e%JNE)fA2v0%I)uT`nFFk*UjtlpX_;Gwmg!uB+?{3qN z6R5;@SBWR4BrE8~I?%L0ugFy6(!jv50~!*{S%N;g-UY#;hVjo7q>22Im(mn(=*9 z$!9Arvq>isuwHqzu!NoMX~La%7Bc4%KZ397jdNl2%09Bwv)?HLI2IY0G;TvtQ~Z6b zv9*I`E14iWnV3+qrp?9Z1UDasjIv_C+-@2Np|g^^B5QEiMl4b|p=P|> zC9s2>NGZbO%$opH-zAfEH70)d{`$3>+X_ukw6(HKqcP6yiajTfF2+_KzmIWMt2S z4H7X={Bw+S0&+z(pwu#IhX{)xy|%`1ql9k-VnKDFTCFZh?qa{<3g$-!0Th~K3-ryg zb>hncS9Y41c>^KhNTuvWm(l!CwzF68KsDj!g5W#_Wm zof|@i&21G*p47h{!Ep*4qI$2Ac6-O2>aY277mSg01=9|U8Qh z&7&Xu?PKW0@E#1uNP@)7>tFNa{~aExL8|92`3)!#_`dhEzcnX)!;Qu>QvS_ryUokG zfY#z}RvLHRAsN8h08P;|&{u(<|6EF#`ac2tU9;Uw=DL@V&q8)l-c~dGXK`#ctrz&Y z`2`mdUwf!ugU)g64lG3yMJm_3ACu;+Yzq$^{R0k54;{l^LEh8fxxOTN2hbcuii52+ zmA?-EN5#xLMGvCOnvI=)YCpp*%t=(mT^+?k&xIA+8jYSBQh!NRlm*V&E-4udNguB=*J-jX*PUlORTtzWtxtw($+QzaHez(UOML~s zXt@*LE@O=XiU$m0DM7>1=kJXhaVCl=h6_bH zD#ZOJ5f`N8B*bZX<-M{GL)j+dQ4Q)q_-6Y)pm@@D+Dec(<4Jz{&R~)!nUQ-(Zk&?7 z6QjEri+$ZJmi>U^x%c}mhhAZXinh}T3`gu*YoQg|T8&vgLUGv}(fw#Qz^zo)Bv_cL zY|tEf5t@o|5?a45gQqlT>x8!13d*E9wRBcd$8-9d0r_MbAXE&p7q2+PnG_%SM#64k zo9#HejKjKTs?tjRo*q?J$im@`g@lRUb5OxyK7jyda6 zq<|`8@!)mEU~Ts(Z-bniYF244u}3jPspPckn;)R_h;L@FH6{h1-(~xL+fP2#S8gGO zWP77J6R-E-8{xkIBauS%aNHZZ0l${TNb1L8E(A2`$LO^(Vqtl3r-2TzR>XGo;(Z+3 zy>=V(f9EJH$td|4WfiFu>EFA=GKDhOdPZD~1w40gd~;*`pF?EQe&F4yxsVsN7fX8x zj9ujo4#x)GurJ@;N%>p_&5_~2TU=yS_uu(-bzQnMJ;@dAofR-b3+~Pf{rYpJVPZ(% zMLk+q2S9zL=RT;&BZr8|d#C)kUeUX`W+xlC@SnfyP!YUw_7DyRLC&XsdbG^_oq zlYJ3%?>3jKE)Dl7QJ&afU#Iev<1kTm@ynY-H}2}oB%dHdAOP^HPX@-V0wEI-HlM8GXD>T?cnc#H*x$} zG}oS$yxx>zpo{)2eo4w;H+=E%2=fN6_3*luboI)GxT^)gD02+_7FoLT(z>-|SB7Ox zxnOUU#J}wWxAdD7>d8j2kLcGn?Sq6x5gb}(>H(0s2BZxX0NTc}sydo53`rF2uVQt{ zTc)sZrT5h5uT!D#Tpiopw7Yng40nU)U1yh2&YU#eAe8qKpLSQOqFEZ39!dBgwguZ~ zDk8;+lYC7XVmuYxPJ8|Ea|(co*1BOo@1@BLWf6j@R<+mN7qU^(amFnPf%@w1OajBD z1=LYkKG>tb@BXblzGm@d4M_Czp-fKXMlE~_-XMu`kRPLs2#n?5G=!5OBS(>47CK@c z0<4s)9gselW4#7<7pp%J-@Tt2+%M-gCVSds@}wr$vLu&)|9a*@U&8mn`9$n|Jq^3l z^Xf>~*dI=HnW`SR*%@@7om+WG-JQ^5laKHYXgOd`^1g)%^)og~_?pqJ)_@NPKNK`; znMf9?5^j2|DWvDDCu;^ItSUflfHSlf4Z*|niyzOr1%%u$pIfEV_7MiUL%1j?)P7BD z@!!yEe?t+{t@UR@+&A|35MsF8=qiDQL)v>doL;==p7K zbR=2#3OL9IfSJ(|==<+709Ppv<{mlxkjsDhyur2G$?SPXagJ;p2XY*t0EBOd8%mID zTA8mTdtsFm>m8*bv6yIn8@j9?SR1Vd`5g9aQ_aT1&(I{P3^%eT+YvxtuuMduNZ!Sd zGKch~$b4LPh}psvm*EWz=>WXwX;NKf!*B9mmGV5znmRZY-}x1?M=W5zKJ@YsNO|e^ zgKou0NV*_cmfSTJ7*VQoBq!m~8%tWqqUhLZ8;J^a_O8 z$`Ez!ttJ(DDu`$EO7g%h9|XFYs49UNt?mB|cq29kC82vVe&g-5$jH{S=_~UrCg3~N zx-587DgfXmsz=drc5b={Ps{vbwL7Ow2nHg?XL70W4776%Rh|59`2D91 zEVa(vKV*jNVBFSTa>GvCJ+KXdRZ+*9F{w0|X3v7b`AaAh2C>W*f(;j!?HKv=}D+POs>$yNH=rNGG zkm=#80RCLc%z=a*E6X}=zZMm@Ur809oy=cAlQMNuej|(9vs}sz9?H?ZbX!ZO%})Ykr4d)s-K3r2)bWF6gnuZy z{7{v9QSTIV%~ce zLXZ@w?tP3?I;aCUk2$ zGo+)qk=lpG$n!i(G-R;H%#fn(GX$y5MzPS~fL!fHw7Lg@MeF=_?NqO^8 zqmS|(9Ujy8ULL2+LCG6&HVl4om3H-`(Rk$i1Bjk_pl)PVNwQ&{ormK+Qzq!I|F+^? zoVGdR^TXlyYvMVg7iD@l7n?sXFN6eG=IkiLbugeS$!KxcNISeL{oJiA;$j1O?|#31U6%dp z*Z)N1fS2HtF^Nkgv`Nm(muFDKIhPnG=3gWim2O>)m-k@wT$dgwR@WP3VxfdC02?1} zqRN%*ru!W>f|G$|$Og~luCP;iO}8@XR{swBJ2zStJ}NGHopwt4^?Auk=kC`( zu_g1a-9H2aBaG91whbiCPH+6w^?1@6TS*d(@|K((;}COoPakNFv2(%IA?059NEg*= zY<4?f<*++y!Oi+FFsRrBGx*}wJ^yRA+^gJ9*I;#Gg3nLyheuP^(+bCvADi~Vd(DTQt5&>Ls z1pS_?M^?M8k5`2HtW5i?3_@Vs;nb=YT@y{Acm-`%oda4{%;0GbP_OkT3PXtxj@M8{ zkcP+J+LUB2mzFOn`GW)dace5qV_okhX^o~i{K{KLXlF&H_B^*3ulFS1=V+p}bf%tQ znbO0v;>7vjXOc1Q+0FMAnDWc)Ds%gbfS<8I;qD(qC@^4w)8DH!!|!Feoum~J{(M8w zB{FSibn0ZuTPRTtaei}=$KfHby0@(P-M&kTn~znbf8D@(GPhq9VD-G*GwUJ;*jD?T z&mS33cr0_}hT`HlPU=Ubr6s!`C9MyRD*+6W_?PV97(h!N<4ri?#qO4_in2=0uN1Yn zA0K2*(VKDro6+l2-zqX-DH5x!bI@0fy?;eJl~t|}K0Dm{?n;PXVgH<_LY)rKn?=>b z>RI-7c_v)q+7HIe&d)Y`D~#ZuIzN_@Wn~+eU(R|!SP{gknX5=+>cQ;C((}FvZ~)W_ z;j{w%%3iZ_y`MH^nO4$I<0LZ?xm+G(;w)fzR6eB&TDb9U0herdMZqKH6mrl5Q&IY3bcf_Qw~gyA zpHH&B@gTRjwYR#mGlLeU%H!u$IY+8!3z1M1Iv=z1Kb*8<%Vng&E%+X0&+G`sxwROoS^;4fq`E}V`? zE{+AZf4pk}1Th=sP1~Y+ggu%$4rN4_!xZE<-p>@8Mpk8Orjd9)`t4*DoFo%$uhgphQco2JKOqC}^P5Z%D$NAmE4*iwxDn>=z9Uo?3i1M8jO^r*T&ep? z^7R*3oeH0pkCnPyAg>nB6syI7)hm+D;iw@L4o*96-h@iuHJhT9D&NvF_0lpoM#k;Vd%2G zcM%;@#6I4%`?x^BE&~zVX+424ro9SYfDD?bIv%7xhIR znDmAE7%XZxu-*0X9wUT2-+Hc#8}v8--vPIu$_3M_cz=~A<`CZ6&H9j@9&{UoH$SKE zC+UB#(&S4kCGeT``D^ohv+r_JfgG&Ur;cjkW?Q#&B>m#h4BAE47ia@-LP;;UQZu2bRH8YHbq zAk*K*o*fCtX!mCDvKuPz4)Vc1+23NJVRnur+A(>DQC3>#YY5@i;v~gZ6(o)4)oP+@ zfZu!P<9-hYkUUA|lx?hVI1L6U(6a}r;g8qcod?jP4DVx2_jNhCUJ!M!(0to|L z8rcpC4XNPqZ(+6Zgaq+DjGx>~H(@P5{BoI-MtMq`R^U=PS|uq7=F$~x>#zZ9@AG?d zb90o4r1v6$djAqT(#dRxHPNxkT)p0o7t1H+Y%yYBt3!B2eM?WEKm=w=c?{d>Cief zxX^7@@C*!E8V7)p_=EZG_kE=P8K|THAS?)_lL@>A?K3>j_0a5Au>|~Q@WRb%I{ma4 zOMm`aMGlioWhfKDP`9U`MC|*8xc!=%XpMWXBDcDM_agz>dWcb&Oy3GIeUrdU{(PBK zuIv-`W1oJHYEQiI3~>%!Mb436(`+>v+N$h-c*hmd!bfg@MvQWbbCT8*M^5w35#y_W zzeO7Vpz)dB@2IN#khGR6|6QQg3K-=0ID7W`wRe#Dl?BSC{x6?`!xbfKJ>e8BXeXgT z>^kN-6FiV}^ady66GqmcsQ^YV63U+=1rsy!ecJo;pLE*B;(N@Wm&bL~5W)dszndp3 zS~sI3wNFk_M77@AotWE>)S!ay-*YW-!kDCGcVeh-&`G0$6Z*YDT$>9p?~(IAjbBq~ zO{i}~%wok~Aw0t%Y&bD>3(|#^{&|9!SGHjAm@k^n+i&E@NogA{A1~{NKJZkoxE{OM zb7GOHYP`LYO-bI(?E(9>^;QTYvP1xGyILP@Q}Pj`ae82{b8og++j>wd6c zV=aXIjtP-2%N8BI;(6hN*A=#`zZVNt?KIx=n?5?|6bHM{A9a4a`g0`U^Y+BIbAnVh zkmn?!gONd)p)KoIcVY!w1VO~?u!EuW6^?m9dTb6mA?_kA;IZUa{(VTVi=#fure>*D z%+BpiXzN!384H?9(t~{xH;w0c&`aW(XS%n^$_BaF{p;0Bw}Xs2uQ;-GH7ls)wmy3B z(AK2xBr#g(K2p6ea-oGPqsYtL&S(vCbarxm*yGyypE_gCdrr06Z7RfumB{rVA;FS` zby`H)Osi-m$?3oO!BGt8*6?K!8c%uXf9slDhdW8`W(|JvVQ=!ofZ`Z0L|?atl8t}( zc6;(2qhLsM(lgfSFUEh5A#diB#0%y}UrMMJZ@iB|hV!w@$Cqj%0A}$%MdCYE_qacs z+_sN>>$O@!9eqWZw#<%retZ!d zYejlLC)Bv$!l<=ETP`xhm`q5|0RD!twH_^vm>*0J&=gI3emV9>ZDIfP$9-HK1W<3&YdhwS%)?;=XfQ+Pb>)S6AP&6RzMRMOWSu2X1nS*#uqHOMgr# zU4JJhSohcDMC)p{UPx`V>5sN0E1AE1?fY{zO5K{ADw88S2y6H8q1;cJf;K*tvcoB8 zj6^Pf0F&oKJ%J3E$g(>;_gi|x=?js#e~#v@C!E=g2oD9?DAmuslU7rktKC)tOOr7h z5A~;tt_HvUsX1$rQG`0k7%p)Z-Y_%3+T^lC|GASiKIBkr5qSG=OhI$jWPS0716Qju zcK4Z~uE!J~@crVp0Ix5Dt-2zsl$hOz6(m}|H7)5EhntAn=6mdc%>oq06dr%hh)p%#F25tDn{O`9!NQ*%FRj9!Q`X$P0p@Q9SG^a z?w}q#TUxaCz@aQYAqXAQ<{~BbTMMB9T`P%`^`6kL>}_;96=o`V?oJ1`xSEH`*~b?z zoR(HTzg1O;I%+7RO-=sv4fjrAwV=%0{lmT!@MxDcJ1BBR`X)2rWOHWq337xlVmi-iGV8cL)iQF+cIhN z>C7iM?ba4ie)^%?Vb4yUIqd7uZa}$}%ni8FafQpAvS8DxlD1+jjIlptSc+Ad?1DAB z6i}m{8r?@f*oBVoNawS6Z=oTbplZ-(4Eoq@`S#&2EB=NA^V4ItM}T%6dbB%sT!QI8 zB~jJ&_qL;ksMh9ut^w&v$!{|y2B)bh-9pImtyvahcgP73CB#l+Za-J;cqG^BMJjpj zqw%Y*aTg`m&j2RKO!FeDrPNI1R9;OAgo?lR7>W+l8Fx6cooTsgWEZ`O8u=V<^k$NQQlu zp@U<;nsjl=2Y{v+_gN2O@gDS|pK?>HKCpcZzQy@=v*3Pqxxt=lC@tW}og1X|ir;N*zEXT+BHN3zm8%FpJv5F0i6IS?x~BxiWiQrw25}MfsGKx%e)X zk7u~H$Upx(&YKtB00Hy-3p-9h4C-|HTQ%csf?Us=K41Wl@|uItXi46jH`z@E-+zv4 zh&xy#KFxk}^fr@o5$G=q#$~2Z3dAWdyHBQH9&&BvRLml9-D6c0C@if*a%JveK)<)m z0lO~NItzp@!@0lp9K^nTDy76)3UR_SZlMZed- zw4}#X(YC?mpRrebE1w0jfl2*3id37P7GY1$2vJ<)o&WlZbnBMP96i~svgGx)qsxbR z3^a(SkG8Y$m*F}077J1}pLV?0Lzx`^`$V`A}W*;syTK7mKDcJIt>i)pi)asLTXj4dQk8E+!V1 z&6sD!MC1vJO}9XZ!%X5?i>X+!Peql1yvt1Bv!CHs8YJEhUJ~E0qmS@Tbby~cMh;%;HqzDtFtZ70G&PX9`Fs_Y#z)JEA){DI%d5f>)P9&CI$90oR3Qm|68Gj-`d!1NA7$s1U!jYG9(vmhaP(xsoYoC`W z)*koW+F-ln{5bO8Tu;p(-*tx3+7DueJF~V1xWDU%!nNjJv0s;)7gJ}#FvXHsY55hE zE*HF_;_vbj2scg^^ua)kR6gc|kSXZ~e!+diD8NXyhBQ5RkwU2(oo|T@kN#+kz4(U; z+JtcNyX)qeQoEXAJAO{FAf+8;l2?p)M#F5>wDO@)qd_8d(7IyhSM+w5aw-D4HD-Ln>}JJgYH>xdxhUM`WP3hVV|A`EV&UHs0-++n3)mW%YNhFx zqd%P}bL$NzKfT2h4!hcHUgNs2`h1f~^o>}UliG&_58toVdg_p?EHHEL?(eJx2*m0n z+O2~bsbDDHP#N16btPqAY;HWFsg zWw7rRm9;a{pQo?asuZ!7uexXIY5M+kVe~%(cICy;K1P_W=T7yTm4rt;M&N(-d%n&M~Q`~ARr8q*$1Im z%U|-_%Rl75D6cR6C(O||R2^?-xO`$b6*V?w(#842 za80*$3C)(8L1WfzKq=HJ&N}AXoycD85b&AQmbgipK#*IZAZ1 z7#45+NbzE_Ys^10t;w@*bb%m@YFlQ9>RL#$o$MB|JkUwY0+t0ROWFvsi zM+-uCwFhhdI`Xo$da#<%2d(7sO0e*%bnPJhMk=P*YGt) z?yYkIHBRhrD*$M}CnXV+|Fhtr*9S{dyFXJjICRr7PV99$IbqU+x8piyyCV%pXzLr0 zrH@r}95yUp9Mlawhc9a}REvk~65WNVQQfg@&Z*iL)|PfX!{6!HT%#o-K8yeKv^A~k^0EI;lZ9e_#&ocKW?2CXd;&< zmE=tq<5|<9V7Vl+;M7srjQ)-|n9X%{{vhz_nP7^#@&6 z=$hG(`C#1N+GK|GT^X!addF|T*Sb|lOM|TTpGD0eO2Z<9B3@@)P9!C!<~rVirX6t+ zQcqBet1h8Y^ecNl82)%Vns-Xe4VE{Jt7ANc_uJvr*oj~FIQ=f`Huh)wD2GTKIaV@% zUV;22kE!&+H+d`~jxA z?~)CJ!gm#s&FC^Bi0#d8(AXRJdUw;bF}k(bhxvI05NC2f(Ih0ugQet*Me3vlOozP< zn4)!}iGkbpB!;C#lb7b|166D|>K06fzvW#Z2{T{l?X3-F5!BuODL?;H{N2msz`ZTr zp5s+emPGd~`aseH8gtNCm}{T<&uv{Hvf#9LxbG$(ojBm~sd#*0J|vTq*C;|s*``;U zaPArk@JH_q_uq3MT7OH52kKkr3~bJ^u1RWM4+{R7Cm=R!Pwp%Rk;pA++T2FtelCT8 zY%XmEm7_fW!qe3-ySUU&mzu=!msj@<=L5uxy4y^UkNh?Z%fG!fa&syPz{;vUuXZwPsY3@hRcBeEIstL=i!8}E$VdAZ#r4-;aa-2 zf)y!@(m9DjCgD->B^APto`C=O*?SoT)+G zI!}%yZE4(=nn)tNq+3nUUFHnqv#&o34PnpKrgn;@=R-;>FRXf`gQyeJ@|b0k_ZMPk zmQ}j2-tb^AS&^N8OIWXHbMi|*w-Yp6Fbi!@HpM0CF*vtpTLB~lE4Cwai0$KKINHxT zA5c9=!Al>)_z|N!sdBLTqr{HN*MGD58!_Bfl0`V`sx|kjr>ug9khZ%p?dL6W7D@Yv zSGqsA*wFIfShYN(LK}ix#2uX>zuv^MxAaEp1ELq(YE{8}KNLw$zQ)6RQYM4|DDxFi zu_>}6tcKQrPd69B8NjS-^<E2-x8DTD ztp2aI?v0sfK6zDNC4`rf!Pn!JM$68hNgZph_>x2T^GCJ5kEcGX0#S~5rCtBK$v>wC zdcx`IsCN6^f0TI7{i@r=DE@)Cwb!*>X?@GE$RO)EYbG~uwiAj(d{U~KT#}ul(9Or< z?3&hM!wQ&}`y5nB>N3)ghFZGvtkOqHrZI{(G5+g~X;w?xr*wZn^`iw)-n=$w|JjV@ zrlz$<@FyU$I;D!0996g^A$j&y`NX6hiuD0YQ1+Ao52}hB%rDxXq(C?`u{hGsv;fXb zWA~1DKteS0z^&foa51qP>cqOjRYdI#G}l6R393xil&NMeuIIZ+{>V_{Z$xhWea|TE zFxh?eLhbD2%OL4dH;WlXo+>b~py4bgf)RDXnlrUY*qplbDkQ(jaQIqvKx^gwca?(} zmZHzhXJ3bpiubMmoTnWC=ao}x9+-`O8h{>DfW(&N>E#Lsz9}`!Z{mi&{rFgH$87Z5 zg;~G*HItDDHPcT2&eXLaaNbzT%4k0FD$0f!E}5suJO^*TJaPrjYwgbKh^Xf`7L3~0nsHPesBZ2 z*`bsbWv)G7rMT#ybjoTRo|wV8%W__rE|LEh_g5Kf(;Cik7pQ#L;lftRh3{o4W0vE2 zyLqvc-U0|4X|7S>sZ;&;276(16AGY&Ul*xUEwTa-G$9)Kc@&(;xyUm5R{r@^J{|g zRW&ou+E~LJ&$MZob3WhO6RfowN*_LI`nM?wr#|vf(=M5>MJtt6=OzCtGkPjI@6fID zQ?N+~V1~D}-4v^%7>LC9g|xgag48O4gd--bT$07&zR9cWE;-+y{49Jhi)d*(hK(K2 z)twYA!HGxXn13%J3O79bi}uURD+QqF0q5y95^XT$nm_>|MAD6krmq2Z#Ar{^KFCjd zcPO8Yh5#0V`%a=aaf%>S{Ba*y-p`^h$7Z0_A;6?>yT^lmV#^ISLlGJTdj-&-Wo@Y* zaIr9II%nGwwDa}RblJeGIy{V-unJ;CU)rpy`SJS+cqTBA|Kr*YuHUl|3!7s}s9&HaymHmJuR)|ddb6EYRGEM>mpGQtKD@P`DNtrMNm7+v z$5sR&HSB7M zMz-jTCajyB?QRsAt9;4TF7T2t!u+I9e=17d%X(2@wO&}?;?-C-e70nG_*26$scPQJ zvMFJxx%Xaxc~#L&*Gu=v;Cq#QCP$J@KGesx{mb&S5pa$$p)b-W$uq1J(UT_u0zdlS zU@%vkcXJuY`|ezGGn)~6hX7U?rj|<^2K2H-J5*UW1)r^kE2f=@U90j8Y=sK17k^yA z)y|oW+@p_SLM{%U=>pb2bm)o8EGfC4E-<#?cGo}V)Z?iHjJ1;TV9r4 z`n2U}()Qx}AtZgOf*$@zbF~=J(!}Wh{OP_!#QB2b#^~M|I}K`hMoO<+Cwc%AoU)qy z%MUxEb7hXZqp)mFfnUR=B^9|R%w%!U-HTGZ-rm36L2ru;I6C=j*8Qo5K1*;n<63L5 z2AR|uF(f<|xgM8-Ne(XF2EnvLxRjkhQ{SM8*xs^s-F5Odf7SqT{48)qFU``>S)b8T zo??eyP5t5BA96bh2$Ax_>SkUG=Bb6b6GuB6N4tnX8fiq(=@(|FD!h%W6X^KGKwe zrp%#E=y7Q80BsQ_|~R5|7lPrpSGo?YXpjRo&ymwF4lWk$ZkWaH~`I6_MLm zd;gE8uZ(NzfB*ifD5x|dAsy0)FhII%loBE^Km|CGgUDxM)OQhjR8Ie~Bw~WHM4+m7|KCr&I)CP2{=Te_$wRDmkzIeq;bu$Rl_;&C)L+Mp7jz{p(A|b#$72DDb>X^e{uXkeCnOGzuFzIs5zC(Lkg)o+gCKA; zNk-wLrU?0jex3s4T3V@XpCc z8}=6$xvm*1z5VX=L&CX1+N+ih%f5!$gpl9rob1aa31~)K>$t}rKPvyXFVvyaMhf-e zOd`1XJ+tDq_5I?&Vbgl{G(V#Dix#G+ogc~}<`}WzI{-txs@N745`H*-@{o&m<3dvA zVDqP&aH9Jcix_v3V+aR5pWDx)*!4~hE0ix;_=lMt5%YQv*b|X-Xsgbmn;@&p3I9~h z+EI1r2OWqX?v8JM|G9;S=Yt?mXnb)o}K$iYl zX4dLfz_-*Oy?gqq#y*Hd#YPODQ4Y5#|9<^FoYsD;J?`fPJd30ut~tiG51|T?V@GV! zUnU{9Ou3va%2MAub!m$gW*(c3oqwBPi>bK?z*h@{y74ISGp z6MrI!KsE_!E~uN-c563mtY+)Dn)Re1Zq3SA_4_;P;=%n1a)fAA2F_!Th^$An;&Hwc zcA4yNohAYi3DWPbb9j~XUDiF|sfpo2(R-zMx*Y-WyHGvfACi-Hsshw=#LEJ)x&x-K z(VrBg5E}$gWslDh@--AP+BvU!B)^X|b`N+9Szqei&hA&=-b2KjX}+$%?2% z3F(eo(N4wP0cmZ?g;%1M`efDOq4N6R$75l8y&=X{|2}q9!P4-fwn4W<({Xcl%Q`zG zqB52=mAHWz3hsw7sGPM!$qJ9ne3aQ%;l<_J{Hpn4Baqo8I+e7<&%2&v6z9D1JojzIjRm!7PO<=nf@iMm#eg8{WZ`p$`=$)WP;C+tdGHPhM`6%#K% zHRT9fw(!KwYr8|T~-`TrQ>zyTQ*40QV>QYqW{QJzBm ze|sW`Q;v82JFk_F*|_*pDAR4f-KtBv_KXzY-9M3CNGIQIoQwH}U%CpQl3Iktq{+?~ zZk#_slql}!O&be4_JI!kUWFL*z8*e!cFt@zX(12}JVUcwL%ZZNp9iun-ji-nHe|(V z-fxJH+j;aysQBhEGNxtgDu9U9f(xtPC~IwcgvCmig*=^eOU9G6d08xII=aRf^O`qB zT1X8i{8UMEFYy{Xe1Smp)J77kb3P$Zwhr5k$oK8FdpB4< z9O0=t8rl4>VD*Uz5MHc&SI-_VdGtQB%FWGmWa++7lpA4!4DPX?f6;WnXpSohpR1sn z^5`AI9)zP>ob~lZQ@CC0>cHmA$?|MW;F#a8*;3SCTt*@{;$dVs{ux3aA#BEm;Wc`) zEc#=N4cj3=on5$bWnOyRD@Go#4*|M9Qb%uU`#$(%#}|b5NVvnBLe06l;2VSLuWm39 z8#9+<^V1|08y9)7aE-u*%@wg7yQYH`6*+)Vc`|h_4tH~Xl7#!l4(7$&+L9wU_4*qC zR`6K?2%fk(qaZ*t%Sybx^lEZ6g!QIC_?Y2h-#lsizi;GjccGswG?+X^;qTVo;=r$o z*0$d)yql`;u}`9*ahwIX;}m=0xjtx;lw(3h#Sc^pgr{8B?RP4tHYZI9i6#fUJOm5& zzT2Ri7?DrFy=M1~ZDvEOnoPJ7TS{Ox1Xjj6Cq#YKN?!+6>%v8Q#D<%c1dBou>0-m5 zSrOnx2EBO7*;`;iGZ?7(kA7(%nP}-BA=;abK;rhOD6x*6k4zdM>1sP?YCVVPTFfmK zpsG;V>3!Y1G#O`iERzW|J$mC?BbJ}y8r@gHB&<71w2kc91_4*gCDVmX5JNuiL|>!L zQE`{Py=T(fqoUVzT(k*0EJ8{4bOI{SCKY!|=k zum*YA!UD=IG1wehKgs^*g6ck!h@_W^CHGHlU*0SiQGNsvXvergzfG<(n&QQ>aeY== zeTGYfe+BlTLUo^UyDXcliroV2`=V6yvm%UF&MiHMGlg05pn?-O_ZnAa?EG&lwv?>} zVQLjM;1<_wG+rWoiqWk1IjuIkzGSX5@k?jgWU*CV!B~LZ(M?-phu7$H zsj(r2s{F9ra&99 zg4_*{-bOm|o8`A?B9}(rCfR?9^k)O~QZvs)e=E=GV~UHtmjfl(#a}Qz2F*lt?`D(V z^`Q)R^@~ZjgPe+jh%CF_EcCT?_An1TZS-HEV0_&AMY(dSmN4J=p5ZbBu|#5P`s2K` z$%IgUasQbwLlhU+%82`-s!plI$rw?jFo{7hAkPV0F%A>Pf$uHvyunhIZv8pOXR;D{ zzJEKg_C{QXr~byEw(w`)rw|4+c-)bPad{7{gxokO>svM<8I2Fu%!Ws`ZrStKZXT82 zM{DvMlt8u#P(dP`;h4z)Pd7D_x4_f*rFiK2Q~cWlBf}EXzW4FH_e+*9tw2$iS%cZZ zeaKcN5Ditw(!od=Bs2qDKV|`uNI);$$&-D2RGZ+Lb%r^y`AM6IvBRFKbo%>3TcO|iGfhPcjr zxZ|B!5V#>WMZUGvFf8R9I@^i=ZhMRH) zwo5qDnwk%U__iG|;5t9mj{Rix9hP;}ZgMesV#evugK~ENI6L+0>z{nOU;#hJlc__l z+H(>=p|U6iDKh^%5v)7Ydlwk;-5-mEK9=5uU*7h5*@6(pw$~=9XGyYq{S!5C59(?% z)In45uKR-)aTo`-JHj0@`k$-e3M59oclsKpE*v}A^`?nmx@d(Ez!91>v6KEvg@2|! zpH=3#gZ<(%76a2Zew;HS)#d`{Eq{A98qxc#_X9BCSS!laZ8T2v(k;VAH?INox0EQh z+$?l5jsM;rME=XQp>XdP6z~g7@=xJ6dzna*n02uVT&h^6Mft) z;K#J7`lESe)kk{Qx{oCEu(YN*`SxoaZqKP&$3~V1+yFxX;3f`u#a(55Q6Ifr!0dHX zz@?MD&qDXJ={Wpg+>_^RkBB6S$sjv)421%(2r3HonERlT1hdkN!`Ao%MA62HoDwbr zE!RnfsVh~@AbF)Re?DUqP&eM{l_`v;+vI^iLpL4$Nb<@yB{2RijNiY7j4cDOQMYw!WYlip+9=M%b#1~ zXu2!7azQjUpF|<^9xpBaamzgP7RA_#P*?YX!u~VUP~_tW>R?-Y$b+d{I8I?29Bl=` z(L<=Xj}RiH*oo>mT$*;+^uEt6*X4zU^^2e?EPtoxriR3jt3)9Rerq6*Z<`)-1F7Zh*+H1x@|`^rNDbyg7pU* zG2H3FFMWAybE|+&-p#P$k&%T>*L8}kXZe<^dfa&T-LfS8FQ}f|U#C^3_@c--;0xS) zRU;Md-?;Z8cwOj>z!4O~?Ft)g4r^l26J1SG&{x^;c#|BZ?~xDB!BF0@m7?|A4v?bH z+=MJVS3JFUN6Dj3?-G)-)L$EZIh^lFGG{<00j`J1Exl^Vf6c!2o8stQYOIIr`&Xy^ z9BoZO#LIO-g$~-e_+ofo?BI|~@&=PbJ!+^;a9nmaa$V>BR$8fO&eNZZk5IXrqWmrU zU+i_WEh?9bg_kR(ug)ItRg1%au4#QrqbQX-Zd77xCPg!t)Yh$jbwMppDWDz9ei&3> z|Gez9g?e{w9qzEydB$v|HG1Y%R{Kf`UhBzQXr$lsOcx6_RwR-q^xgD*Q4)9^=R=6eXf=nr9~HFhvROg-tgs;J*&wgVATz_1(3W zQr>?jLw~)C8=F3yL=Lrc^rjht#p5zKVfl=HSjq;@k2B4s!h)Ga`HvmhzguEd&Ho#d zkXMRV6OJy*qhB(fj;}g-O5fI^*~dsx2EpibAM}e?=BcOKJS!Ijm|{%=`o$}0IL%9o z{{7rc3+&yADJa{iR5RyCcrDe8ts0o8?DaPP(SJD%_fCB#<18B48do@tIH&V(5#2^M zUO-|oED1UKxb>sjA6KegCxf`3<+wSvu?d)WQU(V;DOR zRECAkl__Jt{i+r!c$LBPW};lu3J{t)+P;T?FH&&H|DRqDfY4nu)g85+4nZ zdRdIEeOA7%_UcLMt#KPO-aCDEE}f;0(y^i40Ncw<&H%byT-X6~*6)dsv8IeQ zZNI--f}jRf1;|BLJB8?AUE34*Az$3&7Yi+slOrZIi)V=s*Q)K zkMM@hgIz$i1NCcVA4Xn=JjNs|oiq^F2=6_0=<73S6cLyKanD}G?Y zOZLVwlf#eq1)ydvKCKrG$=n(r-)IEe!j;46m_=(QC-obn^*(duXwe{wZdaw)^UN&X z=tc|C?1&I+>tp`A`4zh9Lf7<}6OA#_bc}jZer+WNDfy2?f>!B2cOh$;?PKV~zZ>SK zx3@#A_~vMl1E={2f357^PhMrATvdZzP(Q+OpFtznzp}oQi+E?-|3feH#SW+DKh1<8dC)P!OWjG0%`wMmG{kkUASLc|XPgVUTRt08RL|Orl=312^rql{C!BT9 z)_w(0 zNYS((_ZpIsXTy=>29!HiJOU~bf1L)Np&mv)nGpV&&tOfTGTy~xuQ!|GRvCCtV0>ug zO5}}6=XFY7B|iNZ;R2b;g>7Y(CD;XL#jo;B5hQnTK~KynFfLsTs(gOC`P11V`#q>e zi|8eE%rrlM|7aZ4WO@g6mo$lzjqKG7fU!J3*m)lm1lq}z+F+OyJC~$*k&_)coPjp3 z{e~?l7I`)0fTRirjV-f++*oTbdefN~4^bCg&u`eInJvWYibDoVtZ#rG#I)g!4B7UL zG&6I0sbcuxs~-taogxEnjY<@u8${4{ecrTG%O2cho#{$HapQMmFP#f?E6S@Vzlb6h z_=GHSUx^g)E8H z^8$C+u0|@|r{NvZlXI5YlPtt#>ikFupTmZ>=}{3k;s$QQ0Ca`!(8PfusNIL<_P|&R zyUdmiWtx&8s5+d;0Hg8eVv>3thn+-jKktfi$<; z)@ZI_2}R&+OYF3f3!PsZsnQ(1?W(y#OKeV-@Dgc~v~|0LV@)=_SC;?dW4 zJDxre}9tLo;IP75Ewb0))mR-)y%b$|A$l8mt{HGTYykM3gK z{MyL}^WL)ttpgZP;R+x>Z;2pn7G%CfRH1fv<(6LQ2GOv5ziHOaK1Z8+U>jr)0D8c$ zFL33IM)v3o?C(^tr$Y8hqcW10F6JAvm$fhl_7z1g9m55z{+pRtL2G zwD)4ie|$1#u;&v!xivW5^je@Pd)M7aJ*7`PB7f*3o@TjAQBLhI7KuS?V9Z!W8?Tbk&EqLlv$D&l;5fB>YH#yMr?w z`R!j5q_N*V+MU-Aa6aWOplsi@y4m~0hMfxTv#UHSBUI9XCpd|4jn<6$8{9;%cajfL z-==%X0xJWEtue;_P7yo50X}0!tr0_GQWm9M#Fb9y?-CEm{D!%Iq)_}nntlEC_Lx5{ zCLr}5H@R89=;&tF_gfTy=-hqTd#~NTK0KFx0|^MLVTA^yY7fzmi?^wY@-hH*GtGiC z569%d!{fv}fJ0o3etJ+I17mo!NRzmb&a?_xjXXIej|^+FuGq|Y%0q8IC)DIQ&_;Qd z%h{#A?148qp=+9xzykKQ*=gvQgK;sE^}4$FIx`s=vXe~{8V}cmRT*g9T|rLn;C>&A zTep`z6+GWJ$4Nn3JM&%)h1b}$8oANTD})poaKr?USFzz_H;3p4ZMzzHmm6P0#LWkz ze{mjl9(r*zi1xy{NFsYzJ1{=@l1J^E`Drf$b8^GPXeuek%%YSUSlv9=yY9Q`nHU7N zhrR9dJGC$!2`fOA-_qDp`uxL~WR6qU%dEGP3+Cm2z+zKCuvNW)+?Knr;yIlemnZT0 zd3^`yt6(2z58$;GkC7e=Q8~vSaR{w)^c!rELX4`it4cv>FTccBgUDTzn_05Bq~uWx z;6BEko-mU$$bVpX^(C^)#S(DFX}f!k`c&^o`jTiHmYlXXlv{@UW(M3M`!7enZSKgt zfwjf_saKQ-1Ej`O`+L%-#&>`2CZcg1K9llRw^=4Rk7ItCNzEQ#pBBB^yHX0%S5Vsf zHUwfa;*>OK(~;T_w&530^n4=dw4duCKy-^90{CdAgDz+iPWf1tKBXaGH#GM_l^%1Y zY@b4>`6OObaQ?WnLOGLG_SwvC$MnJqB%OHmv|5+pMmqU%b`sPamVZ_oJAwC2x+r+0 zpN4i(0;`x8@y9K@HSW6m{oX#xCh4OWr>cI0BRks-8e#HFxhazkg(A%cpPT}SfA@2w z8|`Mpplnfi68OphD63o5WIbBRAhT0wn-=)rq} zB036xeSq}`74;ahvArQHkCslcTkq2|bHg}XHdpipPR#-#v)Ncy!KtD@1hIT@A8s!q z=ba&SEzHLqB~q*Gus&J4A0Ku)EjT}9cjwgJ)3xV3A9{(;u?hQq5k)jLC@|iQn_QDi zkAn(bG$x5;-1wva*Hy0C7DE>)Pv|IWMPXa?BeKo;3>A!$K-0;!so(!B`#FB|V*8n$ zTnLPx_8sOrcvpM>65FcL^{26spD0rsJ%3LM=ASTALVkUUO(eR z_|0#sy=nc6>Yjao&7aB8dw`sffJcXn3_sx2p2d(t>iLv;)T}*AZ3UIijQK_jPH27B zd;4UM6QS=i3kGG$;-P224IyO*D))F&OUq4d_U(YymqL-PR-6?4&a8};G=+Y*VJo@9`N)L^Mgt=0MCd(kYWQG4!^-7&A(`v7g= zx!;`a>r=oh6Sxw|6R%s!HQ^iwxERZG1$mDGeJf5H!BZ?ZIQp@9EfnVSiNC!;do~W| ztKu!_VZrO$DwZ>i$=}#%W#NL`TW3co38+|8SEHN?j-^6mXBBnUVoRw$M8Yh=KaOIu z%^X{LT=+6^lmne$uZIcxjZZfr{Vv>}re$4N@cdLgqF+AZLR!CgH6lnaHXq!(*hWC(o)R1OlLK)$VG{A+Uf18Qsv@ z%wryeDZFKlM~Z*$vsKzZ!I8SS#ikh^%fKa0#su_d&qI=?Hs`7|9Vb-O22DLG%y~ud z0ddvyoA@z$M-QCt64}wj+17a%P+6qybJV~$RErjpf`UK0bFsIzIhp$-&8-ns?j>vL zm_=sP`q@WanxEK{v(FZnO=q~LIFt|8Q>5)iYo2Lwns9Hq^1GZi+e1JpgT=n19-8IwpH_Unae(Son674cv;|a zN^`QeY?J%>iNmEd1^Q=2^5gW@y&qY0$u$4ojZ1C2Ss|H?Sr}=*15F6;NG>vUc;=J7 zjtY>_>2%zF=h2v$%8=arP{Sro)~hCO=3^;;8?$i$^T2uMmvw*CXi?w!G0&2*8?#?( z(TD6UTbvA!Zkr+w;1)B4m0PXqQxa1vsx< zO&8ClS!En)gxe6n+{(lTFx%$Og!@V~HK@YwM7TQX%6v~zv?f(O7WfwcXkME7>`SKS zN;~X%feK+2?6q$^o1@Q7a#lu-^n^--@lMZE*tK_G>40xWHJY6=H#%6pGw@T}j&8;S zU_t67Y1Z0(3fz!Bw*sIm-vb&Of~8+>@2aSt-amf_ zCmFQseg}5{TinfM+9hH4n^Y+n10{KUjYjoj0Rcg?t@wfL8pYOcmn=g^np@xm2BWAl zES!~^XWN(@D-S5KiMnPpjT^h8ZZ;2nY)qc$fhxv;D4o?Gej$`ligG8t^ zU_Hf2!&hLBFye{;$*OgRvy3aNd9888$g38Hxh4D5%_**IhyQN>MMlC6u@$qqNc2_2 zV0MiXuSeJ$aCdpZam5|IFR|@Pi|lZoOiB*jDOvqBITR`Wmm2v{c)El6bY0uh)qwqg zW6|>UqX05Fz(|?PilpL6(Iu0+TbAyvmJH|i98whTVtNiA~Y|wNiPYFh{C4> z@tH&tkw|Br>8KsrJO$H?M7m*!uS6P|lD{?4OBTZxN*j$tN?#DT>oxJqO48qyJ_6l_ zow!~*+O6Zk5AV)WTXB;k?MOBMwrhX;?0}`uXnH`Xc5;;VJ4=_}5*}rTQ!8c`I5Hmn zs3UeMIyr-s-U-WCo?^{bbz4L_rsJ&_~3HUhAlKQg&0;u>%C4EacC8LU%i_MZ{$+1|I3PpB^5= z?#25ys$!$-NYCy-8!rhVzdr)@e84tWd873Z0(+YkEIf6~RimZa<4O$>Vq>J8u-(?# zZE?^%t|&O8hm4Hf2L0kdDK*8ijUxJLSg{gSuPb+W-DF#q^ns<{>AN`zN$T|J5dlR_y|8jVKRNB6wB6c4Y zHboV_sVgB_EA}lzYj2s}kb4L5;F`bsu}o12&?4=GZR92Rbhwu~R)kIK&&K*Xc+2$E zxYLo&kvQC`hBD<(V^F#XcVJY~5dGOqZT*+4@6dge6U>{Gk>|h0_@Cr>b&3Srlf9`i9pBw&D{l z4b3UBR=Vm2m`@oB^7sYrlviwk&c;&2_*9XU1CjnvbyYIi?(k~gTD9|vXH)L(a4}QP z7O%>A|9NK5`YhiY!o+5l?zMBkHv?=(FP#1zTIdqMdj9bZBzxkLH$K3u7foNY-57J_ zoAq80CA)(xJo(ltQ^S4rayvO|^rvm|RFG#L^x|yjXs`clYfhwT_l^|FiTeG$R3~OX zZTYlJf>YJ?BPccFmPzcNnR)Y#Ewsc)!+T1x;BIAK{De(kR?yi{{mrE;;Mx`txeY|S z)PmPuQ>ZB;I1sk(euYJRoclFTdIBqj7sx_MK?kFdjG~f$@Lmw>g)czTsHChjO$>y~2Ny`uoE}fH#Eb{%ABJ2={T9{*HTmb2sl;*zGv*XM?kt_Z-hBfJy?VZ86si3_NOGq>)Fz`*9Cq69aNObV zG&zVrHJ(cd$wfGRC@~YM4Sr68ET~HUO4-gI{q%cA2d#ve{(Bs|diJNs@y5HlV%ykh z-S2FJy)y(V$`_b-&#Jz}5dzsd+KwYr`?(lR@qS4UK^DnrE|wkA<>Oe&6w=(%&f;q} z1lXAvWF{M!{(Q2na9IKv9JRG^OlEUquXkYt-b#P^9_K=4iTjs+_4v<-ksiA8)taZ} zi~n`&P*|cdlOy{JyGRRB5It@jy@`IQtJ#@AhhxHB-m%7QM(`}a$C}E%=q;bSW<}n^ z7Xf|J&sU8B@_oDmqNN{RL^-~P>df_h=J2@%C7N?}QcZ{jeDeLKRKs(THq?rLo$7?f zb`=v`xaiI2MnB2lD}gU;6o~hcaM(Ik_ZXk-mVrSxnxH1i;rq%ptYdF2jEwp{0_Ojb zL{YF~5_H5~r&#kBbgK|N)9EiHZ|3!$zHbF3fi*wQm*wRI|6|P{aOF`tVSWQ&-xxKV z=(FVeUEx--ht>)@;PIq~Mnv+w+|!E6?|5=WiX43L&!PJ)f&rgIXjU8?`&RFX@|j#r zM@e*%jMR_Be7CuNNZ&P)oImxFfPsX@ZZ>l87oFQ|dmP&wR{;+$YKMh4JE8;weINOW zAr^L894FRg-cJ@R+PLU?W5(?yshhsF#3g{BG$)q|i46P;bHHde?@h|vD_SsnwNrDeSFcz;-B!gKCFW|U>#X(_r z+8cO{MJyx|D3P+l&}+b&mXqPEm-jJ*0mbS^x32jP4`MlyZ##>m9I>LINjQ$Xn+a!g1TX)t6hL{H2im zf$&bGx$e^IxkRkz*4zWnS+CuQziUYBUZjmm-WNXm$jdO~YZk%l`o=7~mo}1iJZlx@ zKO)zqZO3X{T_GD(GzTRotfjeC8TuL{3bUJEGTJSx+7QL0^ls5pw`M_n;S3XW?y2{_ z+`_!MD7{XGz#!`GQ>4W1Qy&B#^~qOG>vBT9@(mbN``Vx8#ks@9kx`oF8Vz8QQ>WAO zzfAtNaft~VcVFb@A{-K0Fck4XM(}p~y9>`m$JZp7Fq5C{KxN-6tV^OCGZeHRnRU1| z_GnWIK75+^(hW7T(VR&yhr zIf9H0^7!Ka)h!}Bn6M@@mkQ}oXI{Df9|z|`6!)9m5WoYm+W2CyorEQBfXGP*@y*azyYfuxxGoboio*UM1W>O0+{5v^l6nU37mq#8_iyXqQB zK;o6%%xd*KQ#H))7mR(|${N>ux>{PGu_aPqsfGWTAeWmd4!5p}-2wf|mP`UQwib)s zO;!h|*!32T(_`+=Y$(|Fy}qcxmST678)!ARj}(|E4goXrDb)mp31o_IV*P7^%He>3 zJ@1$nVr?@z!(zKxpr2Z1VQR%>po(dcQscfG3-zXaEO>p$CqAv7F*!S6>q z5vVh|YtN44T{94Nk?%pXr9Bu0z%<^aO&+OD)=Dn()wHwrJDGVznmJ$%0Jb+xSmKLz ze0%X%|Gx=xX;-JT=@^bvhd8G+8r*wL(vx<}g!l(>5_wH7p-8=>ZGWYZjUU*Ko2T+_ z;Q92B(`=yL(Gs!?-Uo^Bl8|Wv{X6_OSo@8*hxR9Oc3v$rm<+gIAFOh3h=BW^O_fG@*^feV3)= z9__c%z_0s3ZwZ8xfz1lVhNDjmt82FP(H`a0m+Ryy@RniEl-EIEWk1ypqq8@XqHX%X zw2J(MZ;GTxqz~C*U(Lo2sgw^=NXYfBsV9oW7*xNlR0XRQpRcrzZ(8{3XKT}&WAC;k zke#S+xkj7aL=Bc;gMY!$jJaB)SI>fP0PsyOg=LXORCRkS+C(!TGO9+W~#j7D;{AVJb#kbMj-VgZayoBC=O9oYY4n?P9phTU|^-Y(Ok@V zL6hu79n3VDfx?FxmKPU=CmFVH&sGUw#!izm4j2Hl0Q~ON?Ok$j@^@TW%-47B9k-gi z$g4skoi;}%hiLNC!6i%AW3~G zX;&WYLfBKzS~Rd5=NYH4)w~R-a%X-^DWTo&xeA&^z_%1 zz0P(-BqX!)fYc_T_);d#6K)Pajs<*y^&u5c|W0KB*Ff=Y6PlP_w{B z!?O<@sTtRfdA060qGNCJ6JkTtLe9kB#|G212kL#bQsG^0nfL>}MM`koINesZbl}yL zv(@%=pn0?H>5#%u;67Juht!B!pWQ{pm!3f7|GgsX=L5B)N_{^5p0UKASWv;CS9}>50-v==6iHDf<*_p_k3(REjdJncNs8b#m>j74yh2B$)oc+#U?Sh z@pbe`7?MsSOLV=H3A~jyPrTyO|IRc4%l^<-RLVv3-|UjL`^&HPUD(q0G>)8MQBO8hnNuJ_LbJ1HGM%8iftbtCSr{p^=s3h`nd^%0O z{*JCQ(zciDIVFu=2w|0a*+@Dndv{cxYt-O~1OlwfaCbKd$*?Cba|NK3k?v#Zn{sYa zdYyIDrw!6-5%}M&;|A59o89BCq_;HevodH%XgK_6H?xyo4~Vve-)sF0WCboXyt7|d zyKky#ZasH!Rf&DW)2o`x;*|pFr$Y`|=GZztAFR3qvgU6t;cJjE9Ri{$L2VL2n%17^ zjmIl1X?HGkYrbDTy5xzPB5&Y65&W{t#9zps+TR&V(z3_X@~!Nv@nt0mX+d5B(kOGd ziN{{gBqVD-OmUwmx>9>fmzA3R6xm*HU55LQ;MRp!fxC8`z48dBlm#;ye)V@|B#yKn zW(Oo(Dv^ghy-)(B$3MTh79{vpGZazq+#{HTurZTD*k&o{-5OZ>ArP+Rmb+~!qM^y7 zm8nIeED;e!dRUR%pEB_GFe-)-!^!J;Rnq&5Y)5}Gq()$9eE&{zK7AS~YsKoAe*Lx@ z&7OAd8mD1K0#OC$wM^uZkOXV^;xE@{;iAwlLUviEQnYh5Wt_`oQsX)nw@Yi8KX? zIvDXZ#gz~OVG{-Y_UG-7`#d^2eR?9FqiM?AwHCPr=~GJ@CbzzQQBT z^1};?6#MsL8Qj$H5vqRsaei&tdY$5*hsbttYex3%P0*;4?arnP5X=(SBiU2Fpr1rl z{6-yw|63A26>-vM^*+~K|J9H5=2+e^)G5jB>qvdbjpX%lQvG=Qoru)O$x;3wO_G?% zd9{QpLFRpNkV~l#xN9dsCy`P%2LK|EjUsp`%-o-1V-QIykg<1-awd@rJpm~R`bYHq zqo0{z(hR`7wU)1|0IKl_>$zyVqQ#Ki$y0h}XiKQTPPyS4IiDsF-t^Q*_ewmCEOGa- zqg~#O!4a)-)`pYz!>!=vr{BXPh!w^UzmKe)c0~r(eV)~$$J{J80NIiR+3pb2!LigY z;5*+~zgsuceg6HQ-u>5zU(~nbp4u{$5Livhhui_NjXx^+$5j?P)=@y`dqc*wcC9kR%<=v^9RRxq6%LC3-NU_u6VQ-;lzB2m>ES4EI*v21bC9BT zHq^z4G$sFrzZ3w}KiZ|=i*u{}rqkk6!+$_L(Nmk4)DQS@)4D3!lqW93$u4$>V!hNI z@??BFK_JipS-^DN2o~!fa(var>c1NO^0iX_a$>>qJmA!bLm=GPXVtLlENa%b6l22G zNwjwz*MaJH8z{yi;;ziME<9TFGY0MTBp(9Jp%F%^`~QRFuzLXICN6yzUTA7N?_xJC z?%Zc@RLy|#Z%A19NS%og9k#Y@TD>ydPDFnrcOnhBh{APnM)%!0dH>TN5g*BKpUJ2cCQi59$ zD;{4LPC0m1zEufHSN5~MV21c!(LYFsINbzUnuu%t%zh?c#a^@}APMeA2sTje;?OZW zJ`!d+?A40bS`KmDwYX}m!#2N;l@pIs+Oy*GLp>8StBA}r zq~HSxkC}GBUq1LPn&5s3Evui7KbtKiqO)o;?)hYREDC5kpL~HwBT6$xJtTNqkbi?B zWMP+SC(GC8WE+&f*6i@Ku2As;1FW^8xpJ0|we$EfU_MFobdJl8!|Y|qw4c&xPRA1h zCAhx|INJlZ3cRDYj{dCLaZ3{Wk*0E9Zu~Bv^b58l=+Sd^=Rq7ux@U>0izmo08-IQC~fflIyC&Yq|5oP}#%CK+m zttGfs`lM_`v6VEhgPG#+fdfscfFZEG@C6D}a&y%!vE({uSMQnZ8j4{skF|TuE8lyiKAi)zqNi%jI16GFc-)38uZ1nTb8cqyh6dCQO2PbVQ39J>27@PP!h`0BkY;jDfcH(sZD1^KM%NJ=-Re>V9kTrV$S zj%bW5>}5jPBaUy7GEm&t+EnOCNIndWxV(5Vb{V>I6o68##&j}PRaj9Q5_V;w*Kwt} zxC)k;DT!OgO76NkcV8xk)UH0b6?ymLiE6_b|p8Km8-OV43>nLiBw0Y|N%@D*aWLno>h%(;4Gv|2I#P z*QxI2o0>?&qRjb#2Zz3VBI(l`#PnkCOUH+J%gO)h1*uTn^Fmt`p!FTzegVnG`u$#U z%g;@HL#mm@fbP0`ZFy>s%+gU(&-`9kl|5dG?P<%nCmc~z^U9<#Ja!OHb`rZaEefRWlh;G49^a$M2*I2=ixV{!ya0!`$hssm4C25{*a2 zWrUb7R;FT~c&h)f3jc7B;wwgwPb96ST*Kd87xFK9E%r3j{#pgp_B1n=J=0GreWq|~ zp6h^ z>wKj0jN|QAGDhiI9v+SrxF1+0+pl{9^GK$Ci#c*Jk`uEkwzl3?sSLNPF6Y@3bSuD!<*Hp@>$NpdH>wdiZ=*$lAgL_24Rs37B~) z^4I0)NqKz83^&q&Jb>(is6TuiEfiA)9JwNV(c0+=aioc2diKCHA1)PqCNwI?BU8Z6 z@!5M9_V)iV_Lf0$bY0YF2m}of2=49@U~qQ}5@2vikl+p>cnBH@J_EsZkip&I!6EqI z5(qlM9fIG^`+arm{=8LG7gIger)B!=wbxpEA9TB6C}J_alCad8+gYD85waSM_Y1Jt ziyob^c;sy`rykKj1<_EO2N1Ff0uNV-PaxlsMQtVw_5L?^Ll$K@Sz+szno_81ltl8~P*+;Jjm*S*i^iF8?K>@t zM#$=NDz^>$R4O;jRjE67vneMv@>3J{puHQ-pVQ+DaT)aITlKv&j5ZwU z&0iQQH(rY~#ybBPAV>}^E0&iL<W2pak&Ot3H`c3E;@a|fbXyK`B!#@Sfx9O7+#v-V#F45}5zRY8=M@q_iB{DvN6Q5z(@>gS z{7e!j4Ck_~O+le@id-@Zqx+&;dXny$>h4lPG{Lt5ur5&P9SY%Iz5&X!^san!$x{DwG+jArkN0_Sh11&*W%mb!N}Sd z+t0I~CuVuJtgA31=b9fDl6yR(Q}LZGpqVP}?z9-6vM=8QCU(;`n8(Zgw5FD;T#v`V zEi7>kQpDCS;f<(!g{EsH{^atyG7!5c!-bR@JfqC4ikf;Y{v5ti_Jw(QCJ5+Md6^Y4 zz;d>!!W7^4LR!5C0?{VzmWIcD!o0rOHMu$4HW@N|&bMD#x!;33@KU)FZK!{sLwxPtHA`$|xW4aHp9bV0T>E4r7>%v~sXXrhGLqeX&u z*-C3S`L+qN(vW&PMdZJ7Dgmp~z7Y_<KB{O9Lz#f9W*@`;KvGSk$nJbzk z=j}29ztgjAdUz_$^2r_0_#g%mK7PB zo@Gx{KlpSuVoCmUPt6wAFEM$JuSN~mVXLo4Ul%3Pn#0X)J2zH)3Iac8t_o+_tPC~> zeP*6&k51r=4y4WhcHX8ar38|QX1~gbHq|h#^H^Gj(K=MCbf!i^iE!0h?oaPDr+sbWFM156jj*0o-QM+83`pP3T@=`SJmv|KO829`@!;{b3-o^>()-xMQm)IDu z%%*rOcG;)xqvA@BATb>E zYIDxwKN=-W4?V*K93Xci;+xMgzV7Nuyd__ZZ~nd-6zk|Qba+EgHCAJgui?IllBBzF z6T$TYqS6isc|nQi8bDaAM)?k zF1e!!_8kikk5c@B}@^`aZKB~I2cP3DkRIm@eM{9Yj zxBh|^Z{Rp0doL?c=1LF5N6gTcASl5zMDe?r_+?94IXrpdE0~m}Y=E$B#NZ(dQMeIK zAzewKP~ZUdW?$#t>;|ncPX4}e`8wqU4N>xtKYg+SUx6FpgW+UcS7fP25_0-B7G}_L zvF}xuW=4gzs-;3j=w*IuVbg_ub!ic&vmdgLuDARAO>I`2w1ex#~p8&wOe* z?)DOKbyGr#um0&0UsqA^S#Gq{&b=@_eW?-52AgfuVo8L4;cnqynw^3<=`?k*EO`kM zQ;~O?1&&~Xu_g$5w>^iiR;LFOvs;5b&xpqtybCgQ&mAt$%0w}qe>2Hd!|c-`hDs{# zFN$VIP%vxOuW=d!F!Dtu6}dSQCx|%m=x%W0DW8bw2c2M@k7974W07*d)BZ( z`PAQ6d;aUsBF}5j#zs`ZF%NHDLo|ZKK=K$nR zbrngS_UiEYtLpA3VlZ!h?lP-Hdxaa{k#~B-&jT0$A0i?~V*i45hE-oB{GY+p{|$z7 z?1F*&!SSz{S!~1-{Tc^~PLCbhit?AtN)ObU(1GngQW3IoLxf??ddNKv;PSo>GVgyL z;=%x=8lE*bo?_KqqWduvQ!}k-6I7pA7UKdV`>v_9z+Nj?J0Knag9f5r#tMybT44U- zdbv0K;=@7o(7iE=gJ(sO{eRB@raJnu(VnKz{Oni5qb}l4)ZBkCM>asX7s3{cktiY_ z)6rzk!P^WTK;Sp%PZqWC50zR=Men~;yVGpRL#svii&{z8^eFUYp(?dTf%QTejg#!0 zTNEx>8YXfSDJ(m)|MijEb)^u01#o*WLO7Yf!|bPX4+KYb5)qFS{@)g!fTl7e&<}k) zwI8F@w^*YAH8eAG`h}lcEKD+LN9im!YgibR*KM+@c@tih!T%|-ZAdtUeoZ7RU^Abt zI4M=vG(ORlO10Dd@l|Kd`|5}}nTV6vI@R8oAz_;N1@K?p+W~6bElOK>pu`W?i)|$< z-^9+9H^*<*{*1&Tgg+uZHrWm}T~aBV9a7f`Y8Y@pm?tH{ws^mE2f@mGW*7E4D7XpO zIOX^!08Mo#imUFjimEB_&eZ1MX7+tyLqzW&c<)}%Lq>RruP@+u{)qFO+NyA5CGFQ- zs}C!mYfB{=h2@aHe5}e=c=Q(>ebm^>=OH6hUkdB>cAncs%|}s{ZppzZ3()sb04<5L z_0`KX@xi#Zhy&#z!Lar;hn}1~znvl@Lmh?~K7>f^m ziB>2#C}=NP2VwLu4{UAfP1$EKeX5N5ahheP=O$!z}gO0+E91moCbiH~Z zwWm2K`wKW!f-Y4zoi{Z>2G@u=0IBam)!$$7r!ATF#5_Sc(KSPFlANQHS&0?UifP9%aTlhSIx*&R#xfDE<#~JkcSH%taWJn;!~8salILY zNt$GS23bz(A`&k-hohU6PP3)W4GJRTfx;>WJk(`X))1L2adz9)Oa;(=%6hF< z<4SgriOAb3^W@-tZk?7HY}f8dgLT{)9rOX1)eO{dHeB&!;C_{s-@FbxTf1KWAvc}V()+haLqe#t^*bPY`Jg2d zbrz@yqZx}-eZ=wYNnVVIdIqxEtxH4Alxh8_NUfe-P2$_L3$bWQ%tHPQ`s4nsJwgH{ z$-OI2G=@o9CA&Ju0(+U$q3@X z`Q6+4Ei5^k=h-ZUM3FFcT-sMxXrmClTCp!>j^kXPAgHp8X(M3f0<5uUGTeuA!A0$N_t0HoB(JBXNr1CL7<|6H_#09y%W7@ zdFC*5r1>W9q|!lx|4M){RjAX&VVcFScSR2woOpObIo4HwVNOi z3td4WCMU&u{}+0ir(oO4b84VRZ|mO-)K`byqXr`69)y>%Bur^L1mUmn@ir~fMJjU( z-70DVYblz%O!~KBQ6@If&q8oH-Swi=*w|{|cCYQuT|seiIi8VY zP2oW#A8?WWznj1opxGy{u$m(MZG@RHmM!rb5Rt;6O~prh^M0SH8j)R{@F z^zPlkCYkom@buT~z3iVvKoP;yJclOQF^l(r!bJmpS<2IrRH0YX*3%sqCEeU0Sbd~xKWmH}DN^q2(jGNm$l zkok^~4huIjZs}nTb?dml@g0FfDv5_pI@jecUW&43C2z{zhWy!!+2Zy|+InJg^_B7+ z`MAYBF@>cWRs7Ad?KdR@4tn8;Nh7dJz!wJr9)KcFx42D`o8G6&;8!aF`TX3Uk(5T| zOB?kYu4@~0dt3w-_~sxGsLuZJ%Zk*9aM9lkr0)Wqt^pBVWFGJ0NuX;U zd`lqcc{=14Y+4qnyV_kIgx)_80WQ>?N`9S!btgL`^Df?poi%Nt!23H(SXq=r3CFD)HLKO` z=;R_%;hKp+kpr0ZROpJi`0~h`O2-)%U_sG=(6hiuC;SljhMB_qiK%>RW&Y@g%Y3AY zF4)OCxIpZK=jL}JdNXi?&Oq_JPgC&wU5Ed3yGjPCW1=2?(AJ`(`LFMO9SUYu^v|j` zy)%um1+jM|zj}m6u`wq5dSiRzZuhG~corOd)Z&@cKQkKjWE-(P=<97wtI`%OT#tHG z!#@=o@3+)rGcwHkhHTMyStAd>PlOV}SIPXrf$9H-Gj;#ssrHvdHIPv{x>+%#jlqX9 zmNBco9D^?%e#_Xun!|h|7R8lqO&Bqx(Ie#DW2+&jr3kkbIjxfY9O>B@*1#PWxi`a@ zJ5-z;cRWIvt(a2%qd%M|{-+U`mtNg)+|D!`-kN=u-!%F=8Z}dQqf@^o%#QMWknjlB zxO->m-HK(cffkl-c%*W1=FR@P zfoONn0@7ninF%TarhI}t3IJj6=18bMME+8@(}t>nZ+eYC0^DlzQ_~UAv*A{_0N*QE zfbYvz8GG0+>6@X)26ASc+Bt#IQE>1l^@vBwC$fs*or#wQ;#kYc3KWk6F6V-V^bN)% zT|$2{b#JK~dN_6MUVAOrr7D#KO3PeOigvWDJ=OkG6W!A5iW*S26z89IkKBxD{Uv zuI~0MR{e%7U$VPoECe^s!b;HJBYg`m-zNYZ_NohKug{-{WsLO0MOJ|Pb zcp8k+Knp#&xx#P%VyHw02brRp(i2jA8)lS=`0Huf%?jg9(Eq?4MP7^upnJ>2erAO= zt8uPPz{Zeufn6ZWg?TCS@Z!)Vz0NLWM_{X};cHlIp3ZF@TOs9Qq_ufMg@qU5s}u7^ z2uF_OpBbz3A*0pt5d`xk90E~EM)>WFJ@?WZuXn?DR!$FaD@@L2^ZJX73cyd_8Pv~h z_Ne-yDV7;v-_tOXv$WG) zCNGx!QTFagIHfPv;s7E^Jh5m>Z1SC`|Kfo7kdOzh#LXPK>R*@ISkeS%)5LH%$LRT{ z-B$P8R7)31TGtPhIZDhn7zn6NsW3%FieK;B-n~&BSB2#t7MDs*%=fcTKf(L4cO~YM zLk@*SajhaaU~rkySOmszU9kE`K^@l9^w06+GbQ+_BObp%72uoQJ6Maq=AVHP@wtdSfp7o&Nh8w7~> z5U&zSQzr3v^e2HQXDfL3Wb3g8@N5dC$Fm9PWF35^VgLo%Oa2j0DXd32TK`TN{~V!sCf2PHf`0qg;DN zVoeKO`8GF^>5jCYeVr22ez|o*BSbs8V8J4EAyLs zE`Ia}eI`-cF<>Y1m>kyI5xlSJXWnIq4b+p9H4zbxC%M$`=xnx}^ET|N}y z>mKkjs*C*KT1TevqynL8$m0#HzmV*A%XA^y_^+W4eZI5bwZPOI68B^ku73|BXcGsP z40|L=u-|sKz_azv=V|Ew=DJ@1SnkoVTf z5c^a~yoaT3-RLUAM}o3B{Yi(l7L(z~)s-L!4hvMA>5 z{F`|jM3ndSo($3rS44C=*YwI1gY=}gGeqF{vr3Umy7MHJYK)XA*3Pe|A;-d{ntE2( zLpcQE#2;dzuCa=-lI`KK+{Pvyf-gUVv_@LZr+-4cC3)8!C~eGmmj>>Q=l3gbVx(p2 z!#0$O8s6PU+2z~ePjNV#iRMRgM1~>sI6}P&GY_- zcW(EHg(}wcWh~$P_7}Uk5yq;Iab#$PaF>Q)nGgxK4{L8wofV$aU#jlD(0rdqcql!$ z|Lc&MeI_T|vq^%MfP#)ki`7iFrp{v9KkB1zH0QIF&<*Xz6S9iqFb#txU9s#}MMgsk zE)aZ1TLRkg?cS&z`H)19@xUbi8$zp8J^YfqAf=(EESXBR-j;h)Y;VDE%khKwzSZ%j z*GMSl&FlXD>{(}#;1HmZ*1ooBy8trgYfH&MCH?rrhyVlOZ@uw^V#;A33RTJbQBmSG z#h7M&)Am&=H$p*vRONXV)Z$UwR<1T>RJgzfo469$qDkR2YlO4Vj0d|4df_cE|!+t}6- z1nxhsks8%mI%#1}E{1E;m$mT}sP57tmUmMk9hbC{XV)v|0t>hj)zLADr8ofE4MxUlvX@zhLm&DPKHcu zoe{a)9W?jy(c~bx#rehpYk$@0h$2bVc|yxPQyxYu4$-p)9#u-|88vj9B_QWBoN|Jv zA{xn`lpz#P6lkSUD&RwId2wwqjb4mDC7IyxmF})nyOS0CFty!maO-B$wa*r`u2 zZLI7G!bs-NKoif&Oh0Ui4^2^J<0$vWJo;@4_8nU*?ZOU0ih!;r|B%;ylfnbFH`TS5 zKwxs%x)D^W0ddR}LkHFz@kCiKWnE-mi$DhkcjCN~yg1tAz|fKDNfjTig3~y2dB#~S zjZMrQvUDpwmkcCs>^TavozB;wllHt1lAda5_)W)x{&!Q&WT$$+RT<-_*?Zh?&PyZY zJ{MAAY&g^(VW#rVl4Wn&@vg_nUD=i3mg=vmrlF4yzCZ!Zpb+g?*twC{x^)Ki@r>@A( z{^$x>4LiFmLN}6gArQa|gTvLs@Ly%hq-ST}*90J2x7ng4nSRO9x6}RxR^3bE<6VzL zWU7H48=g+Y zN-Azgg%XEgZ)TVrCMgXjl(30z)$>2yNj>QC``=MV&!dAyAQMNotaN#|eCbVz5^rBe zgp%xuY>Wm3y>8{_m>4KTJ{jVQTJrlKQu)Wh4VB?Ns2bwOG2T40^~)avbe6c82ONAqD%3Oly2if(cut zg-$m5e8Q^~O>$^-9!Cefi$i$h&sr_0&f;&M3r6o7Sx?*x zU1Ns(CD%dAJRbG`WOMXvL#_jF)5BjHSRiX#VBHLjB5$!!LpUPA>*#Bx@-glB&(!D{`7X`}4x zCiQ&8^ieAu$hC!g!{kcXb8&Te`9ulg4m+NLISC#19RB?hv3JfY>*M8kEn=3%h`$TiB@CTBsG8B38R5(*Dy+Dh z<%~LCPzlwf^E&5gp=rz4GWLunC<{_$+fdr(T)J=M=!On(;B43IM)$1!6|=b zrzT7?&X^RG84Wr0pHU+3a$MJ5#(dFVzD-g)+9DQ&OoN589Xcyr>?5KIzONO;hJT1& zG$ij*e)zxN7p?%?9Vsf7)MQ9ed(D|e#*^{4X#WcMulMG+s%L$C+4nU{Y9ZgL3h;d| zN=3@4IH>#9z3}^59xYXM3Zw@ul)kRl5%Xr(m!ocMW}Yzj`hXVuqt z%X#)){XjOA*kGMBB&(RM9#HA?H9XIS)mn6;j{MZ9{)~#cb>t(KB{pLcVPDci)I zHMM=ecFHH9;9F-y7+B{b`W~9;ty(4x(=%15V!d`YuT%rOzj=2r73>vsu@1W+rr^Z(NWg< zDVYV|v(D>2kJxciyDPHxE+!l!Hp2TkkIjT(W?yiLduMt0R!kyXM0$+tCo_b1fg+ai z49XnSA$v4>&wM*OfgH{zy;c|oPtShTTzIg4Whh_qHh{?}ZQ!pXd$A2n$4UM#9RLpI z2}Ax{N!0uq*a}yB$x+}=i;oXQFn0IFy=&1YuJSow<{RQYvHM%T`*sUk>Xtd?Rw;;R z@uxL9eCR1rXhk|NZ(Z1~k=gN7@KlK=Kp9mzv^=MOwJIn`<}i0)rjIzfLPAb0Ljt3s zh}uWQv}ZV6ZV12zI;*)MJf_lyl;Lq^6PE?I7Q*?>k!Qi00<$aR@mjv2MZ;O2{CHd^ zO9JEOQqRyeW+Gk|){+Q$nUs$oxN@6_iIXFRI-Xaly3OA20q=eRNjHjAELWV{;_fwW zx&@j($9Y8k&PeAUd|1#(m%Uzw|2C}8Uuw&O|FA)LWLKr0nqwWQx-+5EbtC~8(+~|P zvDBRkpeQom5M3*buf2({5_5B>?t46`aPyyt*R>z@sxW5*M_JJ92Su|-o$X9fH&1sp zHf!p#GgjOwkh$||(IZyJ20fngGEnk5hTnUcHlPoeKL938mIMwDa*zxtqzSP8NLP?p zBMPmWmUY39gL%E0O_MDlH2`7ZZFR3S<668_e%(x(O>9d``X?Y?Vr6hMA}n2`tsNxIQ*2| z8J+7PT-D69&{UyxwC}x{?@MFe0*~L7y4YKBKa5N}Qv#`m?*|;mb-n*+m*b~y(e;s$ z49KJ)5iMJUv7uaJ3RGoBXbur^P8ua(G9p$f(*nms4jg@LzawudUZa1wu;u1_onxX} zHkx++mHD34eTTQbz9vN|b0V+VP)@1xPnpZEMfOoT+L;&QLTEkZdPh4 z7R_C}`-JZQ0VCIgE+iFSIq@d-z^jgXOfH`DRlAqUqLFw7(CI3jAigoiZxbKG-sEK1 zYrKBES9zfc9W+p<-Ypd1AK#EywD01I!j#Io;I7S)6p*R~3KKUR590IcJ@K!^fS>9d z=X~M9nrhx($v^4}a)ry&Je+ksEtHE7$iZ0xf^ZVf&4v`nns!!CkWMk}{s8yjM|MaCbA6 zyiW}2$4M15Z}S$APsZz^dK)v%LCPsNppA3RGc7|#b${@~v|6<1QDKu&saKfKTR8mn zF#klsf`v-TjcUFq>)Q***5>hhrtRNXkgG?_uI~p(wVzeqC0?{FrC(f3rNQ1l`YGb| zgL>dBc|jeO)@}BxP&6w(inSV&ukeB9u}iZZiuC)66G$gxKR;mABbIfE^f1>*2R+Ny zIW=>C-tx5w9#FVAdEf|O-3v@??^?T;6N5#n_NcWvPys3Sjx6K)*{Geo6l@8yiX5r0 z<-)1eW(QSC3vIgVOLiMeAzqm2WNHEL9ZD&jz5MJBY(MxZ>V60z*?U9e?2DFO)QNUT zC+B_st@OX-9Xncs)06m{BZ{z(l++uvcy#@}wCV33M06zX{}%{1*72#Eok4d+V*J1E zzB15I4aJ;A1XW;9E2*tKog$m%tbnD0CYVZ8~}e`E;qQXCKp=&kKCmg5mQ= z&0lbF_!(+{J8gYHJKM0cJDHG=h1INrXt1?1P%|kd<24HCPug*DODM-F^a)ZHbM}by zTH`;+Cb(oyb5CsOr3Wc&#>7^zxciFjO3T_ORKrthSH)@AYAv*-Ufrk*BBbb=P(kcB z5|l^8Em3k0aj$4BoHTa**kVDhbW-iN5s5odSsW84m^WyrM(TEMbWC#I2*1CM7zyy! zDLFxqPONt-laI4iyJh)BjP8duqkG+JO% zW;^@-jKhOdb;z0OxUzQ@^R4G=_Z@=3SAzgIh@yiPT0^EmCPUc+WEGjbP^~m!{aLus z&vy42r8i=AY)U&5?R;IngW@ln(p1g)i1Zp-`CX|hDn}`mogu!P3!H4{15-lnLNtk# zGfa7R4w%PHP#5=wf1d@;3S$@}-8r4Bm z?B@fM_;`luX~GeBE5NXPfKcHas=OgF4)%MP)ozYdGAp|s4W>+YDeP(vQrxt0JEU$K znJ$B#YB$TtxsZzz*=1OjdkGR%&Za3DQhslK`>XUB^(#&P0@@!3V}+JEQ<;fK6V{TwWt2A87^DPx!psud_N?aO@EX~CasyK+OI&F#35xs$ z-c&sLwVpDzPY34P?GS-uqYFix**I(!q){OK)%1 zjuK`byEJ)c9yQOM1v1#5eW7#hcdPus;y6X+QooK_i3yW9p;8Y06Rap_`0a`>khL*_Ti>LE^nG}@b0QT)~>QB=r|9evoq7O+% z@%m8f0XaCi44MBJ0Nnkh{ZdZtSw4WXGiDw9pX2C zxUL|0jMN?nT`3ERhVdPX+slBx?+X1!UTAZwDyY-3M*i!&`$9`@4fB(`ma__^C3iy> zkt4geI#9cKO{3sFqV6yTcN!g9V`*j`se)uHr<_o3 z^ad{B)ZL6i%-^RV3LnG~H>jSCIw(U&t?O;3kIp$f80s0hRmw(m96sX74tO{}r%o44 zH^-fJd4R*ZpeN7zJ5g1md@{43XGSS@%?3tJ^4>)h8551Ce6_Tz&ZGV_lW7j$_t75V zPEuD}S%x}(*wC2ZRzBYE`|%2lG9S++8e7xsK5x}hO|7WbjBLr0kdD*|g`Z84(3A}P zm{97wk=Qsl$;V)A{y=K{rDmVy*-k7b(L)GS@JP>AJS0nM|`kN?bLv7oi;!SM@uFc9(?EGebu}n z(+cN!VtHRYC?(1hAGvqchmiwWRXwX72xF>S#h?9fY0D*5MuxkEuE8of_R92&-mF%X zCmo-&ihNdo93N)$hPG7M%=K-7yE~4*g3@#ui!Wt={}N^vb@ItS&T5XIVyJ?!R%pC< z0o5u-U4`HIw|dM!IlYX7cJQ(`R*2a~JUXVK+sd;McgGWdtjJ^kzjLe1Vw?m!@q{bL zstmt$asnq88V^OZD6%D)P@Qc=-^lzxc4&>g()G0l<@gQUF&_c(J79cx6YaRHy=cGG zr3m^*;FtE7X3~2Ke_izesJGvcvmatPX>WIt5bW{k7lduJ9P}n5=il&~ibvBb!kO=h z-B-gC{)e!s|6%jh7x6?(hU!meUM9~0X#aUGu_9czd+porPbYc^eB|zPVd9oJ8}5wP zIiBo9o;&UDv2N69M|4e{%VD@kfo}rpLmks)B@=4{#_40+jo>~MDed=YerqlgR8AisP!)T&KSs3I5teH!Xjy#LVj<$gxUW;2zWMOm+GWJO> z-NynG&>7FJ>fu7fXdjV#1`yVdbtNpqNFq@W7ke6}^Qj_$0tby47yDg6$-y(K+^*(uIRKXic4z{*A zVXXIiH zzrx;K*wQvs1e?hVZ<{uuDDrtLdbc!Hhy1R<(+iDjKCYptsPMYPNP^V%Jd};R0feC| z1^%|gCH8o1_F&OF2MJes)V+whh%Emb;_2;cKgFfC_$!q~T2;Yf3eG!5!6mjkM&C4@ z<>qfn!ex^Zl>TC|+9krGCM_Jc0jbmYil1$+>^9B?vG^?k6^A9ZPdHb5t-6tro&cWA zlWZaVB?><@+`(?u+LJV{5Hc}fFslI~4F1Qi#`gJ2dDy%3+WGj*%8ms1j}^8{K-_%&dM zE@Hu}@~tEA6PV<(YH0y=Cg@O0@QD)ZCfhB_yJ&Pm_pSju+>dv*V*(rX^^h+3+OPxr zNJeaTpvA+mntBrVqmNCp5ah%8`vL;+!k=_mKp?lJ5`qJijVaCQraf#3a z^E1W%CGqdgl7p~(tKD1tsj!~|cG-4~90Zg-0s8&&;(As%{At_%Cf3qaKi9_3A)gS8 zSrw*&hev61lT@}q&Ij>_8q6vW2c-;&6xRdHbMWEA=h~dHs0ngw=>-#Fgdia>@HcB| z=>jTKrD$e_vu3e|dCTt|aF1ATKfCXN2DfFw`hP}(Tv+ecZ&}$^xsnefxkL7ItYQ-# zo!%`^q*+UAWeeT8JIlN%XrNh|k!~4?evt9*56TUfU7SeLj}Iv}-pN9kuG8WvF63C$mav!CcM@qMmJw&68vN<;9z$h!#% z$9mH6Gkr1wJ5zI35N7bNzo&hXYb)(Bh<;a(?G82Hm>e%dCwT!2Pus_Z0dSr_+S1cTq&rX?EQGS}YC)e~0^$dTfv+4yQ4}AFZUfJH8x!YH25Z zrLJr5lb)n=FVLp0X76LEnl^E$c{)M0MtD-+c5tBtiHU#p0PsOS$yq+VwBN}Gst=L* z1}FAAJOAI;j#~X=Fx(()Pb%VtY^%FwlSIF??zzRK>Ae7@GttxA+3-}^s|gA90Q!6F zyO11tVZ^|ui<$8|?#DE^;;e&q-E5?1uk^V*Xu{%vwb8F%x^7B|_i5EqT7&!DeXp_t zxyU=)t9{xayao$r2_c`NE63msUEtUz8l``2m4FdOOJe_BHN7UTQGDxPTcOJUwSH*< zWA)RC?~PMAk%D>l2&ZD$<>w!xabQR!($l?nT$F6qURaMr~+C7WIGU+ur z6dh~XA5ItuRq5iEuYnGDx2P01`YbnZ&&*>6wf%3wa++8gJfRJbzTF0J)f603cjTC&BB_LEFU!o82rb=(>QCUa1PA>nHh;i015e}KC!De3E{{uS$si_eQE!5dxEf*H&!x7 zy|I;OaWC)H99|&1=`}tcv|%7 z#YXCfr3u;4|BL>8J{~__m(e~&OA_Xmvds~4*BQnvi8vL&=L*cX32vJo!FS~JILHpT zX0FGnId;DV=V!+L2Ao(NJQ683W)X0#vMU58YcEAVKO#-Ake5 zPlu%BwuY~Xt?)HVm5kbcgo(pg36W+hkyLjNjlwaG1|{K?%!}N+q`sMECjR9fuGZrqbV1c>KUWCpOmP@G)cBYXH+RvLEc6Cr zBNBmTV_4F`-9?9D=k8>Hd`&f2m;q9-Uf25kl6M0Re;6{(&YV(V zr*mA-dctRRG~ynqGPfD@VOM}8u)WGwxIa?Zo=qj&93x+AW14=wiNsdt@>RTMhvY-@?} zOKgn<4SWX9o2F$9?TY(_?GyT7oD$^wkiD1`YI1AahOx1)U;eSRP`!6%ppH(~$MJOY zV2CFfnqh39@j&ripe*hs+D6?A`cYfbf{>Np)BF2-(l7vvCnqF|t5V)%Yj$*!Kg|4R z$K`CQbQX4$H{2_>k|`oUdo5~T-`&_P&VW8ZBECFutx8#oW$}^H$5y4vb=6(1OEypB z@WaoaOeUve)hlpZQkfWDW3|(V4@L5; z%{!-6T%9HEh=n{@SIbiX7RPI_rn1=XOH!rEgkrckUFm+r&Sd71qvM{Z*dotFR61VF-c`|e6aV)7gB30 z7$|8ZcsX4Ts~4X#R%?6R@NOyVbY%SA-sdPUdo)HKobMeId`XE%r4b;U{-|PSN~AnLYgU7 z)gI46D|0(pXZJ%Btq>2Z&WiD^7vlK{sILiX0+LwX#$-pdF zb58nGDEHRZMs0W5e!xjE-?y1*w0r9IBOmJxQ!*Z1Bk1pCZ+}k z6V2C}{O}j!{YmJn2Ra!SC!z;h@_fk`Ck7NYEwG&AxRtMf%k@uuxX<)(!$8o3(0?@t z!8zs)+m21#JDX}~bB>*X^yqQe*?g6b(%Lg8PXlqg6{=l_&i~N6hdhe(t z-~WGHv$9?e+*`@aQJOO~7p~0G($vb_nwgrKxhGIL$lPge#gQv_?gbV(%7H7viF=}` zD2fRFe1E>@{QkM`i<|pghr@Y}$Msx~g0zVE9uZxVQrSsw&O^K3fvYG=N_ zbN)d7ty>T4IoL}pu_?!&BflQ&&(POFlarq+i;rDg9u|EIqIh2M*<*W{;&e^~sQp+v#T=Sv zLhMCv8hwY(*Zi|f>A;<5@QpdchE7#wv~E4#ONlu&&6rRW9%9kX?EOMbJt-a6E~B3! zhwx};mZT+t<^G@xkY5*7w&J=?OGeH?+W$kQ_q@)3ZCSi7d1H`88+Lxpt&}?th>hhGKz%aQ(T+j8Gl#LQ42|W)*nUM@-B@^iHblI0 z@yC-2*-6L0Ybrjgevgv3s5Q3S3pENN#&r%-bI}ktDM+HH*C>GKBhqpUj*A|A#H~go zv<`UP_)WP6k%9wL@*+ZI0-MT`itqc;e`O-I;l7Lxh1;szKKms%e7d=P!%y%k&P08= zg^z5ah5qu%a6sXb>4>fEm!LN#7L$$>X}q8^HC9vx+UW>0BN0dZb7 z$S=QTvO!AKkk0z29G;c&R4~42_ntG_-TdWMU54trPxCs@W_B-d42-(zObZEE3Rc_Ds~?l>CqAwL&Y0e z9RT-BLVWo5LarAdye!vw(~Y4iH;a4CjDjSowF3ajt-&D5f~to3m(MB{(4Eq( zGcZ>e+pxJ0ht+G^j=52X#l*p$TEdB7w%M&?1SIoll&WSGYD~ z_w|a#8rLG8d;dOfyLbjBnGG5AJ4(*pl;ct>$$>6GSH534g63SD(dGPsO|sWw1VmN% zzKv*bZ=c@v$=W-obhMTH@=g=f8KyX7rxr%==xFJ1RThc&^KzT|JygK=hhlwGIQbCa zXwgcQ=cv*jn=3gJv%?Q4W-9e-p7v!uZA-kOR{=3{(0f)mB?XQtV|j}+cDTM-dLVx8 zI~=aRDf-{yT!FtGi1%Pj1%*w3gJ17(tZ_&7mjfFO*dEwmQ7Eps>y}TX@X}lKy@d}g#(n=`(KkS_h8MzEMNj#HaFItv_%n0cpeWhO=tb|v9N}oF zk)Qm-W5rQX;?-muBW)9{p3kq|iY@SbbET2^(HJkzi=+N4?SrKUDx&~`%bur^2Jxzy z)f8O6=rDbRLh!oLk?h~+qhS7=Q6RDXhx$CJpt$R7;rjzC;U~FeDiDK4phx93Gv|tn z0cETqnBT}R&qK5@K_-_qR>YpYo(R;=UHlc~BsBhn2Cy{vHu=Xbqy8z8e{kwU^1hQm zLhc%zK`;fDOu6vwoVI+wVej{Rz;%4u{J>Y@HqElyBE~~)5mliV@i~?PQ#EftmHSev zE$j=N4;nXZ`P9&+aA+PV5mKH1B%5;(@l#jVZ;g;@ZbgUQPu0@j$wy3lP5qCQVwDvd z0W4`rxDg^No~2w4lS?_t9DrUAX)$cL95n?$pK8VmC~N>e+)$4^4o`3k9@_kuO4?ZR zQ`kttS=pqKo_7z8LdvL`=yN;Ao)+Tu!=9FQQ4D%R*cTlx{W%wdJMYSxfA;q)PQ|;YnsM@9Ebr{hQ-aPrTfF|DSk0-WW5{ z3OfI8vla>3cGgd~4)f`~SY-Jv9=K%lM}J;%)0vnZ!}MwO_MMslEuU3_Fzy~P0G3Rk zuW<>nS4PcT`dczHevroRO08+=8*xl|#?9KXj*cq|l(_rc&;S6ThT~ zyygzpj`+17Zc_?pW9J!C32=_m|KtR%&3TFr|1w@#Xk79rx7;-`(m;@>g?WkR>GSWJ zNQq3-BJN!kjy%)OzXXdK#iv(!C`=JLx6l?>TjLJIm@rmGnEVDMco+7iHhkhu2rycO z1M&4(#!w{t^zyo>?zP8Z>&sb@j8CSTOP)}-b?fB*oL>CW8Xwt_-hDa=bq1AEy~Y+o@K#Z;=AMl!|b9p@H7uU*^bt+bLZiU+Z(%vkFSjp%f~kln~@g@ zVE=1>{1pDw%ehBbegHlK(?Jp=Y+x$&($mati3x)bU}whLycCL7%xL$?WVHYBv(bgR zZ~D~_k2|L{%3#m&Pnck7B`;xSf@7|*wNa39!RH_9->)giy%2PX7uz)aK2Yh}E#SNy zC;wnfARlkV)hH0%|NItXqw9ztkB4uO8$==~*l`eNOpR|Zzw;H`_Of-|8(v-fXBDm& zItNRd&ieS$Zx`MszF z&DyVNGu|<0%5(GlxwGP<^IIX?_B38+YF>C0E9WvYL`vl!azktOz!CgIif9$3EV=*N zA@BB^qJesY^8$jVvUo)_PvcKej@N4=SKp@6f%@*#tcQc}#}(WJOSAK+k{~qhq{mw< zOZX#aZFJj5y*A7N=eXH{}mzuz0VpcDX zV%K7b%3Pax*d0BOTL_a1Zd3OMo~i!l>3a*N_*oqRX_b=i&juNlv-4u(4X6!ub>t44 z<2|SK3Z8C}rZblNC3n0?qCi^iD50bQ`CgNy`db6;QN^E*wY7&&J-}ISkb~trE+A1~ z=~>Ay1Ar9Eh#V8Y()dWU7>Fo|!|88h%$F_MY%e?}+O&UJ`5wBevg7%K#htHyX2TnH zN_7{8y8KU71UcZjF@Pg7;9CRi?ML^e#?-)_=8j0uJaS}N9MSrQ5>z<NNiUGL+-?yZ#6TWNjMpgLdvll?$;{R!r%Y8zE!=%|+t?f7 z%A(C2aCC|$bsIQzG8y6DN8s#y@84&i3N1PbvH8-9EqGlyaoWvVq;f6@lf-(wGf-I@ zOu^Q)ys$_vALJcG$E`MB8$5$;hCt31vn1Lpy-!)cqX30=hZ;o zAIV3^s;4wQK7XUtc2%`dBLS5==Ekq5X#UTb)I zRMfu+>o2*LWpV^0LR)UJK)d6RqsmgCwR6B}cOf1e_^mJ{pVr*>)I(CM4B=o(W6)?u z1ag$vqEpDr-$UPlp%pU>?X8eJjyJ%_dl^T4^^CVV(~-XGT6z@l@7^}c6h{!QoI$Eu zL$_jp@RjbxCxPTY*GzlAe35X3a?4mvrPYjOemVJb6P_D&-y&%=^Li=lr$t{KJo2Ys z>o+D3+Ra&Mf7&TdZ+Rh|OCWN@0@Ys_AtXSnCA_EtW|_%pS2u7o>&)O0gu zGJ??h3VEYM*h|#@zX@RcOzi3_%^gWj_Yp*zN2+Xibf}-(gw>mzEop zTsf33is}pzLF!yda^UTgkP$g6x^M=2ar(o+Tln(nyTXqnU>lK!T_`ex$hr4MgLt+? z96R`FKmNsh6m$~7G4TfHp@Z2X2lHb!3i50;v0H`yyleToy4#Or3ooq zKV3ultzSYrco(=XlJD)uQ*HZCZeIVEZYGE6{2MCg*|84*=iUs3fqr-U6vS&i#k=qC zl~?yxYutgRrL{zMV&6}(?)d0jo*W7)cw994PNV$lj~~(Dg6%tIR-sY);j;l>{st7x zCoR}W@cP#$=j2;DT)3T*Bwj}GpDVCi^&+I>J`g@7^ zQ{Fus4{kHa3fnu&-aBjBxZ-~|Kaq6WYlL~VXMb3=>16r`(AO07fH)B#;-2AEl@Q4* z_cH3XmK$?!&P{Yzm6!0PHj6mzO~sm`ow{RojN}UAiU+hj$*e|y!eaS%y=vxLALute z(dsZ`{s53EN519U2Ug#YmO+9=>g>sH+PNh&s?`M#=49vRHr^3@XqL2t&wfiuh^!g^ z@*@Gl;x9JRo_>LWwORE<8t3U@`;}Yur&Zfmz>KDh{^h>&?)tEX%_~o~dh4ct*LfO+ zfPe3A1V{)jo}}i?0|u7vHeFfA`tH-Ad)~%2#^uOtT$;7>y)Lu(qJXif#7XsH z+aa@`6&uMtdX{hfxhmcS75XQx)-;V1E&K7K0gr8-xckSv#0`_^3lgDxxeFwG$cC~Thuw`C3@Kp&01jDlW@ z%~|d!-w$4C(6SUX(Dj>~y&v``VUkXi+v;DMPa?xc3^jh~vHkK-QN7rTyev7xztC?% zZF$b&Q7?Wv0IG@*?g6O@a4wW(s174@xqg;JPik|5Pur5N2;BSd&WX0Ysp-twNQXxRe%dPAe8o?5h=ur6yOIG(^kPDy7R-;lNn(FvOmm>A>;E+qZuq+l6w_ryKB z>3hHpucAm;!+i5wKqao}>SH<2Au0kmff)kgOme z0-Pf%>FH#sR$$?n$o_i1!XfuL1jjD?euvX{@n#;c4Y*^Kw#Q;vGL>Tl(657Y8~*8Yr&s)BSNY>R4(W*#cE(M(0ljS&$$F@n)iT; zA!i&%*CCH#VQWgyk+e-63tZNa!)uZnDLi%am)A=?=wyDwQNyM`rop*#;Y4>f;*ROt z$^vzysec|u_meAFjUBx*;l1C&jy7Jo7x&$@cp9@zzq+Iebhw5e4fvFr@O3NL$yB9n zic3%Em~hI*cuY^+`%k_jWfpLm%qFt2?OIvi62<-O_5kPZuMJYn-B725BhDsXWb4Pn zQDZsJrea<%N07)R(v>=4>|1Rw$7zL?vD>Ub>Faa1s}Pi`nIbN_u$&RK%dKh2oS40y zo?yty_529CWoLBxOACI%^x6n?;?EUA#1;s9^W{>RhWs3CcFt_N3S?8&t2E%)RU&cr zh+<><^#L0oI5@1`4_t#T^%J@1V=u$PJazY>^(^d{7VG-8q3MFRzHJ@3c_QlDF#PT0 zP;I~0Yu0yY0GrdkqRd>u5e0hE)qD1j-g@0ffee2QmekhDg~$HamN#>nKvE-yT*IDs z^jvfp$X*WIB1+`9L)nLQtEHFkg_eS#-$Fop>R(5xsL@z*_TGWwgSL$a-bbP7CEIt5 zr{~gCmc0d;_ZM^^kKR^4+^)gojI;Itw!G$<=Z+rUvoGyz5HbAIR;k%L_EXE!-Z(l~ z{EgbvbDrVOk809D4JP+l9>qv{ILdEr5o9A50lI5PF`c(l;7ke%sb^I(LpKM#y=#BHmNnnJzWP5R*E)6zWaf z6WR$K&P9h5bWl;ASDj|l-tkhnBUmcjf3mXLH;H(WKLohI<+|GxSNCdZ%&pgsU>8x! z)yi<0u9?N6kk5f^A@YYIhATm7d)YHnZPDP!K6#|85f#jqT)R+YZ!@t?8uUdRxeBUo_e|(*l!zVWHIvdslRrolPe@ogw&dV)tGW< z9S>^h{h&VD`zt@29OAp};NbaV02cCco$RQV%!s;M!$iKU=B+M#YA?>Me9+wdLTQJs z*WH&C+xh4?U&awMLUw;>1`l$ak0;UlFAYlSr3tRGYp-8o^j9Jhf2%_&PqSe?Gvcd% zhf6o|^o2B|4_HH4o2uYTj}BTxyA=kg^2;IFt;CJmc$eZ1l*lUN%xbM1DjOwo^M2ML z-<0I|gLK=T1H{({&X9e|t$mBOzT_UBivEG3SkGjRXSm)h3Gw}mUdn_IeB(26X?nXDy770*DL z_j}jUs~)jrqB=cc{3Nd_iE|^P&EdzUcLs(%Y3@V6WDgznabO-|f-1wr)Q$%K{&4$y zV-!O%^K}|zLY&9oMc-$J$AkG3F~gV$>R_5O2bQ`B{u|c-GBH_4gL6C{;qx6TtIh&z z>V7?PL}`lX+V41SO}W@R?GvSHXYC@|8u2>KsBmUB=-UkYizJF7E$2<95%bbj4AEDCA5We7H5WGrYvb}XA^)R1O zoU?mXyI{2f>u%onzTC9sC8Bo-theSQ+^*_~AYA)fKIQ}h_!Lz8;=MnLCe)G36YM49 z*aQ}w{H_4zcpv0+&DU>wT#)<=b);O4)|k=0rY!>MNhIIXWHiMz_m}Uv1;l~VUpc^~+#M?5E8PnUyR2(Ksm6R)dAM$__wsHXWDMH99fW53eEQq_e z3JfxMGZ61^$vT|}v(VuB2sYSXWuEFE;MrxcebSM2N>G27^v>blc-F*`(-TnJ>td?o zHy-!!*SYt>koL(vpq}PBE@DS6K+~FLk|r-DSHtS!<)QG@(RuqS#nBkm`Js8o;>#C8 zGouY}+F`gxwUk4bql1)OFfUeNzAHMJb;%f$?fJy6pV`w-t)O3;8P!RUqsX=%ovcUf z3!&$2mNvAW9>5L|qKHAzVa@%V*E>WjaQ3cRTg=w0LupF(E1A*gM!vo< zSdMVrMtcMOCHtQ1S3pRKJpIf1z#T%P%`t0wg@qFVfEyH=* zE|DX?p|@_VclFm*TiqNyZdtWF^GfIuU479kJ*@r8UyTpb=CY__bhxX$aFJY7O_q)h zO7`<~{>dh{d3i?H5*xvU4ScE5f}(o;Vsxsx1L;ypuU{zL#$5QBkC_}xJ4^>~HrstS zhI;Sb8j0NsN;w%K-e1B=V8#43nuO!TYgR36T6dKBXK-xfov@4F(JT4O0>*O&Q5AU- zJ52s-z>}+ak~U`cH_=s9UiOB0VP|_#mau3?5gK1BvCZ|gV?u3OJdSZnXE)bK@KbFs zBc%4>YH5g{<%2aRHV3!lxWScs)4Pi0zz#S#m5;49$mr5$z-3a)1*)Kau1}Uzm|*mp zYb?jRf8JqkLZyODu6B&&nm)+oy~C0NjFGxsS`5V}3&&w+hweW7Dfij4Y|Z|yVo~LM zBy)bRFs*2aVU;QkO{fjt`SBo0o%P$K*GmS`!0cmBs?ON%cXgIfZBVshrSyqm_>|;R zyu&^ai~3l(uB`|1mOO`)W<|KoAE9RzXON1&_DZ}vS+7sW6p5ns-Oa{1_OBDtOY_6L zl9q0ubk>~0Ms9ogKY))NZUVzP<6QIgY55KSt!uKqyVc76>1#^zXxGSYHOq}B+Sj~5 zh~2ZkqW0y;>i1sP+b&$jfgM|5XIH9Iw$mekb4NN@?fwm)N)K#2y-kVu?2r3F##gq& zKG^ff#~rd0I5+i1>=>a+!&R^R%^2GS0?qRMAQ{HH{*_+-+N{_jW{QcOm0O2g@;amBNB#q$fc|@=Ff>1Eg#@a)bNjsAmFdr<>hHC zLr(V+C(K|mU&4oqo_D%2x4CH;Hm0-bM+_h0|EoFa4tg7Yx~4KhI0kGm%B`cP1s(5x z2$}uQj%ooZ9C}9}ZG>K2CG*#96pwZM&Z%9iNaO7m1>Asn+9xEb5M1Ay!OR6W^DLg? zeG3d;4C_i?(dhKQwYA8RT*~MZDv)oCw6vY>lj~>r23Rs`zzm<2_^Mx?{J`?ow!AGE zu^S0dVL562E1&D}RQ;GbB$KH|rCh6Ocn*fp01eX-&g{-ES z-o`gbID2lP$nFW?kZv>Z^e9xoGW9C9OWijVOfTSQo%p6h?>UT`Y-@M4b(O`tsxD9mz7R}YM(iVoUx**xixzyEmy)?CTAWUxnkJtLe%p! z?KkLFsY{u7^z}KG8)MUbm?k(bZD>qmB-qhx9YAXTv64+#V5kh!dfI<=ZX}v^Sr@jy zxUDv2j%BKiy~mTG2uVDd zCU9E{lGE2&RX(;Vc~2vy&OSUw&tz_ngJ()X-o>XP4yFEVL`M`F5SUoUzb`cu^;pxj z?7TRvj=dS|Bnq3la3VHezI3NM9CDEIVTXZ3M8*G|okJPIG4KjSKpGyrc`KN$rsI_;tD zN|;}MPZm;L-({7l&D_CII`;Ed%hT)q<5bV$4oI6d?e-E`K=ej_^S|Za0Udjs`!Ywf zx*223Igg!^6Cl+1*A6doBhFH>Uz>q_6-6t(Yx8x;m}aqH68q@`Zy|SsGY!BRT7q+@ ztc&#j}0v0Xy^K9G5Hw@S3`w_2t z#M;(wu$oys23lt2C_fSvW%OrITkW@In)u-e%p+TX;FcE{e|_Ai*+5a z7dCr||NZT$#+(2C{YF5Hj)anVH~6O|-|hO>>q0?!i0A%GBv^o0AIM6kgjaLMzKfBh zsO4c~JvUYD(mDL<1F)zc)1SC!w?8xO6~~lAG8o0_49A>agUNM}3`MNgV&^;{8(LG= z%N(O6EorcoO7K0K6=GiD$2Ph0!}L*6&0m8vZRypA;Z}=L13p68HO``EQ`OlG#Wqj3 zq%HV+Nu#l)&YDzRq}K$!ZcxKYZ}8Hr?wBZ4fs|<)I4pt7Pgn7m>Mi4a0Hs!5GhC)q!|TdN-lb9TKdye_$a20^lMhdgcWJY9krYFn$=-)wrX;X@47ajvBRJLJvmIbYZ#vA zuD;&gJKfS&?dUPQb&tk^GFc;;jjD8lq#~LkT64T3CZ5?qy!=0_Us(}3e3DZ?`Fpc= zy}ho@33bmhT&f3Ge~GNI9;81#OGEA8Oc7YIc)<3d`qoy+rn}g}F|puQFX{oN8EP8mWrVJ<+>{X!YXJf7yw+p3oznB|1WH&z=p^<2d#+a8wPC zV2FSOg;d8CO_iXw{Y!eyXgmA&_E?F*Oe;Sy8j|%@Ii@8LcMr>`>Z2eqthJ*6?0}v+ z+6#|7AJ`p4ncmkw;{e+Y1Abx3y*eUFvb{HSLKWmbDEwR|xetRBCPb1Moe695A#H#9 z3lXlEhox7vbPnP_6Edq^es?~B^P=gmH5f`^1r9*4TtjiS}9s1b*k)h9*;_OL{* z%~9Rs(f7dML0*X4*c2Xkq0L}LI;zFzKC-L{p)bW$e^cg_FzHkW$>7^_;_~9OPCg;L zJ|4K(fsu%2iGZT=kA7!(FU>fKAb-)KLl9TCrxQk>gbKpGFjrRM`hvdS1C(L%0W%A8 zroHckR}Yh&(g7<)+ZyTiL(WU9EWH8m{;_=(Ueweq&M^?~s zf-bP@;&+8_(+V|f@2fohk^lJ%@<*#V?J~@!MT5uWcM+)CF^0f6C&vDNV}Ws+jMNYG zlzb=HCH#|CnElJ_Veig_5>T60kW%SUkWAhxq-zSq5d075Ho#p<~oaf+UWpg+JUJ|Xti?k`94eh@GE zXntTNLuk010zT*cwiDJWy1?rY)GOcApG3G@-jFm`-d`Gk@s8rZ`>{05u+!lgEljut z3a&XEwOAJM8n14g?^ge2bqMpFdoqK2aMK1^EZkV+chvEN>Vxhq?Ai z_s+4)AMu8Lb(;4pk?#s{9!cq6q$uxjFH>?xZoY0~164B}pl1TZwY=HDc3A3$uO22C z&Fw>nifQPjeo4Z0HEcgoU+3bRQU}C>Bx|vNZs?$CD|@_-B$|DA?8u!iI%0z0V6pXh zgHkl2*wVPHP^beHS#tZm;Nrn|LWF;}sHBwXg(VRh82d=rDf-PINt z@y)*1?T4$Jv5)DeM`~l4^)tel1%KaV+}rU!+(7De7+VWLnKKB_xLoGO*X8e%{AFHa z^N(-8J>9wD6ReRy7EKKDD{8;)7{(X)yerQQt9_v<)oBFqS_=i?Ti-u@PYbQ8s(Is7 zR6SfGc2-y&s5*e@D-=GJ_`RigrtWA;5XDVJ8wl>79*Z*1d3qUFWXl4uCee0WwY-8P zan!Z5Y35kJd{t}UHy}PZncoF`+%u`3W{?f@m3>%A^j7cfDuXA~?SG=hAMJ_UzUvR% z`W3SVZM3)l`zsWB)o0)tWSCvi{qFWdiX2~du*f{CL4{>DgFwzpRwjy%ckutqzZ7#wz_HM(bCoOSRb*I9K{-|;Mc|JbFfFfx~> zEQ+0)Uwt)hk9ZSUYgQZa|J$4QgW)f##!5UyuAbN#m^3_`lcgsHzIARj1YgE$6f%x` zpfA6|9x>aY-kJ=r>+TQJcZr{S4+6ejQB69+oeZq%E8uW zk=N2&yQfIsL1gP!e!Mdh(tCM6f&t?sKmm8A8THmC)*hI+;CabfqsO!Ci+l%I!d0H$ zd(Mq^ac}SR{fKmm=)*YyDgX8-vEENMz#SXjPe|tY8nVdoJ(A|EAD~RK)AR8;1IP0^ z_L?9CQ`m_&AGzSRhQ7%+O0$GgEjJ6rsO!Esdwv-5j%*9VEPJ$o)L&LUKsx9ri!(*vY|KfVbF;B-?jZU`@6VFFx0QVU@p72#L zpss3U>PcisMte?%JKbeQ#8 zr;jYcLHofjLk;;CfILl5mzkPE8q@SFeNIOR*0+vXUUslyh~ZUUx0W*dyb)Dk(9iuK zHjl5)@s_18lQAmz`g~{WATZl{}ttd(vN*RxxM5S5RJ#P_(M+`j>Rm z)7DLwTk{h4n-KrfV<&p;`UwK&Br{iTtC=Hc%fZK!&GIjXWi?Hl?YdNEJcrtcPASJV z!6uKc`F=)qFvbEkBzeZTzA0D|@%W>CwTfr`dpmcmCrM3*`laS4!}Qb9t9G{HrOkU@ z@{vF31+xGr`k}6K-a7p&g`bbeX~;F$kKLCjgividUo`nz4U8nuOuS#qf&H^zcyIhg z%UNem0*X~D2eGE`>Bz$SFKysDaez)2`2>B-n-09B-GMZO~uQntB2~BD# z<;$>|?e`AlfanU)?hoa!r@7Vpu`2w2GwU`WdlLc_=Y`#;*PpzYt7(nx{pCDwky+yl z1@tAz4PLN6Z5`~y9F5>PfVJ^TE@sF&NbPD{3~>++Hu*!O z{`t-Fa5)%`r&?ith22+vw`CJ z5_R|WHDc7bcMpBE((BDIXk)aqn;u@WQViy9)tq$TK9scDbRQQ+q)inO*IjYV*+4$iBy<=T%>5`Akck9 zPWz_Nl&9uWK+8WHE}VW{)R$*sPMtJAi2dAZ1YBgFH|&I0m`ivdNJB^XqcS9$+L^KfhL^8&Zo?i1eI{5EM8B#?&_KtDuTo7!8*t$PZakETK{T#pvk6-}^(eGvU2# zA+aPMHiBn<=iw34Duebq3**!|S+peMKG^fqN<`;DsB53s`n?d=t&6i(<^WNxJ*`4N zW+HgJ_Xo1|Zw(esLV`pCKPY0+AlfebdlA?Eu!psK5M1#uq0RiG61X=ZmHB0Y;)ysH zoVKvP0VJKiu-%j%4+!duX<~P2tE_8+N)}euk&r!~n_L;KoNv>L4H${DNG=yA#1@?w z`WjN084|IpyW0wz>^c|zPN93y=HqM3H2}vw_YCW^)&ofj!L$$}3krLjjX`TL7E?1$ zPj%fBFJnZ$l8Vkq49=f=r%s8ljuP%;HC(N*E%s*NbnQpn9!oY+ngk4EEjG5An;Slo zI)zVhz`H=E`uWX!^YdhBUv7d8rYw)N`Mw(PuCGu5cd+NqQm__nm5uj%B4yYa&O3$w z@VR!UT^>$uW&Nz(_nRhHljj2dHHjVK%KRtk5lUePm;k<>04T2(LQ}^ZUmVdIv8p5` z29jQD@8N5;J-p>UkdjY7trAwUoe7#&3@dSF2RB7&F=*%T{@u{DOwrhdv<=TsmgUeq zpS8xK$9wfdsy)%W!cQYLOiUUiTA;`rKspiRxhRqMperpY`Z5-G(XY*GSv!wZqFP9Bh=3Yp0Cyrn!$$)m89P{|_4sXcex!d_(&-TUa!r05OkdqFXfgN8p%vkBlJHfhtjNdL6 zF#m)zh}Rx@-##t-1#5QJzC|M=u4yYGj52!jFz!#WgE3EN6 zF9P(kmyfig6V%`YyNs7Y&2h`G1wH5jIr>u=@ef)J5e4x9R{Mb*zFOvrj!FL0S4?-$ zP3q4^AO$Y2?MS?CR28f7MgZLO*>P{u=ZZ{0QZA!0IM$V;gB2Fo4eyi;BQ5OWuZ69; z$VU^wPpFfIp^>OL>U(XrypV9(qopxLSVw?xsD}1z+x=Gl1W*Dg7M#EXro#%J7mz*b zi}4<~8%^V^O?mTZ3kQwOv-9?=hkiRbu9dpvj%$)lnOX%o93&szT)poAg&f=@@lGFt zE=`Fo%kN{6kD(9k(xsYm=gZ7xc?LF`RPhz(#}fJDOtoBsbFfp_uD!~!9b2s(GsV0s zP-$Q(DyOW5t*N1AU+d`z!vQn6!QG(%xl|laueHj+#*>jK7j|fRz3IpBb^O7BX@d#1 z=pNlwcEIM#-=D&^RoAHyI+@viqfkfAe$0lsUAPr9Kh{_exM+)_Njkz+Wk}+HFAvyN zAeIwIWy)LkVXZj;-z+`7#!zhpi+;$IvB{?`>TSm`fk2bm0AOja0{PQOr|2+vw6>WM zUliS`I8(uJ63xiSlQyjwNIUyu(8OZ3~29_P(vs)nNhvLuB(H< z%1mZu2FsM{lj&CdZsnt1(7lLbSQ2#Pvk1Y~vxHgoMQ~rzM+}tIq4y++5K-Z`4nA`1 zrD2BoL~q^mDXPwK@Tr0+?vrQ3{nHn6iD7nK!;%bkahPrBi94-E}FfRpgy@ z$DmlTP46&NN2MOr&Ce0NF4v;fzC9-*Kad_FyXj(p3LT-|iUdcGQ13XtUDCd<@4n&& zb`NIn4Pqb(p{fDc=h1dNwYki(#8+}Ec;gQ{;?cPn290wb_1(atSPvx+t4RW*M}2if zuw-7%XscLdK>WB=$edYIKUaUdK z+I!*f1nB}mU!?Mr$nN4n0jYJGN1*mOtTLRVG2_~*(r`7OxG1>Ufp0e(cs9 zszy7te<#E>x8{WzGiNayIRTPT8j`VgJDI1FX~N~d>o%Rl&bCXboq-G_FlqvjG3Lcq zOCaT=Ixa0HeSf_m^xJ$N$k&r5LO4%n#-7J!XqQiTJ^}r^t})2}Ch)zY3)Jt@VGLcq zx|1a5kh*rP9S3&m6JPwde@>`Mk7w{*6zHuYddalcZLA24M69hO{O=%d$fq*qJlV8= z`|bW zGEBmnsGc9FEJIKt+uC?I;c7)r_&s1bM(9yZK$fcU>0D4wwOR)G7O;oA^iNE9Yu5oYGmX2;R<0{e0e_lh(rXI* z8h22~<(5?6H~nLxdI|oss&UJ9q`2_a`jO3OsXprhE#!-tT2qXZ<>j`uqb?OC{F|Z_ zcI#NwZF6gX5ozD45Z>>pua2@MT28G8Ykx(-=k$6J|eso9^cqJ^Wy>fl)5teRK zs8amb+XZ8KLAq$fZTXG4iIt0dcrHFq{-7>L)vnLT`$i*{VE2)$f15C8EjWFX^)00m zZAa9ygTpHm_colfPNuG!e&&a>gmkgvLAtFKg3!j0qB`~qOl!J!mLV{jkN3D!Z|eHY zf_>j6>9UX7<+)l^^JRQ6YL2}&kuUIF*X0fUi=Fw}8vLC(H=Jx4t9da$YfE|e3lgGs zdWjD&QPe9AkVUxUE``a!g)wr!N15hqy{YcB~05c~EHm=ln7D3^v zerIrJJc5mbVdpt-8C>fzZTUkF)6(PR#|IT*&054(cKoH~J#zb3nhc_UgYbT{ zPZG(~R=01A>;I?r33b~RNmh>A`1n^g#ed;H_=~u>ceon34E{v+rY)ao7jm@svDfJi z8>!KpZ%9d= zn6yq5rys<8Kzlu(`z*IUL%d`_V{NY?s9YEO`&;}a41`n@A`EU>Ps|qHYbYFEF)oh4 z|2S#0Np7^#hb2hNB|4Hexep27Uot#ci}pQf%ck)2LYH@r7G^ND>ByDhLhaO`ft#(s zm3y=_x%#I6XqQAL*7_GUNsl_(+v@)KK29n=rj#_qY^-eNr!PvUn`fG)fel{C#FEBv z(zyfS`>zgz3~G^0v>AJ3xJ2Y(2tH;tg*PgESiGmLC5=4whp@%ax&7=Q{Uq1)KKZay z?6Mu`@;~htBl|~?=$gD`&&4?YVSUI_v< zAo&2**P1@;IUdlXATPUWB`<9X4KG}bR#ur=5Mi9kFCPeKuepBAvMwRB^E#xH8J`G9dY!@x^ut>s86x)oucJ>9 z?dEe#*|eiyPcSE8mZ)P0&oZSfN6ORy(b{aNGFATv0fhxIn)P0EvJ0|e-#vhGTYO__lz*dEQSiKVre>e!ux6k2m992z#d?Cr zb^C*`B*Udn)6aX$o*^}Ug#i~G05LRmCGwnmvRtuXcis~oM@uh+6W#eqk1TbP-9dYT z=nsSL=f0>f2#NtYLWle7q8}fCQJrzs$E}a%(3e&))H^RbH8>$({8lHgw5K9Y#isg~J&J;~7#vqh&PelFLOXiT{*h@Oxw72Ykt7=Sb&5z{#spTDlxMMCoLqGCt@Hg)!eByiBiGDXdGZFm){T|3sE8ZRW z;gCq3X1R?o=9pXK4OEp$aBcqIh1xl0PiQo4m{XiQGKmfM(}31oAz?_J%FW3Axq7_z z>l1ygCleJ}hpiDG?Gx(M@w4*++r>T2jSD^uf*?z54m!0IZ-f>Tyv=s(XdHF42<<`yfq7Mhz>3|EUZC=q+Dz1LOi`GB$h`L9BAag>R=dqedNzUK zA~aRM0Ppsf?bhkXkihOh*Z#SvEbrp;wnVHfA^o$uAYr!%(%yA)p({{jfO6sg+^dLx zy;$W=_Q92hG953ISAQgyhC7%m@>0ZwWQhB<7Z14mL3ua7c1oW2H{2r8W&eLvy?Z#* z|N94CNh%>Ka!x{WSO_^+QaMCX&P)zTPC1R)W+5S`lv9KxB&Us#VN1@(Id6zn4#UPU zHZ!*0-krkb(8PK=O+=FgH8l%2|C`ke!V`jrFMd%8^0MT6Tz$o6{(`Ij zn(Li#6kf9HGy?O4ruczxtIAVXvdiG}C(M@R&)+a27nKK)5vGwGtDP}MG1j&2r%LJ?fTIm-OsfpaaIj2h}aj#?EEGoN{>NBa$S0 zAt~W_Eq5$`pSEzIeG$wUkR_^Lw5r++V&$ zB*?yfwRrRNv7;TLf~-giU5dHpI|3Vn1=82hHQ11~jmh#r9YVQHnPq;+N%@6H@JA2! zJ&!4N9DeKL`o5jDHmG+T(BuM(3kAn0SBR5qZxgt}%N81WFk zl-yH~4ZX+nmisl>9~-t58Tp$_e+~zqXyd9cHwQbUW9p?*wG?i$-s7vp_$;d7Ogh$B zr;xwa>D^et?(b#hs{J#f=EaDs6QgSUFF1lo-|!?c|3nUsUiYoQ35|iw{BK&WHLfFB%CMyDa=H$Ru%3 zj_q8{=9XN#{ySW=?SZf8`R&NzCv#lK3c+q06-S&NBC-?O;HN_oyf@;GRL77A`H(yDIPIak zH!tWo^2|}Dh*==i29F z!pn7-`65R5*3OL5y~!1%TKh4W?J_6Z93S1_ns`kR;j1D$tPOovw@M>z=jfjM>}i-x z|4+0$KB$BL44K)%FQU9U>ERzkTC}?-jWycX^jfqu+J-V83?n$@Anl&%#bpyqJtCYSPUeXE{} zyh?`YdMtah@0yvfvVYp^D6%iS$5-&rc}R=d`=pg#vo)*FQ3BU=ttqz58TA@O-5@_` zyGTQ9E29KLPcgHWr#C;S@w~=z3Ly~wXdoJ`*V)SWHyx9m-7>TD4tM7vEf+(T-mdGk z&E4_yUtwNi8p?*snl>U{8eGI5`{Hy7-_@~x&QBTnoPdmMRO~>Ah)|*r>{`10+CVZ5 z$N8WH`ovQAs=m0}vlsy$IJRdyI!@x$m%cEGHCUb!*a%aHG*f@-*JDW8JBgcjlUS)u(14 z;$g?S5NBk8ybW^5rWpVGWUV$cOM+T4I1erp%-%I-rS8biPF#`ueB(wzePG{d&Cooc2&(%E77a z+4#`xcl^H7NmPtX;qx;yU6$p7;^NRcxIzhQH+-*-C3b%*zYxQ!LS+vaS+xyl8~ag@m|yRDg1 zui);1==i<<_Uw>MeS+(kW?O`5$I$7@ZFB)dED!RDL?)P)&tbUGeilY={c~Fyugq5o z=G|HRc1_@lmEGSQsS~jo)IjoygPZH(^DUXo+eHe@f8F(x>DGFiSkP@VwFbYtp+>}C zpxc?=DVmlVw8T#+V|HuCj?VV=s|$sHq~zG3;!P#?B^>i`4ILX}a>}X~x9**2kkAMJ z0c2hNYySHpRfuqcz~k$*`${73gSB_pQ`_Jd_dy#sr2_hge!Wdus~tGhT>o-V<~1_v zI6dbHvvW5Ms<11}1(E9)tB(Ic|H-;{DhmJePsmZ1Vw{udo_iV}%UW+40ByR9eW%#>WI}5YPu7)#E0hb`+JUIV5UZ?IX-*x3&ax9C@3DFBh<>sn+YS- zFBCcz5}p-78%NQ{#Z_#0+ZT||XLVc{IVV#3shT@9mtnnLIyovf#Gv?1r>^b;E4v$lP;H)L6!%vhbX!RNC$mv4Ujf$C)>Av7nX8`TPW6U+#f-h%w zdBmlHN_Qc5SmK5+J+|On;+%wfzbRu;S&x89)v#UbMLw7T;Hn^7j5H9q80RtmRZo%E zX2Je%(}-|A{KAMPJgy^B1A$<_1ugOhdn`Nbs2IM$k5Jz6YH7vrI_SR_Kx}f9f|yQABWisnW%#n{bc`nym6lS zbqPKveyPSC==*g8=zD$=2OAIHl_%5)GUD}(F~*bgxdy%LMh#f9@3{UrvIraQ>EdJ+ zb~b46?L;vNos!D<@f4~p8HjJ}s9P`!ygYx935Q|+JYFswT7;jI4R(I2Zz$`jx_aaZ zK;-;_;{MyAB(g4KmG@V6;afYPpfa?wB3;=y-Ij)tN!^j>r z`IW7>U|zAy<0P#XY5!W!PFId-Mt5!Zg=SeT>+Upb6-zx-toiCf2YbN#z$Q90&Hf|T zj?=EVvzyQMe`3)=oF(uEh0deC`Dk}^Hj3}{weHZ0?N$knJHUvBj_i^4Y4h|16TjoMuON>)= z+9%uRu1tqQugO;5s=-O?1tE4O6hCMe<()R>bCrqGMbsx`P8#&ZBFbH?WaMyOWAdkTDm z((Ry-0E^~3GO(ln?S~=$>Dte1yg2g%wAgCFyPawhpn{(1PS|OP2c2DUgLi4CNuZ67 z>P3eF&yXYBfoI7U?j?>(>FjuK10|zNTdx&}CUWb%%0tmJb_ib6MvNO#P${2_9#q8C zS>ZqHCx(nYs+VHF;`c+YiZ6zqQ1|zRR9K7n(3dWz>WV`MV}u1-%EN#eWDuO1p+97^ zb)6ywbe}CCS${_c#QUK;d-1v^$S(h&hk41M=cF7L~0y<-7@96P>z zlao#AHDY>=6D{8+Q_?lK^R&C1VE5(meF-G4L7#E>PiLdc%-=s;f83lr&C$CRb4Y-a zg}s}KYo=2_Og-CoFsSFB?u(#bwK%Kb-8KY5OvY=&s!B3`868Et1)dGLSvDDeL?Bg0 z;Po3nx3wdBg4p#)_v+}^n@;as1|Ld1S5FPw331k5ML*D>txT7@CKODJ4b-i*VQkkz za^cnD$McK93J)m?OS1jI%y{INop-t^@VYvQw!p*Spw{vXtv??d@9he09-*Jq$_lOe zC*2jk`vcoU|D}CI81#yCzlmRD;qQa=-oV?ff^D{?9~*pGZXMgt(GzYHIxr3J<2S}y zY!va<{R=fu6DmKz71!>ee_w>2un6fLz+^PY);JTJ3|93a`;q(Zl7ASDO46Sj_YXj| zK;0@!X6{EL-9`N{OrRNQBhN^cHWAq&%qvXVjpv34tgxIAU-Q^6-O>TzY`2*@3V@rJ zFd7!~pEbg*_Y$uY1ABA+Ur#$*h~hs&Q^tQW&P=wY~k4zp&ysZfh!s) zLFdZ4iawIAa74trMLtD1E!{2J+;%l}({S?7zOXK&J@Hj?DmemG&YO^J>^)WaNJs!)CA;s(`BWgAVxE$=x zG@TCuj-NPO^WD;A*Lw$+Cn!*b(_m-qOT3`gz&D4NfK*1~z0gEbYSa4pC82IA3wGeV z(dj+KG61@90QrbH79LRS6EY|=+r*`2zy%R-FNrY2b^5!y{9f!OiY!7ZEh=5+i&Wr> zRc(RWG0?`x2|L2rr>qa~9LU@qFjz#w&N;~_i|_mZ4u0&ma%cyIyKesj{B6-&KCHCj zPG{80chq)jpyb?URX)d22qgb_++Fo6Ao%z5Ie|?4tYR`r3%J*n9j&i`Wr7tmCx>c! zP~P0tA6g1WVJSb{1<*WHEORqQye1=P`$?a<-dZ={9g!MUK&Sp8*rxzhJb1_TLt_gj_Z^+nFCJ7~X_#bcDDEhrJD3`CH7`{~Rd+a5 zc;LsL@TCV1^Aq#YibeutO(SzNM{NRhMOUvAx)L>c`=g=RRoSKAfSufC_Xw>MD27F)Lf1+}9Mt*OiBYyGAO$52@wFro_Rf<95PD)56O0S)#5rY*Uq zZl6z@K?OfetqFm;oU0&F2ZB}HmXffU5?fV?v-0?%j)1d%cJ4Ud25qDgx44xb%I$rE zi#*=beaIUdHb0o{3GUTtxyVx`b@#_a0Qi)<^cWGjt1sRYjpZ`yB`TI&)9pV39UT@7 z_m&QB^&61WD!rloXqTsX_n+{Zz&E1?BgVUQAo3-&OM|H&U)}OP?pOAGhKeQ-w@(71 zs~OrY4DGXY9%ivsK89cf)?yDB;V<~Us9x)5eebSe@Q4P(LUHuh65C}_uSkL0Y4B>y z--DaUH*th}z0BA-=??qz{jgqOziTr6{-8)t*X6!0my6=<4zAA91|{h_y=Q{Huek!J zb{1WLQo*a#hGycq9q)bGf;7$mo$w(H_8eE%b^c=^Jbi593j6gUcBfp%7e!b*5T#(< z^8axzfE)Z|=|;Fj@QXuqUu-X%zj?u?W9V$<`&E%xKosF`GdntGA^{k3{~7Mp>#EB7 z$?4XtDiWwUKsyvKu!XH+&A=TtMdD z=crs=4foYk8#t4ep`m*NbJt~Nb5!_1s^|Qk%E0%LKr32OL+5A%#ARlFw87U!e6_Yy zv(aN_tVKH5{IkFQ%!b5nr_`yrCjcMF!Om*yTZQO`lo0V{?*)Q2l$ajoZ@0)!WmXol zOAe}Uo?%wm;XwJ{t88$f*zZ-3aiI6#s~m8ky6>&U)&8I=|CMQKYrg-?0zE0bz}zno z9rBpx`@e3Z$@=^U&9)ODvpL)^y$W)NUi>M0&=pq)kaaEjk;iaknb?_0Jw@=)H_xJ- z5Zf^MUYX=hdsVCx;)|R40f1;Q{v$q+7ta=qO;bQ%PPc!wOKUYjWScf#QNs(qrwZVV zG#iTmh}gt@RlIjXCqwoV^C&Xp)q3*&+aGgxS<_*yA&EZuERDo9Kqyg=nc;SmF51@R z6?=xBlkSoA??d;QW;29)r=_PbEx$=SnSAoj~z7iM*C(I1Zv3Zkk^!#@v8-a^g91&EL4UkHtVACNV?b_3-R zw=Vvr*}eiV=8%@`pLcohLb~9 zb{|3Nl7iQii4*HR+irwQ;1q$}vkylQqv3kM+cT+04$p9~vbE+>T1}Wg;eCVb>U3&$ zLrvY937GbS&aM$+w{?A2+P}?X zz_feOdo%JBHPXu_LZ#5_V!z2QfThM3-x4H2Yr0#cW?o0~2O}3q$dX#WlF)lB4DOjZ zC6B1)mQ5tNyAF+opC-Iv8U=rNQzr;|DrS-ZnFXb z3c$=;Ft+PRJ<3DWoXIWixX@knX39TifHHRLP~qZ{qP#xzo=P!=ajQ{!Wv-j_gmoZO zao3hxRV-xtfvNV_Ymw zMJg?;UTP?GBc4&JYBahM>ixbt^yxo^xOL^x6-StunoDe}P+7D(n{6 z<-*)aHfL&bjKnBDXn%sz?&swWX>SPvT`4Btf?N@ke~AD&bej z72rq32?na6Bq67V>znEnr2X=px9ca`E_UzAv}wuD9>n&@`I!Zqzh?gn z`mhXr6&Tg7gc981YU=7LggR&1SnzvZZEjw)h*#%96EG7NHc)xH@{+Gr@6N@p4V^Z3 zi7FNBmgirGKTd}WMa&34r3951o~OHs*9I2X(yjz_sKx4tv%$sAfQ40`BB%GESH$ro zo?e?<+A*Q{v^0pth1qj4_b-(O*I!fXe_)8UjPMdQD}N{&Y2@|w4fboO%kINcUaPWq z>qpvX3ZMcvX*p1XTj3>876-Y{leb^yn($S_96Vfhw_H!N9B=aWH#CQb{^Q+(R%}F; zP``?0+Dsrxn~}VWQbnXcyr)_PL*_5GnW5HOTjs1kdt{eNjW&VKj2_&nZWL6TNbIkp z4Biq!@uRaN&;qp-l^B1k%0`B^r}fTC02z|$90+iK&TDZI^m@4Jjs>ymZ3U=yCsze9 zSRhX1wQ83i4?L?iI&n*+VO%n1?I%Ue91+y99l1H^%{!a=#YXb=bwi7~)+yhh);Z5- z>NRwaCQ5eV!2<+>6cw9tefrcxrGHUvTD^G>BGAmEGa2|JZCbKgz^mkQpDD93DKe!y z+6@;WBXRWc1cr;Z1;Qo$~W5~Uo$^;7i)o~}+ zh*Fc_FB2M)=&xws()~1MP+@i{W>D4^6}S>vTtbF41$jIcMNiejmnP{D{x|Kqy}rR{+s%oYWAkVtR6TWTFQI#!@<*A$iU&#jn zq10YRJo3f!rSP7%whl`XvPOq<;HB5xSWz>Q*69H5=b*G@S>=%#5__ zR8zsz&;obXbw&2i8Gv>85#wYfM9uM~k1ZLIZ%6=!x>=OQPE*xe2CrfE))zC<9pXY* z8ZouC!B<^C4I?wBFnJ8+iqD5m{#GO|l$>Q04)umuN^QU0Q{x%ssnIF@8wkuPROkwI z8>DGG^LwPX7zT=AfBz0&_}JnX-gao-ne!xCC1%(U2&>!4Jt$i||CDo|YcF)b#!8c3 zh{?dDPwV9r?SHz9L2Z+ATK1Zc&2(lP`=};sNe(e4B8&vzd>y@}>qTcVmO4c(+&6+-^`v0D z=|Qje@G{uD)~@c*=LhTOWk+a*^--A?CMoN3l7)^0gLO#{L|IEz)tD4YrpwK0-lkAz zo3Cp|e1f0i1O4Wz_Xe7TtiVb|DokH#wb@*&P&r@7Du|^Ts9DWrVH93>E^2-VHt@0+ z_`~~8{Aaf42S9XFL9kub8Z{2UOt*VzCAy!4ntogHhj4rJzKCC-d-YiUJ5p$wXH%cr zZ*@c3zugxHbm&ug4*5Ep=X#)KF{^ne(|5B&V#1>7*Yse0L~WWPNh2Al#^5oG1w7>H zsgIb(6eQA-eN1~X@dn$hAPcLKj~9tcVQD@NX)TU93~t!V2&8Ri)>k+rWX^8>zH^d7 zVTdXEJ7gA|l2m)DWcH7P`Pj&`uL2LgJ)Ck%z2{srq6R|^r0|TT8a%#=Jy(^dw4F!y%(kya z)xZgNbk@@RNn&Rd`AnK_S$gm4qb+oHi`*XOl`c31uOTwbh$B;g;nwxB(Ts|Br>2a2 zL}UCbG{cIcs+Sh08yBXZ*n2b&)rAl)@Q4Rn2IUjc6X4W?n>ew6sH!J5#;~~ugQe+V zk2JO)m-U^C(9vK^Zei+0J1jd-~x%kdMI9tQ~`%VS(_kUe4!V(S0r@g+*VK1$r!n_k|03LY@@&Nx zzmjtBGdE*ymxY6t@|XW<@IE0`S8_;BpiT5+==H~ zxv#5H1|dCdza=Q*QJKh$6po+n98&Kn^AJ*xdnOnE-)YX7jQIq6Hl1rVFCTg|C;=Q zK#vvz^B+*}KJri+3VhS>{<;5S7pm2u{s$sn4y-#wCMeIzc)=(e)pM^Ac#Qd%!%2LM`rUoI4V3{ zNL&{05{JfIY=|2kl(W?}dZJO3XN4N%7Eh+0|2gKgtNaJHG1U@y;BbBkrdg^&R}6bM z6m7{4nbf(8RmH-KLO7X)bPEHI>*VCZ-=-*?to<9ZyoG+X;RV?0BMvhpP9j6wBHh=w{3xh;0YA<#v^`ZyK3HI!zg2batX4L4rk}Bfl6Otpce;!UxP*LKcy754X>(=bWTa^X zr4Ct#$=eFYY{=~kXUX(GfctCozxC=-f5aHj{&`0fwv@K?(|KbNJktCG*m?yYJdQ2s z-)p?{U8?qfE%IF?j#Rte)N3A6usLm5a)*@a@EX=zY(cQ%!5rP(y`5yLi(5ZkH9Ae<3Iz? z|Lt}C?43uHC#Cq#k{=}oKT;`a_x%k#4aO6sg7u&11XN#B)jJ?d^^C1C*e@)9eIWxn zBBk+OQ)$Qjq}x?q+8OtC&N~P}22B2vrNRV+U25_vn60#=Bqa6lhLucLX8Mh_J+}glKa(t>3{Y zrYtEg;OI)hX+JJ^*c3&yA1y`_3R?)sgmg@OtUD^+jV=l6)Vw8vvr%46_E8>MZz(8v zY#4{PT8Mm@-BFv>oxjmpoAu61rKB-rz`mx$vo#BAeOeN!?^S#)u=PS{#oGXv4{1_F z=z`8Q+-(t8zD}KMe&;e{bL`gIm6#jQrF#0cCuv4<9!6Wv;)6wTtaHqz23;na{Uj?DuSV313l&3<=C0TTKz&N0l5@h-KmnZ ztf4X!t;q$662pEMod#v&UiFN%4Dn7|IOS=-?L^mM){YS!(P%G#H z(kG^KuU)gunL`A$DH}JKejApiOwVFkrb9AxW>oqO8jf`b^Bsp}z52QYs2C3dz}@mcfx$UiGkfk>?ZihZhh|k| z+zIw;3V3FQGMe8@RqWhvZs-W+r#UEAQ}C_m;(4`f{nsY`7KNBxl`BJsQ&)C$j1VOO zr^S2gC9R)7mdMz7KyURR$zZ+gDcR2*>;)VIZfZG~T`uX)u_{B}O>eIV>ATV8u?q9I znJMkEPr(=53HhjO+z^joEn5Xddwp#_r6V=AnKRs(+HK+E+x2_!=F3x5p78%Wcm~h< zDY$E&;9v;i+eM2GuhIYSD&FVUPN;p+oh*1qyyM_a`zw^G{#2;Qc6~ zwNP-cxPGJsFa-mSZt7$y4S1y%zmSOQYx5gH>RESZ(ZnGYjAu=?&V1ZWtBQu*#Dq*z z$y7XUHXb*I{=pG?M+?F5Ay?%8*4X0$>pEW-u&OUqHRQmaRK!}9`JWhAXc`ELNj>ul z{!C-)5R`x6JAL<~*Jz<cC+0J%&*641Q>h#^08!Pq?Vwo6`V~aU3U-Kkg?;Fi|;sEUuejkSWW9&?gSP_Bl z8X5L`#Caz+$d&;0&A+eIb!aCxP->;S4sDsOb|1NP+@9TK85Z!b^Mk;T*?NZI4^__) z`aTy27Rpa_xx(=xWbFOATD_x)!1N zCREAeh7cq%v?S!b-Dwo=B(7Z|g~{U2p5M91dKT^Wq@Q&Mv0TwW4$i0`y;9vGh5`?a zTWTgPMXf45c}Uw5JQgvwfa}WK$FfE=&b(Gzrx|6m0=}9aeZ|b z3w0ka;u51EA;quL7JI{%(Ar#S44 zV4l-YGj)e|^+L0LO|BiEmva&~i#(oM8JYt<)ff6|g+bmWR(Ic)S@qBpaxD5C&0y*E zxUULfY{enEa(?^nn~f;$D1j=hh<$Nw1?YCi&+Sq@5u5H+s);LUehW(4U~JFkrjx$W z`CJVEbeOLIRB=r_Yb(UOp;I>FO&f)*8; zgL?gMHLBFm?LIUUI!NVc0jJgMQSH!8<+iTPNDb~JjYpx?33VFV}s+W%R?H1ABc@o&9`TxkV!r@N^jQ5zlw4rIdbjG9mtYgVZaHG~Xh+ zm$%gGUE>&&f+4OL|63>q2kaypwrR;V-1v{_Cg^t!*~~3&Ojd2Xn6?FKyXak;A?@%h z;4Qj&OX^LEf>wU$E|-*Y9(&0u5Lg3iEhm$z_6tM4O8-fUtC6rtfgu&+FgP?mBlmAo zoa>%XmSzublEEX%?`L^`)q3xfTceQD$cm0g0`m;&(~%a8KA+y;mJBUBE`m^^DXjOl|)DAGyN57wMar9u!OZ8Rl!DmwBIOVDR+v zoW;1qM-_tp{zVl3m0sYkR&_cW!dU^1?US$feQr=1lgtH(cd8jE!}r}m?8hi z9*D#2hqm#{&z8qb#m_w+8}rT17}p{xYLztx%_T?V&-wj|mcX=5r4G6_T1eXG#P;V!0*uA#bya< z2A`~xLjw)dT7Rr7cIxQS)p7WqdChh^|ZfLPOx8Rtul3GTCu=2kV?if20#lvMak7Tj$zrFO)LJ+U4La3eugjzhd7>j->7sJ?+)VUfRup zhn?zbF>;2U9ec$i$m94e&#ei7GpYNXjVokrRDP!vCmiy0i$^H@oCE#YA$0L*e^LOplNoPb4<1VjAB?-RlTYE zx~{G6u`J?GNcX)18+kSsSsqV~8Y#tYfg4uzI_ge!hhKjf0ebcx9Xt8*z*4dX1EA}D zuCDs#;&x`nSSgQN)Yhyx$Ul1{p*zjh{JW zMGM6wjfitYkEnGe>*Lu8y($9M3 zyLDZGXYUHFe}c!p&otS9V(oGiw_v(*bHvVA&Je5fMA2vc_PDj`;8+Q)$C{6byUl-* zf_yY@F^`lLt~qPI`OP^{XId~9u$-$8HhQULSKd&+F7rhC5Xe4pNax8cJ=YyOsq-X1 zU+-_dxtX|i;Ew_m$ao#+ZRKiu$9mP|W2@T7k0tI_{S}hdH7AcDM4z4%MqU;x6bf=} zT|DU6Iri(=ivPjPHNrckyWmD+EI?X;sNcB#`97bV>#EpTwY-21HVbCt@kiEw-dhJ7V*V*OFK1CN>imi>Ur4&H08QuKdhieQM?!U+P)N3Dii}yp4~{ujNnC z(3GysSFm2`r7s#A{V@dJL!=}aT)@kBEb(&8{QuXlbVsoHE@Gr(UD)pwviT?nbXwzh zk8E|bD_-poD^;miJj;5OL`{u1QBK`@c4kyKai?#{Jc$=;kbc9|

    zWxX1%e~yN_uFv=|epd^u?7g+O!o2qwo>woimI^GJu!*`I>fTYZNB*9n%OGDXbfMId z)a)|0{+iQru`w%sU{O^~k{&}jr^vea$@N0|Q-*o@tnVv(R%GLu;-LXfP0&EA!uZJ> zvvGL8BI~5~_+@4o{Z#hQ@cfD&CD=9$yZ!C1K40*+|f6kB23k4%&4(>I0%=D**?eG4n z0!Wsvh71s%p|^i*|L}_=c-s~v$y)iCVo#A5b`~iStSQKY?+IYnXdjCi_3t5Cr%|I0 zw*zHe>aaE;`_miAK&Llb?u?NA(@SyDsRYEn4xE!i&s!Oug=xz$B4X`X%J9d2Z>S>H zdpwg858$+{?;{W=1wFWYA@|g0YDX(evVa7{VhZ3qA*__$P0Q47r~5u}NkUcqZ>M#&!RaAWpX<{)zBVEp4q2rRL51ey1Av>*Bo#xewgSIO z3O7vEsh3p_d2j0M7?w%xee;anH(ih;XIra?^*hd^zmM)!eNph{rI^>hHSE}gq;RaY zN&u;4_{pSitcbR{6?#!?KdbSiu%@ustTv+3q2^{I9Tb(}r6M=ut62Z7AZy3b%vJo$ zwbS)8%D!2e1K_Osj25kXy@qME($y}wV@UYAu0!>EZi6|pu0xhm|Kqxa=O2^{Aq$R) zFNlHp@PxEOS_;g7m$1{Pkxq%3%MIsHGbdqz3Rg~K`nE;vQ0!9`_nH?Lv8pu4Ymb&U zBw*7Jzh{diHg@}mL`$TUz#EphN&a=`q1YD7Ie!6k-X5naxx;+4Y-v^FL03@A+*pqN zdD)g@^GW7W#|)TdMnQwkn`o|}sM_w5Zn+L8>aJGt{!Rl1rL&To@AN0gM^9MY;SDcb z>RS%#x_h5^3Q=sRDV_QXAeF6Hg$1L|YG@fpoNKs|Xi569x9>>=@;_xQK1lSC7}A|2 z5*hpYc)27m)_Fl+%{Iq#flvRp-=I+*x(6txY8rt-AySyQi?k-iFYGHm`%{z?jOt>pV$v*dd_pY9ts zL@WXugAEPHQB-D9Jt;@aaU%&jIsd#CP+b;MDr`pRVM6T@ zDd03FuYLKkG1#p}pTCSdr}F@z4{J)kFSXb#H@FjkCuld_8;=VUn}&q^L`Hp5id_;{ zrd~Rou>l?&b&r%9zV7}lesbYD%3@vbLumF%+7ovXk*l-u3DZ_Y-q|R!({%iGt7XGm zsQ=f-lDtj+&&C3Ma}y+vR5txPu^KzK5UOdIw3=d68wej#2*_F|8)6^P+Km|u-KmC` zeD&6>Cw+th^`pwGivh-`w0fHM(Xn!NfS$U_(WP3N{Hn-KUfjNcDwTrzV&fu$Oi4*? zEb7Sq>QjcgjidM!nF@8H5&IGJ}>-KL}EIEh#TEwP!4S|)83$rZqZH|zV1g??s zMFBI@0cPdj(cfn?-mR5p_-T7pnaw~hPu-uR_7QVOg&#}%FKfC4nylZ~+x2fHPH4`B zwsJyMe8z?Cvx656YBE}gj}5hP5LYgY{0Zr}u4A_iUF!A)^RL$MZx{HMQP1{oCrnKx zpmp4;QtN*i&<2ctkJ77#bYBT@Lw%lFclE&Ni z7VpzeF}RlJ_SYL;2Y4OebwIT~kNhaF1G=9R9BY?n^Li2fA;^p4Pg8RIX-bjP;P}&= zZ4AdL$4B`3`Vw1ayQ%%{Ca<@K=6b6W_S$;Og4C zHBYhD*pB+Dw^;j9{7clq=*yZe~}Ly)H@QBAYMf3*we~n` zk+fEr^+s85IF8J5WYDM1C+YI5^kvT>{8Fwp*}k$2q=ttxSI?H`LX zT)t7%ZETi5);ZA5frbq-o-t#+<isdM$a=UhZSPG4eY%+oG^XS4Hldy~_5Q|_IY z>bz9vrB=CB&Zq0H+xwbNhj}%8Jk~GPFV-*CFR`zyc1~aQOS|XmLjRph`>I*%RmTrG zeh6~q{I~Y^xjEj#@fH}X&aHB8733h|w7i#}>s(}h#J*qY9Cz<;rL=nwKIy*rSz|5k z97X3SVlD2o+QyS46k?l{{jF20RR7Z z0d$q$ZxmG&$1lon%Fptvh05}y{8$z=#uQU)liQiQFmZlmc9sIh+-ypLg?6{?Zh6oI zeAD2diQkae$Kh)e($+w zj*DfYO9-*%++1@R>}weGJ9aAClfFEuB0R!hZ}XFX4X`;2XvYiP*TrKz{ZsD$#!$Tp z6)9~iSDw{zq?Gnm>^hZrxmb?rTi;I)!HDY^J27GzI{F1Zu+<7t!sLASPpxaP6n{6t zNUC2Y(cJvaUI<_aP1ZGsl~ZO5MWvGi)O6~B(i z3%6l7(t220+IAvk#X+=&cS5uDq2y?1^+tPbW{V{3It+GIryWbJW1;_AX#7e}G_W?$ zTUA>^GlIIr89coC-+NDC7}}6P9ZfwMu4gs+TMON^F!ms}CCCQ~q6dlkAUB8@Gd+VB zx4>{Pb4Cdn2BRv{j$aON_~AzJ>1rfBHrQ_xJeUM_t1+k$-w1C7-bla?Y;~rySY;FE z^zgymFJG}znmwz$SzsaY&*`i08r(>M^Fk&JZDEK2`cO(#hqH}V{bFPMd_ikyKJZRA zt4PEi45Hr0(009XWp+}x+UExcua1wkn=?an64lsoizQEax)Qrux^7@eoay4+aA9~W zm%!Y;JKrG?uSo@Bytnb}+Oyw2Ga?!F`4pW%htlSCwhOBDy|NwBIT5`*iDO7-q*`Wl zhnT?B=Y9KrdlecObSIZO=ybn7;{VKkFV$L?^yKVByFOW)8cGF&TqU!MVkz%2)|{NG z&5UOqGT6j;tCg9CS}zeZ<1g==)x^cx>vk~C+#N_2Ufw7jy)K( z6V}nSlhl?h3W zm`Gd#0tz{R?r{Pv#@U-<_mem#t3_rXcY?}|V%GptqO3?|3KhQHxo!K?C|@A)rFo;I zE3x!#8QGlH0loqLhtDH~5ZA|qpcX~3xZ#sp{oLJ8H0OT5jA;sHGH1kydXT%uFP|;= z)N~0Wn?~vgM)3}%$(=|*Y6S!$l)^iU6FZzzUni6iv|MK3ND3Gg%zC5(ihlx}9C^(g7=Al+yu z8Y={QDTJpcDY&L&mH?I7Fo6wVxCfyae4#XmQh^xGP{Ej#n2->_mZYkXmY@M-3MDXY zeB#K$VZhn2^7wznhM1ql32*EFA3h`8D#5q?y>92H1b3yK{UQItH`Mkh{El=umeFw6 z_^bVdM+}CF&r*{<+efJGs?*)@!hXm935UAxy*lWpe$Y1I>yl&UCxSsX|NjrPGbtfu zLqbA=#e~!kje;J=j2jsQtbhGFX5IGV_p#r4R5F*Y(F zF)%MOGcPhQF*6`jN+4HdVjx9iX>@6CZlw$W000000Fwd$000000J8!B0000000000 z05${w000000C)lHRy|7tK@i>3_<@RrSXhXKs00+rU7|s;Ni1waI*a@S5foJLU-*;q zGb}7EEG-1ZD)GJ9o4vh!nA`;mArodl=FQukotwK&!5FgwU52h_P`Ek?FbeQnj4hmR zh|QSI*f#6+t&&XWHWQn*`;AK9nmBgBqo*&Un04ozm3i)%S)%2ETcJ<`)5#RBP$Rc% zmUx9)&QGo6ryk{}9_Oc49n~LnA@jZ=9zPZ5Flt^l8Uw)CL>{Y`O0f?;JI}KJmS^{{ zZ3kyIX1x7;UY0ngJY(9sF30j_z98@VmFvkRo`*60b?ErwJ^?QKlRspCxBh>|cW{a& zIf*g$L(!i6pfeX^vM355Onk7qOzx|K!I9@(tx~-1`G#_P+A_!W(lNx&~$Z zs+_AYhGw4)gtv6H5|&R+uWs%i?4`mp9pqHdoG@#Tw|CbI=nG;^E*_2;4|}a8MQLX= zk>TaTRa#-GiB9AVrwSYpB><0@0AblNm;(=ph5_LaAWDGr9#OKCoF$1W+1vpHYjStFeu!*;DM3O1~8r zNw@T8%9Ll(@pz19%Ci~Mmifq&7jm|sqw?wF{Ntu?B!*{DF2j1I>YNXg+B=6S;iGg! z#K?v#Jj28lsVZFQ3w9QbV!gfZ%d7Gb2KMT=hxw~FSG)G){{R30|NnRaY?8|>L~#_y z4>O+QG2>B^Vj&`FEbJz^_s*|*bm!i2@6TdVeiR8c$YUWEmUjLC)*>rqrCItPEG=ed zBg!Ks-}B2DgIlL_?>V3Iz7eXTNg`Ui9e%2Uu7B95}x5%$y|_ZINpu9Gbn0-v_i_D z<&Zts3YlKbL8sBfQPLy@viD$#OGU*THrgDGP9CBD!A!D@OuohNuh7)#A{4Y2u#bxxbOR)YoT;kJR{@Zi&UoJi)JdqzVh<0%B4%!E2sUsVT(@JU3i=Y zrPB>SPf1&u(~gxCamAO8{fNUAhDXMt(TP?%M(Vq!*kAx5{1f~R<%|6r8rC=>^urDE w8MpJB%S-vig}&wdRz#m|J22kE2ot@KavQuAL#9kyC{HBNqVW7r00030|0?4R5F*Y(F zF)%MOGcPhQF*6`jN+4HdVjx9iX>@6CZc_sQ000000F(g$000000KfqN0000000000 z0K@_S000000C)kMkv&esKoErk1QZF7asUd-RFVHJ=P#I^VGR?dKDIO zx!R<)NoeFWhwc(OfS#Zam@Xjh|9q!J-2<%x0=NSk z#Mo{4SsKmn%^SaI5r>=-LZ0*d#zEge+d@-83{m1y%@Yi`(hbtYG8~rgYv|X1!30x^ z1`JrNaeMlL~nd1xiaE2lD}!Y$D((``oVA?_qvM6&pgxnWWMS zM=(gF1|Ij5Wn%d1XGVr-;AhjT*)^2aMYnsNmf0A?BAZT5#+PZ)`O`KPsERbJO55#q z1QYnB2xw##CvskI_g1Xt_S=WKiq*V>UZ~*bG|CH^psU0*j@`+DQEh&;y8s5v{||23 q6FGV1)`~Y4VD95}cpp`_NQkxDqq_%mmayLZO~`<2CcXdw0RR6C7BcPt diff --git a/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.(1).cnf.cdb b/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.(1).cnf.cdb deleted file mode 100644 index 72daff6b9f71a6f4eaffc640e8552fd78f0cdc10..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1400 zcmV-;1&8_+000233jqKC0001L0BQg;00011WpZ4R5F*Y(F zF)%MOGcPhQF*6`jN+4HdVjx9iX>@6CZuk`d000000Q&;~0000009yh80000000000 z0C)ue000000C)lHn7@h?K@i4gbrlggL_|cyK=hD9WM_8opMh`&nj9Ah3ci#NVBiDz z0EPxWfPsO5!9`-AXkc(02Cnt1?waZDof)?G_P`VS=;@lS`s%B$>gk!iVNnzpq_0T7 zykHkt-S9JzzjJ@?8qDpg0RQgxInRY8^NrHe3?b~c>w@{2pvXYc9mZi~`Sf#Vr`;O|{J=Eudn z$BXkJ>cE(ngo+LlP!!ur@?yw~V7_i1W%(4)#Jl52{SEg;5* zON@it6z5d=xOkrh1c{jPEkDjUcKGb$)#WtSuZW(#Yxz!db|3-bgVu&;|AT*qfwtH` zx;{K-c4(9Gqwm8nD}MaAw%qtR)9IW^8%hxSXKk$ix9C4gPuI^pHr7+Uoj+ZAo_W*V z6FJ4BGyeT0@PAVuN~9N_U)Dt(={zTVYssK8BR1nPQvFk3Z{&iCZMP=(}F>D6^BG8+n=!CB;stZm8ANtr`WyxdMaK|@8xc1iIHx*_7<}eo)Jc0MFeM=Et z!L$3WiACqyw|n8N{Zr4{CnJT!qJqD`_S3`C?-?R3M_Sv9Ax30i7HlhQU18r_*t%d; zWxJD%=L6DKvWdb(8#Rs!N@}H)SY{sE2;gt92WvPt9UlkYG#aq~Djdxbg?c`maW)Ry zaPb_~UiAPOV|NnRaY*9N)!%!H#efsE2 z)JX&@6on#^4sNBGq?bNOlQy{yUAzj4RG}hT2hqXN%^#q%C{C`@(f{D+(9J~@R1n1T zUD38;;Bb=fJKyUh!F+6yF}8i5e({0*z$oAqY-w|0l{_K2E!?`F1rffu+R1{HECMzQ zx^#>(H${MH_&)fYU!^vLamwcn=@oRrWwFFv-8GB#AhVG8&{r-&Y#K-aU7_vdd58(b zPV}2{98Aq(eVURJW+_Cjt=mHyn*m1p6@t5!0X3?9`rb(*Nu&Bj4v7ZUVRz@*W8~GW z5V+>mD?_Y`xBZ-UM2^rF{hOLj#TaHu#2-_yVUW^{f$5sxgQcN+JixXD|Hh>cPS$|8( z*?&vpN{$Nk(1K)ltGV0QXs)j%8_m6ha;_Cvm?Q})rhx7mu%fDql3{V_@&Z=muJ;81 G0RR8?p{@V` diff --git a/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.(1).cnf.hdb b/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.(1).cnf.hdb deleted file mode 100644 index 99dc25333920668405c13e5be208d8d0d027cc69..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 981 zcmV;`11kI!000233jqKC0001L0BQg;00011WpZ4R5F*Y(F zF)%MOGcPhQF*6`jN+4HdVjx9iX>@6CZk`7K000000Hgu{000000KfqN0000000000 z0M!Ek000000C)k!Q=x7fK@h#RX;no5fdK`EMb*vD+2>=nNrJ4xgjG=#1qS*P3Jn7T z`w4-Dg@u8Eg@r|R-?3VoK=6v5K?A(>g4 zU>nML8T?3}$8t;KT%V{j_0%~})YRmf`V(f~jYzwQq=`u95s4-=ODII7Z9<3LltZW7 zwLT=7rLdkwN`$Dta)Pps`zy=FBPrR+j(9VoY-wD)a%9!YkIf^sr&tY{|&)^jS87!GDO7=J6o)eh@ZezXoo2& z&VZu_{mi4u6;GK5{mf&YD=zSHik%9v05%WJ6`%Rh4`NfyND#5oEzkFZ*c5?8RQv+_ zhXmx$y+Yeuai4h*^Q0D8MmtvL9-|#AdOGYFt1ntQ+OVRf!-mnK=;&y_B(L)c9~0d1 z0r3NvZ}vQ_bIHrULraNGB86nj%>5u{$5utx?{M%1>>XkQ%mYQ!Hy~mm6q!X)>R+&N z5L2-%k_;;~Md7hP-V4xQ5ybqfuYwkrS)jb{XvZ1i5|}PpJVRcQ_5Ma|l1te&J~M3F zAofgU+lKX;2ERKGF)OSqdsQSbh+R|W+HtcVJFK3oE_{ZM+I`BKk89lL7`|;I+I_jc zx&03S0RR7Z0bEc)PQx$|bV`8s1Og5SffUa4j#N;EN!-LzoK&_C?P(Q?R3b#BG~pfa z4>-bsGjBk=0RF%Qv34;CERDwA9gjWRAs#s)ggjT(jfdPqY#>yeL7InD^Bgx^=@w~Y z84l|A0C^wbWP&M0V+JhKa=b)*Y`wnRKRVeio{ig(NrgVB0;MI-;>87@tfSzm{M>ZU z@8J2ADmH?IGf8EbjA58d4Llp<%f$G(Wv+}65S6pb>no_6^Iq?)sLKg%=jCjAJh>?5 z-9K$pfo5KmO>MjVu3!Sclmbm{;#@B3L4U<&4&FY@RBYxgWTE2U(Wo$Cg02$NIP#}^ z0kZkkHo^#F7X25u?TEap@m=DzZ!wQ>I(&esJ0!u{?cv=6k~MTM{}?jhnu#v}00960 DU&F%X diff --git a/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.asm.qmsg b/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.asm.qmsg deleted file mode 100644 index 47fa36db..00000000 --- a/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.asm.qmsg +++ /dev/null @@ -1,6 +0,0 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1571925469623 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 32-bit " "Running Quartus II 32-bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition " "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1571925469625 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Oct 24 21:57:49 2019 " "Processing started: Thu Oct 24 21:57:49 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1571925469625 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1571925469625 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off three_line_to_eight_decimal_decoder -c three_line_to_eight_decimal_decoder " "Command: quartus_asm --read_settings_files=off --write_settings_files=off three_line_to_eight_decimal_decoder -c three_line_to_eight_decimal_decoder" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1571925469626 ""} -{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1571925471320 ""} -{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1571925471377 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 32-bit " "Quartus II 32-bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "382 " "Peak virtual memory: 382 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1571925471938 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Oct 24 21:57:51 2019 " "Processing ended: Thu Oct 24 21:57:51 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1571925471938 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1571925471938 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:03 " "Total CPU time (on all processors): 00:00:03" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1571925471938 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1571925471938 ""} diff --git a/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.asm.rdb b/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.asm.rdb deleted file mode 100644 index fbe48b605b171e625ae6100f53949fb8a4bc51e1..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1372 zcmV-i1*7^D000233jqKC0001L0BQg;00011WpZ4R5F*Y(F zF)%MOGcPhQF*6`jN+4HdVjx9iX>@6CZhH*?000000Eh$t0000006PHy0000000000 z09*wC000000C)lHR^4ygHW0VBcAwoRwqY9v>;@ED3e17+rrjFs#gUyhSyQ*M+lRdv zv~;rBQj*J$Ebjk4V0WTyONrg3e(J*n(8oKIzdQ1NJn~wtR=Yv%J!<^b>$ML_bd14d ztWf913qSSiWcZxgSEcqX_1~dJ0AG+;0>Ho}iO_ShUHTZO&Xb^M+b9IF^!z2^lsu!g zGM$)fWPQ>ZoRFw|-;q{-mwuqs{x`gx`#~ux=*4MUCHX$4sD;G{4y8iF>0FcQVya&n zQPg)t z58AE$JHPGUX+gW$y61;~O5&6@(a`?EBaxst-z0H`$o$}MNDQ-CL*W@J=%rTL`L7!B8=@5N63tD#85nvzR3PI9{XtNw!`ps2IacXrUDC#)|$T zjbJDfeB#hp?oq*mPAb&=6>5l_X8n>kW@^9iT<-s{^2KumC0OGuqkt_3uqL`n+&|KQ z#d*w~YT^r>%(1k_Y7|Mqrn1X&pZOP%U!KOyN$6z#mrrJ9ptA4p1v!d(~%#uMvF?LF57~;9jt2^xMS{vVjLrU>b z=m}aVAJ_^~MQN8|PsQJGAX9piEI{>m8_rs*54fr(!BQie5_i;9RMJ~=dwJ|YD%<^)d zpQ1&D><7b9xBsZ;1Fm?hD1Xp^CC>(^rBLvU)=f$AX=FMz?Y z^Z4Nf_~#Dd)dJeP&Bbb-kHfdcT{(f}KG#Wp$>mo) zT=;xinZ#FkM(~r&PvBbo+B=&s@#4|vXRN>bxfCA{JNNTa%#|4Y=#NP>&zsF=aIbm$ zz}r3|5lk_BVO#>VI=f%robiInVQ-@BjPz{XW0n zj3gf)UzP|z_{_)W2|i%p(azo14~_9w(1dAdYV1)!oJM=ODQN0yDQND2YQdmddo*DR zHs%WU?k)-k+|d5uN55eTE??o$uH^$?-0Q>gbK%N49REn_H}z_5v1z1!B-stuuxJ0o z!H5?TPsYSjLtoq@UQ@;i`{!Ig-xaOW71^pdT~t%M;Yy&`i_XPRR=Dvs+~NM3gU!U4 zYGMLvu}B^s!pgxXeAP!}4P)*6Ccd24p9n6W#~wdN8ww<(LXU6IjeSodz_G zv!i~`QKWSPi*uOWzcF`P^xxAX16T1&GK={^woK|MKn3QRIS=<2lz5VZdPs}~F-@9E z7AzwmO&!_xbKX8b&(<~;gYIHbO|@pfr@Zg$jubj*K6^Qs&0~K z(=mT`NGL7Uv(Tg;9W@fNiGZiWjn;Xv`pyHVkuPviqh9#^E0Yy-L$Zt{o680cF?ova zJ};ZI?^i-(FQG~grV?+Wg7X>036p)}z;^t630nhhJN%Q*%k^q1yBnFm#m!Il;h)H} z?}w14yMc}w_Eaqi&zdK~U#bQ?j+4i;4t z;nmBW7CIej;S(;18{pUSy~N&lRa_{3mr9|5iKcq|F>;t?ctcI*Een&YiPd8R~*lb)K8U!VyuIiNtNi%X%rb0;U4OaN@N(XRPChWQpKO`g3 zgc4DT_(BoeInr;gL}G(MP^e0js!&RQC#pE%>Ex`Kra3uC;!$UY;qNUcD;(OkW4gu3 ztfvPTt2(+xZ&Di}B*DDW#qvSdV{+hSU%%U79Jq*vc^+X!yOs_& zs5sj9dXcf@yIW^yUf%%k+7-4jk~ITG7vriZv*bG|RSsV_>C#kkKh#dxr5*YMVj|QkMNg9#fKFEpPaD4*Hcch8BY4PlL0~Vqhlq= zK>`LV(fL*M8--)0!h4I=VuvW|T{Prq){LDY(YZwl-#XrtS_C}>8F^HgCc}6V>6ktf zM=g^!$J|623O{#D4!%nfdA?$Scb17USiQ_N&I11oc#emkF9~l9mt#NsR>EFfx2A}U zQ%&i6OTD|4jxcJTURS9i=vr$5Dzmw2YMuA8f7`kPx)6L%H=@3?IvaCA8w`-_B03l{ zDud9AMuQV2TBxJn%%9|#_9c!PG2uOiYY7>`PU9#8ul9bFw|c89M}etC?5V1j(dIc? z>}DOBgOFttBsP!eyam)KPOiRl&Kxo_vF_^5Yz41NSX0n4Rd4&ko+^xS_bU!Ij^V_P z_grQiLxct6EX}b7{M_7|a7!FdcKS~RZ~WadcW&{kc#V5+fP5-=59>ut;;;- z5slK_Ne)Wi2elwCdPY<8P-j(366o%S^Q*gGMelew*p{8a$sLp6_PpMx!ouA)VrhTsD8)|1x0ZsODlhD zq|5h8MM&Y44e6I{EQyi(S64G`|9*Jsmr?fG9cE>vb4+RKfk{BDgzgrEN=CBA=D<(t zcFVPTsqP?R@LV-?35$uoA6|3Oe$*pEH;(E_h+>GQCmlQDm@=>bJ7v~Rw+&m#5j*fh z#V#A(YFgj9b)RIw(N3#aUssdZDJevgw)sk=Jqr}!*9HMXZ&Y)4BPdeA7)$L8Lct_C zb#P3)@{>}nlWtlQ$V^HPYxw2tOOFU|g>CL%RQGz47LDvpUsM`6=98q`Kh}yLuMW6R zpR794iyU?MH^X86pZx+!F_N-pHm@(#-vI3b3w&JzCp|uhoe~~d$>2BC-XwJ`+SUux zdD?e!9)ft1Pi=HqI2vIa%{o@L&v&e}JUzE>D8Q2-yTg+}6;Hl}l5vOry4z&7s@_59 zTASj98gv{g{+4oH?dbuJ2nhkj#(op*c?fu7Eh_(qLoV~* z&%%s_(|?+)>vzeRxPfIT2K~nk$H&HjKQw5?F zsfD5tpZ@2;p~lV}poZ*4HbXFq+LmPP*WFEA8dcorzOm%xrZ34#mKJWr;d(Hx1raxD zqQ*ej|Cq_ZTD$(Y(8y8{AvK*OZ7w7yJ_$44D;|?4?Bx{1Wqu zgV*J6GVx!->y=*C4L?#G&X)|1OHyaIZ9#*Sa}>*o>raxh-ola)fcH#%H8}n#KE(iv!3}^l&^(Hw>Gejx_kI1yxI}|6xwmbZh6{PX&odfLb zyx(=udHjIc@_CKh)Z3Ye-7=_cIbKg6C_@CQ@drrlDJGi{E z#~@fv5$jD@bq!*IWG{guM@Mbs2ZtcbV-T3}Ul@b*Ru?b@&R1NdbY!BuV{W6~8uzrx zzuS&;ocm`x{s}=ia{;1f%qge(3cWlKT-7@9FnO&`kE0F`lixcl=V9{OQ*`c>$HQdq z62(1F;ep^9zew>wa1Ea3fgleA*RTh766PL_a6k~V41zd150h8-zC29kE>T=2 z<5}Lkl0QkQ$0Qe8hg4xvo diff --git a/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.cbx.xml b/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.cbx.xml deleted file mode 100644 index 3b552281..00000000 --- a/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.cbx.xml +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.cmp.bpm b/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.cmp.bpm deleted file mode 100644 index 5182ff35296229e988b0ba39f28985558da75a9a..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 717 zcmV;;0y6y+000233jqKC0001L0BQg;00011WpZ4R5F*Y(F zF)%MOGcPhQF*6`jN+4HdVjx9iX>@6CZYc)<0000000II40000001*KI0000000000 z0L=mb000000C)lHQ_D&NF%+#@#24<|y4FRTz9{NKkQS^^)J1h0rZZ_LIFpn#BK7zD z9zQ_A$GvId%yh66K~XSpxXGDwZ%%G9#X_O516cb8EJMvLi#>=fz>)<7GoKe9-lpT& zYQMV1J#oy&^(md>XLY}2y#jtW0F4H{JZYbu(+b|2VGbOFJvU6#G1x=YZ35~h^Wv&U zE8CW;nN&l)fYPWcm}@9Jx}j=$DoI3RMrAfNiMT6LjieS@GgP*g>n;}Q$d>A{z9GFXIu9SU^Xf(p+;X#zcpTF&c5f zsFsxRVW?@qd>&&8^JTzPSxKpr9JGyw(5oA`p5^e{E+F(&K>LyOZ;rfM*ki~9Q=SGJ zT#WS{D1P!RXkkd}gTZ0dnchdIFjlAGSSQ&_&0(|40{6qa*9D^d`1N?(R;(Z-=)wv=Hq{V<(5~|O`)5XQr4^=xe!vvsg`i|@kARCy0m=CHtz%eMq zGsM&1kA;B+C=_qvnVq! z-6lCBu}B9+8YB{5Qk0lkQVf>0)A4onjSmj-iFfqz@ppC%@ehKCE7$=500960(Frt_ diff --git a/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.cmp.cdb b/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.cmp.cdb deleted file mode 100644 index ac64ed8ffd37c042f224c2bfe44e3fdd6c035669..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 4737 zcmeHKXHyf5(xp6#N|%mQ0hJB`q!S1r(mR4kklqChErw#DNs%6<2q;RB5@`V;Ktu>d zdM`0Sh!CW=7$B7Ed!HZh{((C?bI#70o%3O5&xhrtp`oc^r2UIDH2!}}=`TBYJ%;!N zKN3+?l2epZ5CMhz1$v4o-Uf&$D#!zrRzdF2I^@#WI)X2y@aciTk3xAthw zIE+pC-79+%Crwg^$i&}JU7SAzZW2!lA;k7U(vy40o)gvi%?OSo#Z}9gcM%A+)0_1}R9Y%PS!~cU z7iVogTk-SYz?=X6y-+_SVvjE2R3@-xbO>^~#kBJyl+`=h1zRf_XUa2-6_j^bz8_iR z(DA0)r!?wSDk-{tUZVZ;NRdqO!qU-n%NLyyESa0K)FQuvC9kl~!Gc!WHD~IY<%Fl^ zA&v(x_8@yJB*^ci9rG2A22@wHn#DF+yh;Ick%XMr}%_iNQa<~uLNCDn)|KunIPlQTE zB{Gv1dU{~GbHeEOgL|CaN=%lUs}HGXqqbL?)p1Z(-dKs;G@FJxZxDWB31`B`D$ z%+1ljh))UY9QWooX&WaPa;YQ9?>=!l}dQAUccKL`-+y^Y#_rH5xxrh zoy(UXW8%@@XqG*&IaeMW)$G?gpC@jct(peMM2)XK8ORgQ59TSv3?pTMErEf2hQVNY zpP8b3rmx!ruf-xHeQ-j*^kZZUYTz1@X1wwi#P z_^mDtV^P4c#I)#uw^h4_k#J}^YH*)vt$9KDb~LW?sqA4`UD*Tg5}Bf2^xoJ2s_fIx z6jX4!Tg_CPiFEU}E{Sie+Fy?c9&S~%DSN2do!Tg1aTKbvOaLkrlL(hqWc9R<1xZ|T zVdJW2} zQ=OcN?T3}u3Ozo>B03&wRvl1#oXN-dQy+8(7#OE)yfHyn$%m_Ir_R~I;@VS(`;lXz z>kWeS&C=f+=@M(Aw!`#QY_oq=y|uo-cKzpc`HRe71OT2X5(JxYGx9gp(Nq!?>bafD zUI!U{Du0VRI2wMl20aE8CTg8FEu<_(cWek4o`Z(tw`5B6feSgC)s&@_ZJAZ&x~~%7 zB_KmiJhgqpzg~ptl(#>R5MJYJaKD1g{>61bG*nW5`lRmwr{XhuZfT*r_-0~J^1w$! z>ji!-ENWO#x~ zpn_Xu>54}k*S_V4Q|g!oFz^m$n?VON>cpSQZkZJRrbn%IRHuX5kg3%>3fMYwG)tO% zv04_q7wecD>zbtg#`PQq-kW^k^jeRL}6*=pZZbAZ(Ud&_Pd#_%J3Q z{0lv7(?B?;|bd3DW z9$pbgF&vzm{k>S+JJz+$my&??jA?vcuPy{dG^Im z>(A;t&yIEPYeVf-@(`x#cLUK%`w-{hCueBXd8pG7qy-YtXM zNMP*v0GQ9JlJfpyV^lTF>-YkUFL+NSie1Vzo;o+MpR1TweEAQ+KO~;~R)+!rwE?GK z=2)$1MvmGU;ksVGN!EuLDd9bVR}Mv88;%|}2s>(Kgd2K3%6@Z-ecQ!u8+#a`d5ze@ zL#f&mwHF#`Km6Efu&I(2vCEhq(2sOxr)XL)Y)!~zSZf(1f|h*1fo-@ z5J|7qL?|-FUUDmKU}POnytkMJfgot|s%+cTK1XJ_CMa2WJy@EOK$w~c(W`E|*cX{t zK_fX^p<2M;5g{3aMZSf7mlM4@?JfEK;ns-A>_FPge$Rq{IAmvnY}wa$d{v&!(5kYN z4EGDt60!zES75wO!{4{_hVFj8h~DI?)-^f;58_|Wr#6{Sf}_t1E81_2%XOT)q(|Oh6kjfXUhd}22`xf> z6uaA8B?89;92t#e=mA!#@X9by;(9gP+!ojT>rR#G6QLnhx53^Q?ne!?j%Izi%P(us zmAiznuR}0u_Co2BCprOL->249nMb;&*6eYiD7T57Kg{c@EWyHO-xH}FFCSUFS5PkB zc~EQPaT}fluuP;Zd7A6CEq1r~6UeO^$AM@-gf$aLgp812Y#{~?w z&(AYE-Crfg;IbDuTl}0|A^)VYCZxCzxz=#Nb_cIt>`=h^eJ{#%>qCBQGky}a`@^@L~}Ai+ckwEur4Pt0!Ef{o_Q2S$Hm#)l65`K8P`JW z7eLMhYj1pJ_$I6Eh3 zWeXZBY}=N4*H9(yc&~ej;T+eEY?*uM;e7#EyKK6@A9C9(p6c@Qs>5dia7%p=>$xcc zasC+I9jXx`k(v_{+!8PFA*vC&uH(fcQ7!j+wExnUH>K>KGaoLJH9|ju>*Q6RTn{jy zUSl)`aCxZuR*s0Yzh$nw8>YFkkMZrTfg}LXYb7Ij`Nm@Wm5^Ig*EBh{fFkF$v z^tOlXEYOM!=r2(}(rZYU_plA$FNDP4AHO19dF>Bf$$S}(Uj+R)Dq~yV@E01}Vh`wY zU~*}HI%o#5=cXFpDt&0b`8x??zV{)Z0QR-*#*w0LTU^u6^S>|a`FRv=bgq-HtsbW? zqG{^UJoXVXC@7$@Tm;nF!wZE5XIsRW7PQSKN_hl*WcF|LlX|}NJT^Hc`B>sm%*4>Z zj_pCmKZa~%#HH~o&w=k%C+zE(0jxkkhTf%Pggb63ceq@3YvM zI$oPkI-hg8p{_*tM5mu#vpva0u3w|Rg*4dcH)Ud%Fdyx2Dl>JXD_gjHmS3P)}=X3$rJq05j+NXLZh9k3!P0lHBZvT#uldpZs~U z^mhnR2jGSf5r>vc{bf!Z;EQq4+k_2w8%6{L#h{&?Ex}^bpF6l0cJ0v6*z~KJrt{r` zpT4$>h-{TwMq4kXnibSu6y-{H=h zUbGbEw_0GbeJ5dg#zn4#>w_pxFkPakR%_W)`>s|?&sqZuLpnOcouKMDuXoifZd_&W_3RuKve~c&$DLi`UZ~Tfs;Iu!&g%|ijygZ9AdY~ zYRvvSnKcl@8CkCJOBN+FFm7Udxu)|a`LepK)rWx;UF~>RV`a4#Md(8ltLp+zfFKL$j+dd#(AK&~?|Hdv$BiHrstc%}g6ywA8iFa1Mw{j<$h>Z>sqG9gAIi4-@A-*`mT%PL3)huQd> zOxBi%c<(-aKb4gv;upOac?p=Z(m82OMQi43h ze^P!27uAYvLO~05o=^#!rzm!1oLhf+VScCgb-Ke{?Xx=<0jZt$YkHhmCM^URIK{F~ e5lKP%mxIC|-X8hfYI#>gSf~}mP89xc$o>NjPIWT? diff --git a/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.cmp.hdb b/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.cmp.hdb deleted file mode 100644 index cb672352ba168d6de0e974313f55571816281899..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 11357 zcmb7qbxb8N_azMO?(lGT7~EYSt^*A2?hki&hr!)lW^i`~cbCE49hPsu-QS;^Y?|CQ zO?%tiwx_2j#RLNbt409-vS46VUy1!I*D!T*wzRh+VP$7xWnv)_b+xoHAz|fYBVlD> zW@Be&V_{_{QI#dpG&LfTFtK#`YMO8PUk{X&|JxCmGTMLh|5!bS|CT}EXL@kyx)Rxb z7~ew0CLKmrg#8^TGU$^a(c0$blD<#u69(kXPMYwJ_7JX&2FtUHZ0 zX+mMWE1`iWymmQa#Vw@t8yjLN#hN^PRz99|p42>6d~D2R%Tv{Mm3!P*_M?xndfU3eDmT1+nQR;cNf9l7kUU2zTp^)N=N?!N(M5ZVCN9~C_=4?RZaf&wu9rF76QIEXd+&74B(n&g0Y zR7Ke7Ld>nA7AJOo9EqqF?!o`(D60JsFx;D-s$N7 zY0$xizN#>R6k!emzE&rvX>YCf%!oxsNh2wlE@LWR@?c!T0ERN*TQ;&2ec(PFDTdZ_ zN!)2S{fXHb($18Mn@)fO#z_LRmoNKD_#*yiw%UB5*;3He2d1Ze$>PJ}z<_Bi#OhxR z6=E4F$mBkcU#rry`!yQu-#w_>Y*!A(+?qoNaXMH;>=RmBDs6Qp9nHua;vZB#3SqlR zu~ZDFfOAFfH+rL4-E--l>e2LPtuoCh9O2wc1O-alxp|c9LaKk>oyxCI`+Qq9mp`Y8 zF`$NhUDt_ZT{xH(5&2?i0%D}E7!QUb)~Gn!DUZ)d%*?5FoQ_n>Fk&39>Bw=3;`}~z z|IG#_t!dI6H>o7Hr+9%gc7{pGluATPyI`KT#J_UPrm7Wo!J$7C*N8BtC_UStEkn)I z)HpfTu*Tj74uiwg^(Nf!IaG`h?yK@g4-_y#e$Rmv89ORLy`w7_Kf3r<#}vDlE{ zYGWcmu+k$rtBDc_8^flj)f69NV?>VQn1I9(g-U{iM4;XESjTYbrIq?AU_<-T@Y1t4 zegcIuegcDHeFirGg+2+?T(l$HVCk}hi0Ru_3Xyc3Fd&veoqvX$NjT^e2z*h2!+)lIz2l&=}iDN2ErlGhOebtosYT{JC$D?#OgX% zP^(^Yh zc^%}XrhJoQvL&m+sE^~n!yl>q%~8{Q%>?5OaarTeRaUtqbaje3$N*pqOD!{;wnK4< z^RVRDpM$KLHK7FCC;1_c@3|wiP!FV!iK#q0?hr#B?Gg7+9;Gabjyb06PII1~TZNo3}mn#$(TPF|9L!E7cKHTRpl|$5F zIdQG{+0xP!6NoUXId%e2?b}2d4|$>`jih=@^Rs{cumYFFL*PIZgpWCe85qM|yLedi zk6O4=-vEuL54*pCCQ8f7yG9Lg?{Scs@lm$lHmLuNf~7bLZn3w;e(({KI&mZ;=eHT% z95;NDIt6&rLB1w9+ypr*Qv9jSn(JqlbeU=$Ab5-KAxM+e8P7d>IF+v5HLUyPB1(Yn z(s%Dy?DAq2Ogu@NaS;QqX{MI$D@@o#H&P z{&V+0<5!SHTLviP0GBTE((xk{-h5`Dk;}F|@q{U*%@IsK@P?s4TmD&f^VlNtWWWT< zpC7Cc*>s+95ZR3VY~E;Cj;4?)#s}_+Q%m(6ho&&vbG;TGb(dXfR2t|rc8n2mD>!WBk`dwZJN!u8{4h{w!81rcrF_BmM*W;53Q zaYVXYDr>6J;Uh}bP;2T_0gKyqOd-wQ&$;?!RvKR!59E}3fy9>XJVsG0>uP2tyr}zP zJI7rxKztD61!b9zHi}Pt-A48ekBc>tsk~&$Iyzsk;zosOd015tlMr<_eR)umObV3H zHmSgfgy-T0te)vg<*FIMN}3Xwq994&^wg*!@pe8G`T#~YCNqcp0AAHp zms>b@UMRMnGJ1uwOyINdnA~6rdrgj`EFF(QH?$Zy92ske6^nxjluc!Veo={MLXq({ zW*r!x3r&mRvqW6`D9shw%?M<1A85QSEgZo0SQjS(Q`v*a<_H~IP3Wy0gziQPL2ZW2 zB1RXh@p};}Xxm~eS{rT)7RC~@{k7(E@^v~E+?mi6T5iIYQ2|NWz*V{iRe456sm8X# z+UjhowxZhJLQC&=k)xripWfa{S3qcJiF$LC^vV`8bKIw)ytOHc8A2{65yINH{ zNhW$noI^3S8;@l5Nw>pCNWa(s@r;%9tnqf-&fe4`hv~B^ALyY{_xiI9rzygnl^ucQwy(`4e`qJbVzvcHh3z2Mk*M{`JRw>UT#}cS8Es= z?Xl4n#wGO|h_t4N&KZ2VyNa`U@L&tl;c-dF>{T@rm#M#`3!&v2qNB_mFHOZv;Ta=7 z63QrKnJrcJ8JZbBU_Zj6+LAPn>~>l!I16SfS^nOv-rq$d!1Jyw6CIsP<0qDi1hFMK zv-Sp%7)}`PU3Q5|6vAsO>&ix)D#NW(V@Q7~S7D~+mcvSmE z*1dOPfO4@bF+4N*^e;wi|6wN-P08>5S?5&kNC%}7-0qLD&!nuG;iHtaIPGT~>FRff zQc4Q}Teg5V^0riK6$Za$|Tp+8hm(n|u)m|I9^NaE8P{kRmjqG;s(deh8__-?ge!wb`afy~~kAgJv6O_2C zsZ?0+WWRzFxdlIsU_pEFBUQT3T&XJ_t)wVdPA(1RoSvX!woz9(Rl;Fg9s9}UpWf$y z%ZD5NGztDuEjA*A4UbC)+f6a=Uk7rkIvn2Eu2Ta#HrTycZZ1g{Q-1 z&(2#)_)Fc)A*)#Xr3PIq3B!}!A+d2*1J-)6HSng2jCGxNlgQV<At#~v4*Qb* z-(QL|$bM3TYU@9JK1n_bj8H#vZTN-^=d~a|M_VqBuGzIeSB`8ykcQl!Ixb_+E5!~a z=De+}qJA;c%#$6WH)Vh%W56*K2kZ?#rL}K$glk{z=_dSIp6Z&{cFh~kRX6;Snrm0@ z_}V^g9){0nZq0Vya0LBqFF!KRo*Mtg@p-z4@iE+dX&rPP2`AxF7R;-C|)6gCdfLLwEUsJfZ{PDFp;DC`&_ z85kFY6~16oPfvxqO|A!OGdcO4_f4iUo}$YLU$l}Y0rD;pax0InZt;`5R{ZJb(ts6K zVv%Qsm=3K(_^=QVrCKyGIUl!cmzlysz8Rhtidm3dT9{eJn|d5xUsXFG&I8K@Q*ca6 zDL+B2xQ|PLZjq=0hK{mtN$fUVgH=y@zU%()QZ#+pGB1E$jFmj%NCYdO_LH?wrCGy! z7lj{^y=kOK9TPO6@J$>X4i`sl*+X6%eS!O2I(#biSGx%RLFo-_++4MBmmUiFw-~5J zw!-O=@9V^>Aw|)?%Id=?TUa3ZMmHu@X{U~D^6Wry5CI<>mRgz0_mbT+QHdwwqhyu0 z9C`zI87M3|6yR7AI#UL`3VFoSJ2;lI{)E`uZb;un-m+?;qoLGen&Bw(_m*9^kXq4bbXpr*0Y8g=s zZpsK9FDlm6&ZZ<)1!XyxU7Sj|nyYTOO1M^*UxXI4VX3(rE9>DbY^bR%DY$asEMzOV z{>ENtR@xwvaFr{+xF~2-Emv@TktpV~5i(Nl5hGxt#$jJjAgpDSBvjW0CxueE;y4V1 zM{>-@%1;Q^%u6zr>K4|2=7?GV^>jl~tit)|vx@E+)~3U-T4}Py-OxqoMTSmC&!MiG z28GfT_AxC^s_{9`mbk`*Q7!(=zb+FGc_*wzSAj&~c)Iqv3&hpY4d|&(FF8WskvA?0 zNfN>PC>#63C>F;i-K4Ay9ak+@0OV0uen%PXzZ=sMK2?URXGIxb zu9b}jQrB7sSaT^5g67HNH%4<;e1r6He>3~QsQ~Q#Aaq)k1aY8bwck|V4c&KQL+Xa5 z{s_(A)(=Nc4MW2D5^Fw;7wY^b7~lw7y2d*7i7D40twwrWUX1IzD&+NT*RaQ&euwU>%x9Hr1oIA%SKC8IM)FMwD+znL=fNT+lc}DT zKKnpzDU0;k`%6|k6%eL2kTMtrs~?IiDe!%;LNnirE|t$j(||s)gw{&=xU@VRl@tnz zI=?*Jl^ms>$y7W%hn-AZ8V}74uuC{J((fLmAMYRzmZ%r0c!DDwMkI*Rj#if2r( zVyWT{_5LkAd8q$FwViPe?z)K(8A?$7FfMerRVh;us(ckGGx8OxTR?kSdG#dC4HCWk zzWT(m>8-fo8&JLeD_k_#YvJ%k(gQ9hYvo2!mp0ub?Rkmp6KeHtuQUCZ$la)q2>hs1 z$bAUW&SB@&i|4~WeyC4Sc<|Q@`$PRM4`H96CYFy&pup)B&T zvL4^stIcg4%?HXXDQn=)*=sh4-GJvRZ__sjvo0&lZUDF7f9e}u&0Z5V*MBg2?CnWc-1h|d6x)<58aYxDGJ7Msvj zi~x4rqno)39~PB8p!!$iV)aO^!n9uc86&c7uMOYz-?cQ6rMNMk-fC8wEC<0T7C(;i zMYD{F2Ut;ug$W@X5&usiB?>&tyEm7wZ?T!}gZTf-1#3YtHQDusq3jry`4I02FN?K! z<&FcYw4#p&gWI_L-_J)R;vQ$gtLGVTXNA^-`>s(tRG+BbQ&m>BWX6fAaB8a9Y|Cy1OqO#era~?WUHe{ z&%K9Q*-4dX#{ovbXQm>5Cc&F56N_1P)C^4;RNE_u1br+!%}BOPNQU=6$`}uC!2!%t z710#ZKTRa$MU;D9r<)Cno= zvSF<rcOapNZ0ohL=U%t2~ZeC&T@w*__ zz8bMc4wi7E9^JKEq@mA;9K}t$Nq#VT9B%M<1;z7^O9g%5(e955HSchTK1LV7yktLh zb(7^bJ!~{d-Q7hP9QL^Y?Y68aD~yB6Ecc`oKNdsh`%FW+-$W0;Qtz`Azy_liF-Xo3 z#1XgC4A~z-KdCLDugvgD{ca$24t3mEjP4x^+cUte{+@ywUA~P4I8z6laRAQj`Iomm z>XtlMAln5t-KnLM*OI}z!oX7zJs-gWcjlzSL&W7;s4Zstw}tzRCd+`)Ra`sBWQ5EH zTRV^+I#7qAfW$MJixp?D4SNmhT|bj(Itp>qOE4ncr3 zNWhsm;EV!b13=--h0*B=TDURzpKW+!fB+}yXDA0Yns?fcIFX!p%GvYHY!XI`jX6$| zwnK14^c_R7-u}v9>uW4~?>K|>^i{UMD?dM1_>L4Xn)WEJr+~zT+QB`OqVLJdJHJQ8 zIF4vW!&@}#m2#;u-$R&OX(lfAdVPn2-eVF}RlH6AB-<>jZ} zGo^Z#dX{-QRthIZNvH8O3B-iRqDSuh#>Q^+J7j%DLD8`8g6_yMcr%K+5D4Vk9k@`IUmY z;z94GOdTvc)`G$gs~1l117*YJg*@3p_R$!_sV&fzvSG;eQ8fZqh;;|e)yA-XJ6RJ)=Q9aF>h-)kSQ-Vi8K`t=o)Q#G-+EM+|}`tbJMm@bA7huQI4cv zc8L>dH8F5YEzOz*mNtq*qGz##$S@-p6KPjv)hjwp(1<8iT~g(*FA{0n!pW>iXg1b? zELC^rYmEXf3`fUD3iKat!-2EI0?;+^ddw(pso*6?e`di{gL8m&?$d&QU5GjbvO5JL z4r-XI{riPw2zSa>LEq z1rlwg!tPv54`*ibsSDjhclQI(N5b3XpPkfn|5B;&f`D-FRK=cm3~)Lc!h4A5%5Y)E zAvd}OO)#e(!I8hHG0ntgCNSq&5ID?GGZ6p85E#gsPv6QZn?ZME-D;O1Ad+F3;WVV* zC*8(T(*25yEEIAyYccoOosd6?7N^b*o;p2O`_rCe$5z%Fh>O=Iy@~m39=P)QDmPOm zTyV>5mVoX-gz`|!zpRPKGDyUQYapw8CeFkT0{&u2Vi{mL3)D_%Z4AgDbH)kWkyp>j zl_6bLnZPXijfO2p@7f&EvTt4q930UO7SF!k?5Tl_tP|VmB*;ISa^vXci&_B$7g$r2 zh9r`SHQt>E2Wk3?&DM?PnJE%zTtEEewCPz~$*gmjBGYRNTrGu+eML|Gu&G&}!XRuQ zNkXKU;$%@}*xUjmw{xQ6_BS|6pU#AYZ-)T>5#~80p}H`jxpWu-NUgD5B9z>+b zG7j-8hk2C575sFQ*9x5@G*eJU^WwW@K&o{u-e4!6jnNn+YOX~}bfV*&*y4;Dai2Yz zh?mtiO7sZZ>*E=^iYSN6S${kKNE4$Y!g3IUy+71MmIz%T&J9it0a0NoPE3tJ+OYHd zUaOnBolE~y8xlx&QE^Z*SCJ8<+%IvUfr7k$PmQQ>KbkF97B1&lb;4wQt|e<7wvmwo z_(3#7JlsYOYPj7K4+QA`I+>gvQXD*qK1W}H5scZ}uAeLuR5HjVN7PVRYiPQOK7@BB zIzJe;?GmxzpPA3L!l2)?45KfbU>iNNp%p9^mZ%{0i8OX&Houvx+14b5mWhe+)kvJomZ&cnCS$P)&}*|@k5{|>-Ru|LN%{kTp>W@ z^eD?}lLu+j1e?(Tt ze))CYzLl^^T!iLLF^YpAelubgMRT1c_n(nIQrPR3rPT7UpXu`v?NMk(meQR*&64T+kN;XE&!TX+ z^hDH&9EWMo&`5l4zz(KEXVjOIQ7&(l@GH9##MJ|?d{`KbU_U3#Y7P(wftzdgB zgacHHFOKejh~UbA;EI=D;3=&9ne=tF+zXi+lpK9(f5GCjDTF-Q%NHL&TNT$}L)?f$ zMpR~zIAVqDpB;qv4DN0=){b5LcmJ9ddAA1}*B(Hh%Juu;B$dHZX|-wm*Cnot($U2i z#F615*H>p?WoYcBtoWfNW*txH=Sj~rc}YX5-vB^SR(TptSNAHZ;Rr)Nv=m|Us z2t4=*BBloL7*y^$f@0oi2|PHUgaY~jZfX>MSs^cw2(H;-Y~3o49N4v=Ci!-^N~B!- zm<0BZN(VT~m!z116Wn#1c}WoMs#_-cn@0JZ7?Ix*d{RZ~9T8ACdlMsEh1yd%80HbN zt*EiBs1Taj5t71t`0EYiC&^OP-sFDw@94P0tXKx2PCw26ojB;pgyi2NWMOxms_0{%ahMhQ4G4cs|#cz;?BRo^2Rx{0Ky4%_;ErN;mCMbqlGCW359 zmoLN@S5orrg|}mzLc&URg99v8NQ*|(SU(p*bwdj8=sK)VuP!X>QR#6pv9X7X_c1Xj zM$rD>5p^lhLS;uj{AnDDjxLVQWuuIb=qE1bZlXIg z+cnV+{^93kyljKH+zO z0wb5=O~}6H@FGL+leY>=PO66H8InEI+(fQ~j~# z+f!_&3LTa1I!8l22;1h6=HP}07y4@^1tW2qxshyT+>k3fXy`dKDnJKgJ=Id3=RLZR zLY+fdv~MqNLYq)Z>YS#slbjCUtoc#>i{|q=NMz?@?vQ0givipjm*Tg%=T$ZTDaP%` zJmtYOO^t6UzVZj;Z_j3vVB7l0^wuMlF-xu4GWQ}`+?53Q@VSJKJM($pHF-bDl(*xl z@EdBj#x^(lFM89gz=98sK4HL^Up`w7xNx50>{v%-Z$-~Qi zF4iPvo6~`G%imeCA7*vk3F2F5|M8JN>FsD2Uaw+F;22waqpR1%yyj3tJ6>#4e=yct z>6vU~-nYkm*lAlo$kPZstSs@*S?lAfpV(g3i)8*F1_S&21c6s+S=(T$V|FnX@4x#B z;n2dHRx-|GkIlNdJzQKQXJ?q2wJ4GPlta@3V9A1uAmIY%i{S7FpCB+q$ny-Q{UP^= zv5>l>g}mQbBxA_%vC!$2<-w+S*xetr1LJ^pB@@pVJcl{M?iY2DDRpc^%Lz*@A=|xe zhB0ASals)OF5l-+f9*KXSCn-mcdGO)cN$wg_CY+baxREfc&gCQ0!-0w1APT!TrcKoNbY@ArROUP^#W&oz}c? z`+jFOroE)snYSvTwT`n!BfbI{#Z(Q2R*rve$p0lG{~aKiZMqoV6F5S%68VdZgA$V0 zi`fCA(OHjWQdH3kG5Ck{Pr|Ik_xu4-?KOOYYoyUYzP6UqCHIYP&iIQHOMFr2nkGR$ znG^ieW0kXX@I^$a8ALlI)5qS|Lr*`U09u+5N^%kIzu~_`BCP47Ys6(zMK97vceyMaYn8rT3j}Jq@X%*?L1hiBr;QrNekY?72|*% zkYp1NCN=#s?D5FCNq$CDde0YI8i#iVmCeYRdD)yYQggxyVPSIscw>?@{ikZ{-&(4&Hi^0 zi*wEto7U3*o43k3#(4RCQn@|4`J0An6aKzYu9d%{D`? z)%@dA6y4&Nbj;mV$IJT>#!HZI;S^o4J)Wcyx`@U@SDT`w=tJ{d&Ob8r9Qnha4h^?J zNP`knjy1sL+42dk%!d?0exxnUutb%WID<_m@1PXBhJMss9FdS~$_K~L=Mx|L5H8@x4&55H& zg*yL}tEnl!Ov=)7L~%1tgvW!%c&MJASEBo7ASM6zwG7KLYDER5vanYt5|~C%B}6Oo&2j1%V(YlSAV5YI1Dz?lXKMi;3t1-szsYZ=65NZGXs-L}%KJhx=}NQ}JBjDn4MPH5JLcPZBqMth z8}8@!)kM-zarY9uuh3iYgY}oDa_Ft4H>Jo3dHB_o!0!E}9-S&-xBl?G0AE#9)ElZx z5f%M+dMWbtGwL@7;stW-K<}9RZx;Waknv!g>>lS54STm&Iql4%TUpOwc1be#1(_OB1>+g3$(%+wU61M`mlKjL19g8wy%% zJsu;R*#4m6idh7cbrW_|LAv~$U<`$-y#Dw4`&Chy8~+o_*H1@w3`NaQ11^*0#(p0pDz+yT5m_f_Rd{pJ3@#mprB?=taMu%E9?lM S-59FQh34DV*Pq|d&;JF&lEgIt diff --git a/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.cmp.idb b/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.cmp.idb deleted file mode 100644 index 299a58b7d8ce4e0ecb0dc0562001583beb4f7291..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1185 zcmV;S1YY|T000233jqKC0001L0BQg;00011WpZ4R5F*Y(F zF)%MOGcPhQF*6`jN+4HdVjx9iX>@6CZgvX*0000005t;u000000A&II0000000000 z0HFi`000000C)lHl|66NKoEx4A&>(C)5|NP7K!IptC0Cq8 zeBtZ@D()Zf6QH1i21G$eiZuKQBuYA{5s8_{H|rR4MnSp)Bu1KhW@mTDv+vqTw=t$q z+*z*#JJiW{i|-UCk9p$65Oeu1jUh&!y*(NWlDcj^b8ZcDE}wnYu1r2#r
    )_7QG z#Ye=?iC+}A;*9T!bCy`FtURbZr0iE7Rvu9fD6NvdsdG|EFN{wq>4))YgWlgcUOv~osSQC?A^qZLO- zG(bnrj*gre9XTsHaz=FIZ0N|DtT-C77wy=KX6!{P_M#Dc(T2Tf!d|pM2YP_?0Ok`cVf`2T})82T})82T})82i=L$ojQ;@kUEe$kUEe$kUEe$+!rzT zG$uYSJ|R9OJ}EveJ|m9)6>;8WkB@9fe15@(ksmDkjpfj;)&jfwz+bAaRD+1+cf)GK z-mWkCQLP@>8}%TJ8h$N^LMvNr@m>#&uw&w$I%6K09Usk&IbU{uFgHdAH;;Dc@o?!R6A4d8zSkvsErh@^d|nwu1Co zTdBe*d3@pQzcqmtU!E9qpcH%FBeR)wkBo0bkp)$*+!VdBcFK`=>hVwHyU63ld2VoV z9kP7w?ASdge^dMs!sJ{@AM~3wSL53@`fRHlf5|#wFK+eE-F!S~-o6?#z4wPr>BMpK z@pIX%&kmT^U+=8_$usDwuel+=T`lpsUT4H!(S%!MUZ&CC9yDiv-{Et2#Rbb2TVFuI ztTF8G)S7k=`SiYB_J3~s3h=Hb-#s6>yxMYMKi}!EufG=0Po&T6%)}1>00960cmZsY z%SyvQ6o#i4wbnw>g11#c7cTk&mM}1B#u}V-N*cR#Oe<=zHrS@VgAXD?-Kl%mE=6$b zE4bB_=S&l^)WBhq^M5&)e+nc;jtL>z;`eI`&NRd(gl(D1VjeSDi>szI#)LCf42BeVwjC}xF}2Zv1s9to~I?lc8>WGE}>UyLr*FgmGLJN_`}!s{UD zpBdeAe^8l{F{7e^A4Ef~(u%_!=q?l!Le>}zJ;|48nJ&%@g3jS`{|{2<4dsG;G(WQ~ zZiGKhZ`%pO9$lJ&fA3?;n$uqBN3@{nm#y6osHn|ZiA&oJkGl;f^yU2k00960U(p&c diff --git a/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.cmp.logdb b/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.cmp.logdb deleted file mode 100644 index eb89fe79..00000000 --- a/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.cmp.logdb +++ /dev/null @@ -1,53 +0,0 @@ -v1 -IO_RULES,NUM_PINS_NOT_EXCEED_LOC_AVAILABLE,PASS,IO_000001,Capacity Checks,Number of pins in an I/O bank should not exceed the number of locations available.,Critical,0 such failures found.,,I/O,, -IO_RULES,NUM_CLKS_NOT_EXCEED_CLKS_AVAILABLE,INAPPLICABLE,IO_000002,Capacity Checks,Number of clocks in an I/O bank should not exceed the number of clocks available.,Critical,No Global Signal assignments found.,,I/O,, -IO_RULES,NUM_VREF_NOT_EXCEED_LOC_AVAILABLE,PASS,IO_000003,Capacity Checks,Number of pins in a Vrefgroup should not exceed the number of locations available.,Critical,0 such failures found.,,I/O,, -IO_RULES,IO_BANK_SUPPORT_VCCIO,INAPPLICABLE,IO_000004,Voltage Compatibility Checks,The I/O bank should support the requested VCCIO.,Critical,No IOBANK_VCCIO assignments found.,,I/O,, -IO_RULES,IO_BANK_NOT_HAVE_COMPETING_VREF,INAPPLICABLE,IO_000005,Voltage Compatibility Checks,The I/O bank should not have competing VREF values.,Critical,No VREF I/O Standard assignments found.,,I/O,, -IO_RULES,IO_BANK_NOT_HAVE_COMPETING_VCCIO,PASS,IO_000006,Voltage Compatibility Checks,The I/O bank should not have competing VCCIO values.,Critical,0 such failures found.,,I/O,, -IO_RULES,CHECK_UNAVAILABLE_LOC,PASS,IO_000007,Valid Location Checks,Checks for unavailable locations.,Critical,0 such failures found.,,I/O,, -IO_RULES,CHECK_RESERVED_LOC,INAPPLICABLE,IO_000008,Valid Location Checks,Checks for reserved locations.,Critical,No reserved LogicLock region found.,,I/O,, -IO_RULES,LOC_SUPPORT_IO_STD,PASS,IO_000009,I/O Properties Checks for One I/O,The location should support the requested I/O standard.,Critical,0 such failures found.,,I/O,, -IO_RULES,LOC_SUPPORT_IO_DIR,PASS,IO_000010,I/O Properties Checks for One I/O,The location should support the requested I/O direction.,Critical,0 such failures found.,,I/O,, -IO_RULES,LOC_SUPPORT_CURRENT_STRENGTH,INAPPLICABLE,IO_000011,I/O Properties Checks for One I/O,The location should support the requested Current Strength.,Critical,No Current Strength assignments found.,,I/O,, -IO_RULES,LOC_SUPPORT_OCT_VALUE,PASS,IO_000012,I/O Properties Checks for One I/O,The location should support the requested On Chip Termination value.,Critical,0 such failures found.,,I/O,, -IO_RULES,LOC_SUPPORT_BUS_HOLD_VALUE,INAPPLICABLE,IO_000013,I/O Properties Checks for One I/O,The location should support the requested Bus Hold value.,Critical,No Enable Bus-Hold Circuitry assignments found.,,I/O,, -IO_RULES,LOC_SUPPORT_WEAK_PULL_UP_VALUE,INAPPLICABLE,IO_000014,I/O Properties Checks for One I/O,The location should support the requested Weak Pull Up value.,Critical,No Weak Pull-Up Resistor assignments found.,,I/O,, -IO_RULES,LOC_SUPPORT_PCI_CLAMP_DIODE,PASS,IO_000015,I/O Properties Checks for One I/O,The location should support the requested PCI Clamp Diode.,Critical,0 such failures found.,,I/O,, -IO_RULES,IO_STD_SUPPORT_CURRENT_STRENGTH,INAPPLICABLE,IO_000018,I/O Properties Checks for One I/O,The I/O standard should support the requested Current Strength.,Critical,No Current Strength assignments found.,,I/O,, -IO_RULES,IO_STD_SUPPORT_OCT_VALUE,PASS,IO_000019,I/O Properties Checks for One I/O,The I/O standard should support the requested On Chip Termination value.,Critical,0 such failures found.,,I/O,, -IO_RULES,IO_STD_SUPPORT_PCI_CLAMP_DIODE,PASS,IO_000020,I/O Properties Checks for One I/O,The I/O standard should support the requested PCI Clamp Diode.,Critical,0 such failures found.,,I/O,, -IO_RULES,IO_STD_SUPPORT_WEAK_PULL_UP_VALUE,INAPPLICABLE,IO_000021,I/O Properties Checks for One I/O,The I/O standard should support the requested Weak Pull Up value.,Critical,No Weak Pull-Up Resistor assignments found.,,I/O,, -IO_RULES,IO_STD_SUPPORT_BUS_HOLD_VALUE,INAPPLICABLE,IO_000022,I/O Properties Checks for One I/O,The I/O standard should support the requested Bus Hold value.,Critical,No Enable Bus-Hold Circuitry assignments found.,,I/O,, -IO_RULES,IO_STD_SUPPORT_OPEN_DRAIN_VALUE,INAPPLICABLE,IO_000023,I/O Properties Checks for One I/O,The I/O standard should support the Open Drain value.,Critical,No open drain assignments found.,,I/O,, -IO_RULES,IO_DIR_SUPPORT_OCT_VALUE,PASS,IO_000024,I/O Properties Checks for One I/O,The I/O direction should support the On Chip Termination value.,Critical,0 such failures found.,,I/O,, -IO_RULES,OCT_AND_CURRENT_STRENGTH_NOT_USED_SIMULTANEOUSLY,INAPPLICABLE,IO_000026,I/O Properties Checks for One I/O,On Chip Termination and Current Strength should not be used at the same time.,Critical,No Current Strength assignments found.,,I/O,, -IO_RULES,WEAK_PULL_UP_AND_BUS_HOLD_NOT_USED_SIMULTANEOUSLY,INAPPLICABLE,IO_000027,I/O Properties Checks for One I/O,Weak Pull Up and Bus Hold should not be used at the same time.,Critical,No Enable Bus-Hold Circuitry or Weak Pull-Up Resistor assignments found.,,I/O,, -IO_RULES,IO_STD_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000045,I/O Properties Checks for One I/O,The I/O standard should support the requested Slew Rate value.,Critical,No Slew Rate assignments found.,,I/O,, -IO_RULES,LOC_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000046,I/O Properties Checks for One I/O,The location should support the requested Slew Rate value.,Critical,No Slew Rate assignments found.,,I/O,, -IO_RULES,OCT_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000047,I/O Properties Checks for One I/O,On Chip Termination and Slew Rate should not be used at the same time.,Critical,No Slew Rate assignments found.,,I/O,, -IO_RULES,CURRENT_DENSITY_FOR_CONSECUTIVE_IO_NOT_EXCEED_CURRENT_VALUE,PASS,IO_000033,Electromigration Checks,Current density for consecutive I/Os should not exceed 240mA for row I/Os and 240mA for column I/Os.,Critical,0 such failures found.,,I/O,, -IO_RULES,SINGLE_ENDED_OUTPUTS_LAB_ROWS_FROM_DIFF_IO,INAPPLICABLE,IO_000034,SI Related Distance Checks,Single-ended outputs should be 5 LAB row(s) away from a differential I/O.,High,No Differential I/O Standard assignments found.,,I/O,, -IO_RULES,MAX_20_OUTPUTS_ALLOWED_IN_VREFGROUP,INAPPLICABLE,IO_000042,SI Related SSO Limit Checks,No more than 20 outputs are allowed in a VREF group when VREF is being read from.,High,No VREF I/O Standard assignments found.,,I/O,, -IO_RULES,DEV_IO_RULE_OCT_DISCLAIMER,,,,,,,,,, -IO_RULES_MATRIX,Pin/Rules,IO_000001;IO_000002;IO_000003;IO_000004;IO_000005;IO_000006;IO_000007;IO_000008;IO_000009;IO_000010;IO_000011;IO_000012;IO_000013;IO_000014;IO_000015;IO_000018;IO_000019;IO_000020;IO_000021;IO_000022;IO_000023;IO_000024;IO_000026;IO_000027;IO_000045;IO_000046;IO_000047;IO_000033;IO_000034;IO_000042, -IO_RULES_MATRIX,Total Pass,11;0;11;0;0;11;11;0;11;11;0;8;0;0;3;0;8;3;0;0;0;8;0;0;0;0;0;11;0;0, -IO_RULES_MATRIX,Total Unchecked,0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0, -IO_RULES_MATRIX,Total Inapplicable,0;11;0;11;11;0;0;11;0;0;11;3;11;11;8;11;3;8;11;11;11;3;11;11;11;11;11;0;11;11, -IO_RULES_MATRIX,Total Fail,0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0, -IO_RULES_MATRIX,Y0,Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,Y1,Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,Y2,Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,Y3,Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,Y4,Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,Y5,Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,Y6,Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,Y7,Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,C,Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,A,Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,B,Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable, -IO_RULES_SUMMARY,Total I/O Rules,30, -IO_RULES_SUMMARY,Number of I/O Rules Passed,12, -IO_RULES_SUMMARY,Number of I/O Rules Failed,0, -IO_RULES_SUMMARY,Number of I/O Rules Unchecked,0, -IO_RULES_SUMMARY,Number of I/O Rules Inapplicable,18, diff --git a/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.cmp.rdb b/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.cmp.rdb deleted file mode 100644 index 2984d0d1d8b076896cc6325ffd717ed7c69bb97f..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 22168 zcmeFXW0NOA^DaELZQHhO+s2MlrG~k)i?xG25i=_TGXoQmh?}*o84)uF3lTFD zBMU1d3llRdk*W-lrnw1`xS6%dSurD&k`@c2 zIm|cTQx8H?o*fI=~oIjxKdwl?~E&%(tqM!HicMI8rSb&zIy|0l*a)=a4rWUvXz1q<;lwyuOm_xTCu6w5J|7t}I>>t=s1I z!?~~8aV}j}`6}8KGx@uX6il z4-4S?E+86*|Dj;DZ5}8QQy@qWG)&^t*i{;<2BY4fhb5}~9KB-L^ zz4l5P|6&J6A5Ou78@#QgVh)jlu=6xEWwBL3$VnPvxGBQFlxcLD=ygkcoeSDJ?vC8u zCm=$2&hK&rDG1S}%)GE=S3EQ&KsACq3L2}!>56co@1pGRq<9f)&=0CdVEE?mIIkQd z?@iP}WG@W)BtUdF(ob=HU|)|)_~LV=@Y2ZKYM~|>l6#7VM#AM^(3Rmj1LD1Lqm{)Q z<^9yL?)SO7&Cf2$k#&V=(I4QXe4__1heYQ13t;(;{`SEiSs;1Hl%~k!h~(yz1IKvr z)m&k-WA@$s(@(l*$sLgC`*3>03}LqNiQRQ-A-_breux4mzA0}36D`d;#@YPWp1wxB z{K>!B(tu<050do3&Dr&0~)MY{g&LC7nKX5s6q zq2N}8!FSlr(e*b~kWj5X)vU!kiR;nMYn^TD91@=A^^fK6%lh~E#&0t$Sdr`5$2UQV_mn#bUevEf={}n3KN!S?I zI)SH>!ofC^()=!9Nae-=4I<6HUI2lBQryE;CEp7Zl|s~~e6fnNzDtQI!1lRbXMO{z zO=9u8JC_c5=LfZ9UZ^Pind;%>uN4|J>Ol`6(r13uE*3W%Qc8;d#hSHILO+|c_VvQ% z=;L>%xDgG&-W3>qlU-?jM?&Ykb8fBt71#9qlW`mZ1#R~S-^8p-@J3Xf^rN)PqVdVb z+Ww~?n6J`u@mR=w5pQPssWo~%%3Ho-`+Ine8t91zO!oEX+Cu(N5M)BX5>w2)>PcYS|693F4)Ymz{; zLN_o0*h^4le*|V=CSmqd+Ee7f>Y>h3>rgF_qB!Aoks6KXt*OWXp>rRzC5^KTXTWbG z0oK{1pPWCgaHFneDtpRH2VErupZhI?(HcoGsQK)q*(5H#h+Hgx74H|h8_~^{cg#P? z7NDcNE@seN~sa{8m~O`!mhn@Pjvo-gD*aA*b9=j{N6RK zKG4~_XmLhrP*U=}>-NUi_!SWNNROxP2dEeN1RMVKfRL|%gSI`p5jDz@N2VdgZlgky zU-LG>J_N%T0P7q>^1oZNtpPDk3vr@!&VlU+Xt|Uv_wRX!+Ym!+RV#% zd&&W^1spH(@W*(btYb*CzCC!Jw!+^hPRUv8Qt4Sg2!nbkFW%V{762IVQLL;7Q>>Qw z0JjBx(twf`L}M}jG3uW@7h>bxMxE_>f|9O>)~JHyOW)wI&iYjo)9)QCxN*H!0cIOE zN&!t?UYDAa@)G8I8Nsi&>^urkhZAUP+nGD&Kav?jA%8(n^s+_1gG6b zu_F95maTy3oYs!k23VhrXEJHZ2%CP<`+ctu5}nX0Pp8&bmTP)@aiO)LY)u>8m}O1H zw{|5R*G>AZp@Draoi+2VedmNg(PbKD;}Tv`p(cARK=H+pjLne@x@188oIdet%kZ-s z0OZ_|M0?D1#ty5HAQqlZboUGF%~C|9*#V6ov&4^Z5FneO;7%I!^0CIxxNJ5zg#@Nj z+#zi!av>uxjg8C=HU~@?;j4IHU?L_(XpKTHF%sDBm0`eU9rBp1Y#Om_V%N}GgX^CH zE}DEoQ6ZNqj6cy~(mdV!Wfqd+m;5$|@$^@U>4|fkwZlJw>loVnT4boBV`xa7g$oR_ zgQS4zGmgjI5+AiW9y}$Zlnkxgv4Z}b+B1V*M-)HgJ`?bAezU8a)E_Xl;}yp(fF?aX zVXS}<&~O+S7m!YO_sw6W>a&3TwTRz5M_;j)vxarmUb6N2QpXiCymX55Ii`Hl`;Z&I z_8^Vyo1bIoVl&lvM%Eg%)!rFJHE1udg8(j;@y#DB>T`(S6y*bv2}uBx_n|h{{IzhG zi2Z%mg_8jsANYwiSV+Wq0dI4F#gEtKdMpUb0p1Fs8gaApN={Qc6zEh4K_sJZl3$Y6 zk+Rqo(i7kyCz2cMPI!DIjavrsLObGK%>6>ndw!G^tUte2ZJT{y(S1k0BEw^0*H6K0 zFYb<*4p8A)<71J8lJ?`m-z{Bi3kyvK#~I~D2j(?#`S~HWIq6>djUy$+wK|ZEOGcJT z9)zpLqC{3n2MuS2EtNrZ0cI{(wi09kfs(Y5JRp?zKW#2(rJE>%&Qh=|*sU4=+fwSE z97NYFJ+PIGEyvxkzVAfQaT)%WS)spG9wMu_mK`1tPYYvpgv0llzq-5J71$YnTh@Ws zzV+ordQcSoc6^!@NfHn+k4|wLAmmsZKbnx0!+hv8*gAeC$%8#gcZQsIX8c=lYi#&- zVSREr1M_JmeGEq(t%X~`Ybw~K_M~3g12Xx{AZ)ZTGStAg8&PUVZznULWDm~hhQ$e+SbD4YqqP*m|XUv)tdr8oNT#g z4no=OOmS@4rq*%fa+7>y)6%4fwCgS0X-!xYF>{S65vjHtc(U5R4@Xb5CWmD>?BYu4 zB<}ZJ=#GrZvpN2#V32y^q7LFTqs zO37Z+)08))`0O1%w?AOYFH-1;`AXIIyL$8517|!CDkgvQra0|PUtgl9`fCJ;gTjNc zSi%>F6ABcF{mG&mou31;N*EICCbWGAS=3K-^QP73RbeQ0bIb$%*4$A9@1?73Q_!G6 z37P$reULx?&TU8G;FG4)BCn!%?&G?-gu}XrD-{gnz!GY;$l_~KZSF!S$d^GW5tW5( zE}w+$9@JZGf-i(Lm3IKNf&O=Jept=2;?^yM^`p%`TveS_9?9)Be6IRh$uiNmB|wC|TvmADw*xB11Ue z7KFe^Eb!m>!MazhQn>yimWXDA?X$NF^AP>Ef@TnFifgw%RrM?Z_jk7bF~9RY)-O1T zDI{2>bj^TNsaxc+gbxj@C?nL|uo-uyIoHX3%k%DD56OIY&h4-wnPVe39% zSaneABu050SFd<3Q|iN+ep&P?Qgi&y1c<-m1#&|iV`Z2c#RdJh!VOUX|H-}_{PA)+ zu@TSsE`Ndzi1%*!>I(RMn1544c)8E{bX%P}EXZqk$O)vELg}vm^k%*k{DAVU*Ni-@ zfxqEKeT7C{FbjS=jOa?L;1fX06Os(%f7pRc%yYZ-Q(sZK(bsc65I_HaayG=kc~rM` z2%&~v!k&=k41eQy14zT4k{Abn_q|Z2Uia>GKeMcrNWrW2nxk!YM60>>y@IO@`;V3v z;BRZ2eOdRuQpxrv{ptm;El`q@I7n&oc-tuNi^%}L#}qA=^4w?eZKCD3M#VirUYoxT zw?KxpcK;!1Q;#!^ESExY)D=Ut$~~yjw7h)QGfJrwOp7o>EYD>e|ARB zn*G1AvsyP4dnj*F=~Qeb9^X|)#%!ly2GZTtHYG$i3M_9k0!KGs2245{y^*?lJ*|qD z=~Ti`tkdHnuvPF4t@Mr*L;}z!M7CdmTizUx8S&m_!CFr5uQ*+e1b>%*)J)&nlgN66 z{!y_#@Mml(3%QRa20c_&DRTEU=-gzH9ro0^-_-hg#7mbz zu;b=re8)(l<86iQU#w48=CR1{y*8P2eG}fvzvhQQ)iMzzJ7R#>S14Y|Baifb^tSWOD zU#1!_h4K}okI);*u#rpaE8V>+j+6Hj^Nq=e=aqiMV&Cb}|M?y80q8&CRbYC#_S-tU zyLgb!`*{fXy!QCHx$%GL_7N1ybE8Ac&k0Plf64B}DgyGgcLTP|l%gQF>GrVx`4dn$ zv$2w9^YCM&?;9TS`SU?n=m~-#YXHgeAP2)TwMN;J8F*<}c};fviK2SPE2pLLDsrHCNTq z(PLoLQFr`-ec<5XxKMC&GOzHy5x+5AF>yb~EHe5=n&@@0qLrNKK*xXDkVJNyDn_*> z>Zss+i<#^9(8J#uofH0WF2wGQ&uW6+FLQHhvt44`KTfUAuBbL(?@$H?Y`aEHw?Gv1 z@_brG)~`-3FaC{9J)vDLXTa=s2YP}$-{T0hgW2w6XhAlOnmH=sb9b4e=BdmyD}8cl zwvtgKsHNlQM4XwEoA?~}J)TU`fg{lDTj=AG=v{hb3PDxpWMl0iVZd%K`iEj}xRArJ zH|m!yz#bJ7?4(7T(ToZuR|XTQ#jKk{1^IHQ85CK9=m@D&m8`vvu-`Qi*qhkXeFAB< zizR8`r)>gX{2a%RRX%M7#gYuE(XP{Y?!e0CyjBvOG?8)j*l4 zTOLLp5PedlQ^jr9!_qM=w^T5q&LGS-xGV?d9g1rCcmFCsX`V|rw{ZS;a2b}U-~L)u zH?M5-bHe6@T_fKfQzIpz(butLBV8gRRqwCl{9p-KU(s>ZiO6B1$#ksk?iDnyLyc&n zv*A!g8XTt92LS5q>}zlEuN?ZeD)I2HYYFXCWCw?t4ZZce?`vARKF!R{TYv8~`MJd7 z3=a*RHVj@owN5TfJ>@?2P7b~=jO{5r8M%0rH@SiR46Gb~3s)GmqYrtZ|9~4vQa%+M z{d9ijsM?Cr@OgK)dj-y35)dpQAjYk5yy$VT#Ed>Xi?>AX^>yg@V!vRt7kQVr-X1S+ zte8A4tA5j`V2FOj^ow{dpcAeDjFpsENz@2_PYNGdnR+;Mlkguy1y%krk~m(Dx~-7L zCGKoW{F=EMu7yGNac$`CJ|Ze3YW#E*?9)P0y((m*_Kj6NvRunYTCf;ot?_fW=)R9B zK4-8xfW;mz4tcuDqPLjh4?r368ZN(Rd$PNRt5s}d(YMvg_^@_&Z|9WOz!>=&*0H+) zEMx;}Lnf!(ATgf?77va%4@4)pwW=@iZFNR8JlfsEQmm>{^8|dlnjR0=PtW^;RueK9 zP|fWs984bq&2%<(cMNxY4j>$CzGk|96Hr>%RjcUl80>I!RbAHLUj?Obco=kbg%^@6 z6D{E3JU$%k>dPw)?d|OFZ&l0q*2r`Mird;hM!lbih_7e(N8Dewx!0&l_mTn?{LS#$ z7~Hgucyg0L$YSIPqYtzTcWMls14u`F534>1{Aq6X?s#J0a+sIuc5Rs=#*bJW?U#z) z(~n~-Ha0a(Js1T?<59hqHwtT)`9cjw{GuJBq|8~QE4b8%&g#2vOFq{w4cFzf8Y6!# z4lOR8e70YnA0r8|yC4^Je7a89_B-AUUQX||Wm~wU$S{}}3{f7HbECgsqNyU`FMx9t zx;MEYK;FMOE9A-!T~5eGe&oLt+sD5aHJK;gU*vF<%mZy5og5+C`37?gOiwE;9UQAY zw4o07v(5(@OHrripQtmeQ*&GeT3$T-YNrszM*wZ36U~w>VdHMQh;^Y_I9Bi8v?0gg zSdItyiO-=8OG#NjrjS6RZ+`Vi4X|cC_r>CAWYDX1r8`mTXn8%01)7CL{Q1(TM?BcQ zTbwkF7Ua9sksd1Psm~MS_wE|ve7QEKzDui~E1c4`H-@9>J;IP&xTNP4Crm-C5b4OgXNs^d>btooV_)x|-<`gnbAAiq6*{}JvqjHsMg5$m;Qmr*iWA=C?dz|PhMKXf{*KGH`hw2w^mgU4Um)H9#-Ad zFilt@vdiXEeS<=%cp1efvjL?M!Qk`@@?mtY`SkT~2-k>NkeaecS%OyY8$9jX297Fp zzFMNDi85Wz)Y^TZ6Gpwoojpobn5*;UTQ3 zdR`8vf7?WQ2kOT$S|AIetyou1 z?gPd1QjDZ7)DD9p;rnfl$5OIMk>~JP83S)4W|Ln;sIbbJGa$~<0S?rXvjUzGr-qwh zlVOO$kY?i4cDgQC!1Y`_gD4Nle3Hg=^s?=$^k9x^l$w?c(eez%O3sxE&uuDrL&uCu zb`a(D4zg8amCc~^wiLK?=P#*8#9$zO!1Ao0WKA?xmN`%{UG*r7T~}1}Ov|wq&)x9MksLLh(L^(jXOa)w0^E z)3{uN9-{}f6ghj-Bqt6K z(R;Yyc+raIBow8gpNbWb6JHJz1GFpgY}dJd6Bdp;j2Tsqheyc42%MJA*-lHOi>M** zwhGIu&^(y!B2f}<;5&IaHwZuWH_E-Z6jZ%r15p(Cf8Qz5N~t?S&-@M%1W~$f6EGaynDd>Wl!Pxo=QO;R zuD5cWFYUP5V>o0(xBB(rC{nFXWfUc`0R;- zt3eMG$(62(hOCu`!E1dOj-`XzB`wo?^^=?DWQT{#z+~uNlx%Z5g;C|F5ehQz%UbIG z0Qw65;-p2M892JZmpOSG|E9mpqd}#J>KXfY$_ls%&kb!@Z|KW;Wx7)6DcqKHS-hFY z<(|h`C|phP%^0S(YlM655Ox{Po5*P8s9z3wIGB>E6UVnVWmDhqzT_-X#if`^b@{U>*?Wvc zl0B!VKKvo-x|H=44!AU5QB?L(yyAr(Ma}29kRf4XDDgl|C%1-SIAtG|fQTSkEqlTg znJNO%(HJS9&|jAzbn9CH{|(hucGLIfbImBVxkYph45Bp^m57fE3ALDvYgUA)uwCN4 z-}(B=&B2L4gq)x~i@zSK2$$$R05Yd(*`!;o?$+-aV#Ui4?+NRp*Ud3DG2v1elr`%c zpB9y8`RI`}@r)G|kA-H-?iSAdL!qn~vQ=B83=CAYHv+tnq zz@D7;-`{gErzX9-H(hYES0=P5gobvi&rxolcL$neD^Q1?QPs6eeU^Xacx-f7f^m|q zb(1k&rXxS!0gIW9u;STFI=Y!`q8EhOSR#abYDEvm-~}U}2?p_qN(K!(vIiTjk8s0e z9Nk_pLe*9(yAG6;d+|tEmg{vvp%ACCg zPgrd8Mqm(9D1jddDTV&m;i+>ChzB)o6x(o5Upi-vOQUf zF(db!(4x1LEC&!s#Z9a@bfoMS7Z&pG6!QxSn=d9JE6@Z@^uthsQ00C`y*ROaxFr## z)MzGGdVhLt3>wLGWnyYUJ>|~3IJUZx&P9Zi$(r_NlNrOgVd5OcGNWLd9A`||_G5?) z84U3TA9%CcHe~sk>XAtTgPO|=-MuQh+s2a|U5$il@;C8ngOR@y^MG*i-`AQO1pD>D zKYVn&h<|hHBmzJjY&MbcU2&KdKzWk8oHj|9RnC0o~iQo6^iM7 zBNaV;YjF4G4>sPji_cdKXzR(sYT4>!Mchble&>V?t~7M#Y3B84O*&lLnmn9d&vxPI zjo|WCVoN=s*BH!fGNkK~X8-NVp|N+q2?2kzJ9Vw8@!;9Dw+(C;j3AeD9y#J%tu=B2gfD-+pDpupQ83R zoyhmE7(Sm*Pwfq(4dWO!=mq+~Pw+*KHkyz6IG}Hn>MlWrBR$N`R!M>|gJ0X=7%720 zW09roa-^TTodmK=a0a(T6jiOXA>~^?K*8rGPv>a55G34AHW#vg-v{`9G{;Lga$FcL ztq83rxn=5rPfn9wuuxX$*CdAh3NJU4-WXXJD>k)xZ9Rd99zLb3Ms_WRqMl{UXOEOj zzc=s;i1!=5HVNlXBogW>7k%ZpxTtCI6h*-1TJ`eAJAwoO8uoK|p{8O>9DDH4xD)}A zdZvu2ahaLF#`%55wsW~vW}JYvD_uB>&9|lZaUbq6e*k4a#INn#oP`(9Jbpf}o87_1 z^^PDj#FR4!f0^SVN*N6zytV{+Nvn_V`*=h9w3}(ER%y0NHnSQuTyO@3ZQ7aFjFX4( z7Wq7Q-GFl7T5X`a6SCbGO2Yj_j`3izo>4+h`4Q$TsBhslQo9+19clzi6E_-Uu}K)? z($dq!6!82_qR8b%i{`NM#_sn4qoB4|nb9;mVEuz|Y=_NSV6lF`a08@$2+LduIAcsMJa(6|$c zr7ig&Jm_!Kv_XbT+BVO>z%H5_*_WL1COxXx?G0t@Mz)em$s5%d8%K$sS{++YDtMrm zns$^T_M5mNw_tUW3mv6;;p)mn#B^}K^Mg~b~Hec6oL$I%I^$yc@6Z2<1 zEB0R{mQ`;3Iy^O+dhlz*;Y8k>#i$jLcsIKiU1{zWvC>{QLMHN+51GRoWf){5y0w>A zy{G{*YM2ME(oE9ct6apQDGI*JvPS}+An%?71U=`x)snWxOE}gZr34mBI^p4M@^`M`BOpVo#MxAkI zzfEqO!ZCFJ;S(rzP+$nbt{|dE{AYX_H47y+dy@iBY2L+uuicaF{MWpHqj)YZmze6M zH1{?kn2|z!0_hDaNh>rUQZkA;FtDf=r$#F|$~Y_y0bO3-=`RQhqtEJ{P$1X}J;xa{ zFsnI5A=+<7U6n46M^Y~P)0o66+b1<_t6tnrj7J+oH2`kOm4$b==J9lIp4g!(F;tPA z#d)EW%&yq!EeIzKNy=5cR1+1o=NUHD9l6nib|O@_fT#-^B|d&M*6+ zt4tlB(P4}9ONY@`B!Jo|cZpNtF~P*b*pu7BCXIfAnVQC7e$@;uDyvq^UA zy7KQdQa9+tA0 z=M-K4BD5C*X?^(oV!vFiglkhbY8ho;e`&FDK+ShQFfO8$JT_rVV(z3f|H@1^j3^M;d$|x$Q8lHONA!$~N4frXrk6 z&Yi3ShNaVLRD}2p96mgUgnL%Rf!yevj;(`e*SJ_v4~>WclPEmm$Q`4zA@bxMPmN|v z^dQ%Nwb&E~IDfTpo$&3egi?}^|L`6;3XK$YLN?vsPj~eM$yqGytPrLf!-}zFjFjK9 z4!;gr)DAnCfZFyKw*xG`<3eR{i%|<6NYh(QZtgh4f_7h zbnHsM{X$=YZ883GaB8f1LXmi-%x2sE?LPs3#tcrqp%N!b6PONMhVp^(rkShzIU%Av zUuO;EUM?3FRksl<7&<-#wxP}ST->=TM|W5%ekoT>bIaLUl06157MFL(Q!V=nSwT4= zPrHF*mbwdaKKt8W3J+G2cd%5nvKix$t|*$J=aR>1XjRoi9BwJ( zo0nnX`#(<4kzQc!Q$U7XdRAm1Pho?pZom_*U6oEz_hd;RmNyI%5}=pN5^Zu=A0F`9 zhI8u?WOk_Gwrd)~x|CfK*($QE;_4WN^>PhFbFH;!#u!rr+Ya_jAy*=G2g#(yaSJ{2 zaXkTX_QRl&(iWc!UW4O{1(Kg$%Ew%~aV;H6lDYgLNe1_G=hcLeTsuo7QFeZ3bVScK zdq_=N?Cd}V)-C)!I&xZr_MZB6HRE)zV!bn>%u5G0I3Cz*g87{E`6Zfr(4?Kq#GErRA1*2-7w5 z6vRv?pWDKOj4(ez;_8w;D(Gz`NlL7JzbG}i;KYa?(AODV zm;yC=C~1RRH64qNs4giq8_R~MKx{m)YRFlXrG8Y`?$}H=1peIr!vkf)~%)M$& zmJik;0njYkHI7|OzNlkRE(H`^(LQC2}CqQT;E z>DGZ(7KJ`W!(dkx4OyjOfSDG?51*bltg2wyj!ts;C&A~RKu16LrKu3bWDZPs1Z;QscuWVzbNY2R$-P(D zHPI^sfj01;`WcJ*9`E$*_kQ@2MY}Bbc3>(0%`NrO^$IJ2r#M>q*+5q>Ykl6HHx!0k z_vr34U|k^VosL5Xmi1hNbb1cTYw+%<=Hq7$==33bit^Q&J#(p22+%2UeOr+;m%#Xd ziB){A)tbiF;5Zn~gd|`e${hW;nQTV_p)q{J&h{L2bj%VcEls^zH0NPrs`YqB^KuNJQR;q43M1qxWc7*0=Xr zDg%v-Sv671m9+Y+-{ZFK?N+&+51HTcM8a66-u{byiI@`_ob8x%dmiJTtqP!dFI%!$ zh}q-0i%XUrxQlCmgu;!GUinLv1o=3Iw*w17_P}3+A9an!SU$H)5Q@Jhq8U5J#*8pp zOu>1bA3!xIb#_Z6tJg52bxkFF{34l(?eE0zqTFM!_kf5W5iL-) zdY~jm$t*xACYzFmBz|JlQB~FebG}}a&_SoIb&r^ff^Mp-nV~8iE0*e`5EXN}&}10)QRd z6C%gP^}V!%TI0QG@`Dv@p*q@)oSdc67G7hLEP9eSri7}uv$wUqCa|M76kwtEr?>!$ z^6ZNhT$;F;O|kG5g*Br=g{J(c5h0Hn$C> zZ-alk6=_HKY)7N*7QcQHIRPNRJ@VyQe9QxVHH*nui`^|3okU+)7;yJXe>>7EVZx(;p&gvq6)m-wHvarvBNBGg$ zMKBx%KQ11yaU@=DVS3>FUJBa?%Rws{$qY$#S9f#c8_Lt}8xgk7-xNA ztL?|frL`5Rf=}v9tr}fTO2_uL;SP%4PRCEE`;_PfZR*|UxD0Co4g8^mnY@(Yhdv^K z3U+6F@Z(cc;Imx|QIApmBia_#R?vf{CpjPDAG{=Pk29~VJWe_{diLb6MPQuI!ONtL zxCxv+_nj^I9hWalxozDYsq&^D7ArHKQcA6KdKbd=$>iHy?RyX|f}MXmqO*asPg~D% zz-vMskUCA!un=aBl{A#euTu;gv!97JYb%SRuZ1O|;#rgy_SD4GzFmuCI(0xIH_mIF zY51gGy<0qpk#DP#K_9Q~sf1b(P^Tftd_90l;t5e1L$AE0YN(}VCc(72KWm!SWvNLB z0k}VGtNaM{eG>L~rD)RPC&kM$^+QN^Zvz_us(V-M@mKfUrkdQ!e}w7F=I2xOBg+(ROnP`gvFiP7i?;OZeK+W0AO@T!E8 z!wYKa*Dh89f)Q;1s*@`DSNYorlt!*X1r*lE4HP34!UBsQ$l?GSHmE+0z=ttl9@It! zgi;|Ry(9r?JQz7IOw}|XOobdK>Z~&!l8-V+ICss4_-qUro{$bc98jHRG)~q@%haNG z8%GWv4lKr+42z(w!<4dAf+mIzBtnm!23BLTrxX=_q&~_S9U>YOD%#gm^(x^+q&8&i zrG6K?FH})7d|%bH0}EQn*>puEsEH%wM1XIH6LQ%>*9X+fT zj3#&nf{az#W7!PJZi0jmxw&fUB=`X}ND)hwj5+xlc+B5+3owMixLo87ND?9dHr}EG zWQ2(^$RD{g110hTEhNp9HZ3BgR-$=^c90;dqyb}PPiSntAfY9d{U{ba7_cG?RK?T` zDx^pq1wQnSX!iMyE*gG%GWvIYPWLW8Ria6`e)2v~(9I!caR(3XSG1ixZr{*~eNHFH zUe?eI<0^~7olm?hwdgGXbuVL!mA*=kNN~HD2&e9Zb1~W0AWGshmZU}vxEUJgZtA=udmeAgGqYaq@HaHBP1=kk=j zzSeq()fZ-t1cb5NAoYr1q|DO%DK^7oi~tchV=FyLB72&oMP~!4ZVaS$tfDq zVy<0n83wF0G3neH$wrmY#8zP!G-RYSF>&9t=o)R@LnKDn_^ix4{Gaz*5$EZ#?l zq_7k16p6C8JD^0%$Rd3W14ob9BQ0bLg#|H~IRPF)MUN#jDa42ns>5g_bTj0>{{HBt7%F})3bb;=E1Sp3% z6K4gSPjawvfWR1wC}TaIr$}NB1uJBH!8xq-650wx{O!#dF5i1NwlKn7iE$#gFJyxi z@rPJ!78pEGc4k5=Xu0_%>L@o1Xu)kXle|czi?NPP9tO9JXPRboBwnVCn3%T1KK1k| zG!e#tGcq$36L=9!p>-1GMcp}ig|oIch&Yx2WTE3sUYo$yaWRcseE-K+l1Dl5t4ZInuG+sfbB<1U^ zG+sh7JEgc})@?(-7-Lu@5wRL77&Zt~Scw`6jWo(7Kz=+0SS(H+l)unm*(%fv8XPA|1BCBgitJbG)U=5n0?={m)-om(k_6w zCHb^-P0qIs!%&=_1-1Z7AA>TG$jp2;L^%JP@eYo8cCVDyCf1V zwM}+O38oaVsI)!Lpe?&eJ_@SH)sqXQn7V3myjDDW$Vg_n)~uxfDomQZ6SX}>%?zNo z{U*?b{hb93GJOIxpevm@WgTNeeHQ;1S)#ON)(|YnM`+#@YfAk#6~A5vX9SUiiIKn? zC>Ax{Bw(!#rawOmoEeoaIE9A{w+G>u-Glo6>Cr6?ZLdQd@T-APwF z10ruQL!=}ix3BY()mqwOK1u|p6(Yx~-n{z7DMKGhF8&LJ4k%P6FYQUXb6+Tfr7T56 z1rO>8=2L~3SWU##OC7ple^*I5_Q>)V116+M5Sdmm@ksa9UcDY~m7U#0D9%LsUF;$tTHFr+LfT{K+%W~y>b^3 z?GsnmSIqlDIYgzJeS?h1FzhGq>G4RsxsJ`R9;t$hY=F^(=mOu#?2Lhy#-^hSTrkF_ z8_-i?Q<$4cJZUjPS=O(JK4vIG8>nbD!ut*jYHqIox#?)_)F(jhKQDsKI`tl0zAr?@ z66D%ZQ{PUH5K6*{7YfeS&e6j-BuvGFcyd3@E+*2l*C~tCp2D*ICdv(KGlk1dI(q$Q z4GZ^MBOr$S%7z{ytq1yWfOd&qX1b41y6po|htvp;lg{X57?2#9hQ(eV zTolXlUfuWt*ev(6_o{_d`xR6eQ&TC@r4WrwKxJ|?4ddmR(vcOS!n@GSyguCg5X03#H(BC+Ggb2Vp89 zZYcEOyiNh>NCR*1$>8$(eg-JdFfDcOGx@55oX`aEgISz}sE9<}M~=-dRfy#8q%gRJ zlvIDnko}h_Z^co-fY9<~>bP(?JBHdlZ;-{iEK_|7ddULu0M-B(FYaNgW2R6sv6-Zc z%+fDO=};XjWo;~vHi1W(2_JH;7-k-kl999>s1ipu(?w)!^Pp_>gk9-Nr0DFIMSdrE$R_43l6LpIcuyKdy^ZSPC1JA zoI<7TUz9PO8_a3@XOPz6g6oo+TLtMh1xb6w;~4{tk6bwIseHx)fk3^B5zGtjqT2$t z5G$b2j)t5w8aPJ|EQWhj1x;i}`u?$Yjxp3)%LomW8lr6E8K%n%DzRM72C}ZyA=4c0 zLtikgv9=HCy`h+*#Q<;DY$&V|hS;I)1`nv#Q{uYjH3L4@5YF=h1nDms{>oQad%j3A zu`4B(qtZAYf?dQsz6kLl_69;BZ>UKq;RQk=5(F+2l86UXm_hWy2ZKeOCRY z-^4QbR||xc+1#E>?gq5<+76ZpX5X*0kSjEhsiiv@M`b~)lVl649Wc8Ab-?xZz2Efv zo>Hlt{lmt;tM?7!k$#t~SXZspLyiuHV{ZYRSEP1fi4Q0ewKGh79|02!aE(uor^W84VOntk(+l zVFXEBdd^lhu$t~lM6xOlsIt3pi5teVaF%gL=pTrX2d(@kmc}zNlKC1q%|sdclcK`jy?&NJhQ!>|LxM$%Pl?}BzlUO{s_v!??F}#-vavU~U~lJlVr5s~L>YV|(F%Cd2YF z=jYu(?~S9b;nwYp)sD1SoR(kZ!gT5I(||;kmKI#_j>CN?2MJQB1sk-l0|P=eeSr`> zU)hszt>;;<+Pp`9)?}wA6~-sc{(UyMQD?&^i&z+ivqDE7~yiH@dh&NEQSy;>4zUluqrU*yMyldG) zuJM^-d3&lX$Bi{TqoITG*~wcW76+zN<&fGQ z3*G3P9{n5N{@uz)*a3P1glL*WEgN%jIpT#!JpvyH^ix%v_OkD5H$;dPJ)j7D*ybDf z{2%YAyl1*0F%_NC5v7MnENk^I?VH8pQ~BGbL^13=tWKD`_z9?b;v)Lk2%G2m&-i7*0 zaOIZPxseva_H1eCG9h%4>+=b0(3^U*4 z);wk;8Yq{!Js)ireM|8SJUMbqP$x+SE^jj%r@Mu<{pIxH)>>w1XXC*P{N&xD3ptG? z!yo8{ID=cNS{g=S;Q`dh8jKPL3tA}84TL*VeJpejN;mv8!ZVPd@+(Y1=@`&FPVF2B z29p}0-O@`;a>p2E_Qhw}L@d~+*{mkRJT&>_D(G5maP0+sVz$aGqbZQ`=|vNu@-*#7 zNyL9EFDGZBu+wbtPiuf;!AK>z-4=jqi`$>9CZ9Z70Zq7R^ug=O*e0KzP2=+z{#Ip( z|F||+WW1Sw3Q+oeD*_-!V@c1oD|r^d5DBaFid_$Gr#aBT0&gf=wUVipiK2#jPO($d zq0jQyd1zD4@cU)#SrZ9>r(^T~q76Bvy#XQXB@oQNHV>U_U_&O!{a}oE6gs`pS zPFCkPozHcx!~~I_yG==JOPDIzwYc21HLT=VatCdwP7|e)7HN?c3}72-ooj~LT2ej% zIU^9KIg}Rqxojx)pB5P5E~-Ccgn1`byy{8}m;+VQCLe~7+uGDpgcu->M^qZw%Ec0Y zHxSGIH~Y4^&%LE{xY)yi6VU*_SHHeTpbFpK4u2&9R563wi}-q~qAmL?CT(3Wg;a-_ zaC3I^{Ev43Ni3Fyj`~&~7Z6Qc{nNk1N~%x`X035z3^k`7*$sLs*7XDg3)xVRs4%4f z;XW>;=u1Z=ngA&$BD4a$P?2P`=FuP~a~^0dkGfZH{hYtc5mC+j4m`|FH4ayOs?Ek+ z#Vb=X?;8&$f$1DwDMZvzp5EHl($>)bO#HaIn19sZU!*Ia2tpZr-(~^!p4@3`M54m( zE{eQBAVwmVvC5Nfo{VIc>I2929=`D-fMO+goHK8@#_QmlHUFbvwFbgTI}H!6aIB-F z`|KQ4M%AxDN0pmp?p<(2E3D}>rnsp|5(=e{Z^7FkaxC6Ar1Jh(A!ixX1`y@(7FvpiQrxA`KykMM#i2M9S~PeA z6nBRP3W4Gjm!b*oR!DIu?xaY92Djo`j+?uixeqsUA9iLxyqSG7Z+G8+-|YLTW8e&6 zwbD})=y~@v4CoY z(6(00fVMg{&JG|#pp|-dQ5QVR|l+_?c#b+Y7OFT|DoYGJ*pVDsN%t|4>;m#B> z=wYZIP>7pR(3@0ib{e|g)d5@dV++nHRO#!qm=8!W)aSz0+faL)Y7V&TNF+%<73NXY z@gqiDSu#&=Qa%W|x0cKS#UC{u0uNngA_H`%KfDE$Rfu@SU`~Y$*Sv@)Q1buO2YgZE zA{fQlGoZYvZcxy$vKrvdKwM2|jQr$?$w;FoHwURuvESAZM9a z&Qns8IW0Dp6K0pdyUHt?UvLa<<$Wo{LcgrQ@ZBFWNt$G+fAPj={t(g}n@~N$Myd`x z45=8RwUzz)k~SfpLb~&#PO@p&sZ#5|(}|TXChcMfIh9!%7a5KoMXG}B;~yV{#mc4C z%Mq%^CWBB=7{Av;94o0;UDAlJHRe}!Nn6-|Ued~l?kP;C(=TY=52+Q|I`bl;h%dQ59nT_Nw zQ|8lyCakBAXFkKJI-r)M>{4OHswZC4VMSpS;`>jz2kKKVRW`i!MB~Hd*u{v#f3Sth ztxiGY2sjb_&A3r8#iYidwv0CfC@HPO`bYSBEHf#$wpntI(>O5)iQ|zOO+kBHIj5q+ zQE%-4aw{8knF#>Gl{a>LVV~H(SOiuVRWnb$1))q*9>j6|rWjgQFDw@eGhGILyE zT4C948z|whZnFKRl4#1)ud%IP`Qm(AbQnG|=;F4?TTYpnE&T=2DG;T-u9HpXb5}dL zHa{3XvryASC%Z1=J!8(t$I9`t+|FKmIQ?Sny*bhulI}BQ9(R+@KZ2knfo`y zVYGXlUw|O%ZRe37Mur>5MSui1OQa_H$JNJmywrvx=-cJrXyE`~x9Kk6DWg#S^Hr1d z6i82Fwm>}D+>)@{SKVI}+1GCAU60ZP;-AbL#v`=}0aPm9@@A|kZ@W6U`6=e%^1{-U z183BY3G)!X5u%oxayBV`PWyV>8BM9k8MvwOBZN$o>+#{}^o2Ki6wmyjDxz%H5!N!) zCwviDnr7^(V%_1=qIODHZ7>OoJPDI#lO_kkFf3>;JSpP5lBrMj;fkPg3HJ*0ijo-D z%c4!JBpNoz>D<;?FeIgHKYFOy@UVA3#g|+;+Yja%WaBjtBr#jP(z|tRc)+2QD2>=6 zi%~ZYx8;gJ*8+63<~RQbN=YxuY&wA}IF_qfY`{9v5as1DabS{8>8f>n{C@I$#{qgr z&AC*SerD@I(h$Iq!Ts>n`W<`DE?k{m>VA+h)=H|OxoF3i)Z6dQZ>mtQ2|!HYWjw-J zr~kceNlBI1ZeynD2>&U?-LCeQq)*+1vxqQyhw_W)xh1DGj&$e<>zT63oyK7ssp9`M zH|abuUnC`ygeGn{_vLiJ2W>F;Zm43n(CJ#0qy$s;{HUc=ZsK+kF}}*OXIbIam(us6!zZ ze1$r&$WBFLE{ZI4o$pzfg@`e5;sbzU5Pb(nMjLrj^NAV zc{-jYIvM6~f$T*%9$$3r|BuNtHPQhU?W*pWthb?o(~6jcG4I$qOJZO$Z>Peme&?g_pabiZLxJbwdY6>ai4t?rKkyv{VVZ2!f#5k zeY>Eo0l8Nb<}Z7-C)zuHfZ7JpDbr6$6H#kap!b!4%jSQcl;mSw>w1_jmC- z#Ktiv@V_>i&_iO5nz>StnTUlgqi8R{T(qr44FUbLRmS5~$xK4b9r?QaMDXLh$O^jY zNIoE~0lu)+lUjd?qTN8np_Q|nfxaajpBpt7vI%ynT;^0lziYnt<}W4K1)WKFCS@kT zJ*e$q&Ib(Ke-ohnvZb@UyF^0Z(jMqKsy~{KFSQzn=rs8!;>#Qz(ioI+;M=~5?~_eVi3`Z+Mmi^ql)ne@VoqS{BN5IP`zyM@SYgy}Jxk z6@I+3lzT zH(tZ69Y`tLj4&^c53x{t!r*&U-Ol=oxMkDx>RZTnukdSU|Ic5~2diSW#yM9lYR$5H zvf;9UYmKd*+ylO2=TA^Ka|)=kb4hwG-4Mr>&2n$)`Un}>2J`i~+oryawn_T2EC>qos zl4hf}@PW{^EAg&0sl6dmuMW;k>T$~m+__wU|0^nSRoy*0&KQ+(%hr0w^`pmZI@|`w zSJ0wA!W}rF{%Y&!UP33rVMasGah8{7#ORx6Q=Yt(ur5V{nC!EARS9@+FSs)iBQEbR z?#UqBf{V&(Zu0Jfebud4BINJ<@vk4+D(*0NmdW#KYdsn&neLL>icv-iSO$Z=zKr@S zz4=$qT}pP09Ml~5%`iuo9=~>C7@J3YGqSDFitY7WNhGk)v)8U#ZrqK>r4#Iof%==D{C+0iF?Cwbz7~6PEESxJ~0pJ zm8^;r>rx!u!F^VWjShuzg2RWKOumuoeo_-3A{-+`I1v-gGY}s!UE>ItxvMgc6r#cW z=j{6_HPrMg@Oaf&Sbm^N7qEmBxvAlFrJRiQ^}!s}0LBC#c*FhFV?hNOD@1R2i_q7ul4m{9@rSk#FQP zLts_=50+&8!y{4MG@G@jJV`-)n{@>vp_-PX`69^}2sJHDYy-Uq63HEAJ4qm!PX7@? zM}=__pqZH#oR#CoqCpHdf3{GhepSP+3FPCMU*4#2q+AsX+=LU-eBtd@W|G6XTPVw9 z@Wd~%)^xs!;3T$V@4AVwhmjeY^>i$Lomu?r`s{#2xxIz<7BJ~`2%kPXQ<5zC(AW=q zZCQQwqF7qG38IqK%twEieJ{_$)V2B?1I!4Udr$EG(M3ILB4Vc_qUOvl?=CIG*UXWR z)S;ZVnB^8q(=f2kN|N84wJ`9}$dtILK8ly#-3twNDF9^j7DSCe7{gy!UT0Th+2dLS ztNij3(adpu7ptcwp(P=rNqglhE$vCC@{6Zpz1#PM!N~8$fV3v}+B)^KKGz-9P1XKB zyO%O!{jgZ<0c6ql05NB@yqZ?NYOLOnm~UUGYnI=n?_`bZ{O_@oza?vLk3H?!7)LWN z#wU~5dDf-{9+onvgXMVSczn(hIX(#p*b$2lFf|&8IN6Y@KV|JZ6l?gF^ofqDzXX^K zq^E_&+`eJVl>o4UQVot*+I)$*rJ%-kSw?*Wf`D&p(@CRAu`yFhK{;_+^v@Ej)HttP z&}|VZIXz{f|F6rdY7DRx*W+*4Yhq`GCxupP^$EF~YkK{m>${Whq_ad1nNi;Qo1HYU z#Q!u}{V$^{#|Mu`j9YvM;v)QvgcsYiSjz^p%5QzAGG88Vu)!3`7(s_4Hwv|W{J=7C zLrgaPFLA>=hxqAAiNQPP=US-guJ7j%uhxYR_hF++TK_V! z1bfH349C4#ajk>Y?t!_J%Ar2J*;W{grwjFN;Y^KGG;@NQ#06G7sXqDH=) z&`uOG(QS}rwI_%Xw_i*kZ_-@7_={H+>rj6Gvx*6g-t!BhL(87x4!Xy=Lb-Ns)-NqvDK3N zpBcYOmkYt4WXnvz@FHAKUzWd>zK4Iw3YdZ&iyya=*|6TFv-XE|J8E- zcAd)rB!yX$v*Ul?e#sd|N!j20`snXXBP9H?XM1}OLnX$x7-s^&+7e-5#oja1mKe~j zltO+tfyJ+PGdPWg+;J{iOxUf>vS;}@<`ZCJf}@gMnmXaf#{1U;Z~ulT^m3vc78r?w zOTU;r3KDn`@;df7hy(Z!$6RY^HDTXx#4RdRZb@;Fq4-%%^ojd{SEb7#W0k1*-t3t5 zlXl1!0RcAEhw08&GZe*{@ziCnzq?c*2kVr_a%2Sm8)1N}cQ0c0K}~CbsgCW+9`C7I zTn=x=k40VfGwu|l0Z!{os}i^EiQ_uVXZPvG*}YB>q>Sf|h%r}14KK2^M5bS|rML^_ z6e^a}-ocpJSvgE*-SZUS4PnEa{%+FqI|kd}d4qR&YMT6qS_1JSea`n(f0B{Zq#AGW z{_V?0oWf05;yLPJz_3=%8IYs>a5w&eY3ET!Fy9y>?^ULBi=(hQ%U;_)3oNtc-u#_F zPF8)ghELbX8+DULyB(QVDf){z>Fh(qE99iT5m}mK%H|R}ZQ|PsbNC)Isy5lR3H%+Z z&hblD>Fer_YeR(qkHJ~Kan4MMaqfq~`!H&Ruw5^TmS>qI`L(3|I>Ls&j*>_UL~Zwk z0t7eT2B(XSo4x{^ra-Z?ZB~5>R64L#Z6|68Mz*Z%47EwYVI6_=+DXM!2i0+TY-?t$ ztr2DgMF9|wAW86w$8GKdiS+*7=kfbQ4R!?`vVP(W>lX=lxrH=Zat|ASl6PONE7tGU@bS-#Q@v#tpIUL4qNR;X#LUh4Sj>z3 zjL1RF`9_ydZ`cUcUYG)!nkB0P`T9NRC+2OKsn4B7H&2{zb7)w;XBn`5c-;Px&ScNg zJi6hDq1~rp_kQZ~Fy+NRh9Crce>pjIawD^bUPj?Z4DF(f_)n*o@r9mI g(F?|6c%B}<#+YM;UD*I#k93)7SN-<@qrJcX50s7pcK`qY diff --git a/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.cmp_merge.kpt b/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.cmp_merge.kpt deleted file mode 100644 index 615dfa614c005053f44f9a87402b301b2af99a8b..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 229 zcmVuG0001ZoTZV$O2jY_hVOlfA$QxR1y9=^1P|+N5%d_+W_BC4Nwbrw zu;9a+8c;+9!PCI}^L;ZgyK`V=2JqTpCwL-6HCvC07lrmTr4UE!^t{!@AeEyF$|0Rl zz{b8HMZ5S;$WjWpteSX@pWQ=lz4R5F*Y(F zF)%MOGcPhQF*6`jN+4HdVjx9iX>@6CZo3L40000008;G*000000GI**0000000000 z0M_mW000000C)lHy$jH7TXq(76#@wzA}WO-6+ED&6sI@6UVA;lQ*P4|s*$P_0Uz-m z?&I9%(CK{-w{NZ;KqO))C<|grKw=T03Ccqh5CtFU6zLEUMJiN5VnB+QP?W~-P}FE4 ze%}~#%sJ*bIX%U-q%nTl%%pk5>M|zuG?$?4Rh*{VD$a{Wsq{ec9Ik;~=L& z2RRLXKbvOhbL;5b_sQ#T9V-6!T6O;@pSW9e``&}PI!yP+k-r|=dmpWvLtWpWhN;2A zw$;5?Yu;JMs&27o>JnxiTzPdkEQ#ZZVRoJ~h)JL~_-5%;b+n@J^ z-=EuV|M5@{e*fd49<%*<7x?|T?e@p zO?AIN9$VbRb${>eci(^a@b)|JK6?MryZ7FE{E0`0)1%kl<&F37tw&$=`qvyV+Mzz! z19^zcBC>BUi2kBaelkgY;+@kw2b1E)d+(eczlkB=euQOx`_WY06OlNnmpM`9M49}+ z-h21;(F<1y&`~3P17hiBtgEvOb`$9 z@kqEiR(;a|mqz;uBNFLMuphf4K(@VqY${-5F32}n6zsb}0PI+ed`V;@66s8E9C`v6 zMy!X~)O@Fz-~d>csmFF2Tfxro6ONHDFB3c-F*irV+rBRavF1r~YO1E^X;0Ny)y0qk z2sY-}bR(`LB6A_*C^%dCh?WNiv@K)Q-3W#h8A1hPFD2elay& zuZoDxz7^yJ!t03K2DS3%;}iM2p}hUZ&jh~$->M;;TK&8H2|MejM|9au{yYPE{`JZ6 zlX@t!(T5G2SBJwNi^+%VPw7YQw+{U!77Mm|?B@;Xu(ZJ&B2yNQggdtb1j-#ecs9+U zsXh2khXxN}QZ^uv@6YW7zD;*%yzbEeZZqWr0{Q;jcKeI6kd&RKJv7H`em>Lwgt?ve zhw_qb%H=We|M07gJm{uaVGEkdFxJqddZ-4&p&4$V8iX7A%-h^+5zhm62pOOEs=>A; z+EoKp>~32%`0RIm2Pf6w*yDj~fPI2KvQ^*j>*ENMm1sJ(1ajb%{$#2_5InX} z9z?LMp-kk0f;}c!*A*}lBeq?m)^ZwAR0Es3nZ^L*O&fqOPAzW?8*!{!Ams7>5yu+P zEDDNh5CoyM9APa(D>+VGc`~9JnBcLa4$(lc3ifw8Mo|rd;5fEA;&hzgEP&zXE|01P zLGlQom$|2@9mGWRlC*}x4EM)os8!R!R!nok(MRVN% zm7fBtmBZ~E$`hIv-(s}!zb=&LzmJFSG(FlXeYj*n}pbV10x;t&u0V z!R3dQ@c>)#S3r5bxxs)G8$Uc3JCMJ)HvYCH+JXFTfc(v``}4o`Bgw{}I(_;S$aRYk zcryu__EiIWlK32c3P5gxcvIA3~78X;W7n@EZt;JCu&v z?5xE>83Zvi5x=|N4^7Z>(pd|T+uXz0!GSKP-F4+@i;ZuRecv-KKy{-E&UrVcSZH>b zE#m<^%=$Q}s^cW(eQc6dUBedv$)+93GY7V%YZPbin*r)ke(LfTkH=ZdW#{Zoud18P zek*E(fO;_NpBzuY>p%DYFQ^m+^vkA*h2X&n!2{WT#&H0zP{0x1=eGH}en8_JPfv;* z^fd0hLQq5wu!9F=8sFX%4+Y~YKvxt_^V7L-jWJLA+&eSqT*x93V$OSoV!`@%`URiY zV^Szan)^^^8POnn0-1>WI> zD2ON&!Ap04Ivxj{I)fVn;vrNh!cWj3MWHas5ekn=)(yNzg=DrsRX@t?Q-d`xCH)GG zL&xJ}zyxuSO!7)4#k?0!TGd3lpow%rGg*jUhz0`{gS#e`L==yv{KNV9MX~3Y@*ZS- zXh!kSLQaIvI}3!Qfs#Treli>h$8gaL^L*GR9O{VVk52zkeZ=b@r9+}nIN%X^wh9M4 zCSJp$!ZBnD6K@o+aEPrCQzxh;_~;4r6FiOnghd5OsT$b9D_po>ep6#LQDLF_MW^Vs zsSDv@*|m@tR}0y;M7tJpH(JQ>^ZvwxF~uh|NzdXFA!QHdI->ll3eYU)qnNV!h;vb} z?bL8Sb_`VHg0!1W5YTl5c)_XOjREG;h}1%&;BjokWdH!5O-+z9LBl9%BSX_mc*mqunYON2b%!DG%W2ytch-ctu5aJ-$< z832h_`79)C<@M4fpO2p)W3kZd;-qLhlAb|K0!M7k~VBBtu>oV+Ntf zyptSqq~IazJjVzRp~rEUG{oPu40dN&>mhWgJNTx>NG71=f*wLAxbGE0VeqSuI@~ZY zDKiMgkZPZIO_mT(uY`wCr0UT`CVC?mubA3H=n<&^ii}+q9O-H53_<~i3XE{~tKpdh zGYIY57D;~swtZiowixnZM+5{yM&YrWs}Az6Op*gb{LmeyfjNDd?jR^*lJQzj$BL$O zF6o!2r)DE#j@3*b5kua_?57qr0CGlM$gBuI(d73FG&b#|*S)Q?LIf@lQn->z0*$3t z$4J*6b43G^eu)MoY1r&YSM;~hMU{jQE_#5f7Ee^QxWeQ&g@S{eDpgFPnv#@>btOf1 zUQBk7V_UHJIc-uzWdGhQdmYWTl2lMvf|^p#)s+(cHJ#9@D-vOF+DDl$NVvx>=yDc# zKjk}kb%hqTFIHg4B2#xRga~M{`(g!#EUvNG8_ADM`dI@HLRPE*f&BOvO#Gy-=;cWk zf30~w*INAH(b?6NU0u0ZJqgvMm$_Ks&;63W@;!h1)+~M)`V7A_(J(K0BP3;bI85f# zA5o0paYl<33=T(R?W_O&h)TXfFhfH~LT7+WzXNO+f*BZ2i06wS+zuredMrG)%?JgE zdd+~;hx{15x-vjAjCceh=YpBKvg($|)Rk4gM5eAl?>X<7$kY}1{Z~8_etB-mGa-n( zNewXQx6g#Mg1}GVrI2Ij;D3-W$HGOC%_mba6Ee-d^ete&dH3hoKl427wFNW^kN(ZS{OFTkoT?EdkX_~+3^fu% zBtt*|mf)5`&_1dS>)s;e?Nnw65L{xYx<$}k;|H2T?*rw0F__@7sc8x$i=pm^DKA1+;pM@VF}gCqceUOmIYqUQ!7Fgot6}CqwKv!Rgq+l|dgsr8ScNtcm?5I3mUo z1Q}M(N6c~#Q1J=9ghST-dZA)}L~*D{A|-Pc%lA14BWgLNuw#NxL(TPc;#r~MFim7F z;Os_kV3L~*djm>hg^BZXAlUyL0%Oi*zy3ivU3ySXPwYO% zw{X9o>_Iu%gL0ZbD5v9l(U*j~@c%4t~O}J9NHmxvLKyo`rP%Adv6R?R5NIf9Sj$vTF}rcK#5^ z_s`G2!}0n|?e2K!vcw|@P5nsE~@(9Wk7 zJ;231;%yW2jF|k4$)i_NFTQPNxzKMte*5j5t0a{_v&1TbNfb14ic4~lAZ5;ufh00t z#wX&L;4C?yJ-O%xPWEsS^^C87#J{HMXnG_|~^ zOt9{tOz{|@Uj+jojwp&+5FC%BAfvJ})O4e+)Iw_@nc3ELQMU|rRunZG69vwqRAKT^ z5tfcJtAz%GTL`|wJj<`pMOBF=0eH*gBlzZ`qtL4&{4PR8A`|}xJxR0@`5s+_ONvVR zo5-#zLONSY6i)l5Z#v4^u%Nw0aIx{nWjk#`9+S6p{3K(9SsUH|Rfe zr1K?m=&xU){-h;Z1A6{uOMka?XMgoie`ou(FEEZDo4!E`72&xu_e=y+kK^zis*eCv zCB``x1v4C9gJ+N9h;edi;CKxNmO_QN8IA{qh(Sp>T#F$y97iQN8RjV2Ord%woX*YA z*~7AcWT@Kle>b>ZPTxrYn!X8?UdS-k*%Bn%jO8eEKu!|eXP#i}p&7{PJqN&+3v8if z6*4lEGgDP^usoLMrfOLfL|q`W?WG9D@6TH%nc7-Jlw1{16|PDUhSM#hH)T~#<|+`c zoSR>^)BNIJcAMfDFk_gS4l0&hQL(t=B1?(;bvyOwwii9^r04OX=e#?=n7(2XO6^#@ z;_tX^ez7nAxxeoV9w#hLiKBG-=@veFP**WxfIv`|Dm)|EZfdPQ9ePP`jmgIpdGCSt(8B@Dl`SQ=1?H5Sq<{1d~8!ngof(CK%G0$;J&{l43-@MokdJqYSCY z$z<6)JVs)%3BpoF;w|71F~?9Ko2KTAH43&p*g+}Cve#m2m(2r5AUp#Sr$a#$vb6Kl zcG*1QuVkE#4BxF(5vL1u5sM8{pIp!UDcBl} zuF_|S{Tl>V*)zoc4T7sg7h?a~hzPNXp$u!rOmF=OD4u1}tTSSWA3Kb>&WMp^(G1{E zm2`)8s>?KjB8#SBl@={Dj|IjA>`9 zitX(E-nRtn^B|*uX34$YNI;F}5L1*OC(UMGp zl3-*bA~970zNinG5rFa)YR8CIs}`~LM^>@qBZ?m~jEG!RR^ot#vqyNk5G0t}hyzkc z!&y&~-|LT2Jd-x!)PTekBck(`P=$Fx0rNN{$hIXX5T{?Oshv(+3}}<=`y=b1;mih? zxB3H|B*8pNBFR!O>J4d-Z#+I?BWxXjyO@QAvHyDV-a(gx|7>GOU zKS$UPudfSBw8Q@PCAy|%*Vq2SZ~v9wpPEx@oauZn8pyR*bJ37JFWz3ZDheHd{QN}7=?7DY~9BZ-uVgL8AC|V(i%cy2p+!d5c$6(!cjS zuDj_h`m&q8U-*@O?+gB+OQtUzUf^mb5TUO`X|ZL-6?hk=E)qQvW(J!#ePMQzSQ_>x zEZcHKZ~B55NeLd|-(R<}2OQQ=4aBnq-4 zW^POurtc)kkIol?j>?YlCSWO;>9~@Y5R89I*d=&aR#jT57z@Rc=+ZZx@C-)+XOi)c z7by~C?0}i(Yb-zE6viXvYklBqM+TO63e&K6KGvBR8750soF!h9|)KFt1l8%+vJB7EI z9=mn8-SoJ1r|`BV+O5OwOLUEO_}PE(@B9;&Go00+Vy-XzpM^n{S1OGfZDhlxHR}+T z8R?TTA~*&@&2qHmGV2hHp%K4?iH4G$GAB5*4pD&wFDj9-15V}krne4}*u;dcWqZ?G zhZ7Q<^%&7~x;z<)@CJJmp+BAaV%J7wUj+h0oPT*XVkiU~`Tl^SCv_jULujI8=JQ3g z6{L&NSlMdM24qXz6%{XKmPcxyK50^aT!Rkxo} z<*Zb3?L;ee&v1VH-s6{$vnae`c2Dhd$+r5gzy6*7@V6x^^<1_Uieng{1?5f%Rfe#7 z2+)Qt*mEFSq2N@5nneLI<{io7Yza!J1y-A~YI=gwInE-a5~1}Fk+TNPN+Bp%97c?c zz{d*ESO^Lh2SLy^lVSxUHjQLB`>6$sgWxK;(Q>xc0E(sJtcz@`6&fVP;yC#l4^ozG z^-MU61W+Tsdr0MXh1(}F|4FtN0<;NS1%C4yWBJqb56~{){2rja{Q&LtcJ;PQp53nA zZnWLHUA=9IcDs7}5?y0g|M=hb}o38GjB1}H~FYarDQ($vIq6GZqH6}A#11qWO) zHL*Mjq9L?e>K!`!6@tX_C};`M=o=8qeACp#auWne86EP-NM2}5Bv>9Lr;5BD@Dj9e zClzxGmYXEV)R2uOl5oyvk~g!f1zzW|-x8G#nJp!%K;L!V|0FEmY;2kOb`0whXl)yg z?A@@weZ%^Czzz5B1P`JF-W_fHC4SlUC-)M%yIKheGS2(uc0bvz<21TD5`}{%L_x zJDZCzYETIN+>~J~yt@Q6dqqLC+4^91cLN{Lr+I?v#5_F`y5w;ai8+Ub1sks^q3GgN<_Jm=k4Yl>Lq1%LS>Z^_ zG2K0E48M>BHSH`Q;%?#ts@Kr4N)$J1zhQSA&dA-x2b?fHWK;&3S?JGb`@MPc_a>=s z<=#BSdlTO-+?zL{x;=YKWqRK&+?#Khf>%aV?mZ}}SJ-SR%UqaIrwCD5s()iSS(dqg zc1v02fo!Kx|BOfX+J4N!yX)o`np&TEz`Mg|9&kI&4;o#Rzq$RvoSJ1WKp@*+w$uJX z1)Vlqu~c!TjOi_5!Q#05Xm7HSpNtYJs#jZju8S zi$!`y{yN{pOfhQ&1ifxEt0;)Hv5{#sRR~gxw&!EcWO_+14mhVg(fyRQ_>Il6eqk_P2i1fBPfePt88n zooMBPDagdGb!UQ1qL5gmr)FX!!&VEeHheV7#g6FxIUkKe0wfFUs5+3!Cl~b5DA&BB z6S7+$Wb)CdsX^zA;1d(ykQWqPB%I7@^A1r(g5YZNjw~95!ah~zm%4Xk(I~J4gl-oL z?K_miHpZ=*&={av=-=U22LuiwgQbRRLRBUm1a3p$5aK=$4~joj(4|fvlDT8EPlFQu zP7P8}%Q5`|jZ*hW48fO>XcK1NtgtzCZJ{rLc*+9Hu6##@A+;!eU!n7ppUWti{Bd56 zA%7m{IwAjVb&>KtV;<7M<_tnQn*BW(6sD_%Pr#;C(v?iQP{Gz21z580l@w%;UQqH1 zkd_RPq%%-yq9y?Wneh`!uK};~@j*}Xwt8T(qRN&p896Rep zUFBsV-_^HJQYW$#HyK2bXlC)j4fKM2BE=3{Lo;om4E`#>Y`_=#R&K{*Ph5E@<| zh+atu4V-&{$vzwv1XBnNuR*Z!i`!<2OONj*I~l~{=-(lgULEpmZNzJUP+%s{_%xS+ z{Ir@3vhISAUj>|=Uyc5B7@f5a-3Wyi4tYeaa&P`JfQ6TNW{wL9QZAoCLz!?Q%(8yI z!n;wj+dOSu297sFYB1?$*1bTPKmHura$kpD90R%s*aVc_G#cez8H+E*9lN%NI_@YM zb!mzC^53z`NDCw8z5Dp|u{U0S^WzU=9;mP%Z{aqqD>i`n`cDmC`Ke3BGb~~f-Y}ID zftSKU^5z*D<*|;CiIWe2 zYxMUk%yDl#!#xjnA32#$sA>E$dgGaGabS*10;_le@TN*eDSeXWg+Tj9W&MRNFwBxZ zCDsy*(pA>J%y?cWg+1jdh_yC?isyJQXAd@*PBcD8n|g6QRmDhQ)R zH&H=$^ZE4F!xx*ociR%}D#&&%@tTB~pZcNi{Fnd5l!2;cw&uSNMYnM0irX1yy3S`d`~+i{7?%V)MH!IW2O8NVNEO5cV^#`T@YO$@1c`7ac!X0? z%x91vAlD|JTHJa@&ex+2utWqF$PvUU3WCTb2gRBsR5Xl)e-zL*N!k60Zf?kdtLAHY@`pM;#&qh7lZApnBp!e)3h0LQ=ej=+B zR&-|7O7A!aqBE-;X0H#D)9lZJ|d(FYD&p_;g8Lb}m1cG#! z;}&%eZz`l71i76+5LyB{?zsKoHO_+Ml11S6&x7Qmo2KFP@)(H1VXf|Yb6Df*T7Mb@ z$sr7d<9aJU+}p`9xLf(sI?iIwIr=^qdi-rmv_tIOK3D8}m2Xa6Wz>y=<_>_H7?qnur6fp*HNnKut922Xp!ZPsAT$y{L?j)Fo%x7- zKiY_W1u9)4=K!XIa%%6P9{WyN{RXhs`a+blCCyNEnsbU)ZQvKmrzXVO)EJ13Rx$N3 z1$`l%wLq*%!W~aArlhrGb&`BtMoH)>;gYw3UBXHF@Il`o{gKWHWbbBWFgzc5$=aA8 z{0*c}EocLa6Os_QNe&=9gsOxw(J@GKFWu~ZeU9UVzyZ|@CUll#`UOJ5C2Dv@k~&U) zIi(ky%^v&#xJuOkr%@qEEyyI1J^`IZq75LbDkc3IVRkYvV$8;JFVh)`Jr~E+qzpzd z$}u;oL89l>TDz)B%x!rZMD+;@k$hi`>x)F@J$Np@hB8c#DEbB0fpF+1HG|HHOie=I zJy%n5H3_CW;^=OF_#QGfNo;$srsUBE=2A|6|KYk^O5{EwkHF18F`3e-SrEvMU$&E8 z6!f48c0$L^)Vj<}v*YXwjocvet&78B0jc zaJHXWKolX-(So>6;pClA^Q_ z!3#;R2!(ctH2y}U7Z#FU5dv$L7NJ1-3^{mVx>6B>$)U}eP(lo9Exrf4tD?I902Y5_D)4_CLxQ&J-dk!}y@Lj8{RFC$M?cr{&6 z$ab{98?^s-zUEhc)6Q+d8l=~#<0Q1N$x;~#jCXE}H%}Uccu}}57SiT-Zj0;E{>3Af ztZi|7CG#4aH;Oap+uT)c?Qw<|EzYoQiFUxf8^HaZf9lI$*+cmnlMjGvarZrx&l0wI zIhvTQW-%{)7Iy8~&0y1-ExQ1sdnkVowgknmpJTvG16N&z^RTkThEs0R>YiAA$`!_o`r(xw_{z zEh6u7j2%kjoWqxb$%BovMx0n&-KbY!rUO`1(pkGuK8Y_uAtZCR-37iz1nBj2z*niM zdN$_Gyv^d|{ha4(3@{8|KmU6T(EJfc63 zVj0N!N~%4nzcS85tLZ}TK15LSsp&+v5m6w=Zh(aFDnwXq>Wi31b4?(wOrk1nKn->( z4T}AC)(AZN14mhJ)2{O*UX-PwbdSQ>@p z)V^p7$wY*B7M7uXo}glyT9QlctWo`?g2$s2nEMJenxQr|bOtiTa>P2=t7*inXe*M( zpsB*FR!vCP;+SiFceOUhu8?I_WAc!H?Pm9@gNC~x++|L8J?{=IR$7`JC312`O&@w2 zx-ql$Iika07_-)#Zj-#IItWsi$yxHpWpi1PJ=Y)v$tz8olJB04xqyE8-8|Q_k)>xv zP39OfvMBPTUrNu?kt``ohqA3=QjY4%yUlR1PuS0;IV|R_Ge^rpF{W61Dl(=WWhu*- z?AM|^oc3!*P@_rA$zYf5WPg+TEK8Zbwmck_by>|PNLcAdQ2d?`+ZY^W6@YPX!{xTI1$KEM2IRCcS=dO*wF zvR*2AxA9Kvz|tc{P^haaQ^TEi8TB$9nIfrZ~^NTaCC=VybRADx9+SOsrGd4WW& z(4~?}Vk!$zYS8q-`@ySw7lAz@Y3UOXM0Hi><_EA(`909LBpeldUBUGvnZC(BU`N#% z3k;9PH-sP3e#JK(CWChF>~gLbd<0Tj?)=MAN$vri3Y7P6m!;y!63c3!N)14^9Uuc} zJfH#~uPYTVxmi&vevLyL`T^3Q(+N{SxkjN-)idQ>%jL10>j#J@K;5_=qr;87WAt7_ z^@$rL3B2qM@t^u%{mM`Ov4qh|o@1uJF`WgLHQ_{?HT4yTcpwl9^+^zH(6&XL&5=A! z9O5R3>Iors0n?j=Vm%!jAN@GBn!xm&qgEOtdO>lBhY>^XBzAvU@BTYlw_J=^)9c_z z6o+^`#t?x<&h@ORFEQGV5v`83j(u9aKzIIt`Ei2@DqF}g3%@kq|jD6 zXt->xj^dRRj5f(}fWE`n0;1bst`i4;lJu*C0(J!PIeSw<`|y%CKy!oZh@&WNj^CGx zrxL0PpsrO#7osx#;*tT zaM$mE{^A1qwk6t)?z=I%fAaT!*RT0j2j~(8E+gFZ{c3U**iO{~v{)QlZvS8~DcSqkwK> zwjBcc7!!WRv5dnjpa;nw#n8gKa_s8h%eTY42-9NeUht+HaEojK)B>BiCtdz@eVwz- zl2n3VX4?-5d*z!e}REqUq~00Xb1AU0rH>tyT0_BzApi}hBC}D4}lH52)hbSU!W-% zMAV=RKb1lG5ws@a6bBz%8EYqy+lXV=FqRANIWnIWP1A^c4VWNMN&yWlAE9kX63l|~ zKwY62fjJ#igCyvK@}t2n1i_?6AWwomD39?-Vb56moIlnF<-w)`)-*Yp38@D8hITk&FUefROL)4Ys;%1UUQs$T&D@eT2UbRhdH^_NaR^inpS2mVmYl(5o63!K9h%xL0y z6L>+BphuRmn?O>w3HZkb5X5jC8ir&Lq(xz2d{&RaZ%#q9G%J(rGT`sf9ZdycqZcE3 z;2%1)J<+L2e4Zm}2K-=N3uiqAyF8tB4*VT23pFUw74ji7;71Ic6l8?+Aq3dnm_Ah! zaAiMg0p7p)C=qwSDUT-Q2lBjb04aYOleCoebEbS?)8S}X#tRL z*se7^r(R%Vx~^%xmDrsL)x);}4FVB}8sUv>88@N*!zWKP3V<)Qgyjuz`AN{l4=!Mj zts)YT;8mqhN{s&S$;k!ou@x+Re)CPzq5^;)X5o8(3|gZXjwp0dF(=8C>3GGhP6^~lJ3SnfajNPJ7j?%7p2Ss5wd)neK3W0 zf*&itwUC+XZtMe6Z?x4g8XSUkFn^Z_=edm;+7zHa9Kw5LUW-%?M9Ch>G*n|J^eHHt z5lXvulSB&#B);$wz$sEE%;Vf~0PT z{yGNzRygw0{$TXyy_{Ta5XgLUvYoO^+T#Itirc?A@E&vf^M-N0J?tRq&4IGNMLLSl zo^88Bo0X$LAm3kAV54&g^(MC2j)&GaH0W?TS;=h6XV)E0*Bm?n?KT zHcE(77K|8=Pw&H)G48C#r_^tFt-*qL$Lwse;r8NzGQHM~>XL0e|3s9G17(78B60$o zSB2KbmQ`7niP(sGwThQSM~2H>_;p>*&w>aIMq&-qnhkLfS@VZY|5?cPas-$4bG z_f~M`?cT}VI|=&fWUt7#!ZZm=7vS8`ZTC*zW?XXjPVU~x@ML9fS<}ym%+JGt?34*p zC6eBf9qC*ZaoU7_eF3AEKlTs*&F}t$DP3u~*cUlUY-fmIB$9hI%O+Il1@EL4p29sx zA`c3(7cz~rBuLZ61c!E{?T=d49^VQ<+IS{7As{GaG6OQ4NN8qrizmtm8jA>d-F+^_uVs(oo&wUhId!!3-p$!J2qEBUN>&Y*jx!2 zSh(k-WuIAz=j?VcfQ5(Q%nR3`ftK3yfCkR{c^_NcwnT)#=dnZz*%MyDIDoKPQG>`Dxp@QWII%q_%5D8o$(B$(SlK;U5 zJ!sS7Bbxe^r90x{w-Hqk88xU#3c-LlHPPEf95nHV#Xxmv_ZWTxC`)Y7Myx6p1K}9) zt_gwCIHGtTgCLT90$Fy2`XY^jL_rC`1VNrHNiDOa{C!S5d6_W*QBL|1aLixqPvj2_W1yMDOc+vq7*H}VI5q$P3z6+-G-dgE8 z){eWOp$<>n4!3)4&(6B>@f0YLEHFCJ-}0YDpT+5sk+x zjVK{L^Np`Db9yKGDl;eH)-DfHH+YOV>#-Zlq~R>ZH{?XoIo%?wh8BG~$UMdOIQAHm zlAt9kC9@RYsR4n9NLGEB1YM-~vRyJIm#8_jC zU>796SkjY3&t&)NyeQ_CFQnn{Gud~Uj6cd3a%?Us7wK=@Z`~H(^L%eH&zGd6dxg*A z_g=UK||ZE?Yqh3{nQ`&z?ZcylUHoNnYFDHk-)baAe)(fB}WH%r-HQ|*q4*Z zn_1havH@mc#I~EYg7DTh7$kwH6kKRm;jQiCSha92(=11lUU7`x+Mdu`0v0dXFQ!o7 znvOBEwxMuf>PF1+l9?p8wp$(XqP2|*n^xvV6)w|_bGuv;9?gPp26!RlDCa(bf}^8D zaWqf7?F-(Ii%?Cp%ky)?Ulg|SQ}Ud9sGR5M>V7B3*MV$X8^t{52|tT(VeiH4T+SPg zYLWXo4P~R8LGOUs!Boe^*4UhVLO~T}$t*@xPKCT@aHAvHJYOq!Gan$xdMJ2X+7>!n zA?fbs!x0JEP{1e&O|X=7xAOu19Wt*#60|t8JH_442Oh6_a8Qm_KAg3?p%23-rQNvm zk)&Qa&1!c?BY_xY?fQ7)A{7slrts>0dgwvnuJxVR8)0y!Nc*E%xJLVftti~zd%#T-4x2XA!moVIMt=SW znhm^X;68kGdh21HOS{sqZqLA#FzM%e2JTPzl3(z4mG}WPaB9|rZn4E{N3K_>@Zfq? zpI7(^DgvE{Q4lP1s&$~lt53gK`^@IC|os$*GRZnO%m};mUZDGVa)t$Uew>rEg z@^}3kzv*J!v8{=X$h~c>~k(NM!3_@ggIJDzaA?*RScCI)R=q8*^`2B3fUr~jY- z@h>KT4%!mT;bcC-jJ*ApdDWmsE@(txZzhv{-ZGEvdH|;b8tr;}l!D2&M?P*hu*Y13 zViGi{DMn1TJp`MY1w1H@!D*EvCfgoojjUM#XwVJ@syw|soI2U|a5D~|@D+%}%;kvA zwuhv40S4?&bx7h#LuOSpJ?I8C-DgDFQE@t16;1Sh3{`{JXnrXr))l5;a8;>LAep&n zZq!`QL#KTgqqyMp5ipHOy~#3mtUj|k8wFrrT9d%p=HjQ$SHw(Y=j*e4R*dhx6VEMn z;z7lYIPYS`4X&>9aCtDyfka}C_+pz=|o_BG3F_~!ZR*!;A z`tu_LR-j#qH>ZTtBg<1kg!THT-akYls@Ff23N`M%d-~WLA5U~v?>@%%>u>r}4iZBJ zF&kn*YR+Y~T%}BuwU^ZeooNT;y8+~H{-3_;8~OyusvVVKbd;m1H9mOr|#lA$m?Qncfhbz;!|JJhp)5;_^7t8su0~wf-f`@84G25_SxX zQ0M69O;PZl$*H~mjr&|6ni5m8{zM?&&S;o=D3-jV5benC6V&s#`}vR>O$)ugzoQV-qKC%D3{NM< z+)&d(_7qA=Jy-S|=QS-Ty}_N&`?;MxpIJ@I2=+Mf`Wz9C%Fk%qoqyw+7P(zBGI#w; zcIR)aYzaHsoqvW)-rw=|ef@qlNAEv+ci|$Yt4$Z%{A!yPeMxS0RXFs{DPO6> zmflg);e5{z|GtlWMRL8VN?@+Hpddgi842cay)BT+IeiPRrBU1KELE>F7G@)ku9AV^lFvIFg-W?XTZVHz6NIYY4OtzD0n*TR|SEeCjE zG<9~V%-v~P$cXLfnS`#>Ac-f_-Jz2?P3u1Dd_x241{WZcyr}C?0ic=@`sB#hIn9iz z73C+zq1VVqtskCHPX1nox{~ryd@Bd{+lA=aP42U%$?v|myYRhPy=jPa;o*b1QQu>v z3!XWPbZzB!4G(F0Vefvql^W75PM=#3Y;9X2LgUWuYC*-QMJz-Wsn%_YJ=TJ*|H$`! z`44>Mi*BoLB%B#2FGNbp)YRrBh^_MkU3Ci$-cV7Y5CnSA1O;7f z#I8p52#>L8B%Reyt>|h8iMN?@bAWZGoLjkFgXE}nHW(8w2PWNSV={(eR|rlCGJ~*J zC#!nJi=uLiyJ3qJ2}nXS--#+EW>Z6y0{Out0ojL-}Y!OWnfrQ^)j2#zE5p4GMS zAO*>bdW|SD8d8+6O)5=UpwAN#l&r|7i!#b~aKAi3ohrAJzEfx+^5demBfmICd)XcX z!~K|Cq+a8(@5Ll%Hw(>&&mNv;+;s9;0gP(~?NSg>!Py7#c!&BRT*+lpUB^W?>p3Wn z6+ajlg4*|tQxDs(qFKA--!KlRl= zD^X`GC*3kiriu$Y&kBZyG*aLl9SxRLIx2q!vvWaDUkLi7TZHNW#(bn}R&Npj#Xvg0 zmD7km>6V&6m>TSVg&>hOPThgakN8Q_iM$L{sWVJ)wI>~M)&!>!b*(ZYv<=1Cbd2(* z3>m~Aq$l;6Og*XMkOV~)vLOQ(KTmrK1ujl9OS%t=6V(=0-y*77O8VvLkz_4eVBfSMSIZrzUmukG)>;NsvuepNzd-PkAyXPk62GWIV`E-aKB7XQ zl}QBfGfb;bg32Kxa6;Ig$&VrE?-dXEL+QzoKbrbcC=v@k44E#Zf64b5&DUvx0YoMl zO)cS}u19l<#AZ!YcG`gCE_oLL;66*eMkX`7a4wTstbC_FaNDU5@L^;=1(^Ntqq+V0 zO#2B%FHPzP)E&=nN_8g7v(;`Z!(a?giF2%uc=!KxA?ArytoR;wk6sXkh@VpzUPzw`S1TB7n3LO+`(S}%!X&a z%ntC$6Gt$gE4X)6pyLS9J7_ARfM}Wm91kr5Asn%$(_bHMgmMwc)w(51ozu2u37In784Z0GV_7r(HPIxqnG&{aXM=bMBX)C^4!I-b&SqBL`EWSvi=} z5~PGHFyk{=6J02m_pmrCP?DCiem>q8e*YZS0839*phUL=Rct!r5AD5=(k+p(sF!+x zY$sS(FIPlay*dVq53q^IiL=V-=}Jis?pIJzy6t@`Mn7g)kR`fd2pEU1weC- z{%Lk@_1@gv(T_yJM08nt+eKrKzVS*;w4OftHX?`lJk zcTVrT_s;3@o4Ag0QG`W=opci;$=+Ajldo{k32IwLjr9>@(#|VBb1j8D8YD zKZ2P4-U|EaT@L4PifF;m?I-n;G5`Z+C{Tgs1)fyc7KV za%aT(=`i>HY#8EKF?)!m>=0Bgk0B_^0hGtXAQM+Nl>@L8<3_w4J7}`URK{-gqSXg% zTcX{8yxpB~jjjCMfAY_NY=Z+Cj7{o5u0s!XbEPV1hAoz#G1N^@a~E1LCBfW*yh6tz z5z^qrU8Un7Xxh}PbR2vUnji`Z&og3V4&H=y{fKm9j+@E=cUU8F)Y2`ibyVkBRefF-+RDOEN_`KeR- zUJtTwOuo{QEafU46vtUlzJjgkbD1=V>ut}%cGc`GXRIQ53C65To?>R8GggI9Dp{AP zqRNxEkb#t0=fV}`_rK-jEuqa!$Is-g%-EO43x58>*jESgg!oVg53-o@j>ZzHUDl_8 zy_!)zM@!#qXZI`J&DrMeZr{~<_3S0L>mqZAnwo9GENsuUHf}pn{!3{{^`wqF?#MLCocZdlNb*EX# z1LM(!Am1(~h+Jv7dO0G}mNm+pM¥3RdWfK%gGLDyWcdf}5Sg;CWP&7+1`Mmk1zLX1VGq&0`~7k9!(?4;SeG)k*W$LEkx)D?;5V3aX0&Zj_O& zx$-p@-N_`UZe+s>u3evTZu9;U-6=}KC4L;AW&ZoeT;c0-)jZU~Sh-pUPeffrGhIwNFEw?X=*Qm6Apa4Q^% zvnYUg^_^lfVG`1 ze*fb9v4aoWw9tG5>u1QLjKs+395y-or)tSOY0L9!iN$86B(bWk) zz`fY|Ufk3rTU7kSM1D$Oa*N{dcek18nvr}kCWxh+1*&AxpBM#AN4X>y2V6=m$lOV~ zfpovw9Orh8c?qgDVpoZu#3_r|3JJHfZl`#RQn^4uG#pcIgmf}abwc@oFjPShlI7*`suLQi9)p@Q zj3FH(4e3=Uyj!7$iIO#rp~;z(bgGjOGDuSCP`4reYy3EOsFeVR9?fD(MP6RiY0SD3#SJ$J(meA85?@1<)Ld1LY0MDr zjIt#{lPYl`4i&C_IcBy-;7^c@(IrXo1befii&Wh(>jNAy}OC;eaA2Pf4}u3DZZzRQ7T%Y<@jy7?m&bDJp#oS@c)#k>w&z7*GHjr z0C_|KdtT8(I;Zx5S@Q9DMXN`71OQ)7W;V4)al{F!Z7g?W&p^Cq-*Ud6nrU{7xuOLX zLx)`G-JUC2BbvX80<+Y--78wCWMw}*swH*cj0%qwy;)w-LK-V)$6FRzwKJuNrnadN z>=Pn!NR+J3lu_|QM9DReX|8D9g3I(QVU(#O_Nm&y3TuO?0-JlitK#5;fi_Y3sS|ri z+4tJ8;avOBFriZ>03NHpuUXg++DPWCx$Cf>ly3PAZCBv&}S124)#4qkAgu6z!E-a9Eh>PB zo*Q@x3i$Vkh2Nqde7`;LJsJh7xbF2SAP*AP!5Xq9iT<{+lmL^->+wB3Y3#&bC3eIK@0~^mi zw0ATssH*jzy3!jcYx+zmmR2($is9RQXW~BYDm%sgz}-c`jXXFRYdP7*j0f_ zaiLg-=xu_lt*VILQE;tQ717%SNx-1VxriC4Q5=54$q>Cw@Hm7F4#T19dHcdOL)R~)U9@k-@<3(qc`t$$x-+J_ul8wJy z6f~i!B)rXV@uMG6Sw}-03Y9G`jRVBYY8I!W7s5~!tYBQoh@hcTLpuo)g-j4e2Rn^J zL7C8dK~N|OQcEzwVH%hT%E%&P<^;ARNG-txQNY8dn-J{LfU*$eTgL<^FzAaxjwW3p zF(i#hEx`m~VKnAl3m?yj3H7|hvc0b?FYmwuY_97o_2;ABYN zhW4K#6#z8Y{KfETlluWh%>rLQ(dJP5EJd}CwEwKY2cCM|D9)0m%g4=M7Wm+JJqpveoyGYjJ;d*y$N5EnOot;e zqm;*p&7E)J@q3S7LR&k$Va{NaWk>9x_qz$b|NNi$=8yU#2}RX!Yqqv-)rgNDk+Dc9 ztEQ|_f-ZHw$4u~uvIn391i@VFoy!zVo^p(+$&ehe$?9+@m^|fpfq}0FKLFy4%T)tb@G(+ zB`5u(kwlIfWK0daI31&)s0|sqVMB7Ps1xLpd?6-DfIQE8Q8I>o$ zxX?Q!TjAP*1>KHWmSKHwt6fao6A+DLQnKZI>I$)eff+Q79%1=CVW>c@j&sts)u*of zIWTdYRxrJsz_!yw>)$Bv3}SI6!T!n}zDh|peB!9g@9G;8| zII$bV+i~L74dQJ}v_tv!CAy{n_E-Ox)9ZWCdqlVng;XBOfvS7ZTVcCPfGj}0RKzK` z{K5vkfjcafb1CtA(0dPhN6)V>vP-pid2;^T-$|`Oq&0^9`N@{7=v`e=}EtlI~%caX3N1zjqZJA4~g8Jjl}jK+0D8|OVHacIqK{t zI3PG?1>Z*QomZ!&aa;+n2i@}x+#982wmLAt5gHI@ItnxWm=_IknyUici_HV&mzAEy zg()mhzZR8F@*+CRY}5IILtQpl=Vm6j9jjEql|x-s0SDKJRlx1$IO5wGVS!|+-OwBd zSCu^Fc6G7k`3H(khW2~JsjlrC7#^kz(6Za^+o9#wZTD?Uw4?v_CA!9T|He0d=lA{T zA9RVl3RUE1^U#wU9$8tNsRq`{_>&tR&c3xW{^W*-;97-va>GNVd5QvY!SM;!IZRA1$K3o)A$c9`LS&0+f{-O~k*D;Pa3!5W1>zaIY zNp47^Nyyq#u|E8&bPkN^+}OgkOzGU*;l+E-&!V!05fW^Bxp_E2Pw&n?cs@SCWsb%$~yxB8cD12Bl10Ff`}Z` zvc=T3lAm}%TDB$#utc&MquaGsIe9@z=MHBbItwwdjy}7^F$xC6Asb14Mv*O=7KJqF zcs82O9k1ksh&poPCh$FLd^-%nz%ktpHYO->xHzaRYNt`N=$s@q50jjlO2hS_E~;i@ zX3NubDF0@FyKYfBF6rFqIJ5OZEttgNr>dXSPvBqP&QwVnXOROm?Y{4 zN=d&&aV^2&gGDWlGh3s!!lW{LhlsqF++@|8ULksm2E?DZzp$UH+--YT>HLBfqiPN0;;; zdaR`w9k3lb1}Bj72n#B|L7V_uh2~L4Q|!WS;J$0YEJNKQK(W^?Jia2Yj#cZTtq6mm z7xeHtjbH^-!A^4Iy-zDh}^*qJ1*wAR*xs2>UV`IXG{AM~b&;z49b@_(tEiUl3V1Y@ebxm}xfNBamD`BdYc?OwyWwFg{`oBee!4DyB3d;muAyotxR0h8~<1{H95Wi^3ge~JmML^(H%iIx(F^qlE z#`wPoglq)<97S%}MJr)-`c^6dI_H8?kmW9<{nIxc$yj$TLw^}6*~G<#i#+X?F> zbmTUvxjubaK)72kK*b(@*$x%A9)8)jL_3;qU!rTmFJJOwf6K4k!!I$kkhvfB@XH>4 zdHucDqrwsul+VNP%iOu4&J1Vk@8Op{{PHG8`$ku9-@WIh-%3sJs4iH~PPx1C-#2i9}qu){0Tejrzg-)Zi4j9hJ134QAmf$A_{5m;u{BB zXA~+jJGBnNg&HKh zA5tonvOnqPa{dPKPFSdcRLKau?9qdlkWpy&H=N#jh#r={+2yn2-v9dF^DEx}1qpAp zuEe4TR#k^APc3CYADmhJojkXps1EcuAf^M}f(Jh&>V+|oq$vKyAUO5|*l#G@CZbDhlN4$-Kh%;bn@ajxMXuXq0B;H0r zWO)E@DQKLN+?8DM_yhaeEA+jdGC1)6$1T) zK|3p-^Vy?zP!TsI6k-d-CNZH9i7_`q*l?#`Fqd|Z{&sWe);;>$mT0$Vw=dB(9{oT2 z!9Vl;fAbQ;f{G3_c!aqLRq+`xs6}g7vkJu-!gfslXO3`v4Ax=-r3_(fB;hG$kAYz? z33`Mb>P`dsFhfIknW`k5f)*7HP8Da65EQi_6Q3~UiQo3$)F2h zA($cTs=iQA1+3@+1y#U`BA_LCL9JQW1pM-V|M13|fPij{UxvPh^WO9U`vk>b3G+gx>-7TOjZQC^vE?Ey59-@?w%n)fdn49s z(o5*7M>40IU##6!XDJ*3$rxr`zppE0TH-Z@62j@1hEq>MvaKb_@Os-iJkvY!zqq9Y z+m>iY{<}f`fB)0}z+d`Tzv$W11fZ5ryyHk(2XPIJ%urGbBb>+|wqR1Xla%TWgH}j- zK_Y(=Y&54GU428jXBv_3+9)V56&T?dFyzrCK_b5iVm@WjM_;>)|Cd~VM1B)or7{rY zH_4%cf1G*@&Sor|?+NmoBuXr_G8BSHyvr|;Aiqgcfsso&)GfLX7Lv3+qa=C_V)irr z_xO4@$zz9xH==K~Q1;3({rU{C_3u)rzI=huMMwM!sC)D*$-JEMx=*v$mG%%ox1-tY zeu+TkOyvx|3e+deuBTrkGzr!+(xI|4iZC5!mz?o9dtEF0@uDQ-4N-E9nL-R%le}GO z3Q=HgDW5=8oi)BD)rCUfLN>CrM>&=*6hu&ql0O)Kg=}0Xm(VfKgfxQx#q_H369t{6 z+9F)QUTxvs>h&zd3&4Zxwk^@FXWfmS z^&=jB^>5r`80vxm;(8AYx+m;0jO2WXaR*|b{Zmv;K8qwS@Oh1|BE&L)GFB9~$1rZQ zv(O&HAoKBbj`eq$D*5e4w3G0^&?PS3oSz`^LE>V5{Zl>!{eqDq?4FG0nI-U{|KJCI z-z&+Jp(z`dB@hxg(Aq}$d@t7+2AiJgdo{>SsPm`vJ(|ik;?%=bm#9cJ%_y8`dqlwo z1#v1^p`pO}8bh?$8#GG*%t!Q%_&h&)GHk@5tt%a|p1@$03ld>XkZl0P?XX1LKr7h< z!Lxi+K8B_N)k8j_crr}V)i<(BQX%QH@=&hGkPN9CdWnnp(-BWb8Z#12&AA(LQiOVF zVfS+x7swm37>O?oaxlil$`iLwzQD^JjHNtF-HVPqnO zY@^^(KXp4LK_=BizES8@hH26-VHomZisTqlB?}S;(}V1@nB?pxp3;v+p*>E?`hTlB?fN%O5#}9Sv!G2&Z7(*Ly z=3G!IATCeFq9B6Qndmb#!D9>T6u}l8KMGAzP_S0FFi?%GMvfT!28J;(qCjmB92*G* zAP=%XjsZa9h=Q~x*p7;ypnnRz8qV3UQ)zkjjr~k_h_*6|is0qf}KW zNFrIYmD$OmfWs788m=N2M^&L92?9-Cbes?_YT%sGpD5{@+wCn`}d zbdb|RFDm)D)Klb-XVOe%j^+FryzUsxhgu`X#P2Mn?v1dC>ctJ?y z1Z)RKcX>XdgP3GU0-76?oQi zk|$b{2^uNI?BKaT=StZI=o?!5&jiervXT_}?wd-BnEciB1ld?llHgBgYdhUYmJOjp z*;YCt`4&_K^JOf=b;{NmqB=NL0aTG$szFK5z~)SBMvg2S-YEB8g+RGOmRo?_1o-4Y zZVLZqd+(zJ*DN;y$rkzkMQ*~=Qc+4hshc0kA$@lIe6W2o2)9#ig!t~MO!`-M3f9^}$5B;%JjiSCJf+*3+T(iu8?A$PYA1&-g+M@SS4Z*^Vo=%HHZWqV}Xc4tYZ_r{q`O&D8B++4SsRfTU>~9 zDO{rADO+3!qDGka_^nv0*e>4-e?Wnz0<~+2kV#%dGl1v3I$y|y)Ct3e9hK~N%+Oqi z%Knt*xeV|-VdQm1Dm!81=V@xj9*=mQsTn{0C;y1w{=o$3nkQp`9iju4*Ss#h~J!v=PxaL8oq7Sljv3^aa?62y9p}<|Gu= z=@@Tr4;wPsr@~fl4+}(9t~xRS)Y)$eYo6`MX5gpJQh;MImX5FvjKSiCcStfBeMTcx z^U4{b@K&IQr88tjTXBYR-)_gyZ#Xo@krm-f@<@xV>HVCbylb zOT*r6QkjcP%E)NcpJ~@uk~4v;nOGT6d5VYeY8QIAvo9D~y9<50k#*}X^leMD+ritH z=o%OLD?jexgRi>;o1i9Qh#b-9ORNmYIuvIz3I;<^H!N=nn@z86p3t2#M5&YDUBf0? zOo{h?fk`QY&2b3c`voQ?51XmI0@$21k29T&xX{Dq{eBIJGggMDfnveFYSG~=KLuyB zLSzoaf{=oXYVX1&EnC58EdVraiqH+r)igqynYDby-({1qzM5yJ2Ojid`JJ%pF z*FkXZ8rREXzUaU;s1SU-2Y{v{3u!t5prt6%0D1$dEJ4;Z%^iTtch!cP+g>~w3MxMF z&gq@^-Z?#HM+vzo(o}(ebtR-uW_#BOs#H?c%InRqfGT@2+f7sc{#`y=mvpJdSAUEOS?A zS6!S7TEe>hE*Krc1Od?HW5qim zzdtJ&UkDNiRdYgB`rI?dLQ&ovszIGXy92iaq6!&|ioTWaP{3fOH$aiQ%1IAODWv1M z`306e42#>|Ir(#!0@Q}uQwITfuS(AoA4Gz2y*v@du?eNdxg_NIMH|!#MJps0ioXKJ z^UbX=9-f~wZ{D{aebwt<6G#Mp(I-Edqyzx0=kxUK?9HBmVD6|YL2@=Ll z5U-@2%~2pXT_1sQyFi~SY>r^PSm9`-o)yoiTjOXX|)3PyFIU1#m%Op{0 zy28;&RVzxaax^lmZIVyJ(MV0^iAHtg>)C<>uPs>@4A}!}5PuVuC>ANo)^)uwWS1=1 zBg3DqQuIHrYQ0>XOOhKUBAyc!U7G)KZ@Sa@btxy+( zn|~x@qdQ=6P`Cq@?W7lhuo2AHX@4+A;vMSuFVwVx;Zcet(r^;mak&`6=QuFsg}E5m z@_JRViGArny*f1`JQGQU%1qo{(E=-#$ZbosD_VD>X#H=$`Cs`{z6GRsA7=g%P!DO*BHSFBe;Cx`plC&Z03tik$l%=& z%4u)AYjtB3Y#Xu}K@k3uvM}HKOO6fPAL<6FX096VFM$(e(&|RMVl;k`j?w!|penL* z4^uC=LA<{N^_QHQt{S%3$WJEomw*sJ0z4P}C8!P65X%wlU0OAm4l?tXAd*HFaC$+S zkR@r7H}irRU>i6;Ia4htxO*PBi-?0rTfJcMo6RW54E814w$CK4qikqjW!}c%1A*3K znF4!S#*5JcQoWZu-oCi07vVK>VTpFUy&Js!hQIKi{<n07}f83Sp8$(ytGwH#IiHFM)X}9Op&7AVR;UT_!dGLuC6D#12)4@;w;!1!7-k z&=)%|@FME8swn8RzVL#7IW6!*%il*+9(W2ae{&&NvU3SsmvgboC2(WzfNf9Y=l&dts*KfztIGY4Fkf+@)k$tf?{y3r}mZl7@Z?|$}o zP7ftgpcxP^MP6cf*<8@Lb~wKqIRCxB?FW9}cU*+?qf`xUfOCLU!2L@J4ea>11^z+ql9z#8X$QY&U^MV;Fz^!MP_9{=WFP|Jq;pn=i)I(eMstA>!)b+zmx&G05v9x2v4I zQuh}^(eS&gAr1#_aKMMK2|&@uZKttnU=uQ#tU)9`4{rOoI-#D6_n`xu#K{_%nw1o@)OBq}qp9*o7t9LjZRZ0{E7{ z^OwJPEJFa6YOmg$n&f>9L{m?&BK`1F7SV?wy8Hs#m>>>qM!+Qr5(8~S^zdPuT?&o? z1Ct zlj`NratH)T(DiWIvsOM^!PkuKrB8v~;Ci>;6F_Q$U2*~lvaUDVDZtjo@VBvKDEBcoMSfv<9012HB75RP#-Z1$N9v}h8 zIRgR0_!+=KAm2X+xRSZ(Y6b!vZ|6$eNMw|i#woRrV<2F%Xaaa8<4Sl-c)E z97XRzmoFAHvcxiEtbnx%Ldm~g!xRT7BZY1BSWCeKp%9d^H^zt;QrHrN;$30JJ2KP) zUy$Be95F#Ca>+aR74>9V@ay|navnurt$0rmim6u%-V=oKg|=4k&KF`hW|Y!REz%5d z-c8=skf$T>N|St=;`E4xY7oyLGE}rJL9+nmGWWmybl&QfpKsuy*I_eg)}gXLC7>=a z+OXO_VFd3iKi3)Y618xUEhc;N(o6OJO)>f$Y1(e)z5r%!c-QP0{ai5m?|%A+efH;l z;FVWEfGGu5ixuTZ?PUiux2*xz;u_V`Q2>T`;&lu7HJDCpVy%?`(^;e#?dg4tI?t&nxMRSRv@S>S(c>3^(b1`E>oy_>s zX)-szrF1Y4yJ>`z`l=s3K}&k#9KQI>@$jbrv-lD9;0gPdzLnGX?Iro8zi}T|3}#qr zpVUp#Em|McfTO#jZJCIeEsw@3^r|2FW@0o4k|0DtR3n#k_wQjsvY=K!!ueL-M1oLO zj|)0Tt~u^Y&@YxZuw;3eBxVOIHt#oax#oAU#wx;b9$RRvGA3L)voYO0d_ac`rL)E} zam-m4ah2Rnd>AJXDCoTj5`*Gy7jh#z&PggH=z?j`Bsr7Zes7-qy?L^G^Azt*e7kUO zp6uQ{*}Zw1-Ku)k}k{c_`z3{g?T_gl%23_Ck;=0Hfa-VM4&rL!?oZL%A8t4K;*7p+aGYVx*fpS zoH?~1kk8L;HzAJK(`vTILz_9Z+QO+N+iCv}krz+L-42H?JAVjd^UJo|AIIyRTJ3b` zGN)F@x1Bp-*-rbH^i9p7gApxpp`#Eqb+mwu-MSO>HCjw;MPblla?^ylDR*kry}Qg^ zmIavJdi?g=Qh5=J9g|8;$5(tjqM&&mF3H6KmvTZmbfmo04Y-bGbDY~X7Km_H)fE4;9}MB7${(t)d5cjXe@!v+1EUX>5N^2#f}`#<~O-`_J`G}sIcPE?bSY_ey% zKqK8VUC3dXdF;gTR+=NG@SRilWT(5$bP2j2z|nFs_Dq*O(GC2c0ObGC{_W4_=||AqJe{tt;RKrIZh zFrAf&gic%VVqEI?Ie{ZmYFN=vyX*`_Bg94=JEnuO*tKSV5Bf#IPtX%2K`(TWI@aWP zq61~2pSIX^K`>oW+QApTw!r1x!*s5w8Q4Lq0WC6ca@GtWrHJHN>I|FbY-; zIm_8&t43~&AMv8|M7?d`aSmV1B^MZ<#Kq_RY5X2Umr`1mTx)0lrsJG4{5>~Ua@;Hp zXCqndXp-6B*Q2EzF69AkGOY$aR#Qm(4nLU(&?ND*WnZD^dUnx695YIi6jW{@IAF_` z>{=h9_s{`1Tj+dJO~}~t3yCq^{d+)y7Mar6EDf4$Dd}$D1Kj7KeLK3Q&Bkg>BvvbB(F5bMf1G1Ruk54(_aQ9`- zF4=a@uF~1pK3iuWoO3{`bo_Ak!TINppJ?Emb=U!~w3u>M`aUcA3e7vm?s9Pb+2)5| z6$e~wMTe~@tlqgO%uT*KQ!<-64b6AA*)2phG^&m>N80YJJJ-r>ch-sL?j>>7{i!X8Fvqsn6vte)zFO{T+EiMs5WzU0T*G2a%ws+=8=Z;Dj-qWwZ0ee&2TaB+iyJYo0 z@vElKzMZgNvtkVULyio*J6*3xeW|rd3tBP7{zyBnSw4VtzAtPAZz*QR2B@x|6n?0o7!K}I5S|wJ)Uuvx~!R050 z-Gx@PNa~}?!!kD%eHRDm)4Y(QJ}{-8l5D<4^tIF3ioSw+>g0fn!%&W&@=HiW_kXwF zLM~FsM9T7zmOyf)wTjMERE61;31)9v9p-~lJ3q{IfUo|)h~MJmO9nMIp$=T1c^+=k z^qyOMo73)o9&htK>{K7@J#t}*h*-~Ki4PJmc?*?S$=2LrP8^$S`fC!W+V@on5eRUYD*rp~AEHTge(}(kfQji(jvEzMbjbf5u zW^7|_hUH+$EEIR=#x`ox&bd^N0WQ55D0tmRBs9xs2t3&kcfTCtA9>y=V5=AK|n<1Ny1b z8-S`U<(EM}$MW%r5$O+~gTBY|2~K-SAp!QyK;JvgF%FquF!cgN=U5)9vO(yAW60Hr z9LsUua*&G%ohrRiO(5;js7S!qu4cC3Zpe^GSdP*?Q8^kkRmo=GiqL|D(ae@D^-){( zj|XXkPx}l2EgzmBMgGu}=+bABTK~p<=PWGV&fY7$Uz`f>9h-M-et5GC z+?U zG;Ad{BayI7x03{(a54i*$`u{aG`tiPY_@~!`y<@=L|ke8na?eDc9cA#GpZbA(}t$% z=_r-4D$I_YQtd9@qt&Wnvq@gWX2O_2aYjpOz$m|jQre|%V7m71>e7;3|1`Vl(xl#M_T6OOMw(281K}JKGZ=wMH zMvckBZGFLt+nwgmky35j675d&?MrkGrP?2O?JIxHWkVaTUSQC&{mf!+Gx}ETXK!eS zv?@BJ$ADGIj`W5$nu(C?oA&bv7j|yMW`=g;`tEB|v4c7wjpz++n7Rs+OUAA@v=MMS zZxwq(d)+8zI;~xwsXA*E!+iFJHsTb>!YAyvQ;{Q97}`*Wf-Aghi>$Y6z?`631hWlI zfKHEr=X@p2*hR#FjsYVNNTNZJW0IJd51L4*|%{`Mm@A15k&6p4DZLApZaE^K((;ZxPbOkQK{{Q_HJnJhW48uPj>-`E9#0=55Mn=e&o-- z7|;f5H$%IE%^)_*0W!npmnnOEHPzc=Gt`Fjtme5Bd^OYCV>2kQ*wS2_;DJ^vsTx`K zINHK6Bb}0CC=+y&es$3OoS|<@qF+n4j5k1WLF(t^E0?)_N_dImq6Zw$@Sv_^xna6} z?g8yRpbhc>$%Ko^)$?@WJvj}@Y2n3l!4_`Sf8&#Xvi^J5a3UN_uQ1Uf_)4J4 zuXxupr#Y-=uU;8srx_5uU}0A##H@WTat4!DVsWgsD%Iut2bNCW5YZs5bQnMj_Xln8n7 z1CsO)Bl=*gCq z2|i`hww)1SXGCxmq^sI(rr+wuPG9=&;q7LIc zalmDpW2Y}Ak({vOl1q+0t-tmq-}5bhF(r>AZ%C>GK)7Uk3!k1WS?u*QWS*E=3!k;l zJSpA;C-_=to)mAo(3vO2n;_VXAZvhLgaprwjDg3P;!QYfZCMAwz70fYdU+_Ad|Gmh z19TneB}nk}Eh?BS zh)<#5-xGO5DZS8LuV|2q62m$p6B1s2$8aFqPIZxnx+y*cN`$+&P0LxKdP(&>o0ALCO*64_w*#UXCdYp)Xts z$E79OJzjU?@%r!o*YBBrN?Ld?JS@jnF46vqa$8vwR?}(fWEI%ZP){TN^V5w zF}S}J@vKMe0RnY<)7z{+ z$a86WYtA-PhcuXDDB=yZ=TS4CS=PavTRzfd3ov#D3GFE2zMo5M+S`_B$I-jN(Z`?t z%o~nJNKL{U(;D?B)HGKzA=WPkgBos2)zhA zLg7(L-pt)_eK8GJ=Wt$Jq8)JW25|q;PyeRhvZq~+WZ)s_Wq`ZJu;5i(S@ zPeEqQNC6>WLQ?trynZ4HEPWFQzoWFv-9;_siFf9rCa3X@${TkqXP={tENI7a-$LM0 zW-N7x&|*`+!l@X26q(jB_iu2A&|_1-`h8yz65j9sEpPs$zmuHM+84~6(4kA%{T``f z$T9<`H|iWUq8WYy{4t;z1+@+mf=v^6gpweQXA^{7s!nLOgr{vd+D{mf#VU3cs#Pn5RMT6zK|N41jPw$l2cQ`oed{FC;=;V(mP3pn@tjZ2N1cYWZkx! zTIVDgZZ^pYgvN5>sIm;LwVb41op|PmiiZ$^ll03ov>+Ok*_+NNfI~;oi-BpuSq1IT zn%v-=pYrP_f4P8sESVHUmp@(WGuIhINLn_|#;23oAT#X@{K$D=q3}JWB5?8XKuur; zk1r+zf4I5Nysvl9d9D{c7ZSm3OGNa19>EPo{Fj#y_ya%tr~R&<^@UgTp?pzmE>@|J zd<1%x`p8G1SE-K({vqarxbh11Q5Jz7z+Wg@6y5yl4-bPtpU8=d5cC#0rDNAY1@U?H zkRZ+Sb`idB2_pqlYC84I2WBLSv)p8TfB)SEfBthb+Cdih8y=#Ri ze+_uQMwTRK9oqHCci+pp0CmT&AfnHaE=jW1Qc{?Xrv(T>Tvx9_xWZk*_LG<5eeTJye`xBNdlhh|bp5(MCm&f|_Ad5|Zwk$SW z-7#gc;YYv81U^_2FhgJ})cw7zZC`E^{{QT~anLMnRu{Hlg0M@3(wGF0md-Lt?_wC-WJKxQ>UoEQvlb~n>gs{S zl|Yba7DPjqR3W9*rYZ$&6iJc9g#3QzJm)#*dAg^&=ic|d_x--@_n(=5=giYR(>?t= z=XZYRoaiUm?{0M|Letw@HrhJf8fQ+?>QdZHZs33VnO}I#+ni7Ev~*NRWBl7DsnVhS z7A#UjDx{-88aj8(c19H39q_C&^kh8n5bc0OL*5mRl4T}a0kR3 zA(4fniE=SnVJKe*Lm{z$x7!p055=;OuWzUd1pIfKwisl%xicHl=eWI;b?1Lyh|mtm zGeP|m!Dl1$MkxF>9e;b$@#k3Ah|W(VL|pZ6xpTtYDOw@-W+3-}f8$5}%Fj6DDy33W z_^AIPnazpXHn+yP-$)Ygcj$&sfW-VCbO|nb^vDtSh&;8;J_5^{M~@W#ezyhUFnfR% zHS+XbM+zTZgE(*x$JieSggYTOz3ndg6O!@<;f_PlsMl8{EI7i_h zn_VxWcx_c!a{UE`e>m<{XWyfCD^15s)Od>GZRb2V-T4+Ifj9DkdLji|@Z!a}kUi6;EglfwukI9_3|3#s=|TE+&{9!)y6R&B@C@O;RTt zqf&O;ojua>VPUBYnW|1#vMu)``2NtD*dW~=*Ct5U+XN{UjA3ZVwHAz}U&Bn`M!;Qx z{wWR2U3Q9ApuZWQ|0}=uzxw{y2cVZ>v?g><(=UVMTPnH*$@0`%ffC(9omi7b`D~8@ z^giab9GH9T8}v1$M}PwDgx`5Z0s6G!u}3dKHQX39WHK1rU3_0uBbq7miW~8*c7@qCVJ49 zE{>Q5*B-Uopn%>i;yM^s&kS3~9xKzcn+abHPgAHImFsJ%*kx3_CUKm~<_|L$WU~-p z2R=zEu=jTWxAMD%V@|;-n-%9*mowMvblh97gj;rsR&>1?bp6FI{=j$7s@lUWTlAk7 z_)vP6@iu>@Y3uGDUHhTmV%K2|V66=P?3y4vVRr`z2p5FQ3r3WvZ z?n};{&9Eq$=A9xvh4?C8exh8@9mPuZ+Nx?_RqfhIjZM`4*Dv`WK7OUdGcCPR;-|Mf z_ml?Nvu&vQpg+pIxR`O=aigG;9K?SIqvzvnfVDA-fW5*J=GEHpJWrqM9F z%3%Pgx_4EKX!01Vn~#cs>7HV8=}s@`ej>?<;8cf(f4@F0L5%%)|~ zZidIHK5qniGo0-678UH>5#l-hFg>RDG8pz_l%U<0qUeLZ+f;GItQx- zbpqt)?LIhjidHKBW~ltPz4Pt=U6|Rcd6ha*p4*N?B9twW1{@fZs-*NN4L8)_0A28L^&$P0JtFD;XWus?}ogS*sdXar=p%Y zdiW;QF~&qWa)^6PH{e;4&ZVq&Dr&d%H>$-|X}0f~zyR&;yDk#td+bs40e#Vj9y;`x1*pEm@GqgW6X>5sy z%`sw68GdpAO>}b~7r-QUcF2S;h z*qd!?3*Yg(FLz!=?5s+ouYt}ps(}b=#

    u5{$z~){LhI%0hZXwA8ADf`cf|4+h?8 zaol!~lE3OW;|T(Eu|NT9?wSFm66e_A45m+XfCpEfA%(qM@h&<;thwDC)Gx8m{#bsA z_#uH@C_?_=pNL&@GDej0Q!+vEPo8x3yZI;ZBPbRRl0l3>DQE+w0fN6WXtrL(`6!pa zEY6n}a_;X?Mt4c|Img!(4er2m6#fzn3V%tq1J5D6M%X|6rD6unjz96>Z^?FeKWUV( z$se!t4EVN07{j40lngfV+cus7Tlv+)oqOfxf|!>6%EFj-b#0d8=C!*v>0UJBeXg#} z@>6t4GUB`6`jxx4x;|fJX+}GkNDbxZC|Q%(za2>A2%cuhgn^O-JMaYpbm=AV6U5GJ z*!IL@unADK*P=T@rx^ld!G_Zi5L#;ziJWGLt};iJzPDWx^82po+L&PnOVuRq_b7tr z4b2UCJ2b<6rbb$n#Z`t;L5OsKR{Zx+^C_9&D~fAlOCC@om_&&v7p#^n6ohGR9Oa8p zJQkLZ1=0gqTi1(k_mfshQo(};i28tghwP34#y5;0}LVV zPlay2Vk8s1jvs77Em0`Qg#GSFYJ|b>W=IhfWCFVSswLXalmx{r;u@e4?e0HJb4}Am zijeW|_9TitJUaut4te_ClXjQ8|L`=<;U_CATKBihGuuC)H9eihVeDx!64&8zMq*S= z2+%0~aD@U((p^j(bt!S=CpC&Cu2PF7Tqg}uDb2GHQOPf60YYfd>}1Wf#bIw&^h6P#@>j+ zoZ*VT>f4TWAo!Nhxv4%*@DBdjQ3s;=HB3pCHq?=J5(2`$rDNB0Qm=yVvm(7NyP{9= ziatHGD;m$z6@6NGMW5`7K22TGr_nxgNbkS$^V zvh7q&BYhJ6{mteIXcRs$3<~E`w*CE5{^^@ddxdK|EO)rVJvlDNA8kAw9B*W95-2^S9OKm+kO=9B(}T?e?lg zuM~IATYBH&1IxC*A75Bt&R+XWtJ@?bH+Sw~3p`>TcZ^MZn|TxJq85Oc8%5r0_E+s8 z{zF<}yRVPeaV*xAvf$R<^5FUB&-Iq16QA$;+0f)DuIU-K%Nexc5CWVUEPb+?8r^^! zJlMy%x8`yjzLnz)?o8I!c-K(=dubEn7&{EzOF7kwWhIaxpOr#UlGfnbW(o2a!GsC2SrFv%D z)VdV@p3QJlJwt!q3^Ct0mH%QQggU^!Yoe1G9vZN6qVQ?aqDs6PH{@;E457kFjVoGw zn!<3g147q2xvwsTF@N@!qN`c4419D*=WResI^?;y4&8Nx1t^!$GL+xx<1Zu;a>UFPV_k|ugA-; zo>S-?CZ}6mR(p2l>N(lfbDF(+;AWhRn{?ia zvLWs=+IYP?Br4ojc|mV2eRAsM z(qSI^lMYT>cTO*ouo_FX9UlsAE)+nZ!o|4fq_L*)>f92|6YF8U9DD zINdAmi_c4lBa>tx=dbuPzT*NeXom^4%eWx4c~^oCxy1SsE4ZMpMdv+DP#y<#W61^W zddLQraY2^!oaKVhJy4tZ?VuN|E?lYoO4rC04vyXC$r`oE|9tezXPgVFPbIhIL@#53 z{PLM4fOORbmk)E76aHDcd{#2Z$&70r*9JL!p`TyLphqHuQd3|fgL02x$+;e^M=(>i zVfr06Da~bdfKo+)3|hINHDx)-Ob?w#qk~p%C?}Gcvi#ET`h;J*b|6yS7bqVguyyP1 z+JOklk+lPn`{?qla@0o{s*7UBod4wd#n7t3z+W#>O>uoJ`!BJq#! zC(Y@60n`fU6zJjykWLph6r#F@^C_vzWuk`an?j}y1B9)v3zzM%|FS?{za10e-oqx^ z6KM!6Gj&A9W$`k!{`plZEsD(|FJFRB%(WX)e1?XPrcWbbQ0Of_Tv0LIX=Y9l(dlWN zqE|X9e)o6$%1httsHnO6fsSI2rZrSO%7>{~EtdW-{QMxmqFZCTEa;@_@K*#qBo)KMh$2&;sTspF9w7CMR} z+UyazQQ(JqC?=@fu+&jtfV}`Mst{8JV6f!vFKt3YcI4n)d_fyzwdyrloRKXtSVwgs zpc2cyKLU8yXzGZn`p<{R9hr@wsOFwbKq~)A)qL_iG}V>u(Cuanm~H8?$1(e{|M9(3 zX{WU>AF;Hk){%0i0OM78lNKks29j=TuBX8)Jo>xzA*FZ+Tku&jvCZDYv07&-a;M9C z1{R2*PXiWKvdD%K&nClemmq#@YQ4m>$uJGGMfABrM?kE23@eHn zBN-l{r4&F$1+~;0M?;BclOadYr%)O}b+saq+dlOXL+`|C*kims6(ey)B6l{_J_O@a z>$U#G_Pu3)9^moV*m~6**vHx6MyZya$yM|vcr2D@EA3l?GskWX`0VK3mzark zoR8pP&%9)oOjVdKQ&Yh7(v zVXey*|DSg|s$g8(?Zsfe3Z@5b_)&CS6zRgCh`wdpqi-Pb;c>k`N^Az7F(bu-0eVtIdx)aR{cg)3@zyrPfWKv!|Hat>xH+! zq#a^zn+P=BmS#@TN*LTsf1gkMmY@Iqe{YsBXpTCyr`zs0Ahw8z32YX;9(uI-P{N=~ zg_Y?gfTe^LET(!R3NM^6Fa&f%!kVqP@48li8jv~&?+d~JVp8c-+-;jQ8o*a934DA2*f~Ni;CWrbB5sFSqCXM}O@vx7x5E!pI@XxwehZ}~*X%a6%bdVfC)GA%K?L1F;kC0fy3j`ZmwpMjB;U|0?#H`K}RToHw3Z&svVeu^&X-#@zO%163kz{lRjB9ED5J(s_{MN^pTWVlL=(djRxeWQ&)WEZ+cRqOO!3(GRB15Qp zdwsYj^_MwUD{|iqa{snZ{nUT>u^ywV!eStG)7GI7rZsS$mH*9sk_xHY232VcYaw+* z2QB5*P}cHBCL1I*pl?IB(mjeKX$YxXZ79@pGTir|`WaVZdmv1c)Ic&!jd0lotVtt+ zOqlkD*B4SZw2_xypdkFvC%-&K;R)>+wbws(-D0XxVfY9kt-ziaYsP@`;SEdO6mhFa zwhB~fb0Vi*{Xd7rA&Rx=)gBR8ty;HBVsJ?pg&0;MZey!gt=pP0XLdpFLNlDh3X3-b zi=Xx{e)W&98S|WB7Fe{j@tQG59(>K12TwtqhbNgaABo1-jQN@|&yB0s!n6B1DL>Mk zaJ&kFzQjUN!|Hb2jN5TI{Ov#dRddm}_aa6oiE{_5Lp#qTR zj-0_P6(G^K=BT~!&BFgojGvifw7FGSYEu*c^b!!!*!V)KzeOX>GX5*TVse+B20W{rfRuVn40e2>5buH<1a|wX{KR^7;!v)RNcC2%T z5_+>srPDqXlK`5w=v!;!ee}y8%!MR?W<$()ltMPNNlBStUy%T6hG;v?Ij0CIdpOSm zpl&F4Kx>7Dq9&0+L#>_W@3qK=oB!HUX5!H71)MA*TSvMqr znjt1}QmYL^NYpi3?S`61Ob2F+Stw+C$8;yqz~0%vi;=B(GBTGkiQ0U0ppJC5{0 z)0QV&S0-I(+;c#+IZDLi@$&~ATpbjS;q!U@dF9CP$C}mR_wa2&4<5p5`7N89rGrZ^ z4Xe|&JOeqaSMU_Qf@P;@4Xc-*qD#DjFZ=Wle8pe$uv$y>>SAm3rdQxAVi2Gj9xMfr4aF-k%foR` zuL(<{KCAvB%VP9qtG){?r3gQk^%uqHm}|rq^awdoX;&6`C$GTvj^Z^I_i^v|{)?|@ z7V9l+=3|2R_^hLGe3ztduw$u?a$0s>NxOwCdyrLhpO-&5{84JYlzwSeW_H{+3 zV`c<0TEi4$ZrF$BSnv(Jg5nN^)ysT?j>QRlg^Uyq2MmMa{j%+RMT6sw?EpA5xX5(C zpnSg&dwKtLTOav)EYtDYXE31|cO0vZ#}-QWjo`RfZGvjyZ}{T2IO(UbdQG?2Yg%@S zR**?IDGfvJA(E>`!GRI2SfG~+z$lJk0M>1-Ie?3g|NhrIz^b=XN56=(md#e1j8$Xw+oO6+=xZbbQqI6aHk~4qwvc+? zl9QGaH%pjn*aygt(JurC=VR3v{q{%D#uC(yRIbJ7cQU9gqhF)~B>rrglnL+;qv`)L zrltPAsS5Tk;iEH{4rO*0J=Tu`n>7i29%cQNv1j$-aeK^u?0&>9+Cw}{{X@54L ztBArZd^J@ThNv*xP2n0sxgS4d})(Mngf@WEcd1C^4%H1znTjvDxlKwYJ}4_*!Ks=$Z{7 zOy{og4w)TO2;msM+85cQ&7C+EV|u+xT!$WV{M`L!M6ky|B(JHeLQsXM)l2Q^^uXYM z$Su|^I}}Wa76G$XFvvxU(v#&ZwoBlw=!~LtLZkf0`_swhh9#4zzEvIGrY^ z5J-=Kve+DTxl`3aCW1VlkG+5Fe+lRl2c7HY0?@DWx@(lzA&{0|cn+lT?V70mF?z12 zt9%AWR;GG6j$A)et#_N5Q?x?;@>6sPQ~fo6^GpBJZ*-{FoW2Fpx`nE09qPt3@+QsW zN-qIT=#ZNs27Ix@iRPQ5UX9KJq23HrVp?*a$$9VPH4m6SgU`*7y9J3+ttkQ=X%vr>(}<3dmLT&B%UJ-= zkezeLHC$$ka|F0xrvPwHA<`&=4C9T^#8>r>*sDY{fzGm zOtisW2vFB{>X-Cx6GtuZ&?1pG(Q|62et9N$*&TERx#f4z739u%OfS7yPlh2dKmS}0 zvJp>GW+2}mq=m~VH@kgs@@B73vc1a(KJ5d)v^Fcvx#5_}U7M9^SXy*dsX$SH*NU#I z*NBjO{o(%lo0X14m20!o%euNq8X^EL`JvENCA1^m-vK==nWCKd4X<7>Yg>P2XYQYC zuQd$b4j{0kk=M0@@tXKOz2!cjIh=$mIpS1}8^b;kIcB-7$dM8D z^(>zuHJQY4DdJ39Ae^ki8a3M*NV*|^&e;&XN+t1&wqJ+3;Ta=NGi1_LLsPUDsSO!% znqh9=4QW?u%I&+3x@AlTW4SmxqYc}<5sYm`B)PFi8t!*#;Fqr$b;~HkW>m}-QLt2f zJsM<~3cKr31I-HbZJ$VRUsBj$+c_Gcqw*YUqErYO;PNY10gas;j4hL*q66l54=hVn zxoE)IYYog`y=FW;xuNT@*BbckczzC&Lk4Soz}jUmylV-|70pxLcz;rKA7Q4&D;NpW zD+fWHhm<#l6Cv9vEq$7-a*9EFwdmQ+6tLHUdUnX!NUWe~p`@BFRvNS$N8R!z(ku2s zi~ZG6?Q~If5$l?ZI%8Bj73Elx(+%ZVvh9$MGHSF}54~I<6 zhn_Ra_;!zAnzrZ!g^V&@jGK5b#UU5hDW_lP>Qbyq?RPxVych=NCy&uj&jBLxEurWI z{PK+Nzvm<0^jZJJT?*%>J%nbZ zdW>w^;v_btRe&_fM9+73IUq?6utw9CqV+mgbP^k`Mc=Yf8|y{Pk@8)8f*D^=*D)E4 z6+5Jb1*+XJB$yFDp>L`v#JV1RaB53Zn(2+W)opwDGyKYDySr=;I62F@yKn*wT%Y;w zE<1_`mvndOTdk5l%yxIdlo&~w&v$o$d#K;iTz8jayW5F-h%5_o{GNvAxdcA@_lc%^ zYy-Tgy9=@#Ozoq)Pu2Zr)=A2gMo!p^OLSgPFE0lVNw$OXPFx;MhMY3nBL6(od%HYNZx<>Bc+b4p4N7;FGsv|vE4P4IS$2xnT>kP*)Frw6 z@BfIu@dv)Ec7q1F{OyKHa7ob@=uHZy)Y4k$A-X)kS0m2t+YT`1h{#>XT>hS{hA7z3AT9RSqoKP&m_UQ} z@q&jwK+SjU5DTRnbckR_xe)G){Pom}Zxh(V~ z9zyA#eH?fhk!KN4qjptI?a=rG{iW+ zx0M}Q&y^Gt8t4?N3>cKh&u!;)q%UIFEL9o!wq!6PF7-EpJG-;A0x(_ItGjZ0S(|02 zXmwX^#$EZRzy51aulBM4&B~d(l5%utuZHZ3xGN~LtDB+`5VK*{|21vmSkg-XZr-oV z(EHV4s7@+I%hCwCD`vPy<^<3MklP=VJl%4ZL=ds+4-2Fdx+oyEY&*1RYA^%0c&R}SfyQt2@tD03IRt_5kmV5JRpvH| z&8482uHqFGZ!ah=J4Guf-V7-I{cqm=w$E`;)YKoNzo?Gr5k?}U1Bo^_i4F-0#K+A3 zcd0-;B@+`Urmefj4eRS^2!%o|D2Um2+*=q;Qkc=PvaJwi5EO02uJ1HJMfdp>BKoc+ zX=-W$4b7=#fHc2au~0{B8_u@FuF-j}-nDr2dBxN&{jkNysaDimV6w!pI5xbYJ^_Vz zh2<>H3Vdp)=+n~WpTpYp1sEtmn)vVv1?43RGOO3Pvg~w!WBNm&fQ6Q8W49YRpqZ z9J72Zv={tsQy|@XTk;z91;^E6KpxzIT>d|-Wp{(IXS&lfpl@EmiC)3jyQ}}vK5)tg z`1Xj7bL%+Q%VdX=E(w7*f2$$VmT|6^1An?)SKzyz&~i3fRgH2rB+-c#TPK6q-R~Lk9#|h!WpQzhW|tUiuZH za34>jSAGSW$!e~@O>^9X2SU0&BYVsIIWr_9BiuATvqZW!>Ss}YlVo~f&C;(p0??Uq z-?UxbUZL0aS;paB4m5gx++ zIB0AXg?5v~L-aW?ohGRh@^tZl`f+^fH1807j%nT@av9USLp(e1vC>zB#DDF-6+Qg4+t;Q?QoL{(LK#e#R1M$7OA&F~{4N?l`h}dyd5&>Q6 z4E4bG1UdeQW3b8uu3sh)90U0|XU9N91hLr=s_txa^%LmZtlY%2FW!HS-Tp$~Ao)_* zviA=Ei^f1BHqs)9Erad|)01t+^A@k<-a;?E7#Q`fiGI6mhh7k-KeP(LH;105dDO1*K$S1{mq~YVe}KAcGTMP14_s1z&rX02<0)P zP5cCQ0Eie^aTDE!9Rlc3K%Q6`Mn3@sa-3Al55szda>a_9m>3#g<~%SaS4!mYl@D_X z(N8!W(E}$j4k*8?_8$F&eY0iF0?Pr=v$3{}ZXCXACXi^^rVa(>`ONwW0>w%Jc;SG- z@zdcE%qdWpVW?nq?SuFShhbH^TO=o;b`ETN)e^prEfFtiVR$oI!gRgPP~Iy;usr-+ z8GeEwKK{t$A!X8ZmmF_^EzOKqA^4p5XBf9kQ7&&zZUypRsKuPf%=|Ktdn*6ZCAW7(4E5!5U)1_e{sx ztC;Zm#e|p3@zb??=B{U1;}t@mB7`nGMJvg^{1jb6vj5{3zVAQ$m*z|pKp ze_1oS$$tM-R6uO+a#fj(LdOF*VXgJJr^%Mm8n3>PSNTq+>EF2aliPv9|&`3 zQL2p~(SmK=Lisq9y@*d4Y$H7@5pQ}a1>4zB=i|U|w@o55HzX99VHhUg_Np;+Ln4tG z9-xBP@EvVRRoUl;^c2hx&1q17&!~K}Ym`HFLwX8k*dsQUc{>P=Iq)8#u^ZA;FvA0; z3u$DG7XJ9u!EkA0Obl_ZS+*PmV}u%?I~J*K-A3dIAPqX9R>0(&amo6*TTMUX`$9lG z0&{C0xa;l%cR9$V3w8~MZ*O(_vQxBz+|7X8*L>zrefe{NQEnjR5GIrn*Fm=MxY=mg zSC0ZL)pMnnj8RU8=qjFQU68P(o>7~kFTqe4Wm{3j*+jz)@|&fhFv_;#Zi^-}$kX;1 zFQ?e$D+;5W4Err>!f1$Y>4~GxyB0<{8FoEJmJqRz4V$Sl_v$MOqinmjKCgiIBu;Zf zVU%sxyNymu!BBQM1Lca!C?`v_&cVz%GRhF=C4O9ABKJ(qS=s~0>CIjwbp7VgmtXlT z!V;Piyw>O@|6WvICXiZ^&hoFi#_TLg=A+o3p`UfRGf`x!+K)&-ul4E_ zWj^Hdu{$9j%&h2)^y>SvBF=Zv{3P z>8)>i_RU{*->s;e|IAmt>Qz7d{%`uf*Lw9)zhbRdXYJTpuRh*sPuz){@+%AkR=jM46qy;Qu0Dr*6Leyi`yBt#$9?c?gBo4c3WGYd zEmGu?AV*8Ky2dMtwb0Uw^-C<>+Cj-()e1_t zHc?8~V-&nLl{s`Nlb)m(peUl04%KCx^giCJE^--%!ydVNF~s84hbg#>W{20MY72c} zD#L=yp#EK}%eJ_S7M6=L0t|I?2tQ1<3l63M=MNEso4JSK5>iiR1T^Y?C^32#p7{#$ z%oZMdtW3{t^1UJXZZK<)NC&KH^xL3DUnXE$)#%H)=Cz>9VO(e#gYhA=!W71BFX;N> z@A~-f|3**0Yo~tGn5Dk`cMpBn02!$x zAaz2h8BPvTCxp5of^-Gt;UINFs2NU*$$ z$Ec)9mukMrrQAw2#3h|0j^EYdro0mvcMe|IdPP^#W~y z&4$JGBe1!>+CqJCq|)T7x$`<5HRZ@c&gdN?H@4E zU`{DzXXu%lhL7r5+Z5OgVONjQpK4f652K-DGbpm=pi@fGjU`n)`-+auxM6f1F{-`W z!BXR3H*{=<;l791jE1`nnw~JSc0Wm{uz_;&+~rusy&@ ztxW@*6}NwpVwLt0z5s{YHtr9xZPBY^fS0^V0({i0q)1G&@}#r+HA;dq1N#q_%Z zuP=dLrDClgyM8&2UI_jmz=UuV6%5_)pUedjeUR%Im%gP`af+r^Hfo5@A&~oF8N6iH$jN)btOxC*Ysuz&uR!w1G23Rj}?EadBPEI+V`*rQ_k zcE$3Xh`V#!Dv{HTXXX^GSbj5D{A(2=9G2g}?C^$(SOL@yWnCpsMlA34O`5jN zP_r_OSUx$xJ7PI%t>HZoB~eo)VO2ohh~)?L_>kJ>F~f-E)6=^nOFTWjJ7PIX7q4l0 zx51k|PJyk!=O*y6@(%^<)1@=JxkKGq6)?vmsTy)S23ODco&{ zcljy0BzXRVpLze?pW%ReM%g4?o)PL^V~6dAMZel%yVgpH>z!s>p@Nw$un=YU&^!v@ zx?qWbo3?NVZV@w6UL*l(DXJ2hFRh3nS?sfkL{hg)O+$S}0yW-p}>skY#j*Gf7h4QH5?P>O-7tH{3N{x~5B?^PxUN zr6wcI5BvIA4azqw#hL-=&O08QLO;$2C$D==MJjm+)JSFQWwk7S=5PPp5B_@}^W?=o zdH9m@oP{(eqSwBY6d%Pt5C~PE6wwRK9(7bwcW4HJqyoLfT}H%*1-qCoB_2A(IwMGO ziWPV`B}fYCQe;tEBx@N&;?tyBpLF?S-{RY+O@PS}-7@7U(R8}{+(C#7fmMqSqyj6H z^VF7}E`=qOTG%@Rck}|QKe;fFdGBqv)tT6s8KM2U!g0p)(;+H`CDuGNsl8{gEKiUO z(?CcLRaWhXh!n^7zTHRy7}_zWpNoq_G_Tnb2}JQkQ1XNU9F=7}N68BscWxxe%4?qY zZXkhk8XV_+N?(R(%d+N(!LC(cCLYW%QEiTtyqvUV@*MHKIajBwm=-4|C#>D?sr#IX zow-x8QP2dkgE(kI{$bNCWur?ByS$l>mpq|~@0B+0S^2YB9}9_EWP+VR*hwxn2GqP- zV?Zr!qr)4JS}xp_9q$3<8yG~Jj;jHa-Nzkvlrn@J!k~D+Y=`&nu9~PS!%S&5?{fyA zsVEQG4)4eDMp1RMy~3|AzP}PxH{AC3SD9^$&&JVa1_7Jo!d6+@=@rKkr2E_IXFU}^ z>$zY0w2!u2p-_Mx5^RYP>$E)!K_Y&KYHFzYjZ7HWwwEL%L2eVT@uE|`iXK;9X? z0}U~5s)pR?XTjHiB1{ayP*m6){j6@&k}&6rShF&W7~Uc2t%hBn#%B647Jk;D?dX-z z&uTI1tMUsXhU=(K`N~JUr- zKWl%4FC+<#G4HAHv)Utzy6I<)cu&O{>YA;7p99%DywP}y_PZ8Jd#u?(bujSA;yHrK zkAg{j^CO!3($Csp)$uhSfkhHeXh|-;v03tp`Mi^WF(V4 z-npmje(-C=F$#Xcpx8=0rSJx5^bXOHfGbrvGO`^UiQ17uJ=PO-q;R~kJb;c=p(%uC zR2;u-hvV1ei1~H;^_U~{+GoV=Lb<3=<@c}!H`8(_^(NlS2x#@1R<9{>ziX!zjyivA zcIs{a?!WpyU-#uum_+bs;XBA!bUWZwhsNKvQ*H z+>o$rhM1_ymLt&UBkP|Uo9}H@CQ;c8A@--D9=pc4PW5qoMczKmus`-xIPe%Te6%`7 zVzL>MMS<5uF~3dx_7w@qX1MPuo|X^8-Yj%+%y1EWMsT|S5W4s3ABhLROCxG@qSXC| ziA=zg@!&m&_pnGp1wI?^M`pVpdvrcv`WfFF^ag{Bj8-|MujvXWR7oy+RPZ|y#@jat z_lHruSwg{m!zNXM1WUq9wlqozr-%B@a8|G;5yvbKxn}{Y9n_@?Ylh4ADvd(t z7#r3zR%?dq_6jen^aP!Rpbo7p!-abVzKo@j_(a&d`rNkn%dehOef69k+SP++!&(Np zdQNuroMx|{Q@(oe?8dL2H6^H`nj=cDTb!-~P8K{~R4UtMgIB>!(r4wX!%ghT{M&nteABl1{EP2; z@#^^(UVQFd&%Jo(Ef1c5zU=&K75BI&B4cU44>vRs$(&tITaBeci)5&7KwX*-#`baU zt!xO3!_z}#`x~t#$-As3-Z8`GuN5h_i5irN#2gP)ygpy`h0_Z!y>NQ)W;rOy^Rh#- ze5AAf;RdaH@ImAQEIuog!!9{1<97Lg$G%#=Mfy7H7pwW|WoLzNO?`-XI=KNYs(ib7 zmoLAw!luJt`3cYbkx%qlx{90wx3t-_uOD2#TsmqHTe+o(8$p@CP&y!#s2$*47J?fB z!*-JzANYy^-R~ZeZ_?6Ha8IVe4=%+A zw&oFEr4|DnQI7$9Lq7&x-Jsp^R^-~kl zav>Qg=4qKH;Dy=k45yM53_Rx)S+7nQQ=WwrGDFl=PMG?rhWw8_MmJr{jypwHI`;&& zS8sdgJKgFm@Hyyz|KllD0cyDkLWZs>13AS-}Igy9r%cNRLFBx~0Cg`ER0y+~B z`?ovk>3eR%VB!E^n5N@KLva$)iU+dULULhxAK9>IC{98$#6qca;mEGVNw5_+4I5Da zez%97AV7rFv1eiw1H!=lLodjEQY7C+}3CR-emUluI zj?F<-ipJL5L)pd8)5G0TiM5{`brO>0ala=)1WRJROBds!w zyEB&~yCqW!B&YPm#Rx8k`y|Hth((b-vt8Pw?piq~d0tq{`!CJ4jkq9H6%bgQnJS*D ze+4l3vi=p1%j2N)V-yY1g(!FkOerXM2-$Yx0XzhFE9Wt&vbrSKx8;vIEQcGna}lq7 zMra>2l7XYQ5n2P^X3n)CX)Mg_rJb_Ug?$E7RtKdFy>;MDM$OU8&9xW%E;~i5gK{&) zzMu2(_!IA)EA~CM92QIg5jrf@Wv`+v%%!6l;wnR- zpVNxyf2G|upyo+RBVSQ?)?|2WnN#D6kV4m0!Mw5MT|=uZvY-Rfa0=+YA{`VrM3JvJ zC>`=R)iKJwEbSV8&Q95ZzS}7D`ikP9*pkpLrNkZ*cbc0CmdqiU<=)zKAw`6wVfjsp zgJPBk;Jr$HFe0J+Jd5F6KvH$UBZw}q0+J10yK^^=`J(34>df?+`Fbb)xkKc;Sp>7~RVm?0Pj?bv+S z65L8yi2ywb`4ONI=I0Sd1IWKwfKGG>od_%Z@lPEA8Z< z*m@gEV3ahKF2}5UAV6HF8RVa(Qiw_q0*Bt?}{K=fiy2O_G%8 zF5Opf4?uR7eFWJ$FR3*@!T3XaM0b+93D+w3;IBH!6)H*a&14$V<-Ga{tDnHskVz_* zCxbM-kh2By#{4UP1!Nk2^fTW3cYe!UApc?NCu~|q{P2!OCLBgTVLIq0Uzt($F@kP^ ztP4=pkklR>;v6(nzJBZ_!_(?DTTPB;M4##AC6N06{TbJq4z|~cgqLYPmEp zv4oQbKS7D1lgPr-^;#x^*i32*V2GNOS_T(IW5?Z|LVU!M-4@}wTgyhDn zsZpixTC%}u*ZsEDIZ7yAY3gH?WUv{6(nn~#8!cXmn!cbt7#6P(MAL!XJ)$kA2mmm< zf(=H_R#afv6BKw!f48eYcA@Dz?)pyaTpG6YEWe;wQE~UU>#Qp^Qv8MGY`9Ap6FA&@ zG<&|9)Bw~T1t#mx8jg|ja?OVO%hfYEjM!1b_F|8^+?j?M)na^4sE9GI*B;lQgs)@n zzUZGZsFM|%#_?FFGT$U27T{U9aLrxsF+fe~DR47DW_v5u6)0J?%yuE~E(L8dJ8i$N zHU7Q5@o%~T&YYqZx^D)$U;8J1bgLg#^W+e(FiFfrHu_8mIwXgy=E)n#+{AFV z19g0!Coh^&m-MQ3;7sd-FgtMM6Pr<6t4`l_!IP_yi>x*WOO(4V64@wp1qX}|vjZDk z8OB65qF$|JMY9e_?#dNo{EKfz6WIq$ohl740Z#$1oJ}^wvPXG!f+ViM>+Gf=U?J?X zJ=mk}X^b28MRk?gRtqEfWBibdX-1fHTU4cqTM}qe9rxh!DdZj2uGN-521rVBCCk6? z?VkRe)G4^7qMB($UO?G>WcDE zq>dO8DlLtShjDBSczqO7)24Qn9>WwJj1XhM z%bI+IrzrY@@~mDl2E6E$jiNBwHIm_intqHi;Duk$5E@}Vo|PddvbJQ6Ei{HaEDe&} z90uWg5%BJ@OUYRGeS^ri3Zg>`0Ti*>=h>kRXLXpWPq*(9-yptAeDWQ>)!H(io^oh|N;VBQC_6T3(CT%9wvxOX~Z!vEplJ&BVpiDq{QQU-P!@pK=MBwp+B& zIyGNJS8FusW;BXklf~>~cGRYmkPWx!G$MxJkrh|Hfg@4K4AC5$5i{c3BRV+>wzwgk z1TzGMty6_NG?!KYc0)P|X1IrFN_h6Jv#8z;=_HsT+!zqj`e6pUrjw8jA+FNijrjbE zl)fUJ1T)+p_UunZ!B(F%;%+ETf?1+h?>6>QgE!Iry4qMog&V5>GCv7jye#Ad=}0{_z}=U$za z?UmhGXHLqkC73Jp^3yu>LNEWAI&}1)+>BPB5lz{k z(k1BFs`3jNgQZQSzT>2@kN=E|=gJ%b%tjI0_U^^z-1~gaf$N>kIv8YItY(k!q{XdE z*AkjSay~dIs!g95o%9kdC1tIb=hYFa2;tF?E5g_|x=X<_T*z04?GaUxbW~B8tL}NQ z3|I0MI##mN1KiJ%VW``1DPQdmD67ylNf1_h57+WlkD=?Z#1Hd`{#LbRxR|l`ExWGb zvm-jF(_~s|o<4gIKUExRtT{;f>1fSl2|CMax8NOnY!a@d%gY~RwX z`ze>qY3gd0)|uu5-anncn%Oo}m$R$+wCrj=OFniv4>Akn zbv!2qr3ADQu@@vS&5%SxOM+`z_RW87hQ^PqEjV)EB^gytIF{GHT=QG<#4=Rd;@w} z7Cju$FtUCFuq!KFS{!d2e1q1NEnfG*H83dNFWce$yQ?<#kU$5@w)p%lx$SVkvK`(p zy*K>>x7(|>5bm_R>&5rWT`(Vi)!Gv+Fj~{%?9nZAf7K#Nm8>I$JFVQrEGLPrY>b>F zH_e!va-~{6^Ij`oyloaLpWMwdlW(r{p#z=o_Sw+%sdILD!7&=K@Xd82^`V?)5ow)y zMBTt!Cke#0wPrqhlV^otz}i|fG(2T%&Hv-|ANst%;94GydCiAU5ioS72ez#QJ=pKj z(Vh2k=bs{_g?W2S0yUK8*Hvw;ETXdwlP8lvFMe^fj=MU*9g@zviuaU-vCw6%aP5RY1 zN*Czq2)z<;&s}X6O{%^2h84?B(MrJG3<3AifAU8^<9P>fP2JWtG!U3l*Mm48%^mB4 z4uZEyW+sbm`1{U=I!O#GwiMYALDNg%LI~bw*rSoOk|u}EKn6qbHbacZ00BaV0fRqE zZOa>8Ptw8+0d-HUhUNew6JI1mJ*aV2YQ;ZxAt^#elOuv@xlI(1Sw1=>8y*T+CkoE~ zXODuW=L=&h&=hOSlvS^BMcoq$Uu^mKDE7L-Y-tSN7vLB{BY^_$jy$3TAqX^RP(9XE z0dI(PB^?@K%|WXORJ|7DgtSH|-w@#ttkpJ>6X4Lc1vw$KR(!vZwmG=f4wT`5rD0<@ zV4<+W`;+E2Pd~%)Msfn&7}%Nk{wg?^@x~sUE900CN538mf$w1xH8z(6Zn{WUzzqV? zTh<|J*(q89_htb1uYTwEeEZLSRL*#*_cMr=A)=8Wm_wgx1xOJs?^-R9>E`4)Xpkg2 zo;i8WvBhv2jcwaBq%3)8K;{@Nqt~N0Chk!BQffLBaMRl$v_+CaR@~ZX3turt%edF^ zQ&V};NHc2Z2$o`A#+INi^DYH5OsH;_w@xSeOP+gwFn z7s33t;I+k-2+)DM`8G1ofUbhLA7CSWwEz`>wg@%E+G3o6I86s=HqGf?+M z@B4v|_!);f^*aW!Web_%lGyUF+mS&=M1%lN@x~&eH@v=xEsv;&6hl^*OvIK)rkDv# zW4#YzY`G6ZijpMKcO7F(#CQBK65pW~t_*8=k4tYOalB22yK1iKB~WKzQ$J3FoPd`C zhJz%?_wq0N8l6yUHFNz}6@1EO_YN-tK-U6vx}S>2lpQ6I8}!rnqmXAS(($Ue?IGQ=+bA_Pt6u*p zr3;sxq7~_G2I>Ct|NAXp@{OJ@)cD#$I^Ehr;)5zL3F)?NY6Fm70)^=8B@8zkHppXM z5lUx)8#g4<*@~$i4~ESKq8}IBkT`X%4|jcF|8y8aYaNRVY3S@F$TCcILjVS(Lk2Q3 ztTZIj*{*vO*K1y}?b4(gKStiu%n<7kjhWJf+_*YM*ZN?%$sPm$6l9y!gWp#S#fA7Z z_}pUI_mqxP%o;3R>w}N8k-q*2JfZH6rFi@}LF+@ymgSdX2}ySRDwjr{0)|=ZBU_K! zc+XK%aI{r1&*H{=wL1{Eed+0E^T=G0r%d$i3-*#%U}QgQx)XHiC3Gh;d7w7~T?!dB zu+wF`B7+Y*R9SrHH<9QoM!z8uc~NHYMuk52DCJ?htV@T(r7M4pLT_&ry6hCK*nBhC z{KtO7-~6vX$qWCr!iD#L>Ht!`3vN?shWlZIHnwv6w^A6zW;4X?=X~=$Ne^jp4f?`1 zScuAAG~cUNP&?|roHE1nk>K7yYL%wq&U8JNci96Cx@-+&clmcfXn(sui@U9#yVdkF zzHd5&WAhP~TD8+!s}n!Qh|Pdxwz?w?zbRVxam50g z(Kjwt&h!JmK4LT0L1m3P8mW7U@48^uy{&P3tZ=l(W@IOjr+`pwjE662p7mhtQy zF(XIBXK13Ah5(1-jHd@}h!bPqw3TNti}!-$+KES=W?8gsO;qPbEEXJ30l6Tt{6i`K zQ#&&twh&3{UPBsP{&5KZ;C?H#m%XvIUiE+3oX7rJyDa2B$Rpeud1P(vIuLdp2)nkv zfBuCRpL^GHFWxD?32P!k!rBsMGwFww+rAlY`_*6jxj*z5U5D-Ql!s`bQJW*Cww`G) z-eAfDG#a)oB67BavSD$$Yc|vO_?evuky&M+jpXq$iq2^0>a=W#6*pb# zdf_X2{0zr@%kB_>#-ZVCY)G&Ypc>_Z<&`r%BE|x-vH#5hnC_#B{tQE9L0#cY?B~1W zdxi{fK(PL*Xw`rpU=h*@FAzeax|sxG#-eYb^iC-4v7X0F2*KJY;F4AiA%v8vJn{Wi z2v+Bk!Czn0ngYig`!-Usc8LH@d@BDm(j?M5Lx?)=nB50z(8C9!Q z9@Wtc+F>t6ZTngY?dXNuM7Kq=1)*RYv|osV;D}xb3n1neC3N3oB1@HUb@W2gv)d72 zL0Um~1tHP-%q*nH(rW_Y>L7(%u)pm=TT+i1M)vn`#FTdl6$e}?INg|;Jv40H$e>c@ zn#Yeli=2X6%BmV#)sJFwE`=(nrl^}bL8A^={u$X+`Nxw>pRVCwMm$iiC4NId&C42c zLtf2ybo^<+@2WME2=0SBz~5z{P^FFbqXKB?IK1{Uyls=uQp9lvmSUv%H0sBQe5re} zKE(|&gJ5wny*vT*-Jkx`_un@MpqL|?<_qiqkrmuU08Qh^UK#1r$y{dyP|jJ^ge;WM zbxBqUpp&W2C~Zp9UIDcz2ClVVMR5{l$Tg}GL$8mz&P#%>^b5OtJRCTej%zk3HoFME ziPeJ7Iq;ROr&Plv`)@(J<|YfiO-+rgH1hR6@-FZG%=$b(=%PqL;L! zVEQu7VAx*T=CB?*fi{OlEG|P9A{O&U?BI)RY0-O%#Zi}}QzcaQ<@D?EU>FlA9=$K| ziskojuS|Kx@~0ULfABkh&xek4SdO5OQ%ofoMvK7+0#%{0w$}$*w3v!s8OC53HA?JN zNJIQphEC-&81C3lkwPCS3oc^ZA{agppOyr}P%eoeXe`DpgJIl$WW|xFIbu0Vock2w zwyAA%x~M@n7g&y7!<}YWchnliFY-Er;TR-4y*nESyXk^tsKDu{qKL1Y_=@w!W6u(V zuHo<+4l5rBealNPoF2USYJ=zrKO2TnRSs|%FOg>yYj92$c4aSYCf4E`@&KR2Tjuvp z&hfcrorYHkeVP#Zhkx=ne)N029vA}xsqVn7pV?5|LN;`Z5rfQzBJ?5!%SQZSXoJAb zFe!?FwcDMH?LG!WLTEN@sHPQAZjkNd1UFxi5Nd`8j_x9kp;w2@E{ukRP&3?b8l7D| zwrgfX%@8^v&N#%1TZoIQT@ymhu48(gx^6fwyPdVs%qLueoxCPke06cgEaM46YiX*u5tcRmcJM95GXx4%n@0D$ zEvWx^xy?En(R-K)*wqP8{gphQ8VBx9fOrU#DlD-mB+c=t_*{4G14bVf*7E*?wZzob zY|D=$xgd7WH&?{AA;_wNat*RW6hG(?Qbo~>!Y_)VrD;bH70YDAxI_N3V-#LyvANu3^%E5hZ~A7ZiXn~kw_H`yYL9!W$F#DryHJkz1eWrpyDBPQ)a?f6!zLIv2V-} z6w5vp@&-$W-e$Q+*e~=xdR;-X&G0i=GW5=t_!PpOqq#_uY6r`Bc5-`>`Bq%ptqy(Q zpy9Fs+HCMEVWp1c^D(>f&tdhE`33TF>WDQGhVB9pE{Go@U)c`YGugiB=2{l#4m|>5 zVljYdE#jSywUkI8sdekbd?Mrn1kjt*N!tOia1m?{ zAUuFX>AGQb5sp)BJ)?`TpK9w_xCoOyIH~qdM{*YtP;z)sc~wF$QsL1>!0bU#*l;G$ zAa&sJsIb>O8IalPB5c|uoc9kd?7ZzAUCZg0o$2ctJvcC^=lXg+nv0M*fzazi{DK7b zY{Y5)f;|e@=MlgaRUj`;cnX!dY}&$Ok7M>@|Koduvdy?~dqgn1`UuzG=tI4LIUiwl z3h2nZB2xD0mbE$sL72$$Fbn!oE9S(_oh8)HB~HO#{)W%pew?e`^ki7dKBLLDNvby_ zTgyJpWee}a;kc6oJA(H?gN=wf=$;K0fLITmSdEU6H#lIALY*HDJGApG=|+Ab+~-fS_W<*MBw92P$^i{aE+^i7gRp|(p#Z(Po(FT3ro;qvlW zYz>#sVE9n0$PoXw7f1iKum7a4dd`ti6ZeLUrdUe)FWkSj$27emy@Wh0Ia@P?S_-HH zz=O0*nlDEE7m?8nkt(Jkg<{=6QAT7mL&(ZmH^p58@d{y+w)QZ?tP?x-$AS8b$Y_Qr zfYOi^ayph<7s@;$qZ#h^=m^bYghCC+P#Q)t8@?4p^7z!~pqa$&X^mRUcHH0wr1 z3}yX)`6yUo758J$b-7vHxU9(cAWAj7wdm9y5v2;gx{yiXG{Dc6mtVx&x)%s~i4@AI zx__l?2kQ56@$zx&x1{%pe_+7rMZ>6+NO8QCug95eX_k38-ll8x%q?=wVBdla_Oes7 z($mXbqDvytcfavB{_f2a0EAusF^}V)qT~BaDkdr)Nz5D z8-yT&acCY^gJDEXOm4=Z5eyIQuEybrnmv?P5~c1jT2TXJ|1c3X_h|hA>X^yW%`gEQ zle%a?k}M&sF4bJK_$shDqo)#^GeKwteu8@`{2Y5}qHtOFawhhawPf+Na2e9*O9vt2 zK3DYNycK=CmffOPu6Ow@dgXf0xMVL+uJ<2(#s@zC|8i-R5&;9Q7hR~vkv9wq$b2;}R%7pJEO zIY7(NvgzXd>^5w(O`aPB1=fr;?V^me* zYeqUg&%fv!8}}U6jOPfd{$8Z;k5CLPUPJa@a(F(>SF3vwN}&^1ApcKg(eAN`tMN#= zYkB7}O?77aT6diM8riU}EV99YPIlO5yPN5vu=FG3dnR>Yb;B|A2b&?B>)K{8)I~;n zW?+&Eqyxi!X>BvSlGvSmhuY>Mqb=3RAtfN&&hR(V5iRWh$d0=qr2vB>r6Ak>{zMh@ zn4sQL{#|6WU;9iWy$g&(-M+Rnl?A0%0>j0I7EE+C+ebj|5LaKJ_rw9`Uu`b`6{*I&{NSd*K6NwiVHx zzQr)tUid(0$$|!Q;#-X5(0S|3zD2XszUn$Hy8OJ2b_q{grrvjy;R!@QL`OY%(*;q8NaqBrQK3)}tx-2N&eJy0N%dppJs) zCU`XYh*Hi%-Huc6CFEVX8%w>KWihzUbWUHY(E=ZmUzjCWSv36=BcAty1NR*sQdh$Ux}V)>%vY&tg*b4L@o z5$v5lg`IFT!$}_>JH;`(dM2-1)CxX!pBV$F6>b z)vpT)dKKEwZY&(QZWA>gIQR&CmbpH@Q=x z9-cWB77O1*m)UL$5d3g?IlprAHtM;9IGb{Y+N2g;xN zcp$&e+(sweW};yvDqcQaW?PWNk}feXDScMDG?A!4d!f{$ez(PUP>~;zs6d>j!&7_A zo2m17WwHhXStS89+hrEz3*^Pv91Ye>}1|S0;JTxW^J8fL=$J7Oa)TB7 zgs1cp49QuTAt;L@0&ymQ74ViN_!$fXC*Rh8-vs#f(ff+6y6nmH)|4PM~EeS3_ zo9^y0??y$Ievpy~G0P79hony)ItiwN7qgs=+a>tEAi-ckygjC1y<+s`zi}vgNC`wG zfxj(#0+v~@9oS=7;Y=E#Tr7wk6B;9Tl!NrOoxo+%iJNIBkgn317;i1 zpcxGvaoN`lBV1^Ra)<&@Xy}Lw${wBcMEBTrECO-FrJ*A(ab&w9Q>~;p?=rAbn2knppz-)ceCem0IrDbEiB;ksg?3cW5|^4omKj z&^1<;lpdHRRs`$Il>QO@4{A%Q&ax%C;o_@=CEDw$pfJ6J!<4(KS*H95*KAW+X0R+z z&p`+KbsK08>b=MFgVGO{v+Qwc?2?!wtXZ5OxI(bR34;1lGY`Qso*~E@*q#BFXac3e z2eX{@HZsbmI){Ol!O-3w#XXtQJ&LC>)xby$rWFmgGkesX!pq=4*#F2=ped0uBRSE2Vo?CkZF1k-itbq%XO0J zB43@A+uOxq*(q9`m78%^KH{18f5rRe=mGc(@KGeWzbO!DKo9KOq*U0on+UNqbTr%o zE|DwOs2`RA4QqM;x%D&;7d>(7fZDNe%R*M23hT3W^vdFj4uueuVJ4n{=Dyv7P;iHV zhVHCj?7h}rK+@1Q&_R}l?ySJD?b7hrzC+O{?^M3);H=pgK>R;2?l_U`I`v=6{Pk_M>T0E@CQ;Godn z0S9Y20pudnMG{gp5sM3s;@-VOl;m=_t-hswtt1SX+ogTLkQfp^^Y<5wlE z`vLr&hBSawWIQUCZ&xh8?N~l{idHPY87%(|-S7K^zgrUzHP!!NiscRS8idn!2Rh|E zmWSlQhFD&tBT6h+E`v+5OtP|c;sO2vMi~m70M{h-A`bq66Av>kM}g(jK1X!5`a{zQ z-P7+kNXb;*i4zZV-br+}P#MBb7WnK9rYO{(J$hT+0mZfI$DjjPZ5iDyHfn|?(l%|A z<`sr-Df(O829>F{X8FxOI+>v^g*qS)+az$DFVGN2qZB;KzozpjVp0@o=EPR{{$*#6;8IRg2^uTR9c{s+JJ z%fJ2AuX+`xcoJR@`vdw%nSY~O_}%S#f-RzU%&AwvNiQLAmTpY)HiT0>!gj$M{8AM~ z+>ij8S3Cml#1I`LYD40u8TR{@J)=-g3W2$zqOVB!%!U{>oY)WaRTfZmL!ze{9vU`t z5VwWhwdiBGA;HrOyB)G03djfR5T>7VS!i!DG|}h&!-wA2ApPS^XGneZq+$L2hfw}k zv30eX?2Xy4UNywS0T=+ zaONc@lnYfJ>D#_SBT!V$@U&nYg*u6TTC|0RtqD&BjuM<2)Y2;D5-jQZFz8YD4rTl1Smwy+Usl^aK-!j(tSp zg|^70vhyRS=2Txlr>XH4_fK~HoR(cbr_8s3zu!D zrkeH&*x>I+k1zZ>qvL|BF)iO;?}3`vx?*{3b%C-Ok)*M&U>Q7c_M z^YgN0UZ^nin%%``g^b&hvoda%zoN0PmTyVT$@s09~9?#Q>Bclq)= zE1&tQSH0@j|Jb)Z6DN2H=ng#92HIEkRM8W)z}(VP-5-vWqrjzYw#acsaMr9^@(jS7 zY*OEGh&+_#a&IHRJ^cZlC<2LWYRm41g{Qhda_R}Sv`Af5yN;gfetYC>EF2?lHnpP@ zJ=Go^(cyN(5M5pC4-?!m-eYywJ-8;UDEa>}cMLViN4idA;x-^>on!OtyAH(wJ`{|C(@=lbbVE9$PuWTxrV5;U0Bw!!BUHl&)f( zz5M_FjO$GK$Lj>ITQb2b`SbY}F6e9M;Gnv_dfPkS={I^o8?%a(f+NUzKyo-Lp?(|# zGA<`D7(deS_hw*DXprAKi3H~{c*&sRYnR-n+lYVpqo;_7_cTsX0BM8hGeo{cGRw5&wy+SoCBJ@pjM2&p;l;_ccUCW(c5&Hj;w32b&$4!{*2g zrCt_T5!#cQYbx*-5)DNtNKWdIJ>o5RA)U9iKd}FJ3H1fuZlFXa>W8~F;NsNG8o#j5N8T+sWuh7-6u)1@4LX;ecvMzp(VP=mtWBJ;q5sj z6#P@bp2l1SN=^OD!LEUQd~`saewN_Yempg+unx?8-A%x)N5i60fd{G4Ft&D0R+tu{ zREc;IUo%~2Cs9dx1KDW$H16{n6*Enp17yEf7l<^x&udtm-z$Mx{*)^eGXZlQ-qI@_ ziof{Z_|ISa$6bV?9YM&T3)wB)Hb`$xserhf8EAsgk5B`{*?}fIQr!y%njAOKJ`&t9 zrjuSkY*ZysoQ|N6!q&%ITMjAk8l+vE!RUelUxS3EKbk{)wbL_ zrnXVo!i;a%epWT?^hpXlR7D6!g-|qqlhn* zRWL_y#ASA0kfLGTbzPy0cAq->qQfBXC_)`n=z?~am>mV6eJrD)ArUaG&xE2X z0Z{)b=x;?Ln`QbC0hUqLyMUppiS-!ZO#e^dr~oZn-yS2x@qc6M=_&?lZbAFNcc%ax zC*5wq{Z$-J1^;P_+^v^Ai0c({vyf$A)U+pqF&hKkNU*1~RNBW}FccW&$j;r>wiw1G z5{>wNa!MijTZ<2*Y$NWUmq2_!x5N8!yr>GwWgaMF*%tMkwRl0c{rz-VeM`TboIl1U zYF-{y1sN#DB5Yj)>@p$xRJiVRQ#r#d*ePP5`!xKES9*Z``~LmSC$3cMNRd8}0)vn2$K-#+Yf`3X)| z|0WdTJh@!{pZP=I_rbs9x%_l8QUW2lo_Ep1LAzps%SL9M+;4gbhK#zh;SmkC7@Qx_ zP@!%lMTXi8yDfSok#oo3?=)`GS7h8}hL8m4z=aN#7AhlfL-NyRctp1cVY0EJjQftq z7=!a}(`x2@Y(@8PurfY0k{sxDb7qM-zdzHJ&XNyCHq?*E{v&*k(sYL7({w;Ji<5N3 zCGk75?Fi9B{4b*}1AhR6f*C8PTVe#nYoC#HzxS8Iw~H&%8cM+!gU?rb;uSb}Gu>-e_wDC^f#q_vtP7%hJJ#s=_4+pi7!p|G=OAwr~2T zxgHDqX1`AbY4D&`Pn8Aog_2$)K@rHO{In~@qmmw~TvTp}D$m+syJ4ZEN4&4vbM%s~ z?dFyxEcDrf5Dxe&7I7SJYS z^uY~fmxX=bvbX|e2CY;$oGmlB+M`3d$R%*f$;gzJ-T`ELg+f9O8^M~Ga5b=G(3wuu z)d7SEYA$gOp{%H{ilWN`Z$rWd?a_jxu6!)IEMTq{yQ4}`A^L!p6&4bd+TQye6d-a< zVg!3xfwKGxI@uL;vMVU|TPUue(=}Z|rFaZ_{Ag7fYK| zVQs8X_s%%~+5j?rExC=%q_J{aDmFFr5+&WAOqqLOO&6hJ&IJ zaZl5VDVYL47Ngkfq7iXVli{&xw9pe}E9hfYewbKYlFM6h!@{R34JA301mt(oyoz1g z;a8zI=b#otJg~g;9b~0q$)b#EP4U3YvXge@;Q28FeX#dFtq24U3Zas?D(EQG_1$m{{mM!IvTO4+KgMS4nzaAtFbQS(Sp76JBIjSv0gG{R7 z4>fp~gC3#iF5^Vb!fG=-TDvfoD68|}h7w-O`uz3oG?8||&|mdO+)x5y(1%BP}>;f8Y0OonL0%IJWGEu50lP|94*@IaL` zcfIRU{?JzxhdLkRR@$jy$?YzQpv`jDX`v?p_R3s=_$V(W7vmvR$+C?+u|B$Yeb#B9 z;VCUpdMNS=#`fxC^sD0m)X&fEsCt3#3t3|O;oBqR&!~Pb)Wjl(4yYyR*?>B#k9L@1 zxpo1wgRy*a5&2lUT0RY8r+`^0caA$OVfL}*(>UHX%C8}xE?^dpTLH7O?Jz5v`>M1FJay+)UvBEsHUf4!`~%Owh_ANiCY_#fZn zFshMg%}Y7mgup)KLo$^U;(F*%qtd_{{{f!|V~xviXel%c+}6d# zH8hG*rQs5A-6(4y&&%Xe1)9Dowr6!*+_Ua&+5^y4W^0r1Ws?vB{Kz9ZQ?26W>l8QN zWAzby$csnBrAvPW=a7-OZAl}&$;_Oh6`XHo1n&Jm`xQU`v2))eWoyVE&|nk%nMHEoX#WrRfYE}&4l59-ezfd9`NV7~h1fufo z7)g5%Vfl`g={A!}qFC?39bTodve+yL-nA+BIv?Zo!b>ll9=tiK0@tS8#!QU%B;9UE z{eJeBe9Jd~nK$KD0>PScCprO>B7~>~X*5UuB5p!F2tz0mHFKNR07o3D-y|L zOtVyh2N={uu*q#|k~^@}-Gdlc))_rVY970we!G3oR@?Y~cIXvS9i%7)(jM+21D=|ZqcwQ_ zxs~6u5013H1nVHb(+n^_OkqZC&BOi((SdT=sT?I(#?x~^<7`CXWW6_sns67TfUT*C zcUo?Fz$HH}G^<&HK-bZz6c>~y=+R>99NMNteLb7qybOC3-(jO9_Fx>XyjYXp^3O9P zMa9=>;cLi~edTl%`{awd6rN0bI(%Q?!bigGikeocIeG-VG6NzHT5+J2$3?9;WEh6K zAjILqg^^|&afhV~gX$Bs(+KYe`&@AGGvz86;|V7rydME_F%}aBdPcG*k&8wE<5MYBZ1EWW44aJI2cct{7j_B{NvN0VT;7{hwD_XWv6Hj#&0GV z|K4By$xr<*_d2w&+n93Q!o2n^=S7mP#!&6p$&2m@nQ}ASgSLg&L8g3DbgH5u??z^r zvc51x$1eq|^b!o|b(kThSD^F)&=0ygC7j)mUWXe3g~hN%3od~_H{^}T48f$MJVcn~ zF3r_+L*9e3p*jQAI}J;bo;Rt$%R6rM%)X2iWnl0$iZY5&ob5rms^-y!!b^@htvsUsc__jn)%+fUo9_}n9NzxBubyse0?qJy|THTeK zaaaEB?|9F5yf5Sqr25B55-W*ZJh==pHKB8Uav9*T6-on@o>CAQOGYKJpDHIIIHMsw zB^l6pzP6O1jbPkHeTKLq!O%WTw{KY1$`u*4m({Agr)9&bL0@!N%rLtaxO-yQssvVg z`0%~7;3Rz-)Rs<61mi8w*2Hjc(oH~sv58<(PLb9;qKT<|Lum40gTQ_7BD*j&onw?F zP1~)T)3$Bfwx?~|wvB1qwtL#PZQGu<-F@nL*LVKpip?+LLgsAq^+7 z@&}GHK|FyDJuNDK(V-r}G^DWtKC4csz?_a#$|x7KrKK4j#FE9GbA$A%F4l!|_>?df z9v3z0Kc9`>0NWErZ_@^E>OZeMKkfET|AgelV~!p*OM%q&Es{H)9W?3k0I@(6`(mU!+GET8Q73{D``Zl5wJgAd^J8Aq6g@ z@#tv7KC&l1SA-w72oXGVLTbf@0;Agi91w~KNviw@#NDL~;P9Bw27ZtGNb08T1A+9k zpM!b|ksRNqaH*vD4grw8S!|q!n?pLc;IE(7_3=SmYV}&AG}pT+;>IZ;5pIqfdq9F0 zcpw%f2k~I`KOsIe;f57WJ|{T|-trKe$I(dQQ;F(8#OsNROHvmfpf`X9O@)6RTx|}k ztyn3<)O)g45^ZCsy_mSl<5wfmZxhSu%07se1l#pMpn_8k{uAg?4_&oB&TERBxJi+# zYaMk=O@BO$CIP}i3a;VuDcfUQA91zzZ-vsdt#Qox2RMX#9*;VmJ{rcJ8xVp ztAcaMh?<+4-lgRy(g-H}>n15i?O-QweZe^Hf1vst z8PFwSDG$X9k0j=pK7wYE=5p~ta{qj!_Tw~J2q{)LKnFy+fUQ;=5iKGd7!0Zk7(n2o zA-Y%RP}Qka!ZR1`+>8vvAwp~8e`KqF3lqCV&B$a#G%kCM4=jt!RrKsl*q=n;sq{po zCgDo>26DR$J!TmbvgJ99-Z%`!kxrG03TZcn6O2`OVN%&*-YY3&$3g0pWq80^UM$L} zgN58aeH%{gU9ek!p~nW)Q%K6c7qi2^%_>7{92mPj^*t) z@zoS=p^;T4qg{6%TZA>dOyDX+61VOj#sNO|iU|^5xxbQIvw2$F7;_FGs)W~BhHBg{ zbiI-`|Rnpw**$1?*0uLEby=2n;% z1cg4qKHgvgy~00i&|pe8mh6A$C<%72*&cJ{1aet8&ejTSt93M11Wz{R{Q$FR(dRMz zIvJnewa@M7cb|acTR?6!_LY4=-p!sM;?-zqibRt{NX)f!$X2Y=GnAhn=x=RU#f$uY zyN(8+cBCr`WzhdSF!k)`cjDhkLN|?`ad2XOvMRj7eS(O;ou`*A!hvtdpWqbhEvyWw z$L1K_g#C)is=86))My-PdwHmUNVlG0S6c&V%Fp`?q;a~H1f7$6Kn^)Nm z>@yK2GZ#mNdRfY8f0@BGyY4Bw?v7P17hDRVj!$Nc@CU|CfW#9^|Df*sS86#8Q9r$*!o35e@W+?;HZ@-bx6Ep*jWTy}(=TGNm@LTy35DiN(Xhn)Y z_G(UV93?liU>PA5hyR3P0Oz;h>s^k-)gd(IVzEfn0K|+liH&tpERonknAaZywV0yX zE_9irQU-4N^*$&XEoNWw8-{>=?uCwjiWVRGy`rm@SM}PT5+Qlt>mNEU0265RQa>FQ z7)TVrG zLQc2-LGQDZz3IK(qWP5FY8SA3p_XatZU{M%`DYkoZBJhFRV?r>!GC(QI{X>Pi1hnf zSTwBiOYmdlaD(pX&K-5f5QER;M}%@ra{W*XGsvSfblR-0aI|?&lsjH<6ios(n%8^E zl8Ofsme@ba?46iqQrG)FJyhkrz|dRf5RWhOr45~{{ZL#Lo@jN$eY%Y(39PX$a>6Mq;2W3bQwk5h94Bt%hX0hSe zaiL)}nqZbY+?1D(GEf0KJXJx9k~S^$5qXAT+DSXvJ`TD3bc7e-`1q!peJ7{vtG$~y zi6o-Le=~}2qH;Tf*}oZc7p3wW{W7f5zuJKI{ST1Ft5F8JVngMg-0ZoLR#$zNl0^iEU#e>8 zyG|1sF zd-*jOD$)+zZ5;egjc2lyx7>i%T>P4Y!99j4J$3-Z7S(x}?@pMD@3T5bjXc?6RnsQ0 zN1;azRJP??@@S4}6Bjg0GM1O%!&oQ3<0#(9Sz|@)|IES9-F87O64a|b=O^Z;^T|bm zz|=R2__i45EcQLxn%oVr@{RekjQz0OSo7bTnLnP7b4Gm<29fozyR7UH56#q#|LTRL z^Yy+2rgK3GXCl)OKgzoViyqsRcLn*srocKBW`chMN}cF;g<78x$bbuj!G7x19BBn* zH%8Fi8ECQz$~+&idagPh z@Fz~k_cn!xy;0u|KR40$H zxhy3phh>bSBZP%h{!2ZXDZ~HbMgqhz)R;oxHv9n*5B^5t;3pB1z}Ewl8F2?8c9$%f zZ#=ziv;LTY9R+v}gHXWr!>#_0{h~L}q-<9ju|CGbVJgu|rkqBs#%ivd1|Y1U%;-PL zW6o`({%xM`)$GjOA^axX;>2MSM=idf<0RE7mylvK#tr(Q~0p$6Y=huU4_$hYXq&9Ch-JCt4MSGWlkY| z2E{N3h@sQf>*<*WiH;7Z@)8}VQvd`^LZvx~P8_Q@e_#xam|e-3P!~DO9ISTnYT=s} zt9)@(XBiLCxZ}&?4y~8U#Co@r*Xic&)#?%;b8V8+Y4;Oq)cz;##OYMQO~@vddE2!M zhs1&vzy2Y7g2O?(s*h8AE{sl8n~qE`E;kAZJJ}sLG_eoAbSMfETZsvhG~?WEA>SkJ z-WUvyuC2Hv*zJjX5s$=T?qr6d!x$__93^MmxiO3!4~hyX70EscsG7h8Nyr!%xf^)g zxvb{hKvQ&%@+w#jXfp7Ax+GnO6WmZ2AB1+&O?bVr!aVSxKb-f?8pvWDzM~N>ce1qL zd+jj&Yi;*+$1s#fyv85^ZP7w)oBKQ7*tRn|o5J;i5;3673r;*w5Zb%rY6_KT#o*B_ zXx{&GboWW$@1S;KTC1|(2Fp&_N`iF+-R$>{Gb@Af)T7dbLzdx!)&46s>{%6T#H^Xe z7U*Yk)vsz7OmQyH184TFr|cLBrA=}2S}~D>MlA6j%pJuVNgsBR@IfS!cH+eC6r#Iz zSSDIbMLI-+&_WXecUeGZ^xnLlL*!|FApsoOU=E#o{A(JSqG^pl%U+{qAx&&KjR}8- ztomOmA9m@Pr-bJpl9j)`&f^YQWNY?tjCp1C@)bdk$Z|zn{*7c>UBfiocI=d4X%sqM zE7J}3Zj?!f(%g2Gzcn;RP?iX=oxtYnqrW=?xBPiU=792NkR)FAtjkxU%OF=tLPFcg zf8E3Kd-F=PMK1XsCMga1uS6mJ6rXjGd`{|1bftf4O$5u3q znBO;)9GMoaD*Ck4waYaOF1=99Uda~0XsPL`H__EV{e<>%f5!HYB#-XxE=9PxD9cPW+e53E_J!{5~}w7!z7d-YM=#vk1r?%`^?Rpt~N)tS5yv;+qh;d z7FW{2+D?Hw03_ovbMKC{f?xLHIxIeQg4jv&DYNW8KI>DHKBN`r*5Wz*XH9po|K;$L z_1*Y0azVepT2jxPTN%3yJlB%0LTGE3_HW(}vkEkx+xY&2*>5`_9HR>K?48BTR{$~b zw3-DEQpHA+24YXxn_O!6m1*Q3kjo|`m>?WPv0u9h` zgebrTdu%nA`mMuPja>}lS>H?}qp#|;`MbE2I zpREE<+s=AIO3B;6g=QKrlH?>E=(U7Tr921XYeGdFh7#Q%vZkGeN3fSKd?Q^@2X+t- zriJQ2AVAtfkk4NMHHh6Hw+Gy07?bA5fT4lDyc^)=BcEI^flHH6Ds9>cF^7sm|0GX?_M(Vr>( z2AiL59h<#vcGDhzLosUK_m3p7HAd4c{CYY}e2J96ES^$>`}NUOU9BsgCSdI(tIh_#4%JQHmuc)Up5 z25QBUpiAuf!hbtBw*bq9_GDWDuL)BRXT!!ao4q|99QnVO%m{8|e(J+puI5Jlx7^hl z|F_(|`M>z;f8~7wmmOb>Gm?vPv&qZ9Ln5sb*6mW-8=8sll75P-1gp$?KE~vqECEmL zbu#*}XCne}RWVwtJyQxQ6{de$+C9tsVQ<-5GdA^dOS;JctNNyfZtO>)URZLXc&25_ z&a?%ic9BHmB$JbGo2^1Q;&{#k3*WU1R1RkC;^h7Q=1!N;>g}UK3W@kgyXf1EJB;BR zW)=)oUZ6+cWstM<^M_If|Gk|m*M%0UCXCMov-DG3lV|>1UvD)5t)N>@^)b~=(Djm0 zI~&rak|!9Hs;RzxAnEofAvMKbmfK^Gs7ll(V%DXQVZ4Z8&)#w%#AY(`G2QhGZe#s9 zAfpkIHsN+>MOMG-Yc0~IC{^YK*jej$^+P#x7{X$r=PVjh?sWdy7GFX!^IQ#uZVW&ys&Y82L+Sa)z{9Io@Sl4s!O?W5#UE zoBUstBLVAb%mC56iiK^=?(~!tHl(Aw;{xsPi+=)kD=L!`PR6t7L1pw`$f_DF7Nh~ zcd+a~p)Qd7=1Q@;cK*5SPhIo9*iiN3lG*!SFE0Sy+whfvzkcrU{LjBr)%miaRiX~n zYN0ruP{a&8Bbs?$u@SdlhZ1*-EA=q-?@0VQ&}99d;Z*dNrAc{gFTr0a9liYkLj~MA z8*j5xBsFsnf%4ctqp*N?(~{9>23j@e%r7(mu^g9QZXbw4>Dn=@clb{H>rZK?Rek=0 zq=CYve#_VE=C&#hXy^%Ki`1RpfX8jyU8qN&t@pBcs%2-lRn#m3V6@{?`_3xz2A$25 zN;-`efGZVH#~9e}I?qr;%(>L}@Mx_^`=`4#)SAJf+=jj>GA6SE@BLd$*O zkj4ng=o)&2=E*P~YJsb$M3Wh$WG&8qq&o}?FlS=!8B$#nCl{X6^~cJ^|-%B!7yZyWb0u%cWi@d+{&zK?%DPA>+jiZ zWjS!nc;R4+lrY@=zCZ%oEj6@+wF~s$+*m5!Jx8kybokXO{x(p{Q@17(`MwUDOj%EeQ$tO zvt0$htfkZGt#@Q8oUTdV8vy;HI&*VARae7v=ywq`IU?%<0YjgU^P~>JdZ1cc+FVEAnl^Ckf9B*;s|=BWSi;CWPN#^S5euS8As! z!-~8n8aazj{Ig#nur6{xD-Z&wH=og~N^Be^%}dEt!pPd><^diqLv_j2WvB~&W28)n z!=&t}ix)1~Nc^?wPx9bjZf#iuZ8%2|y8*DcUX5sD28|<(Z&%fjU$`}pm z6Cq$jH6&!LF-7EL4s#*n@HQB&HuiuE;jc42C?=pM^+tD=6ty$DW1{mO9z5U=BZs*R z;5BENUZn<+H9}{jt?4(Gn`{;+_}te?jc)G4Hp42U@?t0hZM4fw8a>e-Om^z|E+^dB z*a<0-M)Lo~FzbtofDV1hETu%Sfn8_CLIj$RGek$rLu_#BP|tbW`(+o>BHy;CN zk*Mtlq#MFLG*r=%a;7+j24y+~`yrCa^2;!>BP#s5xxVtb%{HeDW^mheQmVsYet=a9 zBlWL0_mpJ^BVK|}_UZXR#dkWL=2zkkq;mf+RFm=c&7A^I>O9|Gu1Gt$>$mrXt|QBP zh$Ep!)l=P|^!kppBgriY#PU2V2V~{?%rwYWT~mSMc!0_G+pdo{RbX)>ZZyv^K}vWv z(^+u(Z+JU|SU>YgjT5|59DHn0F`@8i(q|#c=xGZ%W!Di(^owbAeu$k25jV7(Z(d-a z#XBWX6=b9Gz9E$Xnxor5&F9Xz!-r4zX+o1&nfBZi6)~kiq;hd=2x`cq#up^^VX*Q?Uu^{=={S^TJ!K3>VTdtaxSICLN~G*)vqDZ!C>Idzu!xN44_`v8b%EV1q5{l z4&5HnaSbREEk?VTKuQ##(C};cl@_mCGNP=(v$_s_&z*GUiHU)~y4(NZL}rsOl}maR zaV+O2RkuX1!;un}4}`J3z(E@xpCc){({XPvjoYrhv*^bm1jKur%Wnj%`b3d$f1LeTqc(*?-Dk7VcCBU;2oE2 zQDpk1@{$kcBx?kYW(jp!^)9$oDzG97s}olA6$GedsY9*VyGD!_=!tj;SjhVRRqD$x zM;>cAWiIbV*W~)^)JNm41`iwgGU?{g`xy4;tBBqKs;UAxYQA=q8r17T$J={Gfyd9h_p^)Bdq&b&NP-jWuqHXl z)lp7=rG%cbK^dxrQC=B=5=nvw#-zwcCInD5Hq9OfAonW+{=cs>sk`Y*H4IrJL|L`? zp*}BN3EF<3TuJF+8R)ffp6Ql%Uhgt)*+BIl=(QBTDd?Syh#~Nu9&v^k`@19R#P`tK z7&Z@a`;UjA^!w?)G*7v%peQnO$w+mc& zwaT=?K891G@rb~##@Vec93QYx3(bJXKFOqZ80=$9{*x@fDQv2DV8wA{OJXZTpQ0lQ zHmP7J<>g>E#uJL;#>vXGMVg?&QLJqcYB485D4cod7e)c5^S=ua6Md7BVP*!Bt$nbK zt4l(bHQ$T}=;>q|jhtg)mwUF@iH9HPt$nofwk1ALTk^KWf~62q+6Jj-kA+q_bL`4! zRDY#f3AGQZR3;o3aar#WX%Ej0LBv)?k!>TPe`{tAk&Uz}_&u)=4H`iVFzW0eA|u@0 z9mk6w;`kGvGJ8q*I#27`W`Uc9cPm$!v3OdZP!zaveNKB!X| za)A^8Sai%QVJyrPvXb;L3p}EJoqwjY3zwz-i~URRdqb)HAb=O(l2DgcR{9==N}i2eoB=>H<~&TfP_hC^%bhjmL~v38fx!ICb}%3;LtdCU_y`F={F5W>hKJ-l|4IEPZvp?p6NrlXDz`Kg2aImDt(O`orBL3( zAFee%kE%nLjr7TGl?WwYq0{hJzT_f}Dq)v?JMc(Pno6QS_V~DWst%1nD%mJ{k93@W z!hRVEMDM%iD{NE+*rZbpO%q+Oy&g{!9U%LyiB3Q)O>pzYZ|~IKLrAMjc5&^bNkvgZ zd`q-?PM2E9o0;Q8_v1Mn%GhWPZY(5`o#lNq)p!t-DfX;5#nRD2g;DDdq(q5Tsf>^G zn=qLfg$fAeUy7MP0%Mt|7dm5=nze>D9kbG?CXdash#K5mH7sVcE?|?gv z;^Fy+0DLv^W(l>u$(%Gx$Kp3OH8ILc#cF?iA%HMs8(yDyHDti+Uol+j-Qy*W0^SfZ zG2byoM{l{>oSsBcwCP9@ioq=2EBFxu3Bh~TaYi*&*t}=(->>ayQ~i$u^f2vPzQvG1 zHYUIIvzGCpSKHDaSyA5jTbm@{yq8A-BO_-2%_&y-$-n z$4N6Tm)T6Pwk_si)C`Q!Uqd8|I%Ic|T}+$|Ky%{5BTP$ZLyb;Al}EZsh6~lkewd89 zSiiNlU{#~=s>d;dGnwz-k-cC^>2hH^;Th$BfNi@2e8=6)MWmuA1`k^(yy2&(Nl0YL z0R10u!kBEV?^^G|b=Fc*n~`G%FoTH7l62eI?$wreCK>NIb@oRmDW<2=R$9C_xGeG} zr@?`xjeRx-6olnoj?Wa{$!Rl@s*vn*EbCz8Xd?3F02k2?@{7Rt9qT2q!)JBwo$sQ9 z4*2%PUzUQZKdd5R`pS9phc4fO&N=Tr<~b!WUgW{;;s=w1UDk0!fHDdjvOeIi9x=50 zkl_y4mceymqccje*d9oijo$!n2O5JTw};9uNdw}S`xi!ZqHA4(Rw>y`==b z#6duU${kSrx|9xyjq`b)fao;suzTGsakpl__5g%lccVqfp&j(d(8OZ#jXIF2qXal* zXJ(6iI#yC~@#cf`2x@=0tb=|AGu{NMhwk_-ETD;?_e4IpRzEuNcxm>hRc)(T;&wo- ztJ_9ve0tBe!$-YK*F0(`&ke+pzk>Ro@|M6u?I)B)A&>8e_xIiho_z|Kp@(&H0bg+k znGfj$jhI2tCl;e{v(6!uh8WBGyp^H>_7Hp#=SI98R&U+;C z!A4~KsA89xx~A+|yhG+2zlzcIoTkP0K3u?ybYxHPTLCi;&85Qos}bwOZMtsCaVe>F3WQ% zn%IjF3y%LvkAFf8Le^(QsD%bt8$+#hnf1>UoU5%PLrOe>RMZDR!y-P&fdc2hAJ(&< z@&?v4fx!aoD~YBxn}rT#K^n-muw~K6VKVAW-6APSK*&wO2ya1DEIODTAil{?Q!FFc zn5cV_GKwI-{~8w!=Ps5oO(6PTI5m6RHg8?ePj*aO>OPfjR@ z@d(`>XD`?V*w=~oW{Ll}$osmu`Ob$J%H=dgsj;h08qPf%H;U@WfI%gwLX!@_HjALk zEMcW{E_AGaXsL|XU{}_`^)$MFc?)^MM%Fi$j21VeV!%qk(I%|mNx%VSfefcf_;8VM zDwlNQrHZZwLt=qniQM4`-nsdC(|;)YNqwOdVx*h;n5RpP!_s1w?*5ZmE*f%PqQXBD znpje@Z(=|##-GOH5&YSn3V@Ckj+P2W?aC2NS;Bg$2t!#O<8lhmQN*XS98fbKY#c{hv;1`(D`vYUOJ};O(2?qpr-DjYF?>&mf=(UZB+u|oenrET%}E$SU4m&O)60l zpwlP_oubECZ0g`ItT8yNVv#{OyOvwd2wD`h1xaD%;kH0M$VLYKs>X}FA~0W2PYKh3 zmok%aKHEgK^y#ta( z=16(SwKn|rrZJ)xBu39zq^t{`av!TmpM)#(uQBBmCUik=3TQB8P@Gv|@|bBM!%7M^ zp$+nq_?!;zlK9_m)J!}{c|DNOVg#bb0CGmgt4wYCz$5T8DZ?$Gy7kpI|L->6pJq}>|MI{YQsJF6bMrK`Yc)pwSkzVc z695VZ`s6=zyumk_{zF#WE+bDp=pbeQ$OE*}DnXlDSZERrVq2`tASLK(4tqZS^Rz*w zBvM;s1A@9}sv2JGk$mZ;%?3!I6|vd#Np40u7wb#D#&+Qahi|4R(X(&Z3I0ww@PZK+ zGrefv7JL#hVInAI&Xo}3Y-;?^z|QQ{bNm6OIcyO_hqX}F$&Q4%H>0&l+9yS2Zsv_@UcPPTkllovafRdzBSn~<+9~=Dy=%@Zff=1^=H0id%!J%ZOW|hI zx)A_AYi=Qh*gVUh0fO{gtI013Ps$^y*(`&bR$vAN*XVd(I>ZVmd+3aDm|UHRL+=kA zxpVESxtXeg8jJShR0}7C^cKuCYc|=H;KB=_MTOeCeB|_ zo9^%!>dwj>HouY~@Xr+y_(vtSE1NkdeK=tQ@r}pC$-zYo^%<~uZ`_b@ujB=SU!n7eLF9B0#7w5 zx1~Lj$H_vzV$vs=iK!<^+_4gUv$|H4#<0*>CCaI~={55IjC)MZlM4=Il@xFh(Rrph zI41_E2EWphcDbIbgp@*I-L)SEx*`P2ofgono0Gh3F4MSxd|WR5Y@qB#81=pTY8oMI z$hv&H;DuycGqE~GGL_mhk|)f4Uorf5SCpwMO)Q^~lDc@Gd44+=I`slx85xF+<++P| z$>H)J^UtAIN0L!1vFtP~_9HuWi)2;pBpCoq78~K_;HQK`BGcbn@kpImg@-aUFWz7; z)WHX#uxs0O67txK;OUKuG`_!IlVg#L`M8onLM;+%1YqYk)p$Xg!7UT+Wna%b(I45R zT{h~OVx{dCqvH&)AgW#nH!Bcn;FafjG#b(fYa@sVts(;No*-7q2|onW-z&2Pm%{(a z*Wh)SRe&=MNh9`ArAY7d3_XD6tnu~8YBg}h_lR)L9Dw1urz=yZ;v5A~PkMyTZ6HvD zr)ulqH=8;}vePv=4mo+yi$U}FOCJQ@W$h9vDpylcp*5Ne^2+hN>>NbJjC%U-#Cr&* znG1Hw41q^Koz*ND=X})RhV$)o=(^`y)03X?SE}@J!>0(`(-M}!J*R%O#mJVnB~V`G zmgH8EDiL-_mW*k))~D!iuV%rNn%0e&^d4dv=uIk42EJ`fDxT-EIY+APS^}orV%+Ah zNBbST9PL7iqUW5sy_xgPLwK3T97gk8Jun9dFDAAV^qwSS;LySr8apD{cCUZG~))Me#cLgyvaO*^c5lnTX0AT2T%5%(Rw#tUDH6Hj(+Q} za5*p;wm|lL7TRJyhQ4Z#P@;z!CQ`?DPA4e^p9uRsH02(m0~AVJ@RA^qda(+SU&kMl zO5i?>3X%iB`jrmBhqi|w1rE+oC8Eqm@U8DpCT+vykQ8ak+zVm?&cS+2E^lyN!*hUa zZtGfJcdsWq+FQgIE&|z1lBE3ZZ7Ms%kXLWTG>_{P9tPftz=K-9^UmIvMjxm0Z#^s6 zFO4w)+*k-(EkeaccrB7pBVwqx=qcd*V>=KLibZH7k+Mc;VzAVMcMJ2bPS6ji7z6W;0)!aG4(=uiA)&ZTqjg(w=TvP(9%)A5 zI$hjUcI7#^QUY#qe^lTxD(|0qnv+O0l`I6EZs+2_F_^A>*y%AsCOd$zfOL7)V39wu zK{kxRU3#cII5Y6wH=`3e%KG4%w$~K5M>)})TP{XbcfRN@*C^9NgoWVF!Pnj9R)p1N zLZzFjU34Jp@9%GUbwACCD^&$sh7MH)-B^eG632b*FZuT`_&F)ifM&Evlz=hs6sK5; z7Nda;3Ox);Im)LuGJ%hA1EEPWyRWJf7V=Qt=AP&9l1?gdkX>wt@rQMi^Ke{r3^b&g ziG?_Dp1oONfLsy=?3(1RXpmi|5#PL?gA!p|rI9bpIAP3xq1F7+3m)s1uKz1c9oQ1_ zc~2TSt_4WcHJE=H0Yy;a5P9F^Zu&^PY$>+J?M_dEIwPyNnVhH5O{4MR>mfP>{63-4 z94O4+YZ%|(Hy#f8))ln);S7j@B^WotXGa zv8!-^Cu455_W=t|;z;$7A#R%(qC3CZb5m_c^j|C|N1+biCEpZ+9;rUZisz3k!xfxN z-aZ1x$u#<&zpkv38?UrmSHnGG!u1_~Pv2gP&+&E(=4oPbC2~xq%}&uu_PmJs*Uov} zdnc5}=;V%eglAskniKXbU0aKGZS}Slhrff%f9(@|h}yq+337E6_fCu(YEygGU#FL> zV>*>om&Hl;kf-pJxAikxEl44;MReo2^BvufiSF3M*V4h2glEE1fIma(d9Eshcq@s@ z(j>N$8Sba|<)SLuu0n?$f_Q6*vQ6S0{e;)yNzOctt`J)_$cTu&wR;=J4KqlVS3G+X zk|Df?4LS^EKDpDYGB@%HJL9VwR$>buc60L}BbiT1t@n8N<$G}wZDPpJHQDI%il8+3 zhHo8G1@V%+uQ<5o2krcSl?v2Bl1k4?t=C=K-DP|9OJmd|voLH@Z(QVBTNKgk&}@0t zl3kIVq?4IRk$bP08#D6oDd_pXJy~AG&Ya5>s3)d+4a=f!$#e(>4lRv^lu)h=2NxPjQb0@g| zsL0O-LhOSM{+Da&-Q9ssYobJB{yASW?6S5)>}gYgnM?9!g`!V~m4zX3f4<5W++vXtYt%3?_K(@-}YY$2FXx@m@iv(cXeXoG76}D*=Mp)QcZ2Pp*g|6 zB*X(q14S%L9NJc(pck#)<4F>OkS$3H1odvn=Ojx23Rx;<+GmWUx9DO!>v&NKYSZ&V zBTYa{|B^!oXAyT#m&C?PBZL=dSH(;xfs7elHqm2pQG0YMT=UaJ4#tcE!m*hvq*-%d z93uEicW{Zq-*(C{!wfJXjnlIAyemau&WatNaDaXl+F=29%!H&hodlsU?)=Ju+@!P5 zb#s5M$oLz;kLSq$t{a)fuPH3ES_VHs;PS##(wNW-R*UmV5?F|SZ=E-SAg}>6}Zdm0I{qiX-LK%cH~@^J=rfDwl{(C_iPs zG)Po8dyC)GzOcTf5U@42)K?)2cY~M*nSU;g#)exb?VFCLwuSlIR0J4+r3LYNj71{m zVI3W3WZ!KD@?;i$%iiL}B!&lB^0^ zLpzO8#Sv?(7GS;K%vaNNn}QyTs7wvq&f-o-q7mox7j8|~hP=IAe1-#s>!GT6 zKAU1ikpzsBy9ztZu~N|NsI4XakeqQJmBq0R#S*TGX>4}hK|&FYI1UHdVG%uHQ%wDL zHR9DU^B_KmIkHv5z6Q%gPoW9wVoj0t~AxBnC|9MK1Q60=zcZf3LB0x*9G#{$LYKo&c_kX6cRG>7N#zJE*7ONk zI1J2HUk^9MS94+4UCQsP)$i%W?+2qJEq@AOvX%lLawJNGRJRX~&0$!YBu#bNIK6;A zH0;XdL1UMIs`yvi<)(;~I!%UC01X~)aG+O?7gUdR>GdV1&4ZJ+&Nh~ z5hqx3v=|-2_Tm#DXT(GVagxlDkNj1Rp&z!ubL1X${NHGQ&9L-XDy=Jwobf7~kix*d z&AQ!rI)Y2&5OsJ{qVmxlS3lL={_8nhbl@McPEk~R z`itj+j97Cm`?-96v3tEh0{sV8oz}(Eq{xPK?J5J0nDvU`e-lOCYiaEpgWo%u{6m$q z`M0RkQ?A}$G!CjY^uZ0O{uaz-Ip~)8ygK7-RGTQxFxGTTNxs5C{6_dB=1Z{^L#01C zPwzhQN!T{^ISB6DlVn@9MqV)^SgjJ6Ze~2GhA$3ZKB4*`UqVc}N?@r+X)#)!vODT# z@2(Xr2@g7%goqz&Tn>S0_N6OEB z-uIZgCvGjpVUOd>p|ki@ajT-q2gNpA#35cmnpKnBJCaz7|3U)g<{1TF#2JPx%@BI* zyyu^_5~NHK`jf@;a`48FRi(8U)WSdB-Q5f6nE9zH0qeb?SC)aT+!SmwD{^rD&jl74c5OsYn+hT5sH_xN;Rx zsctYYgUeendT;|BB8`Cl`BioMS`028HPvD=O>2MT7b~NHL>drq5Gv*lmh+6wBomav zJ`4F9=;0oCs6KTqT?^d={abYzB}e1@#H1-JqA&~s2RP~Kq|aS!2(w61agySj+02PH zfa2nU!u9G~(~f4%Q-Hvz(3a(uUHI#Hg2!9Rd=SkKeRNH!aB*PEM$7AVbZqDUoHuHO zs1eM`F3aF;|62Ekb*9SPHBjiQu)%l*H%+e-QRI!|G5Jlo*Bd)A`Ks>z!Bpg%Fu((# zUL|_+4(TnLc8t7PXZH$Ucj}t!<0j4e{;Mc^)_*M1`#xyr>`fZir>UB~KT6TrnfQl7 zVPyKW%*4ALqX36_hrE*b^^|tW_j|OpGxFGWhEw2B_LqFf&Kz^CJssYb%(=nOOGw zfR(io7a@J+yI23weeryBIEV=6__W7hWaEYNF*MIe5;|4QqI*Tt@TrYOtQ!Mt`4l;% z!6E~4e^$0@FS}#g^pSHAkoi2?=oO8{w4%m~&z{^i9JYR`9G62t!n6YWk((3dfI0Gh zPNr;^`eBAJ??Jw+EqSOy(0S%3Va~r=Ohz#{%wWN;OnjNalj;p#0bNIufhbLeawZfVU^j_xZb)mys@# zlfD#v)A_s5T3jB}SYRby*V??TXxp3k{ZmOUFoBN~1^)|TEVI<@`ai7rEN7!;YxWrO zh>3_65UA4Z+v=m5*#-C;;P<|E@cD2msduPPSMW7Ny`C;7%Vmm^ zd}4PBt6{YEimL>-|N167O_tu|v<`D51@&}^?h%5W!nU5lfK^?h6feRiRa`1UaKIt^ zo2{XVgU-%=5eM6Rd@BnRM}ernMTWjbZY_t=ky1MK6mATuPwL-pEW3A*fQY>&)RI7{ z74GJ!U+3<;J)iC%y@8Q3?JV#kT@Yc_8@WdO4gNXoOSJ}vm*5zU7ZM$wE8)7DKYkhtKgJqz&IK62L1N3msKy7A)RcAjfaX_T zL@M(!kQCIbtKx$}rv|3Jf~x8ptOtt{h0|yE0~XXQGU;AQm|DQMiu1*Gn-{vfjzF!< ztlhouBHCLsuS3i+FclD?XuCYvc8zA(Xj*HU9Xo*aKh3=*v$CJ{{igpJ#K9e8)j!n$ zuf{WLY*(uoBkyuKI{7U2qposT6>%l1ARtb8FR8HUN-2zZyeJ*8pOQ!_xIK0lO3REw*GD*O2+?qFB`47B*N(jaq zOnBW_p`!{tURKvsLnseI{4>$G6YZXuuq}*JMTwe-76)>i#Er7FUlP68MG`fGv+F*`GK*}sGcq)J-loB~0kVube|+Z6x~DWFdro_}bJvrA}&*Y3MG9(*_o? zjn3Q=!pT8uVJ2eHP1G8#8<)H~S=kQxLSLTg{xu@JQvmC$$adq7b2JvXw&q!al{a*L z$%rr=YvipI5w?jaFiSkv<9w4F#GY#riY4FF4l6%WfWx3NZ3#Bd^HLa2+hT)et%M`8 z3)^3p_s8jab+k8zE-m(uf28Tq+VmHhZ?(F|Q8rli5+1`}C!>HRwkai~=v3K3slj0z zV4+7Fs5j7xbAxx1O$O;n2R417llbnKEgrEy)RPWehn#dt^}G3CXz~L5ify^I&*g4X zCBGuFeZOE5IzsWv;{4)~nGQ22>A#Y6-zhpD<=xKnW^~`n=B0mB zbpCe%(6tyOP4J=XaBf`En0vW7+bE|oPe~2S?9S-r*2P_9u8x2XmUK|qAEd3fSWq0) zAC#8NioHZ+#6tC(woN$+6mX}bD`>N^i5h7n%v%xgQ#OzX!B=AgR!SE8w<^Eu1;D{Mi;E+ z?Bp_IX9411#0x8-DerS=j2n`>#Gkb>rcsy^`m>*VesiZ9Z3~CVRyIL*)&ShKUyP6;K zm)irqM30{TRXr~at>#x$-ov;5`}jjl1D#mWz8FEw?ZG(hP7&P&_%#(=f&?gmq$RB# zB}ZQJ6o^1@F)p?+x|YH&obdo%Q}1KM8mN3dq(!yIK?X3X_T$toWt#zhKq2th<* z-1?^}v?i-_KXs_%e9ERiGe$4K+5|4)m7FTsC7;v3@>g zKlZnjP(1I2VKQPN7y5I8LPC*XA6sGbt7}5>3F5J&`;xl?;>DSlVa^$0`CYHR>Q-lc z5%)ySJ2vjtlrH1Ymk6GO`4N5$#gUG`_i=B-dG(+CnxFZ}Ps#~J=U*`X&@Gb9S`J`d z!2JcIHIam8xWD`MD4i>ZJu)JKI%#Jqp_q5QX?4^Un7Yi?AZm3(-cHOAc@<(KXrLqH zPTonf;Rec%1X0LP78IJzJeMS6n`YjSe5k=CTT}_RF@Tx=o50*`ifu`jVIs$1{&)R& z>-O;~-s$16pmrAGZSi%&onDJ+9#I1di}+r=lhVF`f_i4NXfeh8Rq?4L>O8)G;8Gio zH^%3L_JT4hskwOk;y&iunD~f!OTQcgHl*tLa%OB|3*TjCY{D2$!2J?T&S@jqeUmZF zqquK!zd0^W!I)iSsuE~-_~Ct%@6Ca6ipKJLCC>F|s|<7>GXdyy%Q*uWc8XSLTVBq* zgrfPc-+9A_*ACO%iW+JQxRI|Nri?TNW208GL-HevGE=k(@5=-UYGeiSZHv_Js>4|3 z%Ei?Pu7PC64UBK&+sgeC9m?pU@r+idwZruJJ5MkaTMaV*u8P=gh2pZ9uw-1uB~bk0 z*FXO|XS*d1n69e?j?M898~Fy^5*Uz}C=@M(lszV_yCwEbqm79elA22B>XOyn66i}r zYMK>A>=}vGqPM}LOjJBE`4D+k7=oFK2FAkBqfBXnNPL7IpQbV-6sH4$<1d5&tk`eZ zS6i$oaRd5f(f!WmO7a0%XKyiFvHa8$aoCa&Mb?>$5W^^%R+o26jD@xKHzH$QR2SS7 z$jqQBTa7|&JDSs7sN10X8!M*EF80F$x}!Lc8Ql^SIo!2dV(pfgO9HOJ?DooTSZgpF z8d}a5)~_2ufAQt-{vEn}-I>RT_}T5CawzD8CWx9ZMg&a`7=W`^HAL8@cK!5H zD&CqQBo5E>Im=)c{b>r~=K;m&gvubQ z3M$*jSyVm+MRJVJX;{lgq8!Xn0gZpP=lUw>Z0U*Oudq2C2CHYubOwKIzmB}NPhZYf zdJqgQ39>Ux&dB+kW^yv@OQ+(hn`Ck_wVW-tsIlvH3|Fr>pE%BNAzvL(!4Ovvw8nuz zn3Ohc%}IWyB$myxYd8cO?j=~4NjoLIgda!A`J7~Ol8k0+f)J~k8~BN$cbI;ouUT+D z@i9mThlh!`s9$ZC%dX{dex_YDe3a|uG+vK@|JSVg&Z0wO2aNB(h?su?~GsF%gZ zX@t8O-TiG8H-ZaO;bB@y!-HhN$o63XW(iU$1mId{R#8cH*tA^ z8EPzw+a#)@)G2bNOn0?%3$t_=wz4tFm)JC8ZkCxdrHEQ0Z86P{&U4PX3@CV(c~L^c z&@NYc#&T1IU#=VIcN*;D+*@;viEmxWmoL4L2Y~rmVR7ohv!XnSBhM(m*p+-q^5s{2 z@GF1no4wmvQ#IzlTjFMU25h%s_Y_!~aD9~snAU)wz+bJc*!5&cieb}7`b3=pJ8Z$F zR3{qXUuBm@GhoPDB|4|?I%dG&9q}09%e1>fV~x9x88FvwZ7FV2CbnV*Y%)t%WWXkq zYU01!7X*ctBzL;o!TBk79yHcK4mj>M$Pv;zYLa}beegxz(SRsP&jnp8@({R^(Ortq zX_s81f+Hz^e}qaT6S+0?J4Yy2zGQ%MA#nuH0KPB?ln&)AeHBnH&T{#N2;~EQr3}~% zJCtUq@P642*eNZ|VgHx%D{;IL$~lp&fbxOTS?T*oCC?8>za9hJ+t@_Y&;1fb!fkxC z^ub&8N)#~#sO)x(NI*$D!~&rxxFUsRO>!61%&b3* zW3z-E{L~~%Ht4yCD=q~+3;TVpbwsuN>c<9Oasuo&R|U0S>Pi86#OnyqgL{1ejvxh2 zvpRU`1Z=2#4+MJR{&-=;{c$_IzlK_&_Q&^EQL{jAct4Iera4H!EV2%gt&00Evku~} zh2^Mb5VS=AoXlXMZu=}Cb;*5{_+`JCGj}Ju<*rb-oN#yT`XTA2Hgk$rs9S!DE+Jxk z*Ju9p|Mi0&hH84(!qAlLUG+nT<2iWqvabj6!NM}qP^c!YJc3>LAqPD#~rX4J6+f(R6FaONQ4(L>qf`~};FdT;w zLsrbf&{38kU=GHL6d|kR!MI}IV17o7L}NM`VKtJ-QbQk`?cwQUgd2wgTI1yyt<;~K zQ-swaos77;#dxvwY5F>(59wqEToTjsC`~>gtQmVM(Etfbs`ONj4EKl$g**fes&2#K z9xUKFu0tC!##?u!g1FL zffu$Q`EG0wu$ouG`fn-pil!np|0Df}|d^RZHQ8)AJ8L6XcEm?^BuX))kfKHK5`<}R&2dDlO4;KuDfyP-nahTZ+rb> z)y2nn%t_)kP$SU>q8pBtV@`sOBY6vq?%gmt1$_$|f}|^;nyzU8Hu8^p5QCKmxzWS1qsY#n4H0*ZRIba!r?E@`EQ53K>dkg_p%Qh*thc$)4 zl`PS3%hVI0o(h%aCs%Tpt=UiuQ!HCH9Eb1d{+t5w6ozC%`SY31ArIUovX5O4@`uTk zg11Vo4Qj%wyI3YanCtUwf%GkfO=j%^*{)&4}cL3WC+p_{|P|(ie4X}A_m4j5S!-}F*eBH({M!S z!m9%~My-sD8+rkUjC@pEa4m%YR8}lhD?$9Y zgp^3Wew=av#{xslLcT%TWy20-+kusO$dMF@0@X$Qe#7NpQY?`TB|9fAFT z^Jomc|GfX&KM*G>JeER}bEjy<@|(f(+dtm_p|!V9WvYOZ5zE)!z8CL30lj@Asri*t zc^S5^y?r{7$I>}vxcLO9-;=9FK&hy2T2(r&I3KB`8&QMy>v6|drd_J;4A5tuC z$T-^!yWOrADd!SfUmeCc?P}F=!^Kt0f+ILA5eKW0n>C&9Jjjk;L%R`*CCE(1( zG;~H7IX~`Q?EmO(pZ0rxyv`^y7a3XShey{Eus^nmZVz>qn9Q!b*c0cw0fDtmgemo* zXc!6SlT3e)LQ(6QV)}bVd5-8$RlRg1Gem#SC}Zc7r2Ketpi;O+o*2yo+Z`nPJfqAH zE~@sn_v7ZE^9s?Hk0;S`7P<*{g3URjEL%P~TsBk9Se_>km*_zqxv*YZV}$0No;uk>GVrEI&Sp<#UWIi;PxCe}s^pZa8Ov z(oWHuSYCdLF6r|7s?Bq+`<5EgEqK*a!;G<4}qZl00vE~DeV#t7)ftr7*{|QPi46_9*AF%YBj|ydC zI~Ipz*~1bA-2}?`R<#Gk9>MNfGs|mcxztJ<24r*!*71T`a$CXqkxXRxum9|ao(nUL zG(TnFY&q6$-;;QR%yP2@uZV$~QhS|Fl+&NiXpS-ZGR@J3#)Y0?gc)w8(hG)KC6W3|b30&i+0yj^D+UB=rIUZ2yr zB-IjlQ^M-Hyk9z9pEI}THN0IOJFOY(GZ)j)LHbz8SpQ3Z_V;{X>moMVRAU)y>(fD1 zlv*K>oZ0NUx|=9A-(X@7^~PbiJtTn`Z_^Q;Wa_=9;9Mqc##6%Yu?sZB!cD@QHRE^*=&Vm zumGbQ#@47jkP!E$VapN2+q`7IMW>N0xlLH>OBStBm;*y*Gk4x4E%;zrv_@^Wse|jV zsoI!Q#yanP4-2k2+L#qj4|H~+a>LfBO_N-vu=jX=_FcbEmMxTY=`#h(;shOcm^CG9 zZqgvgU`ZdxjuQlWjQyeI7MDl8W^sx((6v{~;kgtM8(R}!qc)}OcaX-Z)>H{{yW+Y= zOY-||i!U~QqS?GYoso0me)8G~4^94lS$coe=aD}eZ(KGWq1sHHT7cS2rRxqF^$agt zsM6kHMq+ruJcnLQ*>ELSTOMLFP^&>7`a@N#!SS|1ZH8(!1(6-{GsW@CwtGOLf)qA+ zpLK@_Gwy|YmPRr8e92Q43Q}}mkI!;pUxS$v%K@_J3T_*Y1t`SO9RVK(IusU zkACBOzin+RSJ*^#JOcCDR!;9_Z7Uy7n^>V?ByF;)&9Ff%w!6{Wj_q#ZeP=IcZ7YBE z?rV2nE@b3B?u!zuTkt5=W;Q?iZ){)Zi~&tS4vP}I9Wo^>N>D1dtToWpx1@X5>@ew! zhG>e`ZgESx>nIyD+_wibU`zFaQ*z1`dDF;-5M7awy#wc7GbO$vV<)Xh$j{9^-6m&6K;u%DEITTV6yca{Fhi@f9MS-5f^H zw!S^e?nf)|zNoG;oMy{4f;j6iTt?xg5K&u4*_1G-$sLD5$+G#Tgw`g_dK;NF^ptzB zEG^@%mLFb4u*^Jz884rDlYTR6upH{Zk6DBEvQxAM%Qq7&f5%_?=70D>Zvsoqxtbb} z9J1684x7H1fJ*kY^XTyN+-|lg)h`(EwmGOY3ToRB03qTeJ|$KpDyFTcp#?^TF{9c91(|sfc z_?ev~l$+EcuOZH2z+1PESA|{(et;+ipgaWjeY3gsj8G6l0K|c$QQ!l@V}*#fR*rFb zAa~#Hz-5yHl|w_#I|s5B0oPz4Y87ya0E(xjNtG)`#n*bMvh8_X=$UN6N$ioT#pk>A z77D2qX=xY~0iSI9`=#*YpN6>SSz&xv5L>ytOrEaG6}=u`^jda`R`j|V^!lGa@ppgU zZ*m3EeZ!xxmC1DraTCO~1ig;i-ddQ&(9p{aQ!f-|7wopY4UmzR4TWf?TYwmV2w|=V z;VShyXG4kUl3^aUjo~MVuvqEx6(y##727S;I~gTHu8Y;pXecpVGVFF8M{OgfL(emd zu+dOrx@6cl+nv(uwtEpsi-tlp%Z5APC3cN>ByxZsqY}-M3}F@+0_yDKz)J$J2vWu|~)V zIN><+<#`kwu{EJ|%C8-3O0_;(-iI$Bn0!9)RmeVRw2Wpk*&Y;wi-s{l@W7&zZN$*} zcnSkXLxtCZo;xtw7Lm5<0gFCN59~A ze#Psadg|7jo?!+`^YG>-q^vx!9MP?kgTAM9J zI7t>m%2sg54dup?xJ^*OgyJaCAMraT9DwB$I*pVu?F#VYwJo@7%*s zj~cgZn^*^fgoAum^;@aINi))S?hPOne@dXrXNt&pmKQu3U#mh&JAkpr%Jl3e-#Z1W z$&*T7=2hZ&;?h7-U_j&xgu|<@ZFv3S1nrKgMcz-jM%#K7U4a~^o)pNLU~!fgN7Q1! zI__GgX?S6d&>h1ijJazgS52z@U7;TrTS=T5K`LGmrBlu2S2 zpL*)4cYOM%eabtXV4;~&BUtDb^Z_6cpsw!b2o-(ai_=R*DQQOFpI)}DI4ST?7sU+G zV3NFS_{Qp&`mTA?G()J?bEYwT;&zvOE;l5)nBf6Vv81HH!$>_IZphoJ8FssEr+!r1 zq>Pjs5^v0KQs7^_>})xyvCn&|Sw4uyK0WDkofGC^JdZESgID%woPI!>t zJBbD7QFzI8wrlSaP4^i8@{12{r-(53C{B?gv%s`I#T_(%&Bc)pPmw}%ey^OZ{9f^R zvWHO0^iFs~OHR=xoep37z2EfNpX-RLf$Si!HP!qnMfj9lnr!}zc`e+B&NqLCqyPrs zByA)7_6Hr9At&%O@?+;4FpInvvQ-QzI6*@4i9;iJ+2Zn(nCt z0tVR|D%qnLRoq7bs{u4RN{u~}_Y@@~+e1DSlL)jIs%3PALKDy}_?|$2w9DNd0gU)n z6jNXXC?if07a~s8v<}=gi|GHRX&v?0n@fQe#~Y)60&5ZdN{?#zz}mBF;VQqd0_WxH zv2gQE&!pr)ayzgEwil8^@T#c9YM*2yikm;QEi`47h>fw9WuxBPN)kxZHIi`v+6>NOZYPde3tJA z*e9X0M*4%Iy_M`)uorj~hAG6n2+rC*num_N_2V&m1qL88KR+(%?#-F zIJSwf=7t1hGdym)qzSNXM078IvNs?%@A}?@;za=LcIbGAfhrgoZaFioi1ciHW60Fh9RG7W|= z)?~`l*6c8#Px?Z-EjY3Dn(^!$4o33-#TEV?}~#9${v zi^tTB6VJFPw%Bp@JC0Yv*NMr3Y*;L=4XNdt<+0m9dXttLOmV6$<0(3BTAm{07n)6{ zyvMW%yJzA#0uT8pEMN2?r6Pw}&N?{aPi(9zLB~M;(&$6bIg~%As=Yig@lKP&HMP_(nBOGfds9dD^4HXZ`w*HOtx7V)P!R7*Ehrhg7%~OY zO&dsm6pM;IdZbAfJ$jN{D&G)8Yp@E@F5syN_8SyEdN3$ym2$mgmLaMeg)9X!R2Q)n zNj!`_ddNmy)IM-CL!y=?+t+%?@*DJ84>^-|8uCww`>9P&pGFSkV?zJ`wLkO^|J7%G zNL1>@KsK2vcijS6JJbJ>C)WgZdZ}o*LyrE4xjuwO)H{kEHOgcWL-UF{>kt@>o?GDJnVE<(qZ4E3X88u49zP_`VrZ7ESR*a+>rM~GsOO& zP(?#`Pq&7$&zRSjLl+ z+Wq*Q1M~&zgC#v2TXVnbxp%Zt9gdg*MW-NG#?u2G%&nAy_6^*o+Oj-92S_zg3*o3` zsA5ezIktD|M`Q1_#5B%&&GHP%Ofp)s+p;>&;uN7?F4dUB2H$;cS)8Noc8^cgL9uga zDTMocoG38CYr{F(ZPFkw|CWSoZ!u|yUq`a$#%QVqrT9f~UV4q-zoEV4>&o76Rn+KLxmxMJuKF&`@AAEc_{ zu8hh*1m6jgS%oVD`36X6g*TwN^zr1T*uXpiclj3qO9O8(33z zp;Qqt2)F5jj7lIwInl5s!hBXm;U7?nRMZw z!N#>=q!dY5(!_V|j@GhML{DXH7}?xfa*8e?o_zV&?fE*@k`U>J{P}0Y?UsWfv0~Fgvbr=B;zx-BC+H zc5P!jeMKRjB*Qd)nxi8y3aVOBh$qPqoS05TveCGi)V_R0qPQI+lN#9dj>9XHqveJ| zYDg>gJ=_r?O28|@*Mpm`8!GX{4icmbwFFxP2U%K@32HmILt7i(tQS8b5i2<(L3!^JcLwOD14&~r6 zmc5UXSZf((IbvOVc3{~lTAhmJr|1%=;%~qExBtKw%{dk5458*S^7j}yQCI&X zY$5!>tVUrdpdwKLc0{edY1nyyG7S1x>J>{W17Bx$;D}p6wW8c?61MjH4JE$mRA9tL zWmr-fB*_m$#MM(yMIvyZ8qlHLrdqLZDmG0LfI93_@+4i5V1*T}i^Wn!HDnM0E z1#11jv}A2g1(dU;zWIK&BISpJ4b0q4=%5ONk zSveHuNZ2E~Emt*(>sOOl9SW276&<8}wSByBBUgvwQ3_9d=PQ5i-~D~B&*MszsA^e? zL|Y|GBXy;Y%pfCVnPHe1*2)0t*qtfm2JN*#A1nrdiJyS^9n2|2Ln${T!=z@6)atfP zLdt1p0Bb2X*or!ci=DGb{|g4Xp_ChvVe)0z-7uU%CglcOu|su*m_9awOv(*;#T^tU z#c*T-8HFe8``Py$9WM5IqI6mvq&O62$%E6KkIgD$mLgjoP}<>Fc?1tdT`vFN!X7ls z!vO>Y-8;VjV(+uyA2F3Ej7ca%=}9w62C1`Cx1h|No@iZT!Kf4g>hz|VT)8lP?N%S^>Wr@5cX z>0bP)ob0D^!QdAEsrbwmxwKCg{8VrlgP#hPv!8~oXWN6H%G4;Ant3CWQbK(X`Jy5- z#nB@LRR*I;E~3gbq{euCjZ~SUzNX_h!t4_Xy>cq7!RV4mQwEcUS8br$@FyKoX?R1d z?_p93(%)hK;L8*=?U_+3!bI{^90sKnlT~z5N<19?a>LQJb*(T*1 z;_#1F)0PpOu`OfQ0`Cy()|6k`>Qrmm0CesmYXt-0Ka z*KsViStL92oQ|wN=Nu>IF|)g$4Q(dLoLydUNGN7NL0MHMGt$@)X?Gs%a|08XcV|ttNX4AlWQ&yC z%TvM*_q_8X80Dsw3^5Jv{0K(5J0-)(5sbV~rI47s=JO*MdCxJ!$u_Pcr3p1X&bdQ6 z6_c3jbZVK>lrhBsy*)y-GL<{)0;yMxVJ;RC#K-hv$`T@wu)%Yejak%XrkG91BIOI0 zMh!evcWtJhEr=09LHPC0csiYG(q2~whY(tRU(%o*^k(B zD)&1xv@u-C7RxZ_J~Fg1T*@;;8^g6cGqf>W%vZosjZiQMa!{3!xWiIL9Rwc{B;yhl z=0W*=O59*h1xKp~ud_5IKxAOZVT|psYyX3v^Ogn0T&p3Ni@JE^`J@^`@O;p1I-!OTJ@`pi zKlnXNZ=84agWCg_c*4~WUJv>=MOu;?5XWQ9oeWOTw5wlu@RQ@#OAmf(-g-ewn2rj9 zV~WqgK9c*33h0c`(Mf{X^3;n6viYFY0WLBw6)CSI?`c_1^gev2v&8~3@=MtRp%R3A z+Ca9Uo+gD3iDH)YO^<1;eLa~?9S(S=K>=7@=u9BFkCue^e*Tt20#7pap7B)LO3vr$ z*JA*E8=L5abm-p|(2uSBDjOV%%>vv@0YFc=EuNdiJkFzNgDVnzDXWyTQ7d&I&cY^U zKZ;WndX-R8)1Jk%@$#QGR+oYe-e5l z?1SZhlypOPL~5=|-$dAdII>C=@N%>MC+Isu)XRm%C87a>v4O5PmOQI>9Jj~p$Nt9m zOb=CFRh6z$RSErrlEt*@8Me@@nL}xCOzvysvgPK+VJl+sqquvo0K3=+S^@TE$o^mY z%3uBSvmJ!FGIot*|1Bsl!g}8&DS-4-1?wmREM_4%*}pDlM1Td`Mm3jELf_OyrvhMs zu)=zS;XvRp0xU*Pfa}IE6cZcj`bY#=s3WDGL_lqg#ARF^$^P|0)^WA=F5uJ5k^RA1 z)Uv~HD7A3&EIk4NB^3=ofdbtLWhtZVAUy1-7Rk~O`WI@;cz#g4!THmo2gI@Bnv04a zD0zrma88IoUPQ!BI5z(w!nwEu^CW+FF(S!tJVw`Dp29BmId|)xg6z29EuGF2{0x3l z?7sF7dVK;RIrNfZ%+6nRjM+8pHbJ4u{y}&V@>9=V1Tr9jgM%02CR8ZN3C@(8HEO3r zl6g5PI<#x|7G#6o3u?)8uiRneTZ#zDYsOzGUs@twvvv?ZQBnKXf9Z!m`&}NjD>c@V z^@%78HQ=78iBjM0kkBZ-1Q&qmU^7JH+4;dujM~kxpPJi5&@w|v4xS&e#M_M-c92Mv z76RO_0G&j)^b(GdcN#OC9K}SZ!VD)TG0~|o!|6dx zBs!!Xr{~lq1MfL?pgm|r7ej8kOwZgU*Es$$fZS!LXpQ439dK8sRLxlpxm(pQ;KNeH+L!B}}q>b%6?7Y9E`mLEAM>;Aw<_3-1__8pw;Bur(SM7>)kFVz4 zvQxAo-OV7~XZ+~T{#OSNF7;%Xc&%=2ajS25&xb}#X2GYI3Z$dd>;{T2G~8_<9`E2l z>~y5tB3x}ZXlj7sYKyVEm7yaY452hDX=4JE23fvqM>-fF z*yGcPfUK|RNJm37-X=rN9YA-Z8#1^|Tj1*UYjB%hc$I39H8~NcmantP$2JvO2bPY*0ao% zhA(1wVM@pS%cYWoT?m;JS!xiq!G?~KELMU-02Cd-FU0*eB;4vQA&H$U4npK)~Fk$a>qG zSeniNNRhP}9w8GY`B_A-sS!QBlp z3oJ##&erVrsx(H;uT;wSHHB|rmb!OL;Q>BrUM8$b988-~CfIE>)dRYmCP#v0xOAb) zKo*}|KTJ$L*!2^&zT{kqHh`VN_^7Yi^+T;MKY3%%lKq5BW&4}GekkfSx4U%xyxlGs zeB*?CzkpUX+y|t`K|~6^lKXj(C}UI+{7n8rgP%qXvZ(0=${cRE;SDq6{|52{&{!)R zOBj?pEFDXgD+mWH-TiU@ywS%4EsIgXPdcmN4Keyd671b@@1Vs>H2nR%$ z{j==yJBo;xZBj7}6*G=A?u$k}FQ4v? zwEw^PM?UL|KWi>!wGKLhL~xlkiYcpg&=LGEFksS*N=ul{`tNjk9BSYf~VTSXae{JoF6V!(I*E1&lp ze#Ge^dY3mMWV+`wAYz4yk~zbnL`C^zs{K$RmsE%&C*VJvPPw~|&tB??V_buVS~6s8MN%+%Nyxt}aV#p2d}vZXL6Sn{0QcS|8*Oz=?A zP@XzjQ#MnoO`e0WIRH)1i_m1URiQF1l+A5j-RE34VZG9@8Z{2kv6FBeZ+wm8jZuTr z!~_#k8$|y2c1;Y3iGXqwLP98iT~78)Kch1@VBEC1qV_!r;&=MUe1oC{qi1#ybd zbxOXb2wkV*#~8Zix$%+ch@B=L13M-j2XQQuda$YW(m1jPIFZa@^KtK(EVc?_a3PO5b;=%MK`! zxN8(TFED_k<-@ULyQsVt+O8D0?PDQY6RP3;a=m2G8Za!u8bsbARK9sJg#8QS()a&( z_BN~m<0?I40z7N_*C_;|T11`r&-*L?%lm%DF;qnfEd84#3J@`2NGbzHOkFi=me@5U^%w`n6?soILkQ2ay?{e$@v$TXO)nw(C5D}uRBt3UFv}xYllU|s_-qbIBF~ppqF(wYd-x1$x!tAsH&_Z$&n(p);jCFq-K-8% zP{#I-dwH5)yW3V{MrRRR;5lp08D!d{yt*h+ycEbCYULe|I90p~#b4I$Fewy|RIyM! z6Y2Ffdn5Kp(`ve(+&kVq0`;HqTYlG{`TcWa<&hlaFldf!2V7KW5}}?=3esF~-1bdv7@_{Kg%d6- zjtr`o8-Y0wY#LAm-$~Cv1m+0!06Zp<;S+2zFuOwV0_t}FdxiQ&K1^IOLOmwCQ(l)V zBHvuC7@-~?l@8AMp!y2xTO6A}J$eqSFS3OCrd7}7xEUE$AE6#I%Gn|UsNXU%FRb|N zUX@V4*`X7ZdzaZT(Q*;gqvyh!D8gizGo2VjXdM|D{Q>;N2IJw`V2Jg-(=L$fbqSji zgBA|x4!8^8&yrn#FLj2TdXL|2=kd|sIsJ_9naYU2?X4otV>hrgOMrvr_x<2Rgp1mm zIAGbeiEWq`VQWUXs%^j{*BlvEA1>=F4*!&D3$-RvyN+K5;kw4ibWt$I1=H&m*(~8qd>{N+PWi`zXA?h`)5(4;cy_HnmZ_nX>uC9Pw0x1hTt~|bVKbLl z2V>uzyuuJ=Xg5JoR4(W`T7IKi++v|F#)z48Lu2r|0bQfyjpmtOGU3gfrX zjEEnvvl!Ej#qq7^S1@c_^KCbiZ~I+ufA{a0rKj}Jyi}ya+$O!}rmXe=-k;?=rqpmOaw6c}2Fbk-kRYF6o(;alug zn`(^OT1an#8pC1*4s+WbY6nMZR<8Ch!liA0hqO% z#zFe78I;?uyZ!cvJT;{_!7Qj&^z<_aaeyqMh9>UmE7GB`6(LlrsR*R22V*nDp)kum z25~42MYHb3fDLgdvL%SI%4rz0Bv&9?Vi*S!A^1EEeoEEn5r@Jo`)#w8lt9<8oDjdu zrQn~V@{Ih1xdTBWUGd1+5)IM=qQwi5z7xM$mG$rl7=qWi;L!MReQFGKN}Y`nndbpN#hID^>Cyy$$=nmO4N=qt7d2y{Cj?>WO5=xTE% zKMZQdlY#CLseJv|kV`1T_N8fKfv@x7tGqmt#T7KW&JZ@Dd3^2|IsI%NL02Bx?Z z78b3M>+-N@ja<*TW8>)ixU1a1@AmHQ8|EU{#Ha!~LCv-fxMJkm_iPO!JuHj!cw`p2 z*nPWWU`9hWVwWLY-~kj@JQ<`)-#y&wbZLw2YR70-MdT_{0zgpqpO;*>3vp5KFIQ9}F!8y(I>MovhN|jSfzq31PEBNV;gZI& zY6zT=;SGV7aO6UuHK@7bW?c*j7D=7*4Mk*DXM`cR{!lZ*I9?IJB59$y^%l-+!SOAY z57c~yN0t(Yx`eKbZw|~U4NKV;My>hq>hkjH8g;JHfU7j%C_R3=VW;kQ|39Dd#q-%- z?SjROVJP)!MCUM5VCDulFTtZ08PEy}BW89xNckynK|iE2jF^dGvy`z{D6E;q5i=oP zNU0(k_5*M&FcX~x@LPBBY)iY4KH(z)++1rbiyT7)(?E=2Y%@9&dqS?A!h+hLu5Il zkb%-65om!zMxODeDcAD~8ORDFKRU0F0ZMNxY2gF3G)g^-S|I~t>1D+kg^Xjv-e>N5 zQ!ZNjWOnA zH*SnEC%bWr7;~x{H^!Kg&A3HgcCr^YGKx|c5+g@;OvlKvVifI>xC0{7vTf@~xajYl z?!}E6fCuTt%>nA`#s{kFbB38e<+|e{zg@g#FZy!>l&j zj4CiMU>^fd5wP=PGigv+#?rFs3p(Gx78 zh|hL|wnrdjT`fM&42y%VJIc8scMe=c4|;%PUPcj;<+954+?@zy`TL8+`oDS*`<)*a$Zhn=~;Oi zN*?;M)uZw4_6RJ~KpVUmH(43S9Ez1CeYzvf&*n+68YUXqt5fmX%cr~Vz;_{@mZ+7z zgyD6;wOU8nxoeq6+5NR&@qhl}XDoIqX6x13#7gQG2*VXqr+t_;h1 zH9DfS$@h5N)k~J$Njs3*B$u$=3>v};r(%x@cB!%rie0_;!l{5GBQ=OI(pu?MY<60K zN7Z~^9_P|(xxleXe4f|UCgx@w2l0&09gzj8qmv+isIv|7$9Y%5c4d!pe1%h?3z=ge zTj$+`R4Io5j0C~4Vh+^o0%`)frb%UkA+mt&OITpa%)^>_fCrEhD8T_(orFs=55MC# zyyqidHRmLx(GCkpZKy+Q6&_AP4e5oGuOVy5}$t6h<(EaFBH=PS6IviIz|x>Q9WTGj!9mr z+>u_Q8VY`@*%Dt1M;IgS+ssYg-QYHDI zqxVO_pIhntpg1l&MJt{EX6XE1@FRckuYQVW9Q0%i!5^q4m=1B48eby3B=Hu2KVsVw zG*qQDoor~1HabTHB?1xQj0jwSzs}+URPPinqhSI50ALN#H$A0{3wNRb{{wOo3H%4U zj^GbxgZX}fKWiu@s*Zzyzeyc@>2nUif44z3Lcm`=lme((l(sLZ-?hEM@3ukrLAi6u zIGE)TW6$J+Lqi7GURlPo)7vLUKSNS{4aZr4f3wkK%W;R<=f#>U_>1EZ2n;Lu-z@lp zz#u>LoCZMM$7hd_ErJZh>KeTKqTU~>jaSeX^+kfx=4~4uyhkF0BHjD&g zy|9I0B&Y*OK-q1NX?$0D3D_s#lMFEr19}*YxtlGV34tkn35J3_X1LulFF?aK(I9L3Tvkm=w}C~?pY<&KdI_lWm(Y6+&3)`sF5*os|C*8r6j4)hAkB^uBW|d90XxVBw!&>_H>tD|EX6fQmWN%Zda4-N zVBVO%bn8NJmQZ*%2!!t;@g9R?doB^NauZJ}g&s)*9y)uZZghd_H4C}#olDe*70yK9 zzG4-N8;{T)!6#gWR4*$sXr0QF^!#~-B)!lW0#QJIy*vD5p53A+A-uXgNuMtDnLGU& zr!T+LUwco&vQxBz@$yr22{Yi%55D!M=ErqU)$s|&R>z;Lk@M$lhLa`oSb08RIEW;` zyTkD~$qbk(rAw_a)yI#!CaJN4d0)>Yl`5hY(x*!9Qb07r$%;FZ^!BkQD($rXT{K)!O%bOQ?LEfk9a_Wj-8L zw+#(-^7GF5XnecYvd^acaue?bN&oWnbo%+tK*bsnFNcb2kBFC@q7}`TpQ1}5;!pjG z?%#aZ9Gc@6!@ zH=_BY9QW1jFrQn{e5(cfv*W&?$%AlRIOuIf)L(?rM6-;JO%XrmKc59>fyf1nF|nIS zP8SDpmMo^LEKN`w9@md+g8D6h|BG1gOA^#87|a6>|FHk?wEWz1uSlWMXIpv~~mf-25n7bWC0BE@$t6=Aaki(MIQFiZ|a zuw($8E!)FZ3fy~Cn>G0!EaS;RcAiOf?0vgSeR;u>4w0>S+@Ld0EJ4p#&&ezo!8oz; zo~E*gZRzr2sxr&-1nBa7zCGPT-?PuS(y2eWCt;Ol-Cd%8>;BTl%vuG^(?19ycbyvt zq|1=(5JCU{?7a=pZCP3#G!!MjL&zkdprnG=5(v_q@|?5x+2?G~GD!~}A{1ksi!4fo>gaRuu(ZZByVS#8x3=oOLfK~Z{C=d~;L=q9Dkg=i= zLdxfPf7W`}+WYLi&%NJw@9my_ySmO<-+A|1`~P`=pI10-f(0za*uerGG`)ryc@0JE zfCC3aE{~N12m5=B#p!oIi+4Sw+bz3V(dm_c?`h+@TXu<7EBbD%=wJAP&-*iL#ko47 zp`S#bc(+!Z!)-Fs7$$l-){678y38F1{waFM!&jV}Vi8$yD%bp4alTfZgN#kO!m!J9 z#U?*&h`AP>q3CRN-9Jf!-S7U<&-|93o+~;#?qH+RkARA0^CRSHC0eSf0BU#GN}bkW z*9aG0ED@vY-UUynl17{v!GJA%6tMcEAVJLqK^ce8KLz(>$t(pWv%C?%64-5SyXXvn zdzA8U^jwwRZE+tZv)q z$UdL)qUdb0Hcq^=`CLwwycL&cvgEC}K9ePH#RWPYn9ac|_Puqu3r9pxkMHLROnfGZ zQil30Q7=rAlVxON@6x5psMRbqL`TDAwSmOYsA&CD& z{^)@b85F4t{L6#Z942YkJU}A99)oXXglk9VESxFX@xmF0Zk3?@)Lsr{?hS4gaP*al z1vNDc2tXJ-+;W z9}nF@>3q69>21n=EFvYxuA$z7UJR&=)3m^R*h1u&<~*KQg@}YK?4%aU2gxE*g7iks zu|$vD`r?R&<8y+#7iD-dXKPe?Vb1dMbO+HfkVT2E_SF%^>x1M{vIHTmY`Zs}o-k)| zfe`5ug%SEPDln=!;}wF;@|Az| z6SMxIwVQ+5{TAS&ZVnWm3n1i@bMdfP#W_BR94yIab6tIArT!mkg_!Uz*nr?h1Y1%M zmx5x!n_!PJ0(EnAM-;P{f?~iY!JVc{9J;M_8_4@8_PYsU7ukFly#b)xnD0V=vapVA z_RQgg+5r&+Z-4@Oo{?{>B)CJWh8zrN0qUbJYWMpSD-?Ntb|5dPjz;v4H0KFaeZ*kA zF`a)e?WKBH?0C>Znc5GIr)jJyLf%dFu(%``lqEG2k`*5SxCi6q>GXnQPN)L)S(hYt z-%pgjknxF<`@X!8v$}$yu)=*#03l4v4>SG?hjT&yq%&mK#A1yhI~C-c&+DJEFVHT0 zoW*73ZdhfJCAiI`mtt*T1TV=otim{9(MzG#B}q%5&h$_WKl*^ITEf$-CD{9B<`S)1 z!rf>IKkzLd`uV^9VQ+ZDy*EEAVE}nvhFXHK>Jr?@np;A3p(TLWM{($IsxYI}62P6K z^?nDm=dGbSY6*zzus08u9zozjOE~r9z#9kPLQ6n|2wn<7K=Y(zR23(`f$9N$gQR*9 zI)XD9>QD0|JB}&*6r9Ob3^Fuc`v+F~SBqy;IYUnMO)<(`_iiIsGI84adnI~t$nB<) zZo7$%>!88xiljqPeu8yaS0cikMrJxRPlrMmxZuuj1esO!=6>)oxvo{<_QY0xd zOS7~u`C*zzr69Tc0Jd}x8C;Yk+ z>)=GbUYh-`82BV}5q`(7|IQy+S?&zlGy&3$K#<9=EO)FUcnVqW%takS>00!%AkI9Ua*0mC|$PcyGj9wc@h)*r^Qi~fIiQA8NP zSR5${j8~Ss*C#{I3dT zPwp4hG&>8M`_c!*xTPo#MxpSc$Lu^YNIg5RVW3_NQn<{nDBm6>L-vod?T3*A<=#GFq@U|0I7!t-pRo_{}nomt&t< zYWkaDsAJ}cvAj~&UT1784P#F0q9(Ue);gukc$J;>K6giTzs;J0l;EFVc>ZPWT>AX` zpL=>EwDx|?|mV)diM@`D~8?;hW@cn`^*2rPv$%>y%=r~=U0D=ZY3}cZxKVq z*i;NH!9?@O)6zR)EUnTJe!YmH$9=2iRUJ5LrYLKX#!Mz!0bBH3rvYh;SsqtfdWr-$ zV(26ZZh@hQODp3}ht%_WCnZ?ti^DPA*j{3rYA^L&X$X#~RCz!kc|Zdwd0c7ENow7w z;!IQP7CKI|L&6@lg55!S=f<-s6g0j%E(?0si6oogl;>UZbNq-V>3XA@(>(%RuV^7# zHPmW5^1YDO$vmG-XY*0kVk(Wr zH5dN`tt>3b7;2{xJnbzP7jLMlZRPcu$zH_TXEMiGITvH? zti&tA3p15-l4_ozUA=6mtTE(^WsY{zwqh{ zFIUy}4u`b*{k1iNoU4OiIda~*gTP*XGnZ&pZkAu7Ya9gM{IlQs4d3v_lzyfa1Fqay z{lGKGgJ!xmR1q|UQRCZwf<8bi#04j->4=_5aH^V);OT+~G>*g4ERx$>1K7MYm76Ts zYlFEX)CN_TvLGDPMwE{4At2(aXSyJ{QcTw1mU zDk`Rm3pXeAkU?ojm8hzE;hqUM_U43)P7OLiF&>mlhC6%Hf$LfCY_K>CC@90Ny-}|L z?^rNU)(?y1%lQ$Z@DfZ6FfQ=4SOG@fPl5L&iMmQyK$vsa4W3j%ewRF)!0jQDaG2GF zuTmZFlE=P*#!Rc~fzqrU`o3EL~`)>ODw|YM90dlKGqGYP(;ZNbB1>h&L`_) zctrS8UZX|syywpTyqx`cImhSa{J@_Ve5;<9^Mi}e%ei=7&gVtX%lSNfUa)HYykObz zCq6S{&kH7-eqP>j@qxJ+kU0oBLr9USRZ>;_f)3k}FClCoYGX)Ik#SZ!n`R?XWvZ3T z7X>*3Zj-pZ_|bB{DK?0qs^+l4Es)o5z}HZ)S3sbMe91VhFKiQG`*zb5br}tx_PGCI zvnMy7P-}(hKt2&UK3g14p^`U*rZ8Q*-?Y29|Hn-WcA1>-3xRrrc>Haf4{1yYHDQIkXk+fWTp0I<}Jn9)7;mjy(k#@&&9XK0%w(zFACLc^;iy-lcZCR+V zu^zUPq$|b`2YMZ;%}Ino%JwKZs|Qhqpk5CJ$Te{Pls|_$92r|+@gX`Z=6+`xcZzs7AvBX-}k&ic!~rP{sgV( zQiLSvF$7TRXTjp7>&AF3PYvP{wy%$Em>ZatQ_>=F?TS1{k2 zB9)YY5lxETjV1clZcF?5M}FYn`jofKB`TS1idf!UvTq9dAsa}&^cJc`&`(@^mWzTo z8LDP9+1COTS&;U#jp&rT+z3>hem3K=M<9)+DePkqN=eRv{)DB)yJv!kXOSQhf?aQM zjJ&k?{Y-GOwP;LKnrtm9_Ol;Nazu3dc90}hBq~jIAuSS>raOF!U)m06qQj@fq91*x3}tK>YLin=ArRK=hmu${A|wJ`GWIFL6Fh@l8%tnhbqLut=!O;@39o9GQ;Kf z>GUAkiGzhRWH1`r(3w1*A)}e-h8qAQnnGR9E9JSt*Isj5ATG9#&TV325!}#}nH-#u zafa5K+LC5>5!`@4;T)H7*#1$eEv4Q#nEkg&I`!sfE%sr)dW^snlAZJAkfqD0@Jp`w zr9J|?#V?q3+4ufrFl+S@EY9Lu)r#es-!*E*_CNW_uYO}F2p(mqIy46`KEjEP$k>1* zlJ6iVv_J+fxSS~1Zq4m%KbczLf^f>y>kL6~Ua5aN3sS9cK_t~`)eUxR!2C$H!Ud7M zq>VR`uwzw8c}A)gE_iB?BS5tRFB9tzngzv2pj;i#fT5AZ&!nrpj4V%&sg|MVS7vu~gltj^VJm z6c+71USaX+Eel$9iB?#=8(933U;g6VuLM{WC$dMY-HCkM!+54`JjQAvu&BOggp5zh z7;)IKmq1BYL2KJ*JRQ)JL1D2KD#*xcA+TsOqJt92D%f(Wb^J_@Zh=K#+B;5>5>f-@ zwwPJlY3V(Vf=iFFT13}5cqiC70a-#Lq!zTc(~Mi><)|Ri3l%@pK8m&NlCYuBe*#mU zz=$=FWVG5PJ6Jcm_zrC_>Nz7%#%bG;U>Uo)-&$I;O@aegdS^RD2)c7WVOcc?r;N_~ z886SV-Qr~HoYvgJ=Uk)_I4BDsXZO1Q*+1oHtE>@ZSJNArfijAQv;3isk;@;a&1YZA zKe@>RTE_f?PKtp}bdB|FR`YJedajuzg()&x&s5SvY8$NGq8oonK)&$j?mCeO+%Wm zWeyls1R{SD1h13PApe2v5%W8J53SR+1`w9WgpS{r!HrUsos+r+!;clR8M7aX9%Us zcp`&4Lns8NS}tY?1(Z%TQp^wvyI?9OJU}QUCzHZssy7T>Qb!^w9KDgEGO{Hs(;Cv} z1~E%m!dk?KHi=~!-7G9S7NR^;0Ty4hjx?fWkg}|BmUC8hau2Li!*dUcs<;PU6-Qvj zZ)X4U+gWT@d`pv_7eIHtV;PgDzj3>eDeg$^pFFEs8Qh{Q^rYpMqh+DtRz_K9w}mzc z{c@}4I4DwlkaV1P_xGB%msEAQ|rGjWOAv zbOC!&-_J#rzteZ>-&9DQV#^YWReQ1D0{{H!Wm+p5Hb5z5;V*+gvA&G+`h!x^{xO%5q7*m!%UDWU_{&fmTJHbggav;YNsjMHk|0RF@S8vV5W)0M#E1`{N)K=(h%1RZTc4U&HQUy1c=5v^x2*IpVv7CO7 zoKL*G4~Ju+{njovjr&os?JaJVXUrNEP^bratj@Tt++5lb#x}z-?8!00oRgzv+TvG;deX?p!?*=y71D3$a`@tF#7|jI)?n&tUVZEvx1072uHm(( zA-rY}Ylf4ej4(pZlc

    bc7G?n$&>!qnBv)4c?7!@KgVt-}$NEmCIQ4c^QP);C2vR zs>6O;@MjU+vbByZ1MT(=NZ&VBOOoNT02d_Q=!|GK8eIjPF=BtJB|&it=vqr$&F&N$ zmeS>%*uS?-l)QAr{sWqV3%dgaYqcXgQ*bc*gR(o2ydgR%xQ`M0jk=0TLfh1W!8Zu> zMQd{l!~wFaqbaZ-iAM-KQaNgeVZ{Rbp%jtF7N#w99uQ|0am51r)4A*q+ZMsSQZim1 zmI7(4^0XbqDy59Y&MlZP8hWu9T5RFcDX&lPcR`EWH_EC3df>v}B^pw^LWpK|l2n9a zhdZm9GhU)&-!i{hR!2s3lw9l`GOy?|9Yqv~Du5{}!)Qv7{4yQD6p?~0%vBOu$~xxC z_u!4qDv4~Lnz!q07s+;^X|uW`B?>1aYbDzyQ`$A&Epd@%XN^`4x&MJ#z<9W_|Dte6 zjPo;47x2jbs;)e}*+BN?73`pRq&8 zPvS%!ieS}CVn0EUVCaI!E!(jZ;-KMZm?#Sp3tbSUrzr6e!5-N@<1)rzt3cLxHjw;eK|=O~m$3y1W6Uw5T=h+0uFkR*8TsW2 zGEuD=K~FaA;K5T}F9zl8l z4$}^HY%80ME2bS}s~=&wqgZyxV?jbooym~RN(%0^1_Tx>&B`L_AY1(&*aJjiLZib_ zy^Bj{tDiF-;gBFg_01sVAlsA>L^mFRQKlY5o02nTlafmdog`=6SE&iJm{*u?nX5fI zyj`UJtPm~_FJoPn$=?J@Bhhrdj!rl0-UpPG(fiwcJ}U?(MMLWyBo0k%zyYjzjQy_jAp>&wI4GqEfG}6feVLp4R%&! z3TOf=Pz@vz?2xynMUe5jRR1iP-=(Pmu2 zbS!zL0J}M+;|7SWI6KF53=V>(8$4(B{>*?1xz_adYftQ&@M<4 z+Kid`n}Xv54K%|r&A-Su`S}riwRt+7=^^~I@aOMhk1+V+cSTa$j<;Y)YC|IFt_x{v z2p#N-v^A|`%$!Fu&W=q$T2VC#m;P0lr+f%G3vLJEpdt%UJ*Rnn8RzwpHoA*xcksJj zRW&LBkUX89p5&*+5DZQ$=Du`(PQ23OxhIbDx3B8b+>Tu{}Et~wIvE;oP|JyQn=w?Y6xp&|&m<3Pm{wdICM z+XM7U>IDQVF@NqVB+_R;n4Y$bFXo>0Lj;b=rR}J>ZM(7 z72Uewy6h6IhU@Z6bWPpn&;P|Q`D;I$bF-8x#6i@ELtwc{c@~&dw%Y+$4b>VhZG2?0bQ zxK%H0q){S>x?qo9g_`xWXJJS=Bj1TG2(Caj{Xw?Z77^J>kikwD>;T}pkH}%8aUGYI zU}us<5LmO!x9Y_%BqQn3=?DW`j~f+v^_=u7_?$=uCuJdabB8!@Eg7#4IzIM#ir{`8 z>}PR#z`!U+bOM<}%^)SYS-v`$me4^6t0SQbX=gOB?I&Dufh?Cqf<3(Fl-xD2^DD{X z3c;zN*=E}gEKQYUyhQ!B)xJz<9B)zhuzI{ks5tH=77mZQkvqHOmFf$jUsQap@40QA%gh!jIMqBhyraF{k(Ma0v4k+lNU{kv1 zDVW{!c#<``O`T-A`mFCxR9=AL$ODgle9oBN^RTB-&?X)L)QT|?J4LrC$XA_39vCl2 zVH`8HT6A2m1PP%octV1jst?%7I{BS1KNsxba!>>v;g%{h&^`HUj5DgpKnE#-9`~qZ zj-BvS9+|JrEbJaV&&vZBTiW*%Di)eP%8@rk1Y>t^Dbgp1pRFkqc%AnpT&^n<)U~{{ z$56cQ4hr0n%+ihyi?t`xbzG0424`ef_e_Fv3?Hf|lHJ8Cl&{&@Y+C7kk#kq%GP8eJ ze@+#`s?YT+Ud{g0-z&=A#3{h5!PNh?DMHyLu6R<<7`fn_KMxFLvr zG5;(>IXk+Ldl)lZsp@_;1Fs;^5P(GFRGP|2_x z;wH)zJ~*OyeL%9MiqTj$pS;9I-^F{KcXF%AbrT)jQI%|s6v$h3WZ{Hq8 zEjyx^esDzb8ew&&+T&vGONs-YCGowd{nQ2=%yFpaoK<*|rek&M7Zn}~CgBmchUhVM zftvi$0yXlz&Zk%H$C4mqFq-;MF0Qmmlzvc1>tr6a(b;^IeJ7l2GT{(LI06^J#FzMe zF!2W+m;~y>25^cLksIZa3F!ya=(FYkA3{MQ1Q!TAh;c#QLD46{ao(Oji9YV|@j9Ob zIFdke9rnM!jzmdVxAN}j>2XEq&Ch}p3D2i<@?Zo9O5)_HhS-+R@YOA!>`}M?bZdU% znxA<8y)WcO*yEbd>sf1hvNM{dNr^JM7h;0)6H)7}T-}?kSps&L-oY{5{~WjGvg}A;b{YOo`jf zlt7C`;w=KTcrcNNf)Vmg65cY8;$Z;R?(7v{pI*1bvP-l^815#*@JXNjY0v*(w+FCj zK8Of70tY=F-3n?Vz@C7x3b4r5uaP(ctd*Rxy8H;9of5pn9&wbL!uANTlh_^s_IP5y z45}3)eU&4?c2n410PG~Vi(A5>7(Rx}=&m_ut_S$)aK|+2z_3Z^6p89jx090&jbXPp zBi<#ig?EAS+!acO2GUbi>jK_eMR)l=h4hsUaMzXV?rgLWLqz&D#Blo|28H&+JpjMD z6&(1*E0KU7KO!FC!=+p?%P?h4ELe^yx1LzA>=Lc8zx)zilUVSx-~G1#^KZ@-xgUWr z7G#)-Mu4qff(&ET@I^ENDDIyAf{2dJ;ppw|dvf1HkvJmGU;FQKVgcNXNcfYSyD@ij zj7FeBfhIk@&21HpxCZQWh_cy-Wy4w%MN7iDd7%e77N0k?4<5ElPFVIJ;rNw9X%d&c`$6gaeDn2 zLr;9Qh14D6yIYuzRr$lZY3;8KIq>d@#?2|w(25p-25s+HoIWw!t@Om?~f@s0y zsc^8!KMCZ&@keF!meZ5bTRJAE@GnNtOfq^S)Qx+7jrXp#@hf`2I|ryo`SGr?OMh(t z10VC7xvWni;i1tR*tF0tor3vjmv*}zK2~No9Z}dff!<^V)daNJEV&7okLW!K^AWv4 z`-Wb0vX4W`xe~n*5SBc(BMR?pHK;)E?tlZQ!gSotX33$PPcqsTWXX|k9~jMji~1GxG%Xb*Yfr7QiA9(+mnmn2nuAa%q3i>PAKn`gbR7A*IQLDY zK{6np=3`(g8pqbd54|g8H075Ufe?Y0@nd#b1jT2q<-5&VKIFZzoHr>xOtQmM?{14~ zh$uacs8tVI^`KP`x=lUk?|s(y{Dn`?UJMPExE^Go6Q;f{%X>RD4GYs5$sSU)$FMx` z>vH^_IO|JKX3|MK&!f{*nRGEMKN)S0VfhqoAB%SvVfot$cN5-n1C*sXZ32oG>8{)f zW5Xwbp)tZOj{e|05WjwO9?*LhodbZYZ>OJgltcQmhcNJ@p=4xc;ft;S; zJRpYVTsEBDfT3`9t(-vj-*^9Y==xuS+E0>L@9V$qJKk|WI}gS|)6D2SQq(|yQzewe zY$+7Zkx>?)2~s*Od9urk7(oP0G>K;pI0e0AJqt$AM3obJ)ialE2e0|%TsEY-S*Mh? z?k!R_K^pJhba%cRi{4 zIcH;i(DLQcVrbh>w56f;!~D4IAoX5K?9zUMSxF^f$Cvd1v&jUaPt>G>R4e3%RvC8Z z`oEfbAs>T&tn$~8GM~c}7Z?V0Wl-qImxHnHjHx=p!Xxu9?gQ)jboLo6cYrR!^;zrC zh(gplcxMK!1LkH?;)d}4bs+;Oi3tKHcnqAVD?rEt}5ap5_zy2Z86%IZmi_ zuLPq*9LfvHff%sZ4l*60KfD8XohC3KCQy61qdOdm0SnY51XA=BWe#5qSb)ob)B_a6 zC`)K9^X)m+gN8dQI>j~nLNQ8#wrCl6-0e&SzR>q3n%)NnGZ%D zt-l*9M=#C%?cNK~hV|&`26b2e37PeBH~{H-FD1h-na@YKOcd}@Utb+~Y3A>aa94;) z-XZ}Zo}z1DoY*JeJj?}5KOv$W;Z-2``cgGPUfH(bc=4HKCyaMbzK=F8&+x4?EoFm= zwsq~G7p#t8&O0%Jc@4(Mc)O;7TOEeK8jOW0KZ7}(0avB-F+;C#CcsxvbpM&L?bQ>M zZEHC_*|rX4Xlu=yF|~cr;r?oBKS}rgxBrQM@)N(FYu3Qw0vdcL!_^V^RzaW#Xo0VJ zhw^O!4ExD4`&|(D2YZ-qVc3TXm06Iu?}EouuV8gR;PsT$G=JPKi7%qgM4z#6rSY^|K7)wDtEf`LVxoyFiiVuP6Upd$K|YxCYWy56qCr zm`)~rhwcaKTQkE168SS8Nf_fhXV~|=v8C>2yJ=?(E83B3bMhzDoP60OTHVce<8FTC z6aMt`+Z^InM}%Xbj==MN+SBn0eA#z}yO}`55O)%6(Zxmto06-Og2X@<1RDuLyqs}{ z5dMU2DS$T8oFVEfKxA_m2KtN$nG>-f2$I<7ZsyY2-3)hL4^lFnaa(x0DVW{OIO=8( zM=t@rJ)*e^F)%Nk-OW1$u4zQeJ|ZMs2?lpF)@F_XC6TO6I$pHQ$WsP)Gt>^S;)?ft zo)G?YqUDXnt zJz{h!ALTugZ-kHyH#}vKH2BrAAGV;ORM*} zOSGyEccVHy|GEFqU;W})w|UFHX_m`Y`ddpX)#DCnZ-g8)LfO{@ZqaRy#_Wo=pkz0? zcyprw@1ooM)Y2H{j4f-UqN}&0Qau6|HCzn)dCG0xA@AK_(MjO*(tHcKKRUHzLrR;) zzO$fY(WDHq15JF%5Q7O{?qlIL?;4cUi6jaE%bi>Vw1go6IOUpAtXq~FmH|zGPNUc7 zr=styqp5vHe>GyU1r-b2xkWX%s73)*$<-2rw@}B{zO(MHUfEPv7qun+6)|~dlwUFS zZZP(%zVYin^gA=gW@C{_&N{*;dZ|icIXbfx<~b9nvUv`{9eOVijBhO)4EgCDmS#%U zzG#}prRk#feLQr%m9L|DTAhfN&2uR7s1&ssd$dW-R(G8ZhU9p)rBR*^WGn@VOJK`f$$3d(^eC-{6es2`wT zirE@ank%1=G_)NWYNPBzv69%W9RoYW zDsgfTU9iz^^lnWyoSu9i7D-U=y8q&fWlQ92n$b8*k4O@9YUnw+#1B2}7U(rQ^rFar z>LtB5SmV;BsbE%1d0-i#L*uKN|FP`X_+Hk8q}{*qyykn+Zq=hea%0(J|sU4hWqU%9L>SOX$?MsC= z1l9?tr0~rSoUX(xKRu`I(W-wLeHCrTej55xtp@jaY*Aucx@SYG6H+|eBYmmxN^eg^ zTGGImdOCF=yW|UXtXh}vW#3N}VUd}f%{lfKEe-pbGtqF?k=+GJ%(-K3bhV%H`aq9k z=@3_MtQ^VboYmjlTrtHhsBNyaxyt4W8#O*Q|AIWM>5`SY zCbR2w_$7~yKB|`I{syP!(N9%?8-$slVdkqh?jqCo3u zb4}$L`9^WU4gpBPEwt7!-%u8$y5NFvqZ}XzAN5GR5v{f)ctQ=Yda#D|MpPGE5R}+Q zI7euJ?|{e5cg{;o=+z~WS5EgCldX~IU7a6M7hDp`LI)*)k~`3n6R>^GSr`_CQx|B7 z#E!BeFyZi=V70DrP$AQNCe?3A8@S{f$uPUvC*qHf0WO>d32;{$j_X*xv|v1WD3qnd zjc$geQAZrgl;r76WlG`K<*ZnC1Lt>O@&3#P`dX&+!e%W~dTtz0&ER))|H92QzO7mO zPc0b#>u>q~!*9*Dd)MIQhN?h~vFZrW0!9tM65PLZA1X44APq?YV;wz%j?GG+)$dN` z-M2X)D37xVg3N*qD;fUL0L%%Y7%t5j1&n=0P**}wVmduRDGCZ0yP%d6b4J?crJ#VZ z37&8?KBL4`;*0{uE~spwaN0JACsju+U~Gbi11xRsBU(ln=|?^o1!I%MY2k?kY;Q@A zB@nAW;jk5qeQ_|8Xh9RWO*Qrs=A@_9hV-biu~*BBDMf{^UZR)f2EjRgGoahNwnNvW(rtpn zB*tnG?!2mSNhw>iVjz(rR}8H0bmwXpta$K;Bws(yC8#;CPrtHT|H9jRRSim4{}Zv( zmt7)a>ys!lSAC$@P>n_cF5FD7P1WEBf8YP~dyd&oSC_2Y={iC=EgE#fv;{sRk3(yL z>?czVlAx*v5ZrGEg2Wyd1bN5S4A!mHE9Dup+Zdrqn5$v~)3U9X&Tf6y8@J3kpyl6K zc0!&pyY)#)a?+Y;`058$#8eG@AG@~G?CdeY?AAxPdD{TJX;bg_D2~ofaH%22t&^ra zu?c}nHe1y;d?#-Sas~U0(aPc20kUv@3-~q48Pe*TEnB zs0&UqY7#+R@VIZS;QH(I*5l)uy0bq@zuLY_RZq@G&_=L zGX?S>&X0J-)n@YjY(UMelI@@*TjQKAImzf5FA;7thUK~X)r_8^Yt-=o`J?I;F*xsP zg8Xdm0Qo*&XGJm3q%86%Qx>I2415BY&YQv&{Qd&I-J(vcu&s8vi3nDQrc z20XazOAwsY;-T|+FAb4+d`zP?B0EyUm#_x$Z$F5Cy)VI@h?#de6Q`eqtC#D3(D%|< zc8ONFy&JgwwKx9Z?^*-(DX=YY>#_1RQ2z{45!XO{oxpEqxr4ub#+3OrP`?K1UwHoI z=U!mDgiv?3w%KCh+LGp@#Cy+0n$g}?)!=SagI5l3`rOZ-3p2pJBo`-w0&`)8Lrcy9 z2{TyQTXv&IKQ>C%*aBL@45(eBp_v7v8yn3yVB9h&&~;$cE(K$lA-CN|o_tL>5W@^z z-zX<}*EvO5URoTH=IuWAD3a57L`ws^*vA-VKn0qTmm{zbBfk_Z!VIZJDA*eYAr7&` zZC5}aN8$py0YG6NYDbnt9oo^XX?NcrPAn*-B*^LN{fw6f)d`wN zzc!=9^v8Fe(w=;qMVi7j*#~-=H=naGC1~as24vN52sU7wEX( z4od%`hySo^*>wX;kJR5q4?nU2h;Q7-Vf|h7@PlJX`*@7i-xVHyRKX*=1K#)som;Ad zDGK#al|Tjnk@P%%JCU>4n&Q|yDQg!*y&PzFXTE=mFJ6Q7pevG5blS@wo<()jYsq#+J13lk2uP4tLcu>U{Kb0-0SWriF^%*Cr zYlyBcI7wYYbWMU&)HOs`7etC0+fIY$WrVqg=<0&UuIV%-5-_VquKk3AA-cNY;ds)d zd9)=m_jeW)bWM_K-@|h4cV-O-Nxm#yvO}v9N!e$eU`)gxu;xrN-0&Uhb3%`OXoedB z^KGK5k>RlMXVL&J*3(J0nqav#7@5I!`FW5zC(Cv za+~RF|FuE(b-90&4YE;9Cr>$36j5z^gAuy1IJ&cR5iP^6H>T}QUybRfH(hSoC0gPB zZs7iH-}6`g()Z88JyNZay9Jl}ZNWWQ<%p2L+yi=SiT!E!ff>^YqB=I|hUp8YIdt}%+>4#h8Aq7vBHUZVfs`KJWjoLKaBz?Q-xX7|IOdO8tdS$#1_L7FSLRmrXr`lK_f&0~1Uyb!Oqwcwv?}OO(`FFlMv)~H(`x1?eSn9Ud zj7GK^>vvC z(owmtS!T#wt5fXDob>L%B6j4X!RbE8UKgLG<)j~)Jp>@x?T{Z{opg0)y5x2XGJlZ- zwMZek$c2ucm71r9FW#Fnw0yx|FZ9`@Db0qL*_ZAJt-pA`zJ=X3Z6kBhUv9bSi;R6v zD+2k~N+s~5IRC^0pz&Tk#ptoNCyPIMkVhJ8hC3ULHKihi$&Mq9HN&lq#+oR&(6q>5 zW6h#jCmt$oi2&Y%VaY?dxi`n%7W55#3ihB&C~gI$zzF+`9g%S;QgUaFgz{q`d2cq{ z^bLzV5W_+t33^ACPd_Mk(lfZ}!NiPbknf3+cA4Sk-t_3vi3bK}X{=plD7e_5Q7E20 zxw$*CM;5gvMYGNmSh9_+>1fR@!V$%5blM_NU~>wqb*!-gr%pa1Tp~7m!b6B^j&k*7 zkJiIB!IWnK-6$_STGzJ1)alOtXkF}wIrd2T-}1vV{mmY&iLRHVF^a^-$|V$nInuH; z1as;(nV?C+y%RU!%~fuIix4WTUVzd&1BUud23;hmy3*IaA(Ja=N zaad5=YK8@+3uQQe=(|~NFOOT=|3TNy!*yLZ(IpdILc{t;cHxZc^CcM0A2x{BA15pf z(e+ZtmaKzOWVXT(XEWvQ;9f+>&TP!wpra{Tc7{Lb-I^+Av;(RSi(p}DX_(p}WHb=Ei%bM*+7T_BwdxjX*jjb#Z89q*j2d3*V?No%JoPYN-of18 zfPh$HW~>=nt8UpSBd8)`KLk}o?TMrcsbQCgqPV!SaHCbXp=L<+7|$vez1cOBLbF&o zH?0q}->TC+pxL$CRja3fP~1)JwDY5m@71e%H(u3W`91&2FZ^cKIn2ck7Z80Lmc)me zp^jbVPU&Rk$KS7YzrwbmphgSTHaE2CrG3uHj1OmyT!5Oz!k(+0v{pmAyyPl2> zR`|F}DGSojN`mkMYSwMH2d`zBZ5)$`Ph|Lz8PNy~CU23JOr67LL?3Q-fx@F=zT~_# z-%T!fM3*dG`gmfRWHDn|GqgKc2kU+Zr)ecw)C_^%6vvHD+q=d{N%P`G5;1&OAkLtR z>e#b!XSsU3IymL@)WYr6z&&0|#*(f>-vB*I#W1EgNU&r+) z>U(xGX>CNZ59=}7wAL>r3leBu5TPmrwHONm zkSQ!>LFz#+csN;r=K$)jn!w^7$PfBq_>j2^kvw23MtP7s@P%Tt&ntQwh>lg${ zBZ8u60a>4M7CIAVYHSseRl&eqZR`OS`7yYIa2}8mq3m5mmVUD|iSJJ}x~dPeXE zP(MaVA)PR;g(RF*rNoCz-2-+X&%AS2N|EKZ=SnGZ;bs~}LFm$W+M9Wwe(68@K%Zez zeKmEzu}Rua!lJo&o|*LGhr|c8jl<>5r|4b5M%FE6KVe}J`35(l7Vpzy}c&0}j zT+C@$>SoO6Oo|wiuomX@%MTeg#dYaRPZDg3so;{A2{soYEx}GeZoI`t#6tfr@F$?B z2#w|%GFnz7RDow&-|0Mj75|i}`D3-=*pSF=xcoFEE-&-nt{D0RF?87_ zT3zhRFVQuob6z~cAA9X2s>spEjba= z_aF#9iYe2wYa0?u(gp>sMq%H*?XA(YMEgdXzc2*5J-*P1miIB5mgt7bq+EdQ5e+pe zL1L(FhIcxEUX~uA(Iket;0YirPWv%-Ofe%|@^E5OHP=Q0b8Y{Mh2fH?J+dZMC1@>i z*?wXMEPa?RiLRAq0k>fBERJUumj#bC#n=*z=Z#?529DkWHn$3z0-+^HkD;fCJuV;d z2sT%SEeuhxN<_<$T3l&amZm67*=tPEx*aA=Q8=l?f|39n7Sx2`WP57+%wP&jx5>DD z?bPNto3e0%!}QME(;h9dG#`bdYx)itd#7F$pgx?67vTa+pl!$&Aj~-!x9p4hqkf0WBihD@Xs** zKclAt1xjA2v7Jl;t~5odnZIP~;n zZ@C1?gNh(evY%`XppI{q$_w z>+@3U1e2x4(LH{eDm9LvbJ(F8QGg88(^EPD-ljBMfwzbKsqR4)bpllT(pf;A;A9zo z8So07V5)++aE}W+C}-R^mXDWbEOY{x)HoRW(N9%@=PZH}6$L33^d8N!9>d7$Z;!>Q zuC3_+nQ+m?%q#6Q9U-$aQW?;VnO9J;X3X#kauCpsRxRVzMdnqF(J~Ggg#d9Zb|mIs z6CkElALHZD5w3!KEmY44DyPRG6U>*QM<$HK*$tUjP#uwob$zPU3YzSE`TYAsvd+^+ zqwFeP#622ofgZr)gSJ2YMTnoxdYcpEy-7>pP?f1&jYLGj8_Nx$6hp* zQ_~xNLO$t|-Q;uj$RrmHq(`*EvdnbH=#7up$2yq6^h(q%Vb017(@$_d6V#gf>iaRtXUWBzgFgN-|6j7eQ-#MRo%jPo#-wbtfXU@W;9}1QJX-alC1;&Pbm27A)8i`24TchByOw_29*EU)e|qV+mtCS&3Ar03 zhwA>LvzwCQ2EcYqrcWJrbjqf`G6TjCu>Az1yRrRZ& z;S&squcrGgn(j9=&Yh>`a1WL|)l--X8d}g`{_5?1-PK5~hC6*LMIqEY6X=ijA9gIi{Tz; zq+RHOhZZgX6=bXFa%p0w3xar)6nNOjE&4-Mg2c=un2WGmOSZG0a4^J77d&+6Fe#4q zeUHNFa%nN#ljLM`i&!ge+44{U#yU~*&FFJZccP@>?vh9mKxYn~%k6&9MDaH7!S(n1E&4zEhP=t-aSybluK5Ko@2 z>Q=~2Mb3{J9f#d~2+@5;$BSAv7}lj7&2bJj(2kF>5&8v+Pz40a?L|3GhBy2UaXP6~ z3q{&u#it@|jBqwx!MLf0B(h;@?6pR_Jq)YS{`4B{%P!GswBL=<{?|U{Yu@yS=8Sf@ z0(TM+K)QOTu3S?afk!diegI_%Jma*S0ZanLSulp%Pe+t{3ucQioey^wlz{1yq&0b6 zd7&3F>SV^bYBZoP{pSphGgH1?x-i;L;5AXfRzeJMAEVKZg~_~w8HI+toUus3vyuc# zw(uN8$yuMcV<}SJ9rNl>;u|yB;@>l#8XC^}ycIJe-e#nXGxNKym>uC+QfGN3u9kn4 zZ`^*`@x4}~-C{M`h((V?fCRWmd_a)kdW5ydc175y7h#uOq7`B924Ua*ZGZd!{e2&m znrx`LQ=9mR&o;;l7pu6{bnk4(MI&;#iN8fxX|Xm(IzUGgA4PJ6Ihyz_V2qfQmyQ-B zA^oDQ8BKiLZx3y1I;aeLM~p!aq{iT`0-EU|feOtbE;e1(-yd{lTKaBOllm;nj7!fy z^G~Qz!S%606L1zNNTxoB^~y^UTpyd$ZbyBKg1p+LV03+KdiWmHKZ8U^&2P+CS#lO^ zxH+KJiqtZ|G#j{lB>CSZ`xeY^a_2Oj;TYx&ckKqZpfL&JOL;(x^`7U>-c96e-9-FS z_@`Wh^DW&G=b1YK>nyk~{E!i*mE2_K$M%u5+JCIb!ygvOW*Hi;YN=tBG)b0-)&ZPT-q;#BMq&?o6ZdTS;@Cp63T6XS9ddG*S5cP4qf{-z|4Lku>-l>BAs&Is(WWP zY}7-|;EW*Z8N_a)PT4^lQ61vg4MH+er$i+~FZGb1`-S_aRA8b`*`cW%bd4opBXr85 zN(7F*nXnOKD|o?zFmSMsQFnUgh-yfk678j?bSJi-VjU>_JBV!!^syK=f+HKvfhdWF zR0BfZpgX~TkM38ZWuc&?HVw+K5mH@oL?q+|A8>Ut1sqL117p*U9Es5RK?gjTGhQH| z1+OIMY|vsaUZBOA+bl^#RNx*=g^j4;ou_z|MBuu>5f|rX4+;xFCvx|djE+9asz0|4 zF(ZEk`X?$f*O<|a(j_sZq;&9vU5*)9KZC4P6l%jn&jPnt(uEl%f+cXTObkzmiDB6# zTAfsP@JcKS3Z9w)1{MlM59)rM(L+^s3zp6p;xDQ5=_+pr_#2!tU}i#& zj6(Vd!#|7!%m8cZ?XZHNZ)w12jN!k?L+PdcC)EU0Q55iNgi1cA?IsRy1a5iU4MKS)S- z!LDHrB!KOJoDc;|`^g~P1<@>hF9?WS5if8B0pkHA=(7kYsH2^0BCN&lA`*%a{kIHw z0&AK@>E>+pqixRKH2IhO&8Hmu%u>_exa~0i0@{b?{NsU$?r_9@R_gueKCi+j;xh_3 zM?Eh4yAfkUGUfF+XZP%dS5tJsuBPh~GF_KlqSbW08`JgAeZ}wn_TQgz4!!8`B6pnA z5&CWSdn7J0P>vKmg$Db{G8kPDu2c5qgCN3c>cP!|#5ou2WgQrrJqj66$ACy-79`HO zAX?*T+C<;OJ6s4}3pN5Q!$vPP2;|qk;f(x2zPF=jk~hfT>Ph1`OHF?pN0?ws9XF8* zr{5G+-t+{9U?pCl1yc{gkwtJ?k{C+)!t4N>Y|&T+-o7F@Eo_Bxd)mz5bzYl&K*J)q zDh~Fz{lM%gz!b6WA{T!U%%=*Jm#3bSM{;UBc6uW|=IfEgZqOB3p5Dy8WtV70mb*cg zZ~Uo$@TL#_=wv}@8l^i$EvfRE6TE1WxrYFy#YF8VLzX1CKapV$!P6ajzlwt{3ldpe z5KUQ`3kV1TyJ{^cFBMC92S91NF;dYfR^=Jx_2IekXtpX*)(+vxn%qv_EGBq7pr?!O zBSO8^K1yW9XGEx29JdJITHH1-UF622J`Q*a56`wY;B~=S^do!_waQ#T`^yjp?T0EM zQ2&Yov}vfSezmQNkYGeWUu!`M7*Y$TQ@{Xn_?Nq&Cj$%~UV4q~d~JI8pIwKs{y+aSp>v`ZomtZar*cXss!kNmwGbRlLF09?pDV*2%*;r`t~ z9mZ`7%?Mux)Ww>krFYS$NBdg1*7-*3Q15?>;^ z#qMZv`Yaf+w}pi4}*Obn{Tbj!r34No>WEVSW0a}udU z?a+Io+Q+Eu;rx+WQY2fHV?-5hR*j(s@*uG%%1C1kL5GpQBHCW-G#roU!ow(q2yvFF z5QVN%DapQMnBjtgoOguVgjj{E$o`2$yR}yIx?&BfB6jub`$ULB^}pqz2%X;W@p@ft54}}d`h44zK{L)KQU+SYv{y$b9+RPv%o{K{%2~qX5BXht#}MkSLl2TcD!~(q~i(5=C7QJ}QRB!B~tiN*zNd ziY7s%t^uIfBZw`4${C5GE(j!RaWII=BSB6La{H-{${$fypn^No9kL)%)Mtd#0tx#( zYIsiSr3FP@vOn&bu?Wd6+!obNip#_$52r0SnP_@}f?7;3Uk-aI?@E_MLq`_Y;&|W) zQLmf*L`mv6NfO={lf+rASC5wmNsR}U#C6gv`!Hv`K1eY%$p)vZnDgQE0wSxx^h9SQ zM{JkPbWRz=7iZ;XP?v9UZlC`_Xw;a+RoNIO;b#eMKb?+WH(wBUN3eD!{XAH!gOW&O zs})hFyDo{8#)H|sW=Uibb((2#gpxj#fQIcsDOI=!W+KAHap_q^b0{lwJ{&;eCm}@npZr?}oD4JzzUk&?Yh*A* z1r?X)_XU%S2xX`2(whB=DGt=@z_L(tB4iC`3-+hZyc@IZPc6I})Nqg+G2r+TKZsrO z4RR*vUw|aCILd2heh`=Jn=SPgNH*vbR$OKCgSezdTzNn2$@=+l_d}7AQC|p->)oS2h402eNWMz3`srzcO(* zx!sWYo7OyxmHSCF^K>I%6g#TE1{k@Rhw*X0@uxofmNM0@BP^2I?D!L(OO_Lia==A>^ZN#Z!5jZw0(UMVhloqn9u zo(IV6FfG`^IM9sStpC)CimAMsBLa6*P2W$h7qt8ajCJ8wcjw88C4<<&11)ZB1CgS8cW zsB+^Y1fsA&tilP3h{@B@fc) z?2uU`p-#kY5B2I2&vMDraR<&XxlutHQXM-&QR$Z6pw}xixp_+;z-w0SC;Ewy%`v`+MleD<3k-^L5E^Rpl~ zKNg<5`7za1H~)l{Flf|@hAq?4J+x7Y46)FqDSeU&dj5>~FLZm|lI2mMqcIFkPKIEy>VvcL+nl zqsj#27*0jDbc^$o&!40(mm9?M*Y26IoUI%rMp-^vV&kN*t`d5gIM7P zqa@tv(1khVn>3|n-?Vb#JU%CIj`z6Va()5bS zNar`{WnS}~y|fU1|L@v=>3^4_$J!OyGqtTpGAUfyV-9a)zJ3(3QzLf)Y#kvJnN7DK z*zZnFEhsvb3BoN*?Thbs=8%aFkY*H>$^;Lfn1?WfYXRkk1GYBvfzCs3}=dP}C_COceYlY)~JyS_nQR$W+yq z*do)dI&94`OLOk2PT_^U?MN9wRZ@R~t))3;F4@EV%H4!s)mYA4mW)^DgnTtQm;7Qz zlu6Fo64Y37p1A8%GfCpIk#V$^%FjT5a_wnScjA2KQ`XjGekfbq9sgg~T%v%TPaad| zwo^X$HGcev^!iFz;1JDl@?C0gUh%P$cW4bA;`W$oqr z=P!H5^Y46j_D703LNxz@uYKVUJd@E})At?CEtwx#%Pm0&E;3Y!EB4w?fEMC~3r^A% zh_T=WT2pidVilO+BwYc~+?SrDD5O(X56G4rRw-eXS$TZVee{vskpeFcc#`BO^kYUbRfKSR7|?&ufV@QP_X& z%Iep(&dMyb6wI59-(2pRvesF>{QSAJe~H$w=M3s+f9`+%obS!3sV?SWlnl0;de>3J zpaz-ofYwnmYbt`$BTLV6r*$1Aqx+ktEgJRJF)guyb^d2UumC}zYUvPFfYr^ee4McZ zqe&$wHn7czG-t-N7$s{5bxB2(?1T=ua@0HIkX18=kis)3R8oso-J|FT`v})>KI$q; z25uU0>Ng0iR8y)A?8m)_X{(a$AeL9wS?!Oc7?OAzgE=AaGHclqj|I1Ln7|@r9wL|v z5abdkLE}0uw#c*SerTj_>M+Ca%5q6KZhF_eHq;OJCK({?YtHJy9((Wz0M61DjHwN!#e$H3@ z>I(t7#hvK}ulXY2mEI~-9db{d!aI3Lqk|OeK$c~KoDjlD^!P^iwK>G$8s4iPHwqT+ z>%ElVibEV&R=RNu_w}yV25iXfwnX0S?~U&3V~^|`A~Lc~tT}5IjP7fs)Ud;s*eXWk zmj$Ey+T!taHJ`Q?xlgC3a9^MH>}o=%G-wA0PH9PS(G8I+gGhk6ulv%`eJvony_|6R z0uzZ~uiD-griYVR{y0@`e9_L%%UuZ4%hcC}=N)_NPhfG)I4cE90otT_TYx5DXMtr< zhmaE+H@IUnSSZRfmeLF^qWg2j&O(Wsw})skbZ~x8z?FYZ(e#Tp^+HkzJ8V;0$w5%XO|IL}+Uq~6VcJe5zRphZbETPnA zM0pb9%BUadj%MFwLE^0op1QWj(iH5F3`e}pg2Y=FL^pDcg^tb0SEmcolD30sj148v zNW68y!wD=Wv_ZRm*wTx5n*>|N#zhd#LaTigybY4%QN_{hw}WykF_n|#_Mr43$E_#Q z+E2?f9?H#s=WzrY zFtdMQAtw8G#oVU>bL~Ezd7-aC-Q_RzTMz0kyF@GIF26+A1a-gV=YH!q{d{g(q8Fpa zT!cZ{iv&D;un%kCqm66V5Oua-=T>k&TJE>~RADaqPtuvp8HMShfYK6kL8EQpY;M{- zW5iqwJhOTjyITQY#9VmV_hLPc;a-8cTX?bwrGWDa?q|(R!4_@KC0`RCMg+iQ1zL}- zaki-pIv2kwN*NrE;$FQh#}}uoexPi?I>$KwgnhZT=PGj7g^D|x(^SxcIax4GGsqni zjxl_w_FVQc_Pmw$#YC!!sE^GA)K@$ zB+oH@>F9(S-5z$P;F2u{NF>tv(#Mu=Va|vUrrN7nkVxl(6C}^Gxa2r64N5NMpMo#R z`zi1se=^@^g%=lxKHb>o#f_>TxNQKVq2YDf#JU`Trr@~bn>fCiE3#(zJ&Ty%Ait=r zf*Axk@$42=FayVG737nkX!cXmQCpNvX=-au_mOcx66|lRf@1p9HGbMMf-2`GMI0rQ z>3T**yGd6VdU|1K*(F+G=x$)>U;Ctg=?~8HF1K5>OClDaD!8s+^kLCm`PY!z|#i+;! zip`y^@KGs%B z%9gE8{oIsg$>zP^5Vm)$fSNlU6Dn=9`!Rm-3f2@i9jVidM3pVtry zU3r(QbXK~OS-)w;oG*gvChJ14rL19lspOp>rPExsggep_KJmRT|E+ASs^`FsRr4cU z6AImv=7TZQ8Mo|IgfqHjCnKEEEoi~-bYGgE%5VvLn_xnRgy&XYb? zT_+cgj!mkwER8FMyjr%V4hAEhKfInZ?Sm*k;cZ^0{vgS=NJ2vIJW=PCw};^hsHyaa^=uwIdUL{0LcRp3*~8U zIiCsTyg0Cq{_=}NQ{JQK)rDvw4DV3Rx{ zt4V8+z%xd8V^da+nJ zB)W#>EUVt3trg_^9gt(lyeuNpg)MtoSB?XA8u#74SX0E!z>~2Hk!Wr+bngfKhh;U< z<&7$unbh98aS?F6C`6iTTqIOxS>+;AS>a>f^F|6Pkc_hm1R}GN=?wG~MYMR1=?wcD zOM>^H1}juPP?r_!%Q&ph@NyUyCBbigR(R6z5>*`tBb?2o1EIu;DH^G-@#4xNeIjOT z$2IF}x$SuCSy%Qdnz=-)dB6M;U84j2=P$hT`ISmTc{_lc1Xz!5Bi)PDw(T?BzCjYT zyeyNT*mF+z(d}5Pgp}xQZ;o)AV?HZc0IPO{%O9J>_2ljY1-G(e(U?g;{Wrn7i*5s5 z!!Xkqt|rtO3bf1;hMT}4zR7p?8S|0FQ;=ay9Rtyl7hnjX=Gyef>$vtkBU-yK`YEQWpyHpCKW zBu~eH2Ca>07z2?yM!ZWw@prmlhXNUih{K%JaIYhhhR%H-Co;I+_Ewq`E@*Z>F|IKd zk33_6nuilgmjn!H@0a@+QM2p!J@%0^Zaa;!=NZLw;A^Ai2R!qX+-bcstd<1C;yG|h zu#7XvPRX__k9*eAb+F^7py0ItEU>ts7FXnE+6AVa=qf0djaiPXvs?h-0US@nq1W=D zIlH||wUb9Tv3+P_T}oDa*pghkgJ-Ou)lgk-1>L%#y6h6IhU)T5bd90<^)G$IEB}3l zT=g0_lud2;3A;srFE(c(9S&#erX4G|6H2@zlHo+MeaKs<%M5b#@P`q?t?Jo z!l!3Ny zLEI>bWxK6rm1jXd|1Nmy(HWM40Bj^r(>~3D1auedj*WJtIv$Z$UJ3FYkp%Y*yuPr? zkKMui#Cb*ndJ^n*WUoM2YkL|jO+ZhAXhJ0=U2qIohqXK-ZD&q(_Q1;Dcf!~HqmTIE-^gk0T4PX?VRw$hV;tAwPVnNc9+aBI zB2=JxlA!(sBoudoS63ltOmv0AaqC2xNl@GgKI0U1HD6a=+0{=`SBpErmj=1CAS(*H z2EAp)onV4TxJ1N>erR`YecL`Rf=dS_0i+C+GF(JznXzf?o1$98xh%z{bsaOgeP8@j zM0JJ>_H{W~u(TZ@gQdN)jTHG~3UncGFX5i-DPO=gqBtmVY-Wq$0b~-vy&FxobCg`1 z{iV0CV?1Z&co<^5yu9_CK#$klHJ>>+56gy zfK`x9vzZ;w;-~yPR#hf=XA$Gst_=!~m*I7|;#hr|&N4JMuR+&}5k)CZqS;9eELd?Y z$%+@a zB<6l7CTY8WSA>0f5q8-nTJ6lcu`~bYw|@GUzA1aTFNCd^Hy&=-)ECaQxyHl$-4Vv# zlE%Zxz7orI+enwhSV1LrrbTa2I7UGhqoFqgz$*&IuyubrkrtbRt$1*wpxBun4@TTt zT+$F6bSNgmdQ|_UeHYmaVy^Kp+{{uBhGM;$#=~3KB|PpPBs7{SX3Noc%~z$b4#a_L z9g--ba@*d5(dOk&l3TR6;*q1yYS=eI+yHjy$SkK`gVlHF5G|U3uRf~@2+XI9al*0u zW!lgdkvpiuO3NQAgIgE0KXUL8wO4L&+b1rH3tcal zt3#mP0KX^Ol`-X_{QKITOpof)7G0!tX%{*0Gqqzwx;7kb&<*5C_p=N4ILOU^hJ^kYIDQDpPZp0`>wib|= z5M_%2nF+YQH2lccQR>K6$fY0wHwnTKF9tD^q+peof&^R_gqMi)t<2DB%-!uvFU7oM z3u=xNx(hql_@^M!n1{MTw&|hZ;>DCGU86$1YC@gi0`75V5%zVobEDHg*CrDnF1_ur z@Abx|-JGj&`Sco>%P!H1b$5ex@A#;H`Zqo!8-n2PlN_Ap#4!5G@@5mg0xG6uZ2G~+xYvCj7qrX?CUiQvf^ z{ANL7oeLhp6D}#>-3~e4)zU?1_i+$=Bs4UJs;fXz0BRf9s44&(8$NMZ#FHHPuwdiN zw6IutJ)(lElI7$=R~7M#+6w?#Dc5xWJ>*LlAJQQB?{+~c+T(M;w~)jsj8%`QHUM^ z2ep2hdszm~Su(9@luMc+u9IP)6S?WFGx;d*gM6De#H)m@wJ>Rh0dy@)y8qr6Hs=?f zfBCr=kajyx4|dKyGtNcNj1LxSF?&JH=!mNVayJUdZ~x*?{%xO>6%dWky8>eIdQfID z83UL-mIo$5VM{bR9FHx4i##VgAT5E*<^XJ#8A((pAb2{RyzNuUa+1O%n-LLSRX`5g zqf{`(^ezbs`_kG*1JPpuGBGm>N>JDZQRE?>fU!KVm@o!^{7y5=kQGj3k24ktV@-n^ zXJ{((31g@t7U#lz!dSCM+8dOGe%pB?v~+@sgfTcQm@m)dE*NOlITr=QFC%wPSKoEp zG7Su=W%2SL_e}eYp?=JQ68Soc0+QzJd(DJD;fty&E;;MSe<+^?G5oDRz>&m5f;)F}7a-w7;KgJD)YxdadvwMlX zS5`$KIFs(5U){WD`eoVJI))N8d(V`fk87BH8&?9sCIs4IeqK3~Ct)8Xg@Dv&1|uuKxY& za>kXJHsd0T3KA}Y24wZ`e^8p&_9j|U^NFD5w|@RxKk>iJVR7|!xOwfaS)?;-m&&;m zut_qp=s-SdJr-Qeps3ccxGe@Sqne}9tAi?7Z!=OeIr5&Rx(Q(l@3 zbDEkAA0s(vg6u;uX>SL4OKw7F#{PSk(y!8x#eV`TAfejD{p?f$=VwAfi$ZDB+O3A~3wN^MxT@qe4^yA?XHNAAK zjas|t87_I+!?ut)(Oj<7?`J(ua-*E<+y7kO{^#QMKQFoc&&BP3PPae4jo<#~iQ6CR zhua_PFSz|N-$j?yJ1&=Epz0mWuvteXbL%wIYuy7Cb@@dx!(0#49V<-3g6M%-aP&wQ z)1XDmI9K{L4f~kp?a?9?_iWI@Ay6o#G7j5I&)2X$`l2Gxn0X8us-7eAoRKUzB{5l+5z37vA&yS8j0j z@!u{R5J}L35_58C!m;caPMz{&bN~f=u*RiLU-Z-(Zu%m;fA`+|{QF=K zR6{m6WBIC#%cavWc51naq$=sP*GshQs&G>thutSZqGM-2!SFmg!uq}~*k-0gHI98H;+xzN0 zXo|5qGj7lZp_U|0x}=rtGULW;iR>q+bVVKwVwdDLhU9*SSYow$aecsHDc7fOI#WHv zobdwTY_j7aV7x)iQ$1(ALP&OI+7?&mm$>?@^5+(YTN%*$#9!HJrtX6_YgzJl7(M!V z)=y;U$QWh|KM{PCkDY!*nhE)PNC1|xO?QSeCu5s#Tn&u->ff`yGyRS7L)K;77tT%u zwI6=Stg|RJa8N-8R8HVFj5-%HQVN}G#t}GBGU1pkbcPx3B~?np4vP*KceqlrB#SpG z88_$^A=FWlSs5 zV5@sTX7-nM&c3H(HHy;EN*2s4O|EU9XX{%Y@8%W z7Geq_?57TfEGWi|37!r;8zG6H^#jO)V&<42=A)7XL3H1(Q-k>G@`FLN6#UQ-1cQdv zCgmCBon$j2Llsn3RB4dF?8m7|M2T$D9-rZ$yXohj0gk4jFp;r*KXQ%L)uBK<1 zFmT(Z=exf7oBoZD$}|o7ywu*^gY=lYT@V#!0|n9A7aKwJ2sfxXZ5vP*F~*b8HhOnc zc?de_p`fG`j3A1@sS*pY)n4ggElp3P|AF>E{KZG>29TGIAc`zSX3g&#h{4hiJksA!%rn~;uvqop2~gV)#WN%{4K8_Tw%QK>VfABOpeo_zB)J9G zg{(d(Je~DI3%u4~PrQ^LuJA<*3a9XH#$Nd*KTZU9D{&b{u&=+5$&dYQ9{AUmKG%hn zCtLd5AmKKpqDebld6Zqt(vY-grY#Nm+Fd?lwyjFR^3sP}5BV;;M2wj}3B8T$TB4eM zjbYnDeR*w$d_VOI|LyPoqK{71DdP=&z;ST7tBzzfSsR+kt~!!)W`amg2Yn$h?*MK{ zOF+_}thh8a^x5yVSo9Q3`xY&`@eSU2tI;DWglyf^7|B zJ|;X~SwE7|PB%`;^Vigl__~2Ek%c2REcdSJq-U!hACg58339BYvNN|i>^s(G@Lpmw zXUz@r=1@v=r59?`AwN&NFDM5#o=rdfR+r*)@>A+44&7FGyO?j3<_vf8=6I5LKQahT zjVH)uNlDMs;pTMQG0lN@DEP(d{S5c=2Dx^~F;GeG%9!U2H}eLIk~R!;wtH(L9riQa z%^M^csGf%e1tTvHlHqpVpz{X`LYNbQRLb(%e6*YNx+;(ACVjXH54qE@ZoElR-Z6HQ zVu9j*)J;+3u?y~^yi=wfPE~rKdN+n%kh6!RzL*3jv4tFZ8D#At&+V~h?bVeg$lANA z(ggb(D;<#3hsu+1z$hIlvi@Wo(){>T=V60Fk)rUTohv-4OG8lkQk2$6DMZLREK4J9 z8Yv~oS&xlWUc~+90~NOyM{KIM9#op3SfV)oxk?l4Z!8UBrAbk#gVK>=eHj-gES^7< zd$CZZVU^kO-VMrfP=}I+ENg+Qyh9n-+fVmybg1ezgtex{>o{&ua}uIph4P*10#d%e z`*E0|zpQ{@TrN#G)U0&slr5>wHLHP`QOb1Flq|_j*Rl>!%zB1lzIxPmlb3sVna8e5 z(3W{(sX8P7Fm3B=T-x;YS=RAsKlShaH=p$}S;dyB0{6RlJ-|wjy3(VDVhzz7t^9s6W8NjRq{-ahBy)a#rr@}O%;QM^s^ct9xZ$R-_MrBjFjB&%?X}w-Mtt`zIuzg zd739EH*)hNA5pl;H#lHzSI~F71l8*C8X+)9Up?n!&xT4;j3ZkerA;u$dF<$(R9{W0 zIPqgYp{Ps@L+qzp@OeMuH9BlTODU_P`mQ`ni;N?=LC^j$p8a8r74gNxm}=o|!|{jl zJbW0(>?NIp1yR|Kk#F|HrH3&U#QRRf34Bt2Ud+`;YyNj9aKhM}2r) zurit2(quYpH*GA=Z;zWpVKPCWIDazE>mQ_;KJ0%FQjfSk1j_A88&m9@G~Jt@J;)o; zV-6T0)aNmW8w_DGr6KIo11zYNs6Z>}AelY%1MR@61qm#^T2UHZm@IO-T!%JM|3t&$ za_QYxtPkK#QTRjWD-%fL-H zNRHDOsGtrZN*NsS-tZs^2KO#r-Kb%wu5)O&R-2aHrop=ltqF5d*?`9w&74FnNizoL zE_*a`BZ`mw#?@pW+)eDu`)8Qpf;Tv?#%)5npj#UL38F>Gz|W8c63ZP`R4Dzt zBKMw^^o#(xh>I7jkO*mjKJwPIcYQt9Yw0G*;a^xAQlpBZUI0nj;6wl1SK{2V|#x+}`y?y7kdP1`0E^cu>;L+C77`v<}I32*gk^5r4r2855axY1JYqh9Q#7;;67&T$I{&n?mY^k z+eJNN#(o%Nr=Fz(NbB#L0Tpk+en_?_a?4N>gxJ+iN~)Wm^3$3ZX9lyqf}nhdg?%L-`=~yXl*x<(`<$| zAx-|2mh@WYaI;s?jCQp`_wt&HYdGBg@^`)XpM6^m-2<~7i3aM`-nH;CXGE^e_HMhi z>T)DmM?|JzG}{jnj92@$>!|!9J9yCqOrj2FI<517jw3G~2ZYGfiwH zPiP`WKAZsEI3Bdy66l5`GDqU-v(PO7S$ovwk7^-52t|1gs`aM;atY#sqVo4v4ed~l zml1aCTRnLkkI9eyjoSp|c5~W4;Zk3b`xcS=20#uYe>JOLIzJ~t0rkPZj<7l8^^Qh8 zR&2f_Z2r-=eat*IPeE>U+D<}l#OAIOKQKeIC+jVop(kQM{EW~rI&KGc$-p{m?mvIy+nh~4PqnUK*bZYlScOR-6 z1vZ1B7WtNxY#U2c^iw&D&4e+5;zee)NLmgox6HsOI9&U^<&OcCW&HSPTOEt*&lQ{B z!tnVu-0hQA^@`0eKYxDZ{`>B)*z7^2$3#z0o#6Fc2>%~{(Kmg|znAT1%{_3t*)kE3 zD-T;!g3~(?hYLX3PXLM{zpOjaQOj`m4n^cd(kw`9_8GHH+O+1N&Vs~d7ldb+;cm>h z+rxG*XDsr|w%}0}h(a%tIvb4G>@yyY*4GWGaK@MS|Fie*!MCMpc~DEr0fwQ(C{~1` za-b5*&}`j%ueJBu3o*g;gaR`rX@1cib?jnDI5zVH3Mwf0(TpY!{jbNaA)YU=Fu+wZ!s zy`J~-yw96=O>9nvyAuaIW7i}7?Zjp`M4ghtdO&+rvnTmw*8fkx0R4$I6OGuMwz=P` z<~w#i=)xClcFV4TR)Avjw(0|)#b!a<3vqJ{SrdkjC%y%wOMK3_J@!d#i{6&;y^W>3 z^Y(Nr!{j%&g}~WU-S#gi0;wLo2F_0cINK9ElQCt2_;D0FS1#IOy5Jf(-%jBC^B?~A z&tLTB+(Ikd;{iBpY(|8HN?V1H1vn?ckP&f@2+rU_>0lBhgrVC~Vr~Ryu;0+$CJ5;? zIQvb*FNoj_ZX2~qc#K1;b_8ei<*U@&p@m#Xwd)AZsDM*ZmJ#V5Y4CWAv#1xbh8R-x zgxVhvQ${oYtlt{{8l9ixA{iw_!EC0^>-)@b=ldIOX$W`OhHHh4u>)WNzR&v1;I^Ra zQ)>TkMjABt)XoS~3jTtIqr_2pxY);LAX`+3(%5Z5Q38#Z_5F)(3-;bQGZL*t#SKLA zAw0L}HfpWK0A%Re1(dMSj}yX1jrxH5)Saq)eI_)*le;;g&sEQ;!5F+^@7F&*v5Qe| zWm0_c+vxx5xBmE?mpwk`MUPLK!RF3FSq|g?zTEO6 zx^MTfZxBi_(^50F7!2e9!8{7rPkZh1{$)Au5JQIX*3HMyPE-8g^Yx#ZLd?d_*u=oj z)Z+X_OC}H@WjX90#~a0f*tl9Wap>gSaQuS{7Ox*3FAN3l@Ip8}k48g`fJWPCT5Rww zSfdbr+49@rA|6fWY21a%Ojs=1Cz5bSl48l+NP30-`xq*G%-N+4j`1K0hf^0;ZI{I^=hKRAZbJFFa231<5 zUe>V$*ST2Ym1o2j*E_=`7STCzJt@Ln@^oWHEAD)wuDP9MMD`o+HCT3w2zig=76sgO z)XflIJWNB><_b@GpW)~Ki$C#S{=qk;+|CL8ZHMPQx1&qo*|D^sd6TVWIqWMdyd=XO z0zzVF!|dS)%NCum=!VGcuzNvj6%=rycb*Ihp>7CaBG!Ch2*oEPSc2hfge-4+1s%Sx zWpSvw6<`1SoZtC^Fs8F5p9c+Y*|z#rZ!|7frWY5v&Sd?d+eGo4ep5)lR1q-JBgG^- z1e8SpEa{UFK*@6PI>z9-9KeBwxhn!-eP8DQN|wX=^;|pYN)G{`($~ZKdJbb48^6F(YCzmEgVA2G5J+jyXdf{adV}i%=+S0gCdp1bfcy)lvHgMRY=`1&8n$f86oM;2#^E$?7I?RC5y^v2PE%}~mzUlkR8 zs@0t@mAvbTR`*DSCuLkg4V_{oBfO%*Pj!*=rIU88lt6&mfVaLB)C9~Dm2Dxk35abK z;pFpU2RHZ2M~dUy@{KR?R0Ist6yh7dDyAbPTx1v}LtolQgc$UOLnr1Mgh5IWz2pHP zrS&=EM*1Sc=H%)mj02jZas7Ibvj_IVduau^wN?8b#H%L~ zmrpy${n79KuftAIlystO@r3$rX{hft+hm7UGrK;0uldw`+8VK#C1lUzHGDLCp-zfAF z1K#>`wb6@skkXs5-Eg$+MRrWnooVl@W02qIe5=_?AV_VzDS(N?I&| zLi7^+x3oGGVUYJ_@zuwo{bKK*nOn3*&9}p~`>7xLyzlv>IfnxDZi=u2{^w?`1OAXb zrJvA2DXxUph*+mBxENvxP_HpK0u~}DFvdxto0+wK1WICy^b=fC-jPkCW?RDdCmnbN z(HSI<%VUfV1(aOKFy|JbX;T?ShXO6>V4%|w%t5WD=3N&K1^NWgvo4$5nJ!+iWDMm; ziP3R9N}Ttpt$^B^)Z7wB$5D82J)mA&pO2X^ z{!kAPs~ia3%mt}f^C{eisQ+Dkinl!^x9lN&iq(l=+Wx8Nbhp>h>O=%T@6n0J{>sPw z!tY&qQHgR*C&E|;C?jtT$46QK0xkX7ln|L!YhQU$8GtKz9ZBo2yr`8IRVE0A<;}Hv zz4pAl_QK2Ocf0^K_7@%tgf<`bmeY@BYH_YLXNhmrb0IBi`lizwtR}lgvDO(4*5;^0 zV017Q8ZZ<>&DCpEJ~3up!s`x}8nEjz(lA%A0emg;dyMQcC4EMN6#}q~M&~tHTOGbP ztHIiJ;C3-#KCi)wH%&A8uA#{DBd_B;RjdvnHJZwC3w1=2%%Mk>!h<$``jxQ$rS?OTel5N>xE zG{*dEMjd9{9du4$pP@XC)cmO&VNoU^yA?(Q>UW0rkwwEizbkLxkEK8-tAdz8@T;WZc~{&CW%Q-1@EU zE9_fJ_-={snH>kx;~?vu7f6pOa_q;VPq0Jky*y0GxcfHY6VP>`PXJY&+A`jrLxbKe z^$8&MURxHP7z7U_HxJ*=X4Hi#ff%1M_h1ZSfwtBASPpGB-hZ|17OmdL@>_I` zVEp-gpZ;Az_)c%efF-}%a+Ep>#UncK+53RTIz$Ih?}uRn`PG10-hZXrM@QF@dLw`$ zPCto|NyCh8Fx>A*Nu=SnZ~izt7i8k)I|uZ<0r^z#SNEBffU>!6Wr*L|!jRmNd!z_Z zarKpaACa7oU_kO3`r*`JuvuJzSXQn8?na8V2ZP)MS;P9bJ;+VE2?CO@s&Z{IRET#{Mx647B=lCbZ|}`%1Si{=3-#DM*Q{_5R%}W43+0j z6z{B$EE_WRbi+fCuQdi)HsqVp4LdN>)h|erWZ975?1qP~!2ncXE=H>wD=ZrloZS%Z z+sd))ksE*m5!$U4oZZkG_lV*g6cY_+QBSaCq1_IG1p4}WzxNZm zy3*|+=YbPuba0XgL2hPb*;J^S6RZeTk2}r{V_?}00<0K;9`-%O+-Zne<3)T`MxdDT z-Ke_j$bhjoKm>XvZq~>ss5+q+3jwVhq6vcPP_pxdXfYdCpM1x|-z)Qri?k0K zS|efN$`!k=zI{6yTJ{cD5i}5yp0J*+Ww&TW(Az=K?r(nTN3K0v+Ny+@m#H{!M_PNf zSQB4+w(i$tw8|?Gf26xWNt<^R);{p-D$8h`kLhtK6o*hdw06(+fiEotFKY-|!|>$@ zb>m_9vRkw|5zBAUHDUPw`t|RB$O{_Uq2s7D62V4j^)3Th))2a%P zGvEAy1~|sB4|HX$+^eT`Aov_Pe}&xJf!xpg!~ek-|5Aor^?w|4J%WWK8wIE-p|Ur! zw0&jeT{k@J8cuyeioD;kA_^W*Hsmkb4KdD>aE8FJ^?BFXkeP2c1ltRHq~Wo}-0O0S zgj_eoJSwzy(GXH=icxus@~U(l5g;;-k%p&%99)dsdx)Zeq=l0?a%5V$Yk7J47V#ES z?iKo^747q`ORbf|o}Jgo<3l98zCML`TepK)omw`BQWOm=ttj3Gm&8td?*qZZ-dTM2 zON4R*G-K!*K*79JqnpjU6SD=Mb#8=w%*1P1w7k1~zR1+d*gVzal~JBsI}bS5x<7=< z>9Fe9TGl%h!L)9w_*32wH#8|U4uu(rsb>0;>aIn6<jbSFS|u6`ri)ve?#o{+bk5LL4uLiC6SnA^uPjUNj6HF*+))~?vMg>8 zgk?cYpk=LaH|u){+SgpUg#4|>`1e~=cAYN&ixV*D`c`kjk_C{6&0fj{s<<2yMcL#7 z{R|#Y{eRu8SzX_4ZftrHaiTn#r>QESnzR;6C zQS0^1H~ug0%vl9ZD-ZZ|Xch(_37@XWKhpszI=UX?+>?g7?SL&X^q{#yfHR&6jxi_l zch7dvP?v-T7}7R(5EFxSEI1}aXb$&3Wkw?mqB*GSr_2E0KEzLFQIPU?oH^staTD5%)17uDy*e;F#Z{HIiR`i>@i1|2O~SPrUQ3Ig-yHRVcW4 z06MSW0c_wmk``s*9#w?gVZh72)kgCL3N9z!Ns<95E|&O7&IcUrF z{p>)DISu!1xC#YT#4%7O;Y2_!f{^erd{)fQu60IUJdN~1f0*(Q}?Q5e8vn!RKhkQ}$LUeG-ryW!2@_dQg+kd(?I~ZX~k!s(pkl4Rutwt+g!<%#Fl{13j;#A0Tyo zjqK~~w8hy|y5i_sV1Li6=T~2U^?dIo3|l|HjMv-wW%vZ8tjl;Zn}#x>{V5y({-69? zKlR=BGLBvd%O9h#Dkhm(v<+cS!6SziD8N|$$gdW4Fk z{SXYe?o%=OYmHqW=h%{0zTi7Q^aFFLaI|ufH4RF84>^|t0AleOd1>f`BZbO#vzf6a zX>fVJ1yn{ziE^R=823EON|YPxpo=#sB^S^i zVf43fmEs*b?2Ragxu{0`50>#3fo%u{b>8%`uDp1UFj|!o_Dsar+q@=gAYMsI#LLo% z4$QSY2+_yIOSw9Gq2^(j3#LR-Yhh)Fq5tH-F#sG;nYxaRx z=@XxM=9%yPk)Qjy-}Rx-Jagx*&t)KXdcOlBl;;-q(?en$(e(mPr~@pkZ#Cx$^;FaY z)^+F{tk63GZO%T-dO{N&`VnR)JM<&UVtyM3qwyF^m3XoT3Qq{yx8yUzvgd4>(zzUDyd)*)5NhJf3HBXi|qhug%$YP&Ktc`o{ z#v?OSK2zvM;OIAK|DW*EhRbnBrlvR1g@6-PxDfPF3XK4`1y>D&5mBD7L-=5Y1pc1S zPxN`XoShW%6+C!`u3N; z;Y;d@&7gHbH3ZPQutm#%faSV%0V8JE@(05`ROc&0G9MI}IJIWS5w$dIstGDFd&HqE z=(Q8W?Y@}8$6aSg<}ezF@)Ky`wG}A`8fHX>;jvLf2BET;w@bqe$T-HPCGQZ&*fx+* z$j%52v(E%W=pze_qwhNojzeH+=Ly5$GvRE|45*f;Ej5}-%iy%)E#7ETA|w>R=qPs{ zd?o-AyULjt!KK8=plG=?FCvI-&3&^l?|BOUS8@=EqnL9L^7jW78upFHfAglNKXILD zZG&|d4Y4*PKL(zQ=x8K1hGZ5qt_zbkh!sg{=s~f0ZF0!(?mO>UMTWJUwz4UZ&-kj} z{-<92%#6)Pj9fiD@7SzM0G|U&5`t3A&N|}lD}WDw#%_3Qw`BYQ&UU2!5ht=CvDpnz zCzMMBNwGi0y==&MIT`jlw!;H*!E@BgPBtVqyCHmhY>2zYCxxhNNNjdPjG$pP0EXKm ziW2295}VyH9V1|${sVwCZ;{xX4BP%B4GCyOw64o+DA=4Vak4mV;wUaavF}+NCFB^0 zrjIJMe}AO75JAn&A=NK{nOOcAn>omV+W3T!AwS41@!_TY*@seRpfhu!k^R?kirAOBu1&QjFInT*j+@v({XpaJ3ZJ1;?neJ;(+f{ z#@)s3nT*im{W+pOf-}OoH!a#3sxyi=2z3V=sN0+dBYH*W)}NviL>Q%7FqU%I#pFcS zq1RFO&Q8X-_iMZraat~h{PL}N9`~UWB+Hi-i^WkX9T@pj)H{$r*e1@8zd;ez63jdg zDR#;6$HNTA42E!nrkIu)3-M;0;`?Q1g|E;Dr~7$OeLv;2V-)P49nE~1|6UAsIKwRx%h##?<9K5Uo>SzDin#bl@%YP%c*zOWi{k}p&hCXT@Su6@IYoD}{onQ8 z_vUz97+tqd`r>}q4uw;9*gijZi`Gc{b|USM_@*!U_*2efYZom;$*AkjthAPnd!)J~ zpz)?~&12gVfI-^uAu)3}l8Hn2RW)C+MLOSZh!~b*TtE>+Ke`5|*^uCs3?a5JeRN1q z+xVJnNbqt)sQe>+&Ga<3s6lKtBzU=Dw{283X12Q?frEr`M|9WMTa>qz$=PDgtxDM) zLAz77UEa04$b8>;`%%Xq*Js~Y8--ggiVPhN1s;b|HIAD4Z|=#<04Z3eG39xoOif-n zfAZrP`!`!nf8x4pV?CbBRLVt!wl>yZ*|ohw=x*b$w(P$Y-Hof>-FxnxL-elvtDB=~ zecmVkp-%)%-WeY(jH4)_jbR(1mp8S6P4iYh9`%qV*qjdpeKUX1@v z;B5*`3p3?TF|}Ol-63hJI`d;Q<%;;P>ulGstm)-B6N=DNMPA4G-I=C^h!Wg(Q=DrC z$0!i0mz6>4ZY!;3qeJC#vKp4?KawOcMC367FQ;LJE+20Wb#leY7;SXR$!ff;8*B0# zQpRTiTu^zNl-Xr5W4J6LpG#Pj&u`SZHC~B^Gf47Vh=&Ies?3>-5h@+J7$L56YodQ3 zZ4N1+YjbN5JmD&DQHn0-);#E-8vC8iV96RWFAv97J-Q1I(@=JSQT~%IDE|w8@gMw! zPyMiz?p30I*QSj&08y6{wcZeo&N9DFXlkb+CZH;m+BPg=_AQ=HCu+>1?mNr;Lgm+) zUo}sQFIe9qgz(rXg`PG4EOwO2sha+Pp#Z@KEUA57k3idq{Hf#*gLXJ^5Y@4(Qymg43F^U(7>ma zXC3*Z!8Yl#rfp)JvLu|4B{I?Hu+8ugZ%+MAMHNxwus+9N8TgBvUfLUlmFQ~DZn^x~ zJjZAA4EM-C;o$LVQIAC@(dqf)ox8YNEt(vCvBkF_lhD29x5Gso zX(~^(a;1H-pn<;Q-Yc(^(u1ClQCX_=dq{>}cbclJ`AJQ(-3=uLa$gjljifqe7+k~vHa>W^C$@sbl* zd{^j%F1ah?cIgt0U0SYU8k3BmwEDN~uF%?CV_lWENSjj9F5h@}h0+ke`n6y8HD53* z4S}@KBL0MNI2TAmOeNZ4{_M~-oSQH%yTCVQN=lpZE?NncKKl8Lh;OX{n-ryBiK`Fg2D z7mY6!BgL~6gUcdavNI0AbT?J^Zhw%4E-ai6gDIG@1KLbDvW^I~KMOy$qMa&@G`KYb z`K_8K3Z7}UBA( z^&h_d3xDIoa|aHRJFMlvkKwC8m+&Lq+iWgPPD-Li3|V30Z{7_t3zF}uh9|VlYEE*eoSSGUIU-#R8$wZ!E(fwTrah6jC@CT{>~}pRCt!HuL1;25?OHNK zW_ZGg3>YHjYd8$FJQ*UsA7j5esTL~49Z)YV4JAM1Tf_trakcubt48Hx6sIh0vg?_O zMQ{t13U#%DB>~?pQ59B&4D|P!LPoHRH|MlHsyEv2q5M%DrsnYcI6I6^3{Zr6|-|K^x6ho!Sl-wgYPE(dsA+Ly9f3! zZiu~??vf81voD~-PV;N){uks(kuM7{Ek0v_>AL@;(!^prOab$Q{8|a~1HVQjr{ezk z%Z>XV;5rDvIo-tk-ZiqM;<{(AL7K6Gn*cWpKMTLQSi;pzZUT%UVS2q^V85jt|Bo_h z*6QbDtbQ)LMNF4G4oY{(@OXab!oxJo4}}zuegsCO_s3+8jrq6a^Azc~nOawCMK!{(UOYr(#0pf77K25KnC|}r?@WZB}HvkfaRq&_; zc+wWdFR(36iciQJ!*`ACm@rhsCe5N47Ai+T-Xo~Gtn#$L`)b$X7x)%?4De9H1H`G~ zG0uXcz)$7|MP%~NVlvX;nPXOgy^`EpeHofN^EdgE?`rJdY&HFf>xP=-2o7#Hu?V1Y z6#-Qw2Nh6-eJHW36P%!;sZ$)3(mqC)1K7nB?V{)*Fca73QxagO8k0#9QxUAsw6y?r zcd@CHZ8k+yr^tuK{nLdE`$rZ&E=q~RD-CyXvEUOt0M6+%n_n+0&P^05mb^r7oaJM$ zzL{G@pjdHkYodxNs2@>PWNg1&d+{GW`x)Qxd2{hECN{D015WjJI|$oi{0ld=lLR?v z6{g3WqqZ3TrV$^A$gG5r4P*So zVhLuS$ToNAwy7;+{M((5)QsXbd1B=iD&k)R=c>MSYUqx{s20qWczb$?OJkeBpDhM> z*Ou}A7~dO~==UunWo)y!L3>nzc$_^x47M5X5O~Zwl9YVr(sHSTAx=alLmAy9rtrmL zLRUh*4`Z;iH(>5Z^#|M3CDMtkbh4q?6Jx~aR^%;De=--uU1xJa0Gu8y5|fL_R8Tbd zAcM#np=$x+$lw=Liy4u|0dobR`8A<1l^`B!LI;jFQbkyzD4dv?ii7wl*(cG!z-)Air!v@n_Ql;7{>9u`bRpPI+L7RD*4mLpuzlQf zv@-xv`g~%1S_azyV{4^tk+@cr(|7^ldknUrZV5gxx3~klvoegqHulWfScstk+S)*z zaOe@h|_7S6XM%UR91E)RYw_#v{J@InLr3NZA728Lpn&F`A|3bgAiuW~u58y0Z;TSO25jrCL0^DXIbih}kGsWC7LhejU z9C!m|NRBjm0j>bc>+Lb5u7nDNeO{tP+EYq4!A_Nemg|c z0-Qy3k?`0wgU+G?oKYUYNr)vSM#>9Wg7d!PI8|(OkGQ_DoP}J%sz7NGB0Jd1H`b!- zfAfC@G%tg#d_5BiXBbY0L8_YXA^xkIyFggW+mTOPZ(3&q_)`S8$Z$_**rjhb0~S;G z#k@!@)dr<0K1Bhcs_>f#e@#lfZX5x=1Arr7OOibAA1lQD1F(xqJmc4E3gePI3a7)L zXDUq7e*t{2fcxsxM@h3c=8R9Y0`Bbq?)QK8M}F`+av@=T1l-B0cLBKT$c5b9TLI<8 zMMF@}Bip}`+O>{cxDJ6OM-mW}o^U`=$+jz(YaO|8rzp)2lb{U2Q@ft^h^1_b6tz=T zwCWFg!Uh_x=!ztF*#)44JRf85?$SJdN3ffhHg1A7!c)QhAVD4 zJ<5Ou?__y-l)*KDuSB`~i(%*eD=26ZrVhsp%AX%S70q9Y{_mPSu6qb<8dYvjB97F~nQANQs||7(Ba&2y4dONR}D4+Vi_$w{}!l$NQ~m};J! zN*L?FlQiyF2cD#H7pdmm4jeRQxhW}K9b=4qq1WNFc8-0S7hVi{q7Ow-!UwI9;=_22 z6<-)|@lzJ&xfnZ+eWANL8;J^HQIo~inD-sq+SuQ!h}99#|3#%BBn6-gCEa;&gvNBC z=(f0PZsmDef`?xh)9JRjCAd8hd7^VQrXVFeJ*!@UM4;-~SRSf9g&N5QJUwtC`B0pE zjI`|zC!{<*-{!ud{F>Ai2JHe;Aob%sL8<{oe^v{2Hk#VEx%~N|hLhaXvp+u+a*8*O zpUuLbpYy{#Kj-xP;8PTIs&^Zg$DWn3zi}PZ3?{^zNMnzTG^Nyq9DH4LA0+KTLAxqx zUs3Rww7gp~(4C<( zE1~Kx-52o~`QGxAK}#Sw2;3sv)Tp+^PRN*J;^a-74E&IGZ%%pMb<8nYtAu#QP_!&B zk5DGkzK>|s5EpE0ux62C!iaF?NRDK}BvhrvMDK( z;*A;m;nPovH)ia2=O2(hCf=B_AE}V30WBH(85B(nXvx^mV|<)bQYQ5a1NP%MFY6c1 zB02$2(6gXm%3rRA^AU7*8SK=L`0#KpTOdu?gZbK9U!wFXkf|Ah-kj|fLppziev}y#te(xzH zWEyVFcwH-`-wvez;b;HWXMb(hNYI-x2=W#yNr9d(P^D_q9jL8;so#<>hbc;}!0=e9L8;fxM2roshdancQO3QeEpk-)N zU>Zz8tK~QMlQIw}lu3{BwGEs0@Xlc2%A#40g)58Z z!oxHqA=AaO=@j}A(B*H6J5Pvo;Wzx2cfJ2V&lw0EyzLnXpU{md6V%tm_*INNS48v) z-CBKc!y|A|GW*Blv8iXG(_P7iC!M8*F|9`xNyu9y945oQ(Ft$IBV?ciy0RhB&<(r3 zQ!K_74GpuQR84&_xq2aJ|2|?OTeU@brswE2>PYWe@4IjNzpPgEz0k1 zCGYRQAxjd(o|Cm}^9H*%F-(=-E_B=wC~%l6t}Zit2NX!)(3((J%jRKRPQXylW|}3(%QUIO-2O4e1yx3+6bPuT(e!DUpVV z5|7Qkroa-DZMA17B@c+nZiwnW>-UVLhmr@xWH$t<7UDt@#(QQUA0si@4I!<>p-Rj( za2gOp3^$yGMZv&ja>6khEdebT!t>wrrztp$+mu6Lojd+fHltg3z;t;;!c|bXh&~Zc z7tyOM=jc@va%}kzRkJB3+6@ z7bIIVj^eSgZ6+Il4#IeKhPOzw9w7fOh}d%|om7qVJaIBH z&D!mHt9N1~Tb*34(yS*0u#!C8_5({+mh6}@D(h<i3<&)0vGY6SS3KTny93#_kRJ;mf5-pubi@31>$lw~ z?;0glal2DV6|DASE~(((%YlU@rQuD@HPs?u6ns;7)i>|4#e#nP? z%4_qiC!KxHa2x|xD^QQ&_!0D5V?FIKvHUXnA3MxQQS?XOP-Pgy@gv*_brX*J0X-_B z|D-<=(SOpPi0D5V;Ky)$(oHDPA4!Tw6pp8m{3&ETIYh4!`Q2O9s$W@8Y>Vy#Rkh$} zjYsoOh1Twh$&XWO_hWu>|K>O6h+ONS-ZQqDnPHQEc8KC=6|A+zySGa9(MXUf8bZX z?6>D`V)an!_ME+pyDoA&WHiWR$FfJUsb48T=M$gv+&PQ*!nDIGy?~ z?$1M{z9qk`ZMY`oYC^6-##Iy2THw}vbXn|{baXiZF6W-BPh#sDVzTf6`ES{#Zpky= zx+!0(c1q6&{4x)&-L>$4k^f#bU9W2-md^9QtfnIysMI3ydi@|cd-PXN;mP$BmffN? zrF}ao?SK5sAN(1gHs>iEwrGB3uzTF@4>cbwVo{b7+kuE&WvUBLp=q!oZ4I`jp$wS? z67A4CEOB;^prP7g;VD4;Lv!DU#`=}=7K@a2zsK}glG~71pK9c#TE0-J5nWqAp0`+d z3K0CzU89kA(4H#-1%~R->}O{aJEB|g;mVYM=_wpBQb&XQEoQD)ma(7>eG=7tN4>#P z7WpvCg7$W&EnOh5*(~~C8E+5zuyyZHeQ5^A8Sl?=CzqYA$@{9>Fl9)pSs6&vTVZx9#!H2L_)9Qu3o~!DZlRuF99id`JwyO z#S(_s=UhX%h;^YfD)up%{m*T(v*q{E>|4z=x>&>W>52t3g2WAop z_X9>-bSb)hOLbDhbz|yZ8MGp1b-PaWw~mn4sxd1<7Lpxoks`aDDrqIOMwbHHql%gs z4t5<~iX(O@4gfYd0P9#K@4?W=$&5>WM3(~Fqbvzw_0ZScfFk*TtD;H%BRacF2Wb|7 zJ(n+_`uJ+!#bKDzmj8|a=Ga@|d#J0GEoeV%{ECpf&X>JzSj^;nSwOD%q6u#-&j6NM zA5h8=pmSBmYWhdpGu(q=vmHoR5@UUf$EGz=kQVYj)fS1dZipcmKujq;pW0fplNjrU z(9*)33SjJ*7A7&)4Ke!z=Rm^|DNJH)Hr#^ycEOMs>xQR(r>a^Q;)hH>{Q&z4^$)?= zY}s>lZ(KW2{f9_|$y8Y6O<;vx9EH`Es z<3Qph`DT!|6cEUyFQSDT9vULEVEGPVE^#Fr63dg}ZbzL;f_`fecs3-KyWw%q*e63gAN-|r!z35Y%%`nEn9VtF!z%%@}t5Lm;NDNlx2 z?uGzU-6H)7Y*AEyK{|S#c?ZFAw>&_0Q}*5W4Yai@OJccOLdn|Xud(y`C?zU#OC#tL zp;6&44s#Zv1q)}A;0}|Do3o{IfoSU&%aL*QNsI=S@^ViVLXU1?S%G{6oiV4P5U!Tl zTS4hs&?qQfBVW6VQ$7(}BD)(`kYsQkx+%%wTv4v2wUK+@qb)ED#$cr;><}wZ#nQ#S zREoP)+AfBMN+cZD*T^`nkK>I~UP*nsX6UL2!{@`%%afF@vG_o$n)V3Ky!uykUjFL8 z@ko2wEn3le`7OEzoxkq>OCS7!Tp%}B38<2TIZU0>un*a6sAk5nZ);RKT@5kaZr=iQ z_xMo8oHWdlHs@G%jNQ3KmUnY_NW&ay1Fd!)hqgmtmo&7>Fh|-j+@hUY3{ljL;V!qB zBW<2c+l!!QhrD)uGC9)5$!uFqd!yEm$(-de=13ccn9L#h@viGFHE$OLyAF{y4pIl0 z^K4uvCRpZ38;7t zr)o_53Ig9@1&lC16wV2sspwpAIeP;fn^8CysH+zf!KE@D*XQ#T*2fr*Qy3${u^>fD zz|0s9j$Du4+#Vyv3+D<3#qrB>*gt>TVUaJ_#QuBhbB+A+sVPK3aV+6#rn-K$2sezc zP0Z~9ZDx18v$UzeenG1z^yE?nm))Y(6S^Hw=xyB(e9^s}r_h_>b$z=v&zd3}9h^O9 zTcxBr0Vij*=?S68r|t-ygVHcU?Ga2l$>)!G84+nG{gw!{lYUFY*-0OxfLT>6A9n`| zl%4bj_>S}oIgQ-W6M7irPKa3M;?tieL}~;ajd7QuQ9j96HBM(6h1FOl;QsPH=Vooy zTbc!E%Rb*Wd&(FS8hk?tq}c1CHu z_9&3t*kjmU$X@V~2>ZthasNQYT`}hifIaPzl-UdMlQTf;<;mFxxEt`VSIj-XAZ?5I zAxjaNv5kFEsvtDXX!_o^Y=02DtAhG^wZshxI&ENSmKb|r7h|ch>mLu5a-Gj)6q> z71_)0usH8q?tqr7uM0JJxMhOMPeZ%LiJXSfY?$TgID%djd)z zPQ2f5(Y9Z0Qz|!poTy01wLGyjQCKdGz=^DAx=T~Tt6v# zr$eatd~$?E$eq{EpL9!(>)cjowlg%xMNVkGR{C$S(r;pO=y}m_`-arz?5DHF*H13i zFS|u+e0@9d^}XNo*FWf$jP)7_IuF32ZRD_tVN#4|D_IVk8Hnfj8Uox?ChNgZZXH#JB0R)VLi(BG2_l%=lGffyF0oWzN z&)uRGaBl~2KlSJT{`-D51MY=JwH``vQiwg37(1fQ zUUh7hV(ti(tyBHL#%&_A?aTnzcio@1rX+jVBY-UprO;>n=O;+BOMr`A_v$_5Ei${j}3N_;5u0%1)zRL-wot$tKRo5r^>)1>HGa;?>lM( zI1OIPv5xk5cc5ILQ(&NMQ%U2_f_OsD*~nU=+lojZfm}Xc5nGFW5iLi+W!9#QANbNvuodra;Bu0rlNE98Ec+U($1$S~}~9faC+ob#yc@GVAVvkLFV%M01| zgIfdbCpXYuc8gZrza8BF#8*G*^FAh1`t)XaA=}}~)L(8?^Jb#uUFbZn{T4NEYW$P; zg~Rz&Cws*G$xil&`vi}rTqD)r1wC>gNhECRWQi1vcrs|Yw?v42b!XO>APz6pyvf*J zrU(Sf=e2GGrUv#+=-B-d3M}Lx_;HhTea~@dEa3dHVf$Im-!}<0{SW~ zFU6QbQ-5vD!zk8}-FfZ&&KKXEc@?kS!}8l-dUv*v2kMTRy<(#XN31xN;1i#D=9zE) zsl(s;mYg5ZJ`7LDr`|8n+`KW*f>ry<67p_%IDp(P`2pzDS$X&1EoxGH*QJm?N4LFZ z9P$>W_-=-1>bIIY90n^wr!7kH-M5J0tlpS6X!VogyBPwj*%OGyJa7sWtMjg<`0iWW zZrN{#WXDKvoD|>95Pb~jN?@UUwlNQTl-$I8W8Q8@Cxz{UkmwWNBOhhanAbHen#<=n)4J@@0&8+7)j1|8vo4!{q!fUGac@)ZFx7}mKVZ(`Tc_8 zLKe?mRMye7mx%1;;!@taJbk6re)OV$TPV_{ zJyW~h|E(1B+~NgC1&r*tKRy(3eJ+Rf>!=w>eH8;8$GeM^cQDYe>7SSEPd*!jWw}G^ zF0K{m>?;BMDHKz+H{^_ev4Yp_fY)#R*xsD+7{gM(lCP;dRov- z4~82J(Pdp3G6qdlI8<~Itdh7!+IG1`zOCHwfL1g~YGc<%>$a~DqRU%8S?+h}co*hc zGn9l2-UD8B+fE{7)ZW?WhHlreO%lL;o2>H76&kgScZaNViIop6BzdY6l3cZKv)lG$ z2H@18%~PKG(zrI^{8i1`5&vCoT@Y8GJh9U<2v?tmh%X4=23ndze)t3TIl7q(bTz|$ z!+X#Xg~%M~;vzK@kXV#uA`Yn$lHNLXSHWH$hu-U9pw-g;kaF zTym(d)#Yb-F}NX==%fh>lT-}Sy$-5`a4(p4eMdEO3aL|Ig-D$Z`L1yr`e&--pw4)(airK}FJtW$5>JrEs5a!9V1 z+nhz;2%wmY#zjRy&G_DLZN!N+Uc0&fn{iN(G^w)YzvoY4;icdFXZfW21c1s162E;9 z@!w>6K3>4uyjiXnSmBPo9({vIwzgc~V9Pa_WAfupO``>dst{ropO!n?zv`*?kPzaquREv0LUwKW~T7FSx zCElSS8xrJ-$=Q&Y>|2Dgf>Oaj&=PQpUD3p3H?&@G;N4zZn4_W8gl*TD@C7o5S`Gdlw;SBwlnFMeAa@= zY2W)6>T0yyHO-*Sn(??>_V5Gbbo%YlnC)SkMMJiq50u*m*IaVAzD>+wKZrNdBjs;; zwt5QlJTJnbV5>o_LcN2lqHfkayFZ;ur}^1x#`5`EZ57?0p}Z^*(EVuqSVet3Bf9LV zy8!%LXBzI;p6u&_bfp;PAe%qdB!OnU@#}+ZdzM!~4-wpxHY#1;DKocd1@zki^bdUB z5B%6iWiq&y>IWn*Wf2oq8w+5aN2FcXwgg5JMyLo_Ht0=K3{)l*kY51Z2~^mSvcb?^ zRvQYSJAtZiz{Da>L%y^oI|-mCL$rLW;nw=N^Dzpbn;{fqK|f*g__)Uh!D;;p#~4Xo z-HDS)xN9I`Z76_lTTJs|K^nKF^t@{YbhAXZnlMdgf=zJcz9q;`SMtQu<<7S|7qv-8 zS&+O=E!x`Td>V|eskg}}$+vmJrNp%)9jrn2zS?`dJ;$cA7Bu8KG!YTbDBd6TsA(#m zrIKQsv&jHK;#&LN(fIy^ZmL3fE{h9PC*9N>wqNiW#N6m=oPwG$KYxnOLH#*fO@FGK z1kVNdzw4Crw;^#4-PTZgkbbb3$sb9*2TlNguE9xoz$xkWXwM+f8uc$npc~J`FS|vn zld$|2T@&@c_)Rap=grwk&=ibE{V9R0^TMC#jc(av(; zx*WyBb(K5N*Z%_e*Ao2Am*4}&VA(BNor2}J z=o+Ws-}~>s_G7<1mjd)=)J_4Kn%H_pAr;Q)X2@xG%}N2bv|K)>Lm6M9@*^eQsx8Ly ze79>=uML@g8~BoUEn!7!BcBkYDV%~SL7M0kbS<@Vr0R~=v+5XQc^+l{2~ikwjQ#px zgv^8}jEG&^=^QXz4rzOq=UEddFL~h<92>X=VhM+%>~^1x-3yq!$e|MuovP&v4)5v+ zT>e@o`Q?u@(Yo$0CkFf|vmc;a8M5WG1=m@7#0vi26FIugei>`j{^X|im))Wjpl=7D zU;MVWe9ITVIW>N13Z+i%PiE|6@|dariy8YOcic7@(=9pflPH+S39K=7Jei1(sr|`B zd`#`bGhq1vNpleW>jZa^+TZu^MwkhQ7@ZLhEW5r4`G_Jf+_xSvd{6+yhpag6&|{{S zZidNBOqqeG`lK5=L$KUG#mu$uZtUM`Ll+kf`8-@5aM(WUGegy50})(G@q(PtB4(!}Y@o(RFN&8@y%1`pu>( z3O+C>*Dnh`4UU)7K&i#QY3{tOIc%Du>k|g$`kMMl_fNvI%qqcLzSFai#Q>X%0)zdg z>GNWPZ*j9a$`jz*;Ue~w+CCb-3)5neReHz0S6(UgeO#(W#eDqtaVHr%p?=OT4b2%7 z3}P-SNe1ZxQZ9piTw0Z~FQPWYRbP1-t4_}8VIM#`oU#!>*7H-U#`|1uAK-y<3QpK6 zmR^7LeD5VWEIBpmAjv6-W!gt!C?_H(u=uXf1zmDi#_iH&7`wDw#YXh-!s;zrc2{W4 z^Dqr4E+9ekM!zeR7W$vR`^ESF*|#LuG`9}&ZKO;1)uUe#bOq#&FzZG$J@%F5j*=mo zD}_c1_9t9Vz7n$`-$!l;g<>1T3)+H`6|y1m-3=lC%O=bQb9m9VSZ$GSsARas%Y?2? z$1GE2$XAgYBKu|Y{?H3j@0u?uH|&lLX4+!c$ZuKt#=gQ?^PS^{hYoK=$=;lxTU6~@ zSSQI++e$c|Z--vY^7=?Y$t|G{%(hNg!gVLvHC{={9l0g-5yksMrOc-F*jG4A@xR;> zK_GKnoa+lgr(&D4zP5V05Dav8#Qu=oZ2N=dm~3gDPWrefYi##fP^2#zi+8dxhW_=CaD$9^!_Z1LOB zRnIeg>=!ToV(tz`M9awM;K{A@b2+%~2$f>!L0A47*IPzrht0t`?!w`;IGrN& zP=|PnhQsGK?f%Z)cE4$3=mAf?EkX|%6vr>id53MgX=CWo?lx@^dcdGupUYwWmz&!hx69wT6q()8=phGv4l<%FG_Qf zk%;J`GcY-A+NdXU7Z>-ygo;n~rUg!ZjYc$eiuhxVM(Ut*jYb$v&cuj}M*hZs@&CNz zljbVfNe~@Ep77-PZng4;MI*N4N-r#V4=1L`D=O8UCu-yJF87M=)MypSm!vJ;bS1mr zw(4Dhem|H+LWj-Idb;Y&Y_MBCOx2lQz%}yW(W$xM*tlCW;`7XL!{um9H9YPqZ;BRZ z9*-kW@|W_7>rGdip_3M26psIl?pn<)LmHvLJ&?}|+?3^vTkzN+td>)56mGjlC~cq? z1Ns&2eoh(Asm0)Uqu+zc;8&%5mEw@xFo{JoP+}2-zcY{)DWXeByWPg0-kc>Gm7->b z=Uwl8Z??LarpigG%Q>%{@hT5&{KcM9f0l1b!D4ZwlQ+ij#|;rx zTM@b07C8kP=CB%D#0)V6_1NO}gel-TsHb60=^=1Co~VT_wP6+$QfiBaIq^ZmPKCIU z;j#v4J1Q9Fya$A}Fy<2xJ&VX_WUsa;&OS>C&-3MdphgXMjb`)8FlRkD5OnL3ZfO6i z3`0r}n*_zo&IST(wIu`5bS2v@vop9r(4L8T9G-Vb>EV1((ba8k8(gaL3Wby&F3|z$ zBc2d0{!sm`xIMU$vdtdN?$~>&6Bq9f2CgyX%HnHpBJKII3q<)DAwRO$(r?=g=BtW0 zms?`aJ14{A!jH^$4VftncSX7j$H~2Oq*^@p*_;a@TwDRApj$B)rCj-AAhN5!poLrx zFOy{}?8dS#{|W>=ap%x*#jdVSVw8ld~fE%IvIQ5kHvgofUijXOM7pR+jUwZk)Tm z>=v!g%JN%u4R`%5&;Ilu`10(ms7eF@ktxZff3h>FbCef}a`<7}S1_cr;)XVV6cCFE zXY@g$L=4d|8G=`&S~A;4qUmTzFmywJ5t-QlE=a`C5r~F#R^0Fas8+_?$gb(ExFP7{ zTj5|~PHRs$Aa0S)iW~M_gYjSV7k1ix77gjFxS;3~A+M(6;= z+XD|#C(}Z=2+B9r-sAm2=YgsRjatSVWP{?6_y^g3aFya6LVygPkH@*+wdJ`lazPx> z=>m*6AH~;JAQe-13;a$zhf$|P+yVJ|l9DeLlbkZyozd6ib73v(r(B6{ZJ0T!To89X z{9m-z38gF`GQ?u1(+?Fz41OV8lIXMWX^K7zmji^$6qB&SYbj#Vo)*@JOH|Yuq!1JL z&!;BrAIBRLMDTd7a$#`6ip|j@NiGO<_T)F896b0tj4gHuC0M6G5eRRDRF+tpO|c)~ z>YzNi-2G*@XmwC-$3c1hJAdE5^x}smf|TBj+Ced~JH#J+TUB@!A@G1agfOW9EtdPp z5Ev0Kr~7wX3_vfvgaQEZ2C%ftR(Ma*ONjW_V>CNF8M+gS=+Q93A1A2^;{z^@)K{Wm zbWlwDmRsxwo=kL5j@pQzP88uhZn1DsPNX~OL4xg4TSf;3ia>0`6YoekB*iwP_h`%z zv6ME5!m_wK5cm=LTEA<3o?CWhireFk6qSie7XhwJygw=PK~epI(-UtHNMt%gzK4=> z?d3`~#U2$xA_mvPLBZqZ@QU$2aIU4UuItTCK(>Sgu`a0OlPv{8?yX&O;Iysew(LEi(lD9sUE$9U$7)TNETW z!^w%b;%xaArzYa6vt^b&ruQfX88XS{wdz;Q$B47#+ngMUE6$c#n#=^w2SU>3?&NKX zv*nh!f?7P zPr}q4HFJ{ah!v?(B#D0GKmNbJ;-hoPNXbT?k#TNgB#ByAx_xCOBR2%3vlB*0q89gN zL&gZn5IheA-838uC=rC*5Op0>4MdZd<_7W>=_I%z$S7L70FUCJPC_=6)Uwk~d&n8n zo4`Oql!WL_WJ5X$zC}c1R8wGVvbS-3*-*kU+v0JHQju=adtkDmI0?Q<^xZ)?NlqIA z!_~e^4a9y=@FmB?2P=kc(n;`b9#Ggq20?_8Y`$Qyl%&3&`BoGdX^F_M-g~?~V7H(_ zh^M}91|n0Ek@3BwwQeU2lOwjR#U{ z)l0}sked|_Kv64Hb?&mp)jdEe!JgO|2wI6H%j47=CzdR`MQbWy`7OG}OZbVu_V2&+ zt+|y%Sv~_6Y>yI$6%j0+H#(4c3ATg@1y4Q;c9OHow~n98BxRLef*T$t8LKQ$yJbS( zQFbX)3E6Urhe|KOEmP%Wlru^%!7U$#Gb$)u)EVS2L(V8u0Vy3|cs#-tt#bUjopWT0 zRu+$sBg%e~qQ9e?>rbf|9qfQ9IF?dT3MGJ=(I5bS-`V%$uz+!p0R$ko( zaP6WX18^9)7)trVHVHUB=p+T%0O_3NoumNT$c%(v0P;dypN?PLp`?ov($>u>@C&X2 zZZwmib2d93Bu^9y9wPe^_b&;(wEwy>m&v)r%%qkgm=#LJJlp|MQ*w8Hu~qKGiJD7! znahI5HNIbtIBR@=!NVLjBGZvExjX%cjBt8;Oe%alx+=gI{TsjXN1x5{z5ZV6Dgb`* z>?2^#9&LpMMrh-R{mjtifUXTO1W8iM@b(n|H>R1$+}13Xcn zt=e@_1?U=%US`g|!7)S}(=Jy(Mlb-_<%*NpQ;x4Rj84Ji;Gm)k@DOu@QYfs*mwPQE zJ|-ih<@t94lH0(C%Q5lAPqL0v@x;ov=Kl(E&xrEsRvx6A0l$POLWDNlT>)Xz6cZq1 z5MASCU0{wxljRD))QmY2jc3eaussRV0@`8yI#`!HcEH@ZU>(O>G=w5pzXtQQHk40C z5Z;4jfFzSb;KVB54okS24w83qEmv)bd#~Sn3JG@hHp_UjYC{O3df~ei@V)8U`QQC} z|MCZYSVm1HGI&IGc`t@mcbNU%F}=oC=i+|I;VvtcCIr3OI|@umrO4SEfYWoGKA zzQx&iGU42VfZ;{NyZFT;fx?Lr@OBfW1@N+lE>Z**zS<+OiBM8TG5}MNbrrH-XK`964;avA2@qe)?4Wm`EcawI1t_iOr}0Q2_E@1=taQA z9oqWBx9svO7MI47_88B+a@Sb$F~*Y1ZV`jARWZq_VmHSq`=M9=(px`$j!_1k@}0Eq z&B_jT=-<+yb8jN(IYf-HB!=XWD5i`umHEkrMJ%~%8f+1OjL!AiFvgP5Q$E6wM6qT- zJ&TMoYu#hed9=cJ-gOa6qF5}nDv-KtrhPUnVo5|Esv6WoQ@V;y3q~2ZX>3FUMZBjP zK^eyujIwSA2Ax_qCIgUnF7cKvf^B|cST2k*%-pNC85v~|b~4K?mE|kTczcd$Uvoy8 zsT+m87xxF0GC8B;wufR>+~%z7AVI5k``Z+n%b|1;=$cwUb`r!Zh#WHcL*3gZe?*Wh z>&e1oOr1QNK{K0f$eY%5OTLs3NwUy+Dl^S>iq_U8ZmS1#=wS{t)Vr>;(^2Mb-h5Zs%pW?|aS}(grt531q?YoA5 z_V@nIm;bSqr9N7;#(RBbsjn<`jN z57Uf@`J@IdoVqWcixh~gf35uChznBH-!)|savFo_3l+N^th`nJFu5SOT2eLixl2nE z70U!&*5b$VDEP*Sbjxnhny6TQi>^sjJo7{U%IVkMlm!l@fL~JrOzEE*dKBo>pQ4B$ zSfiX3uwX2afj55kQD^3if{Sj^3WCdT(KR6W;!pewum8W97pJ8YkHf8y^6X^2 zKGv8IQ}z0y#C$xl6wZ464vMa^aICyI-}SL;EoI);nk70jDH(zxpltFZ=QfvHj0}L& z7Ufjgb?Z}pY#YGe$N<2AC=TS|F}9fYQ*JRb0J;NYeg&vSGNv>v832=90ak9)=}dA3 zq)Et>Vy3wQ#od|Y3KX~JGFMBz?!jKs1hSt@F;wvWqBGxVpiJ{r>sI>es zLx#}F@YEc&2dzQIxjJhJp_64JvbBKa=2VSko()X}u2k~O5v=}K5zRDA>y~Q4OA8Vc zlFA>W%$fWl=$2;4%b)7q#^r1^{fXKp&}a z368fA3qumQm;?`ERC)Z8uSfx()Fjf9cugVvLy?o>1J}}s>;YcU>q$qipj5Rgi#$SQ zkxzU5tN-1%WG1tg9O?>cn#yt9?oG8L7ad~?6)&&L!d*oQbxOD^rcft^yJ89zJ=8jT z96i*NQ#k;vkSJmH_R!dv@O&~cg?ehino>hfe=YODMFq9P2v9Q|?7FO=VxLmIg{sM5 zyh+(Zjj^vncETkec1Fhv>hZM2xL0I)DZ(<@LydjYWnj1UK?c9Zc_gCdVHW}%ZO%#& z%l24qa~9zRyDxM`%XdMfQyWN++#z1~movWp_iRp!^ODT3XL_xA8fjH) z^>mf#eC>so&+mBQ!OC_dbV-p94x%^G_~WKEKUbX^mi)K^CoW-4{n!U&eGm1yll}&l zUU+id0(%wBcy4P@dpkkxSAE=H`zxo}tnjfneUoi(6PeWCrvE^O1?ZrR=7KI20TxD-`g-Vw_FOhj$~v13hIqT z(*kz08R3kb}OJYD3m5$w~g+^MBXE>f5Noz2=4A^KwSAaTxqf525xB zSs^rdIgd{1`p3TX)Bi|-Q0+kR!m78bU6RYf7x4G}NyfnzXiIsKWz zXf`B-y5R{CxreFc9&Ak-}nr=BX?=u46jneqBu1EB(6`#dlz z#HtbH;%C9N)cPY!sk&ML<@BQLn`Ga(_4s*u_53Z@878JV##8r`9Grlr-L<#V%PjzUpd-F6x?cIcng)|qUWqfivHjtx_%pxXNAUD3qnu z7ThHo?mEzNW5ra5McDS)K%>;+4ihdT(Q12V7RLD67Yuo7N~@k*wSU*rD%7Pn&7HS# z5Y$>)T?4?E-}mxsRTIcNUjE~6|6ED^?l#UGnQ<^y^tv7N`o_0^@_+n+Hz$P#O=#Dp z)ze7`p%jzeTk6j;--cec^z5nKpMW*0I$h4qt4AFjDNl_^5h~2JVJxjq>N&>J>QO=} zpw|%-ChC5d*qzcz2dNxmZ|vWEGO@Ip<_;tDgy{C=(kc|1RmuUf6qaK4EiT5x7w(%v zGd^f!h7aTYC-}msul$tjgoM=>?w^;BKC%^TNHA8tNJEMN9zW+WZu*l2c@B~8nft#U zAKTU1^vDa~@xfDpM&tUah?VC}52`oZBwBVTL6`R?s&{Wt9!C$7#N7R^ZZQ_NlJ6ZY z#^9*2p1kh|ZA-E8yup)!a<@EdyRL4n)2W)!#|@vV$L)OW<90s#?sD z-QZW6SJ?L({B8ol5C-)M#SV`f{3i1HO|xl^#rgz+xI+PjMA2(bnKUcR5R zOB)=^uoU?jT|i6lU>}!OP3ak~%BhieCfNbZo2SDmhh52feo7Uo;Bva12P!RGd8?tv zId(ZG%e0TeP)EaeP==`*dF@i#4+M1)5?jFSD#rPdq}^CTLwO$ z()07aqpOFE*_K5m@D9d?zZ4`xjGGb12+erIp(^q2U>YhZjwBgw(RWZCXS_X_mBm1X zmH?r`a@Mz3|N6d`UEr&0$_pQYgKsug!ohd#S@L7}WL@L@l)Wx@i?Y*WUVKeGggc)$ zaK;AAEJY4U(ll>LKKTyD{;f<#XQl2zEaS?dZFPV#hs z5T5Os03n2VGXX+)x;LQY8ilqY1E_pz1d8nuhKnv)T>P^3kU*#{=_0vhzioQ`#q4*M zbj`nmeR#Sz*3i;0z&+K!EzF$B+eGyhQ3-y9hf`~fuwk3w>E3ibo_~G1kAsQBGPm51 z^VDn`BinXW(19kR@cP-9_!ECIh{@}7kM{^M97Lb^+uFC)eP8z5I{R;HJerY5{(Rqm zTli!@gLC?A;nVDI>wF&kw$ACdg-^cGv;VfvkKng85#zwchMskBnRjHKMVFf|;^?x% zr;a`@LIC*eaRokIjZHlbVuovcd|a@2I`qP$NNc?P1dv=MRH&{W8XBalG;Vx80^KVjLKh>klqiynYCI z4y0A?pnrNmP_x4;HZ8vOxr4ma1Q`$kVJR6S0^*_@b5WjD4`}Wl;A#c7Ri!Zj*6>2H9jlkv^BfBA3xuCL5ZR4R<^nSP&l053oj_?Vt< zkZfOBrr!+_|4_OKQ|d8rQPb_&kgq#8L@zNz0=!@P)?AEiNE~oOQ?_DCcP|k^oXNl! zUNUS>blzdOh2sbZBN`GJ+z^~Gty3SiM@iF0L%sst5Pas765O_$BaViA*SR6oDmjdi z1g?`*=cA!gy&6gF@YoJQz#cmriEq+2w{Wdwn>z?+Ri`7VY`5$?ge{u%ZpHsB_D+m; zOANtcV-`TE!F>`R%)VmEJ>H)FfYxj7z2A1NrpJS2ygw(jgQ;aI0tL(B25qgl1MyGO z4+)m>4q*T&j}v>}ZLN{qEf;x!=KmqGV#q;%Z$<}GOpIkNpC542<`FZ-43CxnmFCT_ctK zGw=GWf3}+%8(G44DoIN{KH3q3Q4_1BA^>W0@F~n-@@}Md&KCYBtzh|Bz5`` zL;5Igh}t!!RM@9g()f6J#77ykwDxWLYM#d@AeI`a>tvxq-?;QNzbmT7@`pyy``qe3 zwP5BLK+4~I$GXFez5e%$D^_2O<7>)Xt_@vlL)QW+VLl_{qx?YmJc{JdCO>e~U#sUHAiu z7QH;4hDWR{KkyVQnjnI zkY>D|3p{GFs3XQ^>|FXuVKXL<{OP+@zVhei}dn>S|~u+OWlV%K+&QuPKfqzk9U?PnC&Mu z^G0Tv`Z!{*H9STQVA(BN!{gg&cl+=9cmBSg%b=<0PS-o}yeI}LERzfazYfjZ63lK< zKrZvfMS>YYF#w5CZwta*X&4jCnAr&JF&cvOs>DH0$;M`FvYn1rU&a)}TDL1Em<`xb zvQ9+Xyv3Mc?oUU?ois#yQ+13n!OX~APo`}O_3uG~c~SsPis0#zw+JUBJRZ?26I^l2 z6Vl%(Lo>a-w~>+ge59W%S$2&j>-X${FE6?j!IPyrKiDR^$)Z;H?%G6n=?2Ks)#@x6 zk_D=-O^NGHClp#_tA`7E;d$rssd5TDruKg&yaT2Oj9#ZO^7kB^5~a~*r$k)eyeKY= z$I^Fq-GfET_9U;sA5^rcBbvH#3d+`!vgM6emY3b475Hxl`2Xy;{=TpIXEN}s7dD8N zr)De1Xc-jz%d?eZv^+UmIY!IJsoBagT0Vj^rF1d)9%aa?DlHyX9}#(I9eAW~rihlO z<{%UJeTx%gkY}Ui7^wLRT#5%1*)7~P^s!-w@-#=PN#rJXdcKN2#Bw7OiL96=*V-&y%t((3Yq z_KOWtc>0z^?qYGxveOMpnj0qcke<{@>5V5W*j`-<4tBYB&P;5jc&B_w@zs)i$Tcp- zzy1Gx->3eobHR4sw@h_IhaGoA zux-8UKtT+OuF*QW6bGnTC|n*}%SvZYA-WVjgeSDs?1%}L1zMIa#bJM9vlrXw(Uevh z3XR)cisKe#Zn4~wId-c69OH6+&NwHZ1O$!#HTIQ5Z}nY*c4npPU8LO@-mbGbm?XZY z4h?_c37pxy>=nHR=F6kLH88(W|9E3IL;arr^z*;?#Scw_RLZvVz}&cZ&mIx=BFoG6 zYVcCz-lGnb2(A6^A&y_|T_{z)P3#+b zM%*UElGJa@+mxu+EuoRB=?nDhnFNwsE=@`#r(}H63cV4^2qm+Tu5$5M($tm8>5(r- z>G9c>^6Z4zqP1Lh%IPce!M!C}@FC%{(3u~_g)`0azU5E+KJVq^OY$e4P~ZW2_~-v3 zXs+4lk`IXSJ6|U;ewU(l2>6jODD-{ye8kEXiiAZaXoUO#p8B{$K2C9c$<3u2J7h7@ zt{wI-dO>0RfoN$-+=HGG5*&Gb2;A_Ont6aQDE2SQIYXi1T

  • Z0`w+w>}3+Q~YQ> zLxCk^R}y``TEx1{$*rB|=NE)*uHvOnMash7PZwMm7YA6YAj|#XYf=`U`1`-&8&_hW zwr&ET>1;VWZY2h;#J~ZyqVf`ezWA>Yq*(`yUso29uDa|rAjt!t!o7r*82H-T&+onW z`qS7(w-N&%XRYF|KL1z$^1J8g52ibe%EA_tOC?0j>HSz>w>EE?RAKF%I-sWTB7(P2AkG(fLN=@oJ44FPZI+hbZjwNDnkjeoPeORINV}yiahzOi&{kog>LO01pT4DkfrQ*iz_4f7>M)L=N%`! zcnS=a5-91_bdEUT1##1fEe^EAJih9LO8NuJJ;(;pa*M`<^7cgWYIbyVxJazi@PI4J z;s!x#9C;2});DNrPC|lvi~i@+62$2Ee+m7sN=L{V7m7A=EQ4G`+#f&77MOw1O%<7j zoRr9)xK5x;c-F!HMZ0}n(g!YZ05hF zd7jydm_1;sIMU%Wcq zV88yESaz-LERSW^+RlZC>5W<2`Qp#}rC1&s9lk~6O!DChkvZU%*Bk&ZGIiKrt1C$-VG( zI9tIse5k9Y!%~7LO5l(+S=0a&*TVkG8i0DC3DouKMc1UST-aEy5SLJeu;j&U1Rf2vmr6i4G(BY$F317_Ki)Q%7%Qg zCBs9xqS1873Crrixf_d5s7Zo=vtHh0pCSQT+_b+&iEXK?C`@%+tfV- zAi@{!gRm)rJ0Qz>|A?83wtqz7R|VCYmdS7|jDZZt=m@}^tE4w@oz>z6vXw$G$zk;Jd$jv8%DVX#hP%O7zzkJpLxo$a4 zz>^TecRoqLiWaGhk!(?Xs8X^2-$o$h0y4G?|TSa%DE4=x$NgvjJfm&*8i0UR|Z=0FSa!O z^Ws2DH7tH!)lkdoVYd~=e_q%>Dm(E2Y_UAb5i05n=eeKPI_WaI-56HCPPz=WxW_x` z60_v6=LtEy5_3b-BFAFxLQo@vF+mhaQO;dhM9Pnj8TFv3NIRw>mT6>9 z&L=XXm>?(_dgJGuUE1KVuA*GqG~a^z;Sl*{bK_~9Xu7(9RVQ1D-vDzJOJUY@vC~D& zSDQ)1C3j`qE^Tn^u5cCJh>hML9YM0}u5i^?;;OtwZ}_{yX_tTbE57CZE3GF{kLU?H zqiCh|AYHoBdLAdOXM`?X)dyEv&rDO)O6wUT1SjvrATdF1k!Bo6(d3@2!YAifUw`#{ z?IB$2Q>x)ia3sSi)$m->L{KrM8lFpb4e3tJ*66+OPVljJ8*NEe(F1Nlq95*k#b1HhLR>udr~x<`BlG? z)1u+I-i#CPrbNTz-LXsbK)pepDM7lFd6hgkM3$a%#qfG6h?GJ@H|>B)RM$G!>07Ze zNa)2mi%{eV%J*@MM*XL8;q@C$*HIzM#x&kIMc3Y5Gq;Ff_&9En0=T3d^kc;18Fxzo zJgqBEM)Cum5G?=Jum2tY{5RED?zK|AH2|2!^d!v16xoh$*A+uEwm`Lv<&Y_uVjX2H zM>wzgY2&P;jO8TOPOy$LmctOkutWmo2vHR+f!cZE7&DgRtU*GSiUwMetdAxeW-Mni zbArbdupE|?M5c`8)Nwela|%SJZ8@xiS2BzWfn~d=Kn*OR5>rybW(zMvg!WQK{8!-m zGKYy@pQF-7sAfjlaul%9Bq_y)O!^|HOR%SK_aNTcZ+3WtH?0QE8< z7DvNCGI(}!v~}PZfc`Nt+B(oc&`}Dnf=Gvuvq_AVYq-yFT~D$!abI!$|@oYfug(8J8?j&Ls?$K}~6 zwL>Dy#*4lW?uK|8smn>0qFlQCArP!(IXEV={HSQw?w0t!a8IgC0MQy~9MD=S=$SQL zOO+vMeigj4*Y=^L&THi}5St5{_e_$X`sB>o(Sg`BcizTc;!|(&Gv4x^Po8s6j@v^c?#URpI=UwSN`e)DdPdh3K`^PJY@$4Yxmc1QOZaOc!%1fX zvaYoXYjjTzTZmt%*8#N?Y%w|$5&RD5q?DBY0qUj<#G_$!PZFUNa;>5`5)Gq!a%viF zJ04?em+r{{=?`@!MpRIvdjgIq$hP8iz*nd)P~n~&Pte8{%N^d2wPn0JJUrd|?kM`R zh0_t;69}pvaKou>3R-==&3J#%;!Soia0wq&@5Fx4^V3S{N)W^cg@@>4BqO7d$_hYz z{ypaw#21)y9>i3vhA9pCGXzb?cdLKb-NqJc-Pmx(if+yS&TR$((-E#g-Fzi(MQ|ge zwjNQn?v?@!U)3#DE2g4b>Y6OWL%SijIdKINBJx{LZUnCGDZ3GLx$rB#I?J&PqgFR! zIY!-hmSfp1THT1{x9FPo>5uy6KlJQcpAf}TX8!3$q^itXpHSs5fzUT>ePRT;*ZRbD zp2J$7SXqKf*z>v&;n-i4GdY?Sxo-!#|J--I^Orv)bYY7^ma_zt3|51{RWkKWiZPS0 zmV}rtthGNN<~`cTNUGAc z(KG?zmJI|%+5CBE8XL`)Ph0B~Zn;Mcu9mF{z649@!cLY@a1l$$gj%z>eziOVPtDQ< zX)I_`A0LeV$4|om*#l->$pp*LqpU#m^Hz zXzP5=mf?{`Kl9#xcHk3~!gtU_Vr9cF#RgKdTA)H2YVf<$zH8mZ<^(k)B>njC?`(i! zzMk55ZI)KO6id@43YNt^I!R6omMti{nyIogT1=~X;cQ9)@-&_O;XkK`AD?C({aEerxOtT5OGg%J%mkL-|f6sw7;e;Fh$m9B4 z&gMNmqsg}|&L0Nl`sMkf}&!Q$cI@oJCHb`9hi zp2s|7T&)(vlYOzpw;gp(P=3U>!$s`L`;+gsa;1H-ATv!h#xjHFzmH+ls(Y{n)-pbsp#5OzWIDt#_iH28oRVyWo=gucZKcB|L9xa_O+jzLjp}? zIb|uOwIEtAUNqzg&C}1cTOxEa+<^kYQXj_PnbvMLBe->G*T$fhJ10j z;o-=Ej5NeZfKn4s^?=kB+fzJBHs|nFq4fbpidObhGjCZ;lM-c!JW*3 z%7z3t-{PSIPlCSA5qqw;C?P?zbmbVz)@aC-H(6*Q8nKGo#5{uPC`+>O=?J+RUZL&2 zQ9mziGv1v82o~a#!O|upy5(~JiAqcAiKBTay667czO)iv`B(Rx7&eIeqsE0A%>Cp! z;gfkzW;QJ6$wqu1{MYwh#Syjvx=+5XSx<_a3*|h2EBU`9eTPRHKD z{eC;xdw8xlUEi=SjUNCef|oRQiwpcXv2)c)uhEuZ}a`qa;m+MvLltF8{4C z){w{TJoQ^S-yi#}6j4V0t(=SB%9wJJ?r;g4-wth5kxWAk-`3LK%9LbM%}A4YBKW^D z4F0RtS~NWsHwO*}Z^fZ@Rx4zDa{hZ_+Bpd~o$n4nn*m&2f3 zpUZiDDQ@S*MgokyIgKac;Z*V0AYg!t{YV1_`0z18V!%+GKfLAMzu=q24G%r0 z!qZ{FsSc)`@SWp^Mqos)8F|-1wm0N(Aw@Y+5-G|Op#f!B6H#8G-T^2}Kn0$DD)8H9 zuBV$5^Xw40ohpjN+jE*Mij?=~Vo?O1cf3JIlvng}wrKPa|IaQUz4>^D5KOXZgSlo< zEep$8|Bqgi3Dah}qX#FRXpU)SSz*Kptj5C7EV_RlC>3GSCC5+p5i`uX(~&qylFYI% zdWJbmJ@(0BB#()3|M6cjjdksupraA|wA#;=#}Tf|^5U0u{ri9F9W6oR8qH|9|%0Kj@Y%Eejin5@4`| z7$8wooGK*=Ic(i~ckk}KQ%N~`M}^7#BlX=eMNx8(cjn&9T!HT%?p&?|NF|X(ETfSG zLM2$C_-z!iNQ}`6iZJMi6e+?)KnR90T9q=EqL~OuNHEXy{#ff>t9y6%KIi++`R=7p z)v0gy-fyqf-K)Ew_s8?T?}^BT5x2*pL;?i~aej=4eb4+2YBk6KsHV;yBUsXhlV)2$ zAz&VAQSL}=C80QCYJ{34lds|(TZU34Kzi&9OUc~n0bTJ=3YVWDS_OwC0ar5c0lg${qzt0=>`11 z6QfxG5EP`FGPJw>3Ep-JqQRF260$K>T5{-FAl4xwX$nbMurTDBqo&Lq&?dB=I@)n3 zGzgQZ-~q;LZO273?gZK&rrt{@n0mx$XrnoW_K85(w*gr%8rny2wKTB~_=x~UN&kw5 z_OUs!`3y|RJ%X2o2?=9U5&+G7X6CbmCD>WQnm=Uox#0hyqm3G{AV`*yvsg?JBX)vj z7g{E~#rc0`ktW`sTdL5SqF*BPGie&eTgzn)cQY7xdn$q8&cG3+>QKI$CC=xXyym;o zD*}>g=|d>}5{Mlp;_J38m$mduV0I?5VoWw*sh_1?xTC>n#LchIF>P|S8xv7A+|ru^ zXgB&aZ5t~Qmd~i<|Dln*=F@IL{;kHW_b^8XmlEBJ3K{|{DVh`$OSFgv1F{vS)J4GD!~p&F(9 zt^B`S!+UW=bez}cF~aDm9ODVD{6FuDvxJpN{@;)N!Jqu}kJNszn*WE=pi1ile+HGt z2)t^@G5hcurf9R>Jv{@wXeyqZOp;6B0oWl9Ci5()NfQ66jce%%%C*Ienx)%&&F zwQ}QiE9~_#gMls_t6x={@C-guF)X|HXAo;uDwZSGjVl$) zmS|NfmM_sIO2u#g!Qb-QF*obb#TY0R5!q`rim+wc2XPqw{R*}U)Pkp8LTZ37tCV5) z0o0VJRCHaZ)e6SIH7XUS-C?WT7K~VHAB9q}ZCLgL$GAnbsyfD~RDiO=q6QSy;+s)d zh)TtwA+JPX-2!F#WD2DM?n$M?qCSY_8M)*v1Url-cpWlO1P)=0>)$bBS-@{E0_T{R zB4UG_BV)#6sXu2#o&LoAhE{pR+x=!2Gsd;YMqnaJ04#WXwdqFAxIm0@SKyU!Y0Dj; zaz%jJA!|DojfVF0WP5Vpcw@#6NGKOo%M?m;I2}Hj32(1(wb0??a#o7npeuyF@#+`9 zt}kKfzU@BUGMoLWbj?_{L@R{e4ut;8ANtTg=`)0CwAZPZ7W)N%0}0+5%^uc};)Cjf z;9C-Pgq4C1F-WY`OBXbvBqG!(kW+I=A}hsOS1^pf^8(3Nw)mCI_=78xTTW5UIo`C7Ym1PR%t4A$)Y4I-wd6AQWdcsBO&%# zg${K};wXyivj{>38#8|d1#}$1s(JMDf$P81d*)xc zUr6vC@oTRLdKVDXZrv3@?=FHaTcQ;~ZwEnt?5qEUpZ`^N*t3mV58AeX)f#JGc{D;1 zHr7gXa0$1ii&YWSG&SJegbO?xp_4A~XoODttI6y1xlxsh9%H~fQW>bCM=%)&)5=>so(1m}I=vq&#kuI-wpQy3leYYgVL0lxehBofNE zq2rUlvV7@o>kQQL$!DO?Sh?K{&UN^YrSJA)EgG+d(XT2yNg&SadhEbq2QdAnHNjUB2AdK(1F1D0DQ&o8!m zK4CVnDym&b{ku57c$w1v;u;9Hvs@mp$p&AwdbZtHjjM}lZ{F|;fdMq9S}p5WuOCQS zChiO={6@XqKB8l>->mnsX$y>_R?d>QNuDig5Z7S3F(jB13k3Un|GJ zeeYx^=|A=>fA@F)#;g}u@(qkpzB`PJfTD{i#>PM`$Xgb6cA8U3?n28!RIwGo=}yuI zREvW#3eHx49Mp~CYs05L^m`3tqgd=@$LwwP)f z`DCaUxS-YMWfSZ67&A0UX`^0{1ks97N*lmr2Q#4LzKLb+lGYH5r`-(-%ZXm#lHjzl zxBxGCViSnQx(?^{4`i$Nt!nylWg^n!*1-1-2EMNt%YGDf!S3oaZ}>1^e?c`gs74P#Sw2K_Dw@@hvB`K)(d2`Btdb+o8V-hYjMQ;h*N#8#S!m< z=(Zp>Kax7V?0%YB9PuW2Kr{hoEv6V4XcB%%g6fDjNtB|TXf;iOoL`{nR@2nwlH_Dt z=(swM(-vA#GdTy`F89CkYX}3#_TvWCGvq21YmB~xct3!#zj^=ZPuzDps17^1h%pPc zN5=qf#9$}GkVgi68(xYn38rGCY0@LiPxQ|SwX0%n=dJraewhP zCBq(mgCeL;N5v(M1*K4?;gmuU90T%zClVX3E~>&O#{f!bc8}l~u*YFV`a2vj(6`{s z60JyoJ4pYfU-PHG_T_-|63!lk+;6-Q6f)8+(Y#B#LyDx!i$J%K6}DI6Iy&b_Cur6@ z^W1eodJ~*1W++H+f|G5V%8>g+DZ?VpK9+UNbg6vKU>N05`&v4Ca^mU z&X0kkK$MMFh%7pVv;snC^%ZH82K#@*?p^twE?nAScwO82sk6eILoYjF`I z3AjlTL_NtU11Zj0^aV)?v3i8QJ1}h=yt&iK>M91wxH|ADFrSTCYFqd#CUVa}6C{&> zk}wbp$yvA;j7>(kY-v9h`Dm>iS!7tYgFQZq$2yRAWy#3Qonx6{*?w~5b`Q+k-{@0c z1N3Wv{-qbR#W0Iqy#DI-EAK_LJU1tsi~dp_5orywOCWe@^mh%=U%#5V6~xP*OTI`2 zl1x*0q$Q#{@>UMe|Ke|X`-eXg>~L|4xLV*&U336r+b)Jr^E#)F+ngvBS0jzx=$%9W9uQOuuE*+fuObxTC6yM9EQ}Pz?6ynvWiLE2;uA zJusxBwStY>H6K9Xo9;W5%Cfaz_0j|ANsZ*%uuhkqeH!$ zqp$+c7nLb&%$QML+v}wVV1g)LcmSqq#Y7LlWUZLO1EA12UMnVg08TsUKM!+#B=x-T z08H}f>2miAInAe!9)RikFNFu-G3vi0Xr9cROHyY6JR|9{thpx!-wxMqvHLdQ8v*3V zOxG;)=rQMQ7(M2~wGR<<`1p$sItYQ+J7DEgh=T7SoDE61_u>a#y@o~Ie zi1;&te@Vn$eDe8lB!HgM&_Sh_$2&#LadpA@^oTj5^7UZlO7Yt^(+nXthEvBJ`eY>_n%X0dwcc_}CreP8k z!`%egl0n_o=(tzciH`wvG&9huW@w~f_0Prw=qUPvA}*X7UU*~arKyVmdWX6%bo|3n z&IUw9fqXIC{TPo73_uXCbaz*z5sBt0EZ!cO1rLvUgXTxoS*zi0L!vxB)8IwYIfpjn ztm&LEbrN}_G0Q#tzu@OhhQ@v1`tO*k7zl3kr(>j=lb!@n_hv}y!jG>O1W@(v%G>C|^m950D z!5tIO$%2JF+#a>XP)AR7B^d2t>yk?|cW=#m@`!~!3{z8zxgh=PR7dR#dsw?0;bgE! zZQMtD80FZtA}ONR+d)0l!X5^CE7l@(UJqI1tV2`5!SMnOO02zGbJOhXSpSXrfQ(-6 z&>%3zxo-%S33lpQE-Rr{z$hSn$L!(S4qolyR2FWvhc#Q6*~9m4B1*ajvUeNz{LQ#5 zRy4mIH2sq~RRx;Yq_e+QX+KSnqO-JE|U%5th!nu!pBC?7|-In%>YH-jJJp$xZL*}#LzUcIAlQHOw+-vx8i>80cnc#c|^jk3*rdT{tGGrCLWAPxOKrp4~s<1*CT4c zR8tGwCP}!+F*gj|(++i8%Ii}Wc7dxvO=9@*Rl~_gSrvBa4&sOCxBI5Pl#-;AW=C^i zoDd7Upkiw=`z(Yf1G;d23vQ1v#2we;-wLVorog#@|21e}@{4?Vrhc2wio7rRzyz>3 zkAK!-P3oGZS@M))pSWLOkBvb3${xEx_ShAo_m31&w!3-8JX`(Y%gwVJ_lGZAqSb<4 zzC@S!!~fc+|L1@EfBb~Ez3tvRpUD})0}FcBGGwY2v?8@BVnFJ`f}YCVjuteMs@cs0 zBO>%%Tc^>2Ha9l~hh}WFpb?p7<1dN-8eaJ^&f*o{{%B9HM(40ky@SNLzX^jLJoHp1 z9npJrj3LO_cjqSH_ha&7-%)lLsm0nFb*Cr^ztPILxN0c$PFMc9@H*PMw=6IN!B!&s zgIJ%+OSVu z@^smCjACuCpW@oS#}~8q_Jj4ddJ-PXlK>)HSktCv{=zLyti=Y$8(D+R zZiBaS+@J6FaKhLj9>387Pw2JSvL!FBpA!N5dsoeY-R_kph)w)Ta|X4l5VzZP=pHA( zhcASLm{^DW9g};VfP!&8Y>Doyb6hawZ}~Hld#8KnmpQqVJT~ToDZDVc0gWgfjL&gy zSIJZ30diN3#JuoIEZ>8DK{d~Hr8t*ID;iqCR9_ablPfTM>l4*{R zO1BN6q{XX36>iC@jLW6VFm`FVizV#w!fJx$vQ?q971Y&TxkS^&j(K_0;Z)9;JF+V5 zvHmCjgAe}OU;l|Ss@QsQjsJU(UkSpJ~Vqv1w)cP?+uKG^LIbV9t=cybVXGS^W;NU?Aw}wBh{?}6= zHI%bKsl^>!L{uIdx}7FeJ!CeDa*lLGI=xECYpjDXt$*`3N5$v2uHj!U8-f;Rd?F$HtZ1<7ESY~Z@w%W)nM3M_Bl zS#u-ZLDWWN-LCx(x;}RYT|c~;JLr1m4#GSO?jVdZeFwd#R!!3_wD#krj#>0KysRH@ zt#PUb88kMifThM(tB6oBFH#YqwZ%*ts)*!cGsKH0`Q9@PU;j}>&#U)R`oPoqc@$=K=t&q zzT@w_dp?&1#hC!|)H>&KS^B-YDCcrn_T66MZ)0jhK=}K}WYJ;_&^o=OvT;~S_4Kf7 zQ8tUmIG4+EV0|rlcP`Imsnk>L5@AZoeUxZs2G5dQ7AU-$!qYUDy3kx-fwq!9W<{3@ zjF*An&+$KhyT0i>7M(Cxeeek@Rrl;B<%b^9NXJ=oS=L;Zm5%fBtFOKE#!Ii=E3bsB zC-j@Fbexx-CLQN@{{8QI@%MjRa`0$^gwt_6T>@Q}kPb^TOtwEr-qY-HZn#W=~yT_zKwX=u@4gk2bCBW z8Pj~D3o57|X2gbejPSn(O!CLL9`f1JInH87^@9&L_O}u}yUBe69JyiOfA3u#H$`{* z?WU;XUm1IFk?dkT0DS@qTTfgl!B&#(U>wy|6Y!W9ww^lZw^*>}H|<_r`q9?XDht_G zV?3&`^|TOw*uS*(SSPt?I{+F@Ve8G*Nv4x8pG{$&T}cV$9BU}dwefcx4K=@;v&AN| z?iKgfAw}2zJx{5BkG*hamWYV;G?s|-zLl#9e%*`j`PLt(S)ooLQFhM`5U^+MV7%h@ zUBL<^UJ-_pAo2iJ5ZU>WA4x&NkPE_|F<))V5Jsj)Qjjp@f~XCMO29OdP%JPq87auu zj|-kq09n1%@B;$@;ia~pAedR9yZarGPstFctvRW)U}l9buUJ=z;r%q!E%>G83kr| za7gGL)sTYaE{QqGz7S@^phtt+aDJFtwiz_5ZG$2f<>CmIr!fylrmRpBDLb`fTpsjA zI*HDKh`(i`gflAEXWyzGgPzT}tkvwr0-;HP_~AQ{i%WOItR^9tU8ji3m#Pyyyg185 za6V><$`_aD6f*sw({I!?_*sX2T*V^#s;jDnT7@tKXVD0-2#&ImUUU$^puVV+&wwF` zGJ}POKp26+!%#5<5$py-Fnb6{m@GU5GtqDmB#Xv`pa`NZZ#ZEHlqXz9gAx9XE&{zg z9>TrCCg__=SUi9eFR^r(7celuZp2plwY#@E5a{$sj7Fo;xeh!{p*v5LTT%e`#&b~Y zZMG^MPetkY=0Een5C3P`fuM^)!&j)vhHF-sU;NmEemzm?IK&#ob*ZI@YCXE&2&OU# zqQZAcW(?T3s*m{~3#9{PB-PkQ8z(Ds5hUZ{;B~LQm|^v% zSul5q6aY;Qe1+480+>tM35k1M&~=cyshF!nF=bS?pM2Q|e=+)B@tv1}nWn%J ze8}$ovP3a`EYL(&2mhS*?|Y%gX0l?1VJkM35ZX8c0nso z44Ze$A`E5E9^$hL9>FOGkR!IR15{Un#Ag?TbDIT>DAVUfJri6p2&RX!u-SG12wOd1C2=lq+wucX$!&>^ruF zti~+XXA5VH%)ZA>QcD&Kv_15aJA$jq2y=OyafNzhtLT`}JXGKDGg_o^h<-83XDjp( z3q*)?pIaS)(So)Q*m|Hp(y`Xer} z|MxiF=>MQU;*x|-aTsJBL@!VBA7GRBY|3z4>LajwH>2|!n7C_!iDgT)k_>LAPQ@>N z!yEtazm#>5aUVhIWIP*~m}+hdP>X<#|m{aNooTfdRz42)ls6>F!9XNMxL61*cts^Gy4UIM3|>td*WYWPPG~ z20A?#g&7_%Yfr)sIdcq~&{{6n6YO?g^@QN4UVRm-uR_95%qsiTU;LwgAY_#(o1`Wq zcBh_|2gJO$xO?Wi5s7Sz{5`LDe6-B*EJ!^e3GR2KHMmE-;piZ`n$0zzk~gNq%%Nt$wTL)cLYKp2c;PA#P@g zaRiN)cE!wxA_MP#u(ZVw6993*rH5@%M9Sy5^c6LL9K^!XRZI$8{4z8S#4QkzOo3_F z0Nl(G!EwCN(r0Y_5)GhEP2htW5LEPo%MsM>&lN%ME`lywqSeyA9ZUPqe#amB*tajX zv_Y94n0?WfJ09sArX{)aiYnRaD=h7H&tNkJ*{-294@*ls_goqX#%O$xIDfKO!8=H!@y-k0)ouCt4^k{v_Y+7X-(MAEh@^? zl425>tqXSmYv@45Kr6)hbV>?fhYUTHJPo*y1qBd(044r+JJ9j!+2it{9tJIK+T^h5 z3dyBNFX*bEZRKu|s3%{J(Dmed1nX;v&VVbBJmq;8fhQN|uWe?xr4i?cDH$nmz}@Mj zwgK|OXMPd#)*L?|Z;=HE5@2b2b354Huytd_7uxa{ar{Kn$hJQ@ewX<8MX||;qd9-c z$4)(@gI0?I<96b;G^PRJD03NXP;p?wnzw%yO3n4DvSTihYBX8v- zzn}QvU;Q`#_^+DDHAjmCv?2tCGm)-#@fKP^kF0dl3as_R8LdEr;i?sAKsXDQT0z6E z6ENvVIx$AAAoKl!dG#?yt-xvo66}vCXjm#FQ7b@uhJ(1k0rnM>U8}X&5#K*J@ep=^1k?&gTz*m+kz)ztm1vbyP9{eaQ6@Zgln7^J~C9gg3&moHt zf7XcdCv!%eRY~6coM5WmDI0XcX8#Z}ZM?pAxQW8OzQJ>if<)puJ0Q6FD)8oX zII`m@eQXHQRFY6|pl_&RyFomR#W-d5LCaI99$B)-9;#L&p|yT?MW^vG;o)O{aXdi# zla*)8XCy2`mpo|6dPw%CfljZv3wE4GsQ*HELH4ipP6=a%n|*^*2dkH}cUvJO#ZZ^z zH{{vb1cv91)a3dBl1v7dL<1Vc!sG$!2NoAd4dE)GX1+aY?3+`rktQ_Q8J*x{6ptV~ zyOoa`lCt9(^+?LLF^73QC-6~IQ+#}{Cm&zT?T;@epL%>TY?565_)ZkjWDUj0!qGz8!I?Oy$%rC~F@DFo z;RS7Grida+9inPtR_Rf*5p@#dgname_fl#jW*xrWZQ5Aaqd9Kc!WjsGVt?5V`{Q_{ z4b^T@ek9J{Rwj*X=l!d)$-^FNd(-2ulN*Z;7?Tff*}+^g9c zj2+_f4g%l-b`v7c|<g6d8W!j7sYS&;7x7ldH} z1y2N_LRBNuN^?O}1!XxDj)*ZULB5h*@YuB{akTcJEX8UlJQ-q_3-;Sq8BJp&hUh2Q z)gUU_g=|b2wn50MCZ742iFpOP*V3YcB;V97dGG{k9+~?Q?^pZK5?$3bjik3;yz(f9KTlo z?Jf9kA2o8j%|Nkor0*I>+TJ)bOGLC@3$ZP$m3K)mzyIj||L_@qE7t?nBGJx$@{-XV zFdtw*zYIc;0+_kQ1@XlN;rvsRAMIJpTbTuk{w@d)hSerP9+rZ779{$+AY#E9>tvxd zV0F<2gy`>raE6@3e{w=wI{0}CL88A4b`88XL^jZ_whHQbYNEdjVnR+$LApGsp}62I z1Pc6Z2a!l(o&OgR3pGB3$Irjd2q#dM3YX>Y<&*q)CnA+BE}D&e_>WOcG#II()C8+o zIZSy6kb;Xip{OCHe6%75zjv(KC2!f?~| z3Kt7e+skpzZp@jND2<+{@e)-7IK>ks=9N^X0+GiI;kY!7>;@mAUlz#m;{2O1I z8A_^jcs{ZlJ@A)nKJr`}`jn51lu}E`u`wzucd}o7VdWwpM~&D1U{SF=VzhE$h?5)z z6Rz(p7MTSLEBDZ{_82VjExN`}Sh?MP$AY5`q8Tj2Ra)ZF$_2+t4QvER22&SSZV!;f zL1IX>uh5WBKFGq#MGIb})&OMDQ_Z?(11?ZpxBN&Z-T`7Op8zt{l{V zMTtO?vHrC>C3T{)s0vo4Tt0^yWwIUA2-&s)&$s=m52Mme&TewQ>D1y1ot4!zfv#(5 zGH9&XBrCjUIjr(I|qX#6p$yaNp{doZ(SR)YB#3)I2C_ zdB5CoUn>HlJ|z94(gSMUBlt0lKrymI;!8}jB@$O+IaA^+(dkOL*4aa`Dj|8jcRZDlR!*q7U^>5tcAx$?WxZa{> zTWO|8kB3!+Z(xtGa7Zaw7}Qgycl3Cm=GzTg-Zivwn+V3_V*NYjv#$_rY*S$H>6zH4 zP2}Xk8H46o(ZHrI72WUfuSb73-rfZVY>Q4@VK2Te)Jd43#k;@TYl|*hq7~V02idN_?GOJazdhU2&K@? znQ&CegzbHRjiO=qEXX&F3!;`e^6WS@YK}~C;NU^$)DYZ(=}?_DZ6hD?h(Nz^>QQKf zjZq5votRB7iB_)KO9Zq!T)y)92sgkb!Te*{Qxfp@;Pyqy*+{zKwERiI^k~w{FK3c> z1%#6qTef2QZbobQB)^|Y+4UK9w(KzQ@AFVP%HvfFt4wtk@0zC8)o2dm{37F8&_6rM zXb>36Uj}iofPGo|&e#w_N3{4qlqb)nd z1MKne^DR3q<1Ei^Rl!ja#rlneGZL?`U(G|~J;qun2-FK)^3n7HLFXnh@D;3|SY2+N z&PoBuhPU!4v;_W=qua98W2dY^o`eBZ<_^`xG=`8_ zcj;GU-G$8}SzV~`DT6ttI{OX2DiwEEsjzp@%o43i#qB5+uWx?a3$x8WCYy^#*n-VL zx;O0JQ*Zh14l$a>Zd>;!Re%DtruugC<>MnxssK@`m{b9xQjvoEV;VrAR7`dZk4goy z_L!6p+WuI_aIw-{0hsI5)|hqNu>}Xv;78#ry~I z8MFY$Yu^(szp{rQdJqJ?gO@);ca|UIuLOsD4aaa@nWAo}kvK^x7 zlMVu<$_0k79?|I?9U-Tepi>cYifAJ^&$T$CZc0Oq2#hv}SwL@jSJ(KJJ|9iNr#lp0o z3i1F1TNJqy!KN&zLU%@vPVZPL(25|UKX$A+L%|%JCRGWQ0@a6)qC3^p)D!#|wG}Fz z{U}ls^Wiw6gcXlqCOwZ_>=Qa|>xkPT5s-q^6MRGjB#xFDk0iuuYU&9t*h?=ki8CCm zF;>1j;(TyPWDIJPZyY2bg{FFt%;W)FN#xgAwmr%k){>b#0LgvN@;z7_)EA6(et141 z5fGACM?LeA#wnrP4XzQZ&+;0LbxsM1fLO>5MRr7Tq;(4LGG8T>oYz9>hX#R}`i$ZV z^(Yq$Z4-fxrX8%15Y+s7qQk0&Sq@0mtd~gHXtwJ8R?bMqv{DRyysD7^al0O>!zg$ zP87^XbTuuR17a?uXoTW_R;4a(T8ebO$n<2>(jqt`Wj$kXMNEM-KWcfF1>KJf>V@Ep z6#ESOYw^#tzgA(*n|y?Ga0OSZ!NYWM(^I>LXN>HX%&^?Zz9lk4D9%?@cyO=Q>IYfA zM3;~mzU?>v+#mX1GVNa@U9R7FWZh!$)NiKA4B#?=aX@53ixtIM8v|HdkQuamF$D3i zCJOMy=2K4+1qh!mcsMfAo=OI?_*EguQxiR1aFS3!{l*1vfKL!D{Q9%vQ0WE8hP|NK zxne%q>UZ$VJIbf=xiX(1i_1LS1v~<`_Wduc{sb!$U z<|AbR*iE|vT{7YB-L=Yf%a&*by4wM|kN(tO?tgX`=+F%sR^>dEOlsvC03o?2SCIU=&bSA7$dF(x)ZDGEdo0F5P-3*clXXy7eKd1Z6FogbtT1a z*7g$=Q-XU9I==m+fKKNzhqq52pt5juX9UcjxX*MPd_}qy=}=uf#oWj1&!tN4)~_k4 zN`+-JzVBPYWxXODQxadf&i>?k*m@&Xr7wR{Uv#>sMx4>Sp_aR>zisn9zx$K2%UWZb zbauw*>0#TN%X+Sl)(LcTt&ajnssNZpOU@lx70i0qla>hZ8NcU@>dRJC7&^un8UxfO#6}2wej93F28$JiR7( z+A($xv_~wtwX`+;2`3{4uur{(J5B|At`pk=!|)j4`}c?KWgTh-oZ2P^EJ4-{C$4o z!Bu-5%`DLhxyzU65=;DVe*Mq<#J6TkT*(L?Ty>64x81S;sgTFeLyJh^%$rzw2K1!v zK*)t)vjvN+6yy_~MucsvkUK*jL+fR2s)oGo0o^KclRz@pZYkgqncbX^F;bHb;93Dm z#phh~7OTl)snadOzi=CrClkqIMxf$|i11c|0=a(LXj8530`RyDa`Qn7SLbZW5<|hC}G9&U?COo$0%V`iF{177)HZ6Ud_h4 zd57bS3j~b_O}yp0H$#$JT%n{CVJQc!y@EUj4Ttv|X9sPuIQK=0iRc1L-31~HGhY6V zl*|*=VKm2Heu>mD`ND=^pEudQ?E-r4E2w=4D4Pxdj2&+P)%&93%^+)C;5ak65I^4I zw#t26rDb#oFl!6xgzjW+#Xh#Q-MeCc-Z^o9Zs!0s>bxW4EYA<(8I<-oKXvE0zqr`) z{5alN0+7tKB5W|jB*O(O4u?LptgPT_NwJ6nyl$J_a;CdII5SANDl5y8@Wz#uWlOXw zE6bPY5@qFmU-N;#@ReCvQO&XT4|Fi+VF1HF0IkJZyCsu4`UgOe)()_z{ob^iY$-?o z09vVN2iPO1@%4z&KY*6==xa*Q-tFPZE*%McgSqJq#3qp%g4;$qszi@N^bc6+9Y)eS z_JAu~6VX3_5Q($#Va`{A(LVsdq?4T^9;MMwoVxH2;Ml->qvXih6#fCG%qhcv*GZ0l zF(#FjbmBcJ26BbkBON1!WLzD1IkY;_5nOX)C8TAPut6F#sWn@)`@xve*&ol+JfuO}1{&`3${*yAC`i)faW-BLAE}i5_yyBs>}4A{RQZ{-*Pem$O|cL>Oxr0S^!i-@Vny`;52D4$)51LfnVR&%wL+1QXrQd2+t^myCX7y zM4anj7b|wc$w1{IB4X4+&^DI!aj0Bq_w*2phE!Wcr~!od9eDr$hRKTd?fKw&VsX8o9b8}KR~4?2uZ&HQ4c6+D2o>qtK1)&+Mw7JjFgn5+RzC*`2Jr|wqcaRBBuCoGo95oL zTDvCLx8mFg_ALxx6tf9C0Nx9OQi3Pt4uwHn#Q{)M51C$JLNzgSYns{*E^&h}zqr}s z{=6l^{K5$cZhahY7mlAEfJ+Q|Ws@c^zg`|MO@D<=1SuZDAl6%WHHh!9LA+>*R&>4{ zbpEv09{h^$&*-c%5=Uo?n6Tk!qgaYc?RAix2C)mi88`%!5r3kypG@)&j|KcAhhRG1 zPsgVZiO77TI6fAej|FI;7)?a+`6~7?rz7rhbsi_;PBetOJAr5FG$TK>)`YID+|TDD z?G?_d+x$Al*(-^s7hwnXpm2RaMk!YtkWr!!0U2v&IH2vx7NW0w>p=R8pcn!FLKPvU z;1Y&_V0YFG^zvjV7yiKIP;U483gvef%9kzC3gx#0<$vT;zv}m`wdAzmm@)7jBiCAT zcz+`g05J-%o9wDEZeGv<*IIH}jS;nGzM!r+36zBB`G)e8f92Kd2a&0hQbDe>($$#_Ft_t^#CN62{mJhCN zk%%|R$uGcsij!Z0`2;0Dg83wSejLn4IDj>nTlU}>&7N@w2i|F#y|4m?V15FDqJsUX zYC#c0Y9&>P{my#+*>v|-Fwc$ghL-sndAJjihh<2yg86c!Si$^E7;9MCi3-TeFP+o_ zV?BqG?@r48rP6+7-M=ST67YxL@pV7&89BF43o*H6?iJ$J7P@N-UAq@N^5D0|}aA{l0ryZR)S`FTe^ht%n;N|DZ2l`N7mbtESk%GScxc>etR zUnB#pEp#udLVybv*^^4H%p3)&QE)j&!EWd?FL!S?vT6!XQBzp9M621qe2FeG`@ivX ze*IVd@lSl)+wQ&d8L>Kr`{{JUlnl^r&5;hexn-g$Nff{#hNW(K?=_T=IW%b37K!O) zG61^fo+No3jbpqBUK%kb10Xm`Mm~}O@TsJ_Yw=(|M%374KNtui{jL^_$pBr0;zmlI zN14Ft7-KR3N*b^^04ovUYzo0yE7^g}(dWU#hh+nKd|_^De#$I8-;wC^;2|*3K8$yA zPp}11qxSLBcrLjQJ@&W4)U%u1cgo%U?&m_5#0GX+V}1tq+0{ZWp7Npo5MA^QDkYz^ z_E4%!WeZEyB;T34%^_82IyGKsBHcMLOJb8#Sj0h6-i#XD@Yywog-)o(%MRo*LlVp8 z)bz~zz&(Kk%0Z4sxN$c|(WNBfP>*@{T-SHcb-H`->CD}8?RU@h_1xWao$eldy7jx~ zJrmKARc(4KwW+S<#4df4wU`=dv=_K0{e~gfTzm=wMV5(dXD(^eZWz2wX*CVXH5JrU z2$cJCJM7K0&$Q%@;{^u3&hFk7wvuqCn=Xvah9l<+5)EIBY4hdR-gs^E z@~f}C^u|lC-FxwsmtQUmN@vBCs%f$+lAt%Tos&zRh@l&!Vvukiscb!%yzqh0D`B{spKa+*;eoO^cka#+OLcEG%Ql^oNTz{8P4BIC zjg}Kwyeia2m#oUTT)GTnmzKNmsL{}x7gn={^DW}1aBf%gOzEy%qBs4jeD>Sk_O_q+ zC!hTv|LV*%QInzeXW=0Sd4n3@3F@UFuGto*rP>yH^rF!O!yjGOS@FayD6glMPdJ|R z?#L2U%yVne)mL7n`V&SZ*rySpi%6a54yl<6_IX6Uz>;9sqiF<2ggT2(z2%7VX7Z_z zLYq09PI{n?e#en9wB* zH3O~;LPK~2i~2`G?JajGkf+vsBFsTYYF~7AyekpJi=a-u!<#!?{rOc0o z#|6ii6@i&_RZ-G2F6-sV{u@bVUHvyDe=|X-MHKvYoPKAYN6RIhg>F33WAB%Yheg*b z*HDOL?|c9Iv(#BYmW!hL#94G--iRZ>se@@ghT=$vh{_!dPxT{A*1SY)@RX3eR85{> zr02)}udn@W|8;J2m@^5ew(A!D0#t-r32-uGw4V$ali(IiNOCYyV89IFEJ$Q@!6Si= zIEI?RMeXISA)^bPPAyrB4fZ@5;N=mCj4lXvBa0bg#Qhcp69`XPkgvWZxMeFR;8?$H z52fHD91|ST41tH!#fd}`=CFfIg(1_Mf<{j&N7C;~|9kK1w295#70trST%0cv4652i zsC`h>3J`ZmDPMqBO>*2nVerH8?t&Fa@Vlf|LkWd?aWZxR86TzgpWU$el~KHI{KZI3 z{b{@yIq#Z3AjxUrtvZoIfyZjzsM8 zlj++X!Xg}Tzelb8a_UGqLSA{yVbf|=s60eq}Jf;t?E9w%eNX)I#Be$E zi6cy3o((!1)n}tvZb#XpKA}oBy)|g^$uGoR!PU}WmahQ44#n}W;HH8$!q2i5eZQ6Q zEWgi0o^`ftj{9tRC>>>(q?aYQPv9?%;B93Ht6Mi(&K3L&h<-4AivXnfX`|`PnOC9`hz^Eor1VCjw&yQL{@KfgiENc@L0bnUB7>>UxGbo;cJe*VwOkvI| zY@*Up4xkEHrvNIp(yz-q``G=vV(}fuVtxC}EYXU^w}Zv+c>n+O70<5he~ID39W4wG z3#+2BA=Ln>T)MLVEp&rxq*jw%q?P^eO$5&blTC|oUz}U-zP+;lpCz&vG%mE`DYX!8 z2eqM6iVE)3JD)*IK}xeu66+(u2jV0x5Ur|BP`K#P!%Yl+#RUxmm&Gwxcl~nAT0_le z6reY!yZ*%w|Ce9!eZj;RZ*lFe?{|2P#9e>%R=ehyu~4(S!Vh3kO1$^5L$lk;6;2z= z1<~AZ56Gs|phH)_(q|ss^@qKfx-1~ndfx!`Xk+T=u18Nl@cAXAa2#~Q6B9o{65LP%lZM6sKLc9hByY6#`I~_#`^b3C@y1`=6phz!P!T zyIsz|VzcMWhxk+a*_`VSQGZVi`14K!`8g2A)ZSND{}b!{TcdqI{nb~$mim#nY~lqC z-}GzTeYf#<`x$t2YQ*XvSgvbblJobY-}}aA{GANs%2RP5cbXL(%&mmeJJfqqy0HCZ zK<yWL*;4 znATV7(J?~R2>a>MQa=La>i?IIBiwKKzDng6-@5>{C85dZ%ew{XoPqwWlZ7v+P-IVk zo_8jP-|>7T7O>*^4dOYhczMX-mQyPpSGU8x&)h0l@u2L%V{p0IZg=wxl&og^awxfR zvwhhTt!Te|i7r9=cf8}ve&M4T?bWPyw09%>0+yY0r=PFV+wWN+mqzx8BcL$b@j@^< z9wD`~@1xlc$~gt;2tZffN-&!3Cs_3waNiLo%Mqj5j@o)40LqAM%WSMj@GH#rJUBB{U!7{M6mEhaTV!r+e5RJ&lU|HbM8eKOgeEzcd{R zn|xpcPXNsk9|isGc3#o{4s!wY%{a3}EBfCK`v3U%fBuVKnz;a~iaGk5Vg~aW{RGdM z@WhuS=%5bx7?|+U);}JR_r(#RSP0QQW^+YbzeC-5v!mHZxU_i-Tfc3PXhxH_?|_D- zV6^p-tIb?&@kb1%j<)`!VLoc>cY6YHIdx&{Ph0TO)`!pjgiDTW>|>AK1J#%@dGCM( zI$TOfo_5fGD#^Gy7>RKWT%s+wiM3?0JPoqOMH1H6VD`8^2y8H^UOWxfwb^b4vIdF; z+8()*%*)#uxzihQttwsU#boWkv{AXb>NbKKZ5oJZ4uhTzMxG?$UmwRe8z+R zz$ZCu@_9|_1Y8aBpeI)Yu5PHzf*<21F0D{w^G9lQm1x3w8A-%hva%jUX#isOw{z{}z?cDE)4?22M8 zPd_Q(QCY=p?NQ?uaGyHh&Mnbu>@Q!UOI-aw*L~V^@5_Lzj8;Mq?MdUe!h7B@ zUJo2aml!SPYd=Adfa`*%mML{G{kp^6Ow=qW&cRfx?4a>_#I3u!1etgNlVFc_GZ+!v z0yAavhy>g;^&Wn9ImQmja{`Z9Pz(Vd5hefv;Xvk7zf&SA`X8V3@-fq6$fntZP2EeP;cc-^&?)PDT-F;Z*NW;_uD`BiOQntuR4bpu6(S<S1w6;e-`RVM=N08w;FM)$(V56`+o+8Q2DbJ0u=@aj6_L%nw}?!3 z@M8uSYK?3t_l<$h1cW29p)Q5GqQ{up*?WtV>00v6LFpbLYG>~)u<|Hz)-zGw?7f9# zgPJa4OpZl)W90aF+!^V8EXo@f=g>5CGBYB(HP)J6%0*@;Ar#$d)TqOUviOT5wnCjN~Ls6A( zFPz25tdLqWKIUw006nRu!&h53ife;l#re zNlhBfS84gJf9<#a_0+9@{c!BoA2#2SJMsED-ul<+*2kxK>o4xYqk}#iRg!JOC83Xr zjyw#^gL#@7yfAP-9q30my&wvLcvuvoM^hDA5kgRH_tInqc1kKl&ki!Npb?_=O%c0* zK(W7UhyBq(hOA?z8El$+&!Pnmo|ZtRXn3Q9`DHuokK^s*{^*cX5G7|i+6WIa3|BX} z!RZu4hq^%xyUIkOXmi-KxIVcMzcir<$1mG?!gjxDBT*EMXWD`p0)gWEWjpNOZra$< zr`>JZ;{03se&hV&3r_3Tq61G{|F+q*1yK|N<^JL#PWzV)e$aDA-hQtAV+*%m(*CiD ztC==YWuonaF>r;8+s0xLS6YW6)q~WF@0*MJzc9v>;9<})$2C*n`>5Pxb|`mAb(wVo zw1~kRLvM-BmK1;Ps#WyaFCa}>I;^Bneg19j%Jb6oix0*wZE$1p!meF;*p=s>e*B9s zubCxEZ-zogjmpz2*36PMv*f*2&*C_hSpp!Xq5?>kzo>4+-UYEB-q@OJ!zANNf^{{wH&WD~8*>g-N0 zX^c9cEI$Abhy<)?33~bAs&jNhW+ep^b21Ck!0-{ln?w<13hofm5+6Voq$QCA(W+V* zAKlJ~99fVyg$ttKGl`{A8XC@x@)+qKbV1Cg#UW6B0nW@)P|)9tF5{(cG*IWc_|%KN z59WJ-=Ye*7=>HqNUbX8X`@NQa;^5avpvdw*zW`ivQck|H}XQcf37C&GdPBWK^64 zyNHVDPo$k{4_$A5c}E^?!Cu}gx?dV<1)g=_#ewIsdkE{RwsDs{-+ z=EPU~i2<;110%2`0UO?zs1sJ*MG`9WG0{VVJu0bEg7i^KhFf@pNSWrtBDKhBbcNZ& zJ-opgApwZTx!YowQnLf-=QBcHGn;wvj`KE^Va#wBZ%%k@lt|oc4;rj;$;C?G1BRfu zj&cWGTia!P>-Q!;&D@(sAWDZB6x?_)7Koa>ug306es^}K>Ib_w-{Uvq;N~>_B&%9` zB$yUq42cW|RcR2Y0x_tFJIuHdG_VyWlsHh(MQ1Wn5!Nc>UWil_y4_sd3&$JdE{uCY z*~@Vs1hR^_iEL-xuy$SUTS?L0p&SUeouUI?2ZY!6xn)MW$- z5fw|D46Nenk6{34PA&~N7S1RXW!+eV zOs{QTe)YAN-gxP?e6iC-%vYO=^O98=mrDbVtqONBt~A$V4Kgvv^lRVySAP6M!ObM$ z7SCz&a7(wHs7fXZiIwL%XdzGl$$m$E0R2%ZfFt^d3*yNZWffJ*2UW?$&18a;Rmnsf zalsbalIR%Q=_a8^uhNY=XFF=%u_~G9BIy_{i5Tx8lt?d6MpO|KoGwa6Sn&%9*2F|n zGEow%93zQa4=AH#QLA125k9XQZ*K1-6)3D0Fr)k780qWq(2Os*)|-xxPqtCe0> z(M+t0jQ{HTOQ4NSsE?QP%I#jx7*RxHB95#cvhBU6k}f-J06(AAY^@_E_eiBk?M^=Pu3mw^WS%}1;9s^x zEAZb=XzaO%{h#PF@M}_shsJb^RjgodYc#1fuetpMtR(O!LF6=P*lCYM7y*ndNK4)Y z4?Qbv(PNK*mexDTf&_jSJRXrXA_h8AoE3euAc5ZnK~b^lB*@x{sV&rE_%d-pXb_D6 z{|T`b2*RJ6N2Dd61tH3*#{>!dE_m8@3X_L@+4zG~3-D*j7WxoRd*54i5}*4*K#icq z&jdW$()#x-ie^+3gw(Lwb*p$8a5T$}eX1vq+hg)$-*KN12y^e9{{;(0XeZfqtt^w^ zx)!A-xUPX9B}WtQjKWv0#$?WMsUfE-_jxIgWKc|8s%eG;mQ<{8z_Oho53vI9X2J<0 zc2W4sC72NR7fmtcXs9}vHwy>%6|NRSHJ6*tc7Lu2dUuO?FI%D&L2m~^-*xqyzVq$5 zn767kXHDmBySMnb={<&^w#CO^Q?k2V-_>R*5i|*E2TnZFAem?+JC9hH&YI*+`?VPu zx~1uyY;0zGmlknhI=7&CibZ^22d`34;)ls1hUeM@2U8cOv(!PM!(h(_XXS{c=?pah zbJKKgNpoN{Hyhcd=?t!^7_Zy?uo9TW740y)XyIW@*suvmIb(A6N zG)v4Qe+}dU%mGLxqdEd1B69^}b#3D@u$=<~Ri0(fY*B`VCuwr&Mg3N9ocs9`tM1YL_Ei1u7 zE9je@P!&=6#RA_p22`A=6`*>kcDVv+aMGa;6^MB%MB5g%vEwm58iopbU0SL$1K0E? z0lq=Z+2NRfW%^r7+Q5?>D>T5~LsmlsO{zQrG-=)z<|aV2pyo*^w%h^gXSOeqCecN6 z6QI)VoV~w&8H(^5jUfboL6MDGFl( z&G2h?Zv{;7xv2qom=!}MYc8fL*QfdjNv>KH*1^_8L zqcLKS($_koc!Yt4Sui`JLCD&j)OFnVDA+B4lm)Xh8iFuVg<_9-P({lL)^5tqXiN>J znt&#ZeT2*jae2h-jK+Hxt-B;-++*ar8dP>hhSgv63DnVsfqM#5zo37W9sYR@~Lk-A-E&`UdTY$0gi z&Vj3H6Z`TtyjFR=UaP$74f7?hUcZ`k1#Gh&w{MMVoy4z=b zUg>xmXVkrw>z8kS-S7CTACvP{$DIe3^o9p;hp@ANUADKQ)B4z+SoD#CLy}$eSs!*1 z$EHtU*n}j86wvlc6I;=Q#7aKqsbfgt2wPtR04)@l>KLQ*06vHlGWd9mNL{T23+Dmi z1FAOc8`G@v)G?%hK#R5v>2|xWba2dKtb?m$p%UQmL2(uz#{CEQp<=J=eqgR;EM4%) z_L}u0aK7w@9~;<58oJ_ndV##=VCsLV*GNeN4UcTl0gieiZVQy`sBbj2qFwT^qYH?V z@bOfu7}<&&+-i;HcA`s5z22fH+Ny4#!)u#2Xwp!=sVC+Imu%G}+2JM6K=*JFko7}=_FU%~~27*cr`;VOkYcw;sFC5ZGW?PO%RisGjB&6)e{e1+4o zo8jztI>~&o5u~>+x!G%po-qyURBf6w}?@%VXx;_(kI zSU4TjGu0X{ruJeh)ofuRDT=?MG360$nVDCLdl5OTS?#4-jI7k~h2E{MRP%Qni*FX* z!Zp4gt0^E>gXiK;oOM{c!Lt7wu*GR+Yb&Zw3yUKIw+5>lq+^Wh_-cuX!G z@KC9x4|Pp7#dDaA1*V$b|MbuQvj02x^3iGyPEfVl4foLsmRt`i9wdG6W$0xcs)}Y% zBDDSk3RS3%kOle5^ASxUW$B9@G?j8h>JlypQyG+4PK{6MNy;Nqm2kmRzqJmy+YSZO z%MqzbxM0_G?0`#dtY?Sh5eWk>2)`L9LL!K>DMnnR;uapFtY%OpSU@GE*pOM06%F}4 zIEwNw`I8^P*xxKQ{b^2{UCWtW7FSc`aN7 zIjG!$Bn^Az%q-DLCb=Cl$)|qo=l_j&<+zv{yfvA`3{WVda}-mAUVtyOetYXclP&7# z4?3b9!Jej`-6QS-44fg8^rxPYHg>N?mWCi!o;q05$d5P~nFLY3nh+ZO zK_|2Yk^mai?*SvT@CO}tjJc2o%VKMU-#m5nciiv2F(ApP1CK+bm|B?$C<%w{AR#vT zJ8(X2j}s@A1$^Cl_UNqx2bGoEg!EGRt>AHp%Y%$h=5gYT_MJth!Wk9ob7-{j3Wzjd z?#6SURTM-UP#$T{?*GLU;Kct_Jy9HU_>hnc^^2$06^` zp166d_pNGD(;-~1#|=$OFoc=l>M6-C;;;zzrbGgRy{R6IY@mYOa#1pe#itZZk5F$lU)w^NlH+&uGSK8af=2E4? z9)%giqS5s<>Irb()KJ8%KEL}k{Ndm~ZYZu~8z-a$dwvZvT|&0`z_0tbfAF)Jp{i5` zS1O#-(6>GN(jx|p+CORrXHElQD+%uKDiFcBR)Sl0T?1;NUtg~lcpj0U$5;TZ_z+4ATz(BPh+INk??U4et&1!SodPXWNgOBP(h^ zgnfE=0_@j;3?{7>e(5<^BQ8?J1@={3K(+(yi`to;2Xc8F z_EG#?9&l%uU<*oB@~cxt>R=hHO@miDiE4r?orIj**Iv2)!uP!J-Y?3r5m6njOqc74 zBU03d=t95x`A`1tHA6LJiAMJUGG5mV)!mw*`d;(}%i6}cJ0R)>YliA&e2Kc$2G#Nq zRFLXUA=yS;fv$wD8LIDp<@Hxyee01-m$_)xpu+706~5@x4*%*O%=W)7hTH$PG)T6Y zh!sS)^`sxZ@LEs#;iJ>KhxMzp!;wl4fuje9es5KwN4)ScsDKjAY6Y`0bxjbAS^?@l z!SbdLehdFc;V*ypb45_$w1?+i1h;0@+m)+GlrsT6*dopRtOch5xGIafZ%KvBp|yWN-(n#DLj#2#HpV>3lflB z@Yu9F6J#z6UHn;49P%#N9g)`{L&6`g1)72+0Xaz?j;J5S$r}_*uE*qy)g@Dth!d(? z4#teDgHq8<=f#*i&-?Y+7lFK^x}bRu#QWF&SAKqz<$Bh^cZdDe8~-d&{l>lVT{5$8 z#v5<9@eGEnFuxo_ZXD*9Ezt_|%a`a9nE&w4wqNm8pOl-C3TW0aZ>B0ClpMSg$0CrR zVJHdh1ZALUB^Y5Io?reoE&<4?==@M&4v znN^;G>%r54`A43XYZhY}dq(niK3?^-OeEG0`lm`i)ZiJDywX5mL9QYS;%8SHXr0J? z3_A=mNyT@WTuDp>CC1Qqv}x`=i_BEscp>+nGSZ2Bono9Wz;r#9RoJ`*S<& z4-!d{35!J6g10);Fje}=hT*FIsZ0ee_&i8NEe?lGM`L1dgNCVvXAT1O4)OTgR(hDG z>xX`*EnWus`az)FpWFF>+iufF&mh{Iw#E5FpxmF^VSj1xn%A#AY}&#z+VTw;)=#$c z{%ANGhC^blyawIIz!oq)xe~F7tC==&FSbnFbI;-xF75%=b^b!{R(DkLcN~jv7GAED znVB*!bIK8ok7QLQL3g6f$)y3un7IY7S2v)(59TqvzYoPiB(r zBkwJrdaB4g-#KYS)WJDlV?Gp7xj$WFKF0s1Ys^a=#}4yxYRpH~$7qA*ALaX5>!7Y+iMX+VT3h_Xs5yhpVTlW!v zY{alX^gE-|*cg~vJSr~OwA#EC+wP-GBZ@=C1Q}SA+@J${)l^f9Az^~>ywFR65*f(p zsgF_IDL$fl6j{atvBqjdbriZJTe?uwL798Y56)+!jzX8z>URv7BG;)NQ{sUp2~QHc z++p_Z&YP_3PjouP)j2jGImrn%4U(D4i!Se9U!LP0-ba;WH{MFJT%VpPS!^~3cTO!C z7YOB3aCnq#4}+>GlF8|_Bhw0=8Ijzf%y682k&DJ0G&z%)Xf3EeQMuMN4wt>joFbju za*2I{hc}d1qMB~&+P}60jlb}Z%apBw$-W8F|k_H|Y zPJ$h}w-Y!~;$l#OBRUBXC$JhAFq;*N^N7(&fG)DodN?8qhSUW`qLZLQ@le%jM%cvB zNq`!;m=tq zgyA&EzWAjOYxnld`(B&k!%TCTKTyGsjYU>H?Vhy95~CEa(QZ^X&) z$&+h(^DPg)^}{ReH!%Xl%y%O3O8dQj@ue3Zy!gWFF9?yR0Qt8j?RUgqzZT(GX}>Rx z)O%XqFoduFU-sp;oMz<;by;W3X%DL(zh(dG$ zYj3+W^$~4b#aDbleauR*@aFGY<12ENWGWydQZqIY~EVg~d z^Dfyv=A*~SYCSScKJCqCS1d$O=p}EFa2!v3);brHd!~wJ0q#|XuGrkxN=81PGtRS_ zE}ulU;1&8T{#TA{L2HkR#vcAc8G&w;lZtI7)=>Kmgxb|4m$I4i03*>4wMtPZQv&hH zU@te9n(OueWh<$$upA^-bA35T+gaHdD?ktBZ-@lG>6YENjaO5{TuSnGn7 zrzVd3)JOEQkt`Net{k*grAIsoBAHd9*mFH<52)0sQ$w<@hDjXv5s_J;aq%AAY*i`A z$0#`Nk|5$Sb%EsvhHY<)vY9y9ojUl(`pMF>na1=K3oH)W#S+KE?2K2q#DCDngNnPyzkeCP(t*0~z%&Rx?}YvtP!B z$%@3WD4)#}2}C>B0{riquY0rkx>r!1(4*{f54_#7GkCE=`f|LuaY$dbL@T5(U!qGO z{j>h@@A}TJhIBX0b!pJ=?F0-EiyPKz#4IP;CBbfsz71j~9?jympDf7jf+;cXa5xsd z-#H>Rdly7!3nSWX8*5jYN6e6pG%c{VpmxAMMq^7@sIZT-V1{%yuRCfZd*luxHeCwR zH20}t4q!%(I3k!KotFo#?&YjeZKS?D0n#C<<+^D`Hf>qnX74C5Ae?`Qi z(}R|k{1J3@`I9VY*=h$IbM2UZJ;PY{Y2)9sAX@#veFA`vn9pl~`vwEtta=6B{G$SB z$QfI0Vo88XIb=oOMvYBB;SjdHL1uKE6+mwXK!4#QfBi#!22k}OIe;dYYGFOub4bA13s*;2Qo1o-*548M@UG?u=B6}RPPKM`i6;Pht zr0i6=h$}Fjb}=1WbH4)ZSUFI+jgfk&L#`Fx8Abjy1d3cK*$zDAI#&$A>w@Qe+qq&m z-k3j+eiIipkeH;1Ju-sYEkE;mUQN*Dujd;#L6|wiA;u|WIdNq8>7RE5%kpW=^_WzZo5IoQ$)~7sJ$x)D#$sK!sI~be+@nZPv!H7laiXZRpsNX@ zEZzB{&T5~gxhJYR7bO=b%Q}}O7bojF$JLpx>s&6+R9)w|K6dV?nE|)DsY!aWd&b4KM3YKc;Q&;4Yd*@NdiZ&6Rl0+JfjJN7F?@!AC!k_&4u0{b^p?sLW?A zof7SJ-jkvH7_yXL-v)afwN);vje>3lk)g)gDC8F+U65X#d(X@?JVbpw1ZDI5;CEfr zCz%EMih6q>aTWE}q##{9VLs-CzoFQa%i|T%kkTd3dP?cS)h+9-#Z9^j9w~`hABEMp zf8*6JeqGJOyXGEWso&_MhW4==-~C)< zCEYw_?10wI%AM+umY}RtZ;SXcUZ?$D3#g&*@qn#HbhF*8)FRLg16sd^JYtS7gN8jt zLgOpRN1P;~@m-b#r$}fazRa_kV4)S1u@1oi;nbl{3M!>kM6kFxc9=NvZI7-Il_b>< zKTgzyL0uF$=SL-}ek4hc>cbIY4uAWJN=1(UT^dY-&hWBW860BZ4%of$DZ&;47!j>cS2+`5S9F!4mM*h*@30h}wb*C(|}& zi05O>>HuBqL!w6?^HcxMeTO+L_u(TQ6MF50W1J`;) zT;6~Iz}CKu1%ON8zf_>*3Y(8qg2nFVnb-LmeP8}Mzwzk%vL#v(cli=sVxa%Icm2q7 zKQ-5q2}Uws|Kkmmq6eBy57nEGDrPj-qBlP}ppOTzs|A`-_moy;6pa37v@p^t?DL%$ zPaVJv$2iw{5!5jVF6g|tJF?FU-r94W7q_S@rGmY4?6QwsaN* z=E?Bhk8>999Zva|D2-lB)8$%nvnCa{8mH*Bq_#3X>;o;%gp6<(nl{-F(E`V>g$*8$ z%|F{Q_Q3pn$k$X7d_dO*Y@3o<6Ud*qci1InIvVz7)=!qux0&**j zfs*g95c}F#U1D0=i65CIL-1?U?M@_TB3^K4PJ?@a`eZsz)h99LSPP|acW?T(K}sX< zuQADRcW+RZmxNe0TWY$QwoL5pCX(>!iRS5dBAkff-Qx|h@VMeB8%Tz`dxM$`=v^jb z9wg=n*MGW7;#lx=KcMgd&WK}j7wWx1GOkgNj7oX3!HGpCXeAlf=ydA%TESHs9OvcF z*7e-8h1N%SY%Of@B)9%`$_TN|WzQB4AwFB^Amz^%2J~miNA&IdSji`Q zwx-%WNqeX4G16{MLE%O_rb6Ma+chCx#6`*BF9_5-L>JRzw`=Ozo+%tK+BFs7FZ53; z=jV3zNuk{k+Ea!7(XOhX+e$y8U}2Q~iLUm1$n$X8-YE~K-JHVZKs%=5aO!qV=t%Tr z+clwOQXkHr?V5`7m;OuP{AD|wFpf7`Xz1pI7EJMkd4b~og9{d}-#ec<$g9xfjo6YD zG`%IpCgD#K z^w7_oTpDm#T@XhX>q_YcOoPE3=XOohChoend-{@>Qpc*iDztfqt2enS+=XQ_u{^x6 zTKa3*s!*B>k#^-0y(QW`ed9;&y<}eMI!7R1aX&R286LJK(0l+e~_Ipl?=-v*l?wjRg$-Y!>M^ z)C5Au*P!6YFQ)g8rOxnoC^(`p$mf*jn!ma@Psh!K__tC{&Tg94;?HpHErgeF&C$6m zuDIst=_k zo{n%0s5&rgiBuq{_iGr#lcxb|b)ww+9D+qP}nwrx#p+qN^A*v7=p z>HqmI&c%0Ey>|6lyVtI+T`!*Jhil0CwpN(?$OpwD}Im8b2jw9tx}3P%rY1Zb!QfD0tf!Kuc-lkOuA<{pt>@Z+qXsjTVA0RTFC zKhXx8jg5rsq-Tt*so;acW@I6IBY9Nt1PtSeV32lx(}1%-B}wIeO%0lfrR&S&JS(-y zlP=gtMA(>+kP-PYKqvO?VFXJ0(tg$NP9%_;dT%HL+~ zaPxHg^K`w6_l*Pa~(L#|D;>}Z%!a0#bc^kN3cKB=5 zXnw&V)kOSHJ&{0I~+OjBS{)R&NrLTlVi_t+@R}_|GG6 z#DL46?}Bd^!u*Fu(4t6S%kQ`Y@hKG#3F~7M1-|Ho8OifUEhcWKT5j~*S)Vp$I{e`< zM344z1FTF$hW<6Z|6GB2Xh>}MP7r`!y)Vv8BnrsiJI|3F_Qn{>DN;9IJ+Cg1Q}MsNxJuCQR_(1@a< zSI^=!5>duJ5PG6+6VQvY5l`xE|6{_9ww(g0VoK@hD|Ug`{hjcb*G*Gr%|%B(4j7W2gj9 zLMKA>+bM9JuW39xb}|r%iKIB;;b9{|24Gg-*IQy`LlK9zdsmn1Z9V(A!}{rcuZ|9p z9Q>UV4Qbb0z4t*KOpA2@2l#Axj{H>{hYqz)FPf=Y*n$@k!q2{|a?as(P(12x`?^DC zk(m4{C|ip#?vgvKpaJZ_0i!mHK*R1Zo;-&%IxM~~!{}xcVz5Q0P7E^lGGv8_ye`5n zzLs3)k+XAwYh0LQkzFj;lghXE2joRUnBzXF9IX9?jQsDED!OK0zJ-t0a#H=QkUgQn zbx;m{H6}2Q+7ADi0bieW#nk0e2Qe@v?2(I^3o0iMDS12IiGIG;) zCQtB$NdayYF$W)rC8V;D*I#a&2i}@G3J-ca3RSWwC;sM{=kprC^O9#eom*bbq?F{jlfLj$Eu-M z%J7`(2oypdyk|}NO(W=Vi=#EsIMdbyZfLvYdf*2r{;(=NoD9y*5&R16^+6OOQGu8Q z^cYB_n`%hq%bD2m{30!Ww{tw6>Z0{as=MAonqSq^y3vZu^_`<=%~ zU2LP3%bE!0;p-kFY8azQCOJ{IVLE67@%uxgnP*Frp-s(M2KDaijv$vg-4Hny{L}l* zH}4zwKK=k60du}+-|5Nn!Ry$par@JM%4mQaU$#H3BtdiAP*Ex>;}vo29U(z_VPIG}1L()w7LeYrtG6|-Yik1!q#kiGo1kd6)UCGaP7(B8qWw6;HG=tStq39kW zQtT);<*Ck99wc{QuLwexS>mX$QcCp`Ta0t&1bEPb3>FiF(b|?liR(RquyJVf3ckG( zE6P#fFM(;rP(2JeHY0yR4jeaW>IQMV8t`GoB~!P38pWr z?o+@^WDttz7W}x}V%C^PBV$?-&j=0-rDby}K<}DAt--Q{TpnZpEf;W3w7TVH#p{lq zmygf%XZ!Cf{C2VR#bw>NK0zDMua*F7KsGP|vU&AzN0v_-Q68xr`B9mIWbG7Qe9^PL z13~|cVh=S-dneJuv?2|21`PtiGvf(q{_74+`*gwSt7n8teuEA_+AH>f5O1}$%u`ws zYM|6#xkXo~@JW2`hh@<4jdb#IRdE~I(VFa-{+nmZGk0o7@|R3YSG)aq?-ORk z3WOM&gw2aO$$lV`GIlu|v;=E8cI7Iotmr1w4Q0SWI`rkz)Ul1q-H*MNyAWUU? zEM1TnC8_OKdOHEBAz%%#CwnP}ASwL>EIg2k#V(V5nGVt}g4~@YC*)6Hs43#d-~8dV zYWXwYflIxu+xNC-ae<@Ca9lCXAO&u%P;bte7&Hci=F4JWvN>I;RlXlbQ8frn-yXA4 zoupjUH^tJh&BkZhtDR{*@XRb0%i+OnRfPZK@%ppE+vq$RvLoM_Z+s-8#Xds<-s^pL z6asW%kgeW_h$xR|7k-&l4MW4r%+Cb2{)znYqYDYex(G(CRkR1YD^9``fDKQR$}~Qn zd||dB-SjspI7oatWqxbC?J$5Ej7_9a&$%zNo4Qigv!?nB?{m_NW;eB}=qnw5&_qrm z%?nU}o2DG}zB7ZU6*2d63EkZG-;Vd)ld?^87FEcExQA8b!wQhha5q4hWYD;OmA_HZlM3I2FgD!R#q=@ZtIx(uM%^7A2{wIroo z*^XCuRM~m)+kJG&coi*=`N=~fQq?#mAedT;(|i|IAcLL#5dFXh@i`de#j7O|eC7}@ zux%2HXUU9j8l+ z(=sx#jpBbAw*|_52TW^}{9I8?iUl}MiQfRji-?Jxb(=fJh9%K5qQ^(}6}7VA#p%wB z+xkEit2@w*iNxehI6#76K^9*!yRWej5tfLG=ru{e3*n>mqNwpfCzFMA906|+KlK2} zhX~2OZr!C^xn8r#eYJIoOn*|yFc6zdnnV$Mi_>HIp;8SE>&RM4!mqn3Ur7 z$s~OqN2AoLDWAVlZubiS3y`!hF$v4P)x#v2>#}DPJ zRg0Kf-Jqr{$QCX%&H({eYnjrdaa`h7QTtY8x9Hy5I4>K0mEyhn-{-ODmkkRxYK>3` z+h2<&!4${dvAz!esBd^(%;t8|uDPn4QmnXd*2*O)QA1fIs4YQf3}2EE^44ESRTtoH6F`cM(g-;IpaC=GetzsgzH=6}Sxov)xHXiCR@JKRj>S&%jrX z5A!ksC3a7HUgg68ZKqe>z!}%6u5R*!s?)~%c!?Yzc-{Se&CxC5 zH2-J+>8k!(EAVs}!0f87_+&pY2r8y40^f~69tp)nBe_cIW50mOJBpatkN-u7Hd6-- zRZM{rt4|^C6)?hU{0w(BKrG>o=>+u-vW0NGvccRSB6lMGMF%yq;6j?V^$x0Hyz`~| z3_HQR1P^YiVlGOYxea3Lyz`=nHU{3T0Tf(LujR*?6%M236+7q>lK)*O!F z|F^k98q22xKhBXeIBEiGU5H2#lnOO1v-$1D4S^Qv(d)B*E(4h@W;#^Hm@qz5{5Hg7 zL?w_-f(|sgEEHT2#g6*VQAjCc&#x{^)R>AA@<0_(Fia zhu8w39ts`&{rBhT^u>U{)|oow6v|r4JMyIDAR23|J7x2zQYPWgkvbnD5(s!U1}h9& z&UPBuD20a*6Cw~f+M_!VmxPurKPvCdsL9-WaD+)#iEfz$VGijgOA}4w^1u5?v;TLoiOADNT$cp2hk1z$vB$T%cG^9~Y+56y%&OiLDU%LX`qKRJw!;_D&fRX!tgU z7$Oo%S-Wl4(!WOlxf$Zv2)OVl=`nsN^ErWBgjX=Ca8Mucfr=YcvXfi9CjO{{u4;43 z!X&lYNL^3u0etG&PrUKk;H;=p4l#5=*#ni6SLfP;e)#rJkZb zz-f0}k+yK8Ad^m_v>+EvFQ6vXlF(JdsA15CtH23KZU#1~jXB$Yg)dvja|88)WZV%V zNl<;h!`Y&RCUsWva_U!9ZbV0D6fOjrAK<7FbX)UEK@L*nC1H+(UojZCCGNTQnW&`z zN_15rTk#0@vp+kh{Wzrg;XD-mSv`m3e2)pN}3_?Ro3k1Juq;i|M z0#%`}1q==^dI9czPG;%}g)p;qwlbNcC1p;h}`x9s4jwymZ&@8h*|s;31^OKVt1c*xKU zqW_X>N?I5nmr70M*9aajrXEGlp(XECaWq`~{-_P46>rC(52Q7AueqIYoN<;7GU4&r zzx!d}?;_7{*__%qZ7g|1jJk}v9_I?4Ei)S#L3rWUuhb?ts%-mdGR>`#Du4j zUD9hd8DaZ}kTW#C1L;?bj)y3L2!d3q>kH6}pMjUMK{#UZVpn z4#|8Y9Ri*YKF!Iee_hI!hO}xOoF7ApMIM(c{g8_A}n1zTY zuJrx0te{C8*LniTctF2!43hzpUoxShNuMpQh`_}k*ur^q=n<-g4}h%Y>FP2$Fat*L z)<6c-#;R~-o^WcJnbOfeN&yl(iY`QKiJq0zZrsmc?!*5sUZrh(KGvtr_~+X22Ug%Y zp`V`>?qal}v0ngWg^Yci?x3r@Z^Zv`g>lfoWlhNgXp#S9W|c_OFkro+g7@#aTsGCA z+K9hs871@q55y_Dvcy$HG6^MD!lA>+H|r!e^QWK#v9Z7(4*=Z4G9Uma*CgnRXdAg4 zSpsy^q&e6VItdFXAwupa6^|$`MalPj3!E7!88r5Q(G&XL1zsUNof7ZZJ{%dfv@uY) zhD@=^icI7>*vOl{M1fjM@cuC=&KHNJR-bM%w`)0bUz>3X?lkMFUf&r$_#688rt3X%7 z#h@l-5sF9IEZ8V-$%#aQZ3h0QdtcUVguC{HO<%_pQ5lx#ec9F>aCK|8Kh7Op|7?E% zYswpKnsJeB8f@@d5-GNWP^}Yq zXMjd%U_=8&4JD%Zo@0n2Bv7#cFn8|O^Ej`*$J<}u88dsulg1hC*;d;Httj+y>P;^v z!@%lR~o8ppGrdN9I8!LGodD;FsxGktwpesmZT0KTW-AmC!V1~%Qa;9=dQvnPm zm^V_8T+mYZpqV9%&XZt^V~-Fs*JH<`@vG@EOEF^bMpy76NFz@v6Er3GPDc%b|U7*+SC<9QC}7lLZ1F z13$Y|yn%M@v9J*d(c)5V`1hzqZ`lZ$0xhxcUOX2*Ui=Q*{kHoPa}ZN6_TPX={r5H^ z7(9XTC#K{Ye-b}f#VlqxmV$d~gE(25ekk5TMQ>ftsQHadf8_3=qjvg8%0zTeP*Hlf z7sh_>8$sin$le|zh`qasJtNTA1jC9#AOy{*&>`A$$L@F#@NIedV#!;*?>(mENYW#`bX78o%KH?1pMW2XjUjh$d(~F$4LG1zIaqF zut=Z=F9MT4C0=he^0XWzL>pc^va^GKfc6!Wyigj5j27^j?zb4mTTB6*Hkb+x4+%`) zb^T6@TtpE?@`S*V?5H<&a84o#XzV5wA_oSG!mu37GD*FCvF`9o=LuN{jpslR^GM(E zIpgEz3PJogEh|5bKrVsC^KoeKup*FXMp9|sw#xl^79`=2`RIJ)Z3VXpPi$)M(te)#LK?psj*kYtS(XN>-+Ay z5xYMhJ9i-lnK8f@T! z{^L8@=uJkllt4E#OkmerZWFW^=b`jH=)emqkWPC|MT}*rOa<7f z{v_AX!ar$%FAcaD5|W18)&kq{yC%sOh8Hg(Ey`+{Q`G_gjWqq&D!2o6C{UsoJ@u;( z`Uc#(p!mS#@}>IWk=USr_bU3CYjStoUtq_(sE5}QWk95)aCi_v*5dH8PppP{y-O;4 z3kg>C3ZQ?5&@#Ii?8gXE9FrFZ2oBoJgA*1LOnZV32YW5u&vGYf3B-yjaKm ztD||R*H#m_=IQ5_`BwwCD{w=^7|*2+s?{^Pg^>!=KVf$sV@d-a|FM91!Oq7tAWP(w zv8P8YHBD{$T3XqNV*i5mfPYlu4#e`|Mw>p&8{#a8$Q(f~N?@1(=gv-KORwQn9<&av z@jjpnVX*f6Y~6=B+Es&t*Q2Zaq6_*$x;=)DGtPdZBL!hV(ih0h>D$!E6l=YnGV072 zRSuZAL~q#A`^D2?*78B>Q`K=Lor^s*kI`$KhsC(}YxSWzYdkE@qtDy_SnQE>Dnq!T zi;@C6GdPZwvB~b=j&3z+0_|5^v~N?10JJN>W-P$5_{3-D3A*3oy6BcmA)?oot0WHc zf;uDq9<)>R#{;Q~d?_Uz^tDB{zqHI^r|-e@Zs+ITU_C^F9erR8N>!V;QC!>v z>4!BCj*I0V_6L)dl62h5K^YN@n@&QZxn&z6rw=Z!+JT&XiDn>j3A#3KbxdchI&C;h zdv%*T_=)x=*W)*YDCPV@OE57j1QNd@*_aWn?xLb;TSkGzT6teRiF+wN(+|j{*Y8&z z$4H?h80(apM}>~ht{Xp3EShmbVuu=bZYY>5iR&He4-L}$IoTu;?XwW=V`CAL18&mI z`0tuYYU$vRDn8y?1q3KSJTt~b1^K=q;XlPrK=I$75SZ2OCJqBi$LTE?7U~u3rV~5& z;87Jcmy9co7bEus|MZ_S)iwygMSq#`lyl#Op%Y5<5zPDc+;oOJP<9&F8m%c;VHM^M zJRYo~gMP3bC3Y&FcumU~JP&DP2F|BI)nF_9bgd(4yGN=2oVtG&^uI;zelmXVbN!q` zArmL{f=*9U&XEg7L&556fjWR!z!UG`z?b}_yg!WbR)H9N(C4DPWAJbara2(*qeD%6 z_IawJ)JZsy7t^8zFhwCsLS5v&5-lNH&^31~BzuXHVb5SHd|NVFUI%6jeZWoqBW{G@QUI;4!tZ zfc5z2k$W#OKe?GL7*bic@LK6OS;5D1@hSTs%#+^q!%?H@Odl8W3NdJN}f7jb-Ax7;(9_B)c2itwal5 z?5S}Ce{?ho_yj~1Mk4)a6x7>gAHj>c?vv7IcmNL=}qROnNJb2z1c8K3D(K zEMw1WTdo;u`*VIYw5OBhNVHp(LcmFu#rFwg|7rgZZB~2Y#u*s*v=RSi(HGo4PjPT3 za)eIoqRQ~x-SC>=r)=f}dQym`nEYL2tRQuuMm*;glD^DIVMtR!GUR73)w7yAsk{KnvyB!!Ot3>jpRK&Bd^=}&ALiEe7sE37555q)KTo&6~jtbxq~ z?fHlr>G!HX*VwZ7!yoCeLBRepiA>jlIur(l{2J(@nSw8vP9^M5%mMaUKZ$U_X!-*@ zSw3E^MRgSK>nkrCu7jnF@yQkOfSDDT>zp{2(lA+Ijr5iDCb2%;xjkv4ykGb5xn3+S ze&myo6#m*Q@VzH@n-`ZU= zJuNI#RT3O&f*x@iCsyXu|94vR5u^bg&UN=BnBO&Od+z1}RA8IspI)IKKkL%i{|nyB(-nN_(7 z8cO$XV^jGqj-x@KUSLNMUidy4p$gJuip&xLDHZg>HTp8df0}MFi&)5E+504g-HiE6 z0TYW6d+zQyOrA*m3U@+#Y>%VgpMK+Cop~?ydQ~L91I3}=05FFLdNNDrjgr4xO$W}s z!^Q&5t45vO2-AR2KwZeb9OfQX;91V#<$L3EIQ7*q)=|X?v?uBOQ^eXWcUs_T0Exmg zsM}~J3@>8*bGXsYZfmJA#9Row;h{6V*l_|}GMtcBUC9$*Dqf>pQ9kB!C2z+!P&pwG zSZS<+*yYYi7-VKBAag|M?Sy^ufLNh)4i&XM2O)mqAc>dWLa{`si3*=e=|Jx^ZG#6a z^cwR98L5|^(n29Q%6|?9SQVp17h5!XK2QD z#r_SJTS(Kia=@-$h7Vd=0W&2$ z+JPW26A|y2R3vr6A)qnht)=YP2W}CriF5_VD#2+xL617M|KotHiS!D*Zb+YcO63%- z@D@fC6xzNQ^jCGHrx=XP+%x!35)y1hb|F`w?S$qCBK%YY$px}Yg0+^!piBD|LWR-U zOUR<)s+2Uy5Iu1d$T|=qqKP$Qjv%5NLI1YOD3C=|`QV-a zt>TFcpS=rFXYqW-J5clW;M=2GYq}T3vE0DK5N_VsEG8!px z3xZfl+?^p$vJbIYLyUbtavA&Djy!EziK@*d-OfUYc#IkF-kwMF&wXCN5w`uneJgtS z_B{o$?`OEc3qrX>SREqm?v5gZKNNwft+1|r91MsaywFSJZeoX}eF$EOrO=chH_*JD zEjh2wh&9X=nBOkRoh4P|IlNWxB`=gJVeLuUeLaVhz zmoS@TkI4^DA)?btLSN|y-#j9%o09&zH;UN;RaX#jCkTwon5q?s`9U(MureZm(;8Sm zMb|TY^?(?-Y3=*`8|J_e+h=%-EcKNpo_fYTe$gbLdG(R@h9_-pTtk69LT`2!`B7n# zBkwsCsFEQW?ewvFcdwfA5K}C#tmuXO?c9~?_vc~y!p_ifDLF*MTKYcwjv&_3BemCY z%yiTP+{-_R1ESm(=z#kb&Rx!3l6~)9A09GxKUBNroSj~tssM1h z0i6Osc>AqPqUyK+&Vdi@tEU;)4FYo<=}?>7W%Sai^!TRuqaY~t=za2T3wW6bGqZ(oQ@5$9H_8EQQjrHl>nZ~gFn%68k z?rN5HEEZj~jEj74?#sjiuXQj|W4CXF2K>I_@-Wt;t0}?i0b)ZDwSnz(GHVOrfH53f*uQd)k@K>U-;;TN`Ho_M>Hlke$xethC3W(i zLHhc$Bf1jkt#Y3`a>^{yBA=87cIy{`re{XQpun=Vyln!z2(=!mVD&?yaJOCR$}t7- zETycKf>+}XUm_-}%jy%0kl9jh<_$I>BRgE4n=W+c)Q;AFwuzyaijdW_d?{p~ z*%O=NF${I@P1SDq(XR~-v4#_A(~r)W-J$~yUHoxs?^17(Aun@58DW-6!5+_z_ux19?=DX_;BwnJa>yq)MMk!zawaSXj==q?#E#qWioi|?XdMqIbuY1lK3tM^ z!}*;Qe#R!^oQg~{AYjfm=;bKl8$k8n6a59?g5eWjCAsAx(gBXu50V*57&NJW z%!Tr@%_Pew(p$y?2WPaRsB|V;F-xArUqSy%1k2#9%|uatdS?rZ2X9U}8PeaCHvWLe zp%P6c-`o(&g#zDvc2Xl0M)6B8U1eSpzvLLuY4XaFG>RyZunyT{cTF_DKBfM!#G+4B zQM$l0L>Zi~_tgt4Ga{fALELcgP{_dBA*{UYEOR8cz{7xvulM+5!C1Gb&X1h1gR?&) zu*k-r(198COr}#y&Zd1il#gV8QOF`)ShWwFx}h2d=ZX4iMn=VzJm##vv4QT%$> zHS+_0fOyj4j7Rk6q+>Vv5_tG)E!z%XtQZ8g5W_zig;YDTS$zQQAL?&7Ktb_=%p~Is zfB#o=)dI9yh{vOYV0EC4&{eo4E(#GiiNp`Zs@WJswfGg7+pwkN2cK^FJs#{&nLtsa z7XNHtCI2(s6pTIfIMgaw!tPgnkIllx&q)9{FMV|)sBfA$Py3K|^iv4lT|#ekjJNXO zPh7zGGxi6^UwP(~o8c%O%4T$1BxGfH+fZpuwVoYWd8Bpr$o$Bm&FI2S{fnM(?2!>EM#J&? z@>4tbkyb7d!8NS%6d>y)|90wv4JJ}c=Bd$RjwT~<#k<_pjRA3eStD4cv3(|NA*GA; zsKZYtIp&+5e3B6K%B3Jt?z3#>+;YHNye_j=eK_Pz^#}wgm}%6OlhtIG{awk>s?X_FhAru3vNQRk62|>DRqlsuZ!%&+;NonX+Ubw4rDteeA1@*%G%7Li3KnI$qRs+ zu&_W3UWRRXavEhB;K*yX{i+~|9dB9p{f2vh9V=9%Is8`EoZ9AB26QQY7D2>f{Cy!fW{c_X;Q`Yf%dy*Qw3wA8F^+T2FetWRdN1iewh4IoU=cSc1lsBN%T@86K|cPr ztWerXJ?UiJf-5f_k6N;g1U4`oeV7EoNsS;MD^E-EMv=$w`L1Qq&?|OCPaO#4AJs6f zIsyx`R~qeE3WS3TJpUJ>b~*TC|IByd-7A=H?wNSxlY+DM3K3a6wXZT&b>6F8TDD)D~a zvDz^eO3L-b7r27d1nXjy+e8dy9GSzisUI;+DNgQ-nN+@ko`UcGMnBRuF8JMK3?E51J-eoGRydXANtbm0Rwn0Q0hcYkCHiTor$l&NdrN2vwUhSmpU%Ht%vj z%AB6tKP!)HkN(N6Hx54>KlL2Gu5%^T5~r>94m(2**(Ab5L3xE7%RA$t+{chXu0fj`}GK=)-3S5{yN#Fe1xa7jL$z> zb|oZ#<9HvQOlEV2Eaok7X30#|)Q8Ff#ZVOu(LLQ>($2U?%#GOFD0_QdwS})^+LskQ z45bd}-J*W@{7n8c@h2uNN&m1RU=xf2#R`g5{2c>FD{OhBRPuFxevX=Bdoe}V(3#J` zfAVM(W~MxbcX=M|XDq?`n|9Nu<|i6a!oa0Vg;qcBysk=iY14^{;$wuMs#@C7Jx6Dh z(Or$}dkR5KO1t5e<;e#w86X#nF?ZJD8|ovNJ2Ur3SPgc5jj+go&Y?@GP36TXY?uoM z#eqFWCu1@n)6e)b$()iff-oFeNmVG}j^zmj-0kHulG$DwBGiCn+wmE+!K$7LZ>V@< zkOn_8I$H;XzY+LV?C#0;yoq=;bm)CuM5DHtFKO+!L9VTGIlbL%1G6OXAR%yp=&v&7 zziQ`p#euG29hHf6-Pic6kLjs)Z1u9y=_GdOCeWeT6 zm;_=%GqW{lLXR)smgBNOnE5AvLDT;GvzaHC2bDg=@W8f6 zK>r8v1c!Tdno&}^t?jTGz#N%|o8k>Swv}bz%;Yp_N-O+pwD?0~UiB^W*=~IjGEnw! zj7D@e$5>UcrGBE{yA)T#!nc?9C1(n3ws(CO{-%9XBr70Zsy) zMFpVvNDb$yw(UG>He;ja>?0-9jcY}l2|&precpzV@=^enRHkcxboEY2qbIgHcQuir zpPfe+3c#ZvOk2}F_%IwWlei=glB)^T#G{h9AmlDTDPU$Rbdm)WiuRTqaN!1%J-gej zH0?Xl#!)>fkT9w6CmU!-^dTPbB+Jz=V|P}ot(X)}U4ISPrJE(Jo~w0ScS-71AQMl| z?OH{)h{f2&wXEygyrhfS>`Xr>3HhrT^-?SWFs@&%0pHbx+&q!zDNe*W&DD=BTKGGTX9~Xbe7i^#~u9& zt4U0mFpJjh$1e~ay60uN5-L``XXHN&MQ-Z%(Pg=e2REJnmq%+={q$&`kFn?Kp1<6F(XJn!)G0EML`pOp(i8~Tyn})0Z z^_fN|g^`!Xj-}${GGi(>q;&XJG0ZYgvw`67L%PypyCU`PBa1YzT zkZ z;*gRhgmDB7P?)?)ubdvmAs)`~aO8?|bhb9^h$t_Ih_<%*7S*GL6I$`8(h7VP-DlXz zEqro#F}H!n`$|*tnf_>EifjeX^5_i&a5e?(^`ec{^Jf6m(Evx@(DU z)hl0${s37tmEA8eGb|#B>N_1Si0UhoM}_4+05oFKC~^#E5^*-e{xmQ+Y(l^p`CxYl zIW{mTT#Sec7K2E)xq%G`js*~2DKa(Ab{_nEb+81^aJfy=})eff<<5mgQ4jB#w59V?%+FqhnVR8Wn*J> z%yTo`+cfwKR}b@by}z2%L$hUukA*eH>1?>S{?%FVx=@Hwx^>z$4UE_rk@=*eP_fUr zg$wHKNiIpyI1S9u&6&M}bvMQVwK(mKA`7a}g-3^<27erM9=@r5$>Ru9(~=5*p7UDd zWO`EDKq7v);)j~7(12dlJACdBg<+`aVJvwt04K+isfR#{>U#$^F)mIsWd7CS=yPUs zoPu`CoOhgb5XdXDAaIERt0vG|Lg;a?c5sFywURluu6u|lml zD)W`|RXSyIviTq_XuNuEO#ZQiDDd3Qy)r6y!*kUdsaB{qdkeAp$y6`lzde^Rh`~y9 z-;?j0qN=u4Cn+KEv9?l9~6#WZ-_^Z6s%n9(GNHJ?q zq2u{_HTYTo$;+i%j$sCRK!rkL8M248tYnI0j@1*f9`m6ro}T}!BmUz!Odv_~1{-;t z&)S~~Pnv0x%@ma&y0TmuTE)>v9Q@YkaAynjj{g^-#z>W->c7@b~veEdK8hLOCD5JFW#zO7--clmM#LZ+BXcc<3;-|-t` zW2#Hc!(J;k;oY7g^CeHRvL>v3u6;4&dMBqFPd<-}2ma&PA%x+j)5+viI``99b)BC# zkFHuhjGwJrpr_LwryI9(4@@30HJRT2^QWJgbs7jx47U^lEI;pyj5~R!4^D&IofI>| zL*_bek3_)WtP`utY(@~SaM*q7!riKT;@_~5=qUaPsZ1i$vZ$7H<|3qEI*PaQ3~cQG zTBLJk>evQM6Hyjh(tk37Dd9s2OE9cjfoKBcqYFuj)ZCw+%mmu4)i1NyAq~tB_$KwpMNt&*T3)X@a)@FZhJZ94WQyr`W*|URMc|>&d_bjHLFe8G zv`}191-2Dg60^#x&<&v#K^-k=2(-g#aIr~oQAASq`;1!k zAOv!-+}Ta(vf&1;z~&C|+Q0S|MixxZnhY{ebSr$|$`}b3H%c3+O4-3qABw<5{G^zG zBX_A<4;Ql25!2yVlFdTq%o=&GYp4k6Vq%tWTROW!@-_UNg1aC=G9iNXb(=+=1o?6s zDD-k&ABW@V_g+~%@}x=t#XrA9?-hMqBz1*ji++kO_Hn^))20g2=AX3o!CP0V`Pt>* zZ70)`PZ4~xX#s9EF?MlKJAvGv-^U?C%9zd!fpteZ8`pc^DzkdIi;D4;+7a4!l=#aV z2Nb6h+R4&hDFW-6bLqQWT_nG|ddJ&057T#qoFMTDRw<~MKE8>Ioj>qrO69Tf>y10A{uR-r4mV-;C(28Ae;LTHJhbfnRFzBkPML7lkn?3@>xNjh-*}> za(e3wlV7!mPrzCza$K+MgQ0AMlL+H8{!T&9_&y7Z%u$#jB>p|ZcRH2aq|JyGWea)b*-cPsCVmc zu_lZzW2xf|=$R&ze-wtK!)z`AlFpcIP#!qKz5J8(0GJf=LsarBqBh!M(cU_ zrVBJ6J%?YBK<2qZjQVGcLIpQ<=)!|Xc#5mz%4`NJ(D)iO3ORZI%g6roD@`CrAl{cx zA4d=vK-BoYHl{u6-HO2Lo_OWnwYS(Gk*!O>JgQe2n#-6ydiHxH_mxbMK-0#t}?YzQ;vio7J@Ix;FIMeEMX`af)) zW02^~^S0NvZQC}^+O}=mw$9qdS=+X4+cw{Qe*drUmsDn^l2j$BnV#;e?}l+JLWNK) zK|L}s2^}@I7fnns1sS=DD$1h5GN>Re>>O(_Us;uyKbXBvn2Z4LK`H^YN=3&4k!sP@ z7B3EsqM%&P`BPSj<8lO2sebb_+_R>whG`00FB&o&+y9L&3YK1&-3KcZ&%AEw(hsN- z2?To)K~S%grvdn5KT?e(tR&cA-yc|wC{qf3CE)kyfBY2?Pf<1UbvE+nC1_*svv@ak zR=xNHC+5ZoxjTXk2JWTu2SrmReA0CkKiDnxC3XGAb!eYEdgxu`ch09q+kr#-SuORR z1ON6-Az6CND21k33vCDXTxhJGT}w0Zg7(LNMhL6sBqT9z%lmt6I^8{L@8%^0I{`{l zj%;}!DH^DS2hVbuDL9{{et8m31K|0Rw!zOD#KTj^fm!e;uoDQjd_*2ELp=*d&I{?; z{B)|`H@3n4G>w>}NPvP~%(-=I@y8UPLKo6-iSuck%XA5i8&MmNgo;B-~_n0=}KBG`7at6+M@Vruf!mh^AT z+F_cf$xX-ZrNKWAY*^CU#!h+?{_^NS_j+s`O&~euO9pN!INlV=*tR>8$w-D?BV8R} z1ii!Igh$cs9Kv%nLnSO((}^_fo0roc^a-7y`VNqsHKyZXxzW1`@G$F=GsWOb=gF8- zIXJgo_qV>p?r^=^>OOxsPA*9dG=hyeGY4IHRbn+{ZAT2ZaGgJj=nUPFy5wFcDtF!@ z!akgBMn^!SzNAyKsqMRv_Dcdy*a6>~gxV-Q#Ax@SFzT(bZG$g}frf9MOt1WhX;K}T zYPUTD3DtZmroV6Hy9M}r=X=8MVk!4KT%<{9x;3bWar7=tbN!i>v<>C#yo<^usIz6D zQKGLI+WARmCYT)+&y$i=j8HJJCzp79zml`zpc4C0_s(EHG^xr$k=&_ipnRDnu%~9# z!Xg7745t5lz+65lC2KZ>$^@}AW1&D2AN4p|3hwo;Cge{$rZ&OmMTY_<@5sabI1CLWg7W-T45)@f)||C24m7>OI-?%JQmGOb zKrF$QW(OAbWQI~CiRnzbbzqlhD&yulbELxFcBnH46v_4Ka=THP<4K{G3NSx9jM{>- z((g{KgB{mAU5F%rs3YRsOv;_sW2$@OPIfS3%mgOI*~Z4n2_#907I4<3B@&{wmDG9J zl|bUQC1hXrX(N%zr#?%uc^>YO)Kg{N=h{m4TqXZJhkvd}H~H^_&(t;IW5r=8--lgP zfyy)eUWRFWiv?nkk(N-Sh0@*3B z78QyO0niG9fHFsUGKEpsXL;<=zhX!bE(_2|5HL{idd&mhxF+)tcjXPbf4efMgyR1f zn@kj-7|@Lu$or>@r|0`a*+oVZ*NMZDlaW>#d_5lx#Z@%XS0~9Fj#Va5cN_W1V2WgO zQ$FtzZZGo2gLB(zVYCKwer!XCy>tJ9`jWb%#r4y?)2PGY>$TcAbP^`m=J)odm1WoY zZPQ{Ag(=BE@se6<$k)yDK2OFn05_SKwCd#_xn%3KT0FJF>o%K#&or>u+ONt42A!5^ zJ2@2?=kz0gC^;Z>%IRnxwF@HhEvGlrC$8ZGhEr){}5u?Bea=Ewl$&0EG0z+Cr_b@Ia5m9&p~Vn2+^AGNpQ~cG zuE&gLvy$1_YvS z#9M;35%u6AM325A#UP;#AbpMd)uN!%1Xgy;c)zHbAUltsh)};qxRi!;IxJ-17{U^( zg^CC~NNAjiD+T=2?gFfW_W~gNX=%xv$8b{fN76Is=Jc=L;QtwasR+ zV2uP_l~?J~2x3jaP$Z!E_WkS~zVaw8rN_a;1=kiE_5Z*mfTYta|Mk?Bam#>_0uS}%iV+5Rj*7nmk1)+H zRu}l2GAxBiJ7GpkE9p#wQDTwmEQErn+^CX2afIh4d~(RlqvXURqpEoB14%G%4lIz! zD4^Uru98SJ5R}O#vOou(KbFnf%byFajG4DY z*1AqWt)*%vN3Dgr&#A>exRbiaTlExQ4~FlI1+al`u8jVK+1cR&dOS)Md!QW;eRP*H z)lKm|kjxW#qnkm3ZR!+Q(h~E}Y52VS%di=o>hln!A(8jlS@T`twG(fpKCXO=m7;`2 z&>FE0(>0w9pY0|Yv|PK%pyrf;YmVw|ZZ;r(=s!-*@~6#EIMCPvBLYVQ(WXVLRQ` zUvhnizDleVDdt3O0H*0sx(#I_-Sh>-+^a=p!m!Lm=>uDvkKp__F5&WPkuvG!L4uA= zIr1JgLcW@AsBYi^=U1TvvDTu0O0IrgX~^HY`ERlkjaHVLSO!H42@FIMc{?Lyhl(}i z8tbr~%SG4r56yfnzXpkBQhRa6qLkj3njcXTO}3ryH6SI(Xl&Oq5U;0sb=n)LyAU2AuVFZ)X+nqKz$AUZUVcb4rrM^9IU(ucF)DM9 zh@)b!Etc&bI!b7R`tV4%ev+$_D|Y^4=5(M>#7upxMxY-ukH22ZWw`ukb#z*g_UFTTE&q z?UcI$wLg?7QEx7V@9=??Eagqy1MM!nyASMVN*mypqqgUv%S?o%#z;+Cl~+-2Jiak7 zk3LLMH{QnObB>37wRhAJ{Iu4-mbDq(T%^r^Mk{Mh?&m%K``yiiLupCP#V{|3sx-M0 zuKp@7`u#z;R9x3__T0Ft+sqJ_oF7MdC`R6uJbBqsxyhDO7_!K}2obUATNILdln#T; zRJmJ>0Yr;%xSn=1hQndTdtWo;j#yq(Zv>EsNYR+mHCBO%H!S!+mYC#-o~tg5;&@KJ zi6aLAn~ESK5Io?tzWJU3asi5ey|cbXqc7yUdRf=X?b#mt|49_C=(_+-;y6J4$=n=S zm4_Z#XB5xIT`2GUXK-0Hl9R*!*wdTJ`rO&Ky6{*pw~r6ll@AOwLz3^-G$w%B-z-ly z7-!O=Ew|w-`u~jq^FQ(Gz1^Q2-D!C;S~B15zKJNZKex{t{Vt_yPyvO<-;6f`;h;rdD=}D7Gif12H zLuyvL!op5f9d_qC#;$5wc1u`kozJ(E7VFZ&5d+GQ29`0(j!IJFajPb1AcaM`n^3q| zXx*){QK5pEWr>01xgA6H2YI(S zcqm&HT~lSE=75n3w%HjwFt@fMC3oj;oLkT1>9f;*|1b5+y_9$3t{)p4S6ev~JhKbr zUtq=+jr0|BJ%%^~MgMoC9ryPPXsm`~n7caEExpQxVuWqw{Q9CHRKtw`Y6wtvJI z7R%eg1#^oHjja!VH<-Ce5Sx_<0w7861}tb08?nVn>xxm5nXcVanj z6F3|9iyq!r5~wa=mL8@Jrf%r zUnJ9+9^rP1^>&s_Nu==2Z!QTd3}u!wEkKOI(fisOe=c7Dbxsq%GBRC?F7nI5rX z`9T3am{hN6p6Xp^=qR232;Y2PzIX!^y6)wMDK=Syqx@Bt0TXTaA_S$){sKgK zW7C8eG~EFN9q5=ivvu{;gHYEdH;eI2HAh5$E}g6L2lBUJ!MZ=#c})`$aH1T`-X1Ouaeo(x4|l64IPo6 zTyAEw&JW^6JXrJJ<^@6C#^dUUYKJ_WGWoO6|*OAOLCT zwAen!rHaMGm;=+odxD*JVcNkgDx~M2ut~k!TxOz0BWAJ4`QG^&$(@UzdN_)(wH$ht zX}N0p4PZ#M;^wfX(`LA`HB|k(%NeTP=&RQIh_89C;%7!*27=>QP5NsElUxqeDSpm- z1&7f|rm+h~yF!}8<>=`(8{FjPwecvDG3pij*GfE2!4#UOns*6PQuxj_rZ76ca{Umt z8bymY3Ke?X#m%@~onqy5o(h)u2rEfJr57aIm}!AF#|Xx%1CGhqGZuljT=j23^$GYb zAqSc54^8XJUm&9t9?@|sP*ePq#)D5)P1{UcfrH;iG0b^52)x=-gsN6)XlH++>BG8@ zfZ!Muql?kg&2$f`_g=< zOUJTe z?0cQ47HdYqg9Eh|Exs+HNOgD4!@34S{zsRq>Wd5W8_ew3s&$iBvl?xjAZ0Z35DDP+fk@WWU z#xsOiE8|@d^yRv@veJW}E_9m0Yg}btqhygE;;GcRL4bydCyMP&ko_1@o&}6Zg?iWW z5S+qD48qs=9$*^UywE}FoA{c2pmmt72lRrHAEHuqE!vtuH#W+(X2^pgwXOX+u9t9iNX z*>3pp#P@v-{&b!GzTnk+eae~nz8L!VdeOxZDzuVZ+ovo0?O9j(7nRTDXMh?13aQPG{g0>5 zYTjy87;5LWT^CAjAaxF@DI9tm9xJkGu!yRpfjP(B2ZZO?DKp%1%>>?_Ir+c4<^I&} z;1oogd9C9_&cFvb{@g#{+jrl+`bo)})Mz%U?QD4SFE=Y=GW`9}hp8Z6Nw^;;KhZ<^Xqn_`3XEQJxu%nA zd7bO(eZ&M2Y~kZ{C9~R}O8Eas{l)nS7vuPKWBMEF6SpG^{p7$w=o?=(JhZ$1jss*L(!&5L6({7PWT>vMrfx%YInpMD^N*)R**3NNi7qepA_2n3gj*<>YdMo}05Z$&>~ zLG`QjL4@AN=~d0azN2C#v`(UU7A#NE+wIv1r4Q0RN+GairE>;w--}oB9zl9gI9gD`&$Nw&!7&aCNgb1^1XMRneXkM zcgXxA8d%04-+Wgo7(<;FK{+Z$ik8*ckQUm>%)D7}*pO>wETqXKgP{8ojKCq5HTvLb zO^GZ5m5A$XN72Bx8u#_<)uoAi1+Cs+s++-0IE(0y0H8d)__Jo+^146E-g#(BL;&Hp z(o65Q&~ie68PjIdsNk(qVOzOv>3m{6-tO9%;FYE#o7AYa0({mOQ)FT)zF6;OTO$RB-%O-?=VD?zt(MXF>%3rQLxnT# zNc$utvQ5@UZ;ei%T3qK&*)=(O9*B(J=|tK{hpB6!br8ALfc5r) z{hn)QF#N~Z&TZ;e|fsx7Qf50#T@)XaQdMIn~m>2tW4EQHT1!#DB3@x$fV95T2EH=9H@Ac`$?fL7w zDtICI6*tDU9SAlg!l*}&9M~Yfq)y6Uv=a$z96_r7FTrt zyzYGv!1HAAGeqN7vM%vB#bRIB=#?Fk&wlLno9QiUOp|b}0V<<&)Wu*PPAb2B-6wm2 ztSbPdJpZS=t2{V4WCJ=HZm#0s0ubPCj#8ImPQHT&66pJ2&YbMfJtQwRsZ6I0W^fh! zP{Yxoc|RIXrx!O8Db8X~uah#VO+0oacZ02V{NFeA z{||aoIDXA|@%yyvXpi0l&t?wlgjH<1Z))YT|i#TC+q!>ryUG zVo}8tW_v?2)YX_X$VU`GGF2;#xL&AJR6j9I5<%i4YW^iY3Q1KM-5X9VNn#O-fhO2y zU6jB`okY1~PVshZ4AEM&x9ScFsQyF5NR(vZ%Jw-a6VuCL)^M078{zh34`RbdwUCj9 z5L1KHnUjtDb4jZy*}XR1uyNfNc{pc+V504Wwhh{#WXqBNb|l$gRDEe~GYAjH%aJ6{ zA36o})79sf>jS~B{mI?@v)bd3OVG;-^z@JJ$W%|{Lnt9eo_^bD*!3>qp=9tG@can< zIu=E|@iwS_9xRw54j%Bybzug?l6sMTBmq0@{nu~|dH^aUUF4Vt8_6yl?G1rv7x{k7HE8#Tb>f zv7`7i4aFtwvVj@z-n&y&XzybfK>dsmwJhKTL6f2k(G+sE()J%!Cd_G?rDvn=a)(Zk z$6%F9&cg@O8@5{C^5Sg{+rI^GbA#+2)Y+iY8KER%#0ED{ihZC%!dg)i-`vvt3(|jv zYa=DL<9&s*@oX}INwuK?6(e_y7ljGDu*V#-hfclwLQiSft1o2vfl@pX;OtJ`?&d9y zdbjVUHDiq)sloj%Jvi}#2#sEBQB-Y5r(QCCf(M%ZFMrb)lbNF~bYQ~45H^f{Q(8C! zdn=BcpXqMr%O>~70&{$+#*sn~R{1aXz}^@|3q)9HU1oUK z?C4}rJj%q-qoR-`YU6eNW~7x1e&BDLc(|5v(M z&RdD!ds5BENgJ=kkr*zEOf*V%pIF%-!vVC5C@IdGR*JBzaPXLUeh49v=>pAID2NRE zr56PMMdTq9qxr;t%_3{pxP1{138;??GMqR>1f~ zWgw4V_f0M^h)FFO?N}H!ebH^M_Y?~sl3=UFOfc&KD%Yjf?0T(fi%R*Ndq^l3~-Y9hwE4<|6Qu;YmN^n}YE{PXPHbj_x;CD-Bz#n7U;jhbRG^1_C z2&|vdJ|@HfRM{rb`e+iNLf<9Ue;#hK@0$2a&FBziaz#>c;;5?}q!~2^v^_~saNgmk zANzKEcP4i3NI8A-dQ#Vs1HCu;+fZeq^q3RA{e!(ir00;i1XC1Ob!Vs87{_3u5G}~T zwMFF29eYIwHCp+3SaMi5wime{@(anGPvtPYq~pVMU>iWkn-LO~?ey6n?J)4x0cT%$ z7`25plM|1oq*v_yAA5je^Eaz|IS=a}cILi$QbOWetRspz$V2a^ZA!^3wPpGLs76g} zQ;hKhi~G!OsFb3~cllM8hm7azTkc_zag7}*sKKR!3z)z5GEgWXZ^BI(C%#RTLw*Yk z1WP>1^TViZUGJu!yk*q+1!8{uHy3XHHx~kJ#C`AgY<7|hU%L-)iwyRzhgw#ZK+%{e zBd=;k{}z;QE*_>5p0mbae%9H*j=Jo9E}LgCz0B{eSf>!DT|EuyG@M+0nWas#WOamQ zdm+S@L|bkj=7RVGEOBS>$2cb{nzs{7VL^1(Ry;#38uMeJ`6#R}k|Qj@Tf-V`z?&uu zd*DZq83XDl(dTKKEjp{WPXn~KHf|rfolM=e?5PK+u2;%-;-aqEDqwn%jZ6zTMGJJ; zPkz?`)@`!OE)gdH?ZE*#Lu%PzZyial|o(}yI0NA1LG>r2YZlom^id5qN@jz zM^)}`=U;uDkPbsgwZBtnudE{dA-+>k87;sWe*40{7e9}fZNAlb(PlAIxdBwgjkNjJ z%qu}5=I{aaD zMb4~?4gH65+A`#G-_7(ZXRG>xf?`K1D#_D#`WjVmo z7V$eE3>a}E^SSxh|7%IIQhe6gs#&%^BtJ!VOdJ)|3iFmDYl49bUOVgb7;vLAEJyNx~reb+xrM{ zpgOP>DHE-M%5BNJ#U!$G2--5+7%(|o9`&*jYQWxSglwGm@W_ywxp`}{`&!kTkPSv7 z-Hf>9G6(MjdXLv?VJB&6u|(QNYouV!BHVMaXCI8{g*dc8+LjRI73{~3pEEpr3%6wp z_!TQP6?eadEaHKCtg-&3-72Ek3EfARr$I^eRQJfu2`a@C>!gnB8Rv zfy-hLvem7p;KiQ@}&ZPz6MFADH1bnbGGcz3M{Y7~5DF(dcRy~E1Sk0&N{i1~Bs*^kzO zn?PI3=s{qhN;0L<5>7WD{3cS5-0F1CPO%vtRG`S|q22UZs6uw=LI5=CdfK0QwNV1> zZfcTdVeq4w#TEL^b~t0?l67<=zL|>F)O#cB(T&iYiHNAiPI&2;x2(uRaFgpU@Wdnw z;j3vr6d)6ZZ?cMSbQ38XgxQWu>7=j|KwiU?^BD5 z>0SlXSvmt-KQg1~55Zb6vJ!Pm==Tloclm3hx%1NM7i_Lb0Z0aNG4!jswh_S6r{|za5#52;S`^n1(3eQW%GGbPM zkELk8zQs_&EEeuE;0uk0O!yoOlVFN>N$Va_?2LPy8$Pg@yUP`UjY1;r9RiFga5B@3gQI!Dy zbsD7&IKzbyjK5i4?Za6J7XkmGKJUH8qob-5c6Jy}=qnl7N)Dh2r1?oi57*glp^NYJ zNpxR8dRKwhv%cgH@u4EZ<01oe51j~pm@`*i_^5s9U~DEdXG95{1AKqcdouZ%^w)g5 zNDL?3f2+dyB~~qGoKD26sB-j2B>v|}?q@6a?E&*`3HITRyuC@-yvt~ljzfpVYfhA) zxpgleTrqqPL+Urty^iV1{J7M5|9+7!q<4cHnFD`?1Altj`n4j0zRdx`-1-~>_? zqA{v9Ih3=rgwVv%BHUI)RF9h))+)bHoy#HG3b4F-B)5MrOIFH zwR;|mHQ^h+QoTVYT|6u9ViO}#I{tT>d+25|53RKfn++$qz8|RPlGYw7^~OZ9+k^+w zFaFG9HAkpAmVL3i9>>N3(4v`(QR-{vDw7_d9~}VS4jnUjlPdsq-OL_`shr<6qgaln zM`Xnr!268-v#I7Yd9BUNMoymemV2!)Gzd_Or0g+0=Yux_1ONsGYANs!+uyYLkzm8e zGPoa*PtD7Jb_Sx5Z$3jhGX$7nf845p{B#p~Zd&9 zP`*)j#~f;`u^{;~+ALVl8-Q{;DeYOAt3~6IDPMd$J(CId__XYEEzZBVUM{gv@sAb` zAyOl95cVXAbt!(N@E|HuFgU|7>3(ahB{rK9Zf|7w1nNUn>AJfixO?1ZpZtgL1-1YO z>fKi5emvzS7wVBFFk&T=sT7tEv9$uq#1NcrHsDF~LkbeI1)UKjD3r|AU)#2Sg zl(=jr_;Q~|LaebgH?Z2rCNeltWk=7apn5Gpj)#H>@;iewEScXe<}Xz8h?W1AcNAmU zgf1uq?kc%se1AfXn>U>ij$>^>wXtWS4k5LVM;#|k{gWJPbXRjwVU+WUi`X_Xs@k;= zcd%E~>9lcl5J7TJb>yqV-aaqIJb1r?Nq|Oq7DkD`k=@;Yx)n0_-)cU$5is|&7uU5} zy>$qc1#k@XXT|nDl`&n~|8d$S3HyF{xmBg-sJ*_f*}{0xq?(+d`gTi5exgONsJ5?Y zZ|g|#s$T3XV@>C~tmkg>`d1&P;O<_^S;q9`yX9+94U8#OH#$o2%GyY~$E05s>p&W8 znT|eQ)^{3>q2RF`hZc_fpOK2Gs|~w+yp~cFV(}6aNQ@wD#dP*zuUzo8q|!me-VCuBHw~kW@cNrpo#+ z21?sIc%V2fBImb}k;u@Vun4q=Z#qCNvmLuWrX}T@j3}<;bPMpz`cl zrp9Ti_XA8O6Cw>ZDx+HRUqB(Kc4oyHQv%(fy=ue-YQ(2+tiC33z_jDNt2!wfR?AX$ zpALU`lzreiZZ{r6%{?bo%m(!$xiqg@q-v1tk9ix40&?gzGV+_C|3cbPYz-&|GOuJa zTIecq`VF|!V%hljKG_W2Vx$;>vy913h;sARICb#04#aOjXnFU|HSh%fbM@wJAUAGzehl~pJ)Sn$x)NtAtc;8(_Z`>z zM-%$=z;GV3skF^2f0Wy=)-5w_Ah$hV@)flc`9gQv!W~_(9DV2Wr&Ly^ihb!JFTSTr zcayR0az;S+Zi0_J+~X@Yz2D}FZ@(DN!(`VAuNYckODsi|WD7$NVuZK_IiDek^CjxK zCeC?iQW8H#7@4r0{53+G<^1w?e`H%Z1YmY;dIA{OGi*r(qKMDX!E>JG?ygh%pqp+2 z!S5x+La)-!17nDUAPVd}LJ-;ocH)isJz;f@e2s(owrjZ9&pb}DLVji7Il(1FJZ0o! zeupJQeDfdK#(8(3D)`Ofu=>QGSf~XnIF4AT>(> zngkA3I8B8i3avSQ_IRk&adk~S)tf<4nJR}Da#BB0-1Lw70Sqxj{>}6Gg9u)=?kgh_ z_SjM>y<6v6MQRn;1e?>?;t!a>cuCt}Et0Ld>=Kp;tZ607xQT=%u1YonbHM32{0U!Z zZ}I1+d$HTSYWgkwG;X|>h{-0c#q8jpS@9l$q)4K553#wwWx#fkuQy*zVneYz*_Ot3$+wZ6@qv}OKyKmQI*8)U@poErJjZ(l88yFT=+ z-SZOr+@9Xkff^JgaC`c9@&|!K4q;F%8>c4uoPkc@fjz;^nS|uQD7qT_d~<KS+d`Ga02{h9;qI7(oo<01v14F`gMwN+3K4AUQ9i4mpedejT*F~+MI1QWJwSN~ z!0MNO{npH~TSPR6%WSr9GmyVQ=;?9&Rpg1D%i3KXdH4lVd+duBAX~0VVj3d<6XoIK z|BWF`%KXpr+c4Tw$~Sm)tYBmjKgOVs2-v7R|sNVKP7(~^Z=-iwH?Gt|7Fzb*ZrIA(;zVc{4+ zuko3l77e5gYb0g`XDK~VRc?<>$zqCjvO`DX?yA~^PUY<&eG0a|b5YV=oV|rw#K7bF zuiTTMp9%-S+iOnldjS4;Iops$jp`ZN`!3_tOofWgUKj&;svvgN(+F_J<6Rja%SL}) z0Uyostm7C)94}}6fzp)AiF7J_!}E61XcoK z<&7`~SQ9$$ZDO=ee|w__?k0Onfwe16N$y#KHlU>-1owCh*4UCo`iWAZ;81;F+r?9p zQ;u|q6L>g`PsVt&E<0zL8Wc_b!B!`H3dfH4C4y}s%dEf{<2 z|M5%KhMOKF@N=V5aZe+p=_DToLOrG2So50W8?f)S8Ud7y65Ynv{fgct^c&cF<=8pp zRah#!#WPh7zYQ5Wdc1J(E}=kXiD1e?_xFLUTyS6YhZDu3B^ph_fd5C4o9G(Ie1=9f z?Tn*`bd0PeyAd6bH0y`Coh#lylT-M17zKZw8b13$LZ{H{C-wtv#?>jZ*@pw*6G5F# zSJSFE_Eg4GJ5q}OI$fu_ zj(zV$UHntzzWOg;FFyv`L$c);)4+>>e0B|!p;~SEg-69e^Sog(P<+>gL>zoYbgra& zT`yF#qCE#GJI3`1?5k>p!O~UpE`iC4GR**N7o&>gW~u!JSjt{(&e%bSmM2#nIb6t> zDcizY+hvX|yV$5YBxgp`5f`(D@nxKLm4Q}nOO5K^v zNs`;Bk*cx8xAfIiYsFco@Y)X<_`nH9QdJ0N1UG&AhIrG6>8DA^eC(1D6a zaV$@J*0EtmRoS=%E${V=7g1^3=)WjYHj(3qL2KF3Oml35|A9Ky!k4)pFO}O9b{y+JR={G?rpOY)!!N&_Oo# z;Aysw$OM+vx~^931UXuEo-A1k(mD`eM9V3VL(~Wx+wka=m?M$zDz-zXN!X9ASPw2q zTPJxD4|6h@O@z~lNTdsq5hahV*IGv2)0Ft_7Pw|!vwYQ* z$FW3Gq`H1^k(5c--O*5cg+cn{K zm8MgHNbl8;(p|%`r5MhP{cnww)Ns)f7ZcXyWz-X06?#XC5{v7;YF6|Z^#+ZT5+gw4QaEFH{VXW?Sd@E@~s z6jL~S5YMD0)k3Z}|EJ!DhVx;$)Fgtc)pL|=G1NGb`n`4Y0o^0dTs1mJxj@Ixd-NQR zSzVyZ&DP0QqC*z8GTbP&qR$jjq_c~-2y;(#1!S2xJG?uo`UP#`fp(#T7e?*Cf-i5^ zuLR3#;jVIUU_bOze+$jGuz0zyo+HCjGbd4Vw=XT{86#0QCQ(z@2T-(^ne}+?+wQb6 zW?c$@XhKi#>CwlY;$;E;^f4Y(!8Sw@UvK=;+~n~^sq&)(-r4nS3*)Yp@<6c57m+2l zM_g$^iR`Prpok3fN_yF5wFsd1TJQJB4=$4j9S8>Tk2S)1U63?39S3=%zVWY3U75E= ze2xVrJC7{nycE-x%dwo-C=`<(Ksk3Z@pxFu=^#wL5PTaES$phuR5~namu)CJy@Q{5 ze1(3=e(Of_6DQR3$4CiOf^oaR2%BSM?qPwnnzS$on8e*oXaJ%BvoaJ_ilM;0+%P(! zRuR~Ir<3myIL=3ARf(R%j{HL%9-}*J^$*n4Ks{9bIOZ%jj0rIz-_fmSa?1*oPz9#`3jfRcQI8;c^Y!2SJ=P9IWpt6Is7W3B3Qb z`==CMiE(-lYZPQ_Z^X&dM3KN)@Q?2~Sy;?>d}q(}Gwsd4w=p9Mf7i_cyjb>i!(;*t ztxW%l4;cTnbUr>(519TW$LcreJ4}Nl?N4KbOzvvn}PSFbI-zix40j9{UJQS8Ch08E<+dO;mPjtt~ z9r_8SDic3{QFkOw)E#<_ zzuRqv(QU(MQ8Q^aTDZ0n{82Cn1_k?%9gR<+Q^5W4rYOY2d0MAaNbE<%zGW9#RV*$Q zqC1Zq?fH-OZQ)L&9+9ooDE?^k(JVg7Im3SXrLd&#w*gTU!3}@4^XIHyc{11<2TTwB zi-0%QrYw^vCOsjMm@tfsV>e^h{A3 z-OXg>S{H_*o_)DU%uQ{bO!Cw9)durpq$-QB-|#`km;h zzh#l4cj&s!XqH`3_GnhHg-mrzsa}0 zFOA&h-Jh-CxY?_zutuIOJ4AluVYWK>%-E9J`{kbYyCmSTlZ?(x6R1%zJVgr{VkaYf zPrwst0XGCqNjS9v%>_gGXp`;69LnbFOA!n{JADiYHRwrf8_ZH|gJ7)D0=lknoS)@`XW_ zRpz{jo=}jsPU0W;zRY-Hhu54=4&`&GA;z~7Iu}7b!d$QyXx|XE*RPuBQ%X{{1VdV+ z5h8p93_@N<~||>cOIN}SgnG^H3Il|{b>SPEa_!`$4OenCWI}FQ&%3&S0G_D1IUW?p^PYb6`*<)((z@U6|Kn> zhw>5wh22&)jZ3Fo(Yg@=H_zhFYnnx*YNG7$TV`0lCJ?-gw#YI|S)iI6WxzlWz#A6~ zstrymBNUC@qoyP(k$d_BR&o`T?|y0Je;x@iKc4}i)(wN5E5g-Vv&;rVP;C>rC+u)- z|9OGi{>zDp{}{9TQuAFXH66u``;_1AdhouHaCJ?v$uTq=v3?-A;xNs$i*nHh{!3t} zkNxq1nS$1d#NzGPX{b^##gNZ|={^)xTTi#KZ@|07K^hSRL&93EOHKPx)*AUhyRW}X zwSOp3MAk$w*oT^+j#CG-@cT1~qh#ipgjrK^f<~xS7TKg$=tK+-zOibZ1D^~Kh`%YW zvU%U!*N*Z~)sy7IZwy8($u%%tiG8QgU;BQ;866v6C{3o24d1C>C=E{H(aLDLgEF^C z|FcZ9^gwpM^s-VCdQu}6^@6^$9+bv?7;L}j2Icjv7otWY5I-~QeaXAmP&RL}`w-$! z;=!a9jsZ+U{*o4@^QzCKBx|^X=vka$!6t#Ow?g845kcNQib=4n`22t{lAP_D{9~3Y zunmK%vIYmGzsA(#h;MXh_xpDa`6Q%1Ct7^DEq%8Wuj@@D=33Qu@*s8G>3jUDCj=e+ zw_@nO$?$(z*u8$kd}g$jJuL8^D(U|KtjWgHQ6?5An8s*hS7iJ@0JuO$zsSGRP$mc` znl;;aVt#s3r8DNIPg5$L1b)l4JUgkrAV6Kv< z6EtVRe0kTvbxNDFf=a<)s};-ZfX~@f-g#U>`v^YQwcJ&_gg#;n+QnzgNe6KZ^Co|! zDVhDc=cE;yI^EL!i!Ed{JWA(`>PHx=d`T%PwhBK9O)Gj0Ym?O4B=rP|)t~eIKk}X5 z_K`{21j9P;`*ZZxEtGh-nBhTC#`sjz?y$E2PyCU)p{a?%a1Q}8L8olUpT8S+UWNw0 z+9bWQAs-BGcm%&#O1zLA14NSOm<@^EZiqP`Z0kityh8+E84|tS@YKtU6B=^7TDc<8 z+YNin(E-$oq3CNtSLQ$_Syc_SqEEKGhQ9IRHR^IO~|CF?+`5G<>|MO78T1qE`5FUcztke zN}EH(YQMt(hUyc=3xt+%a_M<=T%p2pHWlEm#ntn9!jAA1xK;WO&p$ZV`Xl%!b2s?P zdbH?kU~@>awl}H@y8i2fqtUHxvnw{w&bQ{eL&mi)T)e{%FhypAvlwpm4LS#yp@N+J zp|w``aKXd9zAc+TSQh~kY`~DJ61W^U!hH5N{&*$%CVZn|*`F3hqbVm>nK5#qEZphns!VVRDEtGf!yP zR4+XoJ>2XYOj*=nLeM9+j?G{hZuSjY9H}FWn#_@NCd;3NP`~{X9gm>y$S1-Nd0-i@ zk;x!(&4YEVgrmo6WRGEdOD8HPmPf~Yne_13^hZ1d<>&}i>c@=evG{p{K-#5ca7ML9d6%A(TM21X***Lh2xiB`E&4Xb&QBjb?feMDq%rG5{ zyutLGTzceipMoKyGjYN&9^PoV^w#b+ZDhz`z)o98BEg^t3S>L%kMoU;R!os@3x-Tf ztP4SfZ0G&)g%zjMZrWH_#31IjD2%oeb>xrJ;(R0jw{13UA^ZS?^7!1&$3NhFF<%I9 zjo(>w@AYlBIpF<#!C+AAFWX`N{pJuACEF98GR6MY=RZiwK1ERnoNv7Thu!8-C`!Vh zJpSMd3m@lo&mH8+D7Pmxn6QQ0FGXS4#J8C?aW5W_h=4jPo*X-9G~vrV9OFOe-I@xs z`sMp(QF@)4&Waw@boM!DzpO2Q!- zN?ybbk$FTG0oo2DBc3I7WrkgkJS`IwhYl&}YDLM4m|>z}9}caRIqeI9lORbEGfZ?X z=uc4lx|~?eD9yh}llsE3w>u3i$J|Z%N%?|Y}xMh9IKCF1Tl0iwr=k&8B%cLRHa4rBDCnPd;sAUTMDnTAwvE(~XZjd+9^eq+$$|0X7r z&8h-wmtr${^YQnljO0zJk`%I-GGjMB^sAz8t$Tzgdr{TCZP}xGY@lR8^} zU^qLe$O-~Xsat{e*`i23BO@V|g|M7_J6;C8kBkCx$hnNVhFc5~p9-8?!>uPN8vMR5 zeC_-GyARLYR>{l_a1LsGV3aX+d2()RO5qh*(*~qXF>JtOETBO7|S#2Kshl`jHWus}5u zEa{*QviwV=#JgaE#eenJ`mTxWf_`a4u96E{1%bBDkO3-o@t8&v^MmABr03AkyfZ(Ldie{FLBh0(Z{BwbdP;YJ2y z3E6!0njqa({WMTxO=<8A+P`pbFkz04ut91)+{znxVx0Ye-=$E}OP5XX+3qgzo!Ul! z9S||8e@4n()w^p0-D~pLnb_Z(uvorDCA;fuf|062qM$`>xs_lk+*Ml>_b_>;@EGA^ zsqhRqGA8jb-YjT zGz;DoVUveUbY!svG}2HkA*s}j$$AuyX<|jT ze1_&E*2;N|S*e1(C>g87M_f6Nt`EcTM;il|F;Qtv@~IJ2GK3_-hy|`U%eZmQ|YK4d?H9>L;h;r5WUr$ zE5Q|KMtDKm1%_}aFv3gy?YttN8NMQl|Jr&rH^K`Oyi|k*ZSclD$Sd-Z>?@*82Q589 zJ)o;X^vZ_BDmOIu2p^_(_b3|%&Lh4^%;ggI2qV0PgSqJR_?j5Z&959eoH0uxms|Gm zq{Q7EJ$k%49o#2#q_(JQ#SgT|k+Reqosuk6E?Yq=#{C!h_mM;#{~fMWc9-NIRG0NU z7~_?^p!03hBycCd2StRrS;1RbVcnR|Lw{$4^r18}9bM`NLAKpc|yxd$$cD0*(%_M~6?b_541dhtS z)S+M#9hs+j{>tHX|LyPihMZql4w~oJjghuW1gsJPmIzn|FP_8|Q-XjxX;r5|U9~8kpsCMjd zKwys0xID?((AbmU67G&y$>psJjU`pUd#R68%miB}+DTH*ek3;hW1^J(Xef;HgAou4rLwI&f~aIj5|GOOE&&|E}?`^a+zH{%1e&@ZxXJ z3H3~I&TM3`l3S|r17ndcZxuS#kaM;&85xE3-4BkH&|s=xxlJtymhs~B{qZ1P zNVnZ13r<%cSjMY^$z~j*0x5EkQ&)7$VO*#O2kkWC(TY?cnhCw_(T#v zZnDoKNOZjmJ$>A7+L>50>o=cSzusN2TXzk~?`}xG>=LaZ`R#<{zwqzB`$nzGC0L3%~B1>=ALO2IEdR5N)E)Mlz^X7av&VJ;iQrS-GyX0rQ|?&!3`(%8R#y! z;iJeiIIcLJL4%>JDPJSXP@!J6Nt_xT$t8B-1RMb4x$$+vzVQKE)#13u6!H~f!<%EX zH>N&X4be?dsw@Pt;Ti3Os5CIGoj^~@uHcMz0zIi@IjNlx?p)63x~zyG)P%g|!z&`h zYa|!=T;D%e=pa;Au-eq+M`l8a9Z$qgVE+DrlEMXlDIe4Bp9u|wm6Y&kqy!}v8xQR1Ogb*DX z=9>k_Bh6My?dMrTntaei(n*G{5HM$#791A;RXTGW^t29oN;k>d@1zt3Gg&|Qr@rwI zep1e4Y38@C7DZ|(GBIV{ga~g5V@!pWPD!DL+6;Po3n?#o9$A59vi=mSMTbBQHKDJ( zqCBC}iW6m}SS^|?D;3ou4E>aJ4yd8Kx}p`UMLnuXlFdOy%W`A+9E)lZq_fc%DrL7* zRV@PLlKz)}lan>Ak{UW$tBO}=qE>~Y$IF9B9rz%~Pt8+9w|lBrkeIqVmL;{>Ocq@p z)1!Kjl3DVPr5o>JRPxqD9*gf6BRaD~B(LOsFM`QNk;!s*P!3~tFnNt#{Jp%QF6Ol` zUCp<$l{sQY3PsPc@D$-bJ7012!ye5KgC7xn0c?UCdVLDZesP(mLJC52^%UBl9uWX~{J8!JXbNn!b+p`IkrcG$9_RT@eP z%U5i7Xfff6`@PhX;);^OGDCa<>U~2Iuc2Z>`C;fM_}61IwPD!7qo@qUPjE{l^xze02$Okv>zR3tkvkRF_GExF4`ZG$XLRYwaJ{ zYZUYS z)eoo2i=0!k?D%66%Okp}sx`x7XQN*@KRYV-gY%5n=(OAN#}7-K#l!qo3Psj<`|-8h zypn|USN2;ur{4-*UHq+3mQ{Bwek)@`>*W?VTmP+`Z|%1-k(eB4t%5IAXoX55Ddwq= z2#bj+G#6bbj*3KBRD-2BDsN@ZX28n#o|CEX@qiLjB}Nt#VUgg)L|@S@1%ooN#_b|8 z2lxw#IjE}^1UE^<#p9QWInkaC%T-#ds+}4?4k0NlHxNgKxN4D@gh9PSJby@#LJT#Z zKgCh;bI9MHYLtTFf}cmbhlHs_n(6yfMbogq44IGn^Yg|NE)qQMUk|7$<+Iq%QRcI7m93}Ori@Y7lXGFW%$PS=E#y)|In?dcEGkiiJO zfo#Y}l&=V0xAnW9wk)p}hJ2R0Aw*J*rv`<5^)K>@d}z5L(rZds?=X@;U8`)!r;{7@ z$S8_;(ZOq+V%@>BVShLXWg{y_)*Tiy9$$Ad4=f+LVBLXBXB@)LS@pp(3$)_d+O}{I zad0@7C-s4XWn|rD0^?4`;uc?}P@Dae9}YBNUJZBt5p9k=MxIp&# z`L9!H#cY{h$v%B{%w*+}oT45v#w&S^{7P5z>>|$&@rLIRJ-6uFt4izZ*|1)?AWu-G zb-U&iS6bZLUp>G2&R5T`ya?5FDGakY{NAtkF7h`%|Es^{ugu~(>U##$GkCs5W^WOm z!$m+E07c3s z+mIsUjyk}_;F&QAk<9VV+;f;ym)ZAt@69+sz=RY`rLYqI%&%4n!6Yh4fCSB-FcSkZ z86}RACg(S9_FDb_yuZ(z1T#Db zpoZ*pRIHV{M3zO5%cH$`mhl`;B)vk_Fq9<@8J_oO0VQr%Xexy!b9H!bC@X(m(Yc~* zV57_Ye}b$t^=t`)Wl6+)9q8oXoseAqD|S7*y21JFR}ty@2IqG+IN#v>h4d7}qPUO! z@E`w(Z%S~k8M-AYOk2aUBe20khFWa#!E0W7Fwa9`FEUR6SR%N$>bbB9g5s+W>G1ub z4W#R+qtmLZBq*+W6Rev~O1`jeLB}{X;oPPk_AE04rnW~QU~!Cu^C$>nJD@Z>Adb0S z#ElrHMr~c_bq^-B5Y32FONcNC_C|1r<}$E<&!=)D*w|CuVJkSKe-{U-AwnDTFa)ys zy0LIxoLobMQL@#@KBE$B7Icb~uW z{By57r*K}0sY?uf?Y2G(PIV9STkEr%<5YK*J6odeO-s}bU(OB6owmgms8(8*7Xz*7 zPIn2{`_}qwYkelzJZAvU+s|q1vhfRz+$Z1Bmd$KQ@h>=A62@>&hlVoDEL(15E$7*C zqk0)>(CLg<2;{~y*He1js3ECvmHYjR^f<|MP5aaM&a8dphhhAb?I!uI?u2DM?XN{% zG5Jg{PfQQPD#+nd`q)|ncU@mCR=mFB<(JcknSRXlS#mMTdv_5!VwdMzB9iJDoy__; zCg+Xiuz?smW-Da)JylGr9i~IOY3V#2_Z8$z2X~_h+t%Hp&A`1L1NQ^J^xdEQSxaTE z16;{)IU_J!!KW7MCf`xuy~2!xjXO z=+>brRB$R1w#eAg)0TTv?7Vi!}(r_Kb28VSry%0kGwJVrVg?PNN{uEpDp46~5u zpQh%=&;;QWX1`R9h@7lqY6&%)Bsk)bH7}=)Ot(_fm${+_A~?q3%`VSdK8`kKs4XJV zY8~AC=`bbK93|VH40MzP17A72zdh)`G9Y|S$qLQd>F;)9`uo!!8bGTunEWLQ`o>08>U7Ed6^x?PmPU8)^^|4;uovk!Gh zUhk?dAiIsZh}VQM!NoBuDqLzRxh&7{R!l(d2&0pXKx~%877xSVStl&8TK1zvIaKyj z+E`%pM4I^)_@>U_loH8f^BA313M$1)!f3vziN*?!4`>{hV{~|0&wN%ljLv!7p9n^; zyG5Jre?7MUU;5eK{%gKv38Rr^O#_@s;ccj4Hy9|$+F@SW8)|8+`(Ep~Uxy5v<9_Z%g+2>(B6i&k!_AeoA@^g+D8C$=!vnk-)-I3fhIK((cZ)V`z8-A;AHVLwd)`+X z)>iPPY7>%KhK|-P+nO{XY8*C&pt=dR+KP;oVO8b^W=3{0toQ7h6)M9($Kq{K3MRuE zO%`D$O9&ho1+6_9trSd#H3ebR3z&h(&J(v#Fd5c386YV<`NXok+M0sN`3=Fto}4ih ztTJ~x1(Wj|gupNvFg4B^ZfMVQLP2L(%iN42ASkJ2>qv7;7t$Hlkc8`9&U;caMJ90K z6+I3_@rJqY`4y6&y4{_=VwD+BsP03#na#cy#iMbp0E}K60@)MQVeF^MFzsSi=!?<(Df0MXawzTn7wtH(!8{fECv_%K| zK>XqV{Xc(Kl@jFi+g@ThTYv-A09J=%*3pz;#aNf21^8-NoGe(LgKz;C`agLZ_Ir8U}gc^QrGRw?h`LR+Cnnf%J{WGn6BJ>cLpwnSySl%Jb zE@AW*74bgcF30I_4|u#=xcx;x2#r77m|oZFDmf$0-k=$V*CZD8g9yT!KX$&VO!R`a z-Ov;IkF*0pb4z$rX!jIsG7Zz`b-p5wZmL5XKlbC&q1MII&HT5&$a&kknO>GNC#n7} zwVp3+jHf>X#Ro1hmT%(y0#_>)p@(S=5gz!>4RCYa0PAki<_5SPH^8qv`=`F;`#vuUu+y zn2)p;uR5S915^u+2zu5W!wS{mSZnL@0iCe`pc#C7)gdIy!DjEP0_PV|QNX7RT+5GR z-?w3s{S_d}eclPKMsS$S*<#6toBCUbo?Yd>bKMbcLoxF7=ZGEb;h0DTRpQ!kNmVq6 zeWo_-)E*h+d_*#o$?RJev|Ym90&^V|a?ZX7>R)2t ziyr9?ht(7j^lsx~!TP`4*S+owy2YW_e?hM)4!z{U*g$UmExIHQ{oqso(8vB@0yzyl z7|2i{4~=~B;h*aYk%$eCaZcdEuju|vr)MvybX;ehUbYW5Du&nC#F5ov#! zAdEj1q-hC3KvELq7t93PVb8`YbR*aB66b=nk4^BgwD1lfHw7^En}`(7;Dk1Ubh8m% zkuYN~?I=q&2mk6kg|R16I>H&VE{(`R9MVn1bvLAY@<Un z(j`G6oe8#kZYRhNNWUxuMOP0M&@t`l*rGXLAxNZ)BWhWZluDznn;@H}ra2b{D-vkJ z#fm311eB8?qq-)DFfkLBF=A&_qe)OT_;8S542OeUPJ5wL`gmk@lz6}^IA!yr%u1yZ zQC9yh^pOyJcC$-ON|+sH(q5vvlYM^u?TND^rViDmnBCu>BRZmqANq(IHT5pr>{nv* z3Qh+u&~Jh7XWaC5bU zb+>5K60S!}_|bp-2k!iyWDhI5joHJ2>xN~zzMLM6sw35x zn*<3%CO8~XUL@8l%9+*kngoeLCWrzk?RGH?eVYreMIkc|1z?tat_fEJLPApsS$-lO zR|_nbe{bFHc1P!c9Cn%eQi5oyStA^Z8NnC4r}N%fVRuP2uEGcAyq$0%W+k%{nD*XU zQBTtTW%?An!xzzo9dqY0e8-nKdqqo(2P2mza%u=e$>pBb@PKZ}a&wVo-7VUX<$93i z1HbZ)fBM(UtYWi2HBQ0L{2l}uG@FJ)Xm}kq1!ReWXgdUJ1cGQ%t=32qB(j)bhvo>9 z1KhPRMa95Lf$ulb$|}Z~RnG)%mg|zHgtnp`<|AUSK=BIOFJW=A@m#m~X?6{P>g%@rf>#(9Ryz#a zU*xA0am}rd#G}w@Z1uXoT8TEpr)ELH+R*!Y(EGQ2#{c$7|5LIH^=6dl9dHaB8kO~S zGJx=T!~nblxS@#N9oi=Oj2xnqNAJF=H9Z))t)u3K9=$v04HEuD+r&V$nWpyWjW$*A zF2mhA1$Q33VZ5-9G|{ep^iIWQbo#%7dS@e`1=gKiRe1Axu6_pZDx@zhW{%GpAg z^YBJ9)7gPyR{AWT3My7^2WDw9u(lqn-B`L|rK-grFCPJayt%1}WK-D5?tEc4XJ)o! zr~3UR&Jaya2`0of(b!^=&l{hSk{=XoDHR1Xim0CM;Td6`D++cP#Ycm+x5C=Z+u6@F zNm}>a+){wne>blw1!$=S*jPga?oPK7=trd#q+;5|j^C5JXN9 z(pz~lhp;{tRAZx>Bh*-1o4m99EpNNdsw ziySA}ug%h%bg1m7bX+{ram_2Pvs$!3pwek8VCun>PooFFt>zUEeu8_sJmzSIB`a^a zU0tlfhaKU*ScTUBywjJXe|YT{tfme9*F(#dqyM^Fw4wj{TXYHf|FL)f!k_$z*FsuU zx9^G7%yy~+eMSOxkN(kA25OGFIC%6&5zLjRMZt%x1;kT*Au{w|ofb9hk+pVCNs%~Y z)IDs>$z&(5oLyJ4lK}M$Gll|rVX6yi?=^#IHYM7r3nB4oq&Ap3QvOY9!2D97B$#qw zf1xClbvP1F^-4lvVQUKrXHtW55vZ98g{0Gx(<)>=p(=?eGL!t$w#Sd!Awmj;((#jM zBMW5<%=_b4*tfRJQkRrYNBdlvlEC6&;J#`F?JejG>W>I60(O_Mt?NAk>i}od3DyJ7 zrW2e|FkhYBAHMW&z5N|um~?_;&DYI50=foITz{k~iDooa6$mN9CRp3FIin!9i?bU= zKf#Bc1PMAOh&B@RIHQUXEE{UZCP98QOb}J4p}W%lAU&XZ+k~lUWJJLlU@y=JnkSCv z1^Fd0!Pq9E1-mtque~630uw}%F#AcsBY>h>7A|=~dIU`H$ZwXUHCIZhfck#Z2KqMf9_Lr91~ zqy*|6TV&+3uMNJ7a*;VNN_9lmXX5a(J%rL9WV!{noI-9~QbWQ}u_INXTgXm*P*wV* z^_0p9*Na`xt}ZJmg;RnZq2~3Cf;o$X6Isk+A)XEVM*|3_AV6WcL_tuHcl$$Oxuk{E zL72ka{%|E^F$)M}ZW~#58c80sP<1RSC|H%^#Y@8y;W0mh8(Vve8_?cj-7VT26YKTF zOB@q_<#+z%XZ>^vOX$rQD zXc!?Qjx_-faeaq&nF89#@h(9-Q$o1%3REs3(q)E zwPNQjz-xudwo;Og36lh28QNY^a$lntRWYVlLck)z(l?HY4q&CES3=^Mqq|igDh<<> zN=R3O+Ed1at{5uJ!x=q<8sLG}u%Exl^4ZLUfc5g{)eypnNqL)h;@Ru)PFx<92oLuL z{x>&Se%&qF!2f!{zpG9^_e;wjh^q#FH6)fs<_hN__s2_qTWO6kaw zB)53(6{tv6o!N(2RM>RuA=DXBTgLR`^7BM$hWrk1M($n^wdPV!Msj(;jUnTXct+({ zQi?_et8*)-JW(ULH{@{oEMyyqK5VqHy6hnpQ%Ovw?qxBfk{0j zY8-a>U~W3li>Eu1g^DX}U7c-laH)+#Pky@l+)H=vo}RyxdpT|zb@`?P@fO`bd3O27 z*MqzJu0Qx+++B2lAm0!+Hli^5-l*B4h3`#luz|C`C;b|=P_ucI^&ks1go5yEpdPMN zL_EfV434zmoSM|8xg)?s?64nL-bbwpbZ&*9N7()VmUD4e)U0yPNAv)j{2CqNFomGk zfpArrmq{0dI%&W&7cWny1N9a1)Kn57kz&Y92f}By5)Toey9j(OVs^y7`OexD^Zx_{ zE6sqIOoR}Dg=j;<)OZvGT|bX4>u?$9roD?L?lVshSJui)8l?lL5wmGoq=4f1%;B#& z0<&{R|~ho-Wg_Ak{320Suy0D()l{}?_qDprOF@RqoYj#B;L zdYWHzc2e3T-_BSi!Fo!BUpBnm@RkZ0o3_65#nX$sd!|uf<&_st_umdR}?;Qr_XWbf3&Yt7JSn{W zg%ABtKKNY;Z?$g70uG^y=;;ntJPfw!Hrd2m6NEAz2;#J@l`9s{OZ?idW=%T^@(>DF z;0a^E_f5RD5mAG{SO)|_VOk0jZ=;}S?iB24gA+#6i2PKVAiVNSX5ffulvM~4Z%wdA zE`#U$N0`#quuQruWdUW(zw%88y|@^dh{4OZ=MfuL!162|4nGeoa4 z%gEHWdF-za3pm%I7IB^XIRo2XRQtPCLOgqux__Pf3U4_Cxpn>0>GR(9Lc*Ds?qmJA zx4)2#JB2|NGbo#o5(f1ZNr81=8(8A{&981N6$m`Ipy6O=uevAr7cAl!SAjIGwD^cF!(mDp`mATXxN_ z2&fGt%fEt^rOmwn)oF9F6mhzo#nvN47duX^avx`L8!X#j!1G4Ab6JX_cp#hs&Ue&V z+>*fPY7pcVKHvpIQuJ+2Z!~xK3S6Lj*ghN!xUkhAtjC2buR#dknT1=lLHGJwbV>T( z=Y0Ps{YT%Dpj+*@(i-nuG{K@Zenezg5hodZ-rnm_Qx9mGHrol^WaFo3D*nV>+yQ4x^VM79pl4LA0fh1ay3@&cYkUCppFnqq zkxNH_x5m+}n@u+1Erch(bOdD9IF5~ea!4LEpTWBfa%&u^hkD+JYDDTM&~29zoVQd( zNFdZ?txc;-W7~qBhZHz|$O1yaQUThKwKoN>vi-W*@(ik>e9?vH6ZAIdzC!3my`a3@ zyv%Tv-ewbTJdcr52*3`v(ncxt+6!HWkI)9*F2nNig|1)v;rIWJ-z8<%y)R1a4SeH_#D zB?<07@4J>poGDjIjb#^|l|X@u?v5(@q4HlswvO=eBX!n9_!!}9cfcrm$7ABc$q1`u z$zfbi)-0ma=p^d)N3z)^;cV@}lfuz_HKTD1gw^1+AuO&g37?I$51Drl6RZx8_QL0T zGmqEXURQ1&ue(K?dA$A>U1A>pv7dPF-~aT*1bNh7iPgK59G3FldvLa^KQ^XGiDx@x zbt6v9PN2*p>)2&8%heXQSP%qUqSl{+s9C3b*{7R{XWInfM-zJz20W_&b3yTJ2SE_x zv!@pw);P#QP(0glL}_O2CRc*ea!@zdbxWoqc~CSMmA#gWNUf zGq{?_ln;#t-0c1ak#b*@DlUXTK{(D!wF|-ZL>XwXuW+w<=&~Gg(z$%P&;u{~jMWVJ zd7{Ezrh|CYI>tr)6WWH3W?D$lILww0e{rk%AFa+(0Z>490AA*!jVOJ9_?IM*!OR8f zCd6k#8=fAgG4P>rDvnsmPGdm&x$h;;qPa}tIq(7T`-{#TRRmV-qfZ1q(q6d(OF-~Sm~?=wRs{!M13eDZHh?=!=igfUz1Gh_*}>-()|giy|bOF=M~ zLk*E88nd^>QokHo)-~4Jtkw0Ott+=y*WIEGS=Qg8ORUvj`J#{isn;cIRb2 z!d+AyLKm>$V+eUzVU3DqNUff59B2OE8vJk)9tQKAhF;T%-XEOx-qwg}5)|Fm+`QVj zMM-AW7)pYfKNwc8*lf!rvop?Z?+>1JZfE}BS?{)3t9B)4-P`K-3nvaHH=Yly?+GS+ zEm#SXXbn!^I?3oED%NVcM4D)0k|^?mwMq|ngB19S{MKT)scrZ`UC<8>>Ng(OKO3T} zu{S|WFW|1DfWuAJW+KF*6o^n}EZQvgS7)1YVi#IPXNF|@ontsB_89I*KIx5RmhZDe zePNwVCT*bvY%H@#LX!#}EyoTCqg9yYt|577}&)10w)2lq^QJQO=Yo z1zargFn)aA@@Dbx>!sQqEA(jJw5z8 z^#oy*`6uXYXCEOl&Lg~^oY!zS&$qavcinrtp=w+dU#E8+F{tD%7cv*`t(S;MYs; zfqehm1$8K*9pLWiLk2~Ql*M6JGZ~i&6>Nae$al+%53m-6(Ib(oCLC66sPWc1_kGp; zbVgTLR>$!C{d@QF>ToMuQw=bFf1{w4&n?Nts_${hxDFk4R4ScPraqeE+^$hYRecpM zrCHr{t_b~nm_%yV?QC%sN>5tlU=K(LP|jlOGFy8_J4)lo68CY1hCyfYnGIXD!WC94u)!qqG!oW}4-1t! zED_lX4WB!`eE*gEuSMFQU@=C(&irqtLJ>UQ@$$OtqmNCY zoPd3n7{oe^A~a%*ShNdCgm7WtWMG_Xd1V^W$EG^Cv!qr5hp;c>XIX3t5ht7koobR+ z=wm+cV9?WIr-Kp>dPNu=s)AQ7O`gT3@O|VprzEVn>&br=Yrk01%EhKhyvsT7a6Yj|D$Eg<^rYJuJM*4f{UB;Z;4Z7%6!w1y<$^4yW| zOsCIc_DQvmKh32l@$u)p(F6~6UwnkJBOQPIxo@<=gW(tVoi)YJo7+z$D{_eTJ1A_B6QW_Fc0No5E+9z>0n5EH%*LsGn5f}L8kr0$1pn0F14bHy|dTFJD9y90)rOX0IUUx$9e?f#n@U+a{ z@JJ^DXV~)uInS2`6o|M6XLYtSurA~2DC0zpG+BYS6Sne3gL_#OgJu;)H@(1b^iMxL z4X!@E8{XdFDu7kDXv5pcJ<--g zZ@W;D7B+?2eG@!34QmxC2r?Q0<|IhGHNpPaXv-9gSkTI-J#2!YozbxR)QH4e69nfC z>}5HbdPL%rU)eDdwT`h6c7Qz5lj#cbSAx;>UVa;$1n?ij=6s-108l+ix=zxHPyNRI#?=5m3|s9of*4`AaS2djx4YbU zrMyWQPsnh~5~kGDN(pDB=MxEIK4FR|nOkv+<9ADv+5)XhSvFgs6{PrEp!G@i8~t~F?i>Gs%VAcJz#}+* z4@@#5(9*`zlR!zG|bv5esu5n?T&jor>E)B zGso|ohgcG!>>xp;H{&Aqj^9}LkFI8@>7qFw27wllGp-*R^j(45`O#d&Vj(NtdTFw% zw>B@K=Wi*0IT^{JgkCn6USj!iOw%SiPtTd6v&lr(QO17ZBvF{o6CUCXoUag^H@@{> ztvOQRi?rbV*i7r|F|EJ$mp-HV-j9s_W7VS!y3NRp{5r@13W-W#Q}7>~U_Uh4aYZ)2YEkTL@-3%>9vZWuD9sYVOn2Sq^j8@UqYw-VK8?w>n9m!;H2x zp$2?FW0_*|EQcAZ@Gm zpaoFpITq9jj)l~Q1uLNu3zyV($I?vJ7We^dz|&-+Gz5aCz@?BJO_ay#g0`SiXOpv| zTEdZ5Xbi!O$IVn0SNltq%RSk8N7QI#P6+lV~5QQWZ7MN! zdKrZrMT9;^&zF0+cNkJz0+W_tly~o*?w#t6e6bG9VaVSX5YomsYuAIL0#70(8}!I6 zB;C_(Yy^-5Z)ZubrL^#N?%J`4@0J8{SP-t8a6Pr0-6Rj78J4SrJjC)#p)|EY66F(^ zRI>h$p&hat)88ZTc<>&vJ^&q)$}{r!2uut|sZKb8Ke@b~LRuT9!1Zic)i^cgx_9ej z?^Z^#Kz&u7^BYn#RA{>OsngGxf^SE{9-o?tm10MRWMt$d#E%d z*+&Ev^b7l4i*H=|0TP%zh8FeW+v@6Ke)QguOB*>iDQ$TZM%$K;iCqwcM zm5`E%iod-|+>-!i0*}w^+1!(xd-CpcFC?8~bR=Edg=5>A*tTtRl1yydHYc`i+qP}n zp4j>NdA~p1bys!wTD59b^*Q^#_CDKy*j|8Qh^goC&-v$?M*+V{4CA)@bz$3W+vi-( zmkcfdx&(t!N-%4=#&e!R$>j1*7n4s;*tN(=EQ)8#IU?le{yF!fila0ErMj@`apg}s zbTf7f$0rG!rO=SH0(fdq@I&|lYVn`7mjxzjbbRXJ!00tZ7f{?^c;Vr<k70v_y+MQCiQMV`_H4 zJAdwe9&l4LtRA6&fY8nY1c3OQYJ1v5m#bsWM0G@v00DIxcJb{O=df`2ccuMG{(2KXwiG{|PQ8UN$C6#9X+QyuhN7oFqhrRJdHPmYBNn2VgdRBX$gv<-P!uteDMJES z@(_FoQVp!X7M=Eyqt@7%T{c-sM*T28c?Q<0g_@-*NHFpDHR1v_ggpZYiL&J}J};jM zBbCQH=z`KU?hyaw*#AXvp}cl5df!~cf5G^~6>g4`;xEF`7o-(;4Zk11mmNMU=Jp?p zwG?+55wt8*!GH^E(95jHxlmkJkWzq(5Mpe5K@D}&IBZ~BqXZ#ZUC}>e-;}^F%=D?w zLCU!SN7{G{e!`|y-wCz?{7nJ2Th9{6wVzHu9|^wFjYBS{DHDT{TuP^9hpysk;s*Un zDE#rEg&wxYShaGJke_p(^M5EC**t$weJWc{#3m{Ja7$E>w^)jODXxwB~B1F zgw_%=&th7eCbO_o4=hKj}_eRX~AWlc^|KDUjaE8JeJcUDt7j1R3i{ z8Agndv-M-8b&f;ug*DR?{8xGX5a)+@VVW=@?({#hvmcV>R-Zir@(5`7U%2n1g3MQ@ zg2<7s2*68&C2_=f;519MWqZmlh_Y(JjLPUVE)+$`Z#s3zkaEaX|3Is}`ddiH5F?;l z%vY#N-qDOu<^^EXrr;oIh}CNeBRar9!TnDv4MdFt1}XQo>q+mH`4ols;?J$b-QHTU zj;^R*GN1erd2;O_hmCWOH~-2X_I%!n8*jlfMm9S0xIpn-fX_>#uqMsH{CK6sX-gnr!+Q^)wsRu|buGdd%&3^wlw%;Fr*xq{MBk8}G zfMjPk0kdzrh$BAhym7VIN%_x3*C95smC~q z(6!0D<=Zr7d6-9|6XI7z2_Yo-NE`IxNwZl}Es*(NVnOV2vgQANvG2c!>sTcW`ucC; zrg{rnM0z%78@oCw{v%xNs?|^wgw9LJzTi(t8LrQDHGlnxapcgtGm ztDupcIE)IxCU2L{WkMHjN`4UXQ8mRQB6UW+q4tbOxy|bnT)_zXAT|l%X-J^r{3Nqd zBNa&VVW7D>#4;<5XDCz@{f)1ob@pTq;cI_Cm=sfDKHsi%ZC)xTi5$5|u3t64C?CoX zV}_9aPF!N!6QoYC)Oh_YQ8`?tDQGGC`Q84moFajzPiGbbK?QmDaS8+L#q@6NrzT^3 zkwacZ@g%pBT_|**rIfiaY4kp8Q&o_%?+8!9JDeHn2`)gSaSmnV&Z#oumqp+UABiD1L1qT5FR{B$th@{)Gq?0iK-1B0m52dvrK(^;`yB2 z+zK8i-4dyB4hIBZcwLKNX71*Sx8CPtD(7?NfGbxd@&aDlRn`stQ4>zi%$tq7wyh1Y z%0;r*b>i23>_G~=z4%Tjr*V@T5>yU`gY`oS8~HxP!~20r(jsNN99p4EV)@+cS)+Ap zHjeol90WIkwO0g?WbSV~LrI9xOV+_2vWVgp%tBG8<~z?{(#gP6?L`7bs)%0K{1*%yb~U*=3}H zJjwY)6u0#!IbkEp0G{8PC|wwbEutxqh7H5)@2u*oJ_@r7ZeCam1aSXi_<g3g63J8-4S%6;q*cQDG%r_kjj>s^_rH)R%7JDx(Kso0J6At~AfT z8e1%V{oz%nIob3hO>>Mj)m#fpTPrLZzgdGuAe*yKl1WIHo>1!=Dx=>+HMp76u{;6O zTFsB>YBG9DG2bm6BXk?x>^3yKbGT8v+E{vkONZ5qk7Wl~IOFTaE0Qrrnl9*{z6FkQ z*YPw8Kig3yWLj0=%tstX78seQWnh^mI~GAe4VXd@;X(^{z!(NqCKqE#AAU#WmZFySKMaPoVd90&tr5YDR3^W#}aMoe}gArA$TT= zs?F&K@28woz#-B>x;fcy(~3BDXP*SV(4J2Q@A=+OFJp*bHYEBpgbCOC7{a=y~iGV#?6{h+YiW}5rLOR5yvFUyY&k#5lS4rGElG5K8J=Rb}%rQAtgBA6DlrI z4BYx!D~wow22f)KlM=ZU_FzT|u>EQHWq8m%lO8lKPG* z>8W}{iwcV|Fxl&Vv}7G!lRp5GEQ-+n#};jCW$PCG=-eH@HI}CnlQUq{#rT-P_&Df$ zE%4J5oUi$4goPvRFPKajz?x5SyKjQ3b3C+H-1nO8! z$*Ed!QOSx8nT$jemD?wWU4v(@%eX{;G}nT|{i_0DTouK7X`OOhnkNyiNE=E&Fr~07 zA%g`C0hRA+j2m1U;?WmZ_4K_HbTR6$W)=ByVD6VN*h}2_F-oV25BrO{KldzsLN58G zx$&gSDb}>RfeZw!L6LpR-55LVVH_hlffb(epVUOEnT+MA29ft#u1%efda(y|ZG}|{ z1Q%R&SoEe;BM)~rcG}+dKmWCB{`m6!`L?+A_;K@{LZN{`F_AKpRD(MrGbThr34>D< za-%e*|3g4KNNJcndVd47BZ*yq8*(+E!sSkDc2jM7sW#?BCnzxJh$K;-=(Y>JSr}$6 zg$FZ=mDSaE??a+mraNcEg)>l4PbTD7Yr^_pm9bKwSLDwh&K@zDXvFod88HA{JeHw8 zbP1?B)L?#*D+<_ihGK@4-D)t|%gnK0Kt*S=C^rS0jX#2p)mLHYJ%NVPP99F#A};jU zi!YH1h9^kzgI&NjP++!JVOYrAYw5>HLNw{W5_^dzD9ALJ)kaeSKx+>v3OIoX*2T;P zAPcFm33dpXo~AuHTz|6Od11W~ccP6rrz@G66s|!bcq%*3qr<-C>$p+7D2=^<8}{wJ zZva+;k}bwEz6yhRRD#PpPI;Btd%JGuvzlNF)I+Rj;DdaqHb>giFJspp6wlm$zg{<;uHyHK@dNm3 z0$!j5S;lV=IR}1k3RTu@xdBP}1)Y2$eVI zqE#op5r%8{Zp%U~Nmnm_JLu5xl&lFi~19(%@Jrc~83j?$QQFg@_%^(^P3Wv>iFr zv;^uTcj!|j@>_I7p#BZgDVV1gxM?CQajzPA`1F+q@To=Y#HpdEgS_ZCj*A>IS6u~- z0PcU4YwQ+S4u?10u}35b3Rxwe9m06?hZ2|-(&)z zE!5ZKz4093UsJFo_L?cs6y%!AtPWnLT-reP5`D>a6%g}cNDlwd zA3`B^}AcONhX-d8`r!FDs6 z4DM_+%zT^(@fXJX{ClKy$g2i`K zi*6uUPhRm2v_c#57GO#Bj;wnH+o1--6vz_Rl?;ytK-HA&?_)vll5;M34N05{aJm*I zA{z}hyj+0d3LJibmB>WX6(L7zcSi7gNe|2+zp{^ul}!WLW*jKjE2OtbE}L|&=>fL{ zdwQhxtew&BH<8h;$Eo-wE`6fBWQVQMt>3^TUIW!@rcBBDvNreOF#kgxB5vO^n1};- zWQ4A!;dcSOd)y&i#G#)3N#EmW8rmb0PT)mhamr4{D`r^i>s(+Wv?`#9cJMTfF|RFG zCZO2SIlcZVZj*jEEB`(5#nCwY*r-PDp($Z;FZ0)N3+bTOLZm6$qY5HIWqV#jTcX=! z!z-vmZIzovrW1^NL01-_Q?N~ETOy7rOQdn8?fUJ{=Pd(08{p z+dj2ghh=DwIJ(dI2wqgjg;z2STxL2#<*DkFn@O#AgF{CRR8ICn_j+LX$`MAVCS@~u z`Z+e%3g5Dn4VT-4G6To^yGvJ7k`@_N@)6x%OY{TnmaYPYG|@nUy+Jo!xJSTt(Si+R z^sO`LADN^Bp?e&nQV3%a5{N_?p~+uE2{jcj!zC48GC*VJ`#H2`oIT@$DmcnE%eSQa1G>eTC6{EUNkt(sAk*yB2_PJ{8lMAr-Z`qEVw5*RL@eB((H~c+* z5kFiy)!CNPO|hOU?+rOyU)FcG8_Q-s6tXyxIl#8*C3WY&+(fv_OohxH1kK_<$8HSs zdF8l$^6b3M=vw^%P(JA^|AEzOpSV3|xV{HZR3CC*c%R>qg_B#2wSm(A5We^Jx@$ng zAxq)qN4#o{?y&34f-C=;NX>cGk_@i?zVWM+coH^65vnmB=UO$v{?O8 zqDdH}yfUXF%n~mLnO@g6weI>RJMj{awpR|Tn?*PGL;m<@$3_#s&z6V~E?R^}qoxLy(^}F8ii8uL?F8M;XS1fP?CMJ+T!Sm(%~Lk_r~bAQ zAzzeUf@+-0VDP-YKXy@&bq^!B!C39CGZ;Z-9iKCb(S$b^@*^=pFLi*2Qx*mZHY{u3PzIvgwF^RvQktUw(9ccm1+xAk7%-TW*99hWOdAbOe{!0r&f#^|5U zEgHv-)4{n?Wlt6t?|_Ab@%nDp@aOu8IY!^2886X^+*i_=_^J{Aebtq--Vv4i`Xwoq zSMox8g8H$?PUF5ddRVsy*eUXt1O{d5;*0>|_9O1r6fz)u^%VE2UCI@>>SXegpY$_81MtZOt*be{?kef^TQ|f?~D=WgG6_&#bFH`fIjJ4&6m6UbzUu?9EHjS0#f?edq z`};C&0rit?U4EYG5%;?S+ftdj+&(*-x`H`klECig{42R$AFxs&oAkhkv9IvG--*54 z0rd;DTBN*j^@YE2=yjSaG2azIphC`rx3ff|9j{dTSJ%aZ<7EStarWRHkHYH>Q1cS? ztpmqi48bwMULe<=+X?$QVFK5{N1+Ol=S}4XVer3Sih=8l3kYY*n`^-|a z$ab%VDX*Cuc+$S)S54LEUWj;*2>a7GR&uKkp(mplJyR@MBDdgp*I44z4Rdy6>?CmTpSKR^EiVim2;bOxe zGHeW_`ZXr4W*Vs5QvC-}75@iOfz15uRzI))5S0H4+ldN;Fhf(#l^BD|Iz?ItV!6nc z9OQ@h#?RXHg?QoREJVT4ID;`2kHbNSLY&cQMGRz%VPAw@Daa9;UeXK6bxNKF;XS3U zM(LYob$xWo3FA&b<%DPh3&1n$*{Yb(GLd{rc8ZUH>;sla--RDZm@1~0QflYLBOWi- zM5%+mdwm-kzu=Ax7a_Z5_~Jk@fZ9|v4JOhT9M|q>xH%d9XBteE=hq!Pt*S$DZhuDL zKyP3irzFsoW-m&x4}X^M>tW_}=UO)FbSE1wP0LC)3;t||SN1T;Bk+OmWKAU=hCk?R z(3660+FZ_XdK+>b!)dxv&dPS4Oz=hEClRyft~3EX;~F~C84Ts4;uDK8-PF2WBR@tYu$KjP#OpG#p8MdXP^N7!) zp1*H}9KW|_n?|WFDU;J0atA2{vSPJ5WT5ZVBGqn56?Pc=hVaIfg++qo;Rvv+Cl#jO zm079XAEFV<%;gQMT>c=ex9K=R@lwH5n46#Gg+ zAS+(80|yI<3j?CQub><7MPv{uJ;3{1_zx!w zW$M(QZtxqYSe2MlcsXo{ZIJ52iqrJ+Q!8&dLKVC&adR=Y)CuizXSj@8cw|4Dlyj|( z0h5(qrq~2g#th~FJU9iE*!%a`>YYb~{Gr@DF&?Z6GtEmpUZXZYi_YXDRoaBc0wBwZ zYcW~jFAHDsVmObk#ngUm>a2fm?xn3C-tA5vad>=}Di?&;C~3byUIQMU$1^Du?&Af^D;Gh8|c`k&e~4iWVIz zN_9;OPbuMjl*{Ej&ngsHDW6Ws=iQN2dZ6m1b71x0sM;i}kY9a$?A&l?$yStUl~3@j zel-^vd!$?Uf$QJa554yyzsCnHkjEmmIx@jTby1&{(siiDwRuau%HDU@Ooiimf%Lrx znSMcz)q5~3Obm{~=7;%%1@X}6Z4>sdz-VpOxjc4zDR*^TTTWb^cNI?DUm)qx^q1q} z6y2tm*3PbZf|2P*iAe17W`~fj@{@xL2QS7&EE~wt&W@DOz15=K$bsS~ScSdi z*5m3VM4{8lCU>d$eLR{_rpuCdf|iprEp`z&g#|cNih12?XX4?-sT-$(H>h|<(C-|u zI$X7g>4)BJa7ptiAa$8ZAk@(57$iEhyk)2@*~)}mmbO2YO>;sF1=x;i5H4(doU7>D z);S+f5PQj9HvnmFT3pXBlD>ZaXQaCJHEZ|h9YDSH#y0sU!Obu~d17s70yXfdt$=Ww z(y*M4OaIkhNq9xQB9tsr4!o_SGA>FdVa682zP;3i}n_+09U$Wj4Mw3#G~z=0WZfO?T=|XLE`oIZ9*#2L;}AgXAEv} zp)5kkv|lN}NF!C=n(NCHDe#ftKdK0CYqhmWKl3>42Nf(bU|5OEG1+{3srstq$pz`z z@vs*@jTYt%kE*iGMQCEy&eO0l>iGIji{jNdpT^{%oK~XOwFS>mmHgY6q-qDH27}&DA`Oo>KuDtBT-{a z7`h%Sv%S^W1^dhLE&N78H7M^mB6g?dIzF@vaY=t#`7o1;>(DhTyr0!G`GS6KIZV!5 za{I6g7vYSYqE_3Q*(f3QIWDIm?9q8$#4`esDy4*AVR4O(Q~~GY36Y9C$5WEY`kD8l zrj-7};W4gfI!F2ef1NvGo-~qwHT5K$(gah*U8i9x3Y37NHt#qco6sE8ATtvQM(@N0 z1%jiuH%(?<&dFc+oJDDEnDv2Mh%i?#s<6#3`fwU;DrZS%#tJOJ+E2p`h8&r)dL>Nf z`f0mkJzsJeQR2*@RD}S5ix)lAzI48)UH7HZ?h<7pjc&euZR%v3lq>~9_V)gX|M!_` zsgCIT1L#8oU_8K2DNtKp|fZNb#6v$TWC#7Lg)1Q-RjN++Zmuw55?Y!pf&ye zAZt&n5jaLIoWLJ{askgcH;-}Z6c-16sxeTfS_)S=S9ScPBb{J-1Xz)^$=ZIX>`LNlodL%mwX!@r+#AM!&(C z;BZ%H@;_AdQ{TB;Qc?Ko`S}Qm{WdE<6S@8+^9i?t*h39D*LnB>v9hjMO~4ME^Y)xh z$ZuCAW-P#SWD(I54>qjN@uIF{MChOvojCz*FB-N1=U0n$ti;F~n|*M*&1+{a3lS0> z9qliC#*UR>zPOFtJ%2-@FG`nJDILRf{nHPg&a~uEvi2o59Usjx@{qFhv#Dh}rRuo@ zGJAWBPOvW}|0e;wfp>@Z?+Sf-Ad(_gfv#)Oq96uaFp!MHu7=ot7xSGcHUG*salv(B zo0z=ToY)!fKW2t4;$Z{wSqB6QjP|z`XPf+Tgn(Tzv&@SkN8u>iL~05!U)10a1uIF#QcmI}vb#*e64N)B0vJ^94%U={ zJ?%<7&17=UU8=C>r6l8dDhkdhS(I(a^SwMTaE94W30Oi55P7VhAs}$zICQEu2%EYd6QRKGr_* z%P(~r&M<~?>@k)v3((U`Xm7rkTfe&ydW~aG7V$mrr|N%w!$^3~dmdv*WRPZH*^*39 zXBK^r>QJ8cIX1>G)Ig_Vgy=}ik4XCGr2e6Mt4r)@t>YF9l-TXpCezq$Qnr@k5UGRd zHi`nxZtu~DZD%WBfiP}Ljz%;E+#GjheT}3f0~{m|UNX6ur>!YZM{eR-Q0wKk1F+-a zalaz2ezyu7Af@l(f~4Xp1h=7{dW5Vc!V&Mr>jk8EE_OM+@2gC zT(?itO-~Z*ve_Rnj(-7i214^zgYt4;It88tzT#1YrfaKPA*Q&i-1AV@C3msQlh> zJaq5FY04h@=U)_ZC^GoP1zDtFgu7Kcnm{v)22W5pt?&An5F0D>^YfLD_}NU*!`zlj z#x1>LZQRj+3Wt_U&V6qm%kOCX0m`D6+Sv{5HLgz{L9q5?lHRHKphvm3D!Dlour&Bp z1rp!24hs`(9D%ckFZ2b~76vg7pI>gPtjl~eprLaJnD6gdpS-8&Y1?zFK$U3kZ2_|t z7;;bE%SL9ACXrQKWYKRc_)TlCi9%DcB?G|>G(iv0tKJk0K&UA>~@-=o5^1Cw^fNcZc zup9Z}%YE#PyT29EO_}96HF1J?`b`10ojyXKE?u^2z+%0c-;kRi+!v75%HP*bVY#vA z8}tExQwjw$VsVbUwL3QgR{HF6`*SQRU-hJm0gt$>r_X0TUkSl((Rr&RBkr{ZGsr5j z4Q6&JX0esIXWdO1?S&eQnkTmQ+1K(=7x_?bu_e*pBWzHNcj){3kF;J7birMSoQ;n+ zpEZoVUEtZg@6tfKso#{9CZ@=$>47+B#KtJ&~XEI_SQB z&NS#}(1nWP7@T53oG`gSQ6W@O?go^L)@XDG3Za5LXyOCRGT00vK_wJY7;&M8kXCf} zWH9sQYd2C_!){xYqIs*p(Hh&L+i8FPr((kB9a2g(NLgS8aP`4r{S_i? z2UPjP2gwrtQ&DQl6P?3^K9nLky74VJMxsfLHvZ@{ z5(*py7%9YCQQ-o!i36qFm59BzC*RDpK2$VBF{`U#EVMpSIcdRsc!noz6HqRMV?G`^ z>hAWK{O!2ZH9tqXT+}rH5s$j2S1dK}K;ef*;5E?=mD&<(K@mV8?BrwO!m+M}E(4XC zMIcEurG1#|kF5VRA>n!cO@-oO)BOA*5K$%*k!Ksw?F~s9O zido*PLgpfk7|D9*Qho#sQ{)?;=%$XQY4>B*+e$_SmuGTc_KhMZ(qN6Q`74RT6uw*j z7CHv$?TTZ|%XYQc21`kEW~aY{9mh$lPAKBPPxVH&06#XAcj?o5V`))5KK&bP_MKy* z4hu6;i*I`jxk`V7rzUT3EwWb)ZcM@3j2n4t5*&~Ke0G;&XLbN4q>Pg=KtH;9$7<(( z+2C&bXZ;S~d*8o)+Pe<(-tzmY*Gs-r(*X%>JxS(W(dU_*XR?L?_zuf?B^$DwX3JlO zQA`73hL|fMsI6W_@icz$PWJ4my=tizAC5gjCbwn+N9i6#cI$+%{909%E1zQz znSx{~S33lnnSYmp=1NFcuh~&U2U`>K7i$m%=T$%9FK92rpRO^EdRd~G=L-@xh zZ9U63irad|ah;gyec+wCw-dt$z}Xo*Jn28wdi{LlXtjhS>jeU>6I!0bH!fh*jA_I4 zgJK=S_D^B(w*Wg{Rxm!zYz+9Mu*(LqHiqz&fx;-+n`5e&wbV6>+X-3RW|lb(O<~yX zWvhMVn_Vi8CM&HCTqENfzh_ZM3Oj1K3lexX+lPT`wF#xEDU{YYMSe*msmHM!lkmAO zuMMOWX@84JEttaEwbA67g)C20d=+KF>r(U(W?~vaZd7zkPwrAPa{&j`{UtU zq(7jQQ4T+sI2!(0io|;rtGp9c!iMdIDIwcq)^-)cmL_f=H43`c6p`rQ9(m~m zHjPe%2lPu)axuiY$1G|ck+wxRnh9aSiav{%f!p$#l--2w9{=~?Tk(`-6jOpL_F2VO znXcAVwml=|n(e;msj7t0?fljE_grfJ3ncIP&N0JiIvR17((;3ROWO@C?#pOtazC0Qn z@dVU?$htS7PCqkEAYUtoIF3(qh`ZLh4li)RpIX2eK;|wuhei^jUX(3vH%5E6uY>r2=r>=b(+)PSIkk5Ef zUt30|h-4!G_LD%^yTg7^&r{a;?S3XKkwZ#(A(|vgjwO#Pa)WCQ>w6<6NtVY~CT^{V zY!MLR;8Ee~J~6KoXiij!b)gk$Z2zmumy7Y#iUGo5<}uZ$(Bm!pupP~EP~ig>Z@a9Q z-iR7mm8HMoERkOHD{e^-a1Yr(o^#c(NL zKSCKO`scJ{2=T=he&Jns;PDlRgaC3LxQ@&zqV74luZrEUc<=fe?y+hyB^-iDm&v&c zaCIF#9PyU_^zNN8g`J4uu|Y-ZbFoXK&(E+$q5jU|5Jx?kULuM{Fh&tEX*tB=LY=_C z!m(C9vl|!;U@ylF-GO?aav?aI#?bi*=yBBgUuWDIkedW1B5*y*f75E6Z)~u(COpmv z2TuqN!e`+UxJr?xX7kD&(5glG#=QHKqE<~L<5iS$khFxXi@Ke*^wJrUaLd^`a0pBG zv$*?QSnKUn&<4dp^6DF;^=(NidkSK^F^Qsyx^q!8})dD{|aIZG@P2FT!O8(7(Zc$FB;onX{{dBI7F*EV# zDtbxvCYqcW$!Ok#z|hf``jxu__r^XNOZP_nQIzz@(@Y6!GL*O(aU7naHZuO@qhO)d zs@A}yw-rsph`R`zZ?zYLu6Qz`(}YOcrmppfRU?|`-8Y-r9;#xY^8N2Shsh_p;1?)* zX7~$xsJGVf=!>sv!J>y6-CMisQ{j^IUKe`fi^G)086$$$EEjyUZ#839CXS5K=@az! zLrp0tT66AGvz4`^w>Gox?j2lw>dum`ZprHVo4Ys3s$PMzAl(N*O-HiH3t34u zLjJX;240wGaz3G!OcD(>an{)1LT$s$^(G!60vZyyUa4F=-r8#1?3@({1_O7RAOuoj z8HEUNV;mht#q%U?`f(t6&V5s@6H9RC`Fn_e@Kd3#B>g5dxtVq^5 zAHk6PoO8CMUEomi`vUyzwPKGiG?NXp?VOwhYDX)lzr4i-d|XV`elmAI-)jnV*cB-EY~I(U$(N1_`qaS?)5-W91!_&6fcT&?xf&A6je?+lV~5c| z+69!M{S%(K_lw({C5@lp-*Z;v@#YNPFyJti_6kGhxC^36a5>&oxw50ncnM51dlfL= zgqffdQu#I5!RoHwZ0$-l3B6yM(xslSos*FJPS@vdagcc)J9npM;*DAnHTd9t;$+!! z6gsVdl=+{KwDq5m1P$N&BJ(|k=_eyyD-CcDzftTT;8P7WDTjf&Q#p=0o>b(}0K}Aw z^?S+VkK6t1)yAsO!<@1o; z9p1FzpEq-zvnuB}ezX4I5dO$UQo^%DN(Q&9M5trPd_q<+n_ZYjoB$|yFm}B!0F9tL zA1OIvMKja&c9AQa^)~66`*r}albD^ze&>rM_?cAfRZo`?=>Dz)w}lJ413cuj@nouH zw`T)~qqRARiSi0HK9Zpgm9c2rOUo(2KKbEEdyDuJ{KEV2L^W44ax_N6FJX{PdkYS8 zY3wmL`@h0Y6zMc;n@S2U&}rtz*n=U?kYds+0F6guhcmroA>j~X{$Yzj$9?$2Hgg{jHf3ayub#!>9RWTs7hgHHyilP$v&^oD>lN;_~dx!Xw!d~3 zsEeB32wdDb6$?ME8R;qYxLw;7+1=3>%7nqev^_4l&0L`1!=p*BtZoq@?}2l#URo;H z@b8B?Pi_qwzC_d4{F)@GVa^E!u2jlWSe&PCAC5gi-1Y+FLChhay8zglT!We#Krl)j z$~YR;$I0XQ)y~Vb5nD<2*ol~m-j+n)9Rj^VvY#AwHyCE7qNaUtayV&j*X#46bz96B zz&x1IV5q%h6U|sZ=NQN&`Y;QCl;>>&^&v0guOwEKJzw6y0nGy4bV8Kn@dELsk-ZSY z{yDJN@KKtsprtA_QjCl}|B{#lvv9|2q;v%pR2aEB$cI#jEv;7+7)?9hMcKMv&z!7= zABw2ZEZ=s%U9xqf$I$!F^mS#$k$MyJ2^`ZkX^{wOu^p^K&N9&BBw%l~1<<;eL(Y_q2?S<5o|6MU;rL(~aP zX1q_N^O|Aj{{aT<27s%&K>wQyIlR?=y>IG${~PD2iwi{z$8m^#6}|0A{g#8;yG0BT zVKG^a3_x-m{WuZCD<;hJ4Y#ey1lkANs>6-@khG*1e61sZerNrxx$NgaaOcGzpGl9E z>}y6QiHFfN12>i*r4$b~86bgHNCv43E1%B=8`gvh9J@SS0+MJtQOp#8Hnn(7%gpAY zpfsO3Qm}CMvVWN*#;Y<)2qVu!S9~six(}M{PkchM<|H!EUCGVL z@0LhuH`@e%dMd`v&_i_hO}*qFh-Af)Uhr6a(cB8bt=F*i3tmwK-;Yw8*wi4>Vo-kfN9w|0@K z^qUs_8I*7kiroAie5r)|o%*HjcZyg3~z~rF85$D~E+a{dQSe9$ZPxD72=@>H`p-U#ul`7UfwB zwG`!VfJ_-ZE46Fpa8zkUNTmZydn8rz=0aAwAW|um$zv8cDyBb<3!mQJ)Sutr5a>(0 zwDz;!vncrh$hpVtbz=UBTZmW?4(axk!FzTfIh#;JbtL@G(*=bTB=7vHed(oZW@bZn z|A}Cp=7sM+0%WEdFnLTH$bIaH{izk8c*{{er=(f<@GSA&${g6vUmsj5Z<*8X(Kn5qLC;Xg7*NS7*g?xVMtdr`J2U}8_vR&Cu~y$F%M^ZV z8D*uXV9g9sKt_e8*_P*-NQka5My687W(-;jjzhGyLfXwMc1H{S?;Wybs;e3ReRinPANtwjzLj_A8E-Lyq?ID@&K$K z7=RtF+9n})_Sgfq3Hs*vr2LchuvacFEya4EyjRN7_wNU{e>05bM`ygXz$99&43v&3 zaLP4jyuV9(ddJO2m(bb!?E^%<8Qy5Ed`e|j?)F9>Rq?=227Qr07sTjAz^j(?8ZY9L?w|)+873YBNz0JT zI-|kw$=g#caoC~Qg|een3dGO;2Jx!xf^e;|XKIm_x~k<&+Z48}f8tRiI5tD(opN+- zKR8wMx~1(lDNml$*C>CR~M)BQQ6t0!p5YB8E5XHm3%v47-W z(Vi~{0>5cxye!2uE_7)kwe9B#$RVVjX1TP5|Ul!K4LKy*t6B6R<*PZAnb?wP~TR`^nkMV)E*2+CsMWM%YX^9P>U z%@xxNI0G#PArc@j4XA<$>!KZW*t_=l4)vWk9GYxUc&C>2TDO(=&=_|dDY!69-$C=R zr(Nh-l-){L;nt!dherTgBp~N~#4WrSDbuvDV67aUM>%Rwz1ia9^E0db<#)F6@2;BH zs2{g}r{uSGtn$Cq-TQWe`x!DfpFF_;n7TRb4qWb*Fw!n|T9=6qGtc6JkOyH^f0Bwp zSRq7B&?|3r>rr@$Ze@q77a}10Ey$SW-~ruoPGJTZ#_+&HL(AIViegZKa_Sc_>B_)# z;uG~q1)M26kyER2%!`O+ISeN=Nid{8X?l6k==QGMR{a}BwREuZGy2W};TEclYwOPz zk+giYqL+2p_?G}rl|=*4yy=F5;GJbSPR$^ca{? z$*pE1FxpkaLI$>H9LowYy!s1-3f#5u$ncR_eusS_S`KEx2S2d_Ms8H+Djns|f9TSO zT>kRkqlRZPNKxxCh_9$IaLobxD_8XX6{e>q{tx`GsUoB)GTX+(Npe3lp#a2HJCS)&ug21`h z{}hk;10eRBr~s)~I(3`|O88UZ?ju`5nG0Z>zWS;3vO3p6>Ri?^RQlv=tKs5{8k;LF z_C6Fs^;CsN27OZDq)x~8uvF|O30G%;e9$^|^Z=VF8Kkr^3Pqml_oqJdf{;yhpaxg` z^@mUs;A-|gP^VA%8CUG#Fd@4)%>1e8v@YloV|Pi8K9^uQj;h5Iz}W)dJOSP8erMEv zR1+i<4~}NQ)Go7~<2>$wPQ*c(LY)>AsoD#J(ST~}czFUDpo2lT!Q+YsBr`+-3juEt zuC-n>5jLK%c>S-qfwURtxVAws4SCdJNog%$tm{t>+j3jHe3iaol_-%7+)gv?Vs7D7{KHr$D34`Q5z zn&0KVyLsN#XkkI2F=$i7RKUNqnN#*JoP^YGxkJu*2jHgkiylB2{^lZ^WDcScLdxY;-))0>vkfaQP*@=c!htxsZoe)@

    ^@`0q58C`5u1%FdB#8 z9P9vLrItO;ioo1NE#xV5D}2M(uJIzQlm+7#;WbVxpE<~L?h~}=yvh_P z&4^SbFCd?@-2wva-KzAbqbr=2w;r~yfop#ibTSz-?LUd-V-)}wXWjMQ%=#`ay6OG^ z*1AOk2bDD!4t)a?_8eJkB+cg6+EPk;%%>NLVrdM{oB3?q%9JSOK& z5c-k5BCt?}kPh?z;OIjeOUSbZ&_zpLwU+O%2+W?Gqjm~Tjqjk%DJatFni{+f)c`;g z1B<30UWNJgjTQ3GZ4V$xHs@h!%ISjy6g@*KtT}B>L(=w#y`r;-kUcvE#nOjtVGj<{ z8UL!V8%M5a_TDD2N{`}gTR})SQR=h6i$KG)n|6ir-2e>Bjgk#?)>0AN`V2UDjTBV8rLN;s{gbuiMZv?@qiT@}`+Uz|dhwL*3`?T4e90W-uhU$-sH0&?G$NyjnOHtTuEu zgP{yK`p%PR@a083JX=@+`_3>V@JUq1B_^=(Gu$^u*F8s#FZR5i!=GXl>ndrd7g;0o z%0v)P84PTwZ&}UPa56HMhhd*cuQ)XxB)fM*dg{0}Ued&y`e~U{TE>*;d}b>66{o>H zWs|F{o^%2^+7-!&&e3o1$#Y%}^PLN5guauEVca%vg-(dbb-^*6h|Y6N%I3RU)H(6@ zMrg^l2fIYXL3jv|pGKw(+6STu>Ab57>>=HnsYW?TUN~|`|N_X*smbRa2puN2E=1NN2%<}w9 z6c!X_4LtCcc45x`S#x;z7@7L^7+Eq{J`rG9`vmNtjJ(PDE#rzmwN@&TjaYo`zX`mt zYP39DjGdoJ&|y;|vl2p2bt#1zLZ9Aj8C(&A2V3j?0RPhAT;jbGT7T#~zgf{)I;f<& zjX0{lf~bCR@MN?yoR4TW0_ptk>Qk)U6%sGW#)@ry&pmb~#s31~YvaExQ`8g}w=eM4 zLd)1u*o`*J%j@b*mrkpVHW)cQej^N;QB5?6P8fB$v`RcK>YG;F_Pb2{TDJ9car$?^ zrTWnezpbR}=JS95!RL7m9@Q8B1b%it^xopBG;64$G3XGXV1n=e_NPS_^$(XBY}ka$Bi}re1WhLMe|XyH9-UpE zMPL>HB_xBC8a5i3CXwq~MuJ^% z83~trWs^cbrxES3gj+Of%FIk!eT)M)$1>TM2x|Eh$T(%EUkD=3s?N|9h;@K&2&X>l-!5 zvq)lCNPx{_$eJ3GOx!GR3D2&Em_vFa*3b_+dUoL>M}R)1FRry}!y|s?GrD1No(1_t ze7oyz5%F#F?N+ze+@ed0gudX9{P2%|`64FIb&BwqJez2kVKOQkG~a8Y3xmhx*+fH+ z$+L-u9+Lwl2P{iab(QKshRG;NhTcFya+2gZX&#fi{eXc)um+=9E?8jlT>A%~x;WGR zVHG9|Am$vE9(QH)vi)0tZ>T#up{zr;%pvl4w!+OUJ2US~N5MjxZEA@F&e!E`TwNO= zZ|xZFJZi9Y17x=I$dbTj>O8I*kpGAO<`chd*+`!2$m9Vz*}lg;D64X4$^cpOd!S>3 zJEFue56H)1Pu5Nv$%m0O?E(4fdpylTya(j(hsQ+K0U_8Oa*xDMP<)e*pP1lZy^WAIc(@N@s1M&9)gx z?99C2DsW1NO$dS#lTGkgH!O*R0opL-IFAu$pP z2(0`KDeao>?5w0m9MbN9gM->if>6T)3QM;?O04E<$VA^x{%_H$(<g@Uci z9p2$t-5lOGml1Q_E!rI3>+=LIad>~-XMf3i|9VPhwr~5^cIoc(|LV&~=KuV=ES!v4v}IK)80@E!xoQdeG~O|Fif1zzR#0Jr=|gWf@Cu z7I|A|J)iSoPb-g>C^|V5BW9K;+7zmt)!@HEFtbGGsFE{FbdD)Gvqa~Jl5 zEiX@QiFP%66yvm!il*N4q%TMcRaiy03ZbWlB_!F8E3;#v*lwhDXap4>SC4? zL%hm1Ci)^jG%1F}g0+k{8Q1b-Dg!oKTYfG)#$qj3E)OgEjHhht^qcH=?N+v-vsu^n z7k=V`?E;R@ea4C_^vcfd3cEA&vm%Z7B108^UD%?@PYcI17$uycD`aeLLRn9SE{IYO zo;nJZyBDQBGn3gLR$Bk*zw%3k z=k>Sf58fHI<_yhcW*61)2Ph|uO4kn@&T+jYT~100sem|0%! zfTr4KKrY2Np_bQ;a$dkh%fgH+Ku%ywS&?K>{e`b`7TyBs;Ktopc*V-3*>_1ctyrzj z>Z3#4c^QRR_i`^|Q2sVA<4w;--Rv~iy6Z7kd;Y*b{-Lk@>J$Ui_r+p>Av_Bvq>5O_ z%91K{jtrY%ekD5%%y2zBu8LsIXjp_Wk}M@VjZMX8*awrB5rT8BP zHEH9D0sDx2gHKYU~XI01G92_+k_D%K_`qj02#I7wCdo<%>^YvCkVD^tRdc3*wkpbo(oEX zj*S?*HC8ytLQoQPf?!|QEk;Ct6SQ|MFOMeZ*pP7bYJyHxh1B76+5*mY-ne|)VScDk z*NMsf1=0i^n|JLehrekF{wJmF_9J|*Rp4RP&Vdb@H1G}0m&nLraT_mQp7*y zw@z6RlNXo2q9b|9!fljC<{Fz`|Z-gn(&x!SbuY|e%&qF0;bm!F#Ws#@JD{^O&|W$Q@7su z3|(K!fn``97_kseI}88`G*+(;Ks5qS*c7nd1d(nLe93#behWd#=L;mg0Um|z7;(;g zL`mc@Hs3wykj1ng@G&R^iS=>nBU%v9mwW_szCvC)MoI0k5r;!-Y{zgfyw~57=t8omcV>LU;6>=4~n@3>uqw7J2Uh= zO^hs9Z<1isP~rxpOb2hfo1Iu6C9A#iY8(%BSDv}1TiO}f>}WPTZeZ;159qa&VB(0h zEY(SD_6zj~0q&7=Ug2Eh#t*>1DCp7N* z|L6Xt@#dF;4q?vu@-LEtg)G7)DWM16Y@l{T{s3Ien(RrRIG5EV>&d_U$m9=_cAE~E zNy1rHmY}$wUmliNFMB{1l}}0rnFMg%WsyMu4)_Xe-)}{y+FQ3}JsrO!ZMjvxuhCr( z9YS-sXs=Y*7gx7WIz+LG{AwBw7poNE@!nL5o2yc+yG5HyaXl)<55D0;-uxSvRSE&u zD^)4>^a`j-QKFlNEM!(Cl|pl{46@XH5Z0cCha$S8qE{)puEKWFJ*;hB8ZlQX zj*J!v!A;0=PJ&*g=(Pe@v>BXLuB4n-DawN^R0?QDD^&`(LF6#^Ej$jz>|Uif)~!|o zv4z6uIK9RP-3UGlUZVrFq;gDue~xgN;A%=+2J}MmvtOx7L3AeWKUl6XIt%lvPk37B z%*|j5giHhNB~6($mo&BxW)28h>ufDaZA!&$Q8JPiaIH$kZR!c#rY97w&|edc1;e*@ zL6zI|gzM20zU=S(#GiS63Ns*w8eV_P0njys_R*^#g!VxODPRyb1=oWK_5;}`#b7>! zCPqn+KxBeL)sj3BmNiUpg_k5q9EyTm2!bK~APLe;F~OGIa7C~>)C!kLka~g%f`Sn~ zc1(?`U5iF-X@|DtjRTc6r#>Nm>IyX)~9W*c~kA20j z{grQjU3AE6F|?s#D2;x)J@zDMB9-#K3Q=2SaR{gw1)GX4UkWxrJ=#)X69gsr9>^cy zZH7adf~Y&vob4pY51Wm6M37pnGg!KWrfftBzK0RfmX{13NKb3`A!v|BBr4j7;E(PU zkQrwvMkFelU{`}jLq=>Wn9+XfRbWT3x$_{fPGCVn3kxY?ZLkwW3(If{c;8% zGD$F|uwxX)Jk)_TJ8Z(_nwX#u#SX>Y;h{KMN~e>Q!~~P<&;m=8s^Jj&KRZeH={4P@Bm^CKW_$JwHI9MA5N4|$irHtQdtlRZEudw@>o9-vd{T1dBZ?ULN~(~1WO zbFFxQFw)rv=xt}8pe;`Eh;fR8_z;pVR)cdpt5P@=x?FwO)xP>1u?uEUCFKnQner#= z$t6-ZJABLCg!>&|Pq#ncAg4TH2PlV8+pCW|yijicdWYA>?_b1eutT;#j@N73b-Syx z>ie1q;O7^AYMdVz%nt`2Ol^^z7J;2%SF>;Y9&peia^1*h3prd&Q@f3|D16ja6Ar63 z)Oc&nd1FB7b}hHXl-`WZ_4)hv?oF4{;b2e*9zVZP@ZNLU3&PSD&&i#vu6(M(oNX=1myDQhUj1AXUvFP`qdT~1t1L{4D!U7^Oh=B`Z3&E6I6!lMrNL~qf$yTV<09&uNm z*{lApyy2;*o_ha(^-JIQQ^~)hY1gL7h9qos(;7%m2EDTyLViaoO`twtg6lb3ksKOE zWG@eXXw!()WNpO0KepyE8ui=Y=PU{;$*FjZf@>*_$nTa34m6!aXKC7AHIXF9FN+Bt z=XhoL%`rhJD(87+B@PrN4}0oMxRB@~QqC>5yh-A$)m=68)uf$0c5%M`nRT zf`?Z`n81=LBG9ZdML^b*BEmdSPdmf}mdp#ckZ>a1SJH5jKa%hrs}Ge{8Xo2ZCzJIF zn-^=ni{#3^SnF;P(RV8$x%v{4(|7%?&wN9|ehsvhX{CwFVBduriIffDvkdx*B_^8M z5u8&=Pz)E#?S!`r-~tdtwrH8!A@2Dg7|S-{!5(Eeh$&;4ko`X7c7jep{bFfqu~~v( z*PII>fbJoN@Z$_`_uzcmP89qJ>n#~5A>ouE8 zffAl|*E)Iadvv7x1dadWb8iU9cFA|0`e@N*Cu!7Ur>Zvi4C?_A!+!1dxpZC6p_G zgVlSb$*DTe=~|kr_;6%yNZ}tuuGU8gy=G2xQiytS57CWc^;sfsW(NntOr+ z{gQGE@usB1QOa9$C*Q{E2BY_1*)j{0ra;&Dl*2o@yU%;iB`hGm^E@swjs+0DgS%Q6 zp}53j$XprI`v!kC_mu;S`_2Xo9v_q5w|(M|f6R)a#;pP&Gb%N+(5%m`0zobBtpdT* zGKkI+ywvvAPv{9-S>qL!HHHd=6z&eeajSBa+=6JEYpQ{*X2H=M7E%}*tmW&>zRjV% z-t5~f^VgJ0@nK*1hkmj9sFao|L_6ltHZmRLv?27$M4bt$BS~%x{5*jn7lMar0JZ`L191HuClcriTg?bX6Ht1Uy&x@fI~m7`R13kA7o^!` zf?zme;U!Z?kJ5J43o@9U1i?E%!4;fH4R{Kfv9!#I)Q~iak_((jM-WwMO$91SLiVU$ zWhN>5yK_YFUOe=mMyf(CY?7qo#Lj5gcPRM48R3S9pnKTFF(qx*ZX}p9BtSmw_raNO zlB=Eh7w4|hU4Wee&jFSK(*c*ft6t?s{7ZKpoPrmEOG=i2r9hv+rHMg$^-f@`BW3dL z5nDd%{wOvCZKKpOO#9%4rqdu?%^kcxB+fvGg|-Qi9lOwwbPxFM2nsK`c^&veM-PO1 z!jZKq4+O?UUdM>c%RS<|u4UYbk0V~LQc?;o>^S?r;p0g63F14Vh^ONe@4HF1;Qf+J zSP*mxiPSW3_K;XtPalJ}Oe~<8bfzyQ7v)_l(DmSLTM?G2* z>q~n?hDkZ5zemTu;x`pzj%Hu~DqfleEU%d4=!PYG6;Bhd;%WRUp5m*BrSK}A;;V?I z(W`hm*{g`9loDgFpZ{CDac5VdUEM8+0^H^Ac3^W!f=wC z>W*L5)Bd<%5Ra$R9CnTOur|%E$vmtL-(o-9ao6}n-DbaQG7oFR7cbu-n-Is_x$|$@ zU6V1;G=+y%*3$vE)vob`E={*loa=}>UZfllxblB>}x7EzHiW#^u zPc+$AG@Bw^ML~1(Ey=|J$G$j?J8tQ~mAWCfbl~Ujzys?U-OoptXUN`T>UDhd=yXoG z*U8ZwCGBC(1*6xR>A;`(=Re_7{;fm{qcA?UplZ#cS+<}^$wF*dvY-w~E?Q+lH8pe* zfYFi#)uS3pG`ALnwbb0az2lS@)?}-_16EndZ}NgpcX_&RTXvwN1vRFhb*jtLJvdZE zxm~uP_C4u&X%{WA%7VK_RV-v#l?t8~Zv`6$s9cHS1WO9KYp&qs78E|vI{V)Gp(V9V z(t*S3(|vVRj-5%STBly(M8E|gD(gYm!7}yIn*bmZ-YeA%Y^_4EU#;L>)SH?r9c?S> zM@f0&uOmgy)Ho_}A}Ugu_LhDw)Ha#MvZ!>aIRBcM^Bq1<(4!J5$rs=S-dM zuJlz^)PULrQ;lkdj?j^4?!gKp+8QZ;Y^)er&hLXPrz9(@OO1^cMln3A3lUa0(uIZ; zmqU^ju+c#m1hg2HU(652fcOW~`*8n>;Im_oY729ze>M&PuUtgx!vNQ;?3AEEL;<>> zJuDp{E9o{~0g^jqV~t;U!;9vmb!51D4yjY5ScLL0 zX&o6?#x;oL!LJfhQKVxEG!9Y`Qf{maqJcnTf|LPKHNI3Ftw>24uw04(%h4WDDiue6 zMB_k-cmBp1=U)||#7;%&F}GpM!lHwco}--5*rGG>0u+AFq1X$X+XVz8;(;byfO>7x z`?HMR*~vJhHRn)QoSKBf=0)NvMM|u3Y=Q+Ss*XH=vCGj&CLUm8S1%#bU`GzsPDmGF9mKh|Lmo2|O~sE<6%|%boVqjZ=2RCwe_|`wGC{yj%RsM~ zWG<8Qwp;IXB2u1or;`I3Dq?_E3@g3yRNd)`qG#kzkDvvNW47S{S~WqtK$S0q4}#-? z-18AMBUvQ+&KI7wIC&I^-PDkb%`2kb&$4L9G%=l<=44h=$IneRom( zREm#2p-`oz>pI~?v7DoVp2%_&S>u`O3Z@!QFjbM2w zem)K?kddWfI>(+6bYRe+!}L=vaCT~7MY~$y&Ih81|B)egZebXG6~g(Rxa;sgi6|JbUQOAmzqS)5=6Sz(NpL%kBl)!BQr?s}ARKoteLN7R%^LKNAm zmP?bb0Rv&w^^G#JllpU_jmGpfcwe_4xg zO2Q(870@C4vA6`ZNQ#z7p{fk5LCox1;ExTgk>48+1Hb=QDInt3#|qNi_oUhH%y?t= zb2$>@XP|Vs$T0ysKdL>z6-44MMDcpW_iZXa0TiNA4ntaXP<-E(avx@B;6idxWM}vz%AO=Y5LwXujaWNk3)3CQ~_Bs3dk!i{mr}92nvX+M5qdg zRwI?d5->?9Akb-QCF!>&A5j2+6c9fWnjFLSz;cvBOU%h- zSG1#vE2xAJi6Jg-JtZJ6iTE>kq$XXsEa#FX%=ptv<-4dfc zqEssw{Sl4hmiWQR|5M!|L>Sz|ScbF$_%{k%K(Sw^F{>4na}}yf2tfuzIjKHGT0s@~ z0VnJ!k1>|FRx7AfQ3Z$x7OJ?1c%an^paMa&p-bmWOQMXq3NIFFFnskr{SD%3)K@I{ zqOzoRK6>r06NG2KncXbC;*-8VSZHD=M^SDL(;IV{eJ)0ZxVXKE*M}RdH@^1CafRh=|{=yH$syYMlUou>pvBmY5Op& zh{MqCI_-NhedN5w3#X4heEtz{2nGDOv{KeJg3}UveMV88Ju0#Hs-OK~vlJocz&kK! z*_SUMwG<&oK)GcRAt&~$EwPg#v#S2baus9oYLW=>C zQ3`v5alJ!a45|IPWbD`fxNo)hoxOVK7s3xi5pwcq=2S{GIEr1gT>4teM;cZa=~YJ2 z9X29?T1V}AGWo(l3s$d}YtC7XaazpP&4C#?tgbWWYgEe>eqbPFU2cDvk)yUUuwE_X zTo62m)(VMBll|&{47yc2_&c)VllN#vaTU;WH?UZh+CSNBGPzeiT!$0DH;c{7O&uf`#!1YI4W16n(be~4G3 zs{eMHd)vv8;Cg%Yhtq#G0pJ*f^3a3FKy=EzS%BTEuJnSA+7 zCzsFkqCv}NT9?oCXv-(jmmz-K^vHQ>;F?314`v!+`3&gH0B-_XuNrp+X9XB@ANGIj zBTvHq5l$Zq_Md#G)~L!%0u!iImzY6R#VP>kRR z=u{DDQyFv_P)iHJQTyV1z5e6;4foGj^=)qH*}Fp3*`906^i}C{>%L0A zEc+&Er{FJ%cfnJW9oYJI8EV6Dn|`Rglt#Z44 z(wUMXqvAwKb%@`G>vNnf#ByS+Ab5og%ki;-vMj@S7!}aBXW`PQBTon6zkLaS(H;>A zo2BuHoRF(KT}Pgl7p~D_>J@tQ-DSP8`!x^$`j$ zA4r|LHpYQ;5?=DPIn{cVU~7cKL!rOKK!y?t60QuS9LuB%Ix!}3cor2RJ`NZ~s4J%fDE<3RtSAVCTj94}E}_TYkZQ}o~o3r}2l+%qqyKn|cGs$8lk zESc!bo?Crm?~{X`;1WC(J*e4mOCqTeefiiiK1Na_o6R-_k)tn~S%`AMoKw&*pOW+h z{V^n>*}jIupN(8QBS&9k#(K3Vz{R{v0I&m}o=J^m3Q)l{Iy|Yd?1Ezmo}f=QX%xaf z_#j-2L6}rS%!|3%ccgtlHHX@qp-F>%LU7zV#|Ba=6D_w#(o(Gahl;|A!hobkugyqb zLe>#{J_ElSs;uxR&1m2^J^G5?mA{-6ZJ^P;ovlLTR<)V zT)SF=cO#yXT@`*LXK{i-)qFAUToq@ggL3cVscLVd{9G@Qaa((s26L=@CI9;IwyQmMdzl$H+rrf zJt3#8fJ?b5@FR&|WFHZ*6+nw{%%l?a-{4Up-j`oOBMQF(=Lcja@FAw;?061`6K@|z>8x_|JP(lb& zh{6Caa3UXxBIF0=P-2M(79h-6+=PLo2L^Nrfy*NILi%B+L*7l9<(jb?(KCfpzF&5Xc0hnxQ>2GBjz%dYu|**?~hP zB1=PO&j)Y<69XXD8t3+Ph*vmqI%5l@5Jl+s%1)Vl-EVw98hvlDy`P%`Fbox;paT`N z`vHiGxV;e?tlU|g0e}D&HV1b>gqm~Zg9GZ$Rh{rcq9+)FT$D&ivg&28M@u9KDZm7f z#cA#rIEQg?1yr>uD#8SuLj*HqbKYLR4-&!L4vR#E%FP9{kmEVNkdx(`3b|#s>CtG9 zC>3%`M##PMwC8U3z_4-!HRSC(+Z+9@lON%oEf*4IAlfOC!Cg6Z$ixE^G8$j}%gRs1|FSFoM|(u6_+K*O|NXD~{bf52 z75}dK?r>aUEr(dKgYp$5Ei{NCD_?L9%nV?(;(s9AFcSZ@0dPYr{s+Pht@s}Z542HX_B!pyQ8aKlXB2omSh8>dv5|};g zWOx;VS)5iYN;r=>^k4*I>y?_h*$d7`-(jKavN*i2!1RKF^% zSF~WhE6&ju#xPEizdvvF#)r}vIc2?~238s)cZ>jhAdQhDLZ6JgkK{36&EwxSMlNcS zsU(%g$kP~kqDE40;#(RcPh;d#Z7iyaz#08ohyZU)vi~S}&T$l>BRapm?N`t8N%_v& zSFoVi{y5!A1nq-=9MR&W*V|^!)jS5!BjWq}4{D_Xq2|aVL0yxrf7&JG>!pD@)Yb+y z?$%`E4Ay^u(oV=e;5dUO<%dgK|3M9|dkIKXds-V<{{iNs?0pI!P{rl=9C}U+~_aQ)*T!*e!kb7)X^)euvZX_yoC+9S4dn)wz zC>DC6at^mIb5`>}EbuNU092Bg)44kI>L_yI_DdlTY;pXHoSQ>O78$ilSkziA`HLJ_ zPDi4z8Rn#Of^jNQn2}+Io=XMP`QYD8glg-GMii! zEng)#O?-88`b(-jKTWea|jLgF; z*#TTyjQ2v{f?w_v-CBJLjL87qTGM`Op*Gkex5B3kk2dYjvY@DC4pUo0k zE$$6+gLU~W&j`=Nz-@|xj`CFckMk4uV=aA!v(4PIPfLzb zS^9&vxT%s~ZHRRv`W1AHVIAHEg;9-$F=t>H)hK~{GGED(NV?JbA?$QUgW#>;ZoBXJaIV2Q!MksW5P+GGQP@ zj7LN~qZ|8{qH(FaH|VcaRW(u= zXCWm@)u}!A5arv2K<7H$-3Q6zw~>a_KS!Aq4@Hv(aU3OQTale+PvxW$j8{PV#$VGu zcrz@fUUiL>wPn5H-J4JP#;-^3g9p#BvnEb|2n}r+izwOq_)Hh z4-*+GvJ+M<%TQP=?r(}L+YcFBX&=0_`A$zOHg=MXpx2O88BwpHp-V%rFrLQ_ktL8!VSEhq*irOU%W5}G=2 zU_m)DXmy~-LW(67*y^`6*hpylfyDs@A0pZa)1?qx&=y!nuo?mrk~V)B zA71gmTF^jvehC-z>lv%Sg*n12H=EXi6AeYrqvT&`C}{;a+O{Ujgs@o@CE@oW%Ho_< zK4`+8D4!Gt>^pCJ)&D4lr!f@j(}Iu`4u!GHqR1|oL9C>PecU``6g<(a8}_3;qEts& zGAUy_UUuwvhi8lr$^^59{lE~0HS7n5Fe0b>pb&;N><5N0tYJT}ioP}M2UgLyhCPzn z$)^B43R+E1Sb`$BKF+dKOW6+&HbjqS=3v86ErA557yLd0j>WMF2{=*hR0REo{$-KO z(zwR~&(x%9z{DBATzs7+l`)=F3iLgcgS43a>=&~iU;N9yOT~YhF>X7=jo!lfneL+a zpA-Lb)D0nH-05P9_!iA<&d4qbG*cmbHrh)LaZ$hm2IiQp`Z5~O#OA*>fvd$L4TNYd zxajI$sTds^Uc`3J+;^po&mUj#wwoXYRQLiI)wtFa603s4II(ose@(xR9oJ16T5JI zN?(iMoT%{{8~Us}`s*iqadm6(0?ZOqLCA8h%%NM`b);p z-{`To{ry8h(z{}`TL09&%Of6-Od}_5k&(b6LOy~hBUz#Y%SaY?xl6@dRP38{2RaRO zAWElG)B*`fC=c$0PMDDn0za^jr?fH}2)}sZ(sY98z5BW_a;S`BR4wc^|j~Yla9%RP zd8O=?FMD=C3OKiwn&Ij^_KDX+Qxj@AYA{CdIYh*C^KS@+UAXZdr7T{s_N{v?x5luP#d?YAh!m(G%V5|&`fc$* zg54)87})UdzzXqJFBn)M-s%NtX(ELqP_{gYrnht8Y!AVWoc1Z=o>IIc*UQ1CF zdy*inUQhzF(@lCVLF@+&uLdPpu?(sEXdS_2q*P?w8EU{pD7)2B{}(VS4HL;;@t>XaDG33W

    Dd`4Bbv00BC#egX6+BfbW1+eA26s_%q*=nK z(NZcp4G%?9dh|=PhNqx(i*5@AE}nBFy$!`WWW_~QJ@`>h&$QyEXKBSv4_R@z&Il_G zvkcCj98kU^1y&*k5;>g;38xdk4^E>5urk@;j;fJF;iH~xOq3h3SGm7^VX>S?T zk>7{wQ@IgazJqcwqw*aX5P#n(lXaMBC1{gWzQmUApmHkF@8@zQ_WCRcysnCJBanW< z=|$yKqVgRW5MQ6=L-;;JwESDRWq-)2>+cF1Gai(&bjrtr!ldOM=IOm zfn0~AH?_ty+t06_FnT7VJ(d3B{Di%!EpFGaHDNpJN6w(p`P6-9UXcBX(CFE;3O(Nf;oft)8&g`e4*5P}? zrJ+J0Y1IYURK-2$K&uN^&_Nj5H9|-z-NBfpQs8h zf2}Jk4Pwn=5DSSWyuDR`<6XWSTv>^?6!C%;F?QaG8cben_YJ4eNuNf%(t;B6dX)gu z8bk$fFL65eUrhbKyQ~+Kc=->meJss8ae*FqS*&L(%{!skShC2~P|ryeUUL39_)1tZ zKU4Eg`sfO2-pMS6z2>H!3g=Isu<*oZA{uox4UJ^)($LVJ>Eiz{*WNb$i%^RbUU<}> zR5Yr4M`%Ql1mEgwN*k}V-4EU zFw98Cybi2at2G$Pm=V2m9qJ?uBx7C&qTUqsNQS$#UZjc_oTW}bCO=7ZNv+(zfh84i z`qx{Ph!RPnBuP8GlZ=XBV-9|$6ZZQ%_?zKV`mV8JRaMo$cwiJE5w1-IXs*NR7_K>8 z$PdirT=|cBu}H0pqk-hv@B@p5Dx!Rp+(I=injj-cFUv zHG)U+NUmmrnjSK8s5Zh+B8uY>hlCm&7yVdHG-j+D9X;FWrTA1O*WAMPkY|cj! z1jaQP4?YBIgj0o|2!IlUbH#wjWzX+J$RQacBDhcF^5>X{yu4&6X-%hThW9aKu+rg55pOmXGeeGFy($@Zg#(&kD^9JKKkOr9&z|3B;@*$ zejzCeBp*YQ}ORs<1Z=W>;v*fYNLorK(0y=S= zX0}U(0>t4;WdR|=nZg!sV(=bws`--B6DlQie@cXitY>FnEXl@c2F8-&I86ZfJ}-PL`!r|dWsX-RCNS5gU8#?DY}M6V-vGZf^EiARAsi=PMR z0T;En+rQ?vL{cT-vjYtW~Mc6p8ghsgewBMs1{!Hi+A! zk+|RFc8%Idp*8_6Z}78LswQKC~|-pz%+Q950` zxrR26lG_@-xiC|2xHa4v-7iem0cH&qp-US{S+i^i5{qm21+_eFB$YOjGR>g8^4}Y> zB;DR*VY)YIBdNtxM;*6#!AT1ihmKmFi7688M3;;?ph2#;COy}ls@+Kz&hpQ_@W=%L ziRQAK)p@Pi`jXdA8dj<7v<&%3oY#J!E1HCXh2EMAWZ~#QAk~qzK{{KXnhT@`>OfQk zqCx=}2z4+n6mZaiqy_50aJbh(YRNecvgoLDYH-dB{-Q6WH zFofjL-JR0iDcxPtrF54xNH<8Q@4@H)d%tioGiUZW`;N8Ny<>JcjOBj9$eIR~rL6N7 zORSSH@z>ud1v;D4=7$FjH7v0VM8pVEWqqr+<=?w;qDc|KNsRDr_tY|+@E*3}`J9$C!TXZvSP$!* z_yw*_&VHRw_JNwLe+b!LMsh@Nj^`O!VcG{!!31%G(o9GnWKt53Al2EeKB`%~%ab;y z7vrf^f5A7}?$Q0hU*YfSlVzj6@JNR6=W%GY8<$Z&ZA+EEY*v2b4T$I|ZBP12L>ZE~ zE%Js1A0|5R$4;H2IaaMEJZ!`W57wx29Ybi*p}qPdTm<<+c&6BAN#A3Up5LI_?S}=* z@L!mdTo)?p&-C93q)g~qVp}+dbe`u*$b|pkV6+E3$b|WS5R^4k*}D>!fjZD9QLHL_zQ(X2pLW^6@xOR_xbk>{MTisPb za&v-l9vITNmW(T0g(AyX?f`6Ln9pi4?`AM#UFIot({rQHExl5ONQ#*f+L~le9C0~e zJNgdTzVdgSrKBpm_v&2K?tja90;Xes#fWyO)GfOW`5ZH%;G1HFen^Ct4I&Of@Fb!p z%nck|BBt0-ZGYH@wz+wvYN>Y`q?C1zog!7yV@FdDJee7apVh3pk6HH_2erXwtOqy7 zFPaIK@id6e>r@niGD}V|g3ZhF0)ylRR1^}SWBnvcNd~Z`lB($VPV{jg=;3rj zl8R|&kd(wCk>QI0ZzRqZ`f*Tv>hOeM6aO0-_dv1$*7TS+oROdE{7R@)iD;w}8^*#_ zo`Mk^5-JiA1~sV$lAQ|0S8Rt~4Eind7+j-K(;r=@df(uOL&-!7yt^k~KseXk8d1@n z5JNpskB;_{o@gZ^29^&xH~~waqKUE|ZbJ21>uYzu-)0W0R6_KjQejc8umr~zW)+h^ zI72_Vob5`JLRozB8~!m4cLlSkmFQn0Cw-1thVjh@@*S_Aaygu>26~`Tt4tj$)#;KY z)_>gtvPb-e>&@btG2N2C)yKnbXuqFUkG&-{HG#x~P3_27m|3egy6X$5#yWa%(0^cR zP%@88))BDaEuT&)ZAXq0?VN?9p~Ex8w0pOun|7WvgL%cI4p(GZSZT77UN&cknaDd) z`!u~UHxI2w9(gX+ZQp6a`}OqDKr=78mpRTG3_JD*fH0|YD8+Pp2YJf`fCJE=PG84> z+0VbMr&R~orGCqeK7?cFp*hwb^blcI|2kA;{jH4F>*L4ZVb*^WlpeJgGGdZib3u4! zYt;M7Y)w2aJeHTtj;JPF#L6-p6fO$gh%rnw-JKoDp@}TX9A*D?XC}s zZGT}>dPTx1VG9Aw>?`(y8NtI^5gI(JJ;|f~TbS9kW(2cZef;Jz1CMuWdGik^XaB$q znr>LGLCVa}t3S?P#B_1)fypP%ekq}BvsLuhwW}Eaf{*+qZI1cZ-c*uzdbB5=4C1?c znXh!x#r$PQQt-YMFoeH2f0pHMlwK9Z4IsIj4+sW9N0TVgM%m$T1)Wuby-HZ z+nLP_HS1jAI`fHS5l5XRTb7dYDMpOvibU=3xhQ8!PU9~9Hb@v_+_28qKZ%|vM%{wj zA?K29lYSog)XFSU9<>g9#P-d@QnA(rm50Ja;2`M$sa?&ye*&U26|A#C zW8_b#ZPsEJUV8*C6}1Lc2^Rv>ptne2#QMC^hj{`}l;7j@={|k8OJHEQ`mO$?FPU66 z6u}qRL%lXu`UZ0xho`KW#-b8t!8Av)FyN5oX$0xbAkEo~APL@WqU4aqU_oZ&+Me{E zoZvHYXITjp1C{Y=B$<`F77_De1cdO2b*ho(OJ{^>Y%J6dC?7YfEQE8Eom3U;R~jAC zaSGxt&k>|ZKy>Vp{ex6|b}r?n8`WE{fopS)LS(8pncU{+{HaL=J;%> zm2D5W8^M7oS}h+m+pWF+_Q3Djp~AK{AoTl9Y$RW2=1&S__#t}g7_fH~(}epNr}2Lz ztMO;?(6C%DC59zi*u2qdE$0~Gecvl87%kM}we9X-QCUA4#}yy;)|E3w0<-GQhC?Mt zXF|>=Bh`2~Syfo{LD3XljV`~GnH_tZQ8S1`=;3YzfTNqs{*O9pGi0FMSEd}wRZNLdfQlBH<1|jU< zCOS-yYf7PF|6)MOV%8^siw@yXs~uufogTb*Cb~rZ!*($|^qIcgS@Lr$#t6!WT{51eGT5NnHWh=$` zXd+SU;I){v^*Xj3&5Y0U5_Lb(`S=r^(p5Go1YZ0H+a}bTmISyOPKG!lMaZCW#cttg z<|sLnv)b#$F$6N4NoOr6NjH+L!N*LSRFi z4i1OTQYJFE-E!z^)LZj0pSyfou?PkbE#XvT{yXV-T86kxFT#Mn&-gf0(A~R(E=G#(z?`$yE+7i$-6k z`Z3mxEF-DCvo;uivEm1`!30N*_}Iagf9)9Wn{b@P3O_p|$y6I!V+w645)b0VipF+= z<{Eg+lxXXDDCLGhQ^tHx0mL63oJzq96p=2yrj>aG$dVLgzjV-l;Alggjt{wg-R+V1 zTvk)^jVpGntT0zC!}+TiPouV4MpEm$H!Gv7-^2viW?aaeXn@^>SIlld^T9prHX9{| zk-iyUAf;ox9a!Yne?8B?#jF~5O=QZHaFv@*_0~{P;Y?Np)!FAw?48Gt9m4O@ibnZA zv(;)x%T?K?z5BxB|9Qhhi_1N2rv+L3{(V^@s(((kV{ZcSpo1=4y1Z3OfPIV$*@%5s zZK6~qQ+lnkf#V{oF%J_=oSY){@5eobW!NJ&bOYp%)fyxF$KA4F88C1y30rI?#1=@) zBa~EHDFq30HLynn*{D*pN0V6|z%~!XM!l4))Ao?rot~(9F9s}rjU&+CIt{Bob(u-W z@0yqok3>ufd2ZC%OPLL#ghW(D!Ijd1IGmJu%kGuECl?nnST$iWYfKA($!sj zGwxxLV;A3W4}BG4Z?%+7WU>8LO4(h~Qzr<&)USv8DbcaSzDuQzc3U|n(9RM3fq!GH zR_eV)ciAP}Z7heKCX{6&OqAD!Y&y)d{PDN6U14Sg8Q(uVZ4AB|u~*~XdHA}g#2)7J ztnty7_Y~2*Wkl>VgMN4gp)h+qf3qo`4#L*^ zHk`BSfn#r-pr3NTNU*_O3DUSWCtS@HF)cBN9cJjHl~)J$o1~q*73iv;8*?X9!xqEo z9Ikef?0mpbQjw#6W{~wr-f2qs4M;Za^3q#x?c2`1Q2xBx$4=w+Grr8*UCS5(x)FNk zLrxU!?0l~I+B>8;)303w{N3XeMIQ4P-F^l?yD5_HO#MM$&u6IID;cUCYL)+J=1-dc znf{#Ir^jR@xnd;KMqi#AZsJ~uyZ|jX1gety1JY)~vqe}FgaN)F!XhRrCW*6wApLYG zoh*l$mZeTXeKLn zNRHhiNt6CP@XE@}=jQmgsbRFRNQZE>dLk z)B+_*_1A|gGPMkeL^wyVfUSEdCSDU0(u$z7s#Qx{NQEWxF==LW?`k7@#{7mKTCUXQ zscMvLe4Gj>5w38PB;SskAm{6*9z)UF{*eBH8R#!tJOf|>zm3eID2UxG=I1{ojNxfG z=!B~zvPfUY$c{YiVGGFYnmIuF(_&+x)h+8LZ|E2*mp<;T-JZwfU_0c216twIslmk4 zVIJ&pJX$p7SlXaJ?~&z~N_f$C7$IcX+8@ahXi20oX3-e1OFqG)h|`z%3$tlElcA4R zE#icSk%{qS$H~vLpo1HvS@M?BuI}Sw`kyOcreJ3Z(F}8)Lf^s21RM&FO1;;mt5e;F zIEi4W!+(C?lcW#ERUtGrMm&_t3uvl;wxr$3y5m$i!E&M~ZZe4-7XC)<>#ECF7(l>m zLxnvT&4V?k=zp!$3Zo%DM9#<$EZ`(Dn3T5t7Ke}`Njbogx@bzAd3-zyS^$exYByHK z9gl`sqnR(KwPARM{7qZ`d$eR9J{0NaACYpXkD9nUQWS|0E=6f>nLk}HzDQ;d;(n|1 z5y8haCQSD7!>tNLy|A=*e|mVOB!f-nwElPiU(tc{T(!~QW7%7Pnr0IO9w-Tl3zq6% z?su+LpVFivY7Mh~Pbg}A^1dR(9o1p+-VUJLBj)+re?R{B#F(S5pz`W7qK%GqeE}}{ zvr}JASbuZrG(_D4Cx80m%kg?6qieX3PWoN_`ua;@eKQ)XWw8sR7^~-p(u*)P3w;0_;B!>;fc+O-`MfA#8d5GGHP41!Rx*Q z=tE@8!HlWTDq7)uXw(EJ@!M|8@Z9Df_V@cIx5Q)4Q|!)6ElbCk zdKAuI>=!Lm@QuB$19RpF%sIfzbX@=7B814MRx2JRnpfhEqR;#;c-x}eKCXEVrQm@S zYEQy!(@&HfYA=|*D8NUZs-?`lC$k~}-%gJM-N%Cj0lgkGcyk)~*dLWiksUfEsYQwx zXUDR{P;^np>A<1m)YvpN&bSD*pICM5?8`d-fx)c*4xOU73+sZiBZoaC@UmBd4!K>F zm^OhD#+2~h#{BotoyBlR-6!TRJfxW(*C3QZ&KH62(8gX*cF3lLnxW-!m{U_Se4?8> zA!cUogufCOobIYGpq3F;##Q;lNQ{0X`&rKud(iomnSS$KZ4i$8UK-LnwC@QgQ)FZJ zobds2B1PdgAK#Eo;l!81+m0d+c^RTnAm;RC{a(M@0lX-3{Xxr8sEvEzz=7f}UMj86 zM&W&VG$HgjNH$GuBR*4uRjBb%wIbNBDV{cGwWvyRH|(gjD6Ftw2P@fE5C zt;SfZlH*z!!noLvL9OJ}{Ptan3b7+7xLpi?+8xu^ze_hHxy%byIz5kwPDfGwdZ?vc7UMC+^L`@y#3J% zzDzayVkXNp0+l299sNyLYiH7LrnD_;Eay0fq5>~in5o|J;aFZ& zg^)FD8MC@;UL=m_>5p-s%$9*)N4km0;5kuN#LhasJr9!jXbos&d5W%IjkF5&RKbKP zrsr;>*$6Hn7(2808Is8bQ08}w?~jpLoC~57Y>5Qw5?b2vT$0Vmct!f(VW&W<98s^` z6TD39i57o+J_MDHQo>6{X`|O8GqwJqc;bZ+hpNaeJytqsx37~>!`){l(`EcnHTjCq zZZXx?)&q9(QgOl)Y_NS#BP>>Z5fMFHrx<)+XUP`>n82{pILLkX>lv7hAIVagQN@xE z?f5hC@;FSZhR(9PL9@lbH>|DqmU1z^&ATvVFfd$@l;0nU4*rQgj8QvXq}A4{N~Dd^ zWz*K8`+B0ET*BU5pQ^n9N6FJZ9d@7-0f&QzxPEXOzUzOh{loeXbH8}DyyaRHOL{_2(?~3GHW)jCJ4Xc?4VlOXSz-{wRzo0><)p=NBm9 z6It89QnI3h$3U^ZQbzvACYGca*U9QdRv!}@=!;`-m2UuLAVGPzZDL`Ej#y|{bdl8@*@D{ z*dN=lXM;bz1u+>no}EVMp8VOw6+LwmJ7T}{)RLr+R5RhaDU7!zp+1AF*XesHg=$Nb zdH|;1(w8BX9=_gqHHq^tK|3Fml6wNSkZvCHIUkLz5*m zCtp+0tUrxdW*@`hpJ0s7wkKb)3un?-Q6bjR%vK*_`YnnuB6iaTh;C3*RpyEo3dcVQ zyC@$C@BJydQO2H)kM`8B2?W8-TT+Yo?;N_nb6Q@*JF3PZ$uWK#tIH8&4Ba4(YfhGZ+U~aQpo;bL(rJHZ3uwr3N_`?tZBMU z9jbH&(sD)|ResVA=PbE1rT2F{_V{Q$Aq*6$7C{1w;$BWH+u_+{v@z8avJ)&e1pgK60MrV{Zgk@1ayT#^dXvFCl=L) zOuf3^+uoux5Z+4$ipCkIU5+em14JTU<=pS{$ueUXQ;oIuqZcrp&v7TJO1!*!ahQ{Z z?B(oy*98&6XEg2FRvsm;=!+w{G+=S=S;`**g(Y>14SjDll0BxMp(CiYsu88LgY$7> zQT+afaqf3TjFu&!>z!qo{m3abmRGC6>4b=Es558Cm~7}3^c(v#xN)Jmiz~s?KOgz> zZ#_E(B%QK&TNEPoqMcCUrJ~5YRgrBP+#^g!XITeC!{vrQh_busLf09RTBROR+kfFe7~= zZ$I81V=;!#B9m;*Zb%fKu{w?nu)5*;Zkw#iX^tD$d7i%S&7tG$IN-K;`kp@VEf8z7 zIYf8>4z4W!?lL!k42PGihAK2TdQ4RU^}XHa78XQBklf4yVh{V4PO>!2hQ=uYVkr)| ze~cwi9%-TQ47p!(uGpgK7;A)A%_ZFXX17GC2sPiv8Ea{~jP~MA8i#6iz)$b5+=$br z&(r~Z;-Y9;RXVmcZ9j1smk+5izNN~yVVn_>N>KsacG2Q}4mQt~D}FAH*~!cJ7)rk~ za}%`;TPTV_TV9)o7K4eYHq4j|JvUe@W66w!x>yq%kE6TwiQUKkTft@-@6<6(`q>g# zsx${$e{6hC#0=)p%doKmRpM9@%jbUg?j2}~QhxOAJ!8|_#``jJzpn_?R)VJ&=o9AF zowAjOxw9;MZ`Ft@q%AM!rUHA$v`TT}5&PoCLhHkjl&+877+MR?wu!5W$r6_>c>d}u zZcmS!8eJ-5`hg{noek^U)TqgI+fi^ z_OBA~?-vKk(?6gwA`OYi=d6B)xZ`gjg0!^w0*_5e6$bzgphkexefGcAs z=~eOFWdbJ^-r#9uj&Pm5)BlCf*eaur93b*d!tVD#4YG!00As(jDEGHiNeAN~o8g{J zJ4*jL*=V3;{&*srdU3R3c#oehDP0~_y3U_yojhF1l-+nEndahHHo!+iyy0JXwW}#Z zhdnUzFL4+hHkI2EOnA>fdNUWjv#^S7LB&{^Dz=7VBu8YhSj&TKWcK1>R2$ok z_2g{RQ5|WptDL;K)xxgRGk}W{E{+r|f<)@&N=Cg%r1~Q%BC!{5q!-`l(7J)jq!;aX z*d^0<1m3O-@LaIg>BiUi;X~$cu~b)exhE|U!4vWH1Kqbp%8oywppSy%XC*lu)3F7q z6@~{32F4Xe!wBX`EV(tRe%EX`>r{NhQ1DWJb3r8eWnGPecr1*ajAy#y{3Gpv0cw;u zb~9d5XxaDOr?fwFdMaZb@MeQMf{B+q7{tnxp6_i%3RnBR(=nxU-rq2|fF`6LBQ3sW zd=mdsNS1X9ErfJ~KR3cDTi4HAmQGt8ny^-t=h6`@O5E6iaaeBS&x6*H_{8 zwE6(Ds_({W<*T5y{2Xj~yR6^RvZ|lTwIpn~{^zAPacZEh3sot^=m{=Ervy`vv&A9& zzLY{&Ayx;S{f91acCDw`Mrl8y7M<}PPJ|lOV*6RKa>j27{7zx|(Yvbiw?}Ps$;JEU z*XztUMdsRRNYfT|>t=*go)O`5rC)ydba7}zdUP5JKY4qQdMQb)R9}O!>D7J=x&}jC z+%76#Ex`93I~A^|*V@<=tEbZKoJ@S2`oJYrte#G{h;jYnLH%Qyb_B2(PaL;GKFMmL zP1U|kJ&Z}(b5t*OEp%PKOd+Z4CS*jdaR1gw`04rXHpQ;%=02rNjk=)(c>)dQ_t`DW z=*oDuXX5=QbtjZH!~6R(Q8y%;hi0vaopyVa@wbj6b{b?DNNG9M2F`yht~xjkKa%4BNqAL95sI>ErA=av4_!>x3RG8`xFQ_uQI{u(V=!)PVyk4 z?;J8mHVW?yf7^^XAPKi2(gH4fS-R74%4dIEs%RdIxx&P6W7%V2c!H7ip)=Nr{I_u3 zYJUJ^)P!!7mBzEA_HF7o&KYg+n{G)q{#VTOfS+QcuEqyJAEyab8}4}P0)4;^@6Tm z64Fulw*^E2ii0)vy$DSPt*lfi((NiFTf{Eu2feFdei-llqVP=mO`BeN`<9Zl_@@ZE zu$8>EL2ZP%L9cO|QL}~5OkPmLm+w^2gqSi6j-QS3gE5j65Y}mWNbU)LO_+5}(0{z+ zqf;MR@ap=c@%0HMIH=2i9o`p)$lbihtTSe~JFY84!29N*whIoK{UzXgw1CCbj802+ zW}u(WA09Iph~sShgjaggwwxP!_V^H`gV$SE;l%iFsOjnlT5Rq)G@U1rGtu~PRK3yJ zJb{7WUJ5NZQ#)~0Yh^fizAz2-@4rlaH!V#-#Cq1auqSFSIWgo}QxZ;(NC(mfcvSiK zR@391%cK78=wbp>p%spdU zW)w=c#!D$5@zOys8^vurX7=5oPGHtq-nu|bzK9(K=4}o;x}rFRX5Nt_o$xlBONs^A z``Df@9`duKV?L8_lPRwJFzs_(`C`SZ#y|bL5+3+DoE<2R9 zm(B(PO0i(z_^g@4ZWi&D{2m1Az~OFAc=AMKIwMr*H5hc+n**|B-Z$T{1W4d@LU>Z7 zwzjsJ!?Tr8U$Fn!&mw724aH5t5PbEhQ`#@h&2A4uv!j9esT^m^iR!~BjGX+^=?B}D zF^k)+k3%HsrL7{c;ym^`znj4{EoVM2f=5$l7$;!FV63&Td?+fO^ox~yoGbw z`I}UwsHL09-Q)<7&KZ%y6v?0QeX5_!56{tHWcm+;g+;+z0=ewe&L5Gf5Q|}?sycN) zZf|0SKFn12L8m?QQj$%_BWsY6lm5k<7jY_Z%H3a&Ah*b5L61b&(7$n;c;}FiiG%L* zNyo+Gx~DNm27W3IMlFnI9@wC;jX}CS+2%t41CeQw26kbMA`c5@3o2KCn(Hp5U`=s7pWTh{)4Ev;YcWTc@ zi*rJ+(;+Xl##b>D$Bw_5imtzT;=QGXGB#~fhm=BpHw(BB?8q> zIaDQDj{SF9ne=p|NbBabG><(##x;?w!Vj3C4}XNMy^8#_a73B#{I) z6L9=?EP95G>8gpSXiukh#MIb-5Xs;F5=O>I41n!kkCXoiEVGD)heLelH=TPEDH8B| zI&g`d05RMW?QjB5`@DYo0iDZf($+3B`I56m;d~@+BkO60sL44dhqw}}?VC%u#86UF zOr02Y2X3X}7iNbavRc2!{SF)hTDZp?LW2Aa25!Q=l2^P@%sU4sB~hQeneSJv*ts0h z+wdJC9p7#^y7-bmt2_@=Y;QzQNFi_w-=vPDiz1?NviSCFqA?GlhYD?pw6`4l!-_t< z$dUWg3gNtEAgdk-Beg4XIbY|yft4nG6POfM=*yJ!GSYfQ3Jixlx(@-s`)pyjD^?!5 zM7(jc2V!#N<`==P5Y;)Vb>yUSNUhWf9U@K zJ&&%j)Q)rH@2jb#VEMaE7T_Zm7b$1-L5Q5GOgHX(D!<7G*@#jAJ3kK8Zq61|#=n_S zZPBk>iWA3BgiCKA&O?bG;1AusBMwW2+oK`tr$|HIJ&dfLjC1C<*2C@&q)KTiKgy$w zfW`V|qEaDqz{_5&-FA2Kwsqp&ras29>D62DA0O`w<&U82u<7zbc~=))F|rR3s#lUE zwS-&d5s=J1Ja#3uLMK`Rc17=`z$C-2f6DP3EI1mY9XaUb45G>`avPkG+|=^m?sF>M(txi{HS7z&oC=4Njahf^r@&{}*0 zX?Esoq7<^UPtVH>#i8{tFAO?7jGY97e>%@5_0U?&I_)q_yo(f2U^AYV-YeB(G~YZZ z;+g~sUf{cFT!5vniGNFQRM_`eW@ChZA`H9VHLEkgqDAz>crQKTxE^9_s{diJrTi6E zeYR1KZXOLCEs+ay_Pt6b!s8lO`c+*a0;}t6JN*SSKj{rQwNqm8wC_rHv8a9=f%}y_ z@V2_u@8KOEZq60X9lCziA6EfRZ&j3nQBGqM;HX;>*rE5Netax8G(b2NrbMhNb)XL8 zKFNAhxohc(~fla+|CLo zQcHS==>#iBbki8(90#fn5;KiKYC^<)*!%%FVl#H+RDV|+IG(LE1i9z}>5xVE!bHtG zV!n2gy)9djlMXol3OARRH*qyJVA5^M$I^J{j`no(NY1hod0b%mZij+AZ)i*14?Lbn ztF`sBJG3!+BlNCj7F?(L{%G1Oof^C!tT-(sKQyhsmM3Z}L&kXSN0sG;%s3ZUQY#Xx@F#Z%^EBmK zd^-Po_M}kd>d=>+TEsp4DGsmxY5^PL8p5D~@qJ-OO^l5~JweuQ8HOz9s<)s&%mt2N zOP%6Xk>u987(Xa;HKOKR3u(^#8}TdZ{o0*=YWp#38Qp(`&$jDQ`8{aWgpnm@$g!W; z$Uod^$B3}j^R{mFvgUZK*mz&cmeR;uIJ>Ok#?D95%(iB3hJWs@T0S;kfKV?YCN@U| zt|F=T-4o>+`jT7i)o}FrSLyZx8LsX3Q3}YD2yg8ht9Ulv&VqsR# zVBK@RDpL(2VQWt2>JA~osko2@6<}`<(!F)?ry^Lfmw{Nkb?4C+<@tcI?Ojlcy?ssK z9BCL9b83Z%4|(fem`IPn-%wF_|M<=UZznN81|$B<+s}unNwIW3`^+PS%31#FABI04 z+2JS&%8-+{Vc5DKqq7vYeMW%WckWfUNnVpy#S>W<;WbtzIP{eMv66TlBL@61qisS>dLro2Ps2l# zJ=pOpbIA=7i@D{|ai(BPNUZAO1ShB_xTc4fO-_~>0cbH&mJKfgf-qULusiJRP zc>3EL8hK7e*(KEH)FBc%s`vL>WfJ6sdA%lytC)QaANQ-3-cf_7bV zk!C=le>?K!japfv4tg6#>rdCm*sVk5Tr<8xvT{1yF-<_OmqJz*@*}7EE8=PM{O*?u ziI6DE>X$G!M$e(K5pJhK;<_H|HpH29{jU3q)gVX|_Fh$?yk%AW1!$O~2x%sjNxsiD z#g=vk=QpUABU?>#>7^x^rP7o9C(=yo?U(inj!DvWh1BG<(2L%N8y%NLaN4}j!hxq^ ze}l^=nhwy7;&yu^6VTD=nawwO%dYKX#ze>%jQ)`(=#ssdzlgrlbNm84(ZK$4ij3~9e5{Cu9s@(R-iSH zR}ajuJT8R*M!dl#OklN6;^bB<;@rip&wg#fIR+ZIKv%%EzsjM=L{C{)p_%5jGYcp8 zeU4npMVvyle}4`)z)d-OVgMIHa+=cDv&$>b-vfQVmZxlGscf6MDBr&sZ7(ElqWSNt zbOCPcT=?26-RCKdB*@q> zykI%~zm|XyTd4jH;L;Ss*-0AQ%cqZFU3~>+X3tU2vX-aZ+$>T1K;Y?421Bx*J11QW zSvw|VkB;vgyQbOn@5}W6v*1KS-vqM!;}Nq^f&38$I871=U(>!keMr{dg-*#dAevvD zJw`dPs-Kqpy`;X&Y-uv-L%Cn-a?6HOwZpmP+{{-dAj)kfuK(T;CuOJQtZivTe|UA+ z0{aR5a%I(Wy+pNy($+#RhYzE?B{=3RoNPba_srD=Q>6Q~b?2S-3!G}vhW%?Q4^7uf z3Bm)H5nbn-5D!UC8wT3~`N8&WPr`?!`c1TlGW00QhjR2qPf{JRv`K-JXzV0Jz;(bg z2WXIkG_N$td8-lW#4pvjJ+Ucfes+{{JSD1-D5u3i(FfG!KW zKx+S)#Caz(h{t(nve9WLGlu8dpxAQA<>)l>B2Uyfrq9g~^tgk^yo|E9so+s#_P3$d z40KuLp1@kU`m&VB#;7LXA_WFwjy-(8Zk0{bcl(V7xt*V9{nvv{1K)o3lf}!r;f7=P z0qf0Icf8s^jdOU7;gH|6XXGmK%YTS6?yTckUveF_&@S=cBbj(Mb=`(NI~6)tJ^u2+ ztZbME&h&vc0c}-Z*8kI!{85*-Skz)C;)jAD$e1zmhtlG6viO-g;quhQ@L!@v0 z?pm+Uw(H_c^`_aGTm8a0AAI#S%xZSlD(WSH&&^$q8%o#XpNxRI);UM$85jPG@2%4y zam{}j`wQN&OuGTKih8;Jf?%|i{sd1~PLp6Y&}IVT=g7@AfF!4cubA@7^s>XL&?Lt5 zUhV@3lBLmgkT(Bo_j1j0>S13y8UiCL;RE47A&svQ}XRGRw&n zngQ*tbfwu$jB}@~PqPEr@!Gf6HbX@KvH4n(*-i{bOU;%}01%BD0Fvu3+Ex;TLodw* z7XZ{H&EpGM6VPqk0~97}rF}P|-kC*a+=U13%qvnfndQ4gYkpo1J^d4uE+L8R87j_9$Z2u1$&PHSI3#y1!k8MmT!x| zE|bQ zTLrqFzAz(zj=b&>zz{G1*stH3C--gB*95tCkmeKsAk``m#zbHFI5g1Vu>3OS`9aNw z@GU*yWZEbs(_x+@y>_-2x#*kdyYRy zx!0FC?p~XHoJp-(PS|f1Sof5yq4vFNLrMg04n*iHJv8gCRX2=2I}T_;eli?(J|7Y8 z%Wf@v!MU(BBIV*{HTqv6;wedeP6EHG+ng-HJd2z{ZAon3WSk74XMz!* zz)2xAUlfx`>; zkZ!qtnxs)QGHlqIt&-v6!MtjtvJtrNA*muPo|^1^#t0BQ@4(p(rxy_1sa5o5p}Cu8 zf?lV3t*igbHbG;t>P^?KhUp(D6&zbg#6_>4= z3Fz?x$)^Me#J~AyWg!#)C47{t?Y-Y2c+9K|aKafytBn#6)peI7p30gaPpB&D|4;eO zD}hxF5kj|O|6dsJY{!tNwz26cQ3x!Pt_^s8)@j!3tOSh7wO=<~=jzWyZ>`%=?!FDA zYb)tri&(&dK(~R%Z(a>tyC&7wuXt^|0Tt+I)I|2-b=7$E`h6Jy^urv$Gk|FHYpdS{ zDBdzZ0>W|kQNKRvUBK~x967YlnXJyone?4{Z`jNUrYM{!yCRddiz5YR&n7O<+g*=W zd%Wt0oCJp=XF=j|jw1U1v_eJ0ad2+LaL@m78?juqa#3TGkP913d)uYIp0;(iSB0xS zJ6HoX??~43Zw$^+8V=-6TvJ5&8#gv=`AMQmk;&Pbhr0r~-0K@1=_PkUd*0PgxNdDHlCj>m!ca(&~u6)7#m z`-R)5&}9AgCps<9hDg^mL1jmkMv*gnsC!c2LEUs})%0bts~`|1j~!B^>LQaAt^f2Z zXO~Y_Fk(BSe50g*{=!k{4#lMpY?~{H4 zoP?4$ax?m}-X_~BP&wRlB}gZAv!dgbOXZ2!P4_PNe_ftS780ZuU?;Rt0vWjAn(Q*7C^c?f{X(<7Y}?9WAiinUFR#&_zyLrFj)K<$&5tSkUf{?kcdM)B!|5{Lvk9l;`bO8^*w2nTJs zn|a(iZ~e(ydzI#N2wwr#yPiyWDW$LUzE0lT2bdnnXZjhzbIpl{)7BVymm*G*B~K$T zB#Lq2qRDJ)WAE+qW%~EE8>>$D88U=ut^K!9GaBgVV2$W`rk=>w^BM9xIe?a4E0}{e z3ITV;T7-X!g3X#Ta^b}N^E^@j&cA9T?PfSbV5ZLTj3f~9CvZ^G+NLo_Xy&dFulx!0 znV>5(D2eSZcG52*Tv_Ko##E@ zB-lUCe{wI#wAj&cC}wp+IDVD=YxHdW9L?4b6Z{!<<~s60+R#Mfvkss2F6R@@e<5OW z+LKx5z*hW45m3q|J3#c!cfQ#IYM$k_6M@?QP#Nc2Dej9C8w@|+-lwirUMbRjO^_ww zq)8hqxJl=T=ZL5f-g9q?MpK!rADWcI-d>~Lz6Hny=uOpfW&5yf*=94d+rpGb zP4mBs2Pk+qxvNXwB+U=3EzJY5@mk9iuAX;Wx~_(yrpp)U=tJ0)tV}P4MF?EhNeVVq za?3fW0Md5#KBzg1fU+qxOaYLI9)+FMx3if#6Y@E?Yf{I1d_0j;}yyE`TRT z^x#${Q-5kP`{y>J9>$O!*?1m;%S6vDd4AnDvP9`4&G2fjU|dx-R{!zPJo=;4zLqow zCqP#}Z`t9rwU9t`Jf_+=fUk4w<>);Dn}>u6xXt|UU8k6Hn}i-h-Vwe@JNhDgp!Kg6 zOR4HwcCaSEABd~Bx;Iv)@82pNx_lLG{C9l~{*aBde`%%(UVE>A$2lubOoiBafVud9SDD z7lVlk&lFcF_SfwDUVL+4doyfyGu`Ep%luynV`_TzKWXfm{ru%ES@8QP*s2~_*bwkJWsdf6P^j# zib7g$sMnllQg4@{oIFkS{@S0lLWJ&%qOKx``R(tNp79&U-^G-@>v1x99Z<^Jnn0<_ z2vxkUBK#-Kzz}`ViHg84cEYaX;^79a*Pz!dngWJgjz}-mzz_u>5dM{84#oPA6WcYe zMOjP1r}ee^rNtX7TV-}|6U~f?Cs&cnDbhzXj~w<&=LeAB`IPr28tF6;v%o!*AifVp zw-u&>K3jzzMU7#v;l@&V(N-P8ei9zqaTM}@qbh6O0)WYAP>BK|k_1iMUDg6gAy1$J zazI%F0vmKI^;~H9r~&-h+P+<{UQW=D;MjgG`YYuh=g0I2T=e}c4{i#mm<>T@F=Qx& zd*c`K8vu3m-TbXQ1G7d&R3p)w1!A|;e{fs_uVK%$KLmFzoXiXS#X}=I$bQV~D*3tg zCWHY#@W$(_Fb$G#_NHW2QH7aKSx|F zpP{zqM!&;tIk$Pg+cMcPR$N|TXXHb(Ns~#P=RH9Z>?!k>+q(yb8(#KKmff+xWboxw zo2k1*%~h)d1oRL9pULuCJdYe&xsJP4rSpuPC|zm)Z~W<5-%Ar1;d-*r{KsLh76r?t zxxfP@igb`3sypFyO8&!O9ByXZR+^773^6`GYox5ZieyyXCt+H(*VQmy5*pkLw zQXg}zv~%QDig!z`-R_Y~y>bq7h8Nt{$i3C>+4SF) zdZj&yknAtFT#gPo1hb9d-hDEmL&;F1400!&mJ8NQi*3Fgoi<9SMqz12Vx~kt<4^E|N5}QnkHA^W)mL=l5&zh| zxfn6cL~);#&GLmmX!MT6*wf|a7{AAe>7cnKvZ87)t@LRN{E28fQZta0-}Thf~eHeAl)skbb}yWN+S(R zcT2l;gLE#<(tP(Ve*fUQxG(OVIdjgLc`KC=PJQ*x*S-&#R9EWa@PXw~Po93mUn(-o zquBYf-HDRZksI_ae>I&GK+*T2aQ&TY_qWT%vTUba=`>8m`E;??C)st~x2CugkbW7Z zd+y75!vmaoR+|>XN*Q|okg#mxy2wv3nsScXW557;!vUp_UAmC}4h^Wc6D6+zg_??( z*?&)nF&0{9oa~PwdfHM_(}}3vVK1=$@^-37aQRJlB~*0u=?jUy za|iHK*E9L}g@i-;maCjXNE;PrXpYL@SlR5rCs;D8lWOsfX6W&(>GFw?5Ki*HRIMYl zS(8dN@qaYSua~}4`YGLxrRB4_5wGU4P<{V&urpppDe>&|N4!Dg9fNUHyZ?pqz4~4C zYesF?wuG&M+hw;+4jk}-PJ*}szqirz zOyeW2Opf4uI+@>U`>q!6_SWBgA3Eg8Ipt)ieu!DY_S0r5??THW8MiQ>?PqE4Aa~O3 z%$@@ctPDm1zkGwiMBJk^M7Oh&bD2mgz%j5=Ka1u?r?I~|wi1o30Fle~b+yWR+S8lhb}cnc_J4qk8YQrw|Hrv-u2VcAQNmTWw4dT89A847ozhi$tEdA_nv0f*nCFFqFw5#2eDg3QnvPZg41Rn z1~fD4QQ63LY`(z*gkAckTX3J${L@f$&H@{+vD|dNFH2_CAf91>!~7}tC1pEfRv)OoPet7+Kzgx$R<~;%3zX)jVj<$>lZC#v*2d+ zcy9suI<-iAx_SslPyzG6bU*X7X|k-UpA^%fOyNq+>A{xYZH4r@lvsxYSN_ToFCC+D z?KeavMY~8}X(ON`H;gIWVjXqTm1N-kaTBat=jvmcN%$!uXumJkzA1SoOQz&&C}e>1 zH2yl?ESSp6PdcQ?ynK7W0{Ir8{3cZAINUFbs{Wb&|0c>(ba760PUd-Mj;qQ;Ap2;m z^5KMv+YDk$MM^UIM5UpQlRzwF+Iv2VLGf>`t-Ae$*K!2+u@uoUr@3Ts<~g?CQC*c< zS1Yr?>ILpT#N3xk`Kmu|jk6^{cy8wpv9H&CZBai0uXH(3c~fPf#z%S4rl-69im<~Z zh39J-b_+SRxb^2_g5c*)GW};NxB2$^9TKW3P1=cK+OF&pYzl2IPGb~wb>uOeOET5_Q}| zU%THe>?Ai+%my6lp6}kP)8&YWPB;=F_xUOYrjmGlirHdIkcWEO9RK8Y`3t2*`=0vK z21y?@+$)VYGk(5QUP@Jxy;W~ok7Cwv(q9{KYLrXb4xi~GP;KYMETmIB(mMWw$92w=P6&;Wd@0ApdjvRI&2X$)mQrXIVXn@rH z-r_0R`z#hBOBSikm?%-@%g+Gu3VeZ)%}Sfs9GeMtPU=dq_^V(uId5kaN~^JIcR!E* zzhWni^u+}xgG1%{AODXby_&dt{Uzrq+%@jGt~>0)2dpKH-%+71s5b4W4%3T@3vdYKWAcfvgN3Zs3aks;g^28Mkg=M31gqP`=0}%~oBtA8`oCY9 zwSO;@mXy%_Ri%t`29#W6QcrW8Ykofb?mx`oWEX(-;okW|x&1tJt%K4jNb-OUa&8GR zG%s4P&ky10ML@L;d|I+EuRO6YuueFwsU8Ha6M&4?a>3D>_rFh!XrSoOh6&^!!%Wm> zIc?&}G%pCjdsp2?9Jj{&Dj`)9^ZIpQ+gQotVT>*Hxo(#qm&-Yc{^==LR|v5xH*iR9 zRb7i6jvN2e&VHrd@~Ur_{bVS&xDA;naI{QST09i`5%@^!pNS(|*E&LAQj6^RxkT;# zhr5%9DP*j60c(qN?d-GcJ9FX?6j)YC7M#03u z2}mpGXVuo{mGUZ~iA;6tYT&D)4b0)X*>MHJKmF=wvks7t0w4qk1Q$(-TJm6V&1#qu zED(yXd>K^>RaUIx0$1H_Yr~cVtwebLiV)~{_A$OQ$4iqz#nU^kj@2HOP`>TCN~y73 z7EFc#nh`u`#Zi}e33>}tfww-RFSNZ z-<0w2wLv=S`0lsEUf<7wU#>b>CR3URM522)X7&~@{vG;sx4AZHnE&tWrQ#LTX~Pav zh)-!u6AOqnR%0c)=Los~8>m`7Yih@;!o8eq$Ks%>9c{;gVN)G4NUkf2B@>og&@2I% zvVz0*;pPVG%h``sE>?If@c)r`cO?u_R0;{r5?JjqKgT6W5EX^^HUOJAFt5Q139PU# zg^!aX(m5T*DR5Q#&YV<}dncJ)+g}Y&7|40sE{&M2dDiDG=WTk{kL8%3?DgI!gkHs5L>nLT7snZi0rmGh;*uFJmw#E*=< zFLy*lXL@|YB9vjE7!_4RfaXu@Qq`>UvBR)E!LLRSyhk|A2*EhO@R2}UU?<|_P$9`^ z6W0gaF$J|wl8_t!mfZT8-a*HiQIAC;aAKGD+iR>RoaCkjsY~d$YGbAPhRv=Mka0iz zJaso_biQ}{>5sPS4yX4s?g2{}fKZR(!``}bktb!xQc$OmTk2hg>%(~kInK9tU^X{= z%FbJTU2r}-2W!gu{Ab)NG^C0#CFr&l8+AUdJ$<;LvGb)It1Y;&yqEd3dlDJE>%uje z#2B%-L^Y2LCvmG@%Vp&3Ki}%8bAm;!FbF*(lf@8SKg)UkBfWNJ%T=G{pKcincAYG7 zM0E;#jXbWBf_#1sl-pFh5LxxcvG)HP3B%@ff1J(Z+sX05f?EdU(+$@1DQXCcOU?zR zZ+F-#@cZR=Zr1Rc6*$Ey@W%mGMG~2M?sMQW7HgjkkFD}Sn?|6uHug^Yq0?ZFf*iU| z0E$C7HY!L>7MUl+^!17p>ZkXsuRq8lV8Ft0%0UkWlmZ%LQh%{gmktMcQKB5Oq^Eiu z6yEkN{_IscJ$eixAC6hSdGD~zpDZaAGD^^61Bac)Y@<|{LylGbP}v5QWcbJ(g(+$& z_}==-S30f&O=b+mDECJXH$d=1Tx*^Dz=OgrUHsa^6qN3`5M3zg+QrJGf^9mte?DNB zcImEWntkwYVv8NuZ{t_+6s5A`)(0QH%%6M@G8A+xfxM#ynKTUJgFX}#`#SSF3o_uu-lg~}-R-vvnB-qdh<^X6j!Pj=Y*U-5X-i;!}=8v+3cERwk{N7Q>Fh zanumkWl3QtMl>m4>e65l3#*M1X>j~C`NZG!$|uz)sUnOHsA=_Pz?C3#~eh}vY{2Nck zo^exLBq1k-T?qlbv72XT`QUA}!?yr%ZgA+aQyy&@>C2L*q3mzfd|QG$H^heUM5JL} z$>LmYM2IH3N?gCz2pcNAlJn|Wwwof-_n1Wj4bPZT&gZ|3-h+OrYeRK3*R1K??QKg>GQbc0>K?Z~18tsY)C2mhp2>zKgB?Gl*mo`NAQ zfqDG8g}veVMP^)Sp;D>XFpZfzJ4?;HL0;VxunS5KsaxN_3Z*%$HptFoBPF)p<8!E* z)=Vk-EvB(5HM}q@d+BFLley$gKd!Ckp50_e0N)6L^jwVj!*r>OZ+6I4_I zQGSHGZDy=fE-U9h-SoWv+W5EzW84qa@Tk`|P$-!bYD9lFTg=qQeth+Z`%8l z@JOK3K}p(~`6YRz+6lLLvj5dh%_dnreH`LEt)fhAWm#OEAxSjY=y4mIO#ZE9O3MRh z(yoMst;xkiaQ~)`27L7-x9s;MoVfx%<)`2)q0Sl(N~69C!7ivf1(~f%x#nm<{^>U# zfoBc5-n>rF6v)5_DVR(@m!)OhjO$Hzdo!%0kVnP`m3vE?mId4>vl&vJ_*c3fy@%gt z928gmy%%4lK6k)OUD(j6hcKacMs4)ZKPlx}VjuDcs|Ck-)BygZ}ZPS_eOxBZ`Hz?&g3GyTAJAPy^ zsn@v}QoXUXIC?Z|5IlOdj)g}Ne%0Z7lhu!rQU!ZfN@u5cTGaK+*2@PxhAELE`)a=% z%-x;^eicIgEy&_g%9X&<78rbq_03|7!lq-7uOafejg%h6Xlx)>x4757i{@L3{QX)7 zkYgacI}|@mY)vlf1?jLvHG_mSJG8U^w z^CNEip6qj2+3|wtkHxB=rKy@78@DXiYL2h7pR;Ng=K`m2oK}6XxuQb;rk=yj)r1LT z5jDWW3D&j-%4D`h#jE8M3lvH4uV5tQLE-<~xV&xWzy-{3)pmE3m-D#K5WYh!MF_|$ zZ1U0Oaf4wWLqZMF$yR`X`B6M;E`CI1MxJAA)cMFaYGO9T8CqJrWR#lO5S{}(wjVs5 zvXhaf8Xcw3g$d)kjUEyB=_}rCDE32dq(gsx(wypTx{LS4|CNw83Yl zVM*Dkeysd<+OGbsr-JbZRtWXYMefk8>`(|{-&J%s39fRr(YL6`q=WL8iFu8yC{`9@ zY>H}$24>&IUBnARWZ!w+3B^T{BzMFZnhAkVt2od6m3gomFJb0YC@>I_dHaS;a!7~;12QLj-Bm@I+ivn)e_>&OD!CnrYhxw1B;YJ;|E|~G z{h5_jpXqz{^J*G`Kz7JT?kCKj|HtRcc9%hnv7RY~4`*f~mgFGswB0Uv6FrNW6=o&44z0dlnWiy)_|z6nMQOq2wzw@L)hkP~y2)#1 zQO%X@HTk-*?cp3y%QdV{4C0#QdgFGg&3oG>%-aqM{)%Is=yJD!g)=tVPZY>NRDY7e zcCOxfAU*HkZ@6QMa*P%795JrRPoe9XS{8@%86L+5lxfQP#f)-ugkNWib$>n?0WlUU zInL8c73r3KzPHTFjIkyOQP0dcQY9>g_?XA+=0fB446Zm2S(4<5!1;VurrqHu^gE^< zp5ON5)`FCOT;)*uX7nw|4(9UIpAO^uN)|>In(JgveVm1GmoDyzOx5`#n=`8q80FnV zLjF1FdY#;!K9ybEsjoN|<19|Bdybxs;JumTRLv*h8Sh(+%lNG-oIPs#|BN zyk3#r^gA1|G-pd|{{|~-%BAw?`UlOo=OWSaV`rnDobTQy{wY~%Us0KgND}7~?4AnE zAP`+b@+>jHmV`Tx{8gUbm^J7K-6?^Qx=Kv#b=YH>n`Ppynm8noG&0-ZQ>#++^qnS9 zb@_$WaZ%|$5DCOO%caI}l@P-Utyt0ZdzbSdpHO<@u&K2X>FA5I@i*w_=QzxS4|o+m_2i|zLgbne@dQLJ#STr*T~exu}Pfr3tWsLzId^fxRBN3NG{i_ENi<+Y)R!t)b-Z@ zKR9{FdM!^iJ&GXN`Zg0i(~uSIOApz5sI0}&6IW@&HJ@beS{Zb~OJ|;PFn;}Ft3Pa3 z4V6fG|4;RxYcX%lfDLt&Zh_zf<_As51#RiEMiH&b>to(ImPYz@R^Og!kS&v>t~35% zzH5X374Wm7DD$sVF@h{oCzTInn0@D-1_u&V_jQ@J7R4%uP%H_vri=xqvc%QjSz=|{ z*pM52Ea5b^&*HfkWOZq^5@i(b!`BzHrQp*slG!lj(dbK+heT7IsEF0BDpn;D{nO$M z;ss<^Tx}34nE4$<=k&<%T1WaA|McH7+j&#(?|Y;nu$a^&rnQu`iCeb3 znzXux$+&L%(1n-ijR?en`u--ZGFMJTIN~7YFs%c@YD@DRHj8O>erGkMdA%+ac&1?4 z@|YO{tg-yiwSFn};f(RDSr{O+OndpOP2JyI&gR!C-L2`K==O5dq4vh)Z?YRYNS$xN7D}iudw4k~wc* z^k#38w8KyDmu%aZwj)7)pgfM;JbGpqwiCmE1fH+WBRK z#lN9vK(p|A<`gHG##6-U=TBZ}`7z41+f}WwxUx3KyG+*5DJnKE!l(-?Zm5-JLKAVY zY*9q{R>^>fxy3`v$SLU(vfDM=O1%3U_Gyx{VoO<5u;?eVB1Sj&&?_~jo{!lhH>08| zKSfl+U{C0&Y7a>5;So3Fz&A;w)x2QNW9!|F)^D!{`~L&t1l0871JQ+r`6cE*YXQ)| z5G&Ek_<6>`-<$aC>EkYZUdlB&OSrOUf{TmkDZ^S4csBoMI9nVN$vsd?&n++@@eODL zuv819?M3`1KDOhjjQ^qa+a{HIyg(Hw>8Fw-PtY>RpwISN6%RY$nE5HCY0*J6TBf8!&ypsgj6w1&p7k6oZToJA}N0jXJ)R;AM_Q&q!82 z0XyQ@Y<15(zFt6<{y){`I4h6nu6bI&fNkpIq~0(ie49{=uUBWpOWg*xFmIVFJnz1v&2B+ z-PW88ew>zQ&yU3Ga3Im^LWvuF7&UteQwM~RHXnIhU{e$%rFf$EfVD>qUXiCMvGZQ` ziN}ITeb8KAj59kvEo6=BtWMAXD|Qx z^BR7q4-;g8S|oZ)c_c1T?DoQ239}ReM`^I5e_9b#Ns^MsP zQO~w9iH9TzS^}sXaaPMCx+h1%TB(-me7e(+dsSNQ``D9@`fk6+XLkGbp8%rO%#Agd zjZY|#ND*Uooc7zI7Iac3=9xgHlp8EU@5HgYxFr&<5O<7X>y&_Ixd!zEMru<8|5Bk0 z`>cfhi9aQ^>d^wI`QTqou<{CyYKYKGnFR={9DTQOQburzUdu03gahjnCWuV7hz5h^A1}yjdSO zpmanV+9i@_`c@;u%J>VlL3dbwrAHB|9~heTSSv%vw3DmaP}c8T$)4KqMXZ1MMf z>zHV3*3|IRGY*E$%KhgXg%&RGy|p(f}s)pCp_X z&=qU-O$WAs<(T**csw_yX{$?DcZzG8d*z?J={s@eTJRh1dASr-sSK8cOK_=8Jftl&Hkwd*VAG3z-vpM*uZBD;|CXse(*%BoTeiJpbZoGb~J`t(~Ip~G8jA5i z5xFlH1ojY35l)5=MooOVS*`xAjWrd=N^PENZZ64wLCIm+8ghg<&-U+VzI8ny<74=( zCWZ|>AEEr#4H9*SgJ1#tmKLCU0u8O!Mcs3pGw6q6QouUV{|63Gw%+&pUV1t4=Wt$? zBZ~yQB8RiDE~Jn;3^5X-tdjLqt)Alc8Z-v(BB@QRkotBI=d4xwwZxT}9A`iQx(9v3 zDub!w?5ly>3o!(e2rX>ZMD`65;F|=9L3HrXDY$jDOJy`&d=v(3dSIoC(|p$lz!tPM(xB z=u0z;XcEYZX*JN|WpJzQzg>YhA-|I zQoY1cUA;N@M?%Rhgt-QuI}gxA9PVO~^Jauc(j?b%*5P;NKf~o_p5;?+V_u?Qn%MOi zXc<&P8-5OEEj#ml??kWD7-e6%Px?W9ePOW|{M2$o;-=Ct@WmnE3o&wRGir2akvz`I zJ|$T@go+vPYEguieMhZ>au_YZu?JVyE(rX+wyi&PtdD?mNsK5)l5m-*#5mtd*K7=U zB((O1-2OEVl_#O-ZiFiLN=%eN0uigKUo*~XET+N-6vXh9P~8dQ0jkR(19O_L32od} zab6n{g0h_Mm3=wsdGUcc4+jLguuWFOzE@qxK3o{_A8i6z3RFmmr{H=_lsdlh9RJIZ zv>sQWOpnvSy`!sG*#U-fT(%27sF?!zpd^&gyM~0(#_5<3vj$vRTWL!30kG;_%g&RWnn+e%y3cUL;EMNqMt;d;_;+;02;>BY4#7CFzEPvs*DR#$#F@_^IuQJb z68X)tU@-d`NbYot(uI+7n6OAVzkv7|VVCp zS83ucvLTv4r1VUEbc4h}Ezq@ZAyR4-6%<_?asp3N6WVY_<}rSJ_sl^lYyVhkTF625 zqXQ?1dX|(;X{D?Mxe-DsG3=3QWMZ70vn_XT&;+&`@iRiR?5$}dlq9pSdhxB(qz)zG ze`Sa{ues`pvC$YiGfk3-%tQ&8<-qrn)_Ca(*{NW&=5X7zWH`&;O2m@_ss_nQb&(7@ zSK-fU%zz5fTNS~Z1aIXqRuH~A>r^()joC$xV|Tzf&>{7t4|}(%?%4}{I1rL?lngucq@`1 zO-UsLR!>|Y%)V5F$j5fJ%`VBk`=i53TTgu3l(tf>gqRs@8a^(%GZ5hRg|zl zsVYBam=y1kMq^V1b&Rw(zdpr$tE4fJ|D%F2f9w*&4539l<*Wbb&GOVeE~He~g^DF- z*g~5#{!6dSs?-<8{4I7tz}?kzK3JHz``CrkffEk^B7BW#gN2S(GEphau+d9p9d)v12% zsyV{MOmfLF9KM=aE;l?D2Gwu;o+$G#3_rHFBYK%$!!38{E#*`f*z1|Vr9eT0k2HTY z_OwG^2Y}$1jOm%i?SvVb0yVE5O|(W~<}-OJCcWgYtMISqG)01mXj&hk7HLz%w4b9H zzo?9<=oGXY5=u2|{hO2#K2H-jwpsxjKTIyr2-l_Jq)Y1Rr>xuOD~11P#WB5jf=>20 zY08)IAG=+J{|>5nMwTMZtAPpw6bxiqK-qz{THeFRhZ?ilRRfYoxvhhuPdTwJk={-7 zmXkdfqrI-?N-(kcDdVZ9KXtV5g9F|4+V0_w1?vj(@Lk8Ygs!|4F7wyQw$eGe+h_G; z-@;B#@JWfTn=kA0rD4_LP!eXlWFdZP)>bY(;LxXf{(T#~&{pA-&MOF>2!k(ji6~jExB4pr z0eu7wP-bT;y31QaGNOR`$K<3(o@CSZk8np54zKo>QEjxtMayXxwDPIj@!DI3Hn*Ml zPxm;95quBu5#iL4c-CGMf>hpP5fxB*FJaYt84_uSxe7#*7$*QgTkW7QT8eSIxGG>b zgSFz>q46Sr6X8zy(0USn$E3q@JRPq`?bypg%n>z($85H5hSU`VoP6bX(5;qNlWqAh z*ftH1`@O54qR0qlIfg{F(%(@W=x8v~T4~y|<|&JJ(p{7j09WW#Lt2V|yG#`H)b%Bu zurAP?&3YSz)h!wLZy&2L2MebXi1z)ev=}@=%(xhf*p{ngr>l>WW47FP8s-ZIt}C#w zZR3Ac7)Wj1M=fj(`hS^D2i6^%+BOAEBl88UXgkp;>tm4ns>g2c_JE!;*|<5U75q=q z0X>cjXe?{9(0CaPGyaN-pxmaB1zsXGgD!zR87u@mI@PwKTNO6^AcWhq{zZ%DHc&q%ZnzmY%(Usb)rN z4!)SrUx+%n$8FaP54so_-Wx@s-U}>BriS?>GM5|MyVY!kAa3qjod?#`Vlgp0IkK2@a6_$V8rb-%L$?ot`k-yS z0el3MoY-E4uu?2avUggO+~EH^6AmAa{a_8^0+h^9ssvT8IexJ~bAesnJSyxb!7YfF zSLvTsHyL<%j5`_)HE%%~_fo8wYd0O|lo!{9Og4P8dia;uvPu5$vp$`ZKA2)hPbeHu zD@F)g3lE1c&Dh~001N?AV%LXB)zT(;qC9XqoAi%hwa9Krx4GL(=yL;_3U0IbH2kk$ zcikrqT^NBU9*x^6o~cXPKi;_TZojyfr6D#jU5|KH`(7Y(+3Z^4%FPx~+$sWw=|0@i z!;QZMjYs%^zi!y&(naW~8f2&cBNz7bv`j}@ZtZl1@T?8a(P&_2L5)2y%<<&;moKMNXDWE_ob6-2V-0=G-*Z;ZJf?Mut%wr6-%<_(!1$i8r zHkWbq@Sgen4cBKMp{^dd@X&IxU-ZvT|7cycQ^lR1H6+p=dZY`BK!t)O#e0U*H%-UM zW}qoN08tiuz%7g2M))cr%G4fkXL`PTWbAU?r}sLaUip>P8PWm+2F;|L4gC0+DW6|E zW=At3Gb@8Z*kes$zv}7#=Ft7}Ib7JhaOZYH#9l?{d$&iGMX~N8cRtRAJ5UpJK}msB zS&m95C1MBF%rsAYSKiUIXpB=>3>)hTqmdUCwpF_rrb`)D+q^H2|J~u1(%rZM|u17R$hjvn^K40mvUicq0-w4)^2hyq#+K{u2ufsU;g4c?NMo*m(aaaaO`KIrgDngsJ@}_t49hwEO;Ux#fu~`h;ilJ$bCMa~H|)mZgd0nT!I(57N?_$sZL!0)cS| zY3HqFO|GuSt?-Y18U=kZ^96l{d$M~Y26*VK-|46M9qNr~_LOM>E1wDjVTyWE7gizp z#!tVhN=UK@{zFmzF<~WZN*cKHboS_*&fA+MD>onTjCq^%Li$k&d?PyMTX$xUG@ZCr zoK8UM;@3$TvVUeJoSMb$L3R!?BIQLcqUKjiRk2*Nrb5aaKL2Q>Ok<}J;?;>=qTaR7xb+=(@HsK)i|u^-XL z-MpoqdwH`-+RaZxWpb-L;-5Ev(|sqR4^#8g`|enYxz-+3dGkOQft%l?QofM&9>&C+ z8*%zw&C+>cy#GP|^kG5B2WxFrcLJ~2 zMY)d6=nH+LSjFF&vA?)Ujf9zne_P$-H|AqO8pT~$`7aHqmG4b~v;y7XxunAtb3Xa| z!O92>0A5gsIWDjOZ}L`zTcxt6>JkS&n-Xe+?UuO1o1Jv!!s=eaf<7AHg4LUvpkqe|B&Atqd)CL zG<7#cwuCKXu{x9_Z_<;Qza+g0-x#oasItbo%D5?oxXLWi#8sbQ-s@;43;gNGdR0lD zqi%+Wb?mqk#&|v7(zZ689ljkoZv_>sUxCHGJh3 z3eDKNE83@SBy}ZOWUE?V0YRC965nSm9LZ0rcA%!%KiEO?+ZkyiN!++^Nn&rNF!Ry1 zs{#8THXb1|h+t=)Sm6M!g&vvulTgK-(-^t2=0PEV1-F4@HC3bnefEYST00rtD-nB+ zWaFv|lEAGH2dj^E7|yME)dYHy*>RmI{tisdYc`SWWxeE1tDcvtwfbhYzrxL@Yfg{T zPO@j%q?o`Sx@6lxvbWz=DPkmi)q#-iF1-kH+)1kV&F3`kn(0SW>acYm(R~}qyHdA2 zDqQ!eHu1E^PhZ#`WXWM4$(|^RCYi|n)QG~1obHr5S)Wy?CO^bTzBP~Eg8CCM0Hi6c z`U-m;D?U*_LtvGXKs~Pp zWR(Pum3mBc+fjBDRE82s9JpG>!+-fcPCGAtrQMZf+4#`(Z~BNW>Oj9m53HgUEGb&< zW?oflUhw(K4b#6MJ^({mjd_I_e!~BA!j@tnCeWFKSX$cN#cMDu7J=(ai#D^QrH3O$ zf8z^VMd9-A-ZqcO)RZ)SDzNt1lxV_&61+50Fn)1su59Ip8f?@Ik+J38X>^}slQ43?{|h9q2{*g2 zPk$MXWmuwX4!y@MJCP>yk6W+m`o}myaMFRmc5cqrD~%WJH`v8pOM)gP0u8kz`$tjm z#Q>KAXwV1Hk{xln*`%FR*NXz@@Y_q1O%d+YCztbdH`p_FO3to>dCnshvB0DgrsYLN zgc60dra5;ePA`j!QcfwQu z&?Cz1q=nI+uMZ$P9f;NVYyOWCk19y~DdrDRWZ<<7ii$)$>ax*-#wkv zvZV)RI2a{s*KiTJ1M|2)wDke-bMTH>U@@; znkF%|aw#27m*arf=H_^q@nmGBQIoI=nZY*??D3S|WXPbIVjiI3mURsr+;~gytn@h{ zqL}yXs4=qK$kAXa!yle(@hwiM`avyk#S4V+^IO@Jsu?$K9nOABRQ?h_rps11L8!E# zCJo4yM>mY)m|yfnAnxG4^~DuN?i<)Kzu9{401Q0|HF3cc^2vb*lVuGBbZB2ndV-bT zeeVN_+NT7y4Hi~K;7IBYUTe1tTC*9&7bv_0Q&fPt%6v;w7o;?Z?!Q(3^EI$8+SJ;! zLdkUZMxLM&Qf@fQpy^q9^?9E6;kfj>w05*Ij;qbZ3K5x@!Pz!5-nEi*o@dz5jU%9H zhlPU!)HIB-Z4TqV5#Kw&(i9L!GbKlq92Rx|NOGg7vo!QZ)Zvs2RW6Z=zlI zfPY&L+v8mfJV|gDZZu}wAOT;ciBf({*i4=Ju9#ASIy_)CEnaizW2lPYk;B^qHfS=f zO&wJiK(RM_QP8;}hKGk9R%@Hd_HN&20R<5~AGtC=olZvQJ9EI$1_=kiC$TY^BnwFu z)!%Cs3T(s+kUHF?bEeKV40X*7sWN!KIrExfi80Pdlf2e-V>{N384TQkt1UyEcl|QF zQ3J-oAl(X4&Q}!CAUDuGo5RU3f{p{4Z4Tq8G#wtKz^Y|}dcdkm8y7leD-4_lK3L?W zds{d>P01_YI0-FV+aNhSCmcbCPv7&M zeJK0%Ub>?z~2gnY9>`?A{{YhFCGLqCYj_Z=j@2m9=@Ou@%c2;unx=!8q^O~v{fr=#}XvFv%_?OgYH`q5Vain;xLmK|9;DI zeoL@=H(E8;lwObGUoFSBP(Z}2zjzD6ZjrKygT98o$x0tgasrNAq4Nq%$e1}lP_(?C ze2ODh5@}E49=YLmSMOR!)p!NyHs_FeCS|4+eG;g^J^9F{~W}nd&~aH6g}_P=2NSBh+lo~ibrF=BmgQ3YM~4JQ!;ye{rE)st;3R` z*Q}YzL{q^Z@1hwZ%y!X*sz}mTC< zBl0D0?`)c|@;gp&LF+NY8Lj>T_@7WD_aK@joJw(tS&XMYc2gKz6rCYsly3|BV)kpw z{@>iG4Ad>`d&smkDh)hakT~Kd_Sf3DO01={<4cw{y1kuB1ylTb>ng6R_!V}fgRfg~ z?%EiP1ap{-kxbcL31Jmz`ybegZaklb3gZ1s*R>gH&BWb z$DPd!4DPJ_=--uT;-qH?Qi(Rcc~{GENRnD#;G3S_ernR|;ehJrKKB88Y~~$drD{7_ z!QphkhOTeg^fTO3)0R|8h(9FeYo*1clWZkR>{P@c%#PA$Jt0-``_$*)tw-H29pdfm zV=%o6_E-j^TaV^9iZcC&t_9bP+iuSDa)}V11SNZhDmaYRaK=4B7UPQT6KR%Fz8&p1 zscZWA@yauKUdR0_dwzhFaMX)A%X5tLOrP_YEfb)k=Z#j0LYWHuH%bf+k2t~WlGq{q zsXupHg3LYjAEC!+#mT_c(rXq7+(^M60T!SiQPRijRfeGP(Ey28zJ5_MWM8{7n^Kk+zHRkWt?UlVkH}(~M`*`e=K4UP!xUU_ zfsd$&C>-A0T9o>+5~BGh@llWzu@ZWOZISopL^*W@w@}ia(tr3+E*csPwT0MQS2PAR zTos)%&5$9nzPivGYWhd=D{Zl0P!5X7F4RfTel6-xFlYW5-Tymr+r^CE7O`+hErWr_ z37(J1F+8Vy5k)&beP<+r9W^ zYran)Z9^=gy-7kaQc7DudMtbJ*mzodt1Lg>^)-h?CO?Z359M{jnWmBYA&k#0Pf% z!aeZ1kxV>P3DHC=#jJn|(nt(W0CXCdSIboOTIIj*j(t!Umqt5fLNj(V&yYsRES2!| zTr9PAt%Wlv9a@E&`H|%vAi|(2{Nbf13umbp&3nI(yKvLq&g=(>#t8MakQJ%6K&@~c zqhp?Ha=ztY;M&CjkE0wKeg`C{%f35Fw9RTsR@8NP$7OXFAeJYb!3E_oUZCuWSab1*=2aD&hsoE;6D0*^K) z@0j|AA;rMPAx+(|2l`m^VAv{<6=*YQ@Cp%rW2#z=>!OAwwXM3(BtfEHlsPXA(rNVE zt@4et{{HHBNC*0pw4b3yw>LWe}pfLU~)%!RXDzdVODJi~0~FG?4L5bzW1 z=)87IBe?U`t3HPRgmSR7s4BuStejIwC4AS3n#|I-aQFB-6<$cha-1x#p+ zRe^Ut^W^d0Q1?e~49nDgA8Xr6-`o;LRoV>Sck!`Jf)NeAStYPi^y~Wowy81od?=uV zU||~sGBp5a=ZpzcHw14$8s|6-Ou4&OT3mIp&o=%xvV3*pFid2ir~a*2;8ucdmBdEl zy2DY}m%E0VCW@XW$&KS|#|Ml|S@R?#x@LxM3|p@}#Pe%2B{l{RKLFZp_oEm7_$^~d zvwptNCM(pC1Ga_xa<{hEH>4o<<42I`EY4Mz_QJDI1$w*%{g(L1c}R~M=iEq>K#G{V zLIcicrvc}uWNhxHE&ArgWmv|{DTk5g9s(t?z-2vc*GC53;lr{hqX_Zb241E-;Aw_g zxVM{9km^t?45IZ;QMk7~jupbHw9&9|*d=kfXjA)y;zf5;DpRsZCtnQMLJ!eIB5F!U z`UZ-n2=2~>dIa+3t;nFzI$yrYtGTr#a5HHLsRSu$_arstZ4#I8S>x8UBmdFsxK6Ua zvKtLXZP=tbJz4JIN$P=dd6mj&e$jgU-+$Yc)VyzUU){WYEWx1VRzG`i6tj-eA$+nc z!ScsxjVuQEvL)*z%_T1bxi5xG`R&+(0~F=Q#3vsyDBO3?59J)xKzZ~bN2&G8(jJ<2 z-IRuArmP$79dDc;HX93u3{?bPsT&WUI#VsBY7HRj#o=DSVjG>k1y}Q1b_o&`gPtn^ z&nP&sH2;(@(bdrQl}MFhXTTjW$T*?&zcRa?TQ4erX&q7y&<`$4R^A_fRuB3}e6D}& zr*zORF-q+ux9?@m{)ieOGia6E1Rwh!P1hYrRr|-^hA0UM*-xgnd3o4xnmd#~Sfu73Z#saM_Oob!C2@AtDFWd6fDiuJpzDSG&5QbOIj zJ)elwHoCToh$}sYTV?u8f5?Mzr3DlOa81UY-pmZ@B1ZltF8@LJb)(6)lJH~TN(p{> ze)R+lP0JcY!{UGboxK10s$aO6RldoVUWvW)_wiS#7KxQuE92+lta~?{k33K6ld=A7 zWsNGFxvMGN0dVCapw%%-^xf&ob!l$20|VV6d#MAB{{nH7!$W8iXBhFD5M1}5e4!Ld zjb@HuWA2n=>{UO6?|p!FuADT%m2vi?^UC@$c9JbFqP}fArEYIn{;rkB_Mfr|cd@WV zPrkEhIi}3ic4QQoW6@2_=psg`KT+*3f?}hcdRNf4UE!h_PFrm$*V}JRIKrX~j&*fh zI*gQomY^5k@Xp#Cs^Cjj+OXvL&;N|jaztz*MOYg4)>i5lxfMXPLc(IA2YGKr z#(U5DjMKWnV}*VK3r@Ldubv{0fvt0pNlei-prSWu zzqMh*owL$;kDdRt=o(mmufa~1$VY&Eu$4V1o^w@TV~5Y^s%(4<6kq8}qFcw#{8QqQ z)*p*|jBD@T^OprpzUOx;w+eO$hJ;WK(#WGtB0LM^=FS5G&`P(9hPm#<2sa&Ujbd4X zA_m&g&dyI*ygl0l~%c`FU zBbd+bFE7na&%c&fbn$!rcOfv`)TBFRlBT^564C9%t^L{sn~Pu4d$hO=OmoC*%XO8+ zYXFus91u~Gv!2|)c%UJq7P1tomboHnSv(XaZMhzjow(J|KNK~0H~Ryx)8B!4`lU>*H0)x+XuF?&pLWKJsp|y zL(LLS@ZnT@8Z0l2%$g9DD4sXt?3r6^Y(FIvk4vVab;=%9obzp0=LVpim=y!pmcB-F zXn4EEIyWr#cHjnw$zf;2X;mF?P!K;(BGOshHAzowuMIG6+eJRd7u9DZ=5nDU*!b+y zJl;%w8%&oFEYHE88ysRXOtBSK5d{6B>qP$`)7wU}Bk^ij9;quo#m?aP9dQ3jhlMR9 zaJ@RWH{37vg%6~>C@nef@y=VltGNHlRxhf$h} z7S~XT$~*x?x9PjH#Utb%)~?mISf_Ku+SRXyUX+s=;Y>dnaj2Ip!MznC_Q+ z7+G>Ghl~j5o{qGD2z?e~DEJG)erMSd>gx$%cKRxPJLQ*VCS8y0%D;Z4dVT3pIIRHy z@ym;GdTLNs0G^W9Th3d)MKi+-3=f6Ba@Avxmk-}vak*#d$$eRPu!@Ge@={lvIn23~ zMikr30V#VmBbUkYRx431w}o`lesG+Qdxq`lRn8oW?M$Sp_Y{TT?*vnUX}?;t%2_MCMIeQEabkK|xM zLE`<&kifK2|vs)8g!oF7zB%;wTk{N*nfxZE#TKUl_~m)%xA+Y%~CMuZLUb~qtD z#j+v`1C~rhuh`MOK4^3dlEm(_S)S}xgIfaxu<9c|o7A^s;(1MPja~Jh?T$Vt5{rCA z&)W{pP^>WWV{*3gx}S_^BzDlVND$opCDB$>eoy6k6TyVYq{`L$7=r^N!BO`*_f=VC z82OTyxe^e{V#9xBaTO!mBl@z@W4TFG(UADdtLWzL@NHH6o5ZpQ2Djr}pm!xj=tNy~ z5Z(gf7{E&wJFm)iO@;fGaE&Kr0HBbd&0u1+MxnVR+1n*52u;4xsN2V!{`!Gjrw*P_ zzACmH{HKoB;O36Tkw1Rqf=06l73mn-&i2>wz9ce@};wD!!=bU!8L+r#n`h&0LVm^=EJF=?xcYU8xyvD8URTR3 zbKEojFo+#&pkNpl9`nJvZ~Ft~>buGwjaCXEt$BbX%JKP2@J^#uXB2_HX+D!$!6NUk zfVT=;oUse@TbLAIH@aGSJVypo>9{UTzv&dREPFrsa8md3wFn>gHpcT|0*EQme%2aI z1S9j*9yl17&Ln-0ZdyAu+{N%BEdvR!p-}-a{@_UkB9N2G2Dh)|*LAVk7IIcE?^GJB zQ4=T1hZ(og6Dhsg&c(4teHdA;v1<07eajhnu<3R1DSbbH$M;INBiN0Z;=>NT<@it| zV!W5YhQ9?jRlAOU^oA?^`2=-p#L0q>K>P=IdO*+(Vmd}Ix7we+vq^dta^a`X&7f(| zO8xyL-wo|?&W zV(x%X(L0lxTQ`DUHDdg~iTA}EG`n<|K>P@#^Cx22Jq*e?uXzRZ&giN+O*ZPr^ zoIiWvD0$8Ymf2oj$9lpo1~jid?17c5Vtwd4sqI-R!mFan$V=L9dO_+ZnZd%h-p(0fKKTr?I3pD~PH@=L({RQY4QQq&AEjXALAK|k49w>);-zw{zcvXz-|gWz zptaC`nZfUlfC;z{gn0?2A`B6=X`JQV#bmgB*P~0U(yWv?THwnyMrX@1Lwbuw&ukWr z9OR&whT19MjAO@zy$ay>$nZBrBH>wBr>TvCt~1IvbEe6dUl8JZ(ncNYmM*JdDeqQG zGsH~VMr|+;6Sf@LzMTQ8QNroVS+=ag*TL~olC(O8C+l)2_%~eC-Hr5aAf0obdiG~d zW@Qe9D62JUIbP8B_uKBkFmVPad~ayB<)&2BhF4aRffr6nvdQ*HYsM0YR5PC_jpz0H zs7i^?hW`G&5gVpU6;Y`=;x5VHO9p?eiX)T|O0hdP`E(Gw?>T`J(R9n=~jU>jkW#1I(wE*1VqAB~ZNy{PlT{95-;wt2_hHIrb1u+k|b zlHMaVKx8ldo-km+`R!L#D?n@ypF{&-BTLyX2KLKhazKVBxI}gNl1K$I zA0l=`nV0(TtHX+=>I(5<`b+lW3dt83z+$bd;p1JD!2vGm4fuBv+z^l6&*Sk~E0)Q$3O zUxh;MB#!v=Fsvow*u5`&($4er-l zBzK(&h$nbMp6LDJg^w9{tZGE3`B)UZD45azNmf5W6u1DeHbN;)dltFXrw!CK%W1I47B%Yw1u8^Yx9(HQ%)6Vh<+ zm#}i3XB#AWu+OD>6Ll*y`AYqQo$2ZQ_PTN)#|Yr4rKx!ptGL1g>pCqVCu01H`P-3!B4 z?m)UbYp88zBu)V653-xEz?Ny z3{)wyA-2PzE>iOs)>g|XHWIL2D35Kx1(h4LH}{j0PxxT(EE1ZvsBHiP3dDD9rt#tI zm)e!UO9&J~fHmq^Ac_`jC~rAog(XpJ9i6#MCoc4tW|r!LO^D_BoPQ|qwT!tQql^61 zD{)u~r#Y}IJIyOi5`KYDG%>R|D@XrP^2(c#WD@Mq_iO#6WV?6O|CAyfVk7aIoG=ONn$rW!_=g$TIOdHK;*!Cm!=|Y6Lu@-B~l?H z3uIJu13VmsTer5H4?n{d1?(CapX+agc&A~|@}KKSjm}=Y(j!)*JU{Ifwu@DFPku$0 zh4=niqAW=e1`kxan@!5cRdvMdC<4AVa6_YOY{TXV4)57sbE*3*+6QeNF;+iMi);Q&8gV&i5P zYSL&2?3<5H0Z~!x6^G9*beq+FrBBcsi6Md{mv+`5p%6e>5cIBmq|T;q zLU%&Cuiqv%s4E@V1=kcKn*+RC-%~#fr?MA5&i4+b9S5458tbN;tf$ZrjJtxXHoi`B zPeCshz@%u@0b!1v=uSZJvf}++zD$T63^eXuSa{g$8Juv3thXa^^=;O}CoL)OSb2<} zFc3yGWN=nIGxjkWam;+{hz=)m@JEyUz;U;xO=34F3u1+iK6eWI8e=($> zBi>fkR`LleWCTUKYO~v5f&{b06E>^@$hP@1C9D-teZ?xAw$`*&*o`(CVid zxkTBRTv)_XwUbBP<2&YoT}*M^EMJbV#Gs6_bk8U+d5rt&S)>{qg9( z!!N1hb|_*Axw7xizPtw|QA1g&2dyLa6Cc;5_F*osoce;x%8 zl^~OD?8E6GXD(>+FOlANs917Q+;6mFZs`94-HnyR(aE~Cm`2R5LDo(@2(1q?*ZmELK%PKYe?Gm6bhkY55C27SS95b`$^&YtAB5qAysrxL~UI*WoR9gg$CN>z3*BuPR3(0)pS_TWce3Fyvfg)j-jZtBQ==C$IHV$!PFwLi@q{0e5ix__1JYBZai(&*?5JI zciU|68?47rF4ib;zd`?_H`zz~rCz%SC1Fc4G_z>>>2ua~(P1g0ts=H5Dt3x?8aA=yyPQXU5;P z{LNZDHI$EQ3OIziJq~H>L;nbU`7F3%^HjvYVpQ+%|c zNchs?^9`5%(X-%PL8SUt@-L6o+y@x7#0gE>7Np zVGsQPQsP3zZ&xkbk2U>&Xt4LdZZVz7F(g#>q;wr4(O2Z_a%k;iT{&u2B}(JZd5<}^ zR>QOSut}ih;kU>{Z)x)iJG_%!_CZ!q3Jt}HebSl~PV_(u6{K!5jtB8IlaCP1vp>Fw z*E)NstMe6bWZQSN<$IKZ>o36%*D9s zJogg3ho3)-?NWdSE3o58iE9Q7|J7u7_zdhL(57bS_~7O3s+cN{(BZe2%HtJLT#W0X z!a8gDnD@KuBghAb!<;^+i0zJ9Xug|`9a8AF@quoi7^4G&C_84}3;1<7(QQ!L#gG?D z{s?l7yVRm05E4eCzB4F7?r~3fLQ7S{(B&PD&WOEC6CZS^U?l*$-^QS@QkH5@oHGCe z!;8s!4w3=9echLn8^-=0&wQ%s=q%JnnbVy-& zNi}}U;09P6kzhZGssaA+>FodfD4;h9ESUNZJwJtUt1z&@{S5;EGkX@~*5L_{s^@Ka z-y-1Z#J8ireDt_mjKSGW)|n9II=K!rtu!>GViy!X6iPR7J_+SZ7bD}o{6UR&jjNCB z6v%Uh4Y(2R>lz*py2I3ZH}zxNx!%KKm_Jfb`^^ru+|}MXjdtm5tom-61d_D$9Pr1) zGhF>9gwl7v^RQDUs1g}918F7ru>?N`7YM}jkdF(2tekFvClrGZVuq4e0$LldCV@1$ zs?A8O$^2!XKd&xie{$%LgE*EWZsYiY)^n}|!DVj$v?h233g8+H?B}A^>uNp*?|TDo z{G>J7u;;%2M^v|F%^OykJkgKEn__1hh?q#wC^-t*_sB_0YmoQ|G7~l=1^kSs)5lVL z2!0ta^UxRhBe*tiboa25bSpb`->H4VQH;22DC8C91?hJX0iQsy8@9yRNq80g-T|xL@obvu(q&-yxBrR{UE%K8-b_O?t1V4qvt?&> z7lm;U#dFZ&IpTg+6*_c!&@}QqrsB86THQR*a4as=AYl({qL8DG6RT#*n1VChZ2o~* zKwU8PhwePOxX6A3IhxWjZsBk~Zn4}ZF||=v5{O)tX^uDcsYSx#e4bVqa=Mq3av3$) zvYaqA+QZMit5AELPiyBQ13N5F!@o$%ng?ARx4pu#nWnIFpaKk?;z8C!_MwdT?`HVk z5=4ED1woA46d`7>UnI4>SO;{-&WPq7N-m_Lv~YsoQH)V|L16y@g11iN*&SKwrdMyR z!>!tVmIUB8lEv3pq+4Pvp-qi2_?~zGa^A&2uBcaQJ~hSQo+{WOdhX>D+F{3DYHe)9 zG`Oz#{;o-nN=>~dkGR{FH~4-rcqkaShu4bBvYv^<(sP zOoI$$-$2-+YV7%YA#f2GotrA4J%IF`DX5y4=^WX}%3aydx6xtj7SFVq? z-Fl?4^y9Jn#w`291faq}l?EA)DOIeZ8OT14#)M-{eiD}7Y(CHb4SooSy5blU$Sb6F{Vyx*c*;)G51-T0e~(Rg({Ae- z%N)sfDYv<~h65Cc_W{yFU1plOQ7Wd1{Tl~34SJk~R={enn@@A*NK9kXOL*oW^g`fT zZvzo>+{%)(v6;Xp0;+%Vq_xmQzdF4eq$cv3J9SGZr0|&Cc5ctK@pnJ3G38Bg%@wSv ztlO;Wyn(pR3Zu8hT3W$d4P^~s+J0@Q zQWFIybQoig!&i!)T2E#{vl8%GQ=6BO;PVR;&sa*@@_V8RkKUR~KLa1t5+1nH~xd#j7ajfvx(K>isq+{p^7 z<dbQxBXsBKJ)Sy}~d}je+o^orZiKOnBfq7x5k(86`2x zZrU#E5L&P-&p6c`gSUv$t%^tMmvB$h&W&fPI9xTs{(=I>u=qDXtpo*{9M7g-082PX zqy_$}ewm7emho8q&=JaD1rw+qnVQU>9k)zX!j_nfAe6bO$5Y$Q=7ROibO11l9S$JS z1wjNtWAST-2dWFNhkrQLBo{B-_0`8l>jg0nzJ)GozSRKMz~Vfjqx$jg{2 zD~T1OIojCjn)~m#7ZToNzJ%0Kz*quruF+i~vK&}$pi5)A_%V5uxjd1U6}RddN4(o`r^H#Z zX4gVHyg(R4tT8(PzsEb|eG6@H>}K}|l}9RXn96+p? zyhAx-9`Bh91@`E7*(zM|YXK(0SfeLbgF+2))(@kgV=EOqZiNiH$7^VDDlj4Ksx$pg z%?hTxqQU^L*f8las3@np|6>^Rw4jmxqCBntBelWHh!7ESPbk5w!_;2Itis6pK=Ffe zgi2L|;O=-7M}O#8Dz${bPr#x=E$ujaF^h?NTQ!Rb3-}L-rsl2FaCx#?781FG({LZF z)z!)D(^1?@W}NPsmVs9#h2wVsw@N^MZ26P6C4P0BT|LOr6?%1d;wmn`=h7Z)f$U2} zjOxflRjePQ9h}n$fQ#H7)2~8iFB#0G*%vz-t_xJos+hapysX5<-ZJk04%p|wN*C@Y zb4M){$d*L^?6@@wq+!$SH+!+LUwf=j(iHUUTv{w$z4)I4*1v-SDX(-0^zawIKET%e zE*+j1(L*9=CK#y)374HkoV+T?;f9cZMAyg!2yQ8vSHT#&J=0ubJpVC?v}%dHWHwN$ zGV-gxp~isNcPr}&7XMxz8~PYk=w3+T3}4`;61o;`Jlw-X7Z!BHTCBy|MB0YrZE5fm z`8Ov4ahjY%66&iW5Ir}g1twD@Q4D4mrn;I~WBy_3+!wRKo6vOQ{KGQapZ`FCDcHqv zIhyNC)BL9midoA=)}gwRBg@Gh78yyOckh!69onQETiFenrKGpd>pCK8gjIW54>6@s zkVz^fq^8OEdE+5wai9Dfz>nrlSrnV7;z!S)pRcJ++5|(IPQ!uo{joPc`JX!CPLHJwrPjYWrTGy63SLV*DV;AgWSkPZYsgQL@MJi6=$zrhjwQX{QAu4YT z_k+bbzS_Mj>?U629>TDz@CqXVhM>;xg(X`FEN{)OR{wg`4Yj&vMw=dx-*b!JB4$T@XqM)>+91}3f=-?AN_(Y zd>|QZ)o0(f$>bW8#v4yWwOD^UOECy;@hS$L1@)z@>h?^D(~N(PeI4Z$akWrH<=-ov zD*sa!E#+BB)G3!p`eH8@QSX@AgKBxNPwO;G@&BZ%=_s5yV7TI9>$s#cv$`(P-*(w>{HTA z#gl|mZ8Nun?aK*mxx)(=?SjR9^36p@)Vh9N^`N%PdMU_UAS2PJnpD>+^urlA%PpqA z8VD|R?V{vH9eV7+#sul3ICeWDT5Uylci(fPa{|Ri9ltQLNAJhkp>hpTNqos2kJjXG z;8f`Y16z_eAQGK$fr@}X<++NK6erV8()e8|>{WnZs-}^~&tP{+PSz*Ox?-tj67JhN?MA>QTlGfhLE?TT zt#cGu^--*ym(P!XRs}mIb4m5Gd?(!1^S+R@=rp9KwS)?oeqAJaf0M-mLVKq#8OPU<6)6k&6n<+Py+>u zfyxwOlHu@M6mNh_?mdtb0|XLygm;Rgu{x5^qCtuvwDzQcBkDx;_ z(Slx-w*hLNai2NmI1o|#uDi$JHiVV1{drLNFk#g^?SimhFa{0CNMD1ua$Ky*!ZEm@ z4%VM2ge9maYl!7j&olI6>AXC%iliMHymTqnj>I8Ho)EtwRd1x<6BcWE z3f;Y9nQo%Nu?9<&%Quh<1@i;_bcr*(QxKuF368rU?iK(A)(JJ^2+Z_Wivwc~-ePzn zq6s($tm~oJHW;DsKiQa=dttQL%Md7SrrljkE8vSTx4_Z03DeYKgqruHmYqW>P)Iw}Ypad$r}0vPWwzl8 zz044Yn@sR{V^6(I8FLdi5vyHRTqD_puBHm-~Vi}A2O!hW02nhpJ+9YE8L)T<-!fXFnYOyJ6+;%Yo+s-VJ!;)M9^GbvBFMW&x|E3KJ6TZ;l$v*#s5U=ejazK z(QWEzXdi-Eoaihm)N$9hZoEU^-c78LU!HN&$7&*2?#kUu>@@0Q$Ku|2+xu;B)00@V z#QZhY`Rt;mi2oFlOpiBx?{SwcK&LvCyppy=?cJ z?Y9}+_vo1XDgZ_PGv4~7voO98)v}pA4g@^6kG%f6J!)hWDwKnvzys6-?@(pD~S`yqC^M>B`?{GJe2bsH_<;ULrg zWEpdrMFkkSwAGf5VaZXFw%m_#HNQas3!w38+X{N_?(1I!Z|=VXxA&o#CM<7g$FZTdbF?&wu=dk+bZ4eE(;%3M`H3{ zmS4sHcXnuddF|@86ujkvlK((!hFwLo@nsjMQUe4`fEPxLtc)Z^$wdK4^3PAf?l);; zqq4fZkvVlqKBRzH5H7h+@yVjDVd&@zarhP5TzdQ#{<~MJ6QIRlTk|-t=Ha2z(WU^B zaPa1|Tiqe${A6s{)BwL?wZYgtug4VV`LO{r2TgO+#KM^@lYS;~JGQ6DW9KZJFTrHN z&nJkmCR`7~k5DSHUugz+>73rd4*phhytfagAQmO&`!9rJOxzk(VfXXJ)%A;FYfs)h zyepgUPc|0=E~mf8bYf#B-{^Fn2tK^@u_9w~(Fv{L2hYZQ^zfL%7o6R5s#z#ej0f3# za$L0c1c3BHuRThs1RaJf$}V+>Mir+jH##3m+5@Y~k!j>GCa_%(woC!1yc2MhC%B8M zWz+`)7!!;lSN)WT=B#iyxK;f*deV*Ja424!&gO|E1-uIQL7Lo<;9vntLGK29^u!f= zId|jreP8Zh-!#Ji=8V<{9IT?Sl&h6iMosNibemckU!Exf*t+3Mgz4c zx92f!AMLwiM&b;G*!^T#R>|=_aC7`a3ZG&eEo-Bn@NB@J7!r4;2I4^AJy6e9k#llh z&=v&Oy6H3+-dB4@w6}6)3+VA_?EWptTtUnFA#GO}8SCQU^0=5(Zrm@M((0&`hnBeP z>D}(4yqbF(>Yo*CyJsaCZ)ri*11zxR{I`x$j{SqvLmmBt6Lu{XCw#x0ymLyQ9%7XZ zouv-~A#XH%oJhmx%o??)-UH^B7;UMMW`l9b36%?m2E6tebZ5<3a-GEqd4+2@< zpkA(Z?RVO*n@~!<5wue)93?Q)CzeO^Rg)#LIMxLy?k!>y@{TetFh!&3MNHFz@xD~oKhm2MLJdSrpbh0S@?G2 z*L?R}xGh1FFS!)a%aZ3hwiYD-_;n}TSe~!OJ3lxdK1}!yGMu4Xg{YzZ%k>5bu?C)j zE~0azYEPmRc%}e;5OG>9V@xUdkYh-!c&h6Wp%OQ+A}TE_Dm+J@3|&E3*}`pELQ;e zN}`O`v&e+R$_ET%b8o&^kV}oRpVWU7vEfCi#vb}H`s@g0`gd3qmZ(_PzFzSrjY=Kff3EOimNR%4%g`U>FGHt(kH$H4(aMryb*i2w(E-za)<` zL_>1#Fkp2yk~V?2%1z}z=zTERL+u@Ac%&Q2<$j`+S$y^osK(0X(8@7?V?)=NAVETC zBtFNTr?_CJEhK9~sZXHIcPWDGScnCWDk}bkt52F@$qUKF5C$f-sM%Wco!TAvd;H)1 zB?P)$4{Y#j&hO$2+2PkcW~Ld6E69v`=B=ApXY1M1YZK1h%6^k1;xC5g%yJ=AGN#KT9E@(13x z8)cTZg4AOn4^DNyn}@&PugCvo_zqUYhH3?y9Tnx+-~{E^1XqI$9tDJ9|tq^mYc}<@UV#-q7kWKrHNL>+2&U`KutgA=4t1g5W zL?wX$3hZB3Il62tgz~7$6%+AVT{KT})R{lTu?P)RTnqn^uOx~cvI)K}%Cq5Y&|%ER-qM|& z_i=z-dFz|~4x4(w|JvslBQevgo@hyFR??!5!Aeok^4_erBlA@HXSE27`YqP53`Uox zp6GV-hX9>josIhK9h?WlOlohuyRr92;LiR{)h6 z52iq@Ne(1+Io2c-c_90&UfNe4c1u$3m*>%!8*oCU5_41#=H!I0KIa545{-KS#CXXZ zRN^wD<_0phBoY9Uh#~JyBYsa!k6d!sXW-3x_>eoc6|}0~#1t0u-gJw%E-m?$REc%@ zZ7|Mg+F?xPjsW@gzT}m;xrxsHE8yQ*Fwuol*?QY?F_fZcWuq`&4?0wV7!|zXvrP@k%TP>O{Y3qo;AF? zsQ6u@{9+@9#mxkHhfwA~^MG5K+@Ko;F}Y)lwinyPxK;7O==HuJoMesPdC%#g%)}MU zVV_XTeF7_IqA3>`A^be~o^y=-0?r6d1~{E#pt3=2rA2(Dnw7z9YozQH5Ae7^<$tI) zCdq{k2moP?uu}P%>O|*MZ%~wKU(S~FCIC+dRIOqtgsFvz5+j4GDs!>wIk7cQK1x&l z0P$3dS|=l9fqM=Ehy%%s2nXriUoAEP8KBteCrXxZNkC2VLDF~{@;-#GLIOQQ`n&bw zl6!-QF}9!ETV;hDyQ{^89PCe~K|Qv^vFwbuQ!LT#IE}_a6I}j8uu81DJh*xerUMPI zse*sQqQwftHy2n5DS>eo0z*14XW(Nur{(_i9xuUQ-%9;W%_ZJ_O){vOhmPk_Dp@dK zH-ufE0cvL}Ppl-h&!zOjt7=cK$dILo4+8cirPOU}GT7>t21 z#p{cOPX9L?S8D>0+byA9Y4}KOg9t;V_BQ?ubuZ+017WuKb?$L%F9NU@8at3;+o-JZ zl$bVfQXJbMa-62Oah+(`)3W^Ocr|-Pt-0k5Wc8UrWU}8Ok*$(o=zjB0QsI1``+Mfx zb{3Ob$9?0{UPZ?Fz=H~4WAV6RFzz*4EbJ`g0yLm$+|z8#&~oqVXomsw2JqB%x>k+) z;Azyf$&?r?IV|KZz2Fl`&vvp$c}AlfAHE3J9eUbZQz-&bKp@X z5SYY}toKHCnAVotH#^QGy=TL1i=6dIbCztS6k{^!2czni zG(7aJ7S||o_^E7E?A19NwWd`hH{C$4pQ&A=)&ecOH0AJ(9*Dwqo6lDcVkY^LLzpTT z`&gAT%IXD}3jjg@j;_iGG5Hn&PIfs1o#x?7(Bp8N0Bh?Y0F%0pKr?ue0=0zO#1U^7 zt!|b5$tw`EKX?Kx_dLW}-#w2*bq|6NEGMTkRAdE2;1`*SHvZ&#BSJi zhNh`=MAxdMx-(V!-UUiUh<52*bEJ3fluHP@m2;KCV7S!A(?(XJN}dTRFrXH;t-v~* zn@LcxJmQy4+Hq^+NBWJXnjgm-oKXSmk(&OGQVd2<`JAdBzWF|rrUGJg(OpANb%B+j z$mVK8*2EyA=H{yI7I*8h-ym{gFkPxAN<);NF753>!2HwAAR#Ho9NK!^Q5i93BkOUF z$Xp{A+=^qk7IjCWfspMo2y;*eP(~nQnM6F%gc`^Kgtiz^wgWfT5W8$d}u;- z$uAS@Dk(e;e09D^=fQyHnb$6H(1Qoc`d;xK=CzECPq2A#@dK|>pi=8?aoADRF|40-ww5Prk zPBoo~FSx26o(RI%m^YSDGGAP1`*)K>-h&ek`Xy}&emz#LzJJW>KCtpHih}Pa8PH6B zCH85AEml2N^_8^p;K6n61W6^uV{ERr)>=wGBnBtH|f& z2478VS;soboY?s8j@|+Y>)I3mE)31m?t|m)CQ}g>M3jdX*bE6SNzPC2yyLdXO8VM> z3#Y%rJT3<|ejpGNkXbq{wh)6|=+&6P%9?dCTCWfyZ_)lf5T@Qk4zF&40&hW4`Sfk* z{jLNjTxJMrOT|j`?@z~l>^EJmJ5D~!C*>2zP`VFl$2aesw1h(2pf5z)&&vxfUD1ac zP+$@lAf=67Z6?q;^a%>0%X08#?gG+~34R*B2WA$qXHcs9@=K&h2p#|5;!(s$@HM%r{)DPu-d9>ie7U zT-neX)r&M8qYYeu1ijYMAS!St#Gu~}d7r6ffZhtIpL;yUp03^+!{!4~!uZiS^S{_A z%^HsCpBWdMgQpE76TCz!XHIgJZsN$AC;v_bDp@K*bz3|FhyS9Ui^tGP3Y!Y@)Os)t z_(H}CfVIsGJWTamsLuO$WCezfmM<&ASw_FviX~K87)HX1bxo!d$))1Vk2k+o1sJL{ zp+U0z6a!}qHP_2*0Y34j@}RB)pvwUiIfHts%b0j`;bx*21OS0gC>ygk|KQD-SbRlP z`y)im_rDd^;Fan4RO`-d_q5q+NDky=aUGfRz0 zs|aU}No0dXZ%alzP)jdr-db7u`sQ_BqXy}BYyxy<-c)JT;q`=>-YmiezJ(v}7!MXk zDsFI-_mky~qcz3%eXIK3C?4_Mj9|5~p<0*`a(_Jd1ZMp49w{%V7a+n*KgTu1tVy~c z$_}Z_M1D$~el(TQ_0aCjbacGwDM8iyFSxf1YLuCtMjxxQvgd5yyW594Tj8PuH--{S z)NXmv6u-#lBW+gHe_9(dl!n(RuPH{UAEQd&M}ZIKds5gwFdxnAzVh*IpJ5`SE%xA` zScu@nHpiE-kR?l8s=D!JKV~YSS z(qiJp8hzmlu1Q#X-Yw2AlrNL|=Nz3LRI;LxZ4=BztGo>p_nZ<5IiSn~$Fj{%b)MV& z=~xi%PGAGL8EB{9aK4%hu%7%o5e;4(h?AW;EBy>EC*R$UX?M~JpPD^0AlMmNCEtH`L&q1AdkN?bS_(O@Uy;GP z+c3tKw*5=|scmt2hnI2LBJES#U1GPp-JXmQjGLT6+9^R_wd83Q zMv7)v51GDlNCJ_@8!V1FVC)0KArovYoY<$PZb;*29tzzy92bW+i8VOf<2hWrz&oiJ zkpge1;Gw~uO()mf78MUMwxivO6}>4iYM~WxJncT@2T_XPCO{1wDF~TXr<)Gzf<(Td zpblBC^uBK4xa^RKohza7uNn}yCWG;R;_0lVp60`Mjj~R_G9>JDy6C0{QR^e|`&@f< zdN-{`S9yZ2c$=7=#rI-U9y>z~eE3&>rGwIeJspuAOk8IB51enCefN=NLWxHd`1loN@6Y9F z%hcT)A1sT<mX;`W=v5mc zxxiI3MG(p0yvpK}c1&Lz{EqhW^dK6m2=*lq^TA{W!f=uJM1%Xy)rz_N;i&5J@lsh_ zo*c3+gOLVK=1o35#%GNVRl|oJnGk~Di1YO^rp^Z&8e$5s%CbgP_4149fyiX4d6cn1 z(By^~IP0-ru$PRHj7*QlzlKy^n*KU~v;ZK{WS9N80_TJxbfORHL}+3uoF3L$Jm0AP z`v2SB@}TKiILb#9D3TkF4n27ivpQ%W24zt0C7u=mBM=oB{n z+?eMoemWZ@2sR*BryjYUIobZFASy*g3P}?O1wCCf2kFQZ5BqxrAVLUeASg(Ktt2OC zQ?{MO(a5~erSVC{tJLM)P*D7}D<>(utps!DyZy*dh~Et8IF2Z;ecCNHzt}0RqIVN# zf@yTu$0&u(PZwPSQeK;gU|gf0!i(3RU^xy~QFis+aYTS@nNpw9pJd z;~8`CqEuS{!R}rCNS(=4A%s+P2V<>%*&unjEmZu3KKC6t3bf z4-TK&)y7gz6&rl)T}Z6utIG*$8ntL4jQ4sjm|TWq|40}c@^9abVt;NfbW@WU$NdB@2MU&W(pZ#UIA@xQvdix7Keh^b9zC) zahlMIyK5cu6bF4Q-SG(M0PF$QFG8ssz`d{kz#}6_9)vAgb~toMe&e#?aPOl5F+rLS zh^vWINKQ_TK&qrOLB9Sy8$!Kf)3H~P`7ihV&0dGSX%Y>*tP>ZnV9yFh4>CX`AgNP- z|EzehHXQ*DQw9oew;3DuurC3%rrZiG#i#o4Wd}Y=RB3ch9^A3?V}(uHtGp(FDj7J?&l;WsTfGo~Y_%X|tHgL( z$(YNmhH^U{j54!N!My!-Lpe18XJTIRLd6e{Lg=CvDZD-O177XQW4vbhZmUZ*gy+x1 z3A5!p|AEWkQtgxf9sp_fUWns!=ZL`6TinfS5a$e>?MZ}i5aI_vMTKyk-vTE-r>^Tn zVXFD;g>V6ogglU9J#*rfHOjb)cBmCBGl=!9aFY`jUHcXf&}^_P>nnB(R1`O8D&HxN zqeU0ml%z?~QoEAXMI(TD1Mdxh@U^vWO6@YA+*~$**dwrtN{g4_Wp*fYrcRAWVx;iI z&KFQtO%s81r(6zHEU81!dtkjSYyX|-s|26k7TOwlb>nP;G4Nn#QwJ*lj0c^UAK68* z@03Pg9gxACGTbg(7fmE*92IA56VPuhXSGbnWQRt0IaaG5xc!f(tB#9m`@YX72m&IA zbO=a^gh;oN;t(Pr-O^Ih{pb=3{ekZzzL~jm?>YPI zz4qE`u^4N)(}OP(lYqU^vpv_bn!T98a?=2H;Tw%aPY45QvyN4vX8`W{4#Fb4uf)k& z>^ro3z+>Ab@A>}xmFG->qM!-!9EVah<*wy0)^hJFn>WGL1W%422LhFMQRuN z07UM*7`s5IA9;4>k{NI-0FoYTX{Y>2VPf~D%J=dpI^s-+@$IadzOy?U8R%=@ika_s z;Gherw@B$MiAtHXqzlQzo+sjh$UjwJ0VGg^roQzK_*N?Yw5(mljJ4z1*8}I+pbgO83G8(yuQ#d%I$S z1jII^^NMZB@DXIcgZ=&2OKi=vQ$NU@M_vBQW#~acuTq8qhz9ie3M{MSc$oBpF{>0?XdoS#};IX1lk(v-Vh;&3FopqD|_r~dwRA)I$x5tjx1dk=_gx+g2R6cd8psAlAI6>SpdRHaZ z6uS6ubJ>lDpO8`nUeT&8ZU2zn%>ikq_)A0(6)?NKT;DVK|4WQ^1FrYpA~&JNDO5++ zYdk15bDV#nIth*8fgVPaN>E0dXTJHHzOjPYjA%BC4O#C{mMH2nxk7)T z4M>=n!S@R7$J#p5c^95Uyk-YDBN&24TsD0h%@_YBl#oIWxeckqD0Q<#S}pXpJ@mi; zIy35QO)E?ObC1qk&^R8g6%2w!mWmy1CqCt7H_X)7;tI~SqH%9p|8~3@`gq>*D31z@ z(fjYbnOh?v9&x54kHLTK771PrA$KHVD+x#$g|P3VY-rB(pK0DiUXwR-W1MKtt>032 zU*kEGSxJn%rWVgOqYlQqFov?@d+Bjj52UH(#~Z!al#V(=%AyhB}P-j;wC7w9z%+` z&pTx~lwmOb`$nK@5Id_lu)wHLUfusxcZ5!hR=Sy3!otJ&BoaxXZwIt1T!O*6QLlU( z0KO2&pVv}WRo;olF7bJsDS7{=h3&I0Xs$>pCeX&4b-C$0AQ9K|#zJ`DM{}uALBuvF(?Wf3^HHZi5=<1NAujgV+*mTSG6GJJ_)1wHp+#b4vPRJTF;L9b0{^a^ZX?a)-O@EvjS-*U+B+Jbw`%%ATZbR!l z(jrO+@Ax3K$0I(7iV)q?bP^+CcDVFNT`dF+KZsIxw24f1qAwN}HtEgP^`W-v(l>b+@m9qFOE_*CJl;}+1i zhQ(Iu0mK7^ZU7p-I~M~KFp|XXRMz?>gPHtk~b!Q7yOMH8K>iB z-`~-SU2{#OfYJb5ZM@|sjaPza7TZWagnI%sD_+$2>`s+I)-)6J<}}-Z!oq30o$ujr zC5pC7V`zQ#~Ch`-kc@^=0IRrNwU8vTnrrlN|7}ft z6@G}O4v`x|T7e!;nxHm!iV?VY>d)RMOa3Jz(czrhf%HPc%(IZRizqVT(=kBFl1`q1 z5d`oswZ_6Iz|2I^wVLKU8jqRm=lSg?T6e0rLFkGW6+4>1i4(2u-X{fE7ZlghTFfS+ zI+|w6gB`3c$f!6Xs2#W$wF9W0hhMOm-)&0rP_5k8h8 zOs00jFLXE@>uIh-TTGUJ;{dCwZOQpBg%p>QWquBhIemzl90Tkcb|L0eZ9=^L_u7O~ zWr_`7`+E^$aiW)NfUp{pXReTHnQu&?FOmf$?L%n?S^CZkZbx!s6TM55^qX@Sdp zfXR1ps;vGOz1nwi2Ld!dWaiPhDj~bh1q>F^zY$cXNu~Gk!HWh!slp}M*p0#Zw8h8I z>wtTdnj%{zJWg5UM{zod{E@ZY=tPX7Qq;HOtz1^v%$^2MOj<(E!P27hwM$-s(xfZ! zU762As=`YW?Pwo++uM+6;@`n~0rza5;as)xjv>Aw9-gsV3jE-A8V@P50uPmbv3s}Q zQOQPP-`Z+*BGzj!;v(EB9WdQNRoIiIzsnFUJqcS@ybB?EiFHbo{rP17jeR)y)!kD$ zU>cyd*Z6+pr%Z9uOlR2$%k9)!%ow8KE-Em+?2=A&Ne|CCVRep8qbbt~SB5HS!Up|C z=hzE1N&?h;GiVCbyHGOjhPT^(Hu#E8HU+*u2*Ryh7vq#gkqu#0!gQti%7j|-(c8yu$~{w$p(t_YX$%||Tg-phvo zXOwf1pIWuhEQmf(N}0fZc#|V;|K&xDcEC0#byV5g9S0>BS6_&T?Np)5Z9C*Esw+-% zZ+P^Hnm7qDFuv487HSIYf+i>AZ~1CQ;N6wG^m4_3Z!$z1lU^?&I5ckHFVL}afdX6^ z{QI$i!QCELwhG@#?Kk&hOi7q^|Alk-qL|{HM`@qUuRIxu6@sTfs-p1m-l_pdte=@` zRd+y23{h`SD-bs|kB;?k0TXM~FXC-+j9l8g+#gj$vNY7tW52mfj(}XvAn=EgKR_?O zy)VwJ7>>}CJRk}Wa&826JP^f@XZ(O3Ff8lDh5q42B|*E)>P>xfDlkQ55Go;EtNlWO zn{q8l=b@vur;}QHg`7zln=e7aK&0Nww9&DWLbHpPCjEd^T$^;}Xe_um2J!|0P{rY5 zl6h2ltv=X}5Jt2aD#_Bv4YFTYH#&c5v@R+iLOi}QOsOuFJm!kZ9I14A3o#D1)>wAUqh@hW8grIz%}F3#RTAM{^0& zo^Rv!EXjMM7nKU6Gtfq19cv2wxL?65%n8^NfVl)>Qt9-Py;XZyA>@KYLO==&k0tT- zxW!!F1_Y+?-;C?x^#1EUWbhR-eqBqkKQ7`~2w^IarnA3j`hrYQ#nYE7r2gVELSbA2 zW>DNoLV<+=7*x6X0MU`64T#krw5p&gfSzai01QJCNK}nf_V**J9i17cbiEV4wP+}=4W>4&k(1;ARk*MqB zEG9YSfT}oY9`T;fWa%57f|1I-R<`t|mP$Gi)F{tjLiX`-LqDbMhEv(=qIG*sL3CN= zIAs2ouB(|#Df{nPr2j+g{mIm`^T_TXbYPFuqFkby#j2>iUtiF?U#RaCoKg? z7`G1s!ZW08?{~T0B?Jd#EKJC)=1(Vkc*Y&t82eJJ>|I?+ak%tb7Lt4oF#UMR149i1?c~ zwDx>t_+U#Ba%F(Nk*=@xF!FZOZ|X*WMA=&)^{<7&aLW=IRe%|KKhf*!eYcOz*_XAM zyqOk63YN5W&7H;M+5W(p6us%t><9Z-wSw~no)Fo%z_Sn+Yvoj@# zK%Z$l8WIx%YMDUtmm=Rr9`T`i6_X&5GU!rj5;=qSK-pLo(bnvt!_J8+5>#nCXz zSmaEkzMN|E=*zTARQ1;)lTcBL{HLV7kgD^m8WK~b@gu7*5+Jn!haRt06_@odtq)-M z5iMnn4Wye3|I0XanzD;2KQh$ND!#M@j6$O4uSDt}Gw9jzjkST*0KilV zm#4iZy~^?!vn@9CJ06I?R$APW-N2obBR_gv%D|nOzaAzuwp~ZrP>?fvU(mB3fx$|Q zyy_yX3+0wQF+JG_Lb#8oBKH(gqe90?KS>C^cifkNqRxvc#+cSTJbR_<+J*hOl$s8L z-n8w>Fxt8_ypCHJhgb=V@ED$9hS-7-^C5W|&;yz0nR`kYKzdXqKM!|QyX!#S-=`+J zw4Nbu$bFo)xDLkUP+>A$Z)#@sXPfxy^j)Zt2Vi+Z_NkgXz}RW8l^%V#vmy1hsKG!y zFR|{KP~s@JkT(S@aeDA{qiNys)_*^RJkF*sLiXd1iZVML*~vZl4S?VxI6NdGzdg5g zDQ)r{3kFF6SZC5aDgvj9$=EXBae|wJVu~Ad9}*z92k{u!(FxLO_mLzM z>{atDE1`DmrJ4M?hbWWZ^#^E~=Q$hDw^bfTUef<(p1C9ft`V@KIntNZdR)_*@2U5` z&PiFx$u!R;@!WaL_Y&Ha(mdKLhHU8gD74+>aEjF)P-MU<-g6(=xcEiM*LH^mQ zc(SC(f+(vU8uoA&?y?l1A&9i~AMJlYVsM1l zO&)m0#bH3y8=1M%9ap)cK<${f5jp!8Y;fs_1Nk**;cv;cIMHjZFt#{>@k9N)fF1?$ zRnw&By&I(nkoGDos@R z?6q`^p3d={E5DbGUbsLO(9OZ^Rt7`*FF2JhG}zfKU2ozq$x6n~7>xH_O$1{xBdLD$1wfClSWd0!$G9xKDZ4Cu zx!5DUr7T1Ksx~)_3s8O111&`utO#2|<-S!90y&Bx4MpAJeHgFgWt=!1=d~pIz!#QQ z7ALV5!8f@T1gM4f#06Wi$vnLGqt5s$(gSx(?>?NQ=Bx!11xnlDlZ@P+1QXm77lhq$ z=PEw-)v)2Oty{03%#(uTvgzny=d4%pkkfN?ma~zxAnep|)kzQBQ%M6DZd< z9=C&G{22CD@_pCWdv3&=l?%WS&Yi!*roOe3IirNJ?K+j6_F})N@H^3Sje@&X=(E$J}z(bqisMbsz4k z&J?_2LSMYC?qb$;o&Rs|Ph^%fG6u*+3vm1brb!^Yr1g?3IrY#ae+*dI|4Od~K>K;8 zLd0_KyL{K2&VC0QzI)p>c!AAJi~58U5M>{Zi&ro?F5(3R4 zgE1rHF}E8pvo_|4WU@W9P-A3p^J~VH3ft+eGdLO$GP0N|LCCY#?Ywnt4X4ozefrmA z;PF6R@=`w-69rXrKUgHL)w&5v`>a@3F)t~)-5r(t8Wu5fGoNscr95j+h;4whJ+pd`_zXacv=mv z%K6QFCP<=+K4dK4G58@0(&D*N1S(Up%@(WvrxzTA>{O`-`1g)KoShLMRblQ}q2|x` z_3PAo8&!dG?DjL8v_N~pw}M?~mMp*&D2BvV93J-(?Kl1W)9 zXAby-ejaWnh^h)fzg?lDY;0${Omv=2y>6$Z}cSJ~+@rlET2|zV_R?3D%q)Gp^Dq-JNYmclh=9ha7iF@>rFC>OH2_Z0bZ96LVzbwmt z9GGUt4t@xI#UIiKoJULI%-I)-tOBSt2?F5l+X;X6+OChNYZgdoAy}-u z?xteNspJ-N^M2y=7E`nG)ub5y+xYLKbI;z4Flh#bm}gEGen2S~t~TM%ZXfpajk0eg z=x8%R$-QymHGO|D^$JkOzJ?SKrNSGhUDh^8rp8vh(3u5$!Rga4-_E0IS1G7WO8Gv# zdE;XC%S_4F-2V9UWPy*dc(A!1l8D6e1p!+ENS>jEU8Sw+a(SCI!TN&ZH9sLp+QBMp zcxXEx@ZzKGdNAmMphlVwC>a=vuW9OENdx^#X#H>a`^+(^jOUu!$X@$RI(-V<>Cy3H z!+>1IjVxx9)ws(OK*E~g-(&aoH#LQ4D<*SwN*J2ExD=g(EoR-)zztF+2;G7sJjvAu zxw95^pf3SUQ${L~7#U+?r1sWh7V|-Y3|#2A{kL8L*5qwK<;d9Ay^eyK9!Lh1@>0oJU%pWfF5gaaN5gPjIoTm!B3o8Bg}vrluAj9i2m4{9Q~ket<&0M`=KHs>lG{C&~jHR{9ZAEtM3FV`jS;7zo03Ju*G1V^casyja7V)dQnsp!38JxqB?a7t1twtJ4 zal}1f(2m1{VAcHm7vBBJz)f4E>qvu5rw-2I^*)xV(*6jCj^!`t8%0{IzRtea4xAAV z46#ZZzDl@x4bf9uw;nxvq}uo?5+5cnEi{JD5jev);h;-mCFvB{%+R!TQyGWYmi=z8 zU({LJ>)wMxyxz2u{ZD~UtZMw-S$J8!Z#aiH@^duH=@ofIO*I40%8Evxvt??g}2(glkf5&k47w}#I9Il6Y+ey)Pp$FFX?*mNks zU5!<~`Gp#LHG~gc(osx_A{~ zlW*6OuLUA;T5E;U&(JzvxWlS4ro3n0o_5@V;Am+ctL{d2UuUIB4|Jy(DAEy*+=$xR zN*%{{MYyoe@p!Bd1yD8AJrC)h8^8N-0Xz5lAfgca%3=N@G}f%feoCagI<64g?-MB? z$)M7F`1OQ<(snc#60T(P5tK&mU{vXB@=0b(5n%uQyrD8UDPYU-60r7mtqBj!bLEBD zFT9OywpJt7q9k*?^Q;KpKN+4WS7-!&uR=bxg|?n)de|V zWulr}!Xz$B?$&xOWBpgf{|3K?VuKTXwg~-vuLMk_DU3G3kp@2_p=$H`59ALC1?2tv zQob)$YbpMJW}RfKx$Xy&|DQu+bXLCT&7$T_d+Oxs-sd3iH~!7Xdq4{mm*7F|a%17- zWMtSTnH3T}Uu!)zhvn_9r6`Z!n8iYmagjezLCaA8sh%F0peHoAL0mAOTTAgiK={JK z(gKi-0h(1_RFtQh?-l-dn}I8`{2gu03{F0Fn!cFKZ{qRvdv7p$eSa+1hb-x9LK-Ee z`k1PRaYN%ie!i-)>sFH*H4WW4!x6uCR_J4yl zPJ!6aDKp4$wcIOMmL=x0&%XnQs@;m^CJ;a&60UrS@Y5>heY`D;6ohxdtqSLkC;{$n zG;8lbP1#ZNIQ(*_p!F}-j5!%h`poy`pk5xzXa#&3WWMmLBHyl**$dd@hTI!xcnrzc zm5`4QwY2fTa1bzUT1y$7svcOnKcFRLEmW1D0i{-I@Y@wto@1oEz|#@bb%0(LUhj=b z7cA)b*hb6*)fN^eIp&CWr{>BGRBQc?o=glO=^`KJ>5pp_X^LAC_a>v0tzoTX(CwRq z%GEp%XA2NKrM4gPz72t96noSBA3n)EbxP-lBggmddxoT;!Q(nua=-9Fk#TwK!F zQ^g4lyaEbFN4r8tRXmKJ3DzzI&SdU;dy$B3q5Ii8r6H|7tQ1}{Ql(9wA!iM5;k$qJ zECL+Cy5uf(p=xs#P$}mBwPsO!M!!yot=5J%%_JrI}(4pzBWP2Z&fwFDpGLt zjjZgQEJJHDDcf{N2Jn}TcmH+j+KQ{m(waHI<{C;gC|kpeB*KGS55&}+0JsEkDD*wP zV>0Bd8$~%%2i0>sJDqscBZGm1H6&)dxL^_B2+uiNP$A0(tUF1a)}&(R=M!{B?#@XC z#`q3Uieui?X&jEc8hTp6&gan0q{BpfeV}WCl!z;xFnQhkm^Uvwqb1mRZtSO>q{F)s zu2`<%N}G~N2Z~#k*Cpe{oaBVS+W|bz=^W$kumxRUf(>RIKq=1{8OFz?v%Z5qp3g^@ zH+dMIaMt#7WR)56*pry@s~kB?Z8Xesjl|-SMoKOVd5rQCAUC`zfn(wOQOi4GP1O`> z%ilHkaHPV;udqrf(fz3J3&PUyx1{5tknxGM697Vi1SxPSwFb{mkG(*1&y5i2V@X9a z(0d?MNu6|8Ecrhejy$qzB=%rh2?4mE_DV(V>Ez>V+%9xCC`jTxk;-;POp{K}hEZ6h zrG-@(u$(BYY?uTY6f{6Kre;=EW*AO(Odp6^#_XG!5^>lYk9Bo+_gDkyBqWMg)Ak6OAxYeoeN9hDspV)y7L%`=Xx8#fvTJ21s(L&F9 zTsgyO4T9N6PYPH6rC3o6->TOAY*+q>j)D>pi~^$fTwC+ZkALf!DS_sC<{9&(_`nRe znp~TXe~;>KgY(Qlk1@Y?UrZ3*pa5G+yoUe=5HoL4=Fc(UUTp?{V!*32k1&3UYLx(t zf}LWcm8mU%tVJAH`?9>o-Mng)ODx&_WbGUF$UzYQ?WviK9Z;o$-jSq5t|3$-!7cNb z1UlveW}s!^Ge&O&4{+ZC`yEJC5oez`m@W-z^xa3?Pirxj0nh60uuGa~vK@8*&m`=P zv><`qS>(7sO(;6Tx10+-RNSX|9MHuIt)GykT02%Izi)%I_r_TFN$ox$mA6EZ zyM)&yvp?M;(e(M57kO2TKKwG;l)SbenavJeSNk0{`p((FcbE<3L9r*3Q^dQ zAJit5=BbzbsrqS@yB0{*Z=I%^sP0Y%4~RZ=j~V?834fFH)@r^RCrT(m&&ZkwfQonx`x7q79|c0EN_+ZI@5NdUF^Q}JOl%{V8x2w{Z<*g`TkCf zbQg+zd!)e@enIK|Jok6K&%LzIjdiM#TUN7lIq1O2wkliBDwT)}=27vIPRtCznV5<)xE9SrW_M z4)lKgCfh&lB73@3=x?H|alm|#gROYUZG0OXM(nzNTcX~^gn*dqA^a^N0UCQRgR9~4*LU^#Z=M{agZ)>$^&Kz0hAd7Kx@^{W=s@F!5R7raS&CnzC6e&RyvYS#o11M z$qoF`yf3F_#qWC#^yYJcECmtyd?lY@C5L~s7B(=$VQO;*7k{b;#O+_rNb8u$9`U4Xk+jo zu?98~0d$d@2fYf;1Ia7EyaA{3XTgfkt0mdQS~ zejU%Y>k?KP7YI>Z65VZ?H*_pMeGHIfFnB)FE)|k*=(H{maqtG9m(Xhs&~gsB_bzv? zJ?FV|`-R9JO-Eecw0_IM0q2+EnZ1Rd24~_2n&4}L3`a0hQ2G7$+cE41`JE*0zzqb* zk0B7;tx4JaYrJbVSF%M_Tv-;vK%4&2vIK^Acl)Hbb|iAh&PU&ob{8l}FBvpS2NaJC zeIV@uJ$cxm{wb&4tLIpH!r95|C~fk>7>7TT{hKY+cYywf;x3TWtKkYPnThDQ_Qz*{ zRPw?XPonDW%c`{PR@XJV-t66M*Sa|7a5Nq`m?O*fk6eEhV#To?C86Wt><}CE(xsjO z6!X>kt>Y<8WW?QL5k%zBy5CDY>9Mp7tW$Yt=N^mla&~>0d%k!T(mX9DyVCo{-XqBZj zYxY1PH`@VLD*i|)eNltE)0j4y&!kN3DVvUA{CFcD@9~ktv@q@Tw)(M1-TknkzEZ;% zK-$-7{wx0l-51$0rTsTR#0)yJdJ~p^zauNx{d4!P+0oTbTe6wwa;G4e&;vwe(B*85 znsI;Q)2m&n+q1^$)lq3yKn8?aEML)(Uya~NTRp$#PV&g?YXKRs%Ie&=-8#Vai|;K^ zW`?G80FCHn%Z^Jflng^CeyjiF$~Uw4t!~jMJi` zs%MfR3;zheM=9Jtoeyf+mPs8v*(%HeGyznKvh+#&WBp#|7k_Bx5TqaLO&9;)tL!thg7L+dmB?GNtb*@Y|Vv>j^h>Za9Fyb0Q z!aCBeBs>%bqTXvc2*_KCiqy#dZwKaJUae32qh5J%U9)N4@rnSLbgo^d{Y}Hendm0} zFvUss2UuS2^GxvB1bn4;BO#b9)mI;{}v|0dfHufRr}FDIW6Vkq5d0lW2Vo*<`z~mL-Z;U+4qNngKcsNADXtk#6hyB=AtHh? zentauUEcW6gTecnxK|ZL+NKA#*FG8vwLV-i%DZvoKnazeVxNi~37DbC2dgN? z8fbDEIcOzgn_EAkaHV*^8z$#XdwD%uC0YzGZb^N?$sH>WX6AdAGfg+_<_LQXES?Ad zFqQA-K5KGLL+hyfs3^H$6`t;Q(LiN6z$$_I*LQ*#5_a;PAfTa(>dlSrdI+!ji6+^3 zM*fb7?U9kyFH-zZVj9pQO(_{b+3J%MMmc-!(D4D7GIhKkQ8`bWEuVh4s!s>39>M*n zGOHxPwwd4OY$e|WuK`HzV={W=ErnNZ96I6`$~5N z(QKO#@A$~kkEsrXbfaYr_`Hx=3LE`SsaCh-Xjvz2IR02B_*=*?w2z8?LHTJ}7}MDK zA|7$WeFa6!5Nu_~PG{nV1wkZc>VYSiH}UC@BPtI(ms;%p1tu_nG&{YNK6RHsHJGK4 zGohP1e{9@!o9Rv?`rqo?W1KaywQcU3@-Kn&&;EgJ)4LCXOAR$`?I5Jlam36k6+|(7 z=fCWj@Kf^H#tj+qFU@^;g6GTx7CG-dU`64=`I_IGQoWW6+5zF`7!~IsBlG$)Gj?eG zxuxq~n$yB;g#iC$)UjdSJL7?GNwrw7MxpyPZhZr6@KVwO|BS%R&jDZ@oaBO=bc=-d znkJYBtx0?GhV=IBKHaa8j^p7K-wALeE*$v36BDB=NMc@Pf_sx{UWMH@F(_Imu70Xt z#D|@7?fPHO6i~-(OOaOyS4Zc*gAJhvd`mzhL3~jz|IWP6(DwtDBsf8atYJ%6R;!Uv zd&W(tH32)%GZP?5J@{ig;Dd6P(+2=xWklT!4(@KsDLJq=u(jR;eHt&S5n+x~YpwBT z_0K2w&0IRV3Oz`2D9>BfDknQgBl9Co6kSi#1x7p22bF;5)Mfn3I*7UQvd(|k_-fF1 zvMVK=ateaIzywHsAz<8>2!8~4H#oDT!mX&J!u?M&YKhROVhKnNsQprcl@q2f@NPhcnR$S8>p<$-9681mkj2hNK+5Zdu z{!M=fgeeZkU;yn$)oET8Sj^heZ{CAGhu#Fa9_Hs z67xbe8kq6rZ|ZA&Z)Ha%fX4S4|J63MXt-#N%7Z{rkjPBT-~M1RyUV%Amx&1rnk5S_ zsKrHf((=ric~)~{Vp6c_K@`gvTMuN`4=`qPHomFa3)(#o3)9N-z&ud#Y}wr=kd;FN zGA9d{=0#>_gUx;ksLmtLz7&^Z7;g9ga+3_^Dr3Qxed!YR?Nhp&xW!s_%#ofO&*`yV zn+PFB3-^Nuk#pR=`gd>>A5g5B{wgF!burK6XQ0=YD8VnLn6t&? zH(75)kDcP^Mc4N`V*d4cDYoG2PAZ3O`2oe9QmK;*uzx{UOcq3ZeeRx=rHafI@AjUj zpj8I)F?tHwz33)>&gxNauO%VRX=Ko9sR$^UCKeY3F_{f73y(Y%TF^dfrf(J-geWsz zeB#2ZGhBSq94gWziyj?!Yf?x&fmBDZo!_ic6p`YVsk<11TFBm>zeq}s;c`waSQ2=B zK$;=HvmV|T-) z{|34&*AXX_t1q6E?gz9IAsK*a$X}9Ck}nN4Zh6u}4WV_iLwU6T0zrK@qI05>9x|JF z;NNz&%t!SfPFR6#@fvdSBHonvqL%u)@5cjZ1tH)CZbIc=Ip;k`_c%Nha%p-+EAMaEWBA#e~`umZOih*(4S*I=M3Lew>d_+m4M?oE=KG& zZ1&5*$BQ2M9G}UYk)%VV$q?k|%8$U2%aX5@1`b0-A0NcT6n-}2fd1syKaj>Ir15<4 zH2`fOk|T8LsxD?`kt5^=&b>kK*D)Yz3~b!KL8t~Y?~Fz9tt<1_4t6U-RiE2idubsangn?HVD?p(HQ%WY3bO&J?X<4q)by2xY%(@Tox!6(D%RaNEt&CO zLokOxn@0_PoFc0zmU8TDL)v=!KsQ)2*&bN0^fxYG*M^ZC$#=7cnU^~*`LE4BY&QgQ zZdljNg8>bK3Qs%|?k>$V3ZPpQGc#T*4-E^~LdI@i*CZo!9wE3QS*&h3;Kh<&YfSb#%5Rye9^*mqpl8;Pt`uk3o*QIf5kle&n_NCw z{G1(Ger)43*gFcO5NfFl_s5Z)FD=1%!~{1J=))EgzU=r+dxTND*Ln|P6@YrRu>Uw= z?KZ{cR5m553;~q;7I9^V6K|y1P|jat=FwoWw)EubMh@K}5Z2Uq0Ep(Dp~|^3DhfdW zUNYCck==bvb$*bwCp4cUeTO2w-pgG!(JhDznZjTH-xha*w|MmuC7M~kyOf4)a~a%8 zy9_9bpph4N=-m=WvUs_C<9{r0z`1QGxE^Cygui2BpRy+}@7lF0ZG=i?0EdMZB;M(Yl<}AY@T54d(k`=w1Tg{(Dz9NY2SbOI_FCV zuk@#n=Fa^;@ECJ=R{Fl(CVwrLMDPiZkkGAXYI|zhq2SthaKC2iTI;%O>n#7=BjtC6 zSNEt{s@D-oZ*;;wZGwg4-V@Zvf!&>3g(K{T*!qtdoK6ri4eqFj-P?y-$RZCnUndXI z!f?gnBLN`%KKkfXGMa^y`)z)h4P)g0UQ8fc`6 z%`vSkx~u;?k6St1Z`^hDVpjg?nbV!VfBbsR8Y@jus%7jnLpOMDA#K(8*ZIOKyDgfX z6wj;4wsd|wojn{dhAO*7{+3c9+Iy6?+JVgmXb7xP{lWgHkunnx;3Yde3rvKNN7tB> zhFum)q;YO18;{9Sc8k>PCj|gU3zSNG)>B`u91q=fvFA3`X5T*nRr|V6+j%TqHra(M znbr#|bUv(fNT`Zulk$OT7(L1ZTYC^RYvEq;=$-3WUA1S_*iO2N(;)}}AZ+;|`E`rF zf1fnE0@vHwe9`|pBXV$-TP!GLBr&Ot9OcUUe<{{9Rl7=t%`77s&>NqZYp;gxC z$Mhckj;)y_nza%h+vH-*Zqp%!IGFD(CaWmwy785k1cS^D)|9Wr=FcSUWD`Mw1Iowf zRV1C3!1alk1~!Dh4EC|sN6wN@9dxO%Caf2f%Oz`r07ow_l1_wM09-?`gR&|wHL0#B z(1`U}xjqpw`#QJ-u+HXwznGgb>pSpuBGnaWS|0$pGP*CbQ%%F89u%PtbwDC$T232z zhbX|xy#bx#phpkWsrVzbppUp8PV(?#M^${Yp5a!OkDAP&y>MB0DzG$xXmE(>SCK^f zqK9;0G#6AHvW_qPAYunNn6fVSxR>AutvQ^z078ZG6jR}%U!j!+o>Nl)TZh6~qgmRn zy)Rm>DJWic3sLOCe>7pXi9l5J;o11*NLsctyq7E^(|jj2UVwTCWfEmy36LU_j1bE` z38bCe$Sr^K)na~e^mGv*(F%uKtLn`DQy+x zb$4cHc5*c(@mtAPL%cB-H}~`Ygg!oqm5v<7lz%&_0Ftaw765&&SV9A7y|VGUvGGvF zR=N7m9DmDRa#pS4Ofib&0A_*0v;rq!kY^^}QkJl>)(-p`+eeG&dG0$^VScAu5)uJ) z!P-^IWQ1GMr!Yt}9bmtmtj~C~>jcY{gAn)-rxJGdtyj(tR`D-ovWNg>6L*SgXK)Z2 z7F-!k?0F6A|EVEu`sg8LBo0f-be@n$1__VHJy%5|?m*aTp?@1_}FSia~9OfqiWc9LkhcfO%y z2h5eI)d}_Nbflh`-L<~c+5QC&G!!LuDx~|1vNO6Z_qM7)nPG)8J;SJ;W^5}fuAZt@ z{NKc}@S%-{;+~Nv!z5SiETCyD_uk81v7(G+&rh>`Rr9Im0i_G`OLg#Vfz`I4%k}$F z9(y|H&Pb+02UR9vp}3!f{0{x!6+Yp#K!yz6%KkkKtI@h+&vrF@MfG99QJvRmWE7R^dHo(;SH?J>E>HEP*#~NBUGx-%XvKNh zN)9w0xegrr0Af|{lhGxtIh#+Fn;mH(&CVWP@vRsB%D*@IX35#A2KC!mqtm_-fY=O< zJLlMQ`C3l5pmPpE-MJpsUK>ZCda-N!r)j!;%j>rO{yDwY*ko-H7$qIPPvc!pjKods zr=SWsA$t(n)=ZN!SJd7NvuWZbC(>Ujx-!YV1NZ~u1!K=zZfHC4%43Q8eM>_J%SP%7 zBiDr4E%%=^?O-bdyh`DC@K#?bt5he5lg7Y4#O-7FCS%&2nkEaqg)LcrqEhUBI9aqyXssa3Wc_C+LS6{GGn~I+0`BtZkI}WJmc}yXxG~33wDTL|jlUid zD~;`4ck*lR>=0HR^Bm+ieNJ(5?E{6J&*MC1pUiwPKM83`&SyRk z_%8()*l=vB`baKBF%vSp1!#(opx%t7`EZvk|67m6&nrPFd6*{afk2Hr{zOwX+Uk;$ zI?F5|-~c;lUibsjraOc%sJ{;^RBHw_L@y5Fv2Yyd8Xr;`MZTmNpK0pNN?DlK4gS)4 z+qDka;ZM%}r>FO#HL}< zo$xL@?oKAU^Mq6r1eoEY5YNll++mcQckpWeW01^APBFO&3rc9(Vhfs;=@FV((UeXH z%8MRC9mkA=wo>hX?9Bc4ypCF3lnA;|9U9mTKP0VVO~o>c!?lCSF{n!%I~fDC$T0XeVM_`nXrmi3#q7>gL}^Fw;#_j z={vx*q%jHX(t^H`MkFC%Cr8o?7*^bKd39a0DmJAF!p#tJee)3hnODODD z>Z3IBGa%1@ck=uvQf|5^;3(&!E`;QPitQ(@F+Ga`O6Q`;TEmm!@izN1wJ;-FGF|TR zWyI2`0f(2X!0SJsTO&UDb)$+1`z8Ux2+Gz_^9i^jaG7)lUAsK17g^66o0iYhER#L` zD;Hwr{VN}Ne6KQfUu&5%_F1$NNNo`0ohf0~E^j*R61MeO#Cw-L@6s*lghT_L9>5K0 z9M^NIE>C!qA8Jf_1owG!=Z1SwoJkfgv7MlvL5&zk1x?%IGXX znOniAWRK=t6~QY`t7Fd02lzikMnTa1+dy0do{h^YB!Q-B#Yc`Nm_ z6E~PgLSAgT6L&S>foF2qaPZ_&JVk>q-i8q zdlKcHDb!W5;J3kEtMlsWq9HFV5MEE{GujnWAHKHSE3nnCJpUv#kyhXk)i?MDyc@`x zMzb5K^rxpxIo^`bJ(b;U)okiK7-y*I(ph4;LJEn*7RX+(UggTrsKtSKH@hcO*D_RZ zn-hoVS7P~qQ7?I~FsYjvuM%maj_GWEtCOjkAH=%?(VLq?YGAyYATz@O_SQ9Xvj(@p z=0k|-Z^SWZ-0Mp}jFprs6`!8L6;C-JFW3*Ep*V){y!{n^Jzz|^6SI-0#k5R=!qu}t{ajO6lV;iP zA(>41f1&R6;VskruZ z^6U2y*XB$%viJQeLkyUOIp}{p7^LQ7m;I1jd8Qn%B~-pQo$XByue%`!I>H!BLKAQJ z4k*{wwc+P4f+>M1FUV2Y^A7{2Uv0Zy%27l1%fOs9f%?5rE>-|%v zPv8cw2LY$27~AyEj!PCVCd%3csMfiMXMs!#rCz(4unWgIkJQ7$CU6YK^Y$l zejkkI^$V4$1P7(fP6#M#;WmkU`fw6V%An8aUCp|;=0Zza3?I=r>gLy6h2sfdTEn-& zv+nP8a;`#!WCIUP=iJ}d5mkG66(3jQ3m(33q61Hy5NONypR-*FxNLKSCv~jZKlt(N zXS*dh(C4Sw(ircU!T-d0irBt0%z1hAO23YC!#-3gY~AtZdpf_?yT1ZoL|u?&3C;#A zzEFF*8Ksfo(X@hG6dvgF%lS=}LF6HnDYiDtjY^)kY`t>(g1L+N7kci)V1@5dsVPD4 zoZ6O`)0P5^df8oTu?GhQtn zidaIZvkL4m;{)tVdT@oGhQBG2USaaI*GJ1p05Q*`#L@v`@J2r~3Nuv$ODU-N?sQwq z>jZ=8KIGuY^S8W$?JjyKohoTcRa5cWly`Mk_c99U(Y3R#J24v)zpk`68h2N5xC)ju zSnPxg@(GZut-V)e4PHwat(E|$&g|ND!dIn_6|?)*r>6=j?Vw_BJ!xozz^9*26i)%; zUl2pVh0CW4B2qRA#nbVgqBUFCABEdF@?`uH=Tu041hj6I0yZyxQBw!D)2SNKEB+wG3)LQM6p{)r`wo=Pv`Fjp*P$ z0&5n;5m&$fO9x|7jm{vffa4D|K49FTb?uu)_siWgZGAoj($=2dRUHPY!{e{wqfkh$EojT5r0f$is{V7JLATKlk&8Ejq9ZO5HH!)&o_ z;!@~s0i)mG!b*v6ZKgMIlOH~@LE}hLxD{W2C6Ro|-*&+`)$zD(Ya9NJUcB>GQmPZ( zH@RC|jRb zN5%lH>m?D8AGE~kqAc-7vW|cbqD!7X%`Nh1f7>kNtz`r-Eg<^Rm8_4z08rI33f#m-J&=l~oZ*r~6n+1SZ^{#jFef{HS;O0ws( zmF2pIP22*@m>OE{u}VOF&4I!`d1PDT-@D?8cK3i+mS`zE&PxSx9sZeFw}=vUBUF9q zxA}iOU3Way?f-6&N_NN&N#P)S%Qz$}$~qj9viIJe$PQ5*o9s>Y3Rxj5JM$Q2@4fx* z&pCa6|5UH%;W$3$zTe}zuJ`q(e5reTP>k?@XKeF8{(~*4w+}z(TOQ1dSInN(o3ssZ z-F8LnHf!bw?AMB1mk;bc4`Qyc9U8hWKkg-Qc&TT3_GO?*-qMY%lx)Q5_R<41WrX)_ zkB-d`hV4>sz@r!uw#qnBa?&sJa@DkjuHSCGNM3L4!5i0Y(5Tba07p#g znp=NQd==B0_M<8y;na_hpXuU|TXkoVyx>bSkbBnG` z)|?(-c48Ga^O7y!uex!UryqxmugLNoRO42Sh!*a3JijhjF!5!B|9|Lh-Jch=> zoOZl9ldalA9W7ONd97Zth~NE^lqsGJlA-8U$T%_7bU*JZ@v;J8{cnb15`d%^Hp4!$ z_6qOdRudCl_)P>mx(cEz&a?MS-`tt_g>SXeT|r}#^EbWd^pD@WPfF|#FT{SZbm#7j zemO!@Z`=&@37-fKsv;DeWs|;dC%Cp!uP8V;H)#@beM>L7YNZ~(n#_Kvd`g4sp={Y=P(aWO>p`XLhYwhXko4|WqInVMgIsfFPTP=rfF!SW zgCm15DD6Tv01(a8J_s!3Gs01W zB*8R(0>%%(=cA3&|1%RLwiC~$Y`8C7jubu zve#un6D)=Oa&~~<$#va%6PPBCuT7^(U7>7pwwzxn!D42aN?O{8UGL7fB4U`Y=i3=m zX{nv%Es9Uah_paOc{1TuK&Z-l)YC+r7E|BtX+AI;YKK9y$+Q%8cPUjuOUms?p%jZ0 z-x#yO*X$LIpT-db_yJIIm;ITFw{v4W+fMp_QX)I3$S_7v2!|Ep?qP1$yZShrRboD= zY2B37rAFl@Y59|lys(q^7_y04@G*%Ae=Th6xzVTWVI+&A?k8HUoT|bkC{2OpVAkR* zG0us;gxbH!u>NxfBi+~Qp~T_XHC_mE|IWxVQ=OPj!}SqI=QJr({g!4|OgWHUD`8qm%A#MbtgB5OMdq6p{`VgDW=f zwU6e@rVs1sG=L!(uws!_yuW^^co4Ofs%SkR49t=sINbE;^x|NM*Y&{B^1I(kcq@r6 zPue~3cB*$s!g~(N+NY|orO-Q!INvVC!v0;3F2`v!n=(9EaBOF7+`FFzSLkDXB!tl~P^*d>zc^ir95=xz+pn#C4(!Go&KhIn_s4)GuGKc@{>mQDWGqkwNGEW&xqum++atOBtaOj>|Did z93N9v@18OJ62F!WKtRoDbZ2YT~Sgor}x?U@zR1)jlvLk#_N zXfxEmSj$JM=;VQsH5>N}Xd|}w?m_>*8Q>umTAIC!)eJLPxM_-jIdeWD^+tI9osl3F ztr2S)X^gq*dFVb|vcZ>xN}9CD`!O)%8!?#R4PZdI5q7 zlMvHJ?E@sxf3($cHS@CRlFY1>MR^#&*~?Ew$zg?L-~T?@n9_@JphE};4#c!aD$2ppU-F7Wc5(H&qR-_*b%D-mhZtdOTly|?m-4+9VDhH$iL&l@lbeJGJEF*`|kaO&l=yrAO&h_gFRlGoAMhJT0gc zoIJ|ZMhwoyztB>xhwGx!UApm~){Ga4WKFJHw}zNH_gJ(q2TLsb>)K#&+_+JfZw-5z zgI>`g&dDcAU@ALH2c3tRhdEi*o9Ox*|BWebEv7nl{O1jgg^Tm~KWiHP!N&BP8PmgJ`x;b(H z^|l#CD7dtY+5JV>+gsRFP+6wv*OV*ZuUgSK#d|jRxvqbxRPni9-XkXgLz>o6QR=^6 zR_Th%#NX`2s5z)veb+C$w$})O2Jo^~WcuQnk|xolnmW+&H1E*04fPvaZa-XsCV^Kr zPxJ2B^ZKeWkW)~HED!B?k1-AqSHCIrhL)Init^*6QGz>AFHGW%(IxemB`4rW!V0*L z-OHhIGte-PEfjAcx5i&zN@JSn?Ev;z3tNWF2z~hEW7j!J0Tq~&T zOA3=l+pVPa=F2Sq2$&nto8WW=IWS^gov=5n_s8b@vB~kL@K7uj*`FI|=z71>+b#m* zM^u=~LitMmC2L|IaUQF(1F6>O$PlmCoRX~YtPCa7gw+Ur*aLaJ9~vRRif18G*x?m3 zSln`-RY1?_en1wL0QnFxc#th|21*H*_98*#QGcS#7olLUW7k;a604e zcHc#NmcHCO?XF?|x>65kdW6YF0>yN)_z~Rgf{NJW^Rl~~7wDA-lX{S z16F84R z1=bKleS}s@WduC))p2#6$pa>w7HBzTQ{TExYjb7F2`9lGF=Uwi_qSm3p$sx;v-mmd ziYjrVn8?1GJ3;>ZsIB62{) zs#p>wKjppI9oar^0%6=W#Gp|P-mtnz>rq=`F*wVO)W(V=UJrilM+}M4?(fqk{*GOt zh3mn`8>st5=hP(xnK6u-;>pN))J2Akn!Pmh>&x&kR%uAz;Xq!{yW+BBUZp$eTXn0~ za*!z`o(Zf3GrAj>1z{?ArsH1HiocJ0l7L?!l<7-ZWG3A41B!fTp#TqZRw>zeZkmU} z^HMcKkB-IOJ3Zzi3%2iyK*5R@DdcP^lKFLqWhEa(Rh7;f;sY-nN~XjuVgv#Yy0$=_ zBwF~cw#&@0Vdn!`CkAt7DK}>9zI%G)`KN^kB6vcE|Fni`01YoQCFP&|%q*C+>IcVR zmg@%Cx93(dt$-%_xpYnJ__)R%U=NrsYJQlk7*-PPDD7g51!#O#+6;9+{UF$Q@$Ytb zrCR+~%+#g0T>;}+i(~Rk7@7P*1Mv)pPj_@J%WQ|cmtk;v$wTSI#4YHF@zbS zNHu=2Q7(mO?{(-`7G3*5_u24uuWrk9+mD33$aODZKJG)unQ=|g2iB#d%)szpp6`|e zT4QKvV1E&zEPnduy`-%Mw?Q;BC(k96@mN=reiSCpch=Ct4yNsi!Cu%uQ|-%Co*Lww zcu>m_QXyD)^O}!Ki?FeJngkF!-%@p`nd1@Lu^Kf>s-aN}-HqbiX z=xOy*k^M4ttsMI38a~9E;Cj`bcb1JN(gu9cP>lRp#EAcZZciokpD;t}z~TcTb$7v4 z?03Vg22UuLq=~tXTD4f)<;xc9E!6B-EM4Al89v3lz~6BL9`9)yhWbK5bLLa%9YXuz z&h_4N+^tRBUjc0f5)zcCg%6zJ7W(KV?iKNOAVZI)vJw>ozi@Gdbd=oGBTulKD@gsCoEfA>QfK z%oppVky?IyXJ%#k%MflrQM4+6UBXv!`(}UCMaGj%^5Z%ncpkcNRm3dQhM1ZeY4tuk zNZJs594BQj<(XfYgn326UU#~$mj#(UmEj{F2x&HLi;Hq%2Awxnq&Jg13^Y~G378Pu zc^i|cTk+wwit`o9Znv!ld_J5M*-VWG%yjg=GCR%3zg)+RGS2*4sPdisl5E+7=ZW8y z%tEqpB||$KvCZ)ed*RrBRkWE}>&rMDXZ2%`Hy^{5R!{Yw3^Eb**F zh-@4~gSu_~lma(=^@_oC5HyDLPDRcuB3L}Ogv2EhLrIWCb)K22)mUAY7VLr`_~x(% zMGn@A^~&JC+?1UF`h*Fcs2w7=!U^2orIn(1ZK$lbsoga@Q%uTf-6=X14AwsEa7R}o zROYz_y%)r*h)?r-*f3I>9~oC#?GJfb%o{=dioVOV`dOrKD^arfsO&0(^fz{i-AFbz z-?}r0mRid_Wub(yX#S>1Drnc&&ANgjuG>eA=IH{sEgCq$xbvbQ2(VdTx84wF(zU~L z+%JcBrMk;A-S36y&JgD_R_)0sJUPmW8u&n>AZHf+mIbfcgdetF|1z78&wn#=jSmpr zozB$dZ4+L6nw5$hup%;kAgO~IFP(+PqxZ>N4t_N_*ny{;fJ)8~P#(7hI35Wl&m0R9>kwEsT%%k_%h~_{ z@V6W;5&ggMZl>$n;qldKA4iBZFi{J96VDANS9KJK{!o>w)knA&8@L}NV(^#`D?zes z%bV=y*Wj~1e4RwtVtOv9n6+}VWpnMh1aiRgjI^3;#-Z}=6nSs`kyY4C;EOHw{!5ri zAYoYIuiGpN%?lDT0^s35X1==hHO7wBC!83>8$6wJf*NYDAQyxnP$Q6Zcfo>%yT9?* zeC7M^jTZ^*U3li{T-DZnPx})vb=2qa#I*n^AMC-Ni5%%vOsB; zq@5P}HSf3Mr$Wgcll2|OuA!nZ(!Q><^D@(-7c@ciwN)rioQ@-#wD5_xy!r?*L%66kv%vX7>qR4xLjj1Die22Lc~b z_ce-l);}xdamfMB2$_*l4W1z;pKUn5RSaD436_3Wxm#G6nh|d?BMa1RU?hV^jn%|q z2T;2e~}5%)1+y^_Udlw>uPgSqTvpiQ}Y@OX*qlP3LXgg*KUWkFC% z3|xryk>b-m7!k9s%OUzZ|E;P+{g$dXO5p=`{B?hj?B439S|FLNBIsc`(gAOiP}N&-Q~ zFQ|=h%xH*>FTy9`5G{y8AcQiA;s~*ofgK=~FJzLfy$wzacjY#uU!ORR*Ya?-L~Z=a z8XwgD9GLNjb$`JdT>4CtM6e%{)!AKB(PCp+%%aCUQ=ps$Ov)7~pUqobpVW2-a65?2 zkU}IrPV#wxNd>q&#R+vh#qu@`5$z_YM`=|*+rXSMCliiQ);Aty+3Djv9(i#0*&GIj z3GW0mb9_B)ps=q#_7)y9!w>PbJj*5RAMDOl6#%KN6H_Q_oW)I{c;h7I$N!kZwH*TD}n5= zTo6-1tqXqkz#SIasM<+r#=SwYQkZ%2gmf3=_Ew~v42 zI{aaOOK@QN(ydBQ=8Q}unq)usMh~AHd@!K~`In+<7V`o^O={Y+ZdC?Vi6nzpd02nj z^Xs9g>{DheW#!@5OkY#*6^C@kkVMZTI8Sd`MiB3O`XE4*L3;82>G<9?bw!#v_iG)S zN(MCqdyQ2lAGG7F&aTX7+b(AI$D~0W6xi9p3t|u_c>(%bGLs5ABXw`nD|8l7V3*G@ zxjY5>b@U`av;|72huAlLHEgY!50QN-yxd~3ogfe_l=+zqYnt%uW-;9@bQnw45VW|> zRK0pLfhK?G{;(5@v~j_WS=g@0n;jmIFE{0hOXXq8O^=hx1NvF=gXj#!iQQyOeE9LtKF1p@*Lpu zlZu$*k=Fjha~lk=gB2OFiaW{;VtrW#KZd-HGcp{NNxH+wJC~wo+VRe+4WG|N?5*s# z6xSzC;w%Il(N_e#5lC&WMfTkQtvM9BVb%Fp33We?pBY-Xew4HfTR3bU7INI^T&8+W zsH^PM0Q*T_aSh4d+~1X_j9*sPW^SkwdLl%mCsVA!{=K=IIlQGbc0OkY!cjv{b=&4r|lzpW0#}G{Jme;-}laGi477mi^wLsyK04-t6(w&`+eO zh1$;E(E}?Cq27bS#tJ8z`S=^c2MKL32jPU*DwM1G*=oUCk*r4}{s5UY_SA3?wfd&2 zky=-_o-<_o2Yu1-=v0O?Favjhs7b${ctjFHczwzWk}M!a^&8FJ!;@F0pJOUqsiNgD zA0gk){I9dVD359tkEe4+YV-4 z2Qk6YH7Vq(HJK3Rm$u_0>!j>G+>R-bddzk_=cZk?;CzHr1uJOP^;+3?n=5fuzk7Od z3j#(^|CLgo@?WJ{-gMi@mx~s%F+c{1IWYQm%NvFBXmPaASz&m(x8g@)Vy9m!4>r3? z@n-=44QjU3H+h$1cvx=ISa*u5~n_ zpCK`54QwG8@x-2&u9qgo;f1tb03@(ldcW}XawVOQtNOwNC|~56QYHiqaeHnBG-)>5 z{u;=ZjXs~CbSaxV56?5rqXk?XgG3Fz!|h<^ai)2&)MnwEroNWU_~Apxcc451aLVd? zCgN_whZ{CMpz;ErSLTIW5NcviG(lrtq?k;rkF8hs1NX6;vY}MdA~3)&526=qsU?o4 zmW6c{gw06*(Uor0{C113WY&-2aT8{H1XNH^O(fL51GFV<{Pn=TAm-=rhOkdMTZTP@b#e&%2x_B$ddOFO<6VtYaN^XI>(I3ze_S^I}Eiv1C@` z{0G5cYg8C|u53+P#FSOB*Zytjt#M9ZjuYMKJX_XguO?TQyg8(f;XC>ja0zf z0?)JweO4Ylo#Pdu2|ZB|Zyb~T?ABOD^-I7_$7&U%{LW4HZNM77iW(~CB)Gk79! zkQV?C0N8wbo`*ptJegAdp(`k-OmA#19H^00WJ-6OuOfx}w9?5eE6%)^PgJZ7;QCiuyws)O!&2{tz#Y zR(cw?=nqX}KvVp`97y(~)QVJ3{s(^VKRv4d+AOAxuw4kflhm=Z0oumT8z(cPIaikb zTK^JCdvTXejo{&OZ57#09O$>mOCe1hJ!VzG z*nQd0jiLmw%2zDUJiUP~dJ zG^!`1(`tS$X%%#0#YBAp%x*K-+?dAbZ8H9nEf>2~y|_$nbtZa!kNckz2Eo>&qZsHG zE(}xV*xnrAik>}ZhHA4Qvv;O%V(~7_0$6+HwQ=otBtvn zKAn1dh7+BOmtkPTRz*Z{*iD9wV5{3$T*FZr1`v5~#8a{8M zk*psB2`+Y~N~AK&rr~O;hLaUnizsu1Zqyj6)+*;0V$qc#;|0CY{G`QJ zW1?jMQ$u>_;XllSVz+dY0)9Pviov7cbaG5mEO(*>S`*~b!p<)Y`uXQRSKj5j?Q9+< z49giMR&eR~)fh9d-OUi%BWWQ?4qNxUOzH)c*mHcpTgD*0 z?EEI0@?o<7-Nc&f?X(>%PK5HYZ@h>7$icxDU)i+W zSMTwidSqA5$5szRM|N!l3_S22et-uCLXv=0(Z;!Eh1$|LN-rrJj6{pXdHlbDMr%fS z`$B{C-tuf$e0vxW^#IQ-;^8WB6R2Hhj8~>}5ho3--coS+vUJHI5zN`zite)j_vXUZBBKkJOJM*q5bmqneUNE-t>m3QtBIh@2o?L}IbSX)qe$sJ!HW_(^vqRQ6 z+A1&F{*Hzy5`Si2chJLF^!6co;U9j9@rXpsWL{__t`JYYVDP6s(iyk}9Adafg57s< z%JR4k^j;`c3U5W>(hS-wtw^4$cis?0eYc%6DW(N}Q{e6LhIvp9SnzZ(v`Rkjys

    8v`|gREx(_c?Mwine@rba=;?|I3aIf4`nOPoB=g?16 zDC+@&666bf9pG&X;HsdPE>i(d%myn@E+smE4jaH`H!3dPKQSB2Y`@zj3>mbbpT7M^x@Cb$0?q?$`s$ zIbdS-aPm9XmR3)5a0Meq=4mW%-9*aJt^JOf7u&@zea{FRioJCXlG+!8?XyT?*r6jw z>di(^K#$cs>cK^g9eT2*mGopC+zf))?rC*Nyewu zdNj4hXJ4xuxon`m0B=&Q+rhSx&1?Ic*w2dB9No@Bmz#MfiD!K^1~<50aNOvXq${^> zIz#H3#Qs*!{Of2NW3j>k#HT9qK860gRGd`TOroj*TJ=}Z4&O{?GIa$lqa-nIFCy{X zD>?{eB}}KcI%c?{A2Ft%XF3FRaEBckiv_5%`k@2OPK%<0^2TnRo!!bOSA#a33!Gc} zXA4GBGgQW0lM@6bz`GlzVKA)pC>~Lyxz(m20cjjVO$8k0ngiBrTUdaJHMpsrQ-|C` z6X2Eif8c>SQGatU<4#g9A$wPU*PRhgdFO$?0HR_m=xLAme`%_$7HuK}^39&C(dlEa z_OmwE&XXWEEOf9XzBl7}jkTxce?O_BZNye$O@}!WnBIY~6@;2{zaL=IU#n)A3a5KI zdzYDW694F>bEh%RS?b^BNTP}?rKT$F^_3qpU+sbnS|ycb`gk$hO_E}jnjDeqN==7& z(FzYz{T;=1&I+g6ymL`MMn1yJ$`V1oM(k%=IX;PP((K*L{rvkbUNS{%0)?OhE&kiN zS7u9j-RmvOqu{u>rzz62PcGsrkzFJdOPXE_||OTi`RmN>W? zU?Q|4UzYv9T>8f^L)QdM{dBmza+N!~Z=|B=!=Pq+n@R6f!44_jT$IcF2(y|{wo{JL zH>o-eSgw_AI#DJPgW)KT>!8u6gZyusD%C_3L<2bsbt#)aCcnAbg8}|g&hDQRlyPp^ zB@XZ?7DyQUo3We6|Rcz50~J%%s%=;7W_D$S-hEb?)bW#NB_UzkwUqZaBlM>@VBn>L>MsS3~mu!Rib zw&She{B(}tH=#HSdI>JwBUv;97bl14*s0qV$#VX+4z)mwUtEQ5KS^vE1(M#=({ANb zNqn?z+D@geDJ}p?Y!q2twI^Uv`$j*%6i!JDcBOQnvj)HFAG(9s64+Cb+6{H0i>n8* zOmSw*^@_5kMO6oHsxk;9j6((6TC7oY|7Bjnj;nbZl+uaF zkBazsK?YsWt)$=*y+oJ4YPDYdVa80$rlq1?W$F@TQ$8384b2iMOg(#!PwrkSD1qUV zyZnr$RV_pNAD%t4L_zSbY-sW*p8U%WR5aHJXx*TTM7PJ!$rY2L!GIl_0Q+k8FTZnd zijDY7vfQDx+7YK{`=POy`Xei*NAn#jvFe6Ow->69bGh)21Swjj;Him0UuQ%s#5Nx=hw z4CJ2=nkRH4`mS>vfEW(3Es1bx<>JuKVT$oP*Ure1$IKqcTP?jOaB^%v+ZnPa1zKK! z1zQ%Q&jQ@fqHKojfm)Xt+J(l6+m~8Gc~)e4Tko#S7fb5ngOwEXyOO_B?$T}EzQ6Bi zMyIq2@gwHKX#VvutDd6``Y&W2Agb_!B-gav{WO>1bC<<`_0rH6ZO2=dl4K0p`=*?s zpZ%{bm7?O~EJmXaXv{Iiy>$kmzp0^pJf(8$5m%1<{?S;~%Qu={@7l4(o2a5T`nus^ z%G)*5kD#Ai29#M8*9aaJ2O3qTcG6=K6~)8>pV+?RlFi~iWP}a|87oD2u68T%<9FUF zqZAJe7Jr~gr*_>VHt(>Yc_&wq*gpvIhjZ94u*UZe&@#QEo1dC$cP3Q1X{P|Z+^I{v;!;HbjOEK&RQi!kh4 z3Vwizmhe)v@b1kOp7}EXspc_ROV3AvjsqvwiUg3aJl!!}Mf|9xWwTBzoq{~f z&cYyLfk7F4ubKDpT@@YWUP5(B9|Beg`f|3Jq>Iwd$gSG`<&ox% zS&Z5@khP9EwG}OoKeTa^E!r1NK2&eaJYP08GA%3Wwaj~nJmv7F#LV5K*75#4K{^p8 zW}zq~`pG>y9LGn6`g$nP(+d|IzHt?$U%wvQ&kvZHKKV+`qt(d>ECl5p(om(kDi7_7 z6D(ECHhSxOHKuR9%^>w|`ZMcSDX`-8I2k)t|^NxFag3&lLa%cuJC zkEEY3RgTFXFhEkPGomPx_bIHy{C%c2(rywV1O0+uwvx({cLhyMYWw0L18-AVk6Lr= zAESg)DwN$dhoF&n2pLblII!k0<2pcs@w)neP`oO1W)G{=J2l0XHGsXZ%1;&!55ee; zC-`xLDGJAlIN#>j-_W}PB`qh{`PvkHyK6sJa0#560izzeC?Ipy}4DRkPgVg*Wjg59Ukf}`Kcm@K44;gsgb_^_EDg(5wm+AsMhA<&2cbw6;7wr9MB;lNQU`2ZZCofzviJCbEg)ew&iqS0JgiTDn9 zH|UX!O7M&9D*X9!jXf8L>M>eR*-(km5HOTtUDB(6A9JUS^M8Tm=~1up!rd01h??t^ZYV;PmONd+A^>bd9p(NiVyx05pa3 zF_}^oZeYRu`OT@ncMI{s>a`>{ImXrCfoD!)oEjA1L_*`?aYwHVWEofG9HN0!2!;z| zJ0O3x-6zQfEu6U?~t{|O;Xy)&ZnwyP2Q+)zDqySL7bU!0Pi@9@wNjj|PYUJ*q(E?@TSqu1L zAVj9|rhTf_s=@SU1|!_cGu;?G^pQLaVmSF}19mgY2#Hjo!3ou01;JwfWS@i_Ceh7M z42Vnxy?`v`9^XzwE{SWCVOT+O1{c@9sIUEBz|Mu5g6GqHDn7zc%zt>I2u(3S8_jU> zGMyYZsHTL*h*Zn@wntiQ_kXj)3ecB00}FgUs)mejysn}RUW*v(JDTFbw>o$wlI5?Q zNLTMRzvWNbtT~7*(C#11Apii3|DY`-Yq%-CN`Yr!gDQ$}LtB$IJ1U ziO|H9kS<`X3hWEpL%tRDJ&f|Z3uZXV&~k}~X$IHh@}=*mq!i+nFt_h#zX3OMJWXJ7 znz?vOjhtu@bR1BHS=!qBU4Tt!k0t>utcQ-rD1G^i?7{eJ`44Mq;5WiIco62$A>_L5 z>kFDcf1Yd(laayZ0P#h#FC}`m#?L<$@}xOX-kwbruW?VB#Lvj{H90@kJ>#>m`JL&Z ziaj8+mQpGH)%Z7Kx9aanPb^%r{Jr*wv9Kfk^MnoPQY^USrBdqDbvVa16lg!CU(I9M z`2ewYB4Wul2ylZY&F6@<`gr(nrBUz`|9vtpDE|rLf3Z;Zkl+~&>)|>RAIWtLO{-Y@ zrnV@obg%yoL{x&Y+l;l(=Mfb}>{X0pU)D~=1y<)Tw?Q|L+6Db_CYIbv6?x?Q$|#bK z4(Z58is|<>%A(fpBE||}O_P^FR|FzvW`8s>Y`xDc2&0_^gpAg=Fnk@elgI^1KCzEB z)G4nNe*3(wEX&JHd!-=c%51NfcE3~qfRbT2)z;>lXmjQ$Kt&B>!nvZ3+f&!-dio7qji_frsM<1RSY&n;)c z96(Fre6jwNE@@tHe0-Ho9{~<8LwjlYxrA^yBg9>ZYvEP>zD#xDB%wzLfdXKYMxpyAA6-)H z{VRYp>Et5`qWo5@NO|S?{U(f#KN@{o*_AFYt1heY+2mI!yaRck$@m8W;sDhzhu1Ka zHi|$xv(_8Nah)oHCZO!?7f0UYA>O&m=@xNF!Mj#>(E|^7t9VP?`x{grmn%W>o80P~ zWZ2EPssWa*fi82Gnsofeg4luV+B36HiL}k(b$|xjIZv|EglApnbf4jWq*Z(hON%$P(t=YOVCjS-TvDu+RpR_kmW#tWizE zo+g}bg}D+^g05_K=_fRJJ~c!cD;73x-jZ{X3*-=8R0yJ=U&+PE>)bLAEOKHDB6R-T z%+HFd0%x=4;MPdm}V_V!TxZw=>|Ws%OrP`}cG{ zCBHOVQt+WPHrxM5n6)fT%utT25fjsyVEj`Q=mHM;AM|~262|o6-f=pI!9@bL2)Y+E zFR^q(f*Jw-+X8B28tCXT=d$mw2AGRkFT=r#%E7pUxpKVl*|&@WFVeu)MpiJOqtKW2 zjD!XKK;AKbJkCsXgoh!`k_THvxb9q$6^@DzZ2)x|6enR;Yso?fWfp}Ac+q}P7J!dG z|0E;0`ls~V;?MqR8wqttb3u^3tjxw8C^Za`rUIF z0IuM72cGg~MiUiJfGFh(w@+pes^Ai>*leX*UuAWrS|fR^)r-smZSUsi^E9M_u6gMO z;!8S43cDPr9~0O?HEX;9 z%N&Hat=QrpC+WhqWH4Oz%!P||sPI>IQbdzcGABn03j#r>7Mk9Z5(E4wG064lPOQpu zzHw(ecXY5Wa0n!p;gPRi>1coP{y^L& zrUw|U0)?Z)i{nL`uVudRmiM_V+w^$~o?UJg_g#Rf>p42DzIn}RwMuv`-6!IaA?^WR z*3&hrbQS*{gWP<8BY<9k&e}_H`pdnCqO<1Y-NYloi6GNiFZnm4cavd@Z?TC7t}8dQ zDX1qZ5V5Or^p+1En`y&5NQTxOmWP2bW(Xxm^wb6iIZRg^gnm>3J@Md$n-5)*+CZRM z0tRmI{#dKBSP2*Ht-}n1>45ytGioAmpT!+r2%(uEyT#H-$lV)$VXHPOJzuuCI`n{< zOk9uFTT8nc44?*zfG>DEbWNsA33%54(BAsHB%Qt@yp+{o9po;ki8sTS^v91Bm|XA% zzXv92*qv+(Jy0^CWz#)QOIip&@J-U5GCSpoyZqFqr%7 z6^1>j$JZ#U>R{uO!;GtxpYuqZj7jY2D3Sw@ZA|!Q_w*C)&ab)wp>k+xiNtECU(3wu!Ms{EoV^P|Vau93$Oa4;znbgrcy7 zx3lPjl-@xrwf!$Zo@Y#b;zwF~?{T6ACSDe7&ctrjcG2(yN-Mb)bf3jw*Mwr|I)|k0 z8RvLCFJ})F1v*_~p87QD{KfR95Q`CZq4|7x30imtbht>SCjF)B$uGX)JG@XYqYQ`_ zz0yqkx&G_TW|%?e4Vt9)spj*$qgBU{s;iLcwIWh^3-q5k>;PJ^G>dz38ao&FL(*}+isdoeh_owGTDTvk!ap-XD8I4Jc^6T`@Zw?csTcI85aC$I2BbOKo zFMBXbIXY5tA=HAwKHz+Um0zme#Im~DL?*y$dtRq1IFO|P&F3q#3P2WvCsU6Y3#<1i zu@b)X=+yD7q=~IBHu;1(QYhg>JZjytG~O%iqZ3V}WAuh$A)N*Zz4vYhfvF>QMP2Kg zmG2uj@s!#OIUz`buvhAQ*`VsT;OKj7H*_EB4@M|{lSsr?Tt!i=uBbG>c@fID%@{5=`|a#6-PrdaS#OV zyByw~Y2H`ctBuJ+!@)UUh-fy8%;CEtT--I}4^FhEE%VExw`H)W_dVZd!^H}2%Hsb3 z*Udj!2;evkVU|~k8hnfD0O|~`m13zER20|c?akCgzlLsu_w%Z1fE1NfZ+QKirlrsq z{gq4+c1-AY{*M{0oa-HUk$w2xe8EsRo&MY>N8si1E%;F8pSVFZ5-63x+w?^ltpG_# zx+qXy2rO~dZ#=%uadS+w_3SC8{pud9yQGGHGId%AehAdiAWlamp49M?AGDExd4AAH zjzt(Cvr}VLO(>o1B+l~g^^5u~cz|NRru*?*>m9F!ZhwGMsZeV60G0e3#hW#kA8NK98f zwdhBF%_L{a+nUQd0^%nK#LcSH5OK*41hNJJ*5N7FtRgpU$N1iQ(D6c3pNlRREp`eE4YW^duwhv6+>CkxHqC_m9n6KHl^Wab`{u z^JSiiD) zpIBdA!>zc2Bmo2TzcZfb5MlP1D)MrnsB#>}tc8mKMIF;AO}vrdJ402-p{@?>AV7hZ zIADE3k&^UvU85)`g9=nMfbfnI)jWCD+hHUE+s z`J>mrpF6!03<3%$5&Qb;?Y;(g4nGHQ0GKF+pBz4+oe#JgH{lPtse#u~H1_VhlDDuJ z>|dT;(HZ#tZ%`7OuP}^ilz}tQ%TqFe^KZN)MfWkyQesD`a&Z`#hX==UmaFhkrkBO9 zQsm_H5mxqD*J2ktFdJOS?DHNAq|cv)G)3WpXytr1V|1P&%Cr<-U6=&W8ILrgW4JM_ zn;6*M?a!%!w0$D(`vS<$AUbb99PyhZohW%&1D>0_8 zuyJdl{#~8P@fNMmmHK__hJ$ZE65oUG?Zb0${s>S5yJ#w%>q-j_(-U#E*8e4A6X_QE z>9a`5=e{ABS3%hO_3l)%Ge{*#VgcsW&!6`2a}D>DYKEG^qOu1F!Em0pm0jAs*om7D zSM(g8NXvk1$)=t@o;{{}-bc2%SWRC=YY0{UPOkdP=bCVj7C>@RkYP{|DT1~)u468= zlnbbTAn!sxQ}vK3@!{*JgKZNScbl9yE~Bm8|NJKN9<> z9(pE?^%Bg0t3LiC@Myi((N)t9n3Bc9EPQ^=P{CXpqykJFwUuA9{lKdQ#!@>V!PW-%v7_ zKPKGv$V#;6bFpiiNU9o31}5i<_`Qx`uHc4T)_|i*S_?TCInGN)DVW}%kO&Pu+M2ju z6@VEb22oc9{)svJIjs{f=JIiLvj{M!v<~Z?MPczL;Q8QH|HtfGb$Rl@_Nd3Tg{1d& zS#(u6_+Wd4)w?++;0YoxT%^d`%NR#hmY;2%=6jhXWEo6*0S2Ub=RfAV2<@E`wL8!f zE)e~;XDzwLnjWTU0C!pHtvWVDto{>T?u&`{QCXP5r5-c4eRo9~{kQ(#3nwYT&%ksw zTQLHg15Krxbf-5lR;xlbb)#hauIV0W`p|40s|wV`}ku8mGMKLH+vm_BAy{_9bNaZiGm7ydgW0g?fxB+HqUdH>HzX>|e&@SP2Z#;8uEJx*L9${QxU(M!pQ6SNVXLJnbK~wMpvRoDsTUZ^Joip3- zAK7{GUt~95LCMyM-)ZAb@s%5*;+@jpH`=9NR&d6pv#y#oU+0wPUZQc72(yNT5!A+> zpS$l39O^vJf(j}?zqW{Ii>JxRMf45vN*v(pH9zv91k(5P22O6aE0UC-rbb=a+~?(b zJ%iF~!NkgUJ$QR*uNt2XBVu3H&jz0326bh0)<}_ATHbu_3{ly;g?WsCV!3xj5*xC0 z?6C11h>f8MSk~op@OyXH^Y{}~rd?}pR+X#6@4Nv;z#)HAWPU+Fkqdi}te%YcXy!GU zqLzZn#Xf~9QwD5A%rtWVLeYjPNY4k($;eE_g}Y^FlZha3kJXtKktd<-v&>5kI-=Br zvppqzTS{GU*c!TDH3z(wHOi7y9lIY=Z_%d0(celKG@B!g8=FnAbXvAET@Z!A;HxkH z(4y*P93fOrQ<=n6!C7;E;}WUMFn@WnjbGz;NsiH57TKrCy#KB0u55plF4Dbx>I- z>+6~dH{Ee5q|`}HRqdyV+Dn}8 zs(VvIUeu$EhtC4MKWzm`WivthB>_^_ndl#cPr`Rl?gic`@Xd8MfY(j}=@~>QkgrXi zaQy;mzw-L86Ym$x)qg8qo6!`?+d54?mFno_XGHLr_36*17NQ14uum5$HSTnM@14m#i9s2?vqJZ9fZV%0nwkmxLMChLqV}!` zBkVoTEMM!JynmiKD}=m$1zTUZ9x>!6Eg=&ra{&SeRl1utlU7g2VpI3E-PvQuucJ_i zkw?$Y{{uA!I~^G3Uw5$XEqCV`@xMD~e@~n<=Sv>I`-oWQu}@xP1SN%W3d^1=W>ceIkF~j*m6#jLFW*xb2 zga4=Ca^}3TP%$0jGcm805YtvE(DXk)dyAoJR0ygLaR+q$C0h8(Tf$HJ71{UUQU4hA z$(?%ie-|ao`67r)99pXuSA_E(yO6ip~yquCTqddAh~vU%%Z4ne@ZJ4;`M{_ zOeIk*!go&Uem~ag`sh$7rjB<7>=5H!$N+z2y)XxZAC-#WE|-jFq_My}R|Xfop9n+u zWvKuDZNnz|L>~cxVmSz=3^iRp9a|JE_0Xb91N0VTr!Zn#745*N{VcMdMD?O zxbl(9BX>D=bk+)4uJB#QTvH~*ak<5ULm?sw#O#KGkOA9(HDQx1c)xMc?D##WU*7jCN^fhmfGrLzw^Y!BuNb_G zS5NB>q;!66E;P+UOFzq2_I+X?=~p`gegBG7mw2)-lkTtbFEXc^t2zIwzC z2(kWP-^7xySS-nAhxrXkURVNGDSKq_9D9ey^~IB{C_oF zcRZE-8}5B6B0EQR5ne=D2_a>?I%d?7Ju;5Xv5!$?WcDK2imdD$dzG22j$`kUy>smK z`#w&;fBN_!=bYzxzW2E9>%Oja55H9rCs&a26USv}*OBYCZ|K4kpfx~vY3Ab_bHz~r znGV#pU*iqaoC~R*ToYKswrR|fwyM0!ob$mC__pXAmkka~=C&7bK_6H?44wIuJOzEa zn#V$j7Wz_JbBpUy6Q<`sCKnFgs=kOLm+HDcT**#Z7~W?1hN~*4qN(+Gs)iWud=LuT zNe=fd^-y?ZJ8E){Zq1_FwK2L?4v7%O&DV%~wfy`0ASls;wXdZzoi>$nfs+-@-5Qa- z@FEX-_x;2e-C0Vbc}Xu?U<7!UwZ5E+q+J0vOTcG1H@n4k-7P1Z_3XDUIDF?BPQ5%1 z$EPIfH_F5cA|B{26+}zFWNnD^4?0IsLYo@kVH}q74v>{P?w3Ytd^*@Wt8NmnJd+Gh zZD*MK>CvgLp!eRH-tL!gC7`D~47*V%B!K&ATI56KsVi#D+5I=vxFN8IY_F;;?|*W? z0;vOlgqIOkY}e-NDYL#QMtI=oQ`?SH@guikZgX#09VHU+GiMW#1GzWX{kiL`hDh;0 zgXZSzKJSQ@@(pFFddZc|mefi5&J){uxz3&9>2_m&q>mBYq~eYfPF0=MYfk7J_KzMO zii>5frTD@XlH%)cuQMj^Fv0v{l5mh9oysJT?K?zYA)XG|@hiiOJNKY)l5!$0mt zw%BD19d;Ul<;42iaj>U3ffH8x3V5tR1Rhi$-oNO*JXZ4Jk zBk)fzMZr7FnBC;bRm(fV=T4JVopoT=Yv4#{-oWa!2*TBpr|jys6P>0XY`Fuz06IwYp{$2cF3hWn4E#RN}A3H8D??S zJ5b4lR;y)SXS|XpK?dG1D1$-no~E~QiW*!C0~ZZ^!xXtYnNnOQ$>wNpk{%c}=%1M& zNg)&=OjN;RhO^CMbwOJu`bjkP0O^bf^I>cN0b4RYo==Ww>qOnGn67I$ z(4%x1Yf58<5+OJnTZ_;Cm9R|^JNF(0-Pjar=sP>bwP1TYC4I_*E@|DlBx}}4U?;#-N3k&eN(;;}D6m<8vDB(NcDMpeHocWzuIO3v?Y@C@%T8?b0 zlAxNUL=>^hqmuEZ;2Ai_oz<4dt3gjC_f+_N4+Z849bw{0B@ryF=~SsUL+IR8vkbHN zq0Z?=bLOm&mn5MkjCKa?b$i%x_!PT<0B$(`_&z;};8v;NxjhJ|bsQ8{?@)OrW>_xS z{sJoR&@m|pnHH$3;1Fh@`JzXD;90R^#z-^9IcTEWOz;#0c7yVL`FREp`tbZxkNppj zrG+|bwYThM-Z>1>-9C~N2u@QV_JsjoUWJ?u<~IQx4HP`51LCw&BSD-T^4os?T$|hW zVINLY)YxW6y*Lg;H}DV7;)lJMqSeJ`D8>CG*f#0u1vT zAzw?emzPfdQ{@kToYP%;JtEHo8>n#LmJWEpN@AzEA$&9*@W8HqJ<1E=ZO3$J|EqO1)n!&``P79$ zR;Dy|@3E!J^}y@|k8jc0C$)C{+DHO|F$e)3bMeVOr(X{+r-G@MzqQ*T6;I}Ml;bF7^f89%hM zG4Sst>=ezj9hN3PyHP3q;I|;aCI#(?&;l)@ZDWpC6xAO=I8EHHQ%MD8y`Z?DmG-=X zOfZbVl9}^)OFsvTZRcYj$^OLU2h`d}t$MmL=?Q-^zs*)i8qD|?2xfy{NEs#$u3Z!E zx&e@`^j8kXgL*FAjEnUagr`Mr&kTR%^kmM10Oyrqs@o2@%84pCvm`)e2%;kDJ%h94;S5~OD%D|L0<-V|(L`TM2Uv=)pF zdTG!5m@Pl5TNx|S?fBM~|I30FIaCMFBLyYe+>Pi4;}t=`uVg1eNSZ}out zSy-bHrTp2o^ZRO1ok;TNTuXev)@bK-T~me*(2To*{Ag#0_*wVpJYBUIv)|q;0-!hOfECE|<&{?^PFl%&-T?Pd$WHMGC<8W9(buo1nq9vm71^wa zMiqTCsfB7&Yh>^tQrjzg)Q8{@LDTirDC$|xuNM9^1k^}^i#O`#f`~wF9k&M>tkU}V zf%v{v@qWKBwQa>@9l2ZC;lAVjQ+A>2Gay$9pi4g&oN(S%8Y<&4g9;(=1m5jW3vct& z`pj}H4icv|^2nvJ-Q!!+?dcb_V6FwFn#)*Dkm||dmi%^#qc5QiI0T$O?NpCfDcGmi;f>Xj zYObR?c%=>;O61dz>0PT?{yYLm=_XV$6~J%M!a$Ad)LW{%sjGcc5PF-Xs@W#^PQemz z48Oo_%SY6QnwcnMDWyvYx&Ftxj%jI>eH|Sr?jaU4j50ASZpR95zL$6IEShJlhPOYW@nqUm5FuM;u#qfw$(k+~JeA?AC(BGOuV|Bxb*CwLYD zy|C3|??yj7mih&31?FrBJ7^ux?5k*#GMqb}n$p}rf2EOScv4^91vA~@@!)7(raI^J zQ+Ih&u7nRGX;39B^BgX}PhZj}P6yYW>Sse5q+rqgMuKL>7mNM!-=f(7fdjciClc)~ zC44+JN0(B~jG|Zt;FzE8$#BXQ+0W6#u_I^H&HqSA)#V}N;c5;MblNjTdtap=Pd6ye zdOasphca%&BNw90>Hm8O)n1Dv}R0T4d9eVh>$>Qq^X?7INBfbN$yvIwJO z?7rd3_7`NrN`t@(=7bGo{c82hxB;k6;Ql@1$S@f)XOH!2gd;xm(Y@1qIdOTAqpn7t z2%Vb5s-I$6GJM0g5^kOX2ZSx~E;Z|uSQYoXDD876SEo+r#HE_M-IuP`58t30GAP9x z(k49GD$SyXCU;?0?de{(t-B^y#qCQA;lhL1x0T*aokjjjz()=t!bbw#Zbrb-k?O<7g6SFz)iJNta#L6 zz}mQib<{iHtJ=9AGO=ZMb4h1=c#~yLjSwUsSaVV2HlCqOrGW&;GCyMrWkLJls3qT&NB^xs?qyX zE$s5rzn*qP`!yN!dXz0DRlpeG`w+$X<)42n=AWs6@C`nRK99|bD^FNSUXqmUebd}N z|Awy154L#bOD$$$WK0xmQQ4-C;_8fr`0~#2l}tOUQRQ)wnpw`9g+ifj{#Im~>$?v9 z(g9ROa)S|o&j}83dijZFWTd}Z5jdac6S3Vfu40T;<3n3RXoUb2Iu`vJ&jmcFJc=zT z#@dr=kGP<7-CFxKetx~?S{)1&!I1Ne$;Wg8y7|*M?mRq5BBya7tqpQxIA7P-?4*l82QkM8 zpAZUjAG)yT(&Pu0Ez_I!b`=_@5oUk0HI%Rqp==wtO%wA7p_GBK*h@V61Y z0GrP1?xy|y=TG~38+n@?bN@mC3<%T@e_p~*OMVsvrTH+>6TKAI3z9jmR5}FEc&Wq* zAgN5R(%u_v9K+HbaRq!MF;g^V=a1TMn_&tN;{5J@oz&j%GQuLb%a2qH2*W~k*362O z*>zGsJkeiNg5ZvRqawb4EjRNdwZ14NRPA5KrC@8m3?{6XC-PS6hDit`r2!@~2UWZn72O9yz++7f#?QU(5OuSGTY@}FEOUAUzhfxTqBkh!)P{ZJkeXhlRC<=0>FVWCPl zR(t3Tj%a2r%@}d6YgN6lWcGGislc!8w2ffF)sYViH2P%-Ss4V5AOA<*4xhXlH_gKk z(fq*JfB_!>KvuKD^#n^5a=-Z3+q+%)3zUizAn!v#>TbP*tk+B*!ySL8q z3G0%sJwRF;TA#gdKzU+Z=)QFS@SAYE_3>kO;1?H`xmDUK>ZK%4UVjDE%@rV7wGh1( z%YePs*%O`ryA&w=a;9^!o6kpAe431Y(eJW@YX9VNC_wXZ(*S8bf}|E{p)Y;`vs;R~ z?CP?usFI@^MH8TSyy(+jGo!rZfa@Z6`4Or^d3Kk6t$_~9%1Q~Sb7a#&;g8&1Jcewj1tfMXR!zeO z>|R?PsO79F<-MIA?fCHlEboD5WPSH6UW4c8SE@#vjWFG^@kPa^oW+GkQ*Dh3fh+~A zP|6(vG8^$`%sFb=-KI-f3oNWVO7R`AXH9Ie!`*2lF zOTV&!GjS&R5T$YRMPUXQv|?zLpJhDhF#`$h4y~$FrUH-JWZ&*9kxfr{ z(C}aroTN1(Eud_-H|E9iB*b`JBu2ED2Ow? zqQm#l3QQYo&Gh$Fs|J^zcSU*a*7$8wj8XMklEy}8gKc2M8kFyaJ>=8aF73G(8NMN^ zBaA6dD?o%z$Lr~Cq!a4G{eW1w3i-n6%!&b&bF7hECC&2_MnM4_swp+a?Xl20bqVI} zIvLGg=K#br9A@%$94}hja4z_<{*y@tVi!~|82hm*#=69(gK^j3Reyj7Xb(?X?o$o; zs*wPBS0LCZ4`-8QK`FaC9yMO_FMcB1EINo+YZgWQ+E`2}e!uZRC9F`Yc`v^=MV=O- zh}4XsM6IVxm~f4uXL9VmP;?Va-)f+TlP6|R(n1e5lx_|{xSdzl>O&sBVzFB)L~~A9X@x7kz=o(O9DHa;D^WgLJ<=*Se1mO ze|F?;LhH~^-ss7r;Ia=qC2G$8OC#r{RSc-fSmBl^X8cUup8lhlrQ&QY=V9}E!8XZ^ zqkH4%9B`k3Fc)pc%xOxAw8Z`giEgmn1Sw*q_b)Z{U+d>MNdoJ-;LamDJ~|-7m*bql zuQLHHyg9s0&|16Z9yU;{B~Yw>+dy)b-wcGoXlGW6w10shYifpx?QU>Qg4xmZTX0t- zn)}CPmV>h@_p1<&K_9`y3aYiZ)`XZwtW%tPWl*l-pquVpvPzC)OnKgCv4`dn#w9OS zY?tsyq`5v+B^-OCs(1$XS*Jz=@Zlqpayn^!Zuyan=4WXoGcbb$snj-%Xxe^vD(Wr} z8iwj~QYSj2(CLM7H}R8KNVXX-qtGfg*)URo1a6i_+OI`+dyYDLxUG-I1vs^ty3ATa zW%Yv|CX_IOJqR!0RI%D0d@MloEwu*5cu#htobwNh6yxu+BweXqIrYRA29=)(?6dla0nVi1K1N!GJrltJoK3dLjyoN%3bPOwj$c9>7})%nx>YMx)WL6Tc? zYLMjFw}zqsVgVoc1i+4M?u*-7-uT#&fY1_R@Ml2sksi46N>Vaw#Q2YXQcD_p*t$7l z_Qn{JAd5{u5t2mH!8z+E>2r{ z67))M861c5(H^#JxVUh1;sPS$D&2%DFO1t?Db6Ht;jZv;OAKt7*y5x(% z8&3pikp$YHIiko&-tX}DQ5=(%G0!4$?EW+QSWbZ|(x~y}s(r|+ps+aTytCVkjOzsP zbmhSv0TnX{j_DBQ?2JHX4S#7lrE*^ES82Vct6?9vTe!8f^|6&8aIMzfE*Lx@AT-Eh4G(e~GJf z>4XU~;qqF=6HAmt2JLa-DN>HVQth?&f~-NpJqI9`8Wb2*?;W|?#?Lh5rW?HVh0Buz zYrC<{O?<`Dn4>dP4xa+K5Xa8ZO6L)2w1Elgk^BC=@KmR~tq|n=+gQR7wS%u*8{XkI zerNF2b)2i&XWuA(*Tw=0Y!QJtGK?E(>Ydr)$rw#ICvS>$xgU<7yjvjbFA9O}q${l9 zc~s+%80g(Nz4fbU9t*7pIxV_U_DsBCd?nz3T}v(kIGMpb!f)9xk`d6?B0MPJVGC!a znF@4unF{E-i*UHaEdft~GL2RDUEMe)Oh8hEjl{lDl09^Ygb!+L%k5aH|jp=WN8vw-kNz8*}Yr#5&W+4 zHYy-aUfd#&M+c$1zXEIq+))l)m(JXOC8A#e=Cnv|-WR&`s?@IQiMjC*ZpvNJ(xaaS z$HaRGVhd9Z^*TDR$XqT!ApnVSIhFHvkX6>H9;aAU1TBLYK4T6VKbh}gB6MLK zy44KKB7c_-)=mR37OHw-XS;VTh_{ld94CMp2c)LX^(CNbV&uY(kd%vLv|fd?RP^ZL z(z}1{x9hJ?ZQ@N;RV5^!eUr@Kf=UT^hdvp#Pu$Uy3*hr=v>B06-;%jX&Pad&%H11T zH_;|Ua$~k0kH*j9T^cA1#HTge?rQIar=E7EHO4MTnmO+L1ujz7!r^5pCvcDf8%i=m zFAja(7Q7^;LipJ(@qe~aDb0wRYUPLO@&i@3n#pi#3greaRL`o# z>l~zFO@8U@{$$z5Z-U{R=%71R$aLxNqaw8f3CDPy(VS^K3=qR4(>VltAG`qXJB!6bF0d^8vl=I+t4((l% zjYz~WJfQ@S1ISNtp*N`E!MZoj|Y(->Ui?evYCaW-xgDw;pST2y5G0_)n zJRe#_3bL)ZXBO1_mHBZ$-0dEW+iQhU-^CPh7RsmOV(--+lK)Z!`ahX1cA*7Z+!A|(L_O}PdY4y}?jn^Q8 zM1OChgM^%!Zh0!CXV=*;?XXYxp7b?|c$=CY{5&h^ZePAesvDb;B;=}Z7)D3864K+KM zGn%>e#qCi?7WVTwLpeNEak4j-6GzoLNsG1JD}9?CSdiC{_5ana9$zJ!n3J8^zx9&T z#@XBL99`zTB)vw;w493E?`%|qujf}%*K@L0a?qEUBR5g!lvkQA*X{Ks3-sQLb3vifo-iS9je67RCi%a~AWJG%l3s>J;J!q-9OJ%ML$%Z=TmPjgYBut!x(p9+ ztK9?oo>F!(nSiZeZpc#qv}64IFN{o<-K|RUvC-O89vQirZXPpgWw`$9?&qbLQQF~J z>f}BWBDvyRsUPFulnA|#hjqvIJvY+@Ck3h{_reeR9;L#%Z2~)z2yt_`osI?<-8$+R zeg%4-ICPm-yq#?(Q~+Tc!nNT1|HWHOq=cEh&`)_fbjWZ^1w>B@t-^Fw$=OdAM^t?d z7^PhBSHLYCu2c9{8$u$$f6XP;TE_u3jKsxHb&HRMSzgWYAqt6q$C-aZYQqAYw-(kzrN((;TPDZ=81$t0k@`$ZyLd zfzK0>l4L?ZeD|}9qCi6c0>QUhRSETUv%(A$3w8IOJA7kXG!^^-F^k|wAO*f?)*8a4 z+_H|8@rGD2K;N@S?zAt9Z?F3$)KCD$MqRu;{mneNQhZRozv+lS+ysgJil3si+qilD z%AJX3>b+Mvq#zgrw6;#Qe@n?U-YqhF1Uo;9unkXgQ{Cfd0Y{>LM!8N0y&*{Zj(z0+ z_pk0=bNmlRAG7}cC@|WOg<_7R7p+{cCcJs&J&QKPM*7qJZL@zxs zUWiii35N(mzmpmz42r9-V)Pdmq6r;vgX`s|#2H|hz-5&UotEYN`?js}MAQ z@i&|I-j*V(wCY|Lh{2Jm4}8MYxWIyB1QH(^0vnde#K_FsCq+t+Pu~u>u6t+mbA+{o zT$bI-H1d*U4;u;M-Qtb3Vla;S8$30o%ai$xM}k739+VxIXVvKY$H(y4#W&`lcSX1# zZL;X7d9OX~>T`YwHLF1XaIYa?E}pY+BmK{`N)6-2c&n}Nv4f+aJmwSLHu={3zWaZT z4=T?o_xFwK7tG)LJbp_#Nzo-S1{vr)-pfr5w4KS!*~gf9I=CF7wolAJKMRVQ^}-@7 z8GV`zl|p0eZ2k97>aLiFRqZxlknXi$ANU1vaEV@zg+p<;wEOlg#o?Jp)Aknl_R89J zilLAfV)s8cG)znP>~>FK`|kzvN;q*-uAC2#vBGO9V~_cE1Y?jJ;koa$1qyzfQwt#1 zMx|86{ER;3O^-^!vsiq75nZFmFnOdIc^5)k!q7oc8=Y0U&0zF3y5P{!6>MeFCGz9O zbHd6(y5u%9lgb7BF?+Xj@)IYrMH{U^ zo<*HJF`TkmRP$vOSZPPA9~H8lOQDF;rG>}C^oviSNC!PYY(H)P8gsukR!gSXzUezXM zFsO9I28I~(X1?tvj(B15<0etR>Y~E(bxMqgK^5QrKmJqb#R(po<`kX#Zzy!RH)9;T z-329-FPdwx-N57T+cyS%`9K?@g^(O-v25;1m%LWp(iU}P^|2KBS2A1Me};EgJCYSG z3()i*v0WFdzh~y&A0b&`NKNB={B-Q^+)&oZQqqOqE!)OtqUC$S$qCgp7nP;L?X%s5)q)}v8yJF#?x{6e^|6fMxy!gJzI=btOCFI zBgrnjXno^FC;gRgRdALS?mVN_xkz84ih&bn=-b|^o4OzTma(tF*SnF3+k=KxuJNF$ zeL>vuGe1r#e?jK4e>`pP0qOycSywi~3PYd}G9b@IOueqQ8-HyrO NC-p9q#gjny;D2^SpH~0? diff --git a/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.cuda_io_sim_cache.31um_tt_1200mv_85c_slow.hsd b/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.cuda_io_sim_cache.31um_tt_1200mv_85c_slow.hsd deleted file mode 100644 index a84d8e928a3ce01746cc6d5ef53bdd0ae75e4fd5..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 382423 zcmV)zK#{)`000233jqKC0001L0BQg;00011WpZ4R5F*Y(F zF)%MOGcPhQF*6`jN+4HdVjx9iX>@6CZo3L40000008`Wj000000GI**0000000000 z0N2$8000000C)lHy?xLoYg!*PC9*8+qEf-Ak&sD(iDvdm`uk&1NjRG&5I~)Cf(W|9 zoO5Q*4!h?$Z_PPub|aEV7J?fTF$CGG*0cR$_z%)IZ+duDsL<~&co&(-(c-FJ8Yu8-e!U2nZ{wW z^uL?<_ZIy7e?RTUjW7P1uljF(`K|cdyPhi##jUn2isC)x%@>}p!d7u>D95&YPhH|m zSC{WORD%i@5UibGTQ($E-x`~t9x}nk365>kmG7ymTVq`f`G~C(Y>R4yAV%zls?A31 zoM7LLtq3+nU1x&56CC@xs(I>mn4HYu1iPW_MxMIu`XU=~bb>?Il`>-Aj9n&J7EZD& zi=yHSSr5ZRGA;lXq8eHrbEvwi$;b4IGZtmh()sj#G3HmOOjbv(Wpit2%PPOUJD>_O7TW=kAvXH$@A{ z>ekTXhRd%~x<*yk$QgCm#oxluKll2B<16pKcjw;m<$EvxHotM_<-2d(edjC3m&3nT zU;Kvq7xg>&qq)$}WBPMMO|JeDBUN?A0 zIfU2CY;OI7Ozt+0EjD5IEBAMifF8v!>Yf8rLE?VGo&@=)Q` zFwoD3`sSBc^`XM^+>i8_*2T@|D!xmLl5U`;!Vc}B!hI5VC=OMd?7%lxvO{reEXHPh zPg7w3{!n#(|K?Ej$^Lv3x&3L|?LX40yZO6AH75J>4deEw?YMu9`@INrPaknZ3+FW-Cr-47jbD2MW3ujxZrHkQ3VBl_OgUyo9+ zzIJ@=U{bX4#%sq1FJZ|0_wYWue{U>qvPhWJ%N$AONHXbxz47qw@%0C9Jb3MRhkuYm zWNG_47V#L8Bc0!uk4E;TV~YE}diR4Lh*tz#cRuji``@_x%Dn>)oPG=Emi+Ya_{xj# zi~BvqYvIMaFTF4BET<)6HpGk+U!V<3RBm4)5`7v=MDx;G^6f-lv9|L!({zbwUtSlw z73r(r_tghSzeEp?@hacs-|YHg!~L{niOS;Uh9xSCcR&aFna}-~Kl-6H{ihoTyn{&p zsfRv?8IQ0-1>_V(sc3WL@&Ma6^&q-MQ%pwm_!!2c-Cc}Te z3N0{T#)u*zszVT`EZG@q8f^6Ci;n6!!WcQ4`l-Qtg(0vh40M> z*rLKjgf9m|e7^(VPRR}lq~{t=^@KGX94}r{QA2@-GbG2)&$l~%+Kw6uE|}k}`0|*B zavPh_mv$W_)n9fUM3qUe0$#3~_^j(7@BNXl`S|!5!3Q(cWKx+AMz&xXv<;c$fbFql z@EQRRBxpXEC|GyE!{%1o)QVkEkaQ3e1Z-C-*pwB6aTFvS!~}=Bf&YViFz_^x`s1f2 zh%>=wcz(8nLa8tPb1=ZsGyzTbK@p>->FcFyQ_h&WL?Vp6i?o-~5-?l`AWlv*?o^=fU(*OCN{`tQU zF|=jJS!Hny-Xin8R;PMh!L?67CV~XE{Gh5}4`;D>-vJV2$9qAx<5jR5>j7?MIH1-1 z^MY*0+tf`@_ACUewkIpq3$mGLf>kq!;XCy3f~SIPC8}UcF%-6t$EwN&`5kG;*p+}z znz}2?{A372^%~%_Dqx{Du+CwhXH$zEZwFZp4QmAbSaA5ppN-h@c9eBjz?Q`u6|kF6 z&hJW_U3~+P)JQ@ca}}2v0>!<4QHq%Gpy~N2M?@kh8jy@hZ)uZ+mjF=!oDZTVsz$gm>HTbyUERaCPiKy3NotD2CGMA} z2f09v_8PGUzu>FNfgvx$aZn3*%SG^c8)mpk`_G8f8aa<3PP;8f?xSoN=Awa8AQNWV zfz2wzs?;$=?6a}rChZ419v6~*N9F^!&zrX=W;vVLUQ=M*GHMDFI~s6zmL2`LNRQ}# z5^V?4Jbc3^kv{Dj;6md8S&_qpvxd^)*{|x%V_2smpq3!U0 zHSqtQfAH_U{hxR7c-F-xcDw{g*_fUfm5CkC%sn!F8!~NEW18O|YNkemziHsYP6fRk z4?HEt8F>hW?-s4p7oPWad{^`(_=pe$Gcljq+wnsKWkyCUfUxP*-j44(CeYD{hQQH# z;qCZt7(vCOvxbX5cXK3md(4`k{huksf7Y$AdIUE_?WZ ztD&CE?pLP;XAf!$Bw5qS#`McGbOq5R$T!fyjgm?F^=T_mG(`pPJVaL3g0b&UQy}%SnJh&9l7adBh!B$65CL zgj0BK#2pS3A1$b$btKV>il1qxWzcq}7Pw7*UZa+Pczpi|-hO?oS0ISI?oY#&ynFkJ zSh+h1UcQr3^PV7U@4f%Q=lsDhiCRJjM2r!0*@73agiXs%f)V66fW~l3e^PJ12@b>9 zK(GY+c2NFW6eJijK?=dMH=!NiFUUq@Um+g|4BQF^E1_kF06{KDZx9<1Is|<8ELah> zH#tVq5=?L?3kv_!F@i&v3DR4~1p5wZ0-tq{gUJL*OEAH1EG1zA&Xi6f=K2#Z4{Hfg zvLMDF%=8idf^10E5`rXx8*HZI7?22(o(^jXCW#CLz%$0gHCH!^o1L@-lk5=P;MpNL zx#;X92$J;(9s^xW@DL}n`}Jvug0f05ds#H;)!eEj1ic`56ifriKUsUYx;%h735YV#bB-4nb9pGs0e*`ZWk@%nf6*)A z>^uN{M0Snh`i330Ez$0GxEjC1Pyg8u|K&dr!w#a}o%TDd@drRjXAmA%_yc{}Ks8}g zUFhT9o#5@-zV49KNER@X775n9p!Yj~stQ0b~G) zcnn3&d60fY?{@%y00{+{dYwPeS470XLC$$7m|&%j8BINfB=mrkH?b9|83@(iUM2p0 z{za*G7f8D^=(JCU$kSPS(f!VXa0JMw$J{7mgU)?MspW69ucPakd*43c=0|)SexJyG zgBLvUnzO>>40!mplYlyuG|=z7#n1OlG=SL=?kwJnV^490B&m)$<$glCW8(f32Le;r z-Ta(2=l17qw?B^8(+$aia1Nn>>5FkVxjfN;=OBb51h6#_2m$WbodF;zV$TL0emCx) zqH+IkzWOcy!Y5Imz)BMEVoab|qU#(2&=7ZYhI=P-U%>${z}bSTm*S!KF(WP95SH*R z74%RX-Rm>J>7kg6f63#T0ZtFa$g-z|cZS0W@y0s5G7un> zvs1V%HI+LG(z`4OB4vz6gnyQ!)~9H2D0w5K^0{<00>Ln11=E$V4bJmP1{Z;#33gpW zzGD#U5Q@(Eas+}VNQv-FgPY4TBkRHh!QrQhGnl$8YDr+%pUlH;lJM4(cb$l6U<%+u z#?xNKRR**?YRrG!2Cxy<_#30228-u@vVt(#Zv=@vX?ucE76;$VCNr}#j~Bzt+_S>Y zi*s}A!yznds1afJtgxBNFwcZ3sc)DS$NTQpy&A9X@RQ&9MIZUhU`mRM-B8rRhGW^+ z5?U=Qg$eyBj}wEQ4GJ`3uqDVvj1p0hJwqD)jd9`;B=Jnp$055Bwa_?X*;n|I#!G#i zxDy^b1(eH)Afc$8OkV)>ctnaAXCwMJaa-18oFW7YOF9qgCUIgbgV*6Q35v$EPU6HP zDqrc@G)mHTl592T1;R;?nt{^++~$+>yVB0b#k~%hby!OeMetky7kwqN>hcBlPun}jocRk%ZbUA0@^XxMXl4T?L`CS43Kz>Gk ziTVn;2r}kOG~KUJYt?d4w+4aj^giMQ=P)~Hd^jVDd{>iYXWwd&6y=hl=?$2S*_cH5 zH_5gK%~Hn1DJ7Dt+EdV5f`eXFC;nLB+_B73WSs=IGw)bB$>x)Ta2gf=ffT54+$$Aq zWK8Uk>1({X>c=2DT0(*cy>6Mr&Wy9@|0jN3l09FsJ1KfTcsnX4RO8NjP7sYgtHxc8 zI(0t3uNtXto%4i1Aj!|;Z8txT*XQ@43?80SzdvhE@%U@nj{D<+C7bNGGd&A6_UPvj zYR49?W(c)so1hkgn!1PDpFxVhzPNU!%&{S;9A9wTTxrR@pZxeoe$%U$=h|5EFVN#| zHvXc2f!-5A5CL{^2B1h^nrkK$e-j*f5C=t%$ScXb@Vs|zC>@a83nk|(MEAlA&wJNK zkK8#t&>3&^{x$+A*7N#a8je{pM=GuAS-3zAT3qDMFw4dHfe?*JUZg3 zDNHT0F;`)naj}>L&;v?pa6#ZRO|qK<#^|ij=zJ)O8>IzVeXKps<^kH!ntnA2E;W}A z^{61d$K0b<)1Qr}7Q+bwNW@ZC^H6;fME-ELc=-5IidiOwl?JABdK{G7iQ zN%OK8<_yuLxphWJfxPr&Zm)(Ev^wl+NMWhMoewFXXMog4Z5yf$o}y%eyCbUW3P6{r z5t|Bn-0e{5mMz%1nt2{~7i;DvxQmcJl_&t_BfmeNJHcHbxHL^bKAaZD*VUjfh0m;C zEX2po5L?E@0((hjJxG10E9`Ok7#nlB#GYTEg%W!>qhy5&*lxT+B?x}m3URWT&E7W! zl^o&_jV5W>RobeZu=eq|euhkI@br1sNOFaR|7by*d7Z^H6VpyEARfL?{1KZO{HMb= zd2T1*zR@&hujWlzeVbnI48{xUJ4}Ax&2X$;2gIG+z?;|y8V&9 zpAaM(Fy!-86{5ih0^U)OoC+ogiajX~aB(80kPEW&QSy2a7FZ~zPuOWALc>s6{!B4_f=&}$ ztfkLhUY%O=Ai*wdY9%+sli~NC3XTX}FtGwz3pgu!#YRC^ZftH81CqEE${uP=$Vu|s zQOE47N_-?khsI0!nCuL;*{v@Dd>g9OxiQ)8Ymz10BeXgLx+ICxV3MnmcNNNGKatd=PX1Z3*Zk zJskkd0wQ7%6=GDI-XvGynLzR)#u0ckA~bKRflc{(ysc?>W;7EJW>86Di!1<^XDN^g z3?0UNwtGnb3PSqPLC)A5GYI!I`bk&Z zXCn7uuaSp#%ulUG-aq-lpL^@QXh@%`XhV4i@L!`6>vBh&%dcp&l$g&RaRbh!#C-OM zn_#GWJCm57K=D#yK6}JITz+^Sb}U8+>QLYOa&mkp9D3;-A3XuQ9SwUX zg1AkNS4GD)qwOO&uI2XKP2cNl`tEr9H1YP={f%G#&UMH2)|#~F=|*K-*K5))7O(Y= z>mJQmq&h0H-*Tt0cU<>~jZ1PKcxky{; zpv=dhq%xW-?i;`HRF6~+c6VH#SF@h!mkyuVuW$IgJFcmf>{y)8Gv0W3_gSqJusg1w zCVKzk|MlIU_sy%;^3&@di$r;peMe9gmFKxgL9G0i_MHiVY_Pc|&0i90*(&(VwS`Tr zZ_Dam<-F2(Z9lz0$)495IqTHuABU z$rT6SZ#GvrqLW$YJi}$+{LbgT4t#FCjZc`XFW-Cr-47k4DD2*<&CbFW)U$+~*wv(8nsst?7kK_}r+1B?ar+ zu|XHsOwzl>yP8xIIv=!%pSaqS)d7n@ncwIZpNzSR<%ElOE;8;n{*bc2@dv=Np)9se z;(9Qu?_7B9H?|bl>6>SH`yD3UbUiw=;B|8j>m>rpctN^0GCA*=L_>8-`4@j`ou6<24sfUQzcSvhEAVouyInWO{zh!;x z!b9KVVWtPBs|zlS?Puq3xg95>+Vq|vNfeUG&K=eB-0XM?rLrb6JMvnc!f2D~mWOs2 zN%f{N<;o|syO+-aW`XoyMlB8QsvIS^Jut`ofjQ<6%rSXjj$0m>WAeZp!vlkF^AF7N zIRC(4ZgqL_Lx9OM519OU?t#IO%MZ+pC!ZKyg72S0z)92#HdvMCQulBx-Tbbs282i$ar1_orfeocL7lJkc^x_^59 z4UQMt;c@@=&?HT;Adv1)+i`weFt_=x=Nh)yC0qICZEWH83%MuQ#MKO&xEC9|nL|g% z+qk$b-a7FQa<^K5kbcLp*k)2d;^hbT@3V(4XxPyJp_I}9DnZaH{;kP{0q1;vH1zQv z=^<+BPyp}dWR6q22CgfObDws7l}bB=DX|p|gqz%DIR2JYD&+m_lxB7dKP7w7fpXW1 zII3$~QOK!dU=h~ z;H*4EL3%}*ATmH3*2Pc^3Yt8<527Hw+f5KIFe2%2Jqa#6;X8WJOUneIYEUh5yfs6c z$2cOrolLMDi;A`Pv2M96wjYt+QzodzrKqGN^n4tn7o>NN38MHz&szRCV(?6mtr3$% zHA|Fg0k|L_y8cED$zN8zeMCrPTX`ELb0debalWhU-3@d zx@l-Y=cp(cnXisB;#%5%*J zsO4d5!cY@Le2n0m!gw86IO#F6t{={tor%-|84i*}a24D27) zQo*4_8zDx+p<)-aKSp+InIM``3BwdlX~C{|j0AFaGC)ljlEm0Rhaj>4OmHj6d0@%E zGvu8N>oB4Py2cy;vBXN^BF!oDWDaQ_P)0c6evVTr(m~ZBzir4^465F3yt=(t7Us&{ zh1k1|8TlNv!QbSqyURg3y)}2F3mgk^X|P1SAe*cz*jF7@0YGfCgFiJBWRukd zQDBy9@lyL9;bg^QWRq0|(VUkW`BJo$sW!>fY_gglk|!}@1;1>ieSG7H%%@Pnu0{?B z2@XYx`U~mFuq)0kWN5jIs`|*t$Rycz(J=>*z?ct;w36wV%#2Y?`et6HkZc(`()Nrv3%6}O~%}0turT+03BbX?X5T1^@oj|Op!+M zKmDSW4Rq^G$IEm#_W>kxv?9QQbSOe4%t*H&?(RNlD{n}SFnp2)0oT*r-iJUW9?+;d ze-4;!sZ$&V^zi1}c0|}^GP}FM4?{ar>Jr@!9jY{^w-~c6ZOpc+sKq2P3~KHF9M6c^ zmL`et3029$%?R>wKD!hvk{cXcPY$F&vKnw0+3fBHKeXuL&4sCn-;lpmm~CmZgKgOf z9U=70bjRt}C|plaAvlcV-&B=fBT&Jxh&cDLfO{=JPQONN*$u+Sty-l~+w9vOFJf@= z18_X`cx`5I$}skLz3Ew;%-W2kJRxa%QYHC|91AgLVS+bDEBNGCnCO+A^sWXAGhq>e z7MUI}ZAbqX(Jm7fC0M8lZIx+;{)7qQ;{I9BDq(HL>n9{lcR9eaOb#b!VS;G*WLcPC zLMF6RurQPJXIiB@f8LJg-{5%hW{E6LusV}S8wAo5rfs)>;(vVCb1iM78vvZUumZ8= z+jwCUl-I!G1mm|wt)@uY#Kmp#ijRMQ1SSuGJGuC_nS|{EaWc5!VmxkVah~c@y|Xx9 zpHz{3R#}`s{?niMGoSf1I+@lbn;Vzd$+U#h0F+wqWLk}!e9|^+olHwc(oeDX2=^L^$O+p5two%wAZAI-KbiCnK|c*ZX;ihul1O&& zPz$2~4M*LC+@I6$pCycBB~D=gR4_~e)WaM(tG8E$wjao28bRZ&NT`GR^I88C(Yv5`%ZcYQiM7gnxTfG7|4h*(EZ{Rzi|JnR_Jj zHuQv~M;hrJNn)vZ5}lqx3Z(;fQUly3`v@b>KVlEiZ3Rho^6hrM%jJ`A<<)rtAx$&e zDM{Ox=p0JYul}n)^VNGXR^jC;mMce&s?^jHObmH7`V$1%zo~Ry#838OtS?RKRS=jH zoSsbSWR$-&z(tQs?~)cOk+X9pR2%UJreo=22*ep)w8YsmcLdoZ%GX&)Q8eytP#JM zR%G}kbwR;}LD{D?%jAXU**g~mQMOcaYfyNNUCD7oR@hAtJO(BT45-g0e(Wg79y}GK zCM6KWi+in)*cE_riL4rOclTqFWeRO|Vh4zm)?kcwGwy3MYweRUFK-XVe_U%o5)I=3 zo)=A)SYxx$XC{AglVn{gsoJv$IIwa~%-p?V!g=s~H5C)|DOxd>c6)Zav2^M7?6xJ^ z?b+>1bdEjyJATs-zqD5!s`1(elSX{gA-r2t1$8PBv{xNcW0hc!L7QB^9yjefgEIYi z5;@}!ilK&`Gd|%RL$3pd>hrK;==Dug-L^zKhF%SZ{^Hx;_Q_YG87eUpGeb3^f=FjU zTyR)!DElh~8T2Q>4p$u#zdkjaINdyi%upL~v3UrYp(Z$jFVEz#e(beTRh*j4P!sHN zY+N09XwjjI?bRqqW~d3q>{HO0@{{rL-JXjIGXLJ1c*haRCu}1w27;OM6(kq?cM#{x zBp;`L2cz6d$s<9+G!mNtVUfci!{emr5&v}|5-anZKwQRC8DSN66>-f<%|G`JU02ef zD>ALOGOF|j-VyiuBJQ>&+7b6^5ck)=|0}=ZM?Ncb?hqG(A+9LK=yU$Bh{ak;2cMcpQRWuwILTXnq%qGD}6 zwRilYj4wH-F(Q)6gq5u4nMGki0EcsyN+2QkGo5=*-5Fb;Di3{Vl=HPa6|M((G7j(8ecYx*Hdni0IA09tw0n z5j8B{6cWFcTl|3T6uoG6^}vnOliA&^iw+SLJvF$0P|GrXYPR1$lrYGPdIX70zf5a# z=np8UW#5j$sSuZL!#CMI+&?otAM*Rgwl@sUB6|NUbat48$2r{X!qbRXr@ZYvo(;;@ zS@CQT!|}=r@oX^x}*2XY>H1L{>h<4oPWvYr5;lIfU+Xs1t=J>Vv$FSGd~&0?7sE?KnSmqVPn0 zO5#iico0bUr){@Cav(jQfO0&O^Iz#~fs{*R?ZxYd#u;bjWa5JP!@=!^luRQa+{V=k ztsIDtfRszeN1y~u6YkIkg1cxK@1HkM|0=1TfoZt+eDM7slI{(A&xhk3rtb^OBar+l z+_v|8pq>w3@T>mp7yq8OU6vlZsGfQ~wnHTbj%*A=kF>#5YjMf|QjBXfbz@ZoMOQLG zug7W+c;FFQz~?%P*JIImPKpws0yg|%>C}vn#&ocDadTBf6Eo}>-(m}BA6aD|Pim=Efb+<4Yo{`U!=`B(NC>hh;!%*eBQmeRE+>|bnbT{zFp$3K`nLTOS?@g=I!FLd~ThlLgZys0g&7<9$N4qzV(|dEd?OJw+ z&E1psw@mcprrw(i+ILP4g|53o?##7csGDbLzj-4!Xuk;?mNP4zx~iF$BPv%l;0H+J_Y8;X>~`0xT)LSrbXWI%A1i$0FHj16eCGirM}0v{eTejLgn$T$3^V z`hb4cX}Ge4yU;J>s(ug@0SQ5B0P;lm+B5`)Z^WNz=M49A*W5%;VC7*--{L*!D5=w!6#vuLCQYt8DujZJuW z&Q}!lLD&_A>#HbiTcTZ2xEe*_Gydp@KmD_!fiCQo36Tp$>Va<*Tq?LazxTH@6lu%| zK6GN^kl>V`C-pa-QC10x!q{KnYy6iY% zRvjJiv3?klj#)|3bIoyr;JKDCOK?@En~85at@&qFi74`K%^G)5yvCrYv0L*%Nsl3O zwcBd$pm;T)_)TB=fzSP_2#Vs$FzeY|s4eId%x*>_aqa4%KV@F)u3ad*OrU5ZE|y&; zP&C2Cs>_Mjda3Ag;1=wv%v;*wb0PMH_y4!EKx>1tLWmczVc5P4C9nqJKF$qo7xNqHP8K^*F0$3Zd zM+S%_b|8wIr`Hm|20{2~0bY0&^k^f;HlqH75eZ;Tumd@g%~KesqofZ-K>}D49Ggx@ z?9f~*8<7Au3T`aOJT9i;62RJ!mym{QI5zib0PBBaSWCf`w}cUQJUau|#+JFVOx!pb z#eXwI%{FPE9blgrz%qpTUPoJPRK0h12)(`#x^0Pe2)!Bz{d52APk+xI;gw)1KzWbw zk_7`V_#WX^8k(B=tT80iF;+x6i{wWAfd4+&-&IjCDwux4S~Od6kMKTTzUdy}UFobN zg_$W@@Mf>kEN|%*U2aztu0~P#_V@qb7rrx6!Nl>AJF`1!)J_y^YMV|$QT32y&TMo9 zlnC#f*V{X@LD$;Y5YZ|sk03gequ5WKIJ1$u$eghj4s{CLde?L2%!bhp>%B!E!_=Xi z6_`Y^XYF%{sBku7;>?EMfE@>Oof8uZ_RtTAkn`L#Zf^?p>2S%7@2w?S|H6@=QWRBuP9ORrMBZHaazV*3)EQ>FUvef>Z8uYO5X zB05w$DBfdAglxh16dH7eY)SHp6H@3;szjLJ0E}clIgz`B)Exy0rA!b2j(NZ*YX{2X zPDdn=GQpwhiJ^vI9Z2oDASn?h2qu@LNOfI>_;flVDG?^9C8*H29h7b1bn8#*Z7{*U z1DBnTvBy=(MkFP|1iP_p`52L##UTKHd02^vlEo+y@e$ac4at1+AX&Af!8AC^5|u;K z)8WvkNorX#k{q~sL^3-m5hmH8SSimA$;m}$K6#L=M{2Kut6qVFp3m;rryUCFQH|L_ zs!OltRwaUPmcd#ITFvmuO=Dmw<&v`oAR0()xtt-p7J4|g$^++pEXdCri3(xUk8D6U4MXq&hEwU2yesJtYLk!uuV*~2(RJ{f!jwInp_s^uC5YK_9| zCc%aaDtUC9*C<95^vod3BUU$dB~t+4b- zrIg?oFL|O-I1LHvS9U(2PQ?=fi2`a2t8viNa!J2DAV*NOAWpMaXM>yFua9QEK{Z5S ztX$dTxD8+(Yij`Xeu%K`!Sr`%c&6*MN6_n{2^9xBSGr<9#DkvP78WtWYWqxWVmFU!M$!;EG1GZ&W zb8r)qnzw+FDVE~qO|6(?BKFAu6>u{;4CcPEtobZ50YBaaoE)}opHW;!nYt*V1nuMa zlZJ=(3C{eU4ES`C0k?W4^v+*-!|t(;?Qhsik9BNYq8$XcFVQ)%jvxQm|L}Kxe*{6% zNG6^M6tjgxo5BOr5QevX5$d4i1QtY@mef}Brmv=(lht2M!UNv&g-wSF&t&xvW#&tl z!UnbYLK{dr|hqALAx$V-O2gqQQ#)WC_imnC*x%yJFL*#o=tyCQ;ZP>#5_?Eed2jB4gOTRFp%-UTAD2lQ zet)`0qJ1@?CpL#NsgKK^55GU%5pI7RukY>vmkRvi&fFBQdaUQd+i`#Lfy6DjIA-mU zl7Q9~y8*Wwa7L$n^|j+`v6L(}-gxc!;3W_r*{Z#N4;afL$9s1l-nn;t`3`NF%#keL zBgv#y58ilq_n6jNCCns2W>iWGgcFL;{JwM`x-TDC(0gB{dbjc5(l!*QYvDdTzVhPx z;(iYwVEgV%@4FMCCiv|f?E1v-`=M|Ag|*CeNA_E(x7yXHxk7&10#0zog-8-3?9weF z+G(7==rsl&1?jzHf++Axo?!Ctq5xVpVnX&4iU0&_v=_((=}l!L>eN&dcHnkNo)t(2 zoC%`Xow(mo>a`H(ZahYMTbUpNgDvot&Kd}tP0cSdlN?dKhOZA=DQFd&I3#=3O>$^T zs?kQRtYJrDOiyxTuewQsKPcX6>PJRbD3K)1!X$y&I1h~37ASs`AE#d)G##bN`XotZ z-3Z_9I9KtVkvpgG5w!CU&I`=jk7CI(tS@?hGqu1J3z_j!>{(nH3I~_^>1qDJJsAA~ z#sPE7I0~PB(v}H0T>%QCpO&5e4tRMxLM8n7NN{%(BH=yp)3Sr#?=LCrp|E}Km_|XF zq^%M=V*UPftGM~0cz8vJ{M3o!F>!2XiU&Q$aX3*kBJy+D{_~Mv@7EJAYb%JdTdmto zqD!}0w=L0bwQgUcbCCb_Pka04^bz^Rg z;|7&131z_#xr(R(JY#gyk=ZuDD8nJ6rcmLIOJURuJLBed6pj1LeWP)lM|cK7VYw!N z21|6=63!tP?~J6iiL?!Jre_8@4ABlj8POa9ub8eA1P5lFh2S{eD=S&^$Qku|C+`Rv zY^$3K{QiY(5pev|LpI6(`Wr-k&z~KY0dAeRccWXhAN=LojX*N5=N$! z{-lgF6GUa0Z8g)|wihq$Ts?9|P+_Wq7FMA-HgPVh9+qr6H6y4BcFjPo%piyc!eSW4 zV`K!i5i3MJS#YKbI|n~iaIsuFBWM^Aokw`YnR4xdpmvZ;_1f8Xwkru!1wI8jotc8| zY&)A|SCGj}i&Mj!o?RjFx7u;y%CO~*Wz-CWc!|=Q>5H}9{rW7|cJ~W3_61P};T`U4 zv?EJbQVb?NPSnw*+l}hQPb=>WjM*72X8tE@^cc0>Svx5Ls|uX3pv|0c1@Io4&d1+p ziTRmg!OBhU5{NSVvvKly!@q3`*sfBQ3j$+;7FF&{( z`?X2hzYLZ9DIOW^x17kAJ2v)M0zcEylf$uvs2Z@N$8GZSYMKJ|*a0Jb2mfb~MX*gj z+rj^8!2iY{Km6Li6|?>%9U^t7hU3L?91k=FHGA$btBm@ThXOQK=e{31Cfa!Im zf%k}l9{k&)qHJ=E*dYR+jhKLcH%iJqz#p-K6fG0*?`!g{U}{j&b6EA@4<$iT?r`!< zERP8I!t);dLx-CYK^KX+$CF9GU+dqqa)czk^dM7Di1=%Wd5_GmT+)O82#tgN8)zdp zh4f@~(zp3pp<BV)+6trl_lCWob5|=4qM{27r*B%KeOiE7?!%(dJKjAe7g6nhtS1PhSzWwBN<-9 zX_o>S34Shhv-R+)Rh*y@%rvw08qRXOgEHIoHH4tqQZHNY-dOHsn`k(TF%-W#3o(>O zL3u;<{F9+~Hn?#q$evGU+cNEAR~?$6ZR80ET*lC|DEK~%wmVd-ggA&W8iuVxB{sWED6LCwi_q zD^PWap6?LWz!o?yA*=!6-Iej+jR(6cV^4^<)P#uV|Jc2c|5yZi;i#G`Lm8_mA+wO@ zKramwL_wYw-gmeO2Fejg$@v8ICb*cDKraFlT+B+K7pw_(=)1=@^<4S_0lf*fpcKHB z0kVHn;$X?0pg(Eh!XP*n$|u1|N!EQ7q*t{GE+;P#(3|9P@`A5^+`}=$k%SiYbJCOq zP%R1Q!Dt?oZ zjEfNePVz3+FazJrvW#d3gjvZS%vqDjUpyz_@3C2vkDK>^mV7wr{ryFWqe4wnV$xzI}<#G24ImFWmjb zk3}>W`_j-nTAN!bngCz8f48s_76_HzY;TtcmELR*es2UOGwxdR}h6c#e&q5ea-fU--y+&MvCyV^M;eLsR`)xAK9|g?ydEUW%rx@N?P%t0W{`Re`Cw|$yK~N&Hv8} z{lNM|`k(VVOFl{TJA=2DSgLS$ESD7Eln`f{=8krl_D|H&&ZUT=Yk?f?aEZAJ2dweM z6K00LJAQg@-6lVsW@+EX)q>sr2r+NDeRsUQ#(2AFiFV`pYK-SUap%ALh9Tmucrwg* zHg9W3o@@4S&vfHUT;Zrv5968K%(YgO*D+whdRKUlCVZS^h}MB1q^JI9?+QoKp46zv z%t%Wl+=(N4S2*hJtK)j6%bjp$Jfh zA&ofG-p{+jmDLByvPZ`uf7+`kYJeC3GuDf>pBP#f3+gdGF7xCCLX}~*0;M*w|I85i z5J z15C9a5QQZ{56a|!)*BK%{|uB@qR9?IDNyZ!5s}{nLJR6ELuXS~=@lL_V4CsK^Y7tB2K-mMJc$3%MWKk%~A9 ze;;>Ax|BZTei)(KNEe=}&@`Q6bR^#!t%Htj+nLz5?a9QpZQHhui8b-Wb~3STTepAz zd+(Pzb*gKvTB}#%ocG=P*%EOble3pxuCscgWb?FQjUo1AzeuGzykBO6!pft66=4_Z z%Sm-)V~<28#rxQEfKz{GmUKoVom{ZqTo^Aqp&9!-H_q9NRQkw_V+ZnN<#%?*BiX7a zN|p}ELzOb+Z=ME)BT_Nq-W+Vqbn?f4J@JLDqisL@-$BEErg$@N1g-6uc_Z)TC^Pt$ z<4H^Ji(iJ`U$y3XDEawVkGl|;@P{_B{b?`i)B zd>;psv7xr968?b+q^oU3S&R|bG_h;wA@QIcUwZE@N-P;<#dECvd8bF?e$B7m6pBjM!an3!GK$P=zuBMsJ@0**e_oD^#7)*_6kyc<+->$NN)wp!%r1x*RD!W7#7+o7 zjWH!uV_sUz*y~};SF{18VjFu^vkY3vSq#6`O1D~R$!jPoO@C^U(pY1b<+OL*wjI1N zq=@bh!DF$m{?Iw+vT|ye(Z_&A{M_U1{jPpOR~ti7*($r$2A(m5x0Dxn4aHm_s-Yal zE0&_GsWSw2X)w_$lC4fS@+)xH@1k;;+y*2ZBtC;6TdYm$6-AlkaaSd)ngN}}z4^h?OTXAP!|riLr^yN+#5aom!*uR*emEcC_82aVJmKhX$>QVM}0M0Bkv7j1-~ zh&ZqU#tnSZE6NoCdK6}#6&5ujYe$K5(3yges!GU|3^*lT!}uxkl9QRWhb`<-;HQb* zV2|uICQ(AgCRG&hbLJM4e8nMJ#^0CgpNX**2kb_h!ez2U6fnZ~_-8DvvIwUW_4V|rXm(5wZ&k>OH@J0dpt7eEo+lfh9! zT-c;H�>u)Z9CNkFYzn{tc%+b1MUXoAWv<3g(rP-o{3w*zwB1_8Zam=OvNu51v&d z40gv5OO>Tiv18*c@<4HyqXAehXBC|8braJ zI0Cm#l{y6I&f+F$;EI=4QD(u01OQFqO(mB7D)`;S1d?EPW$3 zDT(oB3XrbUCR!2qCX5jw)RK!v1T>OG z;*}~EbM)p4$zUunU)Y(@lBl0)wuC+Fu@-8Jb@adLdi*qil}+W^uy0zgEKqL`q%DG!?}a!t1$6s zK}SxhRyqp{i>O3z9#nVmimVc;2J&<2FB=v2fg&^#M^3&lv_PRa;r5hxwkGySKu%)j zK)_Z6cwZma_p8GVqYT4qxna&#AxMQHaL+t) zRNZ&9eM6ytlmB&s%Ta7+Jk-w3-*dR-d~HLR@QavOuQdndtRWm;P1go+1l2fIDXu%S ziPvQiG&K(%Eu>>b?%9W)P1BOX|FPF`6GWH|bx;*`-j(>JqBm>IDkCaYZbLq3Wed;m z^GLKZ=Hl?n0UgZS0bE|tC}_%fp4t4;7S>N5E99-sQ z)xeuKok2WA31YzO>_@qeroKK^XYQpAi6X=AZ!G3t9!xHBbd6dvTE}yM_b4sLWVd=9T$y#d#K?HLA(J!bf|=h-AIXL%@aOD2 zAq4Zf;ZdkVeN`TYIR8<)h1@k(JIeA`N!Oh~eNuN47n&(GbsfuN>6^d_NfHHqAEe zkafK#z1LAMInJLbc&Ls(xBVYC72T>isy+N8ZCY)bs^BnZXF~=GK3c`E&>=G~$*2D`@-Gy$UVM=W6Qh z&!X1|&9N{x`70L2w}xDeN}2*`aG}TP!~{+0V|Y27&CG=P^I>-__uE(RzOZ`N-@Zx2 z4P^7nt;YrAKY38Ve`P3;hwZnm zL{FPM$Q(u1PQT-lVGGm?$|C=TFgl?|yjPle z*2?A%gHgwO&7P9mdtsFOvfyy#0LaYAGLiFNR`fmiQb^w8bG$?INWWJ|?f@2yw7@YD(AaSISzNf8TRp_7~J}7`5qVo zD*p^E_z9$)tEKC;%lL{Vc$|xutMdQq%RP!vPwNz8O5+a5_m8mq%+g`6C^9=;4vcJS z_KVAtO{23**W>Fjl$jz0;$uoa>>49C;lfCf4bvY0w`;?#c3V+}=j~aPd3PJ?=oNyg ze-0KBXXo;?pimRr)i~0#b9G2KynnVq7@;MIIg{`DW*$&B<-{7?Y7}qq*~?ewdt5wi z<8+If_rNcex63LUT;a`Znp{1M*<4mh=8lwo=4ktl+^sK0Z!KGt4<3h%Lk2F^K<*JJ>+yeluBNNRX?A>s9M#Tq-mUPsc0L=o8*Vt& zd6se;R1VuaM<2@z+~800jYM#KmTKiOY4b81W7?LDw(7;c2J~*6)y;Gie{g;aet&8A zFdq@aV9QsS$_Eyu?zp#z_pY4RozD`-M;g>`529;$1f%gpn!p+V9*n5iO@y%WCFd<+ zDP~B=oQ@%*OuSggedYKSXJXVag*ho<|9i?epBd{_G1<-&<=;`y6oRdPc5?nZ-q?VE zT0&8^3~rb)4-%9;UEhx4yxFMg4z^U11p0zaf@w0Fz_E}QZT^aiXAJg?l2Ml9DRi&D zSr)%t8cG~iPGklJK+s)fK_)7{osR6TTTD9vpUyG5F7~#@&ROy8UNz()jlXdG+HIG; z9F}fMJkdyw(ci?C|2~#5@k$KsWz(&GwTsP-JTuQ zh{tJ&RA^n$=Ob68No)DJ{&(;s3@;hdWSHKF-a)3!IUrI%FJs$inZb#OznKseh>9JT ztY6!8QMHF_!Yqr3*D9dcI6;Bne*SlSHotkIgnfvfD0l8A1VaU4c%j8zsViOb3Y~Hg zD4%6npk-XdA+fm@kQxA0y_^)Y)x15?$dS8vs+;){IyR)bzZ;Q+96*$< z{tP|Vw{BoMW4kuJ(Crd`0~9MJ`UBh4$?Fe41z+@go>m)(Tj?b10(cJm=Mjo#;{)IH z(LVY$rs$Rcub`jMYa+<8-K9azTfgdkRS)Wvpt8A^S#wKJ59;Q?Mas%}(rB_h317{B zpTfWX82{||_8iWcPUtPUI`IIHALTl#aO2Abyt4adk!#bd9+Imb=v$ej5`UX=HhLW; z=%e@#|JzRdW=SN9v-BF5e5xq$_Unci* zm&-54`Y4V6%8dV5$&xgfn^jCOw~ksW`jzJaNSk!3!*T%;H}fUgmEz?P^N@Q0dw5go z3!Bi&ANLH4@7}9Qp;nAc(ih{3CiChxSoM15o|*W^+tA|ziQHsB)}W$N#PPE%rNm2E zRq7{`iDdkI&LE<5vSi-WK}mTC=LBQ38HMZ=g|vBChu5Kb?2}ycGdtY^cd1k9Bu=&F z@aH~fUPaq9%I!7VR?2wj4;zrCa?QRQ!Ez|baI~CV1x3pOC(s^>4k1vKAbSAS8ua!m zWonI`JKOdgA77ke#n?&H)%JHs@5g2D-BPs$qL0b&V-Dw5@vCM!`nL<E~Yu&VA8h3Q|026$}bpR^g+dwXX^$b>%w#Fr`213){jNgg&0IAYzyHD&6&UUq=oGW!CGW7SG6&zW2pPtg=0Td9 zB92peIg2TjOGT(Zwcay{{{hx(i%AVf)kW0wJ_dnm=b@S`m+%83OtD;+$Sq0XpcN+4 z9bHL)EM0b1a2k)%a;jPvU?ifjlvfl#k@%m4*sGOHmxxP40ja_17*pR!oMXW>3Rh-( z)G~YnhsipI+8Z2-2G?!rkVH;4!KrYgxIIE5{+t|Xu1GgS=b(>RD2v~<-TdVfFOMdqq?4kdjC&y7QnQy8i1HX^+AIrbSy~3PzRj&aBx|ZE& zbnG0qf1q714IYvVq6baDa;T+dYqTRQ*;Sy?Q=8{DX)sETw*Ye}t2{q`Sx0h_3cI80 zoctD>1=?I85C}jby0nP#YVuYW!*`4VFKQ(&v{}N-ZHurwY_Do zrHUTuWJz&1Riw7{rNiu!@f{<@%xQQ_DbEz4zXlE>%@KVge|t;{jD-q79pJ2{YqNSK z#Fw=N@%l?yJ=}^}u}1Ul_7}n_Uv_JadHQf&jh<}m{Wg*mSkK$_@%ik-n<*;S*5~6* z<8?hBV|RV)?!7%?{GMGGQKgFX5a7wLsZr`p=4)qHUhAgfl&?6ePU;Z&yMS=*prCr6 zXQy^~f?c_DY9_~fdK!iR3zsfoQ|%*m4HO}fa*fddZ%El;Sj9v72^#M~Ye%5F=UPor zMdt+1_ULF`pImvujo1jNH(RFKZFnsdtH=XqnnuTFLK0w$DH(j#6CG%ngCaX ziYlg~E!EbJ0cB)!7+lfKDRJ7ASoPe^;9ztQkqL3FCTG|t1M6(WM4@{s4zqZ^uSUF{wp(1^gV5#0<8W>_mc@|zZ*WPxQ54z zjLJ>41G+kSbaV-=@>ewx!aE*laFGL+S+8c+fl2{J_;@CNU3>$|4z$|S+oyL~^6;SF z2#HiDnQjB@P+gvz4x6BEu|#osKLzoD*pkA3kA(_vy-OD@t|LGfXg}mgbU78FzrV$e zx14gI5DN*=idrqYuc~%-J4=4NZF2m-hu9w<*^i4RGpXac6^`s514)$f&#D`M*OJJd z)^%5JiI8psmZu^jRl9IpxpYrX?mTO4SDoByi>Mt==Gs216UjgUcW zT^Pz=c_=pBmX}(F0MUju^L%Q)Pycg`hRHznGYr*U2*atC!IVUcTPR}P9dBOPG@ytw z`xM{<(K|e5=6!`(9x_y9TA?X61!wJ*&ulsoOnzN!2d?XlwD(Sif7@lxEvQ@!E!F?d z!9y7@?=9A9L1?;_Qg|)Y!9vXY9Vz;~QrY>K&F&N`HQnPO%Q0eY1@V?kI_-JiJQ2`Z z>I0Z^{Yz=4fKxM22Zd=f@0;Ii3>h+R}{2FbQy#W>~x7awHHe$$%Tx^_A z6gn2CzhsH05F10fWni~g9>%*OMP-QPDGXT?w&R?CE;>0T`8lc`7Fi=g(ByB{DM*0~ zv(QQ|#b(kea?kUSPDlC>D^KT?=o7hCgW)7Hv2nZi3jNt2OVK?c_O1EE-^lO_RYFd} z=4Ug5@V9W||3oEuhwjlyvLVivo&Jp?9W}zXm6=UPs!k%UELJ0~()Mx=rX1n_lRv3h zYD>fW2n?3kmPizvrON3e)_-!rcAt?4{xp$}I|9}lzo^j)x8!@0lDoZft%N4|Eiyaq zYh+O6iV)8gO_?Frjz!wv)OO)gDJQ)SRu>JY($4>2MnKsVeI(kOirTm&hH@Occ|r_l z-?scSq4td96_g|OPW_~9pKTytbmX{z)w2Do{<`SQMT_5y_7E4i0Ig&I@)UEvOx6}6vn@Nsng?9% zi*J(cJ)hlsE@KWhWPeOF2mvT7)Z<|YJw04BDL^JTo|lp5vvx?0S9n>ma0Wt}IQB@5 zGYtL#fRjj8)|bj7YT)S1-4MQ2!tw58gj6X)*)GROsZK2=0NI^EqE9T4cDN&`aL#t0 zk8#WC!l7kE*C69S|7Y0ySKR$#4!tQizHVgR;SMVb##nRMAcE64M3B|DS9ZQf;h7Bk_B!&q>c!Q5N z+_b1?ghjo`AeKX@lmbPmEF|9@Pdg{EV(+MFise|% ziUF6`d&#O~_`75Cye|~#|8REYhMZ6$rEB23Sb2z)4PK<(Z#1mO)LV*BVxUbk#n^}w zu=~0$f9qj;3KR*OmZ6e))tq3)VKG!1grr9>QJ|0@;&lLjd$&;ADk&!j<7$pca%XLo-fK!7!-EpvmjgGBJ_!7!{$ z7V906x@-j}71tQLK@dzvo#g{zC|5G~ZEvhr%7i3vUm;BEGrK238;|1Dl;4{vMM%G* z^j61W#HC?cm7v~?_AH*iti^~UZUOlofxq)?tgd^)L~~ZC7RjZvU|%bfkq4Lp3I`c( zTk{8nlaRry{E^o)ay~|fq$%}>)8NsqnA-iX9DFO2QXgS^zoNPOeQCKCcG&NE=S+z*$v$Cbj1%y`acl;KzYa80>?xa zOMb6iZ)P(bg+l$HuL{}@HB2GKAOC-WucSO_>`eBFB7qV z>d&N?*}qb0hP$}-#Z+lh0-|X~K4$u;!vi@hg>!ak=UBe2aZfe`m#aKs=5X5&tK*{+Uzm#a&N%oc zhaSD>`4|7aaE_fv@6&l_ZXMZ}!yF+TqDi6~(0In~Vq{64qVC{;7Mj^s90sdGn<*-6 z2r23jx-a|Z=;>N@oQ}G_0E8E=u&i^K=07m4c~nz25&r&QU#kd?nS?7ogE?~W4xB_i zFTRpj@&IT9Cb~YSAoYN>x|iXW1YCIAX2|41?0YcE205aH*=xuB&wq8wwb{F~9^-5o z-SSRf$Wlldt(zZmj&AqCEVl4n?gH*bRv zZjQ&D7Dqd4Z(>|d7hd$oQGIkPvv%vhR-asG62uzKgxIgIo0+eC#xKuf#e?GoQYVcF zNS9euSkT#mAj5vE3zqC@(xxjO6!ivsO>`jpE9NFBOGa&LPR`lw zGrpGi@d6vZ#lAYfXSPtEV7867%;GZH5yw{%9Y>>PwdYyzd;U=2ReNtha&zfj^HS)W z6^%C(?kI4{E*q_LinQwxf^RgzeqXo-3-fe+)E?FlyF$ zZZ9E7&L4Hf!xTD?Rc3V1VByYta8iIJmE+BG3HH_0)>22~^+1)RNfjvPBHSQxbApcJ zCd4ZMsFt8}sGuOaYmPqUq(P2H3k@pkL(FuelyHkhow2PQTowMH!wf%W2pfX&q3z6Q z;M};Z_lQ`63*;9qkTdY!s$)|P8ha?nyx_RqG2X}lD!Hc>LewCStu^kyN@g5J?qrAV zx{tb7!br9?>4yt=DGNBv-+0eIr*im=5w~8^fE)R#+pT^Rf%4!f?XAaw-b-(zyBM(m z`V)&T?2H6JrNNq-#Wxt-T$3hh5>gOCea!KykWEVX;(C||GcsMLv=ff)DPjA}rl9rnpszC}ACVzKE*e?B|j zAthJA7g78Q@kLT>Mv_lL@5B}YAiTM_OV1cqxdlqh)tS>5SGX(Js; zRYs0HnoLb4Ri}g#WUUtsVs1hB@8ipxINY2Z+4rgDG(J+}28F0$vALI6_1tRd=N7DT zD~3OubR-yjWL!u^`nnO~)9*|qS7t+IJF#37Ug`6lb|SThdsGMBRFI@xgIH6aBMMk% zWhvQ2E!Igw08&|L;-I$u54p*xY$wmjcaYf7ytjm_X!_Y^I>%#<5R}6;Pi0=l@x0z- z3=OWc{zr9%bZf)zg!7q_Uk0l3vk-U(KZvQiz%`ns)^yOK6;lL8}G$YxYI+nVgF-IVtzJh#>_=hw`CfK)gZzKzDZK>xu%?g*rgBAmoQ7 zIT|@pPpJ(<9GF98N>BG3ZE3!TSQ7ie$TJj-k63nIctfh34dYYprB+nbtSd^9Q%G*% z9#}zC)9(UuWF8l8^A(K47G}NCginn?6*(Ubi`j=Fqr4|uK3Eu%My#dU7#tsbe52?o zCavjvOrolua)4p^L^(8&FVO&*^{l!@QE**^Mr|hB%==O|-1jZY=(ig#fV=-du(!AP zQ&c8;40DUc6bX~?2J_MuL^vc4=z$-jFbE=+Q9)pFSrC!-z9kS7c7n+;fJ^7np4(J< zNRmc}fUz$&h%IybOMqT^90%fO)vt|qVUXNNu)3>xZ%p0=Y>6BQaC6~Mu9s1XdRPY$ zb3}0xp4Mx%$@usGYI6LG1;;D(>W6PkLeu(%4YcTq7TrM-kV_ZwIJlAE*vmJvPC+2T z%kxAfJLhbXYd?^Hgc-}Bxs& z+@V!kTkn>YouB_^?S~^!of@;%BX<1f(tr8;v+cWbCd$q`vJhKBTTBxa9P#2-dbvxB z&h#+&Cc5-@67h%1y>`C^@A;65h5$5@J!^Yr;!DVHePKO<;GA0lXu%@6QWJqf9-dRC z9v&X!X8Es}r7jk=Hgrf?gZjmS_CaFEorE9w4elC928NFvf`KIv&e55PcI(7vmlSnR zNk5UUm6BEO#(HkoZu?{n7VV$=ufpDss!Tdn9N1<0p8YQNzn27~!a5C9YSEju-49zQH)4m( zMhG93f}gNF)hrAUld8gX=rJcpKjt2|E1qRQ=baMc;mGhz%u1mK7Zg&02(gsr;djkO zlbKf?kbYK_|Les@%gm$8q{fA@M|}Ws!&6Ese&N^vLRB47R0Mz17vz#JTO_j*pMZ$8 zE;kQz#|Dt!`=dNk4eazdwS=#Z*57^rJ0eO6dwh~954m<+8TOanomY)xp;9c+cM_Z3O!WWh@KWIkQnI}pY zJG&CExLiCVb&NFsIrNfp9x(H~p#uN+aJ#F*US2g0RvsISDm8 zfcJ~Om;uuFEH5U=%7A|x^j{d}Z&Z*Bp(y3LJYu@wGnr9jXgoUu~ab{

    `PN8iE zm~G5et?s))`8XV#eBrUg%M$psPNJ;dJr+Y3OZhwP3Kf=SPjReMEznK_5fv%Lgjbf% z^osK5X*`A~2Wt`0vGveS!8@p{^oBFV`zIpqKW6guuj~$2?i$5^Hb`kEzTpD1%GX64F^Fr zz4Kx6#Vw}~2xHXHlDs*>(B*?GBDt63I?s2mA3!Z>o}gC>`7hpIn)_}kbotY_b_Tzy z0`7sP$Mu>2$QtjjNA4f?+mG6$8PRvv2DMc;^jNC;)xGTrpx2k?XNW_D<|X4luIaTp zxBMVCZM8qACKPS-C+VM`?)OX5SpS7C(r>{snA_VzYQL1s;VC=4;u)WWxE&qu6$kvX z@z2Inl*nuiDez5;9@vqVa3N2rDb`{nwjNZNQ}G$O?Q|v|TIV@6<*%d^eU2AR%2ApY zI;1jDpOKeZxBJG=n4w77_b3z`LX+sg9FC{r8?=o*`D16z=S z;XL+${)T#Ol@8myLoq3f!fFDxI{V}ZTZJg#2_zfQ$1I-y{hX8-8p5#9f?OA;`Uhe?(xW%9ls*>_7)go*DLOY5iu6V|mPN?m>zk~H}J*|0U+75i4{dQ_4BeiblpObkg;}1%&6W@ja z9St;;?dOr{dOEWvWNG4vXM~{n?2NwK#Ri0ytfQ1UCpwXF^FLE9%)uA)B02WcsVdBg zpRF147rcGY4;}fwh53;MvfQ9RBIEpSz5x@V2+tXh=GX`3(vM)@GVRVXp@PWogsEYN z_T;@6N2A?{zty;^iPX)2_&PnPIhLGk5W?${FMhxs0qUZ!&bP1Z*Bh5$J0dD4+|Qa@ zsGN>pv~JOVKIfQ!(3B$uKD=1+S|Q~8yXJVk6XS%+q5Qo1_OD;gWbT;MGY^~RFhj+q z@ivxSGybLI`{sYBEqL3*7;V*`z5HE=0-^M*ltFR4nw(|O7c->N{_u~vZzv+@`I|9q zT;A!-=!pQ=Oi}9gA*gLEoKXE9`$M@kZSpk)U9lEE8DOekwciF2wOqejC#WouZ_Zi7 z$%z3S`;SyAXiG>B6O2EDyKmVOZ|X6bBR zp7tRe6~k6mo&p%HLrCOl=7aR+wdRY&5c0V55;%KuY;}I__ZGkLjn<1T4KY8rk+o&v z?|ifIgSIWo%bpBZhPD4x@h^Q4J=);4niGQhpv>8ov=TPN*dO*jl*`sWtKeE(+HC^fbbwCHuJ99aTbf8mDd8G546P*TC3);1&h42AK)Dl%0)j7 z?W&iM0*e;YC76ObK9iLSn=DpCFy<<%Wgrb3K$PTsCx_aXomh=fsD{Y7BS$V>8IiPM zhZpOjMXQt&d-~HnWI|Y>B8MC3RiOWauj#-xPg6?ok>hI}610;-!hHhMRqD&c`R-q^L;L+ri(etFfu|1nocEM60X zXV|hf4Cc{ZnV_f0@87O@jOaDQJk8-LSKEcB@l4L64F$!pG;X$JtWgG6f9+=3O2+g^>rR3O3?I(k&+B zlQKe;nwb=kh@78}WOBhNZ3``xbaIr9kcmF8P4}FRJ}=s7YK0twDx6}K5ih-B-{el8 z$my6vpM+19(04nhFTjVEh zOs_$StYD050TMWNOLL0(7zjH};J{nJyUm>#8D)%Q=PxERU0D}D-F46n2T9HOFJrlfl`UrR58+ATolKu>&y@N&Yuk;QK?60Ha46;va z@3ej)ACxO7O@WiQElD9KxSI8sQU7{MHTQWSf9r_&0SQW)OutqrR|;E6yg81>NfHBX zgZPv9{~#HztNadAqF1=&#z+KVR`ttB*p|SziWz*HmfI`|QEkxi<=Rz~>W11Al!K!x zqkyTw(bq{b^qWM$mE*S09>U|H~hJ;J8+6d;Bx z*4W-e==N{mwq+FFA++E*&G%S|M(`tc`(w42QbJ%YP-YhQT)5TXIM@+r5EzAbEsX*D zgdv`S6ub^Au|>y3|0k+V+$F>?NIi;K3X_H)j=@xE@Z%i@{fvGw z=|Ck(a1jgh#X}`QU(^i~HKDHXGNc?MROXdIw>YwpiLXbaq4IO8|9<_Q902^X{>6(1SZRe&E*+^I=I8U79* z(BQ6L@0PYfkC60wliP}7FfVPZzoRRNR#Kevm92o>@=k1wjAn$5d-|6|HyFwyR88!i zs5|-t=`~;Q^F)=mu&`^qqHJ#KW*`!;3)mhCsI=8#M&N9@07HgX!IjtX`HzzBAI$_)hAO!G zX%=B5WwG*$3LD02GX|{%Kpic53_*7-WcSzX_Z`Nzr$XzF7F3Tg-7+^8*Q(MHyq?YW zKL2`j=od_Pe}pW*OP9HKLwMY?4OyB`hpW)us-6`=f=BB}gJ_(PwClz8_2(g!o|IvNkACVeL114xqosdi z5A1Zk9yCIj@~9(v1V?=7$r^l=>l?v>*emDook8B>U7k0GWMaSMF?tYs+ehb~e;gH= zYQ7P(eiv=?t!{iCb#D7SXX}f=1Z}?)F#6dr3hPYpN>Ui0-%ok1+6Hs0zsE{ffO;>%r?qv`5xJrw&w5Yhu#16ADNbGjQH7#R0@7mqd~wyE zdl|3LmZ*~#vvCq40|ezdp2Bf*mXj^^K!aLeRHcUAEL3)%<%P*0ux!%)%Mf|Ev(%eNq^Ek!;$7Y&X;(}&e<5# zm?dKhVv!Hhzqf;yJPMUVj_C+iI8joR#|Hfes+`{Fw{$et6Ku&HjNQS9?T%ei1pBU! zDT(Y$jBhl~UPA6ZYgvC!fpo|~dB$x9P>vt9<1@{wX9g84#}dI5!A6g+w3D4+n6S@l z;jG+M4uJ2_@APr5rm5TrPyNY2GMVS|m69|A^ax$$_uzg%+}7xSe)IQ6MTx8qWrL(H zsQ5_HXiBk_pU?vOa>mD`2r-mBF)6e{poV^(5oYnxx{2$!V22?AEC#>DF+f%gfBN^p-GNp|B;Gp*~`28}O*Hmy;V4__%rUua) zTgJDGHGcWXAkuv}86cYx03zxBmpTKC$=&kb1P2)iBLY+W@lw-aMJTv-4{>-9;;=aTO!&eVUt=;i-Bmwm7H{@+yoXYZsPGSq(kx*Z?$46={v zeD0PFJyFLD^#4R|H%f<8s6%Rp!?b?ei=0>mO&rRfq~eleO=QRTF4RgZF-5qm#^f^I zWtEZx!RQ1Xb&wsshc})2>xH~(aqES6^~WS^{T65QW+1-KLeFwJ?%`Ji9oL(_9^pKu zO1%l3db|Q2FesW$F#@T{u+&EJcwSqwtEe#ppwIas>V3-~Y z0B`Td`PMU_E0bipQ%7L!@$9e^Z}duk`tW4`I{u0P(XR){SR^)H-Ro+7x3+gB zzBNzGwm(RW1iyf(X?D-HP~XmcUEeRA{x{n%R~FCGMyZ}2^cZUI+QoV!i;KiW-@mKVnE}A@N z?i@bv5E>pvIk!WMWz8|>!LRyquy3pyITgupJpYqK8l*!AC2_~t#FiT zeV21;Z{k?>rEH)5<-K&px??RjGVz-Z3IJuML4aAxh!gvn$8_Y)#iDs20q|2kp?{Qev>U^?&a6_&Fv!3O zHp^%*k{A>iRS|L6sED0fRNh? zP_crrP;ghpNfau1Q9^r^P$E9U2|e3mXlb4Z_vV2VruijiUeacb)%1pa198N~th<^~Q>Xpy5LpB_6IsqldXVxGLQ;eKV`woGorp z{dl`sFQe~CtnHu`g&U7~kGHY+oR4D6WoyybVnwN@yS!a0TG~4E-e2T@6!!!L`RlI- zyR3Z*nkv{R8}&Y7_BO#YV!7lNgRSF|2gKC~Fam*W5+UKjl{#-|y9}NR@~Br1GO-e# zFgng-if&0;D_2=zxLhhgZPG;9RYVCl+M22oEi3s1>=YXdkn=?1`kP++GV9dr`5ata zq{CPAR`{uj$hq3@uZK_7--v(~)F#XbE%?>)HG#uXh5-V@`+K{e@{3yaFVAjn7h&q2C_ z5#@(1^v`H4GeQVe`JM1Nu?YA^~AP@URcg40e2$5PR!d{94j~J_CT;$wm z3DU+wOg%A)D5H-hA+A`*F!If$ay%L!(yujF^k@OQM)8rF!uV(m&3buDW;-GxktLn& zjuLdzn6O+(uNPNGt*gSK*9mJ0xxBoL7hIBjp+$k|>=z{$F(0@s7&85;k;Ex`*(qUl z!0Cx+7%QoCv*pytgLTMw&|0dS6Mq}OmZW!c+>-^tXR#}MJZ8@+n!|rXuE+l_D)MQK z+*s^pBlt8+p1%MHYp@ipk1)moJCv|Pw`X@sRFA;w7eZ%DP=^3A5$A;x0u&!Y+)r>| zBE}4T@w}4t#r|vjM@B3^=x;DJYt}~?3eCo6lxS( z*9dh}NBmI}S+BYM@PD&4d=8htb{Rhvjb2nU_AtNHwz$yCszMY3IQ!A;ZFuQ0D2~z# zN_~K%S+i2#P|@IrmYT49`OvSKhw8)UeAreC)d>!X_~Djh8?-PD-q9eDrhxfXw-$I( zjw2OuliGaqXwimW6$SBXsF`#i>KSUfL5+j{IV>TsGxtmRnvdn%@gzmV_oQt z7$&V1u~V^B#d2iXR@N)l0Itw)3VE=n<`jN2(4v!GVig86N4qE`WfMp5)R56UQOs5~$BtGQdYHta3}{hv zF+}Wd?1d?^6thPmvekN5IG6jV0^R`a^ZJMFylXvAD`hlUSRw8+eZeHKC9|}F|eje2GfYR zQdk?FOTwu*NCPh9o*lkR7;hXmw!(_sF_z27YSs-zpXXdXI4uZ};k8*nZ%r%KFjP>p z`@O%AX?dHB+pKbj4QabzPJqQR1TxKT0nuJuIYyk*X#b+9HSd9_mrreX{d8fQqS?{G zGn{2jhG=>9B-$6b5)cs01aN1Er7ollwx#r&UhHqi`ab}NKzP4DVdSlCb`^;}4ZCWG z@y$!L!}!&}_!oWM$G>dPo1JYOyGWDqrth9NOD^eIDvU+zpLX8tj^$4T%VRWoD?o2E z;&y=E{`SB0!WP?>Xb0%+OLR_Qi|_jnzxE4nd}g4=N&!&wO=~$&)GtEzrj#z8RwQN$ zkrbu8)uFZ?xOw30)U*dVh;etSv<*c zM7FbSY7EFO=Mg>egzy#x+0Ir$M0x*z_TDz;mMkj|8w5r(32Wb@V^|yWusQQN zB4`2r5e>`7%@l-ZInVW;>>os94v;bD2ZY;A>@va@N02qP=b4|-IVhI5sK}~sJYu_m zEctovjwgv*ob~`Ww2}kQ28YGpkSkra?% zg93RzVqaje_3&j87Z(1hPz?16dOV-XLUUGPZb zM|8a@WWSk-c=lon&DXQHZVJtNM2jgj{}Ekd3cdYTp8NKfBITep;EtiQig3I#=2D2D zoLF-crff4k2TdU#kw`JAPX=JW$2G#Yq6?nKxJIaHU2v2cgzrTc9AyTfo-KmjKq8QX z_7&_pzA0T0l?){DxIhfTcVdworTgIf&?Rqy?n89%rPRNCd4ab}w5uf%LQ~48PchF2 z!s;-y3hZ2YKa#RjTQ8K|rY-qJC*(@ILZH&FvLEUUwKV9Tm?i`vXQf@G*e00+vLDBj zV+&A^IAP@PD`38;&vblWouWly9FO$=Rs9sDB0Ww=Z;xwdwwHwNzh2?m`dt+6!t;^w z^z%DgQPCUHT{qukxVT^Xvak7#Pm8kL;T->Hj|A~<9h5!R`aoh2j>+y$;H;u^%bz@) z#+;ECH>3z|Pw>GZctqW8Bbamir6_P{M0s`Eh+xYyT|dwxp~~?q6&Dloh?F!_i>u-z z&Tgh~0Cxzk2JcA(NZPB>*n?m9e$AE-kld{WYnN|Oo#Q_v(4%8&L?I+6y=r%-Q3<;# zd|cSsiD!(Bs(RL4*!C|~F-l(5kCuvA`^2cOi_hFv&4xA~+R{ND?whU(d3KxUaG|L} zGb)dqD4b1;d4+0c17z&C2FVOCi0UVOSSzcnz)IQed(B2PRAZ6|cWF)d=}5lvdfjV# zA(J$ViwocB-O}u7L9YO6VbuZxTDzU};)(@;QsA_rSI0AvpbY%uH-l`7p5uG5;{;ys||7U$u+Ro=2xl9qbM z02eV1SK_Tj&`VXO#M7mUU~J!554$mRFamP73mJK9si*dt)rn77!Wn>?(1J#G;*B129f*x8LpTF|euSs5KfN5eFflVdp z@0rlbOO?(DCCHrO<7-l8yD$GeAD(30FTKJ~1DP=DXHWE4hjfGp1~OqeWyXHz8kjq< z_Y6Hh8w2Sm>gO`zdba^O1%Zsi{-{BR5X>nv0GGhL1;HWed+KK&@x1RC8`A_=%j2I@ zX6OADOuiQcbIJ^1IU=0ky#eW@L5w&@K@a)d9T;+Pl9b#a@=#y4MDU8_?!d0=kOVlW zCrSP6l4k&D^j2;U!oQnuWzD+ZbtJvu>}Xq5%|1($i{36dxK>LSJ>O7ML})^kb0MaX zy}g2H9;JCs%-4kYWMIZMWTTr5aB!f0W4nTxK{f8ex_^s}&mSzT`!C#l@a5NDe&yzQ zQFSojp$Fd-EEV=nT6B3zn7(}Tp_NB{>b|PCt;>J&5Bz%{_Y2WHwL|QG{ha&Sx`#m4 zc7u!%qWA}7ew2p6zJlhZE_XrX1*lNL0Jqso_2?@-F16u$C?GH%ZuP?t@(ovZPnXzq%L;z6gZL!$DwJ)1UzXN zoPZ;#g5W)%3a!8h;zAcxxDVJXS9MZFf(kg72x3!JSNw_)sDj9{pXSH;RzMrzXsRT{ z1nxzwyEGg_EG1O@05=P$nCo#TwlpVRqtqHpQR@2MoY&~B13l+GB09KF;t{1#vgswcex6eSbe#e)h#N#bc{8I5Sf zfg`7UU`0l(Ah=!rGZZYxke)? z`9zllql9|GXz)^B_-tSFV+wcTD!Qk#@d(ml2JQsrnnW!QTP%d;5v0|;BKx`^KM*mo z7)-2`8h4@r4y^kV(xB~_X+5tFWckvC&t=%mo)+}#bjJDdJkLV+-$sOjYc5p&O2FFEoM(+Y*{<0il8gTge*5}7QOQw$%d4*2 zo^443^3_V_X9QTx8WuC|CoJK5_W6tdV+wb2arHmw)g>*s6Zw&ciN4@Y@FNWy9k7x+ zz@6;qe*#KkxD($6l!W~6urJp9w!fR*g#~Exq2RQuLnT7hTB0Z~d~I}bx;K(6DXi!P zw~=Ao3xB$W9p4oE>F@aM-}IMe@TZrOE?`a?6`eHneIqNS%|R=<*80hax_>NA#(ocb zrWVXD0p06K-3~!8sn=G7wvTovBlyz? zFzd;FV*RSREy$&zBE6nkLLENjX}v|II_xK8{2KGUbqV%pbSamEPC`Ab0HRHn;=*7zrZ@VU51|u5ru@rBE zwFTuGpjoxDV?x;vdZ4sw1cRX%+xkeZU>N-9ZoYEnrWUJI4f%UR*A zQS}6a0NlFjfULuMI{3<*)nn^moUd4y@JUy@d+$Vw>#AC9sUHlLthdL@BOYKE8vS}5 zYRi1$1-<0F=D(nCJ?Ay=5iL4&{v)~usrkd-`_>o#z*`H?n?4LjcZ8ByqgN=r%rojK z6*g#J8M?y-58aN)33e=HGgg8&LXZw_5kzMZNsdAAsDyAKNC(#iM{6_qMsz{;D#3_o z1cG#uf;M7AI=C))-kkt_gp&ymQXIm3j?56aUfdWC}WQ(cA z!7Y;OHQSo-{&c7I{z%TtB~O5K3I+x4EgH7cX-;F(eR0VSu>_snk`DQLG-xH7ru8@U^&IuCLAzneiXb`c22LN zm%s9uzonRw+v9e^v(E_MTWLj#Jp>|331+hklZmLZ(u%Yy!W^zGprzoyyv3+Uc*Liy zv6;Q{CLYmZRNReG@jc)Dao_PHpHz$r4P-fEng@GFgW*-wd@LBAqvoT*@G5FP77Wi( z^U+{1I$@@|4nP4Qk4m z$49zePb!<7M?`@aI%*Bg5DSVB6j)&HcslPiqp;ugI72eXDB)I+WgW{XtVm5PCJ!3- z^s+eWx7zH5_3peS>bc2$q@}w;xCXT|OwRz~)Mkb%)C|xyNXtmMjj|6vD!Yh0j2mA- zq!{ss>3>b)b{5dhj0JQvg>Tj~y18s2-N4#{WyQzu)GUv69^IK4tapPwL?vvyeZg)NO)9&N(8hqE=+_6c3s$ZFMkV; zmyG||NiNncL&5LqQ!x+Nu81RX3fC07RQBZ0LrsiclHkF*etEJPz#=dHLA zUub~nJSkKTiAH|tKl<=@eKb-`iqH4(n4$IO7eAdhU@g?*r!CBqx~7TdfRAXF>~NJ7 zEm;@r&fP{Vp$_pj4b<3Ih|JNFErMvvCx#Fp-#tOTw_NbJ-fMUa0V+YJ=5i{&SzHi3 ze_P{th!uIn)$EtKVkab_jSw$-3%P7}u&No2d1;|hCP zwnV5h&iP42Q79jX+DQ3>v9K3Q>i5MX$m2m=r$~chyFb>3~PrKrE zx{^j1i$8MWJJ-*x(N+PuyhJR9OdvvL*T=1Fp@&)@k`lTZ4SY3qWlznLVXjY5)Wo<@WDv%z!8!57v8CNUOBIjDl3jCERhX#K{&DsVvUpu%!;c17v;oNibaD& zm3-DM& z+UKI$u!nnDGBmi8OKtO;lf`3EZ8z_GzNtTX{a8G1e&Zd#=a0U_rH5qxX8;3#b+RhtWr0wefdD}wtCXtNkGJa4SL)V_kArX%ZumLs4b zJLc6;i;VLaM_Od850R1B^j$y46C-n+F;s*Vd)Nb7!O&uVLd1eem)blaarRvj%@aZAhG!1k zikUO<%th-KNf>WZ(0=pYtSl1xi@bvPkz!P!CaB3PITiIdjws$-?FN*)mBsTY#K zH+^=*ER?ebK@=dXXrHCo(<)vauy$cY9&kl_8MBg1%NUXlm#8Hp=aW z*`<#|j5&XGdZDndiTqdA0FpTm`vC|2%2(%2e{~Kq(%V7Ttj-ImBp+$U>KsWWwHTbG z&Ea)z4%^I-W_pr=+9SztY3z{-x+;|;wD)1rg4G`Bl{}&3Ou{0U97@=*ntw^hg9pCy z03>^pQc1F(j+fL`Iv%X}6=(}I_f@n=_T%_EGlpc5)-PFj2z$9NBzEz(Dke1|Q~TVe z>H%&pDD@+J^gyel+(PH~DSxyijB@_rGR$cy7?yIdpvm_P&QJ!B0W4z!Vz^Ox3f~k3 z!{4<1(7*crNEoSBZ|&?(lQBq!gD9jmXev8Se(&Y^ecUakjHZD~OVX0>gzAx?*QX29edn0X?(gE5(J&@7wB%^MmJ7oakO z_Kq06JP4MK{IPV7Y(EVIwU>ilZ4^txQb}p{Soqqw)6y<7)Aj*=ll5?=SQoUhDTESp z6>g3KBWYj&m(}nSq3yGm%tT^s$=cja*5=QC>Yx5ye>g&0!)Rmlc4Q2Jv=(SmB3jDO zq?WaDSn}xvzCKluq!Ob#3qh*fBDmgaeCc%BNG(qmq{?-{!I zVWbnIgzQ~#tP!JlGA?+z4`YR>MbVU%Fr9|@G$ev=L!JSXQ1ok$jPEK%>I#*AsSFX# zvR=!!HxxaH5K?TZ_&`jnCsKhV^1OzO@QE9sgilamBkaRt^9wk?xc5+&bMuKjYmM8pBpYDQB@EK|9n9ry^_Yo`V2V|F_vK1FhWwDNbq zqQ_gLH`O8?4spdQh%H$zL!qsn$nYY?ym^sg;-N*_HeR$@Cl=`v=t_YWsfLR{4KTdG z04JMw33Tyi7J;%m4RkRwwTG9yBa`kCGlrL}|Z0E#qfGBed! zPNzvjUN)zfsMZqI0u1_}e%` zkx;B-Z#gr1A1_JmS$}}t*voh3r*p*3v`E<*Rd&sJ=Eno<*jj+yht0{_U&LJ(yV0-6 zD3lqebIhDePTFHMV&-xOxl!MS;y4D{VT-XP+c_y7CRlv$sYVg*nPg=eq|eLtVC|zE zUvl9(T0i$_-h#(IJS6A)VB~8fYYR(&IL={*2x~ytaeYKvQvM4|04<`k? z@q~{wLH_P{NwmWS*JrJSI`0VNrhW@SiQAdr5!w}D5jq@j02@Jh{rHHmVx$NQ%?G4Y zV;)iBb|%=Ju^}U(Y)P|pF||ZGd_++C=+v;4R9lCj1Uh^~^l`-0xIH^W7n^fbSaKOs z+GMdE3EEn4WvW?bUZb-mR;YS9M>H(xY&{;wE3UE)39JE)e zGc#L7r_Pu^IsRIE#qAXT3wuTElN3e~(xMbb=@I%0zh4|cY99RX0%CvHtVGh^A?8Q5 z7K+{T{IW`ty}|vkH&`5>A@eXt@LtKs%g;L<&;2-FK=HAwx2MD|$kB98h@C2WF_Jp8 zMLwb5XbLP0!cVB<*Strxqz>;Ub@;ts@V|b_k4_R5fkGEC17YnqIEp6|w6~@0p?5NZ zk1B|?1C&S32&RM!aLnBaNt|KU{+v2QvgdM+Q8b*UTM*|Q$uY{S%LT_0ee#Yn!N<+> zQIiOS-8K~df=@2+%)AanMRq1_>SVzG2NZrHY0~=WMfX*CRrJl;aqR$(ImuMyl#9nn zrXt6B9e4X)DqHO{OTwK;Q-Bf>H_7o7U|PEqNw~ClSC5;7lgCAvxoy}AdXh{ZC&apnxErHI0#O&}QchOU94I*;P=_l-9fZ3YPV*d) zQWd#ihq5%w(X~+RcfX6atP8@X(#pI8YV_J<9&K3{L}=-9LM`X$PCJYw0Xl@hdigZ0 zw=yI;c!8Fim%Y~Zq4~0FCT~>ZY2FXWEe*WIbyqn$Ye`Dh?SXt+emcgT%GvRtBuwRF z&I(h}j)7t2A*W=M%QvYDhwLL#rGM)P*2Rn(g?n+TNUlA>KJ+cKg5=dwn5NfcqX}fO zHC9D>D1n=VhiL;jEnBz5iPD63&DMRFG(`_cM07|ThL(i0vNXoP{9BL~*1MG&83|$8 zvJOqMa25&7$Mt9pj9<|2ufA~e!HPd4*$TRetVl6Ss>Rf|G?KBPoB3FQMin!qK~%kd zS+pN|?-%{#F9c_i#-duT?bB|@dUFJvc3Yvg%aN%R2S9RYZ#={UE#^}gAO)NN-)#wt zQxpJ@+>wUxIfMliy|&ptp4UA*eGXxvy*0YP6Hkw-IOb^b#pDncz$Ua0&}4g$tEmJh zwWR2~b;;*=_9Xvx)tEnvSd!>K4O!d_Q}~I515M&U*~(+;JPqDAv?+rT&C$&+2kW!< zC3+()>#F^t^sY)uN{O-~dC@kAgp#bd?|Ow{Tse{5fZ70`Q6yVfXjWBo_p~tANje^U zN|}k6g=tl?MN#AFe0#~3ik^w#mTQ!{Cjbi9b!iNra2tEwpwDs=)> z;xq_#M_!d_5Gr_LP(6cK{{aqcTZ7Fvn4cqjN9D5t2=&;qzhnpZgyzM9X*mqI%$0)+ zM_9d4xTUo}sQCz=8en-Jkr>{&YlAh-F~;h^MTWhzF$hSXoCPs zBr3*y>Y=9N z0ZOUt67g@7voHH$2%y11)tU>my;p%q#B7P-G_(+Q1sZBD-Kt)D`IVdJMVTpvwN$|@ z_2UuPk7)hn;D3bvAjuxC=E-GE3)E{$E>i_ezf9!YOpVYBq z-J`RPHH`?De4u-DPEL<@kFI>fv0l$v#}=ZLAx5^WV|v)&3Na#GC>QMD{0OfpSThm8uP;XIgd({;Fo%v73hRy0GsTeNM-|B(*&BG>18w*u zPFW;3$ert$oiv$=&bPBN&Zw&luo_iuRcL7+7_1v#fw#y$GiWzH8{{t%r(8uH#b$eD zutG$TWewuZI?7Bh;1TknzeE22&}Te0Ma=zO;(wXTXROHX> zlAuU#0Kn(d0`y_8a6$WuV=`LglIK195b?PJ```YQ1W8aN!I%n3ddDYW6OQMKG1H1h zo1s17kL<*V3ea}_F<|6lmNr8;%U-)kNgBK4`FuiWW;Yf|{A7A($Q9B(>zc)qm5j{#+y~ z3s#6*ealwA(6`!W6RZEGTKyM^Ty?M;U;U^7y`$AXQano30lB6b5nJucDYdH`Tbf}nJ2VFZ zm@2McnM@;eC;M^2`#ot;pHX@y+$)fPDY<(hMmb50=#`^a+9bg1H~_@2J7c zE}9c@zcl#79+qE;6=HXHj9nmT_Sq$PutR61jB;Vw2qyPPB)?C)Riv@h7#1vpBQiC2 zRWw8qbkk}|t_;`*N9fQ3rtlnDsi&O4TzW>$l4}|>TxeX2KM+rau#^7N8+|H@R9Q+y zOTd+W!k_q}@A<*gR2FWu@-t`QM(Z^Bit)hOXq{%x!i|<+@;%@qMsp(gDr&0PS1{H1 zhH$~rGR>TYJB?LoQvdmg=pJEE+w}lFDt^-;38q!`C^pBY$>XF|lMQ4V6{$kgzmks! zDl@}oro=?sArY}b3W->ZAX`4+(BDS%;x3Qvm!QBdki^|ua!7U0X%sG2Mu5`Eg%a!) zk>D3!nK^e{M8bqyRWu{u&!lxH5^gZ6>n7i@!YZq2qB3+8=JJ3*mL(;(fJH-XUL=U+sZ?vYU2@#X*L>wY>?a!TLkp*ACP16S!8fuT91rJFqk@uJ&5^5_$ zstUb8Zz^u3UpGo>|`WbCI zfidHu3YmXAqen4b#8^qiFK$b?5yO4izB?jRJ%v>KqI25^I(gc;IrK_lC^NLlRBbO>f*|>@&$=!jU#PT=h=pkP3{a8}EX`Sxobut5sIzs0!v1EI(o$!8`*pUOusGa9 z!4QAg0ezNanWrNFQT0_Gusnqb-rK^h${h=>okKVY4p-hxc+#{#VqeHDVLhD<7!JzS)Zc?@?QXif*=i(4oJL=*3+g+fPhn?Yh+8J`ITpTe^z| zO|80~vI^UIk7&`Lchkz`fBScS@YjFj?F9p@9$xFVk9y;P2a_c*=-;7aF{x{*oTwmy&Q-GJAx&ojNaE*jMd9gJ z)=3Ol+}69rM|~Kt9dyCR&2#w7DW)E0T;k`LWHyGr3EyaLk+<14uRz-lYBG)bnFyaLr4m%Q+?^oYzQhvTywRF^q6w6$wzPiuMA z9RdB|m>^TzsUezXPm6hV?si6czS;F+P?Ezbv#%K_g7J3PDE6gVdTBYYx-|g&a_u{& zlIle&@PsnvraQqH<(OnjZzNL`YDKadWt!U_1bGv)&tJ}L>XH{y-j+or$lUyCEaw|v z&Nuz#JcP^D@#bJ#eCDC$jFBxJ^!&~I;4`z-yY;b|;C_>VO1meb7Vs0;r1b2iIQuom_J8uzf)1MK2%mR<1X0TCRzwg)>voV)yiGV29O zS8l%VR#CD7zAf<02!AmURbKf;a6#!Vja*t*cdnF@O7k6*){in0k(9lxx`wd*vM(iR zIbVbIQCy;zK~rnZ48V_ufapiODTN z#-JLl#*xUIz~C<35&WzwhyYR}C_2VbIa{Du6to1Jwh@%yvk9U+iQRPZ^4YI@Q`7qK zb1Z0+^FiM770!poj|-w65h*_zu@733*Ro@Gx*d!3=tQioOwPuDg-FxKN3;qpkd$;= zGgCrPVqq=`vs~HrPUySW10!XK(Fyfdk|sPMRj2J{(wL&X>@=<35z)fk$v6O$WPUnF zP}vIrY_czMfP7%`wvVTV7^yKw;}{lV^B@%!>yO+sr2X#EvyHi4_AQ%j+@@LO!~n8w z5T6Li@zb6$=bp(_=1mSh)saS>((E{$Gwl>pZpe_+;UFES$BP&%IAmRW#YeBu;G~+{ zCNZ?#a>dU=bcvbmt~*A5HPuy8>T?8ERt2nOl9UcE96 z3l}`CQCmYZ044AM4ar-elxowka6woB1QiX})zh$W!6-onu|PfIBPh65v$fjIzYoZ|c=0U@gTr$GHxo@lRB zr}ji)tkjh+$goweyIM2hNy~n5T^~SOqS7awuk<*}=PR~lI-dLKc${ygeL=NXMFJEu zosOpo8pqSlj6F3bU3oqGjOhAO{fa%@%i>5w_>p?U*m_=6+tZur0By>|<_#oDrmn`JyrAqyiR| zSCA&Mr=3(>&&o#^aGjzH^&kK{tXEp(?^@&h6#*` zWw4EERb82Nn=HSin_6WEWm=^Lv{I-#pi*Ct%RAdtwLo`XRmKWexatK5-}$PZFJfyX zZ7jFPwebT$n8D|+>Q}IwVwa+w0x@F`5VS7ec6DRx5iF;a$2E^gUF?GA{hl33@uopDbk)W770!{m zI0V5lrh*u}nVP!T1v><#;WHy)ifUD@uZa;w7Z=Gbo6U*jws#{1iQ=QCTL8}e~lNaLFVKIU&}wy#-I^(t@82E z%!tay3Cn(HTIAy^FP!DARTMxH_WAfG3P4pH!>P|7id#s`BI8`8kVllWt>8sDKfTI% z-XmI+^W7-t@9chh^ZroI8j$xGu!ZMA(>jtfuF{udsbDe1OXAju2ZmC?Ik`NVxXsCB z;N{T7lRmm>$RH?C3Mjvr(FAW!E|2DSb8>k+y(_^|yX^7wZcZ+bq<3ej+@f|LU*Cob zzNYxmwQM;Nf*4zqHd6ti13F&&OYi7@6c=8!oEFMGyB8cc|&d~#)tdWlGz_V3h6-= zk+-vgxzGAdE=am>Xn)8($aTQ?eU?=~kaVeRZbi|rTQC=;ty(i;AE1!tE$Y;r$kKm5&> zjp^#Rb&KDJF22V7MeMo8jKLkRNShT=9TzP7asF*iTy9Ue&j9yl!1m%k(+&`nE#4K5 z9QNfnjvBs<44$JXz~?-pjR&M=JRE9pNY^|Si_t~nc z?sxmOYF_kT5bnHOokm^mf@qt9nn|cXK%@*AWnWory9n;qtmLBL7Ma(&K8w2C1xLx7 z`HpZwAb(*!Kt;2cs)CtgYU*+qG|~mKC;=edOif+xf~auo#5y?acg7PLBT|>U;9<8$ zzjK*-Z!oVA6kT2<*Q`d9%U)Zjl_W{`*(H~bY;VSnZ2p4wzpxY9bhnrR*-ns%PEwm~ zej1wb8k%KgX^b#C^V3)9`L-K}l);z+QF32T%{NdHJgt??xdoYsygCWT8D<^X(P z#0~Zp?vI2AToNow%>E0$9rj8+xunZo5+MoJ?z7F-)@UKqnEB~|>WTczG^X&J+A#&3 zq=5JPsc+rc3fR(^`T2C`t=e5k>Ko&ClFSdN16WVOGTj;`YCC)Ngi0Sil&7^fUf|Jw z?N;MQD85^LY4-e#3ezRRR>qMXl2EJhG`YsbHtp76Sg6kP_Jb%A=SXcdWZxQiJp?3Q z!W=D-P(Ivg-d2GOgE2UXUbd2KnruEMQ3> z*|XYiTa%^$6)*aN%AX31D4(Q!itNv-BWMyFJaBHEx*Y2H6P+=ji2iwuFGF`TAc?N= z2XZO`K@3F-yKTHQpa&_0=B_laSZO&{!6g;Jh1S$S%H=vpp_nFd!pzZJlM_Mcq{;~~ zc2gA=PQ+S-8zC-7D<>jWB7Ib^*Zj7W7tR0lLK5daqDAxHjpqNxPyPr0?!WoA!d$6l zm}`DB%HR(#0g%cQR(wRcJxc%t;iM%1@`6Av#k?pckL>lkVz|vAU%zPrAbpr7;#ykOlp57d-9`M+vwi zCt?WD5R@_wFX!KGKn)0Y90h`sL5OmGt+DhGk+3-kQ6Crr3@REUGFnmu(HdJaB1iCo zE1We187*-^@FyTtz^RR=FHbEIWhW;c>|X z`dfhTTB8ow7(qwsa5tuT$&!6D2&K&D)NV(-V0??mrCJJ)8Tel;2ZoXCR z^&Ox*usZXtmTa0!9+5>vwvo``y){~irzc^gA_-9`kp;h0Z{5uI)x9X^JF&7CC{4=&f~#y+MJo@z*5djnvkW) z8|*A(rcy!pC1J=Y=UiIg9J_r42eZ|pL$zUH_6 zUHtq@m~SUk0}kC<9>NC!I5}^uw(Y%FPR5`7r9;iY z)I<4*-tbR_)Yb3%%8`{Nw_v-|BAS)%b0b;~NP(~Xc~eG3(VLlg zpCOaYhaUPnBtP^Sk4-jwKhU)pVUK5o!HCF)0Cs_XzCLzkzmL#6m6p!BX#qSxA;9yz zM?|ImBpy++EZnZRu$cPB1rK=IV^{y=cmB=K`?FI>AB2rAS3Ff%5*9ECk_Fw{)M2-D zE}|M4N-_%Ip^;#RA+|LF;GvP=f};Q)8VN2q3gD@bK4Y+)jPw~tVUPLCPHuf2bhsssFk8hJ-l>a%Mh~Uu1FQ2yik#;?qD4W^&;MNo#r?U@`|3Y4 znOr(_C(ZB_Ue0hSvlri;$k@S%`myV|``L>}`zdO25LJV^r1g+1FJ7x?5Er<$8AMa)^Ih&r7RUg1JRIbF5Tu3taH=_P-J`L8_fd5NWX z@}oH=9dX9`xt(^YOKjuuVjD|>X-P0W|KiR2p6`bYmITwy%kPyePU*tAB$#fJ2OvFF zJ)c!O2O}(c%!J*3Z`Ad>f8X!@$aD*{jup|voylmLI%@g^np=QUh6QPw7C{y&(G)-d zx3xUAuVCBIG<89+wv$$eh3K6%QV&7KjzSQiEE)o+ahBXxE^TM*$OSvl^Ozu*ZecNm z;4F)QiaHqOTBCq?l>C`*N8Dq4i=&T0Ph|ESh<_P%9sDjm%ioKBJ-gSqgUxPy8)-> zDMWh-e`#n2&3w2DIU=Ybl&BrpM_OW*ti8~@M1i09?OU&K8v|-CYcGw^h(7EKX67oyJHI;{UM)7lmf<>=t>cei^F#?k>hHtAP2pAV)0vPeMXvQ`G*IiM)oR52joOTT?2%o*% zpaxrKN8{5<@)0$>Xx)JVXVu2aX~LG=vJc>>Yklqm`Ov7|W>*W(do4$nC>Qun}1c|IvA8>(RtQ5H&a5u}ko z?c#zUj8&C>L}5cKNYB*;twv%GG<1ikdp#m`zY88vOCbvQc<9i~EDKI*A<-l2N{yt- z2iGp`-_R?fLbi!cYd@ib;?Q=`ydP5ITRdjew>++LziD>MPDpo255?OSh6Or42eKXN zsp1>ev_&OfxngYtQ21gMU6*1o)A3vu$(3t~)UR!>-K{eD7TcOt?o)G#Dwo z4%mZRU3eV;y?dmFB8yvyoNKM2myqxpg>&%k7Pk-;bD#ga|Em}N?@-FT z4=!&i?}7FoRNgMwL6eAaEd)hhPT7 zTP_uXV0&r#F*6wcu!Sqia3@CW(L`o2JlMgRo#lvZlTx2!2E!wZ3oIV%pNGgSsl3b8 zfS+5+`ryF`^ekVtcxEmMN&)o9=2?OTyzP%7%G)K8LMAmks;AFKk<8s*#9MPoG+JXD zF`>OQ5_kKGVP@J^E~y5!%#H_8UHYUmUg`NK4zL$%V-EzOY+T9&iz&e`WrLkVhYXJR z%sloH<6wyjFWi??Ax9Qpm%@6h=Jq9ZZzAN#V@qYd)m)WhMa7ru@uyq;+bd@xyt4T2 zcjLSN)GvSgpP0@F-#Yi@XityIcOUENQD=nNrh@6tZas=;ij44SeQcA_RR8Wik9G;E zGQwkBLM~;5(W#>ef;1UnPe%_mz#yL7PjkEhMvknEHo#~y!nfG~gUV9Xuw{JrmI1ax zIWiH+_X9xz(Z^3^M%Z7r?Oy~27Ty0e=>Em^UtIqeto8Pi5e_`Hn2jXMdEu)+`2PPg zTz_pLT{6PpR9QcV%pr>B#Pvtrl9jhxuW8Q+n;?Ko>`#HZrj0W$hM;7GU2p@s92F#1 zSrWbamAU?Q*nKE;5Y=GB-v#lPBciAYI5SH|ml5guyI^--Yh$MqSopL` z%f7x*5ee zqNUacz_w~F#hja7BqbupUMXb zBOTwwmJd1fH>Ae5cr5g->(}-#^lb|#6L?rcuZe!ic&@g=Ht=H=F{^e(O8B!H@`avH zRSzaQ3O9N^s7_3IYATfpzwue&0~mF@=Vf}3s!lCX)>(c9x} zuryzwy%&A^%FXiBO0?6j%T zL3DI?1l&#Z$^ImjKhTavQ1q?tAy^GQR1w^fmqXM^5fpuEg2xT<*wj#au!1y#qHj$Q zydHFMsq{Len(Glo-};D3UOx=X7JX}iR#FT>0JF_;)vw?*i@q&_kB;DWB_#T996#ow z{#P`VC_GEwYR(ySsXetguFPa^riy*!_V4)N@hy)V_d@YAu9S>u$*dKHF(xUw7FCVc zUe#GpsmZDXDle|psz6u0u38o63tpwtQ<+*7=XX5AenofXd(TXCb`%>dX+^m`p%taY z^do3RUAPmkTxyub3w=UNsSC23LHx?q9O1mUZ7=jQ{^~dW%g+g|sHyrMIIP=jpu+$T zTOXnIYM2zgPzMzq_G>s-DrlKk`wEIAqmNJ~d{#WwX)Poc8PPjBOl{Knpf*%Vg(p$`dAgm6u-SDUu77q$8HmfFsHM2jp`whU%s^jB}L8oSh?J&m_NOPIqHgMF>jp)`tw**259) zm+B$Kad1gws7V=1CtAC&#N^kg;;j9+Ez0)-kCiE5`O~_mp>ZwXTNuL|of^9_U89Bl zoMa*bnd6v?TRbKOZ!K90jp6!Y3En;x!CPCti@tq&_3gYzwCLNr(YOEg*L}wi{bqFi zQsAHK+k%r_pIA@>wyMq6ieKz2)3+{o8mlX&zIDOF4#i92&z^TyniL~a-?|`Lk1`yL z#LaOHr;!>e1gUR};27GO`ql+e!-R&T&}1EGo?0L=^{opc!o~)vghitXRx>sAtqbB3 zHWD{R>>B1qeU24~IbpXq$N@K!xd1s4U=6^XX$<-78%@^dDG^k^O9%8vmKwMIV!y`H5zD3o9b|8$pt~3+sLA&2=wl|9NeRu1 zQKH6*g2IdKBOiJ*S=qT5kMKzz8ig38A6C*j*atJU%hC73E}ArikfTKsIKtN`PM!c! zbwog?1CUj&XiTx;f`*D1e)K-jHiPvKnUdr`>2V32XA@uYbLh~NcVhG)h zA@toJ{cGR$g>Qe$TkbvkjQl<&(&vb7>s)dFxIeQ78BRTjEEVGwO)Vtw;LR{KXio3n zQBff%fd>=pwrfJa@xs7Qyd6;j4=(8OdT2ZYti_1(Ms&f`*#K*Z60{>q;K2nEMiKi4 zZN{2@7K-Tht3E&gx)WJ!7(%pfa$iviJlKebBTBOcQ;n2?rsU%sHSpk)9ZIuJ($W+z zIa|p-{}9~RuE(&WNo#DFp5<2XV^T2xqk5~`ve+l4@QRj&ki{6dmE-%N1!F5u(Qb#- z_!f^F3oZc39!qqyLZSz^5M~{a6GlAe_tG%$w!1>l9T2%va*s;?=0OU2$|bw~nPD#K zF>%X#I8^eK)?om6Z=iSBTQPt=pA#y>ES$~M3K#EW>0H) zW#~*w9-5v$xOP$WcAnk#jHHybyjAwsyoeRp=8{HYDe-&6~V7+3ZDD*G*ZQ z*qT_IRm&GW`lh!wZ_3)l*6X-7-{X<4e&nk~0m5RLK=BC>J{e4i98~}fAwf+BQ$vcn zJ<>o-$HT&`02&ae3@+JE2G^MeVmclMXa#$O1y>)>{WzWmW6ndtzTDya0BB4YjFxCR zLl5jxX0hASUX0V(?N|6EHKlN$9z26tyBi{ zt}&QpKaSt8SG&wRxI3=))%8Q5nqT(Q@i^aXFz?Q*ePuB38-rQ)<9OV#{5W7g?~$q7 z(al4qZns?eF4Di?O|zJMFtKz51b7S1-Nt>Wd$G@zrP+U#J3t z&5)=U|9ym@gWsp*%7A^YRE2C7+5^rbL_D)P*HgN%9_Y^bjo-O`Y4=i9S-kMIsmh}2 z1vvtJxtn$`|MJ-%`Rq@R$f8;ntw9}?kGrj5GsAYt2KA`roegS);uy7~iS8wmF=Ay< zqxT_%|)E&`5-sx)NLh;8Rt1^hS6Ml*cNEgtd=iE;&AeP zpH-h94^b=1=P3gz`>%iPNZ$xsdhR`5&pmY=T=PG`E=FekCJIYnLCLs`y4_9G?ZY4Ud;k8=1+%_Bj7K&SMip?@LZb>e8wm##UWyVL3VmfGVN|PD zM#7i^%tpd3=)X1qmG@QC8LLpzcoCWsWmadMnlE2}P3JFC{|hAMx+c9x4PoUxs_hfr zGOUpbHHw-?*kR$UtF=T_{cu*HNl-l!&N@t zarUX`t6;@Mjiwll>2$=k4m~FooQs}&6V^yBdhSV9gZ%pUeBfVvQaHnkF?N`ZmpcF! z!MX^5P*Ezco|%1RFdG*{-!_0|AUISuLOoXm6}2gXy|NMNITtj*2caSCHzxtnog-?g z=Ufm`eirdVaCbBsKKlyiNImC*@V<#w1!3;4&j3t4=YlAFfe*|PkyC4@rZen<2-z{u z!HenFm&kj~CC|vZ!5zhoMA@bK*Y*`oQWSzqf}t1GL-fSY8^QSGa+!)M_;`YfS4muv zxXo!yI>SC@w@00+TscyEiX~2x`SBoLfP2V$1eQnzy13-+xwxX}%||6&U^W8WB@U=b zXn5HY8{o+~xbE26Uj%v!zIGuBye>=9+96_-hT@kTik7z=kOH?9iM|0IXokg$U#d8G z&C{DbTK_#nD132#pSJ!1_O_XLM2qu#H_q>W^YPF8p_igWR9P(C`E~vwG>T<58aDqF zQIW<=Avi%)bi_y+SY_Wh(PY6EM5Dl`K5Wj!-Xd1pq5Pu}q*36403Hw@jHyc$KStz> z#s$y&ZjY(Su+XO!qEQfnTSmx-1!)wxASy|T)MQrmV>wYlb0K2YGm&7%+ks^Y)1VwbFp7p9^qj6Nk3b=JI-0}aTP4wvJ zx#0X_7qsCK*AZQDtZ>uDfICWs>mvea$-+%koWL)o!o5`NGFlx%h3g}Z7Kc#by5MNV z2NkXhcIPd4f?*U47JLxtQzUn2pRSSv1s_znE*TBvAA_-fQZ>7?3uK75K|c+SvOC7^F#A5@<4wF<1K2s>E%}ZV@NOg2Q0^5ROmm~v0XafWT80b< zLxlP&zM{5Z1Oe>{{9vtHfj2}%J|g7ABUbo1t*D6em;DqHXM`s0%I$GSd1Y#wP-3P?iY}bKqOrX|vI%v!hCtqL zL@)00n11EP;wdL>{8Z3F%yYvCl3v&gpAar<-XmH(<-75e|Lt%8hMQ~h)ogUbIH{*E zm4?aoklAGln3>znW}~J5A(#`Qzy!0NpMogY5(hX0X^8uX2uKsW%~Uz!zRlD*Uwz(z zbzZW|dluN#iS3-PJ|WPqY3Th1iR)T0=c_xUe>G{lM{D0ku*z5O)|TDf?~N8BE~d#> z?@w}n2Akm0Q}*k&mH_Z)KpLgI{Ao-&LM{m!$Sr~nkOT%mS&x%s&Q~MofCe~_M56;K z@62?J0*CT3kIs910MK2@9(>G6?^|5%7LqL=YKYq_<8ob`eBDqphPSP5JZj=ZtB*9h zk3%YggRJ1Wt+Pdfd`Qd7RF6V~pl)~QLB)Y(H(V03$t!K$XjI9;FAbpD1nuu*yV1ha z5GPDGx&q2c&-ny&ll}0UQKVNj6JQzOHC25}zWReNzxHwsL@SkFAFEEdy=@jJ{E0Z> z|N2LM;Ttw@D-;R(Fg$$jIwFDCri_A<7HUl!1v@1)m^2E&*duA^DWl-j9ksg+NT{S@ zK5M+nDA*%(uhzrXXsTS{DMf-`^$Fz1qHR%E4^oBNddNmWw`2D_LcUO+TB}e%(-=N4 zL!wKN)M*_+I?>|dDiGTN1yWGp7!w)$*1T#!Y@3Z<&!LarlcZ#seFu&xF&~Bujcl_4 z!bVOE%ntGgO2~=8Dlp zg{dM2N=p;qBmspYK%_hlqBcz?50IgAHwXE^*{fyeXt)A7_<$YRph&W|d@oKZsgh8*qeDjs>dEvcZ6}AO< zd>}Q$HltScm(9c@S~4l~AJH}4h=1~j|Hn^xe<*&1k$BL=0dVK-7TxMeuw4S{_7!wx zg*ntLg$N4VJtG9ES$st6*MLk4D)w3^Va6PSsfGZ0vRof5AQ4kDlj0*D*KoB(5a}HC zibF7C4vpuJBlf4xm_sCmm>_}Ral6(+xfqd(-={VUfz^p?=|-HdS`@!a9@hs@_HoEH z&<^6{$B?4;qH^ayqH9#{mwfo|eAhdo*j^t-t8&q07p3L1vXZsvs+s zyAe8NngxBemsKu08`IFhRUefFH*3;IQ@I^-zCsmzI0K!~+{jAh0-ebu2}=hys6ec5 zx%k>eIin?|j0tb{U@L2q-h|UeO+j&!!#Ju%>1l12}H9iP6CW`vNsma7lFjXBl~7y+>F8<@(GO_ z2J!8*iO@Dn23^f)@j%NKj5HA1MP!(RFw`Vm1osonK-h&7OhL$a^YQ#GXP5%_)A23& zFxpjI15-friK^OxsfvmZXrfz%B(vgl01HV3v{r{tvRd}GV;w&3W(n0dyEv8wLr6ek z&2G9m{vnM7x3U*|A|=%?^Qg}06TH|H7Z%eSGj{*-i<|pD8!o&yEOUFp+Lxi~UfAuy z+>L~}szLk8TzD4*BMpAd&_ic*QdFQ}2-1ajK@{2%XecZ;)~G53r7B6^3s6)1$&)mE zhe|rpS0PAy!bdFdN~Z{jCXO1rcR?!^Kw1z{Z#9l$M0rKo)IkxjX9G>XfI^V=L>Uo= zi69TqIRjT!3yKTxlE>qIBLN8z_#WD;5qqLY0`?#XiBormVbP39Fr-VKyB%#55EOt2 zyuBW=CyL|--~>LM6B>Bd7c{B+vqq&JMj$?al(*&U6bKNt{RYwco?7$2s4)cA59ynV zl&(^O0`5pkm@Iaga-T(t*Ra_2tOlsQ*VSsk`DPYB0v4_TM+z(^X?^*TrW!-BMkV}0 zt&h9gh1REq)IEZlw-tWj&AdeF>+Jm#QSUAE^NX5)@r76UV|e~+Ub%VYwO4LlelHMP z^I={LIDE`kF)V8SNmB9tt)KZVZ~rSnIX|2ocq5PiflP=^R~XRlw=~Y#0X8xg+CjrDDj7$D7w$nlIV@qzC;bnQ;7XSsd<-h=b1@( zq6QTaJ6LlnmKlvIRPs6Q+;f@1TVKVU#YN06GkwD3GPZ$s@kmqLTLR1Zc&>GdO6;)d{NoPSu5LPsp`fA5cyt)T4w}CJf^fA ze&8S8)F^Tt>H@Hw$Bc9GEJ*U}a^4cnPs*Ra*JzqbzCRCFPf^J)%CJQ~vP>rtE~JQh&5kf7Iv^hsP}n(E47sdL(1*lH;~VWhac<9@$P9 zw>`2MH*R}WcHC%HeKzDqs_G|W3!>{a=^dQB@?oD6NbLf(MBEbazhPL2Auwr!h?G~q z$HB5C^RS3bCv0pFpHW8WSG_l5#qO{T0t?b}yzs8;gtBXA|Hxh6=c%E^QkbuXZXHlR?-4DQ!u&^cO@ZEzeDT+R zAT_?b(Di+{W7iz^v-9qA*2CBV^(X3}&Hdx5PoH z-=pO$scS-z?yv2kB8IFnWTZKwnQJ2`ZxkExbi&CATKs$f+ipFgI4~xNc6VS%=EW2; zoS0hPIxcwJo}_^Nh~BmB)ZzoWAn5L-nC^(8Iu@zri_v_npJd0ZKd%O*{_ROBpxz{r zI${1@71IG#sAmSPjfM)fR7cP04ItyPlr$N;t{Qi7E-bdAFOm0$e$&;QY=U{lhj zUi+LQh+RZSO?H~ynlc+8m7~ISdNYvXLXAM{4Ux)G;kqFHqS%TmYJdWc3fBd@6S;6j zaE;n8YAE{(x}L927d&luq_yFQ{U*0mxGsp|TJXkR5ai3$1wm3n&Ah)4#vEzuo~IUt z>yp;8SDV+i6nUa>i{$ROkqS2U!|h2jf?Fhy9VKx+pw3m>#lFIY3)ajf!DeuTO#&qj ztVTWNTqRzV=tV-gF=Zb5(-gVCIIJB6Euy+ig~)*^@!p;n_wyPKBLH7iCf~T#W8Y0 zZG|^n->%NH&%FjktLWYR&RAn&NOhh~^3;K+-zvw!u~VO=u-o{7 zOpqn#m`d1@{69W|ZD4DEu??PH+hE=!T5N;6u?_y*+rH}?|8n^G8gy|#-$F3=i{6E6 zY(BJo<+gzbj`whmB_IIeHtoU^f;q5$=sFUyK%t$_r#fz|4eovFL$^UEJPM*jK|2P< zh&iwh58K>b#t@()BNA;)H68}{8io^wZsX;3U>$_?qMq06EmMz}1M7#=cCCJX2lksr zunMdnI$_;|r)_#XpJW|aKWtc>K*{r_7lIe0mWSYv8xZb@oxTCSA1=*_)q z;M`n~()pig==l^hLy9*((@8Ic;Qc%s6n`AuY*9WT_+4rH-=)X>I~mrmst+Hh$35wM zz-ANuVn9FxUA~2#``kw8Xv(+q(R21QUsU%~th)6TH1UWQ)qOXr`{&;JGk^CZ(`D&M zcGC%GNw;#NSwW_$ZYMiE9hoy1!9AMi(Zviws%{rV13aMMp^>|8ccT8xg7Uk^P#~Yg z0gOO|FSD^=!dO}=VS zUX5;dcU$ewBsS#X6)VS4t! zBq(hoqFEUnQ%+sdr!jSQJV7O@JxUQpJ-0ru1f~5PQSqul+*2QQRym%hv$n4t@=$BX zbG=hU*OcRlsu}v*NHCw*K(y7qY~y&Yfg+>B-5sQ>eZD~&l(y?(njCH~TvQ7+hvcL- z7Cn2RkjwR?{~glFq95xra{1_K@97TC6%fUi8YYb)XE2s5!9X9kRbJ$fxkk64_iRNr z&Y~~CnN!gh=?fCvOq$gu^cQGKE7BJfLS0ofhV$*x1>c3+c|B{);#!hU>#6QNI`0uJ zuI1ghmfza_-9L7mQqH3~H!J58Xk#3gZAhe0rIm8tb*j%!C$POVS}H4Nz>e9pOTx$7 z7RsEJv*EI##Rr1DSvezyi~KJ}JPxSRtej8i|9(-ER?0czvYN9E^$7fxymnoYc01Ct zNmTft_$$9ZlZuo|QWRtr!L3xMDmxZ#lB5A;KQv%Z1B24^b=AN)UuHj8iM-m~dne%8R}q(s z$(Alhewb1AEX`0B4f-bJU0XEhljIEj@o)a}cmMvtTxs=~W3Ie@^$I=}lB2;HJ#04C zufxVb6qg#*M=bq14x6o{OTkdN<)X@A;FeEBbF+vHUz0bT@X=W_{mU= zJV1l9AxI7Cg69qiAG(-0qDPZL4eEj&dXL~@IN}cdK^Z`f5vf645EsL4pA_6930w<` z1}&27H58}ZpFWkFxVJ7jpHBr3=cKc5*4Aq@PE)juj|t!$VQDzeHL>Q+dFID+TH{SD z_h@r6Uez>aem=)NLFh8(>8uDgmz=Z-#2%P%u0_Ubt7N+gdW-6>9qfymTV%buN_GZg z-!hFEA+n7_+*XLObuhuA0gQp0varbk%{o3Eo+Y0JjM<_$Xm?NS_$CG;r#`dq+T z>DvzZ-fDM7(`kmI{Z~H`j$KN!=6ig%UXo>RpovGcIOX#n(KRJmKk%Rb>KA;)+u!n* zd(S=-OrotxfvVvnJY!f2>*KanuC)DKX`xM*#(58Ww9aglR@PZZpo&zlBVyiax2&?x zh?J{I0nnBf9J$JR_q(!50oXXwys#7i{xa`91hYwj@@#w$B?u#>Qv_BBW|QK0f?KYF z(#sIwuMo^81&Xtcmv+6ElB{fdR3-)bh??=S*$R{`BqtRxwG%bh^A8Oj?P@OnH38^d z-)hvMerzp0pMO~O`nK=PH^-OItM*wLu&|AU+LWz)676;)dU2P>jiI9v;fOW5ULjxa zJ<<+ajc~;C5f9aNSH}(N`NZzobW(92w;f}q1-wG*I>6S&-rS<>|FYG!LYI21a7d!6 zT|oYMb!0-Bl~wFf@&MQb(aHQJ`HsA8|k_XY8mqDZnIVHc9uRe2CJeXXka zAyDUGxSx&}0=kF-0UnRFnZjOM2|Y&QNcQ9Soiw>k$DdZaDh~vKYJS;|<8j0C>Fl;E z*7cO2)Na4x&nwbOI|(zz>Fl}{=b z>KP?2dvwIxuU9+#mSg_oYF}MH1ghg@Kjz=#d~+0TcV6wQ_JI(nkMG^EbUDvHvnPVL z*w5I*@+)0>v5UJ|c2Q-y2Z*Y4>3x8ky9fL#K7a%!FUGpweBZ6?@Atj@(o3(s{53BE z{b5~sqKzL->+%yRf-lXKD+BgBw&K=iyov6>IPJ}G>CnP&%tK##5r-mq^`W?iCY41H zLphzVdHLq~1aP=q7zx1-t^8{1qj;k1UX(^ny^L}>4rt!x)OuEDe`f@mA8Mna6pm!=D1Mx`m} z&`7rxq;@HSo4uhb0jg9(Dn{gM)CG^*JprBUa=)>L2`)Ix>Y&j`BNZ*~%jS$SgNsHe z$8sx!HpWFk`9fwUPj74U`o0c#0dv`m&13p`s$yxNz+k<~`UW;G(pE`9D&{5>B>UNB zWC&P$s`juwS|GY;p`Uuih=RMw^ELYQma|-J&x<5$(XWUmUDcjfT(5LFqD@_cJ;2QZ zY9$n2_42%=&Xzv))^_iy#(CHaBvhO{PS>7poKW3736A8XAzeRSIMcpYtw`3(lkQFdLVA!Fi}J zQ(m!^BI&=L)s^J$Q=A`hX3DXn?&W0{a?b1d){`>!b_v~5UHGCwYvnrU5nZD}KkyHa z`}={E)M#;=l(_=uG17=HCuI&mPH38E3g_X~?o&9AQNnp@Pz!`ZXP>l`;DDNoHXlR{ zS_H><+*36B{$10!yMhv>g$T6oU!$->lZnC{1UPU!oulzG<6zm+5iU5I93**`{z~${ z@GN0X;wFcyC5*D!)stvoaxL2??0EH6v1>_zq}a9WCugjMQa}|~v1@@2q%&Te`#MMrhQwK|-H-V>2rR!B>R-5K{D0Z&jX}^GpS28uwlW=M{ z@5g-c-@Hde$L&cxBF<}(S>4ROW2N8wh7Av}(5!CeW1kYg^ZS1D@VEb71X;Bun6u94 z9@?_5H>4%SPrvZ5*jHA%?t&JLrQfNHbl_Q)ZX?vg)S5*w2;u=P6jv<->36!|0nk6> zY*{V`#APE$ztaU#`UY?%1yS>^zHyAm*Psg?L0Bhw?c<(ZXX!+TAho6o9@g}o;Tyv> zM&39JPCALAd?tW@S^4Gd&`cXqVxG|zWwpP`vCG5e?M4k=+~qMz1-5D2CAj)j1Xpdr zE=q1zc5#m1aqF((yhlVWu_(ED4d||M4L|eiKlI0b^%h;j*(CroT|*G2F&s(2K4B6y zgo#S7IC9`+m6Ri(f>IKm5lq=N1k7r!;4SdDw3x!|8X}XZ!PNsm4DHm}H3Wmy2(TZa zn{tw1r6pXS+^|3mir%#IhY=tyK)D=86~e&iou!1)iX>oIS^}`{cd^f4wEGEH*msJn z*6Rj^L$SaH^zhYPe>DA0Z7GUBrBY?z@K+;sf1=wrt!|f3?O=y)IdJQBfNi;_+x~^x zZ%g^41#0$uxfqip+N*vCimO8XNVR)?K+dYF_m@1^YpdUZ^2(koVP{oV7d=($6Xt%n zssNEyo-<);mFGTzI%|`>a(g1HDwwqEv#R#%SQP)$tN70~;q3OR-6ocIP24QP{D?VnG50QO(h8bY~Ey}1JQ zi=YChS!UlKQC=pVRegfZ%Is<`XkZ6`<$wZ3+}t9KgY-;Da7LvciJ>F6~d`N0!CkyYou^ z>gRbz9fI6Xl>DkC?N>B^@q2NBtfm`Z{5NIsV{2mZ-}vIcqRL>#;>W~Oiys9G7m_p0 z`25)*P&HO)(AEuz&6P~nUeo&)=noU}Dxg1r>Nz`vT1+7I(}cSQMj-oPasoTfwOo=l zr~}}yUX}lUMfR_@-{6S2rMa0QqzplfMV_KU@j)2iU%< zYyi-|stgh2esUQM$zKW$_5|z4^`kBTSp9Uu`{w#(Kdyf-g$?O=VErqT9|G0=ll?ee z>L_9t%WL296}me;hsxX?${tY0>$`h=i>eBQuGjpwzl)iQyRfgkhbk3Tfcyo~=CA_= zR}^hf1Y3aLCAl(SKhL3ulth+hwU(-aS645+^6HBpdhyi&@|T+!PlcxcoToA@R|Xt< zDm-MVDp(^2hr}BbajYu%3!n4bKJh2sHsKPSN_uLF?b7=o4fSJ4Mijd8j`U-wfR@LR zUI)p9Vv3Et6f{j*BFRbPzzktcP{9ffC?j^FcThG)^L8>|VGifLDb~jUwVemf+l}bO zT^=)*qpEsNtWM}jq4~r;BA$&onXSnaT2e@;^@#4!t=*Z8$rEf@F;LN4vNs}8ZH}Q` z8IuUps9+yy=9a*y8)ap`DVUqk((p$n$~9UH&Bt~ZXT&}0z^Jr-Ojc4auql)xal;u2t?EBv=T>Hs?1vr1 z`qx~KgL2!e>R;P}SCrQ>)sW2M26Tl3Dzg~po9lmJbzfyxOQ1L|2c9wl!3s+{!mNYQ z=8YOgp{XzPp%qOTp;-o?lEm7W}%O!s_4GUb%Vsy#g1n zOuIk#M}Fbg|5-4-X*)AV09$i2{8YEB+Jt9`qD;nAa_343kXcViRA4fo13}QTDDRhz zVOS;~@r1x6f|(H90J__ZD5jl_2-*n>!ifi-NE41RqL_9jcs@vzNxas;Xwi-+&YzFC zwtVmzk?Hmv5WQoN2SqMHG6(Kg~|2|@>|6|$Gy~-s?WaOFcprf z{^Q#C+mdAUeDrQ2C<)HK-g)K+gm$XR!~^56_arrZX|sbc&$^@U!9v^KrTmCsW-=E5 zo3C37#ZGnY`56_c8`{4%JxFI+hh`fltKXu69us6lkS@YQ7ZHa8;P>DC!jfhDF!Ofyi0!Ovrxl!tEFV~4jv}C;RCgc56 zZ~gI~{I)5m+i1-awXDOgM!9Jj-g3cF1RHg%3!cUhY*eu>IErAS4djBO2sSEM7aYZ< zQNOxiA-fxdr7@yYBu8Os%(#Ny!!2S|zk zRwP<;UeC8)%;j0y@kg|HxOd~>zV+9>=68SmH2M05@$PBzbs&*Zd8C4C)<<%xvsX%=&OLj$1y_66AJJ2$o;rKDfGhydiUh-9-B~&y z&M|wqN6=~u6ydPJbu}Yq4;K`e8{v;Utijz~2~I|kJs>Qw5&D1FP*-yhJ7N+I*@u1# zTxqzLGq&ugQOXaTL}&40SDnqsJ_@9oZ)BH80Z-CG4a$!l=;#yzm>^V7A%N9Eq3j6o z6aCz*AW!(SW3# zSq1q?z<;h52d+J>;1!VB+JL@Keh$gBgjeVN5nXnW+(6?3bm+-zeg1+YmPH=kjW0OD znf)pIUy~SGa0D_BJ@Sj6=~y@83l5a*GZx&<4Ko)*GueV0!7jJFEv#OHB@&p2UiF+9 zA1Sy2&_;zFR+xD*ZMdkymg%K7K+RHNUlpg2j^_eO$3HH~I?gv^e@U`l1!pEeCb(hw zbXv@bVo1{clUzlD5-W>(+&_X$D(vtCcDe_a2A2EvBv}V3I)1-#{y-apbUk0N0hL-*>A_ zP(djVWP_M&DKF(B=w@Q1f`;YFfJ5ktDvzT(3!Hg@Gk^7KYSE7PBO{ElT%xy2T~9dk zKl$JP`NL10%J+cDoJ=;bT?bkWCUXMNgCm;4P)(zmN^6qld=FA2Ct(CaVG_C=Wqn?E zH!OJDA%1~mOTg;hliL-9#;(Xz!}Tf^v0tb+Z1iP;*4 zX~e1VfXf*f|KcCNk0{b9A92q(q<)qa^ZW3QR`~4|nyLSF-)UzEh7(ej&6@OuSZF9E^<6UgS zI~KJ{%NY&s*1)aO+JenPsO#CE4|xJ;>DfbAA+$sIEF}?^Y9-TxUY!A~)&;#mK(l&W z7B})SJMDAB-w1kNwvuT{BNhehuq-Q-*Vjt`W2Q9?Mgg=Gl*Nzcm}-%xWtA2+8wL{C z&7X4D>)Ru~sh=mVoSau!)QI%9S0ve?BDu%>Dv38rb47xTbjbstQeu^&%&%$P^X_*^ zSjHvc5om60Dk_d(I7_)N&p1-(r)ELl+aiSc}>N}mKd6LM7-T-&Ar)A zg&sk1>&oNnKb2v*@|cGrn>=Kp1ZXG$e)1Du{@&01tw9MOzft!T49Cu0^u_K7yPZ~R)Emhw*Ghv+;!a;+9itV333IYe#+OaQ6{?{lys6g*&rio( zA4pi(LTPk#*xK_8kR9naPC{lw8+7PhU~R1vy4IEYd>j#X04;iwbcgLg zT#>})vCO)zKPC$UToQC2;H$^AqXC=6+|%r7k*`3354RqYh(Mw9X#EpYrc*o5;9)}- z^|s_ule{JgCxnHe2$F-Vx4oaro#m34RJ^7WT%F#S`59TAD_%o*!L@G}P4W79t~;`E zu-tCfdtqtEZI|4F-AyF%QmAL2|6_alHgfio) zepunmTvv1rU{t7D-l*l9j^_eP$0HM-Ti!4;e@!Nw$!+{LOSS{u&97=#F3IgU9dMLY zq-Nk814&uBe|z~I$K{X-3`~J#ezKTVNCI&y(*2YDG$GD6w`+%2v#*d8dwCV63ArD~ zACS$@bRFOxJK+0(o>IjT9eTj;aV@?(+g=|=81(J+VYyLzUBL&m zpR79`jrZUa^Erl0eI6?G2!1FTu-@>E!51&;<|*VvArj%o|HFUy8($dg8ydxQFUn$? z_d#e1r3{~^Tc3=K!M;M=nZG_4JfXGSMZpxG2Fi9MF+*KaMpWDYvm+qE5Thujrj6-> z;Av14E%GgVb5uzWY8Mw|+jsaUyqJDpcfLkl@YunFqdj&wB0bcMm_pOARX57}1^8~) zCT6O9%V-Lx&bQ!YDu&x&4o6<6i1$)mE2P%iXoDqrEajCY7f{-Q!-0+4~ymSh7c z^+V`z*$*Aw;~2ZP`GRngg$+T6xJispB5XH)<%!UyFhpqdF^eq66rwza{?-4Bkj=KSH{Lg>pkH3Et01mCXYMVDe zsUR!OP{$TqP_3DuUCeo{r$gq2j8YT?-#w!|Sy1ABE?6jC_j}M*)q>)znxG*)Fye-d z0&77DTDjmJMMfIDSW99&Pc5$=pIQUGh;kecJ%Uj2(@d~Cowe!k=>W?8W@?F8x!`)u zIw(*Q0-ixzCQq$lSwG3K>RS!Vn&e@R$|kuSREo5dYgpDKt#FBpB$7ajqrdNHGwck`v#G z&EV0;2TPZS4*{z1f>G+c{4|EWmSE)5_*58-{Mj#i@Ja8AvZ!I-0nD+iB!U5tD(lvO z&%T3I>Wh;hwbR)=N%j_^U2A$Hun!2{Y%H^6ZXs@FBmqE^1B_amaM^bVOTR-yf<`bI zTN2e2qcD23n|0OD>>zr^X?zj$Pdnq%(+)mFnCL3?rDq6&3i;kWbSSvb3gRlpZWWr9H~C7bMsW6RlT7R zH=Jc^*E9TYEitjLEY;_Nhi->vkq|tr!Ak=@lM8yOU5j8z^{s($Y6PiWU9h0UhPZmD zU0o1ohEyOtf5fzmN!`A}Ia0g2prs=rxH}pSG6d-XxS*vYQ89(`E(Mc?AhoLtqPqb5 z5OC^^#K!Vfi*|L%6G&bZ28lbVdN_uZ$Xt=!Z&=sGLS~eVh{jHm3}m>Zg?lI|=m^9G zlO$~)mjvnyr-v~QK%Xg=EJ^0ab36i{s*=P*=I1zR1yE53Exqh%oNvGukZ-MQt5wyZ zoJI9-Q#3+8Xl()c&~tNfux$C*I$s|K8R9xhKe!PRW)@g74iFb*o%g>>NA-77Rad~U z35uCH9o3Urz#nrVFhPDV6<^FX3vAgh3A;p``ohsC9isPI$$A7NMl_jyWoCg3T7l>^0;pr}KhcfSq`Jlw(Jb&0I{>yt z-NL2rRfSgwAYgWwv0-iY9yPM{kTn8m2NO%Kd%H36wYZ$7;(EthNnDRp61wE13a5$_ zRjH1=YtQnrX>1W`%B)3y4pXzqSFP3#y((Y#5)?AW!ARL;r)6lq-EmWa6L5iGA1@zdtI z+Y2R5elWVS=;*uA(d)l?^WVNBTz7pKt&T1b_( zM$Uyk43s~8TNQxuNKqtpbYHO2(R(`LROTSZZIwB#{W9XCpmg``#Z)?aHcEG#KZTVJ zewO3d+lnUimqaBtE= z5KXL<6tY=7{A?@aZIJ@@R{v^Gx+|jnJnROhhW@p~ZMb}QR zkedhy_48L=2oK=#CRz({W>h#F-Ewcn>et%5-Q7Q_*k*?X#1Jd}F?eNF`c>&yPQr~?I_ zli`Lc7!T7wg7G`nvTHCN;Kq9HDivUuMuzQ?ldmKv^|Y&CQM=oyg4vrUZo0#|xc?0` zDT=qQkcls4%T;sparsVYP31h?o%dP%ZxKah%rL)tjLl@;%%gb+R3H{{Su_Xn7V8w74=&&lf@R{#+U{7tWx^oMy;T zwkmc*M)FZ7ml4TLA|svvyc3-q7b9_amqdC3Ep&Jz2WoMu!Wl#=z%K(me?)ux7Ry%^ z&RCTLBu`tEP}CSbdFT4xc&KPqZ*D$)*ol`01T*#z`E6Qx6I!&E!S0esbHH%_r_| zo=@!3bUgP%TlC!fYg>=td~+W&y7v|Pmd3Qx{I&9`b(h|pqPwt*Si$wW%hvg#yPjU% zHSZBEy6bLoU< z+p5qdraeJdB{oH}0hX9H^N*79R2Z7%Gu_0i`;PUKm~R@~+Pt*GqLc4NCx5|L{G(UC zIAYrRFx;PX?UYK+P*yF0OZ%!VIYVC|_>+L6Z8nCo4nh9jeMInRE71}d4~=&^1nDcd z;ArQ}oM$#>aHhEXoilTud9-t8*2xEFyvB&;%Eme7JTrV^0O=)jjVD-C< zbACSPI&K~BQEk^NW6KW+nR6DiGjon-Ro$gYKVCfaIgx4+yimg?_Ja=Mk?Puj7-yIa zS=o}A_6SSsludj(hZY_oPVGv-td3IGhUD%-GWj%+Oxh1+@%5ixUw_^sTI`Cuu`9m& zpRRu2=4~ZTtq?^S9Zm?tx`Rp z%U#ATSMFBLxUG^O(TJ^rG4nG5+(Byr(9hFaL)mmNjU_4Sv%~yRMQ19h6O;hh7&b-yZE-Irv~EQ@{#!One+? z7F!&3l=lZghl)g7NwHHZLYQk3QV^upD2R{T1)+Y|py#)M0_M&iZK8{zI^kQ?|I?9 zUlrvA(p82ed%x{(efxiQp2Gf*a`9yBf4AFeUE2^LP92lkpC!i+L95OWRhE7JQR1Ae znnwZnwe2)Quu~~!rBfc}*U5T$oL(o}PLD&c<2sLjMb$+cbpJ{|ZZLD9-bDA+NPhXK zt<0AXT?xnGvgI@RU!5^{bwXT6xq?q;AnUQv>|IwZDEQOR-eNe1y2UQ)0TwTw}M?&(YGL4t?9-`(Ix8{7D@;n@Ud^^58WOu+L>3I?6tmb?B&8u5{>bL@VE| z4h5W^O)d~s*c=+-xhDQ_+@cguWBXl0<2Tp0b?ue^iY6Q3w+YSGY>A?4b!f=Q*OeFR zv+9hhJ7s$U4yYsbH7dtT6>*CmeE>K6|7Y)AV`f>iv#5+19bJ_Br4PC$qihT*f3kqah{`u1X*fh=mm}3UNU5!-Nnn214Xu z4~R<=k#I@O-NrU3!3eMoN+20K&$BM?yWY2|tE#{KeS7cOt|RT!U0<(ytGcVYpLKcG zv(TiL!&Z)WD#F&8j=B{`wcpuGLn}Yv(c9;@9(h~hn#aGNJpR{x>3{lrS4rAY&fY3X z%Ub>_Nt^u&c{UIIAgjj&w3hTk0=VvNM;W2edqJUOUu2B6`6^_bncv7UXPqJ^^j_19 z{?v0O4`kjGE{QNtl4U#vvh&G66 z$7(oD8|(>|9zFiPdbVTYO%7sKll#BH@4-s*V1OY$@wgx{rS9#wlN z(Z@RP8?VO{HQL&#w8!#32KrToMR^}XusE2Iw8|OU;B+ZImiNKwR1E;i0jthm{)CG1 zKGc7qZY#vS5pqGyejK*|9ZeV?KMq%pI7ddOA`hXo*Vs6Gw5O3w8rr_ zS!1Wlz)Re2%DD18D%w|oF0Xs;T{fN~b)buR!a!H^t$bYbAw1Tz^g*Nnu9|n(Rqe&6 z$}SOnu2}WPCTjX?8vZ4ev9q6*)lUeOl4mDl_bp%bH^1!@*-tnC$vDpu)F^)cWD8B^ zrz5Akp`o_{@mzq=Ub6NAH-r`og2LzP6Rh)!^b_0=!+9|p2f6T5i;38kA^ik5Jar9I;qW{#`9`UDc}4lv@prxDgcy0( zfZ%FH`U$=w`~-D+Ts9`2qxcDKd1?a5BvV7{!4JC^4;>w6HG3EI%hNl&M1{$g#^JAwPufgoGa?~p&# zRSWr}dmq_DkUt-Dkw2ZyHO#wuxq^ddK8X7sBPEPgGUqzxU#&4HJU^EmfT$n8^qo`f zu!ST~qT1D)TapH^cUbHzF@uIoFgy?OmNl_~#Ky8qv?ez0C$aHE-|`E-?~`-9fdgdb zF6Ju=?%h-xB1X=T+1tXsU@l-MlW=2pp$MEY^AEsHmE42q(nZ6V*ys*BmCYGz9*BvJ z9{rNmy$DG@aT+`nf8+JYy~}Jq3>{EOylfZ~8))ytJUvMm4Bt$V*ucnKOMz^MEtZAu zu1y3Y7hxo|5EC0c#u`~_0f~#^{X8YS6LW72pKlJTdw*j(_&1k{0s_@ja(Dv6V)cym zgJMEqowIYDh`;o;goJ{4tpUvW?M}RTR8Uaz$zWb?vYvCe1(+`jZC5Z~jufXwENxgV z=yf1MuT=_iG@hG?{>Ojw|M>TQIAG~5?^s5#5JK-z#hX#Xr0p>s~%=Be6kq=EUPlns02H_p%*lG458xqXj5Sj&S1f)(M zfMB@lrm5qf^0o!@g>JN_z75&y~I%+bU0kTe20V*%C5SFYJH@ziTG$q5%*Mh+%)|_ZPDcV1R63 zpm%UPyBNh) zfqRlXp=uNR;=6u*TEo5wYg!{D`CqUR!pknvn$}qEtX|Vnc>JEf^|gO0r!_Rk_9fTGUP9%zw7DhiX?Nr60$qducLf_ zV^T-?*gCqvEKl2(5)Z6|Y{44g4|%YRMY02iL`dQXmT<@_%XoE^lgXn)W2=1UOT7UB z*U=J(ykadG4$bnnTdE6WV9w73xawou`-zypp`ThuTB@h*h z9tB}pL}sSkiW!OFg%!+3Bn6)Bm?3E&4A3o59!_{5e!v?gU^ULS&@zCS0(xt+ZZr}X zrR$+-jT7p%E%X3HvLi1C8D>~J^grZW#oOdH>>IG6`HPF@%P!H1=J$ihuT zOX#vUR)ua6axo2up|jHlPM9Qw|Acn!Dwx-E)i}#tUJ1 z(ZG^uo-F$#D@b@h`$NxsK|D=iRk$TOFPYIn!@%w&o>5^LFAq8|H3tD9B7CaVn(_Kz znga(Ta!ssSSk8I~1W^IxntCaJ4EX{@ZKYtkr{IqjLK_J}(7LT_T@0M zI*f8aUCBufx|DC_Gm>ZKD!6Ms2Fr`T9gZFFIghM z4NvGq(0qHh7xa!R#*#>fgn|`1fZo+PmL(C?YqS#7qnuJ(l8~7Wz5^9i3LWSlsn1df znQn=~4$h9B(0+&dKzVV*b#TiAra8lPK&fHdn=ZAz^k5d|1y+|RE<2>l4po;$MqLy4 z6U-f-?vMdkfw|7?&(8$RE9B!+da(MtY#P2huG?d%0<5WkyG#Xu+$jF1X1yLf#MZ9M zRRAUmx;5?p(5kBk9^1!{%g zUIIYI#BxsiLm;rO4-oBrMN2&}2QlRL^M}9<510Wc0W-QPYzSaJv?LQfPmZa<0;H#A zFY|?Co;;@gG1>t2cc3HSbkL^})Bb4FP;sME(_(6JnNN>t|Gr@kA6XB~Za6C5=5rLZ z_jl8G5KNL|w_C&TU>VylPJP1woJ}G~(pLvB+Aq+b!kNx^KSFCPPqS#hIKm^+HT!nh z(h)DuX^Zw5$>a1}bH>7X7S~5VO{QIT$d*(`j~57yIiz&3kkJpWqzW2%aRls9(TYL_ z#AZ&0qsL2h*rOjv*6g;%Q3;mu8sYTdEb{0rnGo?juk>{27>Fw~6jqi$Tj@ido3|s+ z@1gu5>kcv-dStjY=}ZUm%3}@>cRL>oZ^(S0%Q+4zBV!UvCI(2gAyx;ZK$XiOltRY6 zl6P2{5V;%zEoE`|oo8}K)Cc=U%mD040$mQUcTNIbc8OLWX!#|&hWhXs@BhSC|6tB| zC~4Y#Afr&ff*b<90q_jBy#ZbBZJ-b2hFym?2tjTX8Z>pD4GFStXtYvFMq;+3KvFg& z#=0Q}Dz}21=y1e%CSq4MB*Z4eZI98*2rm*A>pj$$i1I`ED4!VCu zETXU^vbbfBxzCalf)1t8FvHQ~)xov1X;h%eD)qrFXZ?VLrvX}XYp1~O2>5d^{;M!o z;C8m>Lv|9=qNI_|gtHRThBtQl5oJGGzv+;3Wva%Dfh0r-(6E{;je|6PMneg(lOec* z!WcMq(qRw{B?IDz1_4Xl1VrS9tN9!yyf(v5OD#AwoS*v5NOWz62efG-vj74FdPSHZ ziig$=h@a$^9}7H>{$ww*-#^=nt|2vPH!7yyh&X z6A*9eDu(%eOcjpWFHPlg*rDIU=p0Jqw(PB()5v!3md&wT$#Cm<#3R zf<8fp=Kd?P;`ob;?Je4?i4^GCe7(k$n85tvL6b0A6;KmDRL=feT6ELNgc@~Tcn51m^a6H~7 zVgDMF5kOvp$?Q$QHz6hC$yhAf0;PpCoz(mPyCrk{lc1xP& zR}g&r@keB!!Ph?icpeG&09zl+6ZMLhK7#piAHqxs+izr^-1+UhPi3o9zJg%zQ=Xlg z;{KQI{^4)^v2!5UvT>g=YND2B$ciA?DKSn!u&I$Kg5dE4Y8)X85h(P1OUNof5dG%} z>c%6=0t9=a=@JmsqBcRWMTlM=x&T3_83U*Rg6+|I6Z~DzMv0C#d?e01x~Y_e@rMZ@ zd~|cx5ED<9fFaB#;LuLMQ@`=t_+0Q#ZSn-&41(1&?lFalDoAY~)W$pxMDJ)yB)=m^ z+BWaWElpn&mj#r{`i@Yfupf%k5&98w@9ec-vshE=$7X2?Pq=wZ0;{kLH}1y7qv=9H zaJoLvaOZ9)mx22XcSX}$ql4cSct_#Z-Sj&&mZ-PIKFj#tufKoJ_5E|6ynoJq|D2cI zKj+!|2gkG0!R7l0t6ZoQLUC2|wplI2Y?d;Yy?S{X*X@AfVScA){5*U>Gmu_v-ZTNl4`(t2q z@%~{@?q9mKjf}ev`yVz

    _d-a(`~;^RK39BN5dcU+EhN{=T2t7YxHjgOqPJcojZB z)hGDsa1%#LE^Q+@Pv0yuH*Y<8=bd+-eC^xW-SW9paFUU~N#BQL=wp88?2`ZX?nfS< zKlWh@Afq8sq&2FJLxt4v+?UEiTIDDGrBWaVnokIAn+IWKcVA?_4B)M785 zD5q0~Rl~fKt-dvJQj?{BY;maNRt6*Ee|Q6nuL|=pORmbeUHTZtK3eX=v$kuZmuT5l z;jVliX;)sNPy4IFd7EGIdGGmyfn}kzUuRi(LjsBdtYM%FWCyA#KsI(*5aHc$vDDV2 zf)?@JSL~-0wD=31!vhE$<(r{v>V}h=T>Q;( zL;MD_Xv7tVnp{$6am#4|EeXX0)&GaWh{!DrB?abNMilDG2Qgf{>1ANZ|*|D(CjL@&kw z{r4Oop{kFA9?*y{$K$EJXjs6Dj^(C0B=SQQ1V{9zc%)<@SbeDtBl@EwL8*qA_Jt7@ zC8#qHabyb!%=XgKFrt4y)dCvPAFK}T9s$R3(0*E=|7o&UG@^fpxJh~~FhyhVzRRvs zBnzd`g<29?a?1Bpc9rhffrFTl4#Pm|GG3kzav^ebSPm|aWMBN#OwBmxX&pFSQYUds za8cObL-|aocNXWlC{H7hojeNJ8d5=s%L(hJ;*n)L#hxL0uFY%nk*!qewTX++*-S=u?Jp9qEynZeUMIk#3k# znA(emR8ALgc4(1qfI*QiknM1MVWNcn;Sd$+h8c0qis+&rkLL(L1>sjciCba|CAK7P zS#4rpWK>si%eBjuEkMv#ejv#a_fcZXLtHY=*j&t?ET0$qvU?x>H~sgAugl(tw%fS( zVa+#Jbh#=m5;@k{F4vY&w4lrNfO41AKw6CX5X`c(LP|k?zPvSZdt!453T@4B)|RA2 z&9G}*MI5<(2 zCkaW)QeF}@72$aLSz-j5z8&pKE!j26&%}8-y7R&{Ly6L(B7Z~J=I5vL;_pWpa=eDP88rhYu` zvOL7*t-KJs&o6|n9$>Rb@jL?TqEce%`E(1-1lZj7rO1ISyF~N`p2sD6*}af&{Bz&; zSzngD5N-Q$FT|RFUIFcepo9qOgh5^akl6Id9EclEHlz~fl3@$|YOaXRRYQ1t3C;yk z&J8C!R|#@%IN7;MjB~@u&Q<;UWi*lfs1n3JG|}AvJmzX-nMul zZkY-?pfL$%$B$fcAZ}?F2MxBEF01Gs){IvN*(6ATz_-90RZR2X?{lz>muI?nHC~^m z>0K>72HDzc)g&i3@4>Y3P1r#19&#zK*ex`9>J)RmlwB3rlD>{>NB zuSq9sp=~WUhip`=-e~CD;cs$nXR4nhQxO2v@{jSaU zeXCQk{1ROwUh&0$;7|YAKDV!`cB;3%xJ2{`#R_m2!JOQBH;cUlEF^5XAqc+=Ur7yy zIJkHp*^sD}44YPGXd;R=%*=)aEjL89fD^|VWDj+@=~TF378y@1ws}Q56>bP6FlvX7 zYiRQqC>zSK^KnBu6>bPMa*9HLw6@d98HIv23ZKDp&-{y6N@^op-XduCXgLM&0AEGL z%ouPR0X_rYjEfrapIh1OY`dQkcvo;-ZTg~PkCo}gP3{}QayUBt-A8su9y$6!+Glc< ztlcspEZ4M6E({E~4EW6`GWqx152K}yaKhL2sN#HapC{rY)A>dJkj%k~VL5YQISvsU8NgPO7S|UC>?WW2d0r88`Oov6Bj~bAv?A#8OLPr_e%5dP;E(%Bvtc=gNeM8{ zhvf&N)3{DljQc<$WbJ!NSk6J6FvN6+fuK|omILl!NI@x1A1`Ch7?vZDgqRZ$6!{=4 zrsv?a2+Q|J)nFJCl!{?F)GAfn5p5xs_RcF7VL4y|Z$jSoY*^k<%?e5P*|5BALB)_@ zyEOstaP%0KgJ)pl#4*WBsR_X{UY9^Ko_#cA>ze z@)G6*A|Q`(_Bv5(cA{2?O#FpxfJ9Uttgj!}=7rbDRlJ5cAPJC= zArL-ED%>Mkf2$!G%fin!L$DlJ)(pV~NAlCNdErm}*?;!UAw!@70iI(wxd7z1pPNJ^ z^awC+mpOL)7Sv)@!cH@#M2vY-f#@++EBP@oLnugI){s9N513&67qa;V?yOC__6#^kC{^u*<23O zIh8N}JwM|b!#cv6A;@)vrxcJFE!tn7A+X#2!VmjO?<=(uR_(2US_Lx`ri!3X@vHAK z!GjqFCU-Dd1brI!eL-3rzwP&RzwlGJjxgThXw*4m{YU!k7=wBn+hTzOOphRepDS{v zHnaY=hSB?cMaUMYq*e!qzi!K;myisnlo048xFM!Qa~>vI?LhRaSEQGa47Dc@x&_Bp z(jD;}=_R<~sl`+XM)Ms6r0NyLOK?kc_hA$nEswoX@$4n1_qkgcQ^Buj{RTH9o2LoDni zzN2`7qGSW|P1Tz53Sq{Xo+p}Bho5J>M2V`2e3Xsn3P+FEh|(PRl-S;hu^YwF?=I(2 zdKS6ZA)ba+D{j#LDE@`INAPT9E0#Uv4}lgT+t0fA;XiW2;>S8a3-U{a`UKRi;9+M@ zh-~MKhcGC@rug`t0I~U5soAocpH*$5EfwGN>-`}6R$PFt)em|B{Ger*h>pbC=CFOR z#8th9Px1SH%fmnS>vN?<%T~8@^_D`k_J0*b9{dBRFkDhrI;kj(m#APJ_s&n4G&Mevlt~x_y8Ivt;=cqpygY7?a_s z93SPSiLL>O^aXblKFikaCS>T*W}60bdO?o0uwlS~+87K=K=AGvGC94#Mfo0Idq> z4$vGsf=0`r3C>S155NkqF4qLN+k3_1mAMcF5?1j8cC1o(@o;+b{xAOQ8BOqBhDVdR z(C#rzDXJTn1Fj6hV7MF3t&$%@Pvh@;hMpuu>lEjhawi^p9zEePCT?J!MS19=dXY*9 zhi0HM9;+8nM^piV9)MieLt^!!Ln1`nT8F;*`iPe9%vei`@2p`5kEEM95A zoN_G5`T;0z#genpF=3T>1vT+J)={*^?XN}(z@OTjY>!}^S8&B>Dvs;k=Q=Qk%(#Wd zd={C189wu~`Y>@jTTMUXKFIYu8_;pqA3by9sb-XFIE00Asj7!IszDGBVaq?a%l~p*CwRE^_XYY+t;$N`9XNREwWWGD6&tJX3N42 z%j#Efned%<*{oME^L|35OMXE8;Cnd!bQecey2M>{%f9ElA_OsT?P_(jLQTxV9j-}# zES~4A%S6{E&z6n;GM~$RbQ$=X~lrXUE1i4T&zmi=<7FQvo5nfb>v%gg%5My{ZUX`+96QxZ)I$ zqTvY|*i{-L4@q&@VjlxekPQ3!2 z67=$m@=T!VLNkjWgO7R~D;fCr!UcpbjvT%HAwgG{J`J+Ip3bc zR_*%oE|%0J=~M=c7PpkUy@aGUkM?)f=8=6uSK(=j#&lwFFwz?rwbWn358aKVohP9XQ zt{Jwvp|LISt|88;nf`1jziV!JYFp;o0mtY)(M*4^YVWotV&^bbiTEnuOu?4hY=6X)?Qsn9yEUaq^SMxP0DQogIAiN&Nd5L?P zPZ&junBqon3HT}b{E=h6YWl|gM6GtO=3MiNBNf+!CiIhGx?s-!&v%_-KPkk64=?kj@wVaiPa)>37u;#gwhH$W1t z8M~vkC*&20<-Vc~38!w;(WZ^L;ZlqgGu|b+MBIF5?aQXQZG7k9o_`XS*1b?ouoCRWntf6pvIzG|B;n*Jq zP)oT)`O~rrYlYb9*oP|5TpW!rRy&Sua-ZpZ^V*d#$GlTKMLY5PTS`%vLGNf+!kTe@ z3CAw38Rzp`k4mQ61c~jgI{|;%Z}^v+pPUsD)cYAksq4Ta6%g>?Q!~!C^~z)uqGX)i zavgZ|=^R;QrWO%hLG+&z$&#$}TPqs2Ff9TXLE%j;f^mW@FcTMpq4 zf^6LJz$1@|{1L!cN6``$$0%C7z#K&n^3mWO6_jNdlwoff=PD;Y=LxRPN7t13Yey?e zm>-T0%6vf?pP2?-w`0o3lWWs5!{~ZX!M>t1ao<{-ULN<|d2M>xC0axC<(KH1+Vq1z z`N<#s*WR0Y6!l^ZJcXRko*RwWpb?7s>@7weAfSwC>LQiwWN zU9A}N*~jAvQyXcB=CK;ri+r{on!_57(r_8ik-s_#)|(>|<8tWTmT`16jQMPEnm~9`^_Ne8%(&%F&4JP7CA6<9C|7utnGd#~{ns1fd$q4V2I!;AE|o!IJTpTXtyX zLxzQUcW}F_Hzc8%TcQ?EN*gWPY6in>Vu1LHK1uZeq@mLOlGPL0Mybj|+E8f*#>w`` z-5>SU*j72>0gSd(+MezlRJ)by-`LP(|0^H!4!+WmkDYC}$4rl9sMMe*kV8Rndm*1? zO61|Xg#+_W9hIoWN^vX`;mvG63li*9+sw?&IJd)y55y%6s1 zjdd1CSqDSa%ddgt-hItO2lZ09Yj(|G@Ta&hZi#+l_JZdbRWX&g>eEV)q^@vt2mk^zr5x8n7LlqoW z^eh$xjzLponag5NBk9J{Y~DP0jZKzCoec(s!!FyoFom8*^i+oZTlP$b2muD={@f1x z<9y>Ra`tRq(_>k+oYpOuY-23qk3q|1D{YVY{iDYcAe0F(t>of!gd^Me{M&BRBJ-T~ zM`vVP1Pm}J-oI>z{g0bA5@_1PrY+uoTSZv19rnlh#_Qjr$Fv|2wUT}h=g;l1KY=}M zzVFq8Zu53P;uBlA{j|=A8LZgErweYqKdLb^blj5++{g3>MeMIXq(yY z)w{w>JXP;7*N5>)jB!?7w5k$0;!&L!>Y^e3BA&n@mPak9t-5H_+8@_fAOjtUK1-$p zt-5FfkVtwDD;?qU%5owf~yi?GDv`>@0CI?d$wQ@GFF4BRH$jnH> z14?TH0Vs*yoRZ^G3MU9_)!J7QrkEizD9ZCimS8|Il6b^d#PD}%W9^~TRZ@2hkDXU_ z+%>&qP{-O+OFB@4Up{6gj@`ksNBMm#&D4*++d>+P#G$s9m|K*?$l?r4Bg`{+#R{ng zrU5+BQB7Q>3C6EgV4FAP_4}T->Nq`u+hEZatX7oEifqAvm3u7vL&*Cr!dpq_Mcyy( zqZE%mL}m{CFgd(Np71IfE&EYodVw?5ufbi^BMUuN);EjviuA*T^RN12Bp;pMj($8I z06)Ygx@E4f2QH(fto6X<#il#22QIrrYdvuJCAy{__`M(g1K;u`v&^Af54^MfmWJtb zHp1Ldf6D>gpD2YO&5j8vQ}sX;U(kBPTEyWX3YM`N5M$*I340Yp$DEG37!YG+h-PXf z;((e&UEL^R-xiBM15vn%+vG4wh&Dt_Mo_=3jW;LMC zh@78k#6DyN7#F~QiAG{=1P9<-q{{NzGAlHl7;6``YxWq&)PbgYa;zPiVh;}hs+wSD zVFCpS%@cTKyQoZyc0`ipbv-?zic`{7Uhbkg_LBCHJCDPi+2r4|a#E;Y%{30cpE&&c z{?Y57T03|~K{Jgl85<)O)@u4S8T&uFd*j!9O|GWv2v+alv4O0}8t<8w z1?Lnqq_y?KUP4KmIbk5Xx1^Z6hVz9k=LXklYz(pdcoA<8@Zk zli}2?a#qum;nb{hR@2>Za;`Wt_HH;i0-T;gGMt;cbsi>t-C4ET3Xp zcS2R2M#fG5cbf!wj;ZCD1lE>AFGoCS$gSD*^Pr8^PoaLSmcO{k{Z@I67-=JTzW1S@ z*Jrft4qk)v7dJRxc8S*D{C$P4NU7qq43(QX}HQ1n~f!SI4W)M;X-HR&{-+0L#YqvZ)xntXb zI7Y!8>%jvv)rp#qb~{E`aucl2onwy$ttWciO+5l5xifmpGlB3r7M4FQ=xm?wYhTpW z?g_*C5h_x`5)YMZA%%w?Yf*nF3%e!j8$4+QoVh7`rV{+rtZ(U<$|p?VEtga9D51aDwxHrQrMv|BzFAs`Gv^M8P^^a1@qugAY^005< zS_yb@D*?+c(VFtOpOnWJe#iH2zbjM%sMmpnBP8GOU9=J4h%ojPl0-KxYVWGGXD?at z-3`0riCu6owE2ohUjv3x36S+sV@7Yh^mLyZ>?_EfSCmSC8A1$`wFR_|p(jnN^x2Te z>4)x*4LiDF*x(1bT2Z1XUlD_wGw^`s}MG*G9Fu88@D{6J6@+M217XKMKTK_ClUlWV)QQ5JWGBGs~X>=x5`c;3(XN z@~GNWuSd-d_SGU`rQ7iF>xopttP8lm&UP%bAktBpabG_k$9-fm_zed=#|ZfNbi0tn zfX~z2B8y>n`3m(fF4Ql(L@U(a57ht6pa0eG{m#!wO4-W5aHx0E*I~ay*E9RrPX~ih zd&!{Q4XwlgLf#gVF9IgnkiQ0Qh!VpQIR~r=5nyeo&W7?spsYJ4;)&o;#en{;%2j7W zLVa2hEIlcMbjMw*?npKy)VpEV06ggvbo-s<2eKidJ{j&%f{;URJCloc!==bbP!bSI zLysM%{81rl*y%k6RTuvzV0qsvFvca{jd04>l7A8Z-a*NKrMHzLo-akd-hMr1oRJmj z?g#1q@-O_U-?-NCM|;oKIzBtf);j*1Z`QoQKx`ku{k4w2*74sSDI_l!1tqU=?KIOL z<<&0;Ns1@uk0lkBa^U0=*??>6_<#GSeg41u?K#=qO9skm@4Cf_5eF!lNj4X0QUtcA zSL`K=fZdQ%q9XYQB~zolXG2E7ZfN5bkw`vaE>X22BVaegBSU4ThdJP-JTxOHTub1Hwlp7|6L#B+ig4p6f0MMc>sCmT>WL2XpQlgU!rTU{Qvq}U-7x$bwxHC zs_ZjM;2Z zn|Wv?sUd`3ceWSV?Dj|=`grGd%w`{sP-&M#4|?Tq4}B4U9Nucplng&hpFLBs^R%{u z9_Z<7I(toLf9>0O-o?1c$&dK3bxmhe;pNFWi-SIx7|nLqVe3!$U0?GXe=vuwN|^J) zt#gbIpdM+Nb!2jJBsh1NjRAT!Mj=2HTLU;-!#G-LMJ)v*U)uDQ61EDYxMAm#B542K zD$3>+33bVE+k+p4uysuMj8Nx>lfq|&Iyao0>J{740RJlMjWJ<1mn5H~gsr}0-?tn$ zBIAhhK{3D$mJD0n5=!O_g<%Og)u4ebN}5lP6pL2}Q>QuBmPzWXWzP~UtLTaZtIa@n zC0SkMXbE@=GTS&3d44TJOAddqQDU-DH>2yaMfbViUKK^(>oh8t6=Yj6BOSA^M_Y>%T=r4T!U-@Wm zK2ulB(cc`iS5Eu=Rv6kG=v9lE6@e~zTNe$BKo%d`|vf@a9;{`gQ(NEty+@(QjKVG2& zJWXV1P}<)ihgHA#c!|1wuPp_~21%^ivbaWYr{JS;O{`j2-ro12D{&L(0$;i~Nc_nM}pxln;|Md21#Wj-!7HIRP@`@=c9#u;I&JH(>W%pG*r!=nh^+f1u_gY%8^ap9n#xjpO;1GHjh z?@zX~_XFoGIz#XcVeQTkht#k*dG%~J`x>tP&5P^bEW1Rje{(~ue5L{iRP`X1S2_d{J&}Yk zf!h(1VFb_Ytc@`JB6gZ&?gfnJ&tCEdjz@FC(qMXfiJ6ds5(uS3Bl_)R1a0<3X7O0y zPGR)sQaVB*(VFovhSjqJ{>ax0_Fl%s2*Y9)1Zr}+AV2dZ#~!oQ^fT@|BC0PZ@8uwB*$cFRXVzBFD35E(1E|Yhng}O!LPxH6T4o>`utZJrfkIKuyrnI0gBo z=Y*k-o&E?61q4F6C__@a*+EYzLz2{L0wfXhkTJ+R;8&xNXOr?uxkC){fO){&usmxO#c;W@EfoG)@sd4I&f*I=;ZneyrA$CF}KY$D{pK4x83 zZeB5T`49A+W9YI=v|{M;OLPr}e&4VC)F=PJ9EPHP&BQorrJuXu@gpe89fhoBBK`hV_d4jr0{!Ed-}g z-ZjKws&kAOdh8og5s|S2AyC6*TZ55k#Ly%NdphlepMQ}L*rR4Ib^a5&_Xca0d_d#; zA3zAG-;ex^`Wx!IowgBx4+!br_@U1tID=iR4ZMT zmqOLXew1da2`lX15A6T3FZg-i`K=lD$A`9|aJ)mk6^Z4Pw6&Q+R*JVRRBlhC?ZFTr ztwrf<$W(PQ+_kLr(r`H4jj->AM%rgi`yf+VPW}=OEk7qh-a&)~>YOm_k9ek)-h61L zs(r;CKR{YICtpBv+SyP-BU{mQXK`qBLDX+X@{nc-iW^vcEL@}6u3k}K-;a%;h`@`a z_Gv4gM0__Y)#__P%T?bFIxDouoX=81BwzCYo&bC@gp8>h-z{gsT;O>osqGnNhjQO` z^!J3eKzhlr%fMYd6WdYwRbO3?mQ(1}0fwa7vYYebvYmk!17naw$Zwc=@*)WV1AIfm{@l*{OP)V$$~QE} zx?UB-ZeKIY``j_`d8CtvJ5?5?vF%|I}~$_`mk4 zOsP|Tqo=?V7dz{)4%y`ep?`Vjx+tkr*>$>e9nF3$(t^;BQi;aUc2csJ6I5k0?IPQiRx5d^wo?~nB==snEq2E&AusrrAdLArUa`rVt z+W~qSs<$IJ?v|j(q0@m`1~xy`mhtL9`Gy)n9Gi#hR9hB=exxBJyV8MsUw@baa(?t~ za@IQ?Ew{{yx;vz7>Z8Xigr)~Q&u-rgKhJoHQnTmi{P=i{x~G^QFFwUKr!CZ3xl#3; zNU1BG5Ub~p44n}NMBOLM(37pHJcbyAy1s1RcQ(Vlp!#uT)4g`5Y&x4XlUfTfS_XxR z)LKw&l6rTWGKPH#S3l^*rQR*OM5`ZkKYq|B{)4~%pa0moe8yy&LLwoH!l58Monv$) z?HBE%i8-;2iIa(KduC$WHafO#+qP}nw#`m%-v7Ppe&}A$(^a3UR;@aH&i?J~!YPY# zk3C#vkObh7RXqB=vki?+nB^aL%d5_Ck8So1H@OVphm`uIOmnbEq>hD=rhYFOcf|5` zu;Ftln+5~ER6hW>Hq&TWbi6)NQhA+~V0_ClR|CHhM?u@ViANa{I%4pUuQ|w}`pRVx z(b6sKcM2u%sz-f~OCRrV*gw7v7(21i7KKwK5M_|eb~9YbK_e!#LuV;}&WTWnj0Pii zo*CJ69YA|Yj3-^RTfULD-aNH{?-=UQdEdPc-Ui;hj;5zqMuBp4 zN45>M%NH$TVtdRZbD#eC@T9!faelhJ6h0KYx{=#b!NM}riM{474uzbSUb_bG{&M&l z3$~{dfWbg~o&s(6L-bz2da3D;`2+f0_u7iJJfjm^<$IYUXK)L@eQDp!aiLV zwDM;eOCI`b=kx&e;QU0KoC++@#fK>m^aE2)VaNUKv8s^{kYwm>#lOhrz;}BF;2>ft zl&IXYbXhQxq%#pD(M<0?jI$l?F>y9FS#QPdn3W*Aq^L&18~buTX4lunom;RUn%=*q zNy&|fFAnBb)k|aP8#XJ(nD+?i?YfIEt>BT_6QmuuiiGbtS|DM~Tc707-kdjvMntso z=UbfM|B+q4um2kr!v_p+-)?`vU3Uss``3({zi7GP7aIp-rE5doVKzo13tqae`3q15 zap!J{-b88-@6Onu1J^QbQSCf|Y>(?)8NXXVUSL z3<~(JycP~u5vP@~phxY)1v$cwgpW&ZxZ0e{)EKz}UAAZ?SjfSK-8(IDXSOx3+A(+7 zh|}PwVUN`h_%+7+IRBp38v%~0dR7dY(g4b>KL|u#NL9m}NOM|Xh?}a@PZw=QMnRBy zW)KLSQ_eR$)RT7SrJ$sW_4&LHsAmX~r*){!h*NtnGbWIiSuCXzC)C0u#BQXsHo?Bz zN>$VQ^#OI$Ybq~4qb9XB{f^Zhil+%&jA=8L+24LPH;-}$id)oetYmi zd3OsJk|hnxk=@NjlA5Ch?Lw}=-!|pJ|D<- zH_=c10*8R1NJF|I_`_m~N~-y*S`ucG$Mjgg4(zl61XZCEwZGA1gz9%gpT;;6FEH)8 z?Z58~*%Ax`vJI7gSmMHs_!s^)2JWn5WV3kwY%upBRRzSY>Fy)}l})&JP}zrnRbW>m zrg6Grw^CG_Xx@)G3LsQO-F&q3KnaMa!#9E*8{rB?-mI!-jgIF}LfezwQyy^MK>`-c zV{7IHgCGoWcBrZ5dkB|@`r55%8`^}AJ)^kGxL0JmjX|_G+WNoi6l0JpO7NTJt@llS z<3j8LMIV~UosXq;8(>B>AE)=SjMsT5||OZTAzf^I84TaQ)Wg;?Sq##ZZi$LK*a(ftPYC)T2ER<*_ti z7P8RXl(>o`_WK5%X_{?t*B?zF;v$;+V3S;Q>$*agz8Z`4-8wi+B&d=SdH-C2L+t6K z$bcI^?g~wadT*UwJ37{@xllQ}!=eU^x*u!Rz!C%-@d9^-7>Y?&QRFFp6f6<3#?^}p z3VEJhK_+DcbfDb-HSn*Gu3_|ajk|Cen1%TDvqvI_4}Aa5ovBV|HYJysomY)9oNuW6 zq^s|R)Lh+^_rwu;Trg9a%E4@h*z@z!X>$CJI7<%o#@&>87y!vF(={tF;yr*^i1c$v zim^qmxjRk-ZO+)-%%_{U%1eqN0Rp_k6;n9iqS$Lhkx|b*=dfijnJzfzT@vn?`ZW7 zU7p5vrehQlEF2p?*dT>K-%!G$hX)|FBLBS%NB=Onb5D6ikX*CtW3=neEv^G5v12-rADY+rj-WP5v!4nig zmIJd9$kCuj&P*geP4OuKM8G)=H_h=5Jtq{>D0R!^hyHtC#ZI^17wo6O&KEo1ZDsd9 zlGo7pwb=2hJ__QR=5?jPV|f~h91fug88V$QXTUD+L5L1NjLNAAN1t5_4(h0Dy^36h z`RpP&*j#1l6%#$6fuO(7h558z;gr{tc@5gR4hkj>06CcPXa5an3BwPY(CPL0lTGn^q{n=t zw_Jjo$eG6RHsBG<@z#)AVd6}LZ{QPdXiY#OhFx-{o5AUIXH1iw0qPiTn=O-X_Z_Fw z`^|6HQi4%d?@HKH8QQSAcwiO3-ETP@PW!)}E=PCvz$-i8OXrh!JC#)B8zj3~dnAgc z17n!-M(@V}xPBAmg1eQP8~J?^4- zd%EqNu@80>uo@j%q~n$V5uo)=dOs8H7GJ@5S&+c!bM(u&D=u~RWNMkg!X(acX2%3q z)G7>-7{2N3yG_GxX5PCBL}_;3qZGHJi}jDWA6dsxDd;9F@ID_W1Ri8(#%gn;;GK3} zp4q0D)=(yq^_N1v=bggpf+vH7>N^H6|27DD=+X~%yS8OsLEIj(eOl=qVq8Rs#=;6m zFLbM_HW^1g-L$R4&62nd!U~OgebTfG<~#$-efqh9LDGqvH<$r_uxfB+-fZm(2Z8=D zX80S5?MGwWA9@Aj-{wP%mKQd4(^SbB;&b_bIXBF)wRXXLruvH@I*5r9Uf3EG%)s~{ zdYmA_bFNdxYUH_Z(U0M@1)_YIZW}{hOx(8yK;emNW=MsmvFfE;P2jVwXB-DjTBq_n zzUS19CKEVZ1dM9!cDE@ht>~FpXG0~DOCf4MEXAbSyY26n0mtvm^)d#(f@PyCRxYo{lmXoAWU3 zOC)xIj}a#0g*P$q*>HiDgSo^SfI{!GA0!dryKE@)G;P-MIw29rO6Z{hGmKc7eLbD& z@mKb8vlYbX=1BPze9eH%b%c*$s;ClA%}DB>R+4J(Ix4kUG&tGeTCnN-SewdWLQ(IdBM7W;uxg4 zLO8gk-%!;MGQ5AUJ-P*IXcl&RmtpiLqS+f~Gc8y*mB|I_2hB!Z`&F;ftHyUD-Sa)o zCYpZu)#_uc+TB$*%xek=$aR0q0S76|R5OBGuG~ju^-UX@jrz6APsc&<#V9(&_scL+3=1mcG@4ZX3K=7t6swy7UDeuxii7fxn8d;Rqr*tyf zjOA&mjN^z5^f`l^5_vEK8% zh9nw}VIJ^@Jk!sx?sryJ^#WTU`44;Zx1TOKTf`_?-Aj2}mgovThyo}wrHApkqR8AE zl4(`GAG7to-HQ<0SPbK+=Lab^L)*)s;wnArw8fUsl3UaN?PI9_jj`2@Gjozxjp#%e zu4Mcr1VUTQzu{qPQVQ3M6`EdED%goaE?b-awz)MH`D*n14PO!RXM301i?r{HJN zEHaO+QN$?lIfH*bEpGp49lAu1#*Gz}Zmh{8J-SFKO+GSfZ?k`XNv?@U%tI+HVV;{| z*YonGd~)qJsn#QZ>$#T`4rlB3Vvg@BEuv$;W?!&v&fJON(T$ZlUR(O0O*q(mXli7# zI5^lMf71N~(aK+C*wjv5uZV@&RR>>{>m$(J`;>*%{r)a6#v`SLJ6-nCnF#J9R%r`jgklZXpLkcTWM$d zZh=zz2lZ>%A1N!xUma~sr3(~|F8nOeo?zz&Wmk7_)KugY>`~`V>MN*c(F6~VG!%zU!NYQ~Gn1r3XAiK*9`eZF z?F~BDV^WXsHKqo zW?#}^@VWljYyd8twV${1w7H;-(Ysl^s-y{?{qg&L;S16-(X}@Ho$K22!IjCsV%>K3 zlhSXbpz=B8ZadAGgaIY%XuRV|)G)R1OU=K`$9gifN`37dkJxw^rP)pDdIk_7!U*Z} zz18-oV=~}xRWn=nr`_TRc1s=$=9WU14!CC31ME9?51jd?y%eX|0fhUho9iZDc^SZP zdjf#s)M>b%iJAEKejf8Mql?Owt?&bRy7P@sbEAP_49fg2;(glIK-7@3CAKdg9`GCO z6_&kW)e0v-X7&1lt);mik+H-j1Yj|5=^9)NOur1ORV%9P%h||YUsbe1#Et8``+P^R zFSGM*RkM#rbf$U=&L#vN6>YKvZf}e%^IS|{dV1=W9!(y#n7!F@(QQqYa^Syi9UZO# zi@rk`inbemL}Ee?z9(OL_B3ra#15@ru~`Z32MV_GH}`*T7DWcmH?1}dzT1>PGpy@* zXV`RnUh2(buxu#MI#Jau;+x1P<%FIlX>G(h-1idCqdAQOHaH5(u2@bXyfSTbBJbLi?e9h=Z=93}OyP0xXu z0Mug%%JBvTy%Z033>|a|`ee;SyLQJu1425N*o<0jqDgXoRmXoV<&zCZR{aR@fCOe6 zu7l$3f4O1@?0}`@&-m)}aK5G8Kj$~UA~|?mTXH@_1V~T#_2H^!TBHr^f+!eKBmw{g zmgu|Uhc7Ex`PKJE3T%QHZ8vJ)t~QOcrfyvD2^-Dav3Zqf<+YLIiAG@$kD$%@e1y<0uSx zKWF6$v`xLs{#u%lBDda7u|FAc>b%uugfoo09gX+h2xHQB(f)&GelluBc34phI<<W zm#b~)z3=1>K8kDzW9Zk*utKs*-6g?hC^m}lZz5?5T?#nnIT0jN*g|}YN#fxmfSTjH zVZ@%(xY#s_7Hv>bN4iRfKsgAg z()?g=+*De%o1@FGXD!I_IAy_CNCGd98sgq>LNJqHK>`@klm+;2X!xK2Og4u>L#9YTSi`8sKU_^?^4ZRa3heWCZ)$l z?O%P-r$Pl!wVRefTxw;f&`9?BEswlTN}mnvs~mtmqdXhEEnb+x*(# z1FGksc(AzMZ(`zy`qS=Z=b5di&<+ZJ)^E`12`?wJ)4?>>>Ue8?BaZ4r~j5o z@nIu@7mAermbMSV=WoG!vfoB`#>npSh)?(bnEG{lN&>cmv&8 zrAHY^HMXuX?;59=FS`O+l5Z?RS{^-6=<+0(eUcJ%Lzt#?ESUO6+yyyJMzFAfR%lQC zpo*ysu-|{(p2T-~G3uTvF`o86JM6%Gn|7U7bRP2WUgK455l{q9NZmMrt{n^ST`G#p z;?XI_mV`V57%PpXF`D0WyDfB;%h<(Iw@*G3<_!;Wx5ORROYyrE^+r`h>ayNox3EtX26r z)MwG#UKt8rwHC-U!@|i##s463bqYS0Vj-mINa!+YLB$)-((Uk^R2_8;cMdl4{p^bZ z*Yty-JJ0|k1Pn|kDRER-o>Fj=@8iw_YsHtIv7EB6FBhJ_uq>tE5r!!fj`!gV*ezjo zCVjmxZGa>D_u@KoTBnWOiS{Hv2(PgzRQXK*DQiq($t+{IYz2Np z(XuY>x-6o~&Lb1b4%gRqSiAj48ID%21xspUL|O`lRLu{I8US)qD}*x&l|88M%WLFu zw6nw%8?CQfV9ao~T#XdBiH&prJ5X^lkMgG=KrL%9@cj6HAUHe1(4wmDfJHk=S3In& z1Uym$t`EDYbZlL!gMe!C%DInf0g65Zor}au_Bu8&i;F0!caS(o)VOmbz~b4EudBDb zS!B^6_HaBETs?K&mUm-qv%T-KY#h(cH8oJBPId@&g5kHpPWtDBj(Y=S147;W^|reG z+6R9Kb`@wPhSP8OCD&g)ylber5*HKg9YO$Qy%Px~*G#J#$c8Ei&-U!=#*g+CwpPf7 zXVe+K52x<;dj6!=clGe+gxY^cI=(TmIQXLt{DKt)Bq5?p%eMhyL(;$DPJb7p6RX2> zp(|nkRmY}_Icqze#KpUaNU6blWC%;@het5PzwfsU9Ogq;cK66_gQ4qE`JJY=GN2=VJsgFhs%|iWX&(RoZynSybwXt{Xwo+$;y6f01 znr_x<+`)robCMLJQbk8fS#5DgB@~-Y-{OA@h989x%yxg`RZi>8KV3-7#iYjQC2&h9 z`uU4q9n#(I2W^|qm`FKNvRuRl^L7jQ*Myr1DZwyT^bO)M3P~WnA<}WS9vtgif>1SE zYG-sCg>*XD1%{6B2PXT#x3E?j>HvTjgY-Gi@SeL|2cEZE$xTFXp`AM#5#)VK(_^Nx z(!lDoD#D?IvS)eF@h8L8yG#S9?|?(~89+w{rx?Dp`onEdwVqD^Q%!odM3N?)4|gcd zDfo>$2S322bRhZgPPP6jhn3PblB=HSw8*?ej6e@N8b6~B9`OhP46q(OD7%w2 zW^F>+RUGi1btuotLhHa5GzN+LoQ!c|R}^-#YH@F29!xv+te_>tyyrDOi66s9y%sKO ze9+B)I!~IZ41p=Pdu8Jv&N$U9GG^T@4bJcAX}2HB&3k~2Fb3erF>t2(C1Sy%1T){6 zh9c9)50?E9LS!RtU>RCNA|Yos%X$zV{mOQ29tH%x%1U&Y*5Szbc2ZARz=+K_YN<6N zb9YFj%l?1|IFXF&k+Jo=d(2Ci*aPb)A-m!#YL#4XU6sYyzaawtK~V(Njx^N=`u1Fj zy{NjZk>v@rNjH-N95#u)cB*rjWm6T$N+i_-M~41Sj%`1*s5~Gmt7}`58|$m3(hrTX z`(@0UWZ=*(CD5(ms^avKwX@0}CmWaay}JAQo$t8Wq-$lqZ)U+W2P`NJU#S-d*)L2v zCSe@42JIQvmKJxd2d)>jzjr6QbjKmUXEpvq_+ zVj`QkcjC_yaZyFBQVqjhrz@sdMWy{Z#Bkm}96E(ZM3gDWdVk`ysO5U&R#yX4Yq|`7Y(FgZcF-eV-5|uOynpJV&;7TjI!F6(yY!adfYlE62hW2}<;%zJ zJ!!6p8N2md%e&(hK>J9jQg06!5c;0|;qCd}Y~;E0#Cq)DL3k4?EQwXF=U!dtb4gB? z_{!?sPf8?YKUh*P1?PZJ+@Y$4FfgJ(@7><$@-UvHD&*<|`?~gGapAq?xDj9o4>( zc@xvz311jYugO>QF9Pbt%_U$q4_AJ9$iy>2Mm=|XWc3h1NGh(Y~ z&Uz^&9<%DleZ&b)f-stBOglnAlL`DG;%+~(M(Zy2Y62`lj1zLxlIC^eD<`{k<>a)* z{r&xD&`h9fYU>{1$L!Z?^$Yv&gy`Tk6|^|YwgQ8a%%h`8jJRUIXOac$(!L?;UjgUl zzROtV*<3dbEMqq5Tw~FJhB7ki(t~a(!b?jPXcv6(M<(EZ+*FmEBYPRMk6+lqPhIWR z1zDml#@^q`>~WI&t~`Aj-(TBY)#LViEn3E}j)O-KiMVw3cUcLXG5lpZ?0119L(_jM z2FS{DAb0N@cf+!OlB<8+L3N*fy&m9&2-To+l^w`MQZ*zS{Yg*wP0^w4LnSs=b|NOc z5-GW)BnZ)05wSaxxki#ei$EIU>@flL4|x;eVSzN{X>v;dt#a`(Y@aBMy)d_>w`jH( zUnHL5JMTD?O~|C5L}!yQnmbfWUIJ=iqmD!;l83_0%5~d7|BOjz(*LTQ$1C57dCm@(M+64WjRvaj=P42?i?GH>xYC^+!T% z7qg<~2GH9^En&n+1vk5an7Ce7MXRkDcj+D?8!)>JRpq>0rP|ztGPvW6pvh|sjB?p} z^SC}@Bf*C|Q~j1qGu1pw=+WQs;5_|8?YsL>fUL6qj(E?G3!2IPt1uDt(P`h`wO;oe zc(HM*`wdu|dJtpO&E1r4Te0>{()>L49JCG|E2>&a&og2pTWZ}k+g8j%B|{(DUrZ-n zYSn{+k^QUnT9s?2hCLRYnrR?qMEIOhuMXh(+42^L1=c>iE+&d+*JPY^FL@@PRo%)h z7#;rOqNz41TX(dFwKCM%a@5$Plv^9mjMRR-%f?9#ZefP8x{_;p@9yGx4a@05&VSs$ zg_IBoqVi)4;idD0eR-+CHtQB_HL>7u$~}Mc?iaY_u+uZ{!)*m|>);SO7CVJ&m7kGY zi&&788z;nS9j_E@yh>D&xbs9`_J3o;YJT9v2MkhU=Fg*OWUxvQ^;C`7+!7FHfl08& zb87RX5(guZ;w07G*ohD|gA}a2`I>H-L1;>z-&XK+{DCR#VrsfwsvA08OTIWmmph6# zXTlM@u(_yHfMrfTy4clIdds)M$7$RlD+$+{fxkCT{}8LH z;w;m*v(#6C)xOR~%I9|bGXIKQ{8Xnn)Prn^*0nOG@QDM}_~KJQ@OIp9+x5iwgW#s( zX8fLBt}A|PZNv}C?`o=lREK$o)MfZRa6t8~da`w~*xq5$g~;i);ZpNKF{dVabo2$Y;QZawqg(h|r?y&aO2-h0bvBhtZwgV;1%a3t{_gL6S~Z&) zB+Rj;H=WS`zy98^EUt&y`u}B2JH1!`N3A~Eyvu4F$gWdY#9!8U$Fh5EmqS?>*Fb*b zrAj|g(qCBSDXs>=&wfJv%DZlZLb}~0AmKmB-Kr#Dxpnfy=u$J~w7c>8)t){~P?MAb zwrY4%5zpB!!i$Z{OXdF034F1omx=x|O_T_!V2X>U(9PdV}aIRaP301By)%z&R|%e-<5 z(<<5LNvqzrP+h1T#s~g3nqi1p#g^KEZP^&XB2EZ`x@bnA%*1ti+P==t z8mV9x@-~^LEezHwwtB%AWF5j|1E1#?th|sxa6~~}W~?dWIf!FoUwq588WhL&2@H{* zJNlzC*v^eJ8KiB6a97Mq@?q%BDM@5DBvdW}8<@#0sV58uW;y&#ur0dZ3ZE(z6hfL0 znx@VcQ~UPK7ckc5D}!?;V*$so<>1C{z{lekH$hKs>1!PdORwm^S3@64j`pAPg?3;l zEV8Yss;*cMaUwICd}%gFt^7f^A!-76)JXV(^-x*328#~RQGrG-u;xv$X_N&7sU59> zXi8x6R;?W{mFbA-j|O7mf_+{fa<9TvjYjjeCJkL?<)(ZDvdJ_gkF`z*HXAl0>%BJZ zhVlY!ns)c}cK5^`@X#U$SPr6Md2V6fmT^J3 z!e284S;31S+0nNlne+~Bpj~#8A77xZL=tR>odB#HF=p5Wk4vFZyUNikv2a%2br@gO zRc2HiZ4hBuortaY_PDmc%d(6XX+W2ngHMCKQ*q;ioxL0L8g6vr!M$;_;%t3SLiMZ{ z(~v{n+b$a(xZ2OqNzk#7*E)*0##!#_bd$5YtdkZ&^CBn>PR8&28qfjyPV*Q)DF4gy z^@%(CoPeXsOaPZ^IuYa&%1c0=UH|7Tag2t=-Q*?x5EUe1!!Dya+tt6aIu>(s8MIld z=icgNBr_QDy(!T#&;_X*8rek@i3r(RRnSCX!ZXD}>5M1diiGGH#y|U9m-D{5Ia~LfASzQrpt8p87!yvQ9V?PxQ;DHXx6|TPMu!hZ zH_q3k4+3!o)1_(Ev66v7HzG$5=CR#$_hYb86vC&%zlDTNT?$);ooOw5JSb&_x9h46 zkZUqgbWq-t0Q4up%2l#YpanOR{_v2;+g5kzwVpv2($T#7Prog60{oWnJ@S8qe-?jH z36~%SIz!8XPc5h3kxd-dUY*Olf=uRb;$!=gUM)@kr-k>|h}N-K5$#ettIL(6F?5cHNVp3>Pr^SsBZ(SLYxgSTW5Sh2g(vr! z)WY707}pUJRBI}qf)Cyf*B#Hypv!96yBmfRY$QP6ts|%kD*?K;Rj6goAGCxQGa){| z6#Q{(HC7X()ZN*Ixd35uTgkF z_RQ1J{gD2H!wQ!NB zyPrA9t3dcM2PCtqz&{$d-gWyc4O?I`PDjor(k0|b&zw3wHg0Ve@tdVzK*~>?ftHjG zaTFk1AS{1daO(FlRrq^;8R8Hg78Asq$`4wxUG?|R{fi}{NCcGXLb=-s+OLlX9MbiFgDz z)O3dQx~C7RU>30Mmfq?ZzOKZkCTjBbV?gaIb+dM51FJ>k`$+sf6#vc3B+_9(EF;0{ zkH^KYO&8#X)e}uaz@C~1O$mq#Zst9BrjA0_7&B|^IKr%u+#@K9f>31(J?MP3ZGS-# zBReNF>eXMU6hz2YgIXcEl{<9e>6{AlkY7Y2^auvKxW4?IAb+u#&IB^aG{EU)X+58L zAL<8Y(Bk%TzmHa4E}2A;i2$p@`<@M*v_D|Ixr>eS&gdH&4LQ}Eqg71uE)aFdwX>|d zDS^n@v!7HfZ!b%Q(<9LU(-^TiCBB#2!C}60I61!OaV*$tL>oy%BiPwxe~&L+qNQuzBh}G_A2dtwdaG znt6jRs}FQmvqa1u!_u66SP zOU^w)|0o+3hhUW4+E#guU~T|yF6 zWPr_+sF0d=YfpK62*+ZN5e;n9-`c7UK+g2UcVs=GOz2{ z2dOOY2R1;tjy`Quc1o(@FplK5JQ=W@@98lcdv490se|OICx&Kka;t0-5gr}mod_%f z{HM0&Kw8Kk6@r63k^t^S1I{rCh6@R$+yjZDoGz37bO7hyr>08~eK=Z3Cru;-bzQF$ zuyA60(v8mjq@Tux1}L>yedkhA*ST!MwW%*vG_;WYS~V{{W)g{oC%Cv>giUzP=Q?IR!K7D_Z8ftA=$+LPZp*;orHM4}4=WLpx_?5u+ z(Ic`=bU%I*eq>(ZGV{tpnYu=<4w?B_F4>Pk592wTVv_#hkzb4HQ9Vq!Q2|LI()U3A z2nzxmvTot^b(KA_47zuQh?uN_D%be?S1uzG~zInvH zswmt~=;s3S0{^h_2tHR)4bs~4)VU=_5T+>O-j2a?NFx0NcvE-ZC&)EzrZfmhiI^W+ zxYogcdAk?fP`3~&gpaZqiX}<$Ji+ZNUZ#k8d$Ih*t0;R{*{{GZs{8DWFhAoO7>$EV zQp>L+f6veim4x*)_ zwjm51+=z>=qGM#130Qe(;1;C(?)^lgC3=-bETeN+jSt8*c8nhWrV##uL@RwqB!??y zi1-H{#>^F@T^kzB`eF4?teL`%U$($V!B;nKdkAxQe!-HKw1%soRzx`Rpb9VEtND|1(q2u6W2>+qHzYlqPhxHa^2J)f=wz2TM}Ff;F(Q zs3wkpppx}RCJaAW=v--?+tC{uu~nHQ>^{G&5`zQ!bPetfAz;YG+m3%30i=}M{&q<6 zvlh2!Y>{yTAv7@77n)NyecLZ|&q)LyJ4DjyToF|&N)~3 z5mzaGZr?##r*Tb(vG=(e%lO_f>wpdc|CZN30K#py0sm&a{Kqo?%PO`Yv00dAEhd;F zoJ>Z6IaHy`;7^ayx6=(|Jm=yX!9Y=D65OAH@p{3rTWhLGMGd35lF&qcZF<`*T;>lU zAsX{fZlWY5xI+1It3C?bjmlk?5)e+pBU+_pTHDUSZ+M|O*3cMRlIF-K2mVtuyY$r( z5R*ZUmW@wz}r*6~k#~rS+wL0H-3xjc_E2?I66e@Jo`5fiY zdEeNjE2pGdvk}v$yy=_X3%x#!8fQJcMf$Y8|0J9!DWUtC`X_DvOXDP;9vjZ#qPfD| z0RW$t4@zct0i!spa)E?!sL1K&`JM6=8a9e-wK}K0L`?8kskIa0g^apQPhZynFriz- zt~iUJ_-L#-TFVHPT8%RuTXGT<>{ZQNpCaR~kmSzC@_jrW9`|i-_NPvlMJUZ?9IAyv z&d_l^@6|bZA`tq_kihFpfMQpo6-D*s9re?*#|x>6CSQhle}} zC+N)qWr{KdH-&+471qHX_E{OpElwm&l(-zRIA!&PTegL5$H2G0Wm4@lF4ij(4X2bh zh3P`i-F&~+mXh4z#JWAEyg`}sC9&F0&`dpc4GJ$>#WL6Co2B@Prt6LFu4K)6H^NES zXv?mva5vJLxtrAS7_vpSW#A_uU^5E)n^>k_T& zcp0<_4hVUgndY)EtC0dMIgg^OY)CKN27j32n7_{`E!ymN=JG#3{nE2Ucy!W*+03EQ zIE7we54BCs0jwxc29aI`e{80mF>i$vBD2~aAW6^N=(|oFaH)9@z{PfB0;Kue0Y&Al z{LI&Z6^S;VkfID?UAw*EyUw=UFP&5AM(p_ShcQ^~TX416k&AF{cAYWbvv zcNS6%hCeq&wT5F|e{PcJIF65sy`GR3u}sq89R47KbEJfL;}2XK#}0%Qt1c^tyTQ28 zByggyRKRa{fu8oxhPrR#t3fQxl#`U`B=c`I#1aw&jeH75pUCbA=-*dn^v*it;Rlv~ z_Vd32u_v7HD%ASN1pCE+Xv??!JdB>=XU_3V9{`1fUMh7Xnf9V_qH{i^E9?ei~V8h-Bhp=t-%e|x@L5Pj`I z-hJznaYnY~iN4YSm)jqE+v(#l?o8$v&80U151AtaqC{aQLQMq%Z?sQ@@4N@MN412M zhNLp$F>O777(~2M+1%wTT31w*ceS5({h$SyE77B;Wl7$)08ecu{jXA++L#u6ZblGl znZmB*OL0Xm4yc9zeIVJ>v*{S7Q5UUE43f4kKibv!m!r>y5m!vOahp>u&a+JB*A+DKu{50p#W{!(nLZF zHmEqf_HjWqN-Iyzo|Hhi+0J!(dKT@-+e9u%U7U&P9$IWTLcXY z86uT=>3P>MRF&c?s3LWnguaI`J+(OP<0k#pa_!%kY7Gs8g}De!shBn`HF4a1Pk!DpGB`r-{twPZ>v2# z*#3dyH4K0$oukj8UBS*^<#GU^oclcm2m1G`*v)_op2;YoPQeht;zy__%Xl6rr;)Z; zv&b_+%Rl!2PO{@*=(ZSZoc&NXB$0ryerFCUx){s>dkB>oj+~728G*28B2qP z4(gLDSayO;p@~9~gT?Z+{l_dNI%I?B2ZLW(maxAA)|#zDaQyQ~-$rA9F-*t0o(H*3 z)9SEP<2iF|j>Wj9!#{K57X`-<0wgu<%t$g_6Aw=e%O(FgLj8Jm7#z(GDy>!dfg=vC zL?9lm=(PWD`~s?#-5p%P*lNTT`x8!KSBqj9@M%Ib51sWIiuLQ3;bqMiPga^mTeupX)ZUpe3MYMpyus}Bvk&1U;hi9gAQQ)MtdSE1 zAke?{*>RNl1{%n(EA_zsBM(kUK6ZbQXkZlf6oVa8`n7k6VED%S!X{CkF;Ar)WB$4; zg}@W+ty^tDo9lUl(sg9OwYd%YSUiq*ao~*G<{nDIz9NoM)(syf>yP`>AY#R(in$Yt zALjD~%hgxm_3P%B3DL~?V2M_MFuU&uN!QT<|ln*2V0#AnC=JB#(s$Kj234)1~%R2t`8 zFrl*#wY=iV=4>at*K1wozMjams1a^t_;iyj;ju;6?heCq#vEw@Osj+?m+ z*!ebv!OnxfjUV;5HBe42qp}5Iiy)PMxf8-AXZWBXsFWUTT|Tt@=N0vQO}X`D@d@%D~}#B4O5%%jd|cKlQh8e za7Mt(aC|(&8Rn??NP--!A|#Im+vau#Wnwld2%@VaRW4{;q@QGq-`AWX8>vM{jI;h; z99FO{_w-U1gO{0d0zTpZ8J3YhE8(0yO_)lv5(C_WHQ1-<{S09=x{(n7hg;zfl63_m zNNdb%N)_Uh>(=U?vy_jnZl;ZGU?H$>z4=H^1mS32FqTr zqVs)=fv*md&qi1Qd1Hjz{{zxMEx#YD_Il)@$%n#>#{>Qqw6qbMm8-^2>1V&^J@5Iv zfBUcftk-kxT-BbPT5sCVuiU-FvHrUf%t1v5G%H^$uP9-f&2pO*ilGT&nILPRmMU7qN-FrTLDZ1JhV2!y$cJ>8h zrY*ZfYs`H=G55cE-u%#Sn?u*!xc1D4#2z7PD@^d*7?rUwqU#ZAu+k3>ycTiWh}Vp{ z6BfD(41`{hn=CUkh|ao1WCed#K>5+I(J7Vs1Zn9@sKA`c;}= z4B8J3vXSC`fSOmIrRw0hrRwFPd8@4*mD|O~0@yi??$9ZJ9!Z}YZVQ4MYRiMA(8rKf zUcc|;xhKmFxAEb6m)4p*zsuzLL*~Pw)_f4w$gX>^V)IKA`P%Q+jQg}=^Zj7+H~-bI zIetyRW|188;b|)H!0C3*lZ$c9ooW#C^sh2CfIC-hwUDn$_%*u<(ax!oIa_%mW&@;4ip`k21Dgy~}We7|tKZ~YY ztXIn&nAzE=FBkP*xIE8xs0$zxB!ygHN24^LhkZQbj?thKl(l2@$NeT zawWzZB%Ak;Qj@?Cq^>$YE0AlU88AmH%K$4=3Cn?@{NR~kr>S8kn<2RdM2Rt}K(4Qd z=41gW&KlK5t-N9khY>bgtMy3mT7<*LJu1(D+_B&_hQr6*k#$&RrMFU9j^|i}!<~$J z!LJ{R+DatP=U9Zp2avtNw5R0>QGWTh6>{x; z7k*U#Hd|{Q4utZP55s1YBi|3sF!GSEC`sJ}bi*<3ij6FhaxK z_E3g!R^6?mLPX7jL&q35X`7t--BvkYIU}8b7u#Gtw9oRYBguj?#@)7LhcUn&nS?^lm#>LNH4G~eOZ=%m zdXa(H@7cMbHf~COuOgZIvX1Q!Wi)tuD^hY=6x{QrKS+ve)zQ{Q(oMyTS{M#Z&Z5zWLVW>)U zR{Z%zpP_oeT!=b7o?>>~I^q7_q}~Xmkp|zGIL2nvwcu6rcEnY-o`?KRP@1`gp_rKn zPm-2U8XBZr!Y#gGrbUuh$oCAAGuqj0YeH%VQ;D%AvQvG1JF32zSz6|dx1$pa^La{x zw{3245Aqi0nvG}!#@i8v>GWmTU@$RqYp?`+Q5^ZgGTh=D)A57L4|e$6rLW>SeHHP{zD4KsRm8KwSMfajDxT9< z5zl;)^i@2Md=<}U|0?3ykiY3)Lq1mDxQm0E5#_H#1MLu}&HOq;kD z4;ZzY3W5*u;T~dz@S)zV-hRW^@m_qhs2{%d5676y* z;io;RLc!!y9!Hu@2FJLxYofh!S7myVb(0QRwY0KdGQalZ{Adwd=W8#wS@J|Lb4#NV zVzTs)EfQ6?%HNeYu=uJlQd)9V#_iI_F!s@M7n5b=2dl!24;Eb&T1%6$UQT5uKbUMZ z{xrBMy)IP>sy(1%(lL5q~- zR1#EvMD5Us$tW4cU#)&Xq!qL=Lr7S2paWeej=m_{7Qeyr*)Vvbiy}$K%PG!_E{p6}cv$tSH!K^*KUQn$3KvR? z<`Mbth&e{UAV;8;!?SXDW@UU8xcU7}7@nbNM#fi>JMAmjk#4_9+*tXw0BL-1;xd)K z+Yr~k_JGwZ#3-@Dy?Uc`%AS+(%RoG9)^i zVGliIkbU6qH#;oNG=LdyP6H&7Mh_tNn8Tr}*O)`2fkX#sKhg!pDIoLY5EE6krOV zW!vqK^YsBZa*Jo_PvqGF{ctQ`yu>ENDN?|h#VYwPP>Kqnz!>h!^rMksf;X*-439~X zVcR9*&;R4NL_BT?NcEvdpzLOvN4^k|a$iXq#9s%A?PDyAEuRv2bm;YOzxjLq;1AT; z662WAf~JZLA%+9*c7b41V@qPSAndJ=E$usJf2+9CTTmvuK5?bcAEMb|(M}fsGxl{# z_ejhgqw8(uS(11;@$6Ih{UZO?=kS;Lw?2u#z`r$~NOv>f5x;BD_P zQK48;d=Rrlt1VfWw8RLA(o%RoIC~7bLF#|6Q>0-TIG}d)Rm3*v%i-FP(*6pB-Y`tt zvFxuNGcoxR^ah0X{N41TJmMP58c|iK`8?-BI$aaiE7{w?IS4i5ZUn87gO{#HnK|6V zCnCOD2gvDak0pJu?Gjb!z8UELzIXk^XE-Gay%-bd#*82Ew^*EBsbX6bD@f=;_1IuU z=NE=8pkYU8Mbw-Ry9s{!Dt5m|->hQ%v^edn*!^KgfeEhI32V(e10K537es*xaW8^| zb2>*4-2>WmwA{Uem~(-i3^eS`3@!W*h1nqtI!r6((A_muu9i}J5Vvraf=@S_&hNvDdf1AHfwjf1XB;vQ0KGPwq-NPV}2aesLH(t z!EoCpst|fJ5c=s~`LBNQYu6xjvEj*=RX0QEqUw`}(8bDg4xtMTPaZ-c!Fsyq$V2GE zv>Xv11l<&_LZ9}DF71~ZPkW}*Xm{ZoaTWYLak^-vEjyD?oyFv&)VQoteyKQFCOpfL zJu>*t?=N?T+n?JGT*^UzAbw}XQB-@g;;L-B{c*l%>WhuTBz|X&+fqICMDx6wDwzzo zoP0W#zr94^0kvtz1(R$>aDtMruhq z5m{oQj<)wgqOWZ4^{|KmcT{^pz&K(qJW`OJ!Q>-LXeLr$mxfcZKM#w;;fUTQ=`4nB zaIvC?#bFqsFNi$p+?*T_i)l7Mhsk}#iXImGJp`tdlGGF$hpS ze=LEZ=DH^p&CO&assn~lixax&768d*X{8cL#1R?!5+E6AE+WD6v!3Cet%bu{IP_9gRdK@R zrT!~l_eGz5H)TC_jJ6@04b|>JtpQ+xaN0D16dPU&iWAYW1=Ujx_ae&V=ZO>9%n%|+ zB+NjR@Ce-piLug(M7CtuDq*JY+Av-w84}sd5K8!9-T*5Ri3UDyG9#-slrQkfrG%jnY<$9!6k?w+ETt&m(r4`>%K3B|PToE) zrC5%JIai7TK;-*nC`EBjh7%1Uklt`_80{#_{Wg^HaOcmQr`wc|$12+1DsWRWle=D2 zm5z7AO93^_kiC$a!rVhHNx(1}5;e_`y{X8a;v0S(Ll0y!CoO6^WW=cpN*$ILul@Oq#Dq)HK5&I)%h7lBeaN#l@EotHFC{(XzwD zZ5$Ju4lQ*dTN2?i2AeZ;J5YWqKejZdM9X$0d+3BEe>%-_X!q#zWqujs+1SS7KOs>= zGD{qiml2Jp;3;csaCqs?D!L13XJj9Frqzn*4Btmyp6_T$h%Vr(Yg~1wWuwLHr0C)` zBfZ7Qj`vdnw*aw6ddbc^;4YCCNPQO4N&zrO+Dyb)u+q5}0XvVF7SXXiq-b=8WO6)Cjmnz|TMREEN!* zyL#@$=dSL(9g@S_laj1z#(4ulQ!qMSD?)-Vn~G?SfO-EsF0IF3_{jHv;GL@xaJNU1 zQQ}pb?P;Nt$}@y51YtICpfM6pGV~EJr2g6CiJ-B8NBd3J%Eq{k`5 zkStT3*4;d8yo^ud&m|iwatf=&tv*gI!nTRHaUC|E#_?Q&pp2-w#;MO;Jtau2!vx}p zpD(n1=>x z=qt7Takm#%)3NJhq$yf3VnFLFwcs2R9Z@9SG4D;~q+}?F8#XzP0LfljHls`pN|x7( zql$A&1l$C6lNL_}Q62S}&mK$|Y?wLsH(4z|;=Zn`TViSL@q7#DO8awi%{SBI8E)Q{ zA81B^wa4=@O(@uQi7JNP42FKhul&)AXA*{#Can^=fY6xL19IlA|&-#zC4n9l&?q(wH2XU z1kphTqaa9?D-uJaAz%)k!+d)TF*F)tRI#WhjgSn~$U3})k4G@nEYahmmWQqtva$bs zBx;S8`-TIX@pjOYsx?+y^TE*8qn$#mqU2n!i=e2g17B&_n+^U=(D;bqwuIRjGt`ik zrP*wtu@2yNrCHYcU1^m)Xl_%!oT;?>fFWzRMJwFi-qPx}OH|?ZX5jWqf8b9ZUiF$d z&Q5)wNyyrcnj}6R5$_3<1t+NtSye*_EacrNsm&CUY1>TL4GiEN;!0p141*A0S}_Y* z2Skn%EgyEhf@3oDA?vUkF~yG2V28Xj&e0#*hpYz-(8G!dS-TOG>Ds!XYa+MRh_aQJniIl-137_l*a_6e&2+@r|v`ZhlI3&?h!+#KWF|WA1GbDI3 zOG+!_W}_uodByE3L^87+Iv|c%f*Chi)8$+)#s-QTntV{gTe{|WNA3>3z)S?*n7EC= zd@JuaP=;dG_T|U)^zvq#jzXVgKeJz$}gi3;iMwEGJPtGdsx6_Jqi`L*2zzkcZhKVIOM+V3FlUbchx2HW9+ z?9RA<+g`Low!cJPZhvkkZ?ZjHw4V3Z_7`o|76*gug3GqsALonsEFFKmXfxhpE8&+r zKDXWedBXs1uMRv{2^9M~m-w_gkZr@}o8Q!_XRLIeOKe4BXsB@0jJYXyYV?yk`QU9c zYc7B0-aFnQ<&HRoFzH}3-xOn)XlTkDYj$zL=_n;FtwbV(vLY~wYI2NIyT+=Fk|MC{ zVmW4MM)2t|&N7_Q-fdp5=ZTU50y4+bQ$C1ighb1@kRy)lbQ~ndL*ChZRp|3>xhnH^ z@r36-TJ93&T=IieuFAHnLhCT*Qg`JgddXjvH$3sg6aVCMzVUaCDfOhav`NbV1}sbY z20Vw*7MG|4jA6qToQ)2wW&Uie5GFux2mOJWmG&^<^(g9Lr7s{v;;DMxEw49t3~u%n;MBkZ0qM^{&C(kNg}n z!o;v|kNA9OxevZpSY$J4pN;_h7oSPuV|m)CUC+IK<$LnD1JpU?Pp5xom97gvL^jLC z{q~&w+;iN=4V|#>y#1}kn_E)63HN9EQO<~b2_|dt=GlwKRJ_@CiHKp3qrT=~*Fg8g z%`^pVhr9X^zozjQLOhs=__+(S;N5JQ{Be#5%Txcx_y4sYNeHUa4wg+affLMEV88hM zpeG`z8TQ?g+A2sA9m?rMxd=-T7z3vXb0suW6V^a}iU?{e21RO;p9Z9C7&j+FB4{+! znhXqqr36NANCY)Q^xENw2yU<<#xv!HL{KyAca&YDAzI|hLlZ&GaA2>xWEO--nXf1a z8ZE8NgZYq?L0FP2F-vH6v^Yy7$Btc_kCi~Sg5ic)_B+rvX$jrY$tVxWm6+wQM_x=V zf%VxtU(G=f@Emx{L&e|wuH#OgK0#!hgHd@-K)gh6dGZ96QI5hPk9xQw^)|VF#6JDrr&8@`3tn9Npy_Rwh?rO*eVf;H4y6C3kNbkp z{{3#yv`lIM>Cno@2$n&{8}b3DJ*k)AEG4;TYc@xn zJ_Y7R(35kPg!K4+Fw_!2&GLt)4g6;=kZzXa$O+G4iKmJ;q%zmx=;MCRsUlbtZ4c$; zQMng8&vt~e0xi2kn62r~(_f%)C26UtgLIw`=ujAV+h0L=r)MYIL7MJ9%3uQWr)ehY z11L-73W}_<9hS?vo$|^dSRSU-Q9B|2J?Y65FVJ>5Nl*xdBg?$poX_red=q0<8(kA; zQ8v&Vk19yopJkLRtH07szn6Y_w?C>ZSymqgSyo=QQ&yd0r@c@S$5@{;b{bV2pQIOc zyQp@@A`!iQ@S4G(YKA;*dn%F65fOUhSC1mO4Y$ps*mjAkM{zThlb`&Gi{JXwYf7zw zJ&D-=h@!nfQSbwUM`2+orbcg6YDMFL&@AV&2|h^xEI%#j>XQWhlBBCo5-du(`Xs@_ zNV*bpP}L_)$U`NNoG9kAlrL5YiVchkyjk89gnLw~u9oJqzetGJ86H{vS+OhCpJh8C zrTCa`GpiDZ86M{&-L}AtMZ(7snDMx=@cc^cz~6R>D$?By(zXBb2Yu-qQ#xE(W)?dK z&e>hp54b|E1kDY3b0l$FYQ54s4Ql`r9XIVR_=uzM-Qed01@UA^q>G2%AE??k&zU6B znc>*(sRawZ8Mt<3Qy!7d3^9ZmuVY1s#)3)5@^3mcki{- zCZ9At>>wGVhaSRMk#PEmi#@Yy98gpiJ{c6}%0o*iX>a?8$y>@i8z8PzoMgtV8rma{ z$+v^ju7;=S;5oAjQmxXU2;G`u0NAGR6diwxxOm{Y1PzYyY@kl@QOfEPgYgVdc!I3@ z5*q4bFp56DiGoc5y(n*2VlMP(ptT~?GMZe#*a!VbLAOYZN9qsn_Ern{jp4m-r3dIw-w zcWLSF=!;l1$GtLPA4)OOj^2Ysfi-dOH$93L#nIh8ebIv`ASHWzoq`Bby8k2`wl#4_ zYvTeY9Rw1Bvt&j%S{@H9*^_q(W?^x3Y2!D`{@7B?ALrSkF`%^c*9a|1{F3q=aiY0@ zN89fH`HsbSw_U}%ACHQ=f4*^1ZojMS?!Vf0_b)Usy7Sp`_p^y{U;58n1K#XH{~`ZT#E^&i~zO2$O+iriHZ`QwuhQo|$fCj^Se{QG!@qzixNjssm2l~^IU@Uzc=(0n< zUwZ%OA4E@{+d_4IVsb{;)K9^!FO{{Ehpsu z!@Qitv{TV%GYpLtFodXvdd%UaP;!cfn2Mz2$`)Klf%jx6zb!@_w2?wb^f{CSP|1~< z;Q`XibgRJc2rhV9QGOwU;Q^FNctHF)-OGq~|7Ijt77UN*NJKyZZsoX}urLMxf+47v zEQaa(UqBtS|ZCM(kgb6%|RWU5p9v0 zV@mHvk>1us76^nDyd6wXE)Oml!LTDd8wyv^vKt}b?ceyB_$~OnO88`A!Tt+42Mq*y zoMU&9^h=v!douYa=dnS(X-&JyzNa(TEgY2;$pT(hW(}8|6U>sxq<@3L%r^YIy?Xv6kmvh1QU! zoebHtz&!sk_Tit2(w_M3^`@02x~+Zh+B+=657NpdA`(81OQh(1R4J*z>9rq-mjjSL z`LloS+kQC#x#rd_WDlA4yNeY&muSZ?#X`l7KyE88R_x?wKnj-tO2?*2qN``8lV8V9UG0Oq_VQ2N!e{*hydifG6)E# z%qIYzhaJeOrKJ~5K?=yTxeB@P$z`4V|?a>yn+IE zULzE!_cBSz3n(6mrO7ME#;k`R2SMLtJek=Ni7sYk5nau;(s8+lqx=L%!IN7{uB!L} zE@cn$XqGac06oAj%ki&~OCJ{KAGVuP$h6K;KsRNUiA-7(9&2N6<`xE-BewBssLCxy!5kM)a zYlvVY`evjUUJ7Bn86I}+9>~g|8yWe+knm!LM+{X&?1^MJ9jUy)(F8G@}7;^Ub^6aAwhKwZcM zV+Te;v7(^ASwc_>GbxcWhX~U!u_XE@O9{?TSQ7oCI|%VwB=s*KVhg~YmED4%MXE+CmtPp*IfGYZ_U@gg+dKk zxqn4iVKHBS$&7se02%pk7gs31y}}0DE>VT@n}PDb^Qpho_jh2Bu{&*;dD6ppP*9I;h_Ios#N@98{;_IOt)1^?G7egDb6>dTJ zB_7JdEDic4D+8CiBs9DN3x5BP~sj_av zoCGJVATOfOZ3yfC3W!dEXnEFSt$?yTYhiSPX?bL8>ah~R(VkD{y2>EhI=p1dr@BF)e`D6dq zt7B7)mN6`Lwkqo(mcZ9$MQURxFseiFEYVq517IfKXsZSHgDMFnz)gk(Ts!oz)bE*< zz*WQ$!6u+LKlCPqLW76v4dL%QQ2@`TmQDkT@~^;xT4!AN^-hokDDtRW*l!o6CpWpz z5;AESA$+_Sq11s-4OdQs`E(r|AK(JG&zYSt#1v4?I3z>I2e^o{!N#_m*6tl2-~yhH z&Z!#FE2Q2>TGI0YE<)E44vyhz4^ZWQD(igUCnQ&EZcLN^$oW`4XSL3*?RuX>afQl- z{dQq`a+CWkw>1Do8+`j>mx1#1e9B(Fg^8t(6n<74r=Ue909fYuW!vF&F$aodf~+lK zMOI1YM!6HlSg5QmLRw>e$~|w3KwJ;Ce&rk}^r4_l#O)7*ti~eSZhx5@<=(%HS@Qb}F*Y3^gQl|Mi(q8h zpKU4`H)5r&MA9hfbU>9rVnq23BgQhJX~MV>*u<*{A(9tIIs{&KPYBf_HZJh>+Q$&5 zCf&PoI?@YF#)WAGal%q;3*cm?7oWSj_x20214QkM9RbpjAXA%+;+GrBP^mhL>6xdB zK@qoPLKgcNj{nyizxTB%m!jBOx^~2UCF;+3Y#F<8hi|o{NV*QvSWLVpw0@7g8OBFTuwp{2$ed4nAi9$XRm3a!Ybb9CMeWU0q61`1??-0fL)774<1 z2of_uwx({PJU!Pmd=lU1Uf?Rr(;m0XdR$`*mMlR_Xy7-y>VBN#&q%4v;UT@1glF(- zL5Of%K_SaTuAqCBT)}L!as`>CiL(p?xjf#d0*49IvXKi5Q2AJW;bP^$ml(nz2!h!1h(dH0j+(s> zorS(=;Y$pQwFttZo#SFH!m|Ye2LR_7oq@0h@;n(^0Fgxi`F2W@$Vv>0^$j8Y$I~=D z%MnSWlKqge1<+|h(g#A27Lp+uTL9;oU7p3FiN8L_#iEI)b1W21aCCo#!XQU{o)}p% z;Z*ob)Po-h7l(xysKjLN=)pY~@lSbitl^lzxPW& zmXte{4E*4&4VygYR`DQxXC^KZ>x6*=0 z=hdB!57nDIHSY7%&;n$~fP~F59MI>`eSW&% zV+f=~c?e7MjQ6G}&yYueE@h@=bD3o)sJ5U-Er2CPw}NF?lhauUw^D4szU1`Q@NQeG zvxaxue_^jZyxVq(DhO`BMCX9upL+ej{3Xw<6=~5C&Dp>}qBRMcj_SPvS=K<7(9(n8 z*mN8TL~Jv{dorZ!9=4b`4fJ$0Tv5KxLzV}@IPwpb*N~J=D|!$NO>Q{!jIxXeLFmaq zybZ95jy!a`<%f2ZGn^}w;Rvhi5;Wr;4R{3L8A$h(Go)8V5)YQ>Og|HW1!grpnu^2* z$^2_LtbeCWbivoz{Av*|is7U0FDt9Xs1jG+*+cQp3f>bop-^*v%o)CMHRim%{bk!O zQH9%^f!pRIf97BO2dgnBCg%cA*5MYD+tD^fIKiVFByzg@2nvYkpd8p#UO<_LTS%5D z+|EInhg)R+bb9=pn3{*%17`m!%L+pR%R_s(?a>2xw#J zLNfuf*hih7PmdJpu4WUc{x}g|Hz=c|)zc&HkHo7L3TL3M#+=t3b5@|M!;UDN!qFfn zImd}{EGCKTs?iRyJ$~c3i^{I%ee{AzwD^ zcP%wgIrRiw-D1V8Y={v@0(6*QBmyt#(3^6m0#LJMLwV&y+B5;j@}o8Q(qp{POlpZ$XGOPNq8g@czeML`MSt%n ze#0;RzbPxKB(ajCd+hd9uh<|165E#>E951%swaUWQqqZK=t_ph6*G>>kmwb*ASTvQ zg$jp;7FNkXC3=}5N|8EHohjUs*h%z?hV9W5z0ek09NH5=ftOv-2x~%OGM%I6=mN5t zK1CEeqZcBTAUpOrc8qsXzntUKlILfZM+_9f`-Ua@x62PG9sQLMI`>}?IHqM2 z49oMK5`kuibtKdDNRHi|0DK-@R_#~uURDgCZ^3H#>p)*Mz8!z3N_k4!CH2KV5-HDc zW7qub?aj|_yF?ZEZwC0k@2`I3cl~N=&d~`r7Pi{Rs8E{&AF!DYf)Y+pm>7jbCg)ml z0M-HHO&N`*IZ#p#wb4>GsucYqL8m$y$`6IDxZA5{1xA6Qvm{?p^0UEk9F9G*sXX-Z z?5#LY_1L4rTC6Df*{~v{LE#v1#U6a4!cfYg!7%nN9mg)r(@k$ifZsky^u%!b6+Xxo z8SG+7Er;6s-lJlw&V-7h`cG0PasZ>ny`#2g2A!6>mh`S{O@E2veAvT?8?Lm> zuMvjD=sVIjp0wtwE8|@g@gh!pF!FLpy36BHCDz2Zh@OmW`5CJ6MCr-MlScZIjg0in zXYPA{MxL-iCbBUGZ%1~fXCn`2B2J8K(Iz=b=~3`-EJauTwQkwu;jq1Cc}R#%=5RZJ z=X;LWw@!?8`=e%?QJP_pDR;Uk?%I?qCru*iI^fmwl>Q6FVBb9S??535R`wsd!VtANNjv~mJVQ3|qpRGlZ zGeg9fr)O&sX$VS_ zp#=HS60<{v^9*_yvLOsQoTYgAVM^KZWG%)Aw&uf3)(Ti`*e9FwElTpzNy?a@q=574 zub6T%;rnLb`-}eg$G!2G;QP>$xMw+f-9p~0ZMAt8xm}q^jZ~Ky?f_)W z(MQ8QT8tR~0mo(BmN(@0+YE=HBaXF5;p36uSXzFUe@A#G9-MP;bA|r{|avgBfm6NoD2!yW{B2fWsdh4 zrKwr}WGL`$mWPqePgwGJ9K{l%Md5>74SO?y(+QT@Q%jsS0lAQ(&57CaN|_x_=vX}B z0lCM0CVcJa{;w`~BJDe~mO-mQJ1LCgS0htpf)7ww(5AmUw-v7*P9jxV(vw<#Zw zWr5k&g|zSaA#k`uD~8@)4Bd8#Du&(+hJNP$&;FOS&K*2=opTk7(zVWA>)cPj_;lth zhzIcstaDG9e5wka0Ke9`S0-fCI``98FWh_aUfyCR4Nsi-@Jb!oUF+Oeli?NjuHJJI z$9-heMz+Yaol^q%wtL_6%qweTci@JR?Y5r~;u#Z$niM!UXw+uFk73|V$%khS!3P%{yj$tGJf zoPBvdD5BhVE#Q3q$`28hCv=^w`6|9xfH0?jedoDPUzCrFjK#@K?zf!EKqeuJ>}67y z&n%RgiELwT*{KTatB)=&E3pZugm+1I>MSq>Pwo$|&o1|y6uuq<{_4v^$N3*b#7 z6B|yI0~QMmz8o-+Ai$FP5jl75)k}x=<$%5)chXtccTH0k7<@Tkl!iK~MD#7o0sb6) zIbi4;)aIqO(H^v0ARRg@2Xv@{Xl;WXi1~_HIRGh>UWD7Aw-&1TX-T2%hfP!odT{!2 zmUGn&N&A{5niN9`X2*#l#nFASCNvD7zJY10N}6{^_tl!iuIG88TGK#5tz6SzAG8u` zfdk`C`n+bc)jc3WC#5ynnlcLHX;k^;?}~>&n=8+VPcSYoh25{TEwywmhFVQi2bv<<4iulRmFgJ_{r_=&YM<}1tOT5meVHC1mogl zoX=YbH*m$~+l$THE>Yzz+zfBwtAFH!KmH#kY}O)*1^ZUPA4jxkNn8280dJ1+WOylX z|I9D|r1YOlX4o4No6QgsHbi?EBI+$$u;rIA^lC?v;Bi0g_?LWXFoe$PUes|QUb>YI^C|S${rsXqBO8Lqq-B$@=sAc7!?}0`o(MN-*H#Vmx=_cOM>fVz*#<%ty@qSzcl4*xJ!TDQaU?=pm^S80pPy@B~m zOkOkh=Z(e`^mbq_UsMBg(ERxgkFDs~g69x}aON+D2cRg1FH(j2+Y9yEE>VU0n}Pb* zfAf=X`{Xn7`bdgP7@iWsK68wgZOYamufH2bw$A6wed0K^^XHlZ&CqZ7;XnYjO^tnfX6{AZcRpAW{LI(ybT_G2hKrxbbocw%il?p zLBH$5$W6DVzdRUm22z6L_4}!%zdqw0=ch*>;A;7*!kO-a_yn=?Z;&m|h7JaKTyzQw z^w0F|Cm>Ic#P+ndO@2uABJ_~SqbL`%_CQ&By;*Q3B_Q?wEW%!n@F(^An%PJ5Fz7UI z+jnRObjkYu4xEab+25UTe&%TgqQ9;*gLgc8bo*kE=X)Ta{`B)tJ!P=GKRhTSog_04iCsAph$Iw7F=s*$@V@+F*=M*^>_ z0ssoakB`b%v-{VW-LHNBuT;){_^GMr?Q%AcjH9o6AWfn1;a?|0;( z1LmRjyPW%n7@p?-+cr23>CO1u|9ET}lOq=~jG^y88T#D+2$x2*Wangov)q3WImVJ; z+Nq0?mdtYh;|>$ev6<>dOkXhgq2KF`V;F#nW zJk#b41rj7KkPMo1oCj&TOdz|Lc{$T z2BEzElBijfSq9ricw96OXfnaq<4GEE1O(LQAr0Wt6NsD1B0;dG5NZlRXy3bh)@v;Qy!%Gm{{P~Kf5#90U@8EpD>X?WTswVmkrn7u z2#c&hAEAyA)0L6};`j-3F$++YM8`0`fv9jE%K8+-A}i3R5QY}X2nO*sSucgWPrfxv zA>0IgFit*13I&l+{(kZlLIZ6>rX@f##Lz%*`2eB0VK@J-#p7n1aGrahpHKeWj$%PT zcvNBZcgX&VZf))Rhuo!W1(1AGl2Amb??dlJ_TVM?fqjj{3;CayMKQld;*YcM|HFUi zLw?U|QaM1G6^7-Bf_D9V|2wqOlO8Z5EbRGbNbUomfImG(@G4RzPMhZk9*E&;*c@}B z+LLef{6LKGB_SSsI#k|Ejvlex-t}=9bY2~Y{+5T%_<@Vv{7fmvB|+C$w&RSbao+cj zRtXhU-c4l;vcCV|?T{Zhm0lJsx1)nUz@x(w69Y?Tq(oX~iF`fg9Mf{5C2%I{3(Bi# zu{?Brf3h8@e+KsUS1^92_b;&pLWbZ9nf&ymk^hpG|}C z`z$N|ptT*{l`$m^${GZ591u$Hx=%?X5ezx#v;%VLKt_k0WzwG8iD=K9yU&4BJeZzp zYQkG-;zQ0I{#`siiw5T)H>v#K0jK>@Q#eNp0NC!|j($8b2aiou2wX2ezstuE_Fd}u zK5HYW&HJn=yezze@JqB(LaF)ro5|0=?)^Xe8E;GxzFv%n@Cp_rc^Cym*jbX~@m|5A zB#-wB#wAG}?-hX9cN%ZKSFi}T-YbYj?OE*{?-g8wc8(#L|7-ZFe@{#1By1}l2^f|* zD>m}VW0cFO^8wD2`w@pRc?_E2Nf2A-1I%daRv5Kjfak8Bd-1uedvAw0p?oN89JoW( z8`m%3Y^nwhKP775eBnR3{BJ(y8ex^kr;mGFR+})5vyhTFGwOR$GW9%TftYOV9r@$w>V!Py0SeC=jTG-<tr*hBVu;zTtaFB!z|UgnX@+A5hBWic!_Wsc*ks7iGa4G98~o8g ze@=$POfy6_mTYpiq3uC^&ks$^jD{o9v2y4`6NF^aio{Ga9MK|&ca7{iRAS0ElXaK5 zhPCOWTKRRfHPNT9ynM8yfhwM#rQk}mY>?cR_p=*9bGe=7^(But!M4D0c|z5D3_Lrtd@$ERqWWnsSm{03Hvgss zx26mV#@U?jkan49-QDlpz+5?-!95X=msV9jrV> z3~2?e!od*2%Wp(5M5#dm5R+IZhQxC_$JkzVM6Ez`B49Odi=S$9=JUQjI%hS&L zNQVt61{&NS-Nsdq4P9-TVbJBW=eeR2$+vQa{jGvCZ>fSaq4_#W$Ik1{zT$n5@bi)! zz*?9_u48{b9b%WrKB3v|d`Y+Pm00=tu2KH>5c1k3-L_q#8s%@lMCWAff6Ets_wW7A z4}Rha3}r~7tgWm$Xe=ANhH5n*k`Me-aGHX1IG=X}d-m62DFcX(@Kv@JJ%MtZ?d& zqsIvOO?#nORaeNen#qFuxcugnPgT+Dy3h-5A;Aq^m}E6XsvMh#29=ZniDXD^!&w72 z5-Esgdq35-AI^$x+kZGKww(y_r-^Oiu}o3p{S|~)qUS5w&6pL>dDo9H^ZD%;AMwlY zO4U~lk}WO^A@kj#9XbAiyy&$7pbZB{f+V#%0Ca~urW!5|0F9Nnz8gS-A`*qODl?z$ zUWrPaeO>ByWtmT%j{%-i-;5=9+h;yQ7a5q=Em%;+q4UgVn5=~YBv@zpIf|2RA7mg} zH~4&327qGTDTkr6>O9T(&QY?NpxuMil_zK={;{Kvz;Z!#q;h43h)?Balx)!7IqC#! z9y*x-mRb7DbhtGr1%@kBzIQqw>FA($bIpEN64zIK3PEzMir&vcVtL`Qh=%Er;W)?x z7EaB>@PGN@VpTOc8}g{|IPBNL{sIV#zAnt5SZ;})$bUgF3wM?4ftIf36mo|AsExqj z$PM3lcDDSNNOPu$og+nt?D$fmcgN>;3UVpog_|fgt#hPErk4f-5Fy%p4qgYg@M$Km15#-Z5>ba+{sd51mr?aP9;4T>?Gn|9diy0hC!&7;Z~MXj z?_R36s+VqFNATJ2ZqEis#&tg;V)oH$J|lDl>qrA-bSanoXdhAcO@p3nU=@0a)W+N0+tqw>cq8Y0#gJOwwpSL6*Z z!7~!CBU-jc9p^pUo1N0}_+dQkIED@H8%^i=S*~I>Hk%2VC3g4P7Dk=$}R5Zl_tn%6t zKtbdrS4BY*Izu#-xXgCQ5ba@AQ6S*y5fumtflznCfcP9yxt$;s4nn42$}w2ycobz$ zURaqai!J^}jr5@bLg4(&JBsVW;h(!&MbyINeTEBiMeLT>7-^Tv$4G)G1m-{bySWM##>GdB`v9+ z0e&Pqwkq=+l|k%R6wI|HLl+p?(^$NCH^Q?v%Q#jPIrpLbfF#mSmJNb&I2)LqBHA+9 z(f!pydpeoU-CfAh94AN5E{}DBLDPGBbbo#Dp|fWU?+Ci+%$sCK_ZKJ-;h}O3#qr5I z@>eJ_wV1`Z=D7cm+}9O}0*C59GCZKSf*x4gAslEv|w* z)s>EgJZr>k*?0(7MHe#%MOU+}iMwJ6gW#?Jz~v6cNJ~u^BzHyg154;%Bmk%%j>M2l zAP9gbccqxzK?<}NJU=@D0QY1p5&&7%K|*++0ML*=CNMvH*y8>USeUQRL_)f22VNDTi$HHqbOl-SE)m_ot<4)#KY;M-ZQc-m!PF1H;edKVd1#*^08|55nIah3P?evf zPZ41L#$MW!;7~PM!AJqUPZ1!?fg#*Hbh8u8PVagZ3yB-|cc}#_Vj<&P|6dFX{7W#i z^^w98f08@iQ$rEZMUMNA&UodW;LVV8EirQd$U>Y2_O zLhTIeCb2xRFM$IEN(w8hW4~EeGV6~n>Dx7o;s-mI9er7lv*|*CW6;XU)D4}049NSi@%+}zG z;47{ukzX)GSd8STM5z6aYAW7P0zX@^K_fB`J$8en!@Z%zeZdfg@}t1)ICLl<=POER z9u3+3%M~YvtDtC@B$zipjmk{QzXC{tQ86HtEfp2JnuLS}fTc}2wpRn2JyNni`H1^X zMZjDHs3dC#id6=@V3{LE&QP5&=ulA#<}m_CGyjE&jrKZVLsO=MN6|-2p8;}xbERM#sP+N`Xn8o59i{6Z!+u^_jwLf$oi(^8x7=n|Y$KtCYwm?zI z;Fc$++E}xZFKw@4j4g;KPi>E|%Vqs`fuJWhLvU?n)fo`nUDSlLPk0x_RY^d*Sb8SX zj_hm>EPJ4Ya}BNe3!25xHMHKTXK}^pl)|iw%~$iCTQn5zU2sXPxTHIqH0%7nx4rA@ z2Y&MHZ+v%3IIG8D{A&v`Lp_pRUsS*(E`*l?$eCfk2XYV>FytaAFeXESoEbvS*@j$j zR%PBOMUabzOG7RQa%Ol~nsGspi-vprD9AbP8pw0!hxVcMh~6j3BljR|mwjaXF^emX zEk|J>5QXfg;%j&bA5YfxwLIX0$wgZe`24T~EgB@<;m3(O>HEqwAUVBP=+a;{Y`$r@}uA-EX3wz_1 z*BKWy(Z@h#&gf%nol@v^_H-b+L>G#4D$WC?e>>> z*=~POxidN%xY_ys+)n$KU$UZpNkf`qzEj@A4iitfMFUG_kjTf=gqw!)y z?9mt#t`RXy8hmY#!~sQPFNT4r4V}+V+pDPZBw}t!&`Ydgs=Bq^qT-P zVr+^`1xQ`WT((^doGjBLJK)^kWVQT=`#P=C8F-c6rxXlotCNp@i(qup%mf!ciyozU z$%V_;+{{#VDkB<2H11AVXxi@hvYnz=1m|epa&H)oz~@BolJ`l=iEK%%P1iXREQ@+2 zl5Aknv@BWywoZS(hUw40_$~jzH?IRM<_t<28)T^<-<9II3}Dg48WBP+OU!_B50NeJMEQpjd>dis!BpJ>EEPm;P@a04DEZp6- zA>j<^(6Yqs!(Erdrjm*eO^a|B4aPeSVjC!mWR|Z~fHh#9p?+0>tpNM%)6YNkEF+NT zuAY1GxvR>5e&@3wQIQXIXG4;KNn-QvS5p2ppL{d<iQIM-?ojC#hU#w59OYGtB+tQWQ~}Zv~PAA<+N`f!7kFieFVEm z`}Ps+utfXz0J}*0&NyJlBlSg~yA5TMppu=!Y*Bx*5FY|!-1wX<9_3|&+;*|$>jXW@pB!NJuD>U;fooHe1RSfciSZ6feKE^A2 zM^{3^N-W{gQiJNI!xp*l!75D%AfAaU(FDIR-{vr3}u2C z>vouGSsa>9MKnCNL5`XVWpt5H z3kV~(!b@!ZA`2{9ju?<7#PS`x#qv*5oC>py4#0Ta$^0_^nQQG)FTve@kWnJgnFL2q z9W-}ze|a$BjLr`&kD+1PS!UN~Yz}Z~XbF0Kd7l0P9q{I*j1Q^!W^(lG3hiXjDb_?u zM4Jih=&Qv80z70&<&PHG?=S1JiDe;IDqeyvg3j^eMg%ULyzDCV$-q38!m*HJc!@SW zDBKWVxYuRzqjTd_>BS-2}9?SY%f6uT+viJDJhfeR~rsSaMy&I!#z!?oOSX#$o z^dQa`D>B|R!^NSUj2O&tacC!F2Q!3n+D<>75%au?LrX@$ERW4`(CW&7LQ84MjDT4V zi^Di6Ep3($GmKLr(}#_pQ$RcuJr_}I+LW+&lIAZX>SA z&Nqv;ksdfF+Lw_gX*vCPELsk2V;GPZ+r}{9#K8Iz#%M@CKb8)+c#WlRZ&&xWOH|SO zX3+b$y{i9--}34woS;y!1rCNIFd3=pc|*zP#}%6%#R(V!lFN6k`FuOcre_(0 zOKQ=$n|Fv%T}gywj!yMyeE_bFptx{VHjlIlWZq< zM-+VAW;Wa9&2MVOb+<|kw(x02@2A*=Uxu&I`OZ+d;j1%rd!3mS{| zE;$tH-I+rXd_6?t2SlU{;L$ly2C?!BWKsDD0eH;`9KeyxV{M;ZIU@Iuk_X~31m&d8 z`80#it_(}L10THiOQ{1NyJOnwUfM)P=a^I-_dF=f`PgHm*D>NR2z-`=MoPR>w6_#d7?}`$dkrU zlnu*1NK(Si!vCe!{W0(qbJoo0G`yW0gu`!eyWBCH3>m5M|K;#VO zW3vyc0O2J-DI-!dJd8U^HqfwxBm?8jWXLGP3^7_(yDR%69u@&$HqWM()DNXVvvuHx2YzQ8QU0rCz)bj45} zQLk?nM=`WAtd>%ti#jWYD&w!yq_}KAj?l51Wd`JEQ4ahu5u4LGu zpjBFCR|sPYah_NcIg|2(7s{f&cQ8}+9SwVEfRt?d& zcf_QLzdttRN+cuE7;i(iTHWfj@NvH@SQJi&So-gyf+9{3WwH!QRZmwb`!bo?m;7H6B1CoRAE zk+O;{l#gQaq}aqq%ipB4=Msf4!b$?aDpG7u;9t8U#kNaSYb@I@(K+3qU-+i0ullOg ze*(t@Uv^WZ&@Gf!z_F0B1V#hwR3ad}6nv&=2>oHf?*kMKh<2D!FDuduBPg3;D0HxX zf#OJENK7_Eut+J?3`4j=<%)#lXm|vDmWCK|EJRL!Xd<#19=km%CipcOd+1^mhvpAz zGHj?wA%;SF^eYmN%@EoV7{WwVhFv3moi`MpDO&E^BR)u4?k69l_)KPrUs+Oo@xC#x zp?u#$+K86Tz|oDcgg>jA5aFde4}kH$9$}A(yZ0Ti+w&>GAjllST(&yJ`vo{pyQfFC z^xWTMwfu^+h05_p(rV{^DmD>mO=LgltgRI&MHu=(fyiy!~g z?@QRMvKfZWK}5r0^O{-|hyaLL*VUrN2JIDUXdoUA1`rqiLr^#h8bj=e=w;-A*FqvT z$8%(tsX!D`1q`gcp_EijGYP0DeFjIgLollMh7#-q!{LBvSzeC9}#-(%xfhw&;qNw=?v})8uEFsYRisgwa2>m7v^|S*~KUpst3? z_sbBxgvV0|El8Y;K+01K;tulqqYAPu*tWl5C{%2|er(pLTBZw1zH5)#Aw=RXb#QTv zx%15pa{w4O+n2n;`0a)9@H@P6i7JfW42=IDf9L1F`fq=5Y!cOc$D}L)m_igcFUa5% z-v{U@A~2jc%<|q4c92fZVb~*-^toS!@t7n=GbIkql>fdjQ|Wou8+<=8+&AbJ%~#Bl z-iMZH0H&QsOnCB!tFT9C6F7^QSAt!%D0Bpuqr$mY73+L|pFftI1%(0qlf^Q+!nU%z zft>xlb9ub*J#~oxn~Ynh?n&a-QSt!JR?NqOyq6K~wOuu{_gpx1p5}x0FbFB*!f9)& z+n->O(zz2oWSO=S*Fc(OAE(HZ*k%FcfcAc=szNNdh^z7t6##^~IFqB} zFwrUYA?k2A>f8me%0p&09omPefN7}tGAn!pV?GP%0u?X3nE^F^@YIoz?vau%46_gw zb!Xr&g2X)pfD6McM1{gqizQ(xeJMWh+>EPF-A6QO5Q+`0=i))A=<-pj>3Zl(JB23v zr|v_qmk5XlB_AG7h14$}d5A3#R5r`Q`0Y9Sx#zf#OZyti+F#@@w2474&jorMFjSG( z5jSOdd3+Fm0UZTxVu13(^!m8__X0C4`f#@$!1VCf2S;}|@CB(Na&v(3(Uchx#bGuz3N(2D2=@Gn?Nmd;x3e{Eru1M$YIY zWtrZvY^VK&eUUc7)#yw!qrY?6wig|KNaXi|d81AuOUoN!D;mSQ=B62QGZW{y?Bs(t zM?K3bJ#+6J?~r-ak$al-x#?8LuSYa|N0{5WW)~Nnx>fjCeQT?3z%88|Wr&! zR~>ow^n2gqMxH(W-Zb*-&b#kj`Pc4U`PaCgy`@~3TXu^tWqa{NPY+q!Y1b}?e#=`D z%easmcj{-hZN4hZ5pB6D^LC3@g}cb8a{XYn`*_<`;jUqx7_SL8z=6OA>Oc9H+*NtQ z6Hh$x=1=(-{8M?#n!UGL_Tclwe(5PFSfTAqH zAeEA}T&g3R*?VA{^pc41r9WN)tm4EbBtq6F7y6VIPQQNvtVNoLsUM#uW7;Z{s#3Sr zo&QW?$(@eCsKM7IJ}mfY2^d9~YA|3_FV50V*{|v;oU0X-Fo`?=eLjthb!5f!PhY)o z??u;F>!4E~B5Pp32Y2MgGY4Sm(>UxjSzh=uNI)|kA|9thgHm^xS z5Ssn43W~`bIc2G}S)2ok5`fF=o5k6)UjQqv&Emu`EGBT;yM2d73m`S}|8WupQPAED zW?N~$2BtR&#lRc#_sd`gQ!&=TCz-L2_?*fWCt)< z6cr@86X7Mxp+w7WzlSB~W=;<-Ih1I*YnkJs+q`n^zdzybpP%MaDX2LAjz)XV}xQ>RjYkFip zz}(+twftz^C#XUY*C+(RQKcBA<-7uZm(%DB_i7!cX>l`ub7BbXAZICRyJcQM__;|Z zpJA=#Cc_reRw>SRcMy+hR16*&5EI-NsyrY}ve;8X5@*q49u8D2rvn?!FZAUyL5 zP*i~XAYK8Wyg*yrIuVyqSyzE3h+@g$OYdk%2x+)n^(Iwhs`MLVd!~&-oPwOBIfw40 z?;zVZP1Fq81jNA7#)BI||JLc;Z(;jqRxnuU=A5!&klwev0tR@LE(5qRmPok7i+&Rs z4|b!U-EpxEub4u89C)Rf_~;f$E;!zAD|n@;__(hmgX6x7iQwu|xPpOv$_rWgm%B^x zAAR7XKH&qY<3hhL3yFp`m!f5#J`xSP5pn@JxH8>th{^PUB=BJv23UocLdS&}9?|eG zJqmpX7%o<1TGb50d@tZScm~SAOe^|CLpU^oXiTZjgJ`9**oqh-4pjn4T{Jr-f2I|^ zOR?-Ic$eacz7b8E^dR)$-7F*;8qV!P8v2NK$kLMaMmx>%fC(mQ$-y4Qnt7sOkM|)d z2}olWpJkS47)J~{QA>`&@YnId5)BN`#T%H(GR%ej!9$Qg6NM*v*0ouR?;uYuR3G9Q zgsB()sLA=zRcxw7dOkhkeoLgAsyIm^N5-;6mqk|Bmu**X;Mdq~^2-|Cgpg>c$p73` zox=%F9PwODCOiwxsps!qz4LRQe!Ca$qImfotwdOrV#bOo7Z%!I_^q$~kTD_ue4%|@ zYN7On_QL=)4HfxGXQVH*BXLa@qEzG|B9)i!vqF1+z`#l|gxo-3m=)S1k#(jsQhrR7 z1PCtePFI}kjP!+eP>et)1oF={MiTi0hu|(s5f~#S^i)-l_B>xHF+|Hj6ro6DJs_*# z-}Wk^M(9d3RuBaZzzA9Qm&^AFAfsYIda4A{1a-xu{D4bUfnvvEGa#r1E9GAV+ETAi zL@zDR`+B(Cd9I#a=Jw&WXMw7+Mzb9<$a%;DJQS#M&1j^*v3_El2Y}2buH--KKmz7HD6hNe08A&PUL2>z>GM9amTiNv4gP~%MNq-WU4%?SGXGah zW^&a6UJ@2+=Lfj;vZY-lSDlj|mgjFpt-Au~Z2-`4=T-o{y*dHgE>Q*0?LN*qA?V%j z{hxmOkH0qdQffx?A(GEM!D&nKdE@-VAf!w3+FJ6tCpK+JJ_8n&6$WkGGE41QZb+X~ z3Lm-i$3=QSAd@W)pTDQSeUf93Fy(!sXRA4 zP|U`IG=rJ#o=R=wBW0-JQ3!+iXd2oQ+0oT$%c9Y)h-rgCT*Rnv*eN&!d^F#9=e>~f zadDWFo7`_HD~)DM=2k+@0BxJdnegsHBSlcHOr;G8mZPOo4mtUX9v!_dXX)xHXz*mo zhijsHbW+=J_x8mRG)M6w;pjqlE5Emet8Pa>B4MMJ4No|?l%U!ydz_zsv6?36Q>XKk za5-9PBPzetP!=iIbd?orS>+E3V)@dNzI$9#kB;^`D36pi7#Y}PmS{5x1XA!~Cr9_! zsNXjSX)o;|J)+v&?j5<(*G0eIv+ueGEfl2F@;v=D8t{Q4Uqu3G`FU>pYF*h^i+!td z(_i_oR?)W_4D4HRb@Ho~^{^HT-q%5PsV9NL!T7W`NSp>hB5Xo1a+-5hm53Eq9D zPEb0wHhkK_cO6MMbX1{;QoL`~K{3m5chsXp2t^%0x29lpu!R4|%P7t-9{3M^lVcU! zsvrj9qX4=(R^F4xaC-df$y?&9DLX08%TuQdeDd<_kXAWT2!!M@Vi!AVI%0aHD@}Pb zYjFvswDZyzc%K;*PfB-ac17qIeIFJFGwfVxcGZSew?CMr=hWI%rX=l;DCE2$@S-8- z_Qw>E%y(It?<#Rz!hZdDQms!0uslGmPw(S|4;lN^W60wuBxRr1ewoJ1lWS|p;M|7oWSj_jZ&rq>v%Hm9O+R)NlBozu-?C z685VjR)*KZlIWBVuLlS-YndAY(Pin}hu2WTCb*DgLvMj;+u#GjoABZFVleE(>&0L= z3$K@yqLkuB< zP}xo?;R%GlEV@y)#b(SDKaSX}W~ia+x$P3w@cCxQw14#1zxU_A?saQq+7?rm8TB0@ zte{O43Gh6GLZ5(~vMprV9r``ha8b!&!01RRrXp|;9gykJ9zw(HZ4&ebnd;y{IfKx4 z&kz=dEwXb3dS?)-&8dWWvTAdf_Yexs9ShjhhCqB=7)tmYP8rF7hM_x`+{?6Tf0Bhb zl#F;mP=n9BlO21nm|dYMye>lpLUF$sQntP#A!r z%|dQX@8Y1zRwt46JAClvd-oS;!~`06P56{{rF*q0BnQXVn6$jbV4S=3}M&Z?4Y+w>y>a8CWPILTsw3qFblf@JovK0laXtWNI>Dn#MffqAQ6>+ z(Xt}Y*_s$%Z{*r`@^b`=XL?7FgvD=$5O%d< z=6^hd9BFHU3(u*){6QiIC;vQ!R%(_#^kP7j780=hy!ti4NOebtK#pqI?(uE`5E$N475|$j3`xUmPG>UNI$?&S~yZ z`1&r8fMZde0)|9!bJ=2LvfMBgVA+^1IBNY^SgR zv{8&>ar=Yhnh|4G#;bs}%Peht!(jD-h!OOiJ zaBg!_E=avu*t9~*1@#pqTz9~cL|J!0<;JG-m+f@^%A|GsA3@B|_AfG@ftO2J>~06@ zt&ot@{@~vB_|-|7Qy64#Shmyg3yw2xuO5@wA}P4P==-<{QZRqxqFyhyqA^*(*fe8q z%AFcHM!kISwpmHRP6l51M5eux7c}d58%cL2hO&K3Q$CPVh+m%LZT`xC_vLT+2Px61 zq%KQz28tI;a(nn3;QB(3Uc->YJC$F7Ksy~8mILXWbez~n_aGU{w>B8!cM`#w7&bv2 zFB!`B*;a%AKAZ#6jL}V0tjOOCJ4ZMq^h{VkY!G4OhoCsS?WSY0@jsmD>+fze<|{*lwib3 zXVp9xDZyYf@%xS0tRkLv$pWbf_XFF(ABLuHB@Dj|<@Lhv*Gkd3rekj=9s9(4e(CEf zVK|QZap{jr7*?RXaWw#=0U=7}kH4n{Re_-Mx_-n1OtH2k<71m_B@Dk(dvYxKYTvYc z^qg_JE5zOm#QxWRuQJ&58WcaL=4s{Y)4+8S!rHgK;_D@ffO#A5tx&KHH~C5gR5?T2f1aoh&&v`DcN{^6I2CFa;UiP4_f|DVGAcE3R7P770I%QfD{In~T?_3@(yjl@>Oj%vHC<`Oh!L;;LhlC(QR>st1cq)UIp%L^AkeQEVU~r)x z?J#wmuPx_et=pd8L3SZN|@pDqN&5f(4t&}hoMDa%U}rYBucFUT$TJ$ z$LG5{K>al|o-FIY4lQM&NC2B@W#O-rK_!}3b!G_$txu8OE>8mOqw2N0XUUDLQR=dtlQ_yPjZ^4L#AFJN0B43Hvj$t*{keMF}?F z%=lD!TcG6==_%MZug12wx1}`vJgr=!>Qvl}Q_=sQU-lK>@0eD?gtY9ijpYixCS)-& zsmlN}ykzo=vKtgq`+>a~Fzog+HPjml)5;9@z(O_bAd8f*NT(tmTGPl_vFU>bcRI8% zt-^{2X1Lq2 z3kZqno{mj^Xz7QE?`F4GI{%3Dutw@>NpUL75?cD`JmT8{`@B532AARJA^L}9OtjmY z*8=Mnb1THILb@RAT6nK8>`S|L6#J`3n@c<=(AHI^Dd>RXhTk)Z=Q8>h{Rc-P7Qe}{ zrh!xlk6pOlKH_H6ht-R~`Fbg9>P2L%X=x>KZ&mrGuk$>8sUQITS3kF&1wrnRafL=tF#DECqIbH-S2;Pf=(}JHCfBy0f zcV5hl+u=?}S}4s6LDqv``_w=Nrv&^_$Z8;KGB%m)-nP3#h06=;?)Gw* zGKPa~-D(n*qrFX)#N?;M@loIW>#zHX4b$aiX#Bbyc8KdGmo5c*Pg}DABSRIByqkJ2-pEax3~;q&@dn@Gq!Y z-VfMEy995Iqz@Jt?gE03P7u>-3I3Ga6ox2i2)*yd-1bOX2 z{8VyxB6NV7w zEDZ^uW;pH;I?9R=j?s)oT9E*1hS0}rg|0V18MA!X;_b_a#U0pZCg<3Ov_V=?0MwQ| zHZ7)Sh-DWvx1A-WR?HG_g6spl9dIeigG=GSEcq_rIp8zj9fE3&Taz)FS;7aQ_8vZT z7;56cS^CR^#<^aO-a~A2Vwqi^5v~AT0=N!nSIDop1o?J!+!9O&&=Q5H(lWb3AS&ty zziUG0gFDac67BebbIqm=s)x=pyGCGM;2qI&Ke?C^>c;cL{7(JkA^xh_qO_*yIGSZo zzKgDjx0Jo3bKD_ud-f(^BU?e$lViBdQ8MR<+w%Cjsv=zMD$6eDDS#!JA3Hpu2X`A$ z6fj8w>Y8WqEM4P0>kFXW#O?^q9Qa6G@`Wx^FH-|ZEu57akP?DBAW{Qa_lE@cJ{Q7U zE9C-ombu$sMt{2#7HLVhzihkxB^8qPhh`ZLc_ay#u`W?JOqNvR1D4aD!d z1fG}JigXR6CW=iA*5sF@r@$3RBX_nkG{SeHI$F1vX}RqZRY&V)9IX%gcYowB{7mX1 z)Qhp;XrYrlLzE}q>Y@Aq+8<(e0sWA#50@7nnMZOoI3|%_Ge-*|dO$t#$okSZ@Gw5KEIQB-Lfz$x8HyiTxH#e&K(aeK^n>H5VVC_C*qUpd zpUb76d`^Mw6mwCJ;ee9|FV@-ujh0rJp)Rs5F#!b}L9`({pwL250tya(_Kk)}`e%cp zw6v4idtiYB*Lb;FgyAcLr+KF&V44so&z#c1B8B$^ze`{?FCf8eA3_`APvdsjib4>B!ATvx0MThMzsjtJOE#NWxN zi<4ai4Fq1*aM~;&#l^sxKOjc{WWtJ3&m|q2zhHJ~r1v5I4rDqUY*J)0B-WW>tco9@ zrAi3%=SZwG!{LBzfgBnUKJnNaGO92`#OTVi8;3E>q)3NW=77DMrqyu*<8ddF`hH0T zgkagXXs?pDgJem0mRVN;Iuz+P;J3fS9EE!oNUTg-#F6atAu7Eogwm)~p^4@Wkzq?clLh zX@kxe5SE=g;G}@higg0R39Ar6a29mJAUnQnyZviZ0e)iLpu+2|+_EMibzWRGd3fBN z2(IU~!R2gpUl9q9cdE#*r?T?X@AVk*^n2fvs?ym@k7d?$_{BT%#;w(}hO9RevOe=S z{@#E3g9*m9P-D%3Rueuz*c>TsVyQ};r<$THOJK*5+Yfg4}dKDOtGv%jEj*Od21~*Y`rwjmT08$RKCuFWc$( z(p%{^#obOJxwIObACiaLcm*hGoqsz})H?r(n`!E9(fGa*5eAv zzy0I>(Xaiplzvvn%COvGP6T^el}B8RkUI}fh20whNff22O~)TTAZlSK-+zlukH{-C z2MidTG|~HzJgNs_#PlRC_8~ci=LFt~y{@ndcwEedxONBI zUtnELKA(fs;@vrI_YY{CJytj#=J6)V<=t~r|;EG@<5$#;)>m%AIWE zvDpkErl-6ovf-6!oD7N0W_TP1QoMQS4wQ6qvyvgxg=PqjC&rq~yPj&s3pSf&Xr#sm zIU^b`*ld>IpNC24yQWcgRyw#~bF|#GAfUt7!07hCS$CGqADAUBqxR3C&Mebm{2P+r zU$evv7b*pE%~G-V!SJ4VDbVlo(z5*^p}L@cnVFU+7XtQp27Rh`n+K3!URSYp?(}?m zR3N{_>Gl`#ddc3BinB`kY;Fi%R#z&ezSU5mFgJ-giUHJ6mp((T!5>`6Z-w+x~< zO6Vy!P9pg^E#{J|cgQd4q=fv!GCv>r!>zmmD>W+L9`ap#ZhG4#s!{p&OLPwMzy6)C z{pv4C$bTX?jV82EXI>MbMOjz^*;NtRj%uukc!9%Oa1Gg+fc#+#E!jN@R>&lesL^H# z;wRtgbJL+u4u(v37luAJ9rEWm0G&W$zjQ1}r$hVPG`ik6^bFnJsIo87#n6WiAjLVZ zi_ng+m3ISQLR-?)u`{|DD*Eh{V+Oq~C}UV8K` z-!p+W2vNAAsT3@wVTYa*vbEHT-40-LXKhO*KFhYlr?Qj`ygw&Ft&%V9_~(|AalS~z z#5WB0;VcH35D?tkk-|}eTX6pr=_L!ox6V34r!%GKQFxx2%8~`)r-!0x zg51uLJ=21Q2$)0#-^Z*z2**TI$f!ujIt}ZyARKjPo+LsX)EuE8;7dU{Ek~4uw#=+#4>aUXq+~ zZ$}s$315W>R>RqsVIn*Hh^)9d6+>?ZLtp%x|KaO@I#I1wJAKzARd#G@+90W-nxyNJ zD*Ybu98m|TI}y0xFJNe%Lo3pbO04r5B*aiN#5$eir$Ow7;Aq}E%y2duHhU_N;Ls2e z&DBCy0Z&kEmjp3m>DHjl{)*u#8Ld-dm~Xi+bQMT_>Ef80}a z`-D^lT~afI00q*pgd6a$R8%{>6dHug5Sh?HaS2@D97VKb8x6IKl}NX&X#piCu#*Mp znlNuO9oi$E6Wf}~U1dlIzgq}ebCPL{7VR97(IWepkT@fD#rj&bbb1<+xb@;{lDLhQ z4T`$D=71(*kH@PpOK1`VP4Z8MZt`>p4)3FQDcdtZE0`H3eHOqcFU`i6^2$vEt_77f zd3%Yzof}WyR>*xB2AAPSX2mP2kb5(b`wO4by zP+~LG7MBIDF=_*-Cx(lH*F(#;S?P6cWFClrGZ}+9XjY=gwL{Z#@osVkGo=4fb?)(z zVj4+lNhJk)<41f%T1{>!vYCHESx;^c;nC3NTXyfB1LFeId4@Pc^pm1k0?8U?U+I*L zs}l@ov0R}%MaQC?(=9tQNvx}E?Nc%=w*;~Mtv$JKsXe*7JNZ=bY11ad_E)^VM)*yt zSnlfKk4`4*W3T?5pL$&yu%%gh3!km*=ort?BNn)@8lmcIm?1Z~C9?{e+pAVQ+!efSzVymUaC*N8yo*ViHEX!gd zM)8qnjOQ@kgO(zg3)Kv_rZ0sfAXnBEWHvBqGyg1e#xpz!DGK*8Y13P-qCOGye%av^ zf%oOYcwRvM%6Ps;#xsUmX+b;`;IRdMnl&X~f{g~1?ek;&@C{i3otjqP9zb4uT7BCk zsxkidOLR_J{onebzx&^gDXp$fnrU^!FcKjtqTMY+tqwsYtLuU^WmqGm)on!(O%(PH z?XvC``axKdMxebq)M{&t-*pt^Way6Z9D=y%9O)O>Il|9~L#-ys0Qv>d5V~aA_q;aL zss~v+MA~@?=*kQ^~U%!t03iu(fkZ33y#)Lr2j9 zzE%p>ZJ=Op@jrjV`&SWEyK0do-%WGcK1&XzDfYF( za80>#L_nyRyn>*h5KD|Vr!z^P+h8onMY9EGD&N7#+6<3Smz0?Gfbde&1mPv1yhqRh z`i=^Wh?mQhwnxyU*Eu6`nPtf_VS*k8{eczb$}nK_gr(1tqp&|p3HyNbfBAm$EIA4Y z$Wl-dggRaiir+-Xq*ENnVrWh#G5> z{2k9d|Ll97eZKf4yw->P#IAT-70Pc0%75{ze&5G_eoB(}GRDl3)*k*FfxZ*C*yuwR(*M#zD z2(Fs?C6JC*{g7lRP;QpJ4OBdY=3xJBv^m&5paEk!c$VgHWR@~IAXo;v9())i{k4qX zj_$9{h_M{-O}OSDBGlQM{_-4+6maNu)JJCNuTPI$zBng`zQIU~{Q9Ukv%MqqmCKdH zd16YZw_HuU$H-d)`s7HM<6wWyfrvYVF^+{_;9H%=Q7Acc^5irnAWt7s^dApnMRz&= z7}~XT<%P<-E|*p0xgx0R=1_#cxZeCHZCXXwly*V6uBB4on$>E2&r+Kc^2)fUo$83( zO-^-$+o`WfTY=O5XRC&Y=Cs=%jZs;fm}J3yo0Vq8sRGoYFKsVvU2cD5RgXh^{G{)&vgU=w0OQ{B*L0C*R z_zc2gVj#;PsH7;O{q@-w;-H+!3s4!^@*J4?VG}Lz#sJb8caOl3EcZv`SgKO!+e7zS zKyE|o@-I>XRVlP8h1QfnO$j`US!4A5IMxE;q5V?axh1nKE`ROszwz0W-B(BH!BYY% zDT;7=e>|4Kv5z^&J-|o8?V*u5ls@M4A!kUjGsysb%Pf!#dSMnBw?TW<+ycL%PYHy) zIg0%=0lZHM3~h^f9CS2xN^SQm`jo(Opf56y78WlC`ZCnO-j4R=%?0}B1kl7O|6f8@ z#J~PIdn^HJsS+knhDnj~xD1|Cuw6XjvU<1>A=`26uP&Db#KjV6ll!Y?ZJ(Y6IzH5T3;Xw_IaVP>WwK5au#kiFe_`#qog3Ge&b zgtyuNXn1Qq$HxXTnW|H=myuAuJDEvdGepJ~nRg_4G4g5BYt3)88OF@*aM%T&dUy%x zZQ^Y*Y@v!Rf+nprr};xO7B)lF$T4Y}hsL=oFsBuXw`S%*!Yb%CMGPZeZ7n?svNx_@txbJw9CmOnjo<>Hvgc%ovmAq+ViBh;t-XNHy3`5C4j65iT>h;w z@mrWp&s5eRs-0)Exm|#=+Z4w*Ve|t|&j@$xiJw&~*H(;vj2OM`64iY5_Dgh5zWP`H z@^}33uOy6C0>5E&p!1{as?{{~+^h?Jjm9aW?%^wyzl$TtP5ha87_*85~Iy8g1s0Et!k}ZpYGG86nJS_%_{Wa=m3diRjt;2t9 zD7(gnGHDqb9w0;yH*JOg+e>)gc8Mzd-wgc!m9O};U;K=uISQp}{O-q=G1+QJK~Z8^ z8l9|$^b-g$VT)*?7>ubC|37>00(9G!m4#i2n4%j>V}+DRl!NlVyEdWv1)_ovp2f;-HRNnYn_>=Ez8KySN_UbP` z)9YS9ArPwM`zXIE$rj(rN&UDGJvrn)uGZ(+#O!vhV?PbM-gBSCxu2**&@B#786sB- z@RU`AR*GIGpBZPR#~Bt=X55LM;iyWb$5mQdq~%$XJ8Q6X5OdG1&#|)x+Z{B?c=QZ7 zXG|;QC7feX$0OPfuHj!t>uxxLw_7aD*GCBNAR-#FxImkh z3@INP^}$wD2Laa-rs`04q2Xbe1L!9&op6l9v87j){OeX~e)$=Fuyr$;axUhNbfNkO%cJbNLEbFEXJE;FX>FP`JBbLfew|S?qdp7$6*a5m~sg zC51uxmP~a^lBvRTyn*@c1@m>cXan=>0rOw~13&pcer5u5wb@HAv8y@G+B-g^JA(j6ufmFs5 zImsvCOdzs!zKXPLxxt*|t-Q!>C@&Ho(hWv$FO06cMH`G>4~)L)nXmefe=vDlHS%pR z8sgw+Jb2{WpN*Rq2vObI4o`E9n+T(}^lalM!l(((Hf|z}M!`pD+(hgWjEcFj9?k6g z*(f#zZ#RKoh~bJ^h)huWZk=~ie6=o*RV{tz-7LJZaA7`HXKdMi7~a?{Z*{5tFpf9N z+l4ba^Ic0~t9K?--%9>lDphHu7suSWZ+S+tgh8?kNyTV&jIaYL)--Q#VQ-_;Fdj~5 zlgQIPtndFD9_J0&ZZEQ}yG0waT@SMTvHnxO{Xbc%whFurdr9;vC6We|u-w1;B z*e#rSdk-}`4MOlRF8m-NrQmXH8nk?(@dcME&^7mZdT(zGVWQ2%ytfzRS@rfJbEfnk zdv9;2*{tyPwq5A|8=M)i`@FZeLqkWYIDv{F=!KCVqrT?C*`P*cgL)S%c5n>EtJ9R3 zw-;40wI22WP%-h?ysIsARj?0W_crUCzAVmn~JCcgJ{ZKmXr6hGYn5R)vEELn6 z@-_SW6I|jaDtKf!s1Dsadfd{{>fRq84eoITkF{oWP(Pw3a+=2^k`ukHQiM7l;UtI3d){T zmr+sHIY>9A^LqT-vXM^cyRXk|q`&z4p1=RW(R?2AMshw+FAKvu#mtvzXDl-I3#jN+ZnMZL7dAOH0#MiA!5c4lvTBWtC4a7BeN} z4C53~HcO5XNnpj&;+%+6A_gx7KHKgPiiW1O#W`V;v)R&F9y02HF}{yW$qcG;bt1pb z2|}H6)tegOky4V#Z;}HVeMoIJ!oA)!d^GSvjY_K`5ibqM?)o8={oV z?oezoeyF5Qxxet@0Jr08_dPSF*IJ@+>ri=Likh%I64nWjgoJyM%L=F{V{o zkLVULEF+__Ya~-dLWZ}9hSH^?e3W{S{Bf=ZiwLaOgk(X8^I+tArZ?$i*4jiyosE)4 z78Ie@+>NmYGqOHFA(L_`3uU@q420=g!ZM

    R-i&cQ;5$vF;+3ULrmsE0oU zP6v0u5Xc-XGESOHh2sU+G!pXR(ZHM2?=KpDI$`XPIcTWXr|C2`JSsvM;-|hvX|^Wd z79oA<<%^eh_n&{|-iuWAFnI0diwEyStud)Hb{}=e?uf|6ixV=^t@VO3OEv1KfKf0M zF_RtRulxxp$nwG8@+JS#`;#K1TB#{QW@T?tnk`Bbo&^#Uy^Cs#(p-oVF>=o&T|vHy zB5^&r1ok>Aa|*U7&9he;rMU}zd8?5A;I#)&pc1lqW2i+vxbP0M$Jf35-~PonzxdrL z4x?tSDIsR`UIPhIv)PutG5tVy0Ig_*XiF>F8~Y)|0l|qnbD6C_$G`O6m|6a%xAf6M z0$~mD#*p7Z<1bt}%O$W2iNbB5+EB7bu6-#Af+Hy1?iU=|cDNFv+?)GGeipeum7i;1UBx*yS_Gcpdek!0%%C_~6`eLZNsayorrq z!9vb^!O~!o{NQc!vVTg+QV>>0vgzT30tv#|^q_rYJ^>kLMtny4Ab@7H)P(|YaGHQd zn%1XNrOwuuxLxuoU0*2 z|L6a5Yj`3|ekw79bL%9sH9WcROTHOym!AT!F%FQhSN>kFGPu)D<>Y>xq~^bIA6I!N znA#qj0aaj(ME5tqWucsnRGD!*AcG_G*Gt6bbjky;6j<)|m)2n(n+t!vM0*k#mH`St z|9P#_aJ(6z61u`U*eYZv0r!C1UQqpWf1JpxKsEHsi$}n6y4ke4|S*P zyU=8FK-``gSOiG%CkH{W^zc`NwtKt_v?*5-6w<#5?hh;%OMA##t|vj^{0Bh<>S?@F zutSA8y6}=9u{n%VYQlgjIOGXaRXp?d`Cr#~mn8Kl8GqTVFHJj7l9dtfrNj;GS_c1>q&C0OVyJG|M z9oML-_9IDue?p!KB- zEyyb=WI>!mY7jc4QxfFonv?{gJe+U9hhNgBB-~)4S{CseRT4rlI}_Vhc{b4MqX73|2_zAu?S-a2+K`r{8XeP6skezxyR=8r#A z==`nz_)vey{M@0okNM7_L@>3L{`eX-^YZJHu_N2n{`hp5XoEhQGxNuzS*xf=Og70` zoQr@?z!Qv)XfC61p}r_M!TC#YDmd+n^rcNFzsWwKHlHlsxb%jHEZZK}wpQf}b7iRy z1*A{d{hLpI^T{uf$mnkn=9tpRgijW=!UYl;_Z3Q;?n`1@LPexFycaVY!|hflJZwJs zn+&o4_K*H=|K!sN(zWQ5h1f$0sHe{;*Vn5#kDBhGEoqno<~$+YW}IykL%Y%hXWPUO z(xYI-`VTNwr|SCA?l-|6UCqU!Zs4TQkaXA-eDYE7&}-QSRN)IL6iJYfZi1*Xk9awk z-diGp2`)mVU{h)P${!P25^N$`1*EjUodm6u8w43MYP-#q)(G%u8;ZGfh71pr1ov^q z?gaS^+BvRW@`y``B5&-9S0e>)N8xR}`mz*%^$}+~WYONzx<0oEQ5h{4y z94G6P9{DT;0P_^vXKEtQL!b5+RnHCO@+4zd5(>0zQFG@l&%l4rokLM0_2yeqqx-MC z_m$mz?`gt{J=h6PGAyz%DMjD)>Z?iWF;TC%?uR^Th8Ik__>;G2GlZ|l5dQIZeAp*F zmrzq3N2Rws)MkaZygmv$%sXVgKNfj&G=w1tCwJ-F_TF-ojVJF)T-IVS`x(7A791)x zRiR*qjJ3=g8`^`LBU;NRVd)76ulJTC=?`7nV2q+)ix`f6Y40ru6Anp#Sh{OEmQFkA zEk`aL`kvAYjjH-1-?9WL!$CIa=YlEeujXsbPq~P%5!0N(cRK&f0M9-J>0-QDM&1sU zKa~0R2?~pEHtE!7A$oGiediPHxB9l{xxyuR)S;{VFcZ2;c_N3d;v#`N3N`wX%;&bQ zuMoJonQwm*{^n-BLE87f^gBQDM}95EqSZlQHmP|>8?=ED&};@KeCOU#@5t;|*S_77 z@W;vRp7wpSN%-@r_0)ds(U?!I&*K1l)=@l>Fz<=^^QrY&Bw#MJUWn9ooR5-PPu1h0 zcC3@HM|HTLR&7LT!p$x^%$X{V>|+6Q8JzSdP+`%fuQaiK>{cj4;)>1Q$}ZdrqsoCG zp=WM|>#iIKVqI1$5i$IXgfF%K9MMn4NmF5BzIQ9265&~i`6VYmb1S^%8A-#B#gUoC zk1C!5iztp<4B=(ivhbhG1i0|7+WZWT6Y=;G;J)M!{f(EtddbhwR~1QGu;GvNBY5+; zVCA8}kNO$vqZF|7HoW&UKw|>ej5F5ti1FtA41Lq~u*|1D7oL^Z?~kmYL(j!wL}T-Q zhGuBgmySX@p)YK(mx6abjF6urIcU?K3vtMrivtbJg~K-GkjPkhu{Ryq_~;CnU&xG= z*HrZocyY)9EG6Yl8de{g4h`{m;;6`@U1{xn7JV0jn~xA15M1ZC=HqQJ)KHT+i<~KjZ=BF?Qfvcml)yN_3 z;!RII_0-?~{#X9Dw|~S_Pa*1-%;ZP#Q(QG24)tmefogWdm)u3-&EU3W#eXu*fVrih zw}NAjfR?_1Vn%NSqsbn8`ZTQ3E1>kw5S`5iPJ}eD21jM^7zHp%z~>BcF5Lj^F-x2y zI@_G{9u4nBnlCHp*^2XIyv$G0v7#O?(!LH|bHjl_*O8=TJI?k{vkfpMTPPm+ofI;( zNum#;(e@5#{7^_{w+MA1b@jz!fyV>lS6uyY9NkPwAG6g1Q3MYX+A)^J2E^brNvK8P zj1D-Z>^RrzMbWizn|vRl9o3FMk*P~EFutKENu(vp7iv_ZMahUVg-#{I5dSek?ttOO$Wj@^5#ih*GInkd94{h+kxl}) z2<#De!d2n-k#X8zR4X@>%VY5$xIy?5NNx}Wd=S};ZjgM4EC&8aww6&B_k@jL%tRVl zQYUXCDQ?9Gg^(fqojb2we9=4J9cwkd@&Lo<-}&xTYDoC4RJ0y``lr3&A1616V#uWx zt>G|}px}`_@G{t5ah#x#0r?Z9Xw9oSUeTJbi|iGxS;atFMqQy|ASfGC52BhdlSpW8 z41OjM_JDl8&qR*mq$Xf179f8P_y-3HF0--yMoh`A5KyV@aGcOyn4@keF3SY|5&??f zj}a;xMDS;spmsz1C$Z{Zco%I!j~fYk{NHc=-j^OMq5T;>7{sk2z72F3%nf3X_8oj6 z@aa>qk$M2WP@YHo*^UX`tpbKOvmrR+xMPBMtIWC~yv;t#fymq{v)u)}TV=KrK$d0r zD0GDg!b-z5^oxH6i86jKG8_aFLlk2QEl=|=6-+OjA#LjlHlqb&T2sGsT&$7Qf#q`l z6Np^&Usi;6)~S|TNapyc6ae^~nK>@r9%l}hsql}QtnjF%{cybA9EW@8tnxvk3pzv= z{5C{pWC)yx&BESF^O?^3m~u{-Nbw|>`~TEm{mtEXCCt@YOqS4KMe2sG(O$x6mn(kY z!Rpt+UoCzzF+|v6`N%v4G7{hj2IhJ}{-WcIXu2nv{oT+3Dsw?%t_k9kL<5A;K@iX| zdf0@eiMb|-e$Ytj6Tv;w{PG!zxhBZQ(k3YVcl%r(Il9hSvS zj&efcr1vul(ZD3pwHY}SOr}7vpge8C+$d?ilqMxOUcyX-r)vwO=t_Z8BZm4UY31J1HBP&5hbqT=oS0fvyUFDn2sH-Imx4$QGon_px zCrNN0m$+zFwSA&W&z91E8&dkiQ@voEZOMu2GvBsSekUHL*JtXcb>24NrN!mTTOSQ!Xcoa zW+ax|SwlNuNgbRuI07YA(vt>JUcXY(AWZji#+)>`r=CG9pDNHtZ@DdwUJ^uooDS#~ zWgS6DLyMA7G;o+e=;na@1W!aY-6{vPq7G-&+c`4F9*}|(d7(sHm;**14edB%TX*8zh6P`1 zuiGR@Lpuu2mC>Q0ZGy99bO_E-5N&t`oZ++#?efyn1ZNZM1~j^rli9}?HwX7^aBzpmc;ThK8O7^g>Q`d)Y;jW%;_wsjH>lgIvzdeLRGpzR{%=- zpmOuNXeBor`WBU>)o-B1NEo1Zi!WiD6mx&xZ0-iTjfIdAHo}TK~>%KpzG0tzWz)9(9eF}Z-_aIFwKE`797yg0k1+7 z8YJAn3m)3jgiX*fB$O<>Q4qGcI=@lzR~*$zPy+oyusaL{FyzkEt)#jkHm;j6qXhcn zj4XjjK@ihIpg#zngI^KD#!m0kcpify}Q7n2EOv;IEd~&B^1LbD{soVL+YQrvT z3nyU?$0NfJ2p*G|7KNI%Syq*nGsxsKVsvTNm0Q^B zZqbG;>u=FH7WQYo>97CH{p8tJnnpyHzzkbYAp>h0+-XwC4(MN=^SC^PY?f;#$YM*+ zam_r1Y?c|uH%nlkFfH^nGmI~tC^%H?!R~|YVN>vIn;@J7BypV}hLN@%C1>eg3_F|T z70|s1hN&}~j1sQOFu1r4^6XPkbj013zmBj~{(38TUM2PkmU-;r^XI{*EHJ-uw&CwW z-=Mc2Yl+NXinAS_-wkkG5)RwC+_#!6Syz`E2_>()MH}E=58!^`Kl$1J>SL2jTjL9t z4<$=rn4an@Yu&=WZA85Rfn8=^L8FiHTpvnqnhx&@*nAC&hLpi+0&dvtX%sg>R~f)# zgBlB3G$hSPz%{`Z^=HJ2oN5N+L&;r@2)fP)N|-o+(~Mat8705bD^;$~J``4Qk_22^ z9L-|1Pz_EyaMhh8Iol@L)^!Nq@5ilZ#KMY_hdt`;@Dd%sGB1ylcw~~`=JPmljSgtV zT1rwOGRe@NfNg7#@s>%hHMIr%PqEBoV+dhCM6#8PgM0U(Izbw1nHMC9Jzeo0f1rTEch#{pM4@CYj}W zGfFK1#sX*aS<~!%!#<0vM_RFU5==h0vyb z0J1@_>d+4+Upm(kjtqPQuF$!(6wI}R1B%v)maq>Q-{}~=mN0;Tt_n(n&N^7yD=1z| zKm(tn(CnbewAz3s&6sHk9qQW(Z+t+STB-A7gfoZztR@WW2Pydq%pEZHP+~$@Lbc5MiFgHU&HONY3%9LpQq0%|Zv1`f{ zvb8{E^GQoeOtX`jO~MkZz-C0PQ!!;z7&^=m+~zjp8QEI!h6_0h{qDwjU0JpkkRF7u ztINUiXlH=y|7PC>K~IyW3NTc>^)*hCfS-{DxQ*>IN$MXK>4P}c;A6L?CrMPR-U!Ky{$ZJeP6GwjN)iVag+~m z0LT{&&jf$+O)zj&=2MX|agvLjWM~skeNM?w{f+z1 z+p`ENqq0sUbZNq~k4p2|bM9AAq_Rp}@IIWyp@K&imB-wI2!m&gGYI4ha-3LKYzksiyG0v@UJr(T z%n$wiZ~uf8l~%U5VW?%(Ank^EH>j+QY^E~rhVL;G><1wjPYa4lj3V9pw{r)n?7>=_ zcw-3IhB*Sk*k(kF6B3MBMX)_+3^@rBL!)5NrW_PRX|l5C2K~(@h$0o4s(GIU_276oT|Oo8W+pB4FFL$F`hN{LLoW!kiUfH;RwJF`ut3{$`U5abaG` ziDn~=N}D7|-3JNBw|kT{Dd+Te2lj|b_M@aV092Y2VFR29uhH>PkIslXPFx)YX(V)y z9`?b_A2!`t!19CDIZC1#z-`K8M5^K+)H+|T7YSsgF?ymOb?P0sei&kt{B6jX<@SncmTBTA5anU`fqV7orn zbfpq)5qv(YYB!EIGwxx#pJQuNd34xCFH0m~qd6fr$2Jxi;aZyS_%5#G5!%<*v}$Ww zB_W;tovozyi|}zw7GpaH*?#23cYoe*N`^41Kf+2hWYZCTh8;{nA{)}2z(pdmg-tM9 z#i1v=;RibO%!h(tMTGQ`3;qlz>2D7znIi5CeZm6J>Gmv|w}R`@>0LsvY@IP{6Zi_W$Ew@%clnc}aV6oj zd87pBigRYIPMg`V@~4GzW5JwdemQ?MKFVA=wZ%#86$5!7Q(p7P3*DUQg+_bH44L7D z#si)-k)u2@Zb6O{7NaL5vY(PB!=fT6T<7+er^D^fvD;s~?rwjyoNTiF%?8aT{LzcQJz6l2ikD?7K^-2I0})jNaSJ`C502Hlz1?jNTvq@!#@S z-nAHK)~4LkRBM7yilcU@y`kw-!3P{R6`br)c$=JTbFC&pzBX*e(D)MXtpT2T;Ik)2 zXL07as4!nOamK2)lAUk>7c){dFu@K-ChlJlsL_)=Uz)0c2_m_QIn{WV_H|%#g-tkX zQ4LHIY&2*DygvJ;E~)4lCmRI>P;*2it6mitn{yGIJsb-#rVMG@B!4cP%?W4)7+0XO z$eGhQ?JnPJC4TB^0iA7w>^$x{T}+dP+;2WCz0tnTQwSuj&jGT)zX9^48(f9Qbc5X6 z3%ToV(FVEK1G(?{jeqH9e%%{m-7CErW{rni^E6_VtWq`2*z%Ce%x1Amr}Cxvi?$hO z8CxE5+v?b9f-h2>)xHmN0M0VVt(Y!!0_{EI!gf-d6!}+uNk7XVcW4fpfpuuw5+6O} z!nS3qmLv=psamVxk>GRhVJIYmFA8?}G7Xj3P=KXQa4{+2$y_Dbf%X9XLc9RU7aMtkx3C}0>7L$Q zhx|A^y||ADJjj^S7h0FFz0KKKu~{fiQjIN>bk8r2^FXdXe>yO3%Q@WxjMbTKE~^iC z#ca+ zFw@EB?H$2G0{@oU@b-zaD@7wvIv(H{aE=Fw5;(^JJPUBNCB7X(-dPOgC?2nD2k&V> zdu(_%(*AIaoaL!F!rS2M+tG89#ZVvs-<(^YZYs2shFm#>K%Wk@%kNy9UgMOL*ePc4u1Wr-7(uF?q5~ADkCsJ zAUl5!bezByjRkPu7cX!z=;fg$MWVzxLpz7tyaX zRLV(hXGpY&KanVC6?K;6;)LVAU^qJI5K6;S{?~S)cSdWR+Eoh8+OGFs1j_-fV&0q1 zA#WV>(t``X?ZL&pReG=NW@n3m+lvP(fuDGiK3#8*1Hq|DH<~CJCvtgx`(3J-yZWwB z=UsDGrsd)RPhDE>68g6C262EG&Z@gYY2Ju%J2}9vulKw1rl+2I>ihoOKYaT~Cij!( zoRletF+7B}r=gK*O1={$uq?fy(h~Q5LwY0Mnn_Szc|owRN!8>#22@2&oJfMyCBg{X zYc_}@m@r!}pHW_dVMg?Vg+qse!%?c@_@xCcOt1o%Q3RoTl*cHq#UL0WQ<$-aFDP4j zwaRg_Ql2TC1piXim{K?A|HfHPD;c^*;r%Hl)ETnqOp*v1t^F=bMFR@PJ}=QK`3Vm0 zM2&TDtqBVW-=Lpl@Ff>?z>evj1MW$Ebb*qqnS{UU&f} zFqGSAIBV(&f$3eZzM7;q!p4;lHooQQKmLn9ny83cP1s;D)D}i9yI#?*14Uo$@vtcn zHcYSwwFAt2yfXHr=o7?}AYm~I)<_EwL6l-=(7_857EKU^`Pi`>#bJ?gP|iqLG(iMJ zSpAMwWZM9=X=%cu3BtUlfsJD86|yFZr3s5B$j;}IHjkQdsBhzsaS;{;i6U!3M(X&P zFvATY?Ni`LU`h#(7dKv=y~IJo#|;m!0S}WwxEAEX1DoEBlW)+CZ@=Eje&u}ob+>2( zx%Ic`99H7L_1nJS09KOhDEgdjoA*zqY~0>`(K4HJ!?AZLQ; z4|0@XM2mVd8iG%P1i2`PV7@lbLQB(9kRWG*D4xdtqhRo(oJ_eiLCyqW@WF@_!K2h} z^Lcz!=1maI$kBEbf=#_&NY67#6vG~6{D}z==S1-wB`FNY&?Pl&x=h-eplmhDd^1?J zPZ2|dEsm4rCwLoxHvM(}o9xpkZ?>&qpSsd?nVK}S&SKtf?z?dhybTPOdX~};r|4N^ z#OvW6gy(d_+*Ll<8!6Pj?iOvBdp(%@<^SNv-uRb3YJqzYSZbjA0RZO9${ZN3pC%~~ zb3=@j=maxS1xH0)UF;TAbm_H1JZnQHfW=vJ?g3J>wMi*V-w~yNm}^TXrXeg^}pQ{R@f4lp^~lfHwNs`;S^7=-9YxEZ9Ox<`H2Y6*X4eoSya+_MfYMXx`zp1pg- z+mhy#Kxi25hISxZ7Qfu%0mT>dUxn1eF-iE{L+gYC54e!bcqHt&By-BC6_TDuf%;}!>9oD3iYnn$Q*$Q?>s76WW6(|ZQl2MYB zg)3l^1JZ~BUj zqPt#Ol)`TiWI{cBJm@HD0UdvYaQpbY}q z{xWv^<9I!<1k?*qEByXN22-79FyVq_hg0urPjji;U7aN))%-cT>sRA=JujuMc6G)} zfk3%~U$DXPdLmBU?HX)PL?#Gi`^z|;e{e8wd=-<2~SGAePuY17kKLK&E6I6 zvhiXZTZz1wzwo_p{o3b}Z&ahP763GIN{8%Ws4{?%1zt>#N@J2=4Z2GR*M&KtOYj|F zGa`4GeX_`6L2WL;zGtz>%QTQvP>`Bw)8xf0l;ZAN(u;A7?IC1arKJU}Y{rhIx}if& zRoIr-au95K>R5bJp>`P+IDd?SUnU4IDvl9=6LO}#px9}4+JS5@lHwapl8f4osWdQB z;@4$&Cq+#>C^k@QsD)TuQFImg!|7b;LZ7ior#=hOlSA%1TMY%qXkH&gnp-#w6dTlm z?uadeAN=EF%fL#x^~FCGWrb}+#7=A*AwnAlXBfKCYXT=ShP`L`kQ=>5RUc7#@@HqP z?>I=-uXtO=%eT@EGzxwmP`pdtN&{cbf8oFAu zVw4zZVStl0V-OcM8NEi-AyUVWmBR3`4Sts-NOX-eHl&7PMz~TWF$MG*odYuxT}=?x zP*|=T=!#q{&7VnvLazx+12PGk5QObt3KCu8j2iu;AnSPwYbGvDbTz>NRZhZ;kpdJ2 ziLNHt?JF&~370KWc=8zqU8AJ9NqHfwV_P1ictK5as8QyGIshusNHo)5An}4G$s-e~ zPe}3|YLe}-V{jH{UPYEvJR$Ldnq+gtjTC+1(2E}>t-h!Q5UAntFi1b$-^#FAc9_U1 z%QAcf{#BW{7&WO*5H4a_PMOS3uVlWQ`vW(m8RVNz1DWFQGNioI3j+5D=BZpuWL1n{ zek+Gkcps3JX_B2OrobmD;<ra$+!zvp{? zB6-1-m}zy00!{Pjt8b4p+fjy!ge^VWP=I%YVXez}{9B4{iGn153a#zrdl}u5 zKc1l5`86r`uQCR92XUXyX6n4mIFRyv2g{EQ;9R60l61*G*^Iw#{K37O8XPb(p_b+>5qd0h|d|4;3H|H~WF zE4%{0H>AIK;l&p|! zp3!sVPq!86C*oHd(r-v_W!ikfu~cqsi8iqz{f6|Rwog{jZl>1VRY$kJ@GHOV?|);m z>6PGU%od|Nc8D-3v!w=OMW`EzlgaRa3HD9fOI8}Xu+G*~gB+M0Bw5fBC}yO}Z!2$_R4o%477r z(bg>Wu0b)H;$+08w<*KffH!*VQF1n?q6)JmO2SP5z6u$pC>Bsk3hUoaTr;jX=Rx}S zygs?8q9k|*ifIb=De3_eYNp~Q#oxG(OTV80Zy}*3t5wM;&T1C3)LE@ahs#T_p@dtO z2?7B;I;p~_jFXxB$fVLo?d2mS=h6w&ZE%j6FT|&GI(m7`k4~=#jDB<22dh1iQr*@z zckkv1=`C;j8E!`U?M=-OFRO)Hv>EBwWTe0Qvp(l@QjR&RlzD1CGVV2O zut!Etd7S?C;0jr{qD~}z|08*A$8)bMCQD;=bZsc_xR4D^Qp;XZa*unJt-crx5L{P7 zKaU3};6GIFKuGDGOXw})0txX|G0=&!MBceH-iMZgKbwFb6L{B$1}q?1euD5?s1T|W zc%4I(%A`WjmF}}YN%73GKOvCm95PNI{mA|M;9iGX8Dkj?6=bmt$!T{wkBr^=I9~kJ z(*Do`vh3r9s`!NprGb#7bD*0c!f~adIid$Gv^d>6|#lBH`@Jjzv?Os`0-j+XR_P{;>X z8zeO)Cma`4xlFHs(x*-nAp58xQ?6IwVhYKCt#3wJO{Q1u@nV;2bm+wgB(pR{Bu7g#7F zt(5@O*=&@gf^VYK4{QuQK_~+WHd(!W*3~eg006hMDjU~L0eIBzIcVMMt7K4bIY-aH z1l%M!SWYKI&1$zkGRGeY> zjxYJVt#iC11Pf88zG4Ego9=$xt*zx<+7=?7#i$bDz& zhpi;kc^0~OcN~BU=f*7DyMR~31`?_1S0qFGrX!Y@L`jtPE08~8) zWrTe;9`17IQJZNd9-g^c=i=d6gncd^o_WIO;^E#CJ{u4B5%yVUbmj>kSQZLMJJed1 zI*UgWK!aljRE4>Cw5x0oTDx5?9&KPIIGW1CTX|_KE`2Hzosdt^G=gpVd-|q$JKZ=& zmS2oP%Un9mBJph+b$!95&1}6wvlV`2{sb7yZhxalwc?ACuR4DL12b{R{uf zjoNj$Xv5_7x9A+B_A|cuPygUIrB0{nVK7V%{s#Oid(x%Q1nzJQ)TXd0u-;6t@AhQ9 zQLvVt**?LWm~4Vzl!2!X$kB335+#b>Tw<~bqP9C{WXra$Ez`D%$tD;}>7WK=rL4EG z3CBoGHo?$V0!xohX3?-DC1YMt|*i=Qzprb$l#TAM&+6nRWvsn^)Tm=1-=ZI0I%@GZU*J;H7M8Jq7A&R z2fY4`Py3}W+N$(x;u26!h#Hp0v{mVU=d`J4=s85aV{~m@u&x{1wrx8rwpVQ1wr$(C zZQFLTV%t9X_P+O=KfTSG7=PwyqxY)!t*4&a(K6d_)<`d+2d7CrpXk(65kxc@NrkPI z-*cs7=2H-y6LAXp?$CYSO!<80wYgPQS351|Cz7&X)?VPZIiR6zk874i_Ys8QyO=`9 zIxU4Hbc^QmdZp7(4!4_yf-wr{ru$51@Sd+XdJHG%W9r4W_Y$D4wwtHxOv^pgIK+?W zHkmwJY5%6MENxsO9@D1GgiW4`_u+*|Lsb-q>Jx#~GD$&77-Z~ZA+I1P!x7JUUfF(1 zpHj707d@Bsmf9w{QjVIGZk(V!dZFyDeC|Ltf*Al=i8xFH zQM2rYeB92`RK;zdsi*eQyrG~?(2I{uQvDai%xrm2H7>c+=rNqL{!1Ps>Dm{VZdVo&c05m1Ff^-njPWfJx~p)Xo!5O~N@m!$7Nmf?!S2VZ6jN(?QzHgKwc^ zo%eR{se?RP*E9m1Z2~i^E8slj%JVbhx*Iv;>+Yn+@sa5}%ii_A*%GB;WO~1S!PU#ymYq~bwMkU z(!#oXmT4bdF!n;m0;TM1Bkp!GJG{Vw7(ST&seE{pr9_7 zUS=L}nzut-74Ef`c8kf@??7siK~N!7Xn?ez%X@Dyi5Z?j7Ur;M21y!V)(aOU1)8z@ zjHAE|^&F_OB5YbF#7V5M2$Jbj%k1(GBlW3`w;akztszByz=QtwJQa<-sJ@mU(R+IfX!J_d@=6s?2~+MyQe11irv*ZwV|PdOyNCb2))8)^vDF8RiNKl-!W z3MLt#;q{ojxkC1t-3M)-JTwV6v4UvEhH$qM_DzRtmX&9mT*ss5^f4rlJt*(O1`)W3 z-9C~fft%nu09eoK1rqw)XA-;TU;2$Rgk<2}Rf^)`7{ujpel4jo7`@MuG#MqO6_7AQ zK!#pulhW~0o`Ybe402)~K^dflwMAS)%wV1|`fq54a2YcOn;a2)CD6A?PYYoYFjj<^ zN>wINXovy=8<3v{Y9Y=xCPynPim((@U$Lecf5Zs)_i5nwt5_iOf%S-7O0h@@lIT+8 zg%DUIA7~w6aV>&`uzbZz32@v6=|<6#NJ}_*iBZQ%eL6h3fgAI@4pEa2^GYdg?*PgE zNsLNoa}!A}SttKm{hFCtVYrUun4K}9aZsmnEMJliMVwFqR-WR}CxPSo$FF0Kl*kjz zf%7u^asJq6zu|kr#u`j&x{AFClr~YFJ)aiLidbp;R8eBkf|ks>l7xc006$__bUiFQ z+<4j+u=D=(pajEQkB(X){paz>)0NAa7yH_#_d1m}s3tNXv!_v8Vl^`&m@@&hT0;Tg zgR|_N>cR^6r|a}K&a(Ur@LUelhI>#859sOtG`ct*3(dYGmpiSX>!^G z%Pzh*B+1)c5L%vJ$VMZ{6YGcL>~~l=9D#~*ezMrgd0U$9BOAvTzjZJ1ucmU!y2mT zaFmkXzdf~q@bE-*`aO{#JbEBJ?veW*r+VKJ8CRa`odXKQdn;N>3?WoQLSTt99Kw|a zLG+iWRl^UnJ$(ag%7ge*x*x+ME}2+q7CnwF@Di5|bv8A@4?p`E8DlP6*JUT>{RYd3 zJLUky3uz`o_5DIKbkgACq>|x$ww^H%7Ncu8@MhW&&H&7k@Iv$yWc3m)Px&c4C17NX zyu0Zng%)I(N>OJ~v9s~&E^X%C0B#VD1{ob&Gf zZz^$@7ysN`9Zg=u@L^5FSj`UAvf_IM%xadT+yJN&mHMSmdQ?0KI!ccvnHKJ2Q)?`e z>$F)y7n=W+%8uPfCRaOYtnG0vLk9BTit1-%O4#HwOt)T3s_tr!-wnMD%C4&{c#aEh zV#|3QgxiiQtM>hP?0xv`v46?`fR(#p1jRP5 zbepik--t+#99KT`m?naA1fc9*-w{lfPsETEG2caAv@(}2TZ1-z9m6VJKm=7Nl>$Jm z0VQmFGki4hTz%S@EU#ULzXARI4Gx&JFn-XZ`wV?71#Zi;U$OI*$K?+KUzbFS5IWJT zJW5&e+px$PgTyJPQo$HrpM6Tg`u5p5u#?z3q5NA>Qjx5gV~fym7`GRJGI!46Zf<_X zx(~KvL9feMS$s?k+limz0=K&!`{jPrC$2>w+>rf1J0NNc%?Iq9^Qb$IgR|D#+7=g$ zSTJ>`*s{MiJ14n0xX+VFa%hBK8Ab(HUO{@)@e?j0CDWep-P}mhcsLPMs8zaaewa8~ zd>pB$MeJuYj8u_G8)-BbHfgh14{FCO2Mr*;(2kkHdE{#nV>l`&z4v;(`K)ZL5BMJy z=1&JF7prW=K-|okvr9LWFG5a{KGjj%iQ3uG;o92sQ2@mfQJj*2yLX&+!`{jU9M1o# zqXv@#Da@8E{>fmZ@h7AP%E{)z%XywIZ{SpJIlL?9X>0Y~%6+Gv)Q7Yl84LTsNZ}12 z8bdgB5K|Gjqxc&{I)yw3j3-t0^tygMzo6k9O6a5}4RQR0O+idE7hqTw)A&BU%w7bc z+)!HAXeRFem(B?>+$huVlV}3UhLU5To&l2(;*UQiPA)nuffy8KGF>px!$O_b zR&3(ZXRBY{F)aljd&v%33IHXKEf#OhtN^uco312CA`|{I#o0^>G*9;pa=b*3?D+Zs z(kgPw>%jKU_h?F`F8+F6IF*%EUnZ3lvOsl%Q*H8O38?w+GuqKFU0e@@EfVs4(g;~V z2H}_|-J{AU+G4rSh+Z6oRlp4b1JR$LPxI?QA2~{7BbN-K=7Zpw$7+`=*B){BbpxFw zvZVkV{(}xF*q#HPTu~Eri79gKxK&Qul&U7@cu}1$exg~qcc((P7s3gunX3fwR0!{v z*y&J z6$=ip)9(%~9gt8sKDJlpCxO?+^{*mmViT?EW*>w3mMg%xx=kH_0`rVJ+O(P?wD<$d z=NgCo?1M?bEmA!hCAE|<dW?*FQ0Sk{Z0?OnaX~AZi8M)>^Z?;L! zN$kuJm&h%o8r*KCq&RobpyLtpY-3QSPcC|(jPe*rM=(1ZQ`{Z$0x;ilN`Q_ZgPPDW zk(K-^9f_PSJIXk=$G9P$To=`Z*@<1Qsl69Xz4CNh@?P`D*&->;AYv@pdo$WmcC550 zG9iC>)=xfc29bOGV447Ii2%(TdEmJ{LFu-B58}1pT|cvtrQg%KlHRE-`m+X$gx>J9 zaGQZ6CB&v&RzLywVm1K~#u~Ct&hop~NQXC@AlPc&8_pamZL$IP1`zJ!v>mX6!ogB5 zMD7{?teJ_7HQa5)3qpVdTJ1C9|5*o!7yrEl3kl`-L?Uq$6KKmtBog`8$Qy!C4oO!~ zAcoUFWdEn>)4hPJxi15V3Mh?SKyt`NWOet8PKfl3V^3a0arbXr!;s9KM%@92o|5Vs|RGk=X5+CfrW8{aq22<1c;H%XUn8- zq$M;H@Ph=a&d@^vawrq96SHoCOW<=HCeS8-ar-M(*Zr=WzUQwNBsHCo@D7X`+PK$Q zfAFNt=vZ;JX{L?<=ap+|*oYBnt7G3sZEL5kI?cfLF9hyoz}$l)h~HH5HXqP3ogcKbS`(6JAx9B z8`2b0$7yy-9W4ybVbF>U#C{jjZo! zdVLHE>!C_{;Eu%m=HedP`PF(Ms#D85)uwioqiTSWRnOu8dO6V$&XjBY=F!Le`t5z_ zA`0a?&%Mzv;s84?Y%xJ!PX{cUtq}7%3Oc1^z0wXSe3_~GIwQ8 zrs7KSW(1zqxkD^Hljixwp+Wgt;P>k~FL4W%GahNRL-2PL3<%Ik_iBV=mq($4}bj-D`| zWp+ybSE#Y}H6UfDH~J&%NO?#MZ~={|{NcYa1TR4OaeElW0yWHS7zXP(eBtH*CpIkg z=7*ZTD#dX!zcz`Q1~=lhqh&r=?~{3zf2=E1$acwm$t5+P_9ER(+E+=*m5o_-*2oPH zbNWXqE7bxF7+MRCOqkvpU`v|q_aJ1{sr5Ruen8>ZaYv&S+o@M^!#ViPp+!T!+kl0$ z!~LT(q<|}$?J)S{jVLe-aTN>SbsMt=eiH84EZL&x(fuNVTg4g7q5sduWYVD%d-l%F zcOmCBtV%YvtVDZY7Cc1T1*($r1(Q6eHfccE`)sE)CgM^}5J4X+FcvKp_!qR5hQRgo zpUEt^V&Az6R=LXrDd zT)SieP&*qrglQGBE0Jj`-8Bj1YfnO!w!lc@Fe6O$q@2JMq-^?UHyZIv!O%HK(QvDS z10S8R99;Ws7r2L^sxD!t#_OW^!={C<)>fjEp3KuWlWQy9T;cVM5nt8K)$5+_x3TWK zVmM#*8<+{4rjJaS&3IM`Rx|BsIBX`6?*_OwxFL|`g}MprxuUPc$>igRrNP+&5C&+XGvlET4A6$ zdxgZ(NuahH7rW5oGgiT`m&_zgCzkLXclUi=_h&_E?5`mym5S`s3pFT^$RYOKdDz_Lsme+)+lO5(dNmg7_9*x(M*$Ie7pU(6Q*_+A6(mJx;Lnh$ z&S(B;u??>3Qv5{jd6QTP1XS54Oeq8o)Fu+`F5QB7`9HFk$qDr-P^;g!U%o5VWw3?2G zk$NdT2*_@2aA%g@s6>e$aPEC+8gG>i1Gi7+B#SG-@1I?SG#cx>fLl9}Y81?YG`JV6s2?~%GK6^60o#br1#^`|@YIkouU+aU-be3b~@gXW7Yu_ zLE~9Q9U$>zN)Qp$^eErw;3=&^-Z4J1g-qJQHktM2p!@v;74LBk*)a-R-oLK2=EDUP z9QhdeAB#rf_-B0T5; z(N%VPm2al(L@NfX+;JD$9?092Jv)&Db4xBdtM;*$An)@iJO2xs$b9?jlPL2K%Jmb` zIiKeggr+C(rd!e7siUWswdBmnJ=R9MNH$0JFIuiqt?#~8pPAX86%_Q7A-BcIqLU|-+9A##aW3lJ^9^(<2=aABQC*(iD5{#4|6Jv%UHd1|FAZy8i@KEzh9(P`~W8bR>zB~G#X!bu(C}w0VFr^1u7vqi_a=`Xm zP+znFn18&k4z?O$*aGCkn*3gP2lXE8uYF?rj}Nwldcn^H`2c050Fm&MXZE)^K>N)x zzVZ_dIVu8pR$)9TxSXJrqMOEVnN1Gc-T!KI0FKRj2>;#a4uyZ2f3q&B17u+1`hz73 zN-SgLKy=?7ny<;&W7dVOYm%Y+ic21DuC=bP*!GCRNy`a3pip(GVkO$)%~T=~JhAU$ z#y9<~Bd!(NQ6#C+QCuLOPGUoH3M%iDA;jvDz&mfZ%8GhGQe<^Gzs}=({9lX+EWEKB7IT(!jN6f7amP7_tEqglh1ovpzWU#PoU_mp&*Z$W zc=@B5+op{NU zan@LTvK{l{_i4>^sw>qry#M8^an>`u7OZ8j_A)omx=n`*IPjz#Ia?ez4vy`KYnh9( zN=7fzB-3WUZZFnoWUfY+zH)12JufaFNW(#@J=gbpTcvkkmc`og`kN5JZmwY~U(9N1 zXc>Gb7N2$NpThm??^Q_~d-N$e@Cr+7qg1fz`AltVT>CNQ>hSS+%s>ScFy;^; z2Q@JBTkdCXFWv0Q>BD7Jve@vY5X*La+{NMB`z2LnwD3ts0b3Cm-Ou;IONu)Ov+}b0 zl;K8M6v#=)@yKf4s%0NF?bM47cTCd%nQQ}$yXvs$IvdYxoK$nxFTQKK&dN)3 zDs*4#e|xDMo+&S}*vb5EF@r%)sE|E*eNq53&+Z&aqq_0o`yX+y+Z@1vqrKPk@;(%Ye|@

    2WO*N`!fB=`k`Ccj{_CXZK5s$WD z;KhLiUOqKKOQbm)xU$+-!_M=TT|Y8~U}&r}?X$zdM%W`7$Tj8*FoGGxNov`qAs(hNrIDEgK+cGKdcoBH zn)kv1aZG|ni&L>C$}Tw$lQ3xSQG{$l4dL;l5nTDpc_X?s_g7i;f1>2{q zCLnQq()l31SvW2i;O2g1jlO6e8#@RSZ3cok`Z0S4J>~s;sSDNpU-60N*B@93PsLUD z+u{ufnTm?z;qx;92nC<5i;q49pKm$vGny*(<8rJE?{qUvnC1WY?C;ufvT}zYWvM?e z(X|E+ebZd7qveI4{s79uVEx6D{BXrfMfVWlT^gjM#9SlEx)UstTGOX`4h<)uNE#<`_x(-mSQ80)&D=D(K$iU#dibk=8f=H?2}(numosA*@;3S!xbzgI~p>z|P&XiG@I zkIRiI1663iS9?IM$w6b?i9|+k?juo1YYB`1L zgH}huJ@Em6uSj}iH{)p1`%plF!#Nu5T>iqfi1#UTEO?9TD9S486}c5s=nPUkwF9V} z!apf^M_LYQ?ua}?xo;oqe(8wvs+H;{leP!ENq_)hKWOI06rzEv;icBnWcwD5j1PWy zSRf^N!+;x1&*oC-gJY*n@ETj-Dkac5OCqeKCdLd$9*B2>Dg}|ycr`D65_r#WJ`YPI ze^U!uJ_*^EkM@CLc>5i~$=8L3cOQg^*+U{WTvD~A=4Sn?Tnt!VAd}2M)_yI;ey-wo zZV45`%8bnQ&=e(*Kk6kBMWtQKhyf)h*Q*@N*X1r+Ffn8;TJWIlBgk5^Vxr8Lv*JOT zK7rO&`86>Y(#2_-ubQSQ19de71c3(`K@5Hfg-I1l3{fCykzXvkF8dS1puL$PHNMCnNIIIMVODb#U7xPZ$mFNxOzc?!hFSLya26RfS$ z;zBl+#se$S(Eg^fD-;&UQ|4-uZ;ViE;Qe6av^9{oWP-;&8X9$+Py_b#%z_LUJsoH7 znbi=F<&-!M-f?HhdUqrqsMr*A#TvXw?>E7p<9ke0`=*zHOCaqulS1j#aH!a5xXxt| zKW98q_j~pXf62;#QyR-&on2vv?@TNJ%pf^>l2VAs%Ed$~9Iju>Yuob?Jdvm(`h6iI zm2+ocQEeHiYC1%)sdV6}zW07kEOoGus4O@vl1!P<-=EWA#)OwGiXb*ZL>CDAKw*ff zI?A}26!t8G!#ybyt=V&(eDM904f8+@O^pu`kCJ34oJYlGq8$@rfh$MERE@R-VX?do;=kjmhcVtUNz zl=A185oJ8O^vn=X$n^hPFhf+FOHoPTKICm_c>?;}WAhu!yc{v8>R7e=q}i%T10cs_ zR^=2vGsP6Nsq;(o&qE4&`Ucj&w=aYh4jC;t!BUPk1L@#!gOh*OhQU7V%t&rQZ81LX z6ITH}2iGDIy+7~f`+Cm4Y`?{3Nuzk<+tlFg!WKNa6~wiY_To@5A|eG4yv})6<*t;Z zpsZp&L-g{Kgrak#w4$7+rwZpT1Ze{Bi_S8S*OLJS3rLCU4c3p3q4iB|DwuGQJ1R>RWB+BEVq@y!4ka@U9(+TbqD~)-y&+y7z z7V!`pA{fz?zYIKykFBNv>XJ-59X5PPtK#O=`ZIQ{`DBEVHcnaJU{AdC?9Ev6Ws}_H zgp!%aK3=d{s~WO@xMh7KGYmx*xQ;=Hb29#t{qi5-;NjUwF9gb5B^(rL5wpn}Itx;m ze|b|3ZU~2-w_}s8c4h6B`g^*P!+T!n-42bTUt-S2s+0GGmP#A?x^)xATw#wgFfr$)H8qxjND&H!XCV&cw#NkM}YG27p_mBeX@a2QrMj%&*l>X6jCSFt4aQ@IA)2xBX$^_qOK&KF1FJ{~Z4*F3wS z2s><7NA5$1@NzAw?;Bd zzXlCmrxqtG(Ulg@a)Ll4vyBAiR|IJUSc$TuI>s|RNJX!7Qh}cHf`3|-ih^b+moF7? z`2vz*&ZU^)d1PTxE@ePkSiznsXNh@coMhoJbVdr}0xqnJJ)Z&*DafSQX3|N*%6P&o zP!bh+Wb6Lju-*`r_;7^STh(+a1y2msb79f4h#V&JpBuC7VUJ)5`Dk*ISSKNEjBbQ@ zY`;{9fn>sNpLYzuzDlu=pf1H-lxTd5DLi>waE2$&=AWhAwi}EYfAJJ6&}q8Xn_%_h zIcpRVd<-%W<0jblByNyF?sgqof()a%BVLe#|KG9wFdD3hN zU`z%himdxkVE{WmP+=(P`!PQa4M5HJrN(f~As1941pnH16`!N`fkK~@XAk-|xT!!t z*iswmV0bJ+s~XLsR)zjWs>;x+{h}Ag`@dsee6M1^gd6UcsZCUTZsU2ko%0MVX$)}+ z#tbp-P$LPvriyEKxF-!i0sy;trAAv~jf1GnqmY#nBD1{aGEPuq5r@^5is5r~+nc(~ zrV4G(cJ=r`J~*cUd;MLLLb(_yEEWsw!ZC&==03(ZD-7V+UJ(g(H}DP7Ce7dikNO!u z1-Q9o(npz|SvT3{9%_Rfm?ZGc27(0+Xlr7avX1mk>ZA7j#sX*Dh3ooid(4g^?Q`B9 zx|L})O{KjOWgxJnJ>Sv_S10j^p4!gKF?u%1%`7s*eS^vVaQN?!Fw;U27vW;m?G^*) zbq4_xe8#YW_Z`og(vx32Bz5o{8H0`<`IhSkjYz(u+FrlHjY+;p-(Yi5+v`#uqQ8hR zwr$E|T{ri>>Uyn5SWpwdQzl_D(kFitEQBIj7J?e=AU1*Mh1brC7R3f+po`w?QTI5| zkD>cR!r@w^+nvGfCW)k`lqAl9j87&CU-i^KA4$ZC+Xh(2ZZHapMS+Zxh!?T<_bvA^ zs)$LErLH2Q5)yf%fQ-w_V?rU8%*<-U?T`fC_cc9$p)Ny>S8YVN{4tnkMuLNuAnKkD z_elbl)gsZPk~#aE6TTa;nz6;Eu)RFj@ri;FV>JjgY3ba#3)GVDI%B)6GUIstyP^Q^ zPkQbR%gy#9E3~k78OjpmpjK{H-CooW_+Hg|-(v43RoOX*r=jBEkjNE=L9{!EMG=cv z_8-jI`I|7f>g|A?>udbh z-KA#PV9D9U`o&H$Ws8udmm(++Fj@riAy7FF0MU@~YF^1cvaI-uDnWoUXtk#*n2nYi zN&3ByC*$@~qomL|wQrHFKvnO{o-jdG^%kharoEQiI;w)L%x%Kr@agpzLdZS$vb#8I zS9=rLPoBa<&z{T|diE^&o(6w@jb4%r3~Mk>!17BSP;A&71>g*K`mF9I$>ai0uxZMI zsTT14oY=nsMb+FtjO5bs&B(BAQi+mJf)nRpIzCnW(TN51j)pk+25% z2Ku(aDN?4^5>ZT!F^80pdSL5S&}* z-)$aAMK=|SWaw@L?78T|K~Ng4x3k0`0=m4gFl45QRem1TC|Gw6^hhRP&;-e5hF`F} zLFJ-MEKAlu)x?XpCJ4+tz^6@jC8H@^qn|T59u;leZ>H(V--F?Vp(XAH&7#a#O^acj zP+y0Q)g*zH)_a&hW165H8*x75ZMRO1XY#w#$!e}5_!$Lq0bm8XR(@<2oY+A++-{M=aCcu|1s-3I_v$jjCqYar$g)Y z*oM#t%cX1HR!CU(+KKKsd93xTJmxxsx(#-xJAxX8d}LAgVP6jd@~#X+&*ztU*srAT z#k2t$K1uswmsTEye4KAJ9M*I2Pg1JB*q}DyFt-XQ9_56Il#ydVdF0+tQa4$qw0ZH- zdwStT7+3!D5hq(*E}8OLH=sNqH(hRLisb9-9>&h+oDaPeTEl+3gc>&$q~E-s{Pnwo zq-1SgerD2ezJ&H(<^IG+i`U>dmf;3H@=J(d;+a!)AdctyUbzQ9z(W$b_c`P;wCKN~ z8oY^;{K&KJtlEFEAAEU4z1+N|$Nmt%B;M6b^{-(`U!m*m;F%EO%&Vy%nDIDEfUn<#;ZzS; z!jO}+t=PyP&WOoUxh?4@>J-{hTGhV<0?fZ{I1$4Olc>fHHazBrrLe)~)?_W-l5h5N zO*oLU|JIe65ZRijt3o0?)#;^Lw8p8*wOv>13Y@mqi*@a~+<1sCVvBVVs3eouM2k%s>>OJo>ET7ioegOC0)n{uKb8nODsM zv|>L4UY0s^@%;N802ws5+RwXNA>jab{WcJtpbGX9(8it#OBN~*KI;Mbt}xxk^lw-2 zR25%v*Y5}NvD~)LH}TIC-|MW;ZR+<7<`yT5BBCREd<_pbG^6$0{1}lCkDA1>#9U${ zmJ_jZ!uB4A84oX{$2HESG@Nwol z0MfSkwN|7bmz8UaaJAqg_w;GIqqes&CkEmA69sVQY?}SaztXubhb6(_X9aPj*FmVV z(8Y!+*l^Fmu(nkDllO{w0$#egvZqWG(|S%~eE8=Qh8HAaIG3JY-h2``b(aI#%D>u+ z$4*{d4IS9WkL(mlxup2Dfpn@_o-U!!9Oj%aLiAsb4F^RwCY6aB^pp_)l-VQ^Au?y4 z#HtkKh0h(FjoUARiN7Y-;=hc%wn5FN7!`a9VxcKCU(HE7wckBGJG13V9hLG9EmRzE zNsVSJ<~@?As%!+7%4RhN1qpQH4h}In3dpQa;4w%q8&~QO#{Sn~|CED?<~wO|i1&v4 zLOxZ$$tSmyc-eJW)`tLks+iv%p=t}kQ`dK>Ox1g|YhI9(5hT^BY(&H5f_Qyx5vqb_m*pi;;Ja@g}- zenWxir#LjdfYg8e*$wgdU_e>ca@V)byC@et{oBV(+@JdCTPo7k%vp8|jtS~MA9aDE zi1~1ca3mGnWys0X-EXXpyjOlDhh`UvS{oXG^sHj>_JR=#*6jB2A7ACS?@t^qAE|_Z z+v-DPJ!kHeeBxKqAStD;srAna}Y&w`*)vkS4BZy z`{fbxQcRwPo+9B`MYdd#Qg@95?05R~_n3fd+ z==mYBo#))WkRH<~!`yfis?&B@US?2n#8`uz)KX5SqOprhQ01D>Qd6+{)<@~S6)wGA z?NwntbF#HY_@bt2c{z+g)Os4z)i?`Nd4-9+;`Lv*eOjl2{)cgOi&<5UA6Ci0eE zm5I$#u!>Wnz_-ejzD)g`2wK?*MaD8zn2@RVM22mX&BM9}H}&9UZ0rXzN0c z9x(Ga9~tmoZ+sdKg>0k1(rjQpp`uilp2YO*!m1q#m?O&&cL9W4Q*WBxgj^T4Pa&sO zz024IvHP~Di8i?v?jjCJgt^`k|1NfpI{NpM2ltPiyn)u`FQOh{FKWOq{@m62Ol0b6 zH2`?};UZb;)edLn7-<`$=|z9b5n*HskqA`W=|4KTmH}pDAqIC#4$f%$5zORGGEFmJ zSpA}V0p@$#1MVaQ5VE2F`^cIvhzy%zPhsYiwhyhCJ2(m027!aUU4~K#vXPRIK+2W2 zLjyIS;Cj>iMIJS&GP*#QSfU=pN_Gi;Fj?3@!qHFVAG$6JAMo6SSk;~WzX`c@Br5m! z-c7b5JcUC+*B*@Ypm8sh`rrZW4h^P1*24}d^=IiMZL@6-rL#aO8k>{`<%Ht+Oi81& z!8DT?2PrO2pypzG0=rg|(=A&(H8(xzxj<*8|4U~<=6eYI`Q#IKtMsCTb^v=`dM`oI z>&`=A;fI%<<}ZVE1c|MjhLFD8n>Ny#b7PW-bNj8gX!OS&I#o;US3`o~)8V*N&}*R8 zk?e@}SE!6o9Rlr?6oFfBvUEp!Gq2 zk{3}nA_!6T5lEsLNV{g7qnwL(cWCDm7qhp5TVRHh(Ubv5YulU4%>X4_!`&O0om&q( ze@yK@H~p{w{^k0b55L0u&ri=|O3%Z@FnagFcGzohbS+Qc>znKQ3rC^9z*I?EoWbS* zH}&UTlf@Nk)334){OSSo&-bMI#rJDeTc1n5*5zus7&w10-Y>wIfVnLsu55f){{#UKb%#4 zNIjs04v6Le#R0VGIGmwuEq*=|H2mv3;#bq<--rE@Ch@(h;GPwv=V1G@Px}kb`1aD< zdJoh42;)SKY=C$cB#J{3mRKargB{g~Adt$KE1~4^uerZ9SZ4t(ovMd#GB+@T@-AU= zm0gU!`OM<`9WUcP3s_VLnW7;9xtKE)zV$rbz^S_!afu)##23|T$5aRR@@pvcxJ?;%DMF@NOnC( zRH(JlIiX3=)G>i=LH#yq^QP*bivt&2HyeX*mt43fab4Pr1BAUm$}@$j z(W0$)Gof_^)DqJ5YsirGvjJ@U&wK-^nOXbEmUId=do!@YywbKU8%==EECm45iI z3$V}orndWbozET&9-6Fh&BS$#y*O4~JWmsrHV*U2modrRVOg#Mq66 zy~xpJlGai1zb2FgZTJQ63&-C3E!8eUG#mNmLKfOiP6uTZjhXn$F7x$P+DwFqdMqq# zuxRkLGnq-eYS7`~jhC?i+9@_$jRJxqFs)E;ZYGs(^L3>#r*QXGw!euJnZ3UVPiHX| zmTK-Gv&Lfd_!)xh9ibo-Na5E4vrzi+VT(32l7D*5wy}QhFL*j2O9!p(hd7!!xesa? zEq_-yl_v>kZE_nsT;=)XZ;{`@p z_pztRVvIFa8mhqrLMdb_Dw`EC+!*9^6?0eOgAyXD1b+C+f47aYlv*ox=8Mq_k*eyG zkCuPQE5F7A-_CbNhGJ3ltQF^k`AdOl%g zqRV{S%1wc3=WLf1gs{|B!8*Hyz`2^ELimW~huUU<2{bf61A!ke#S+F*ZPotC@i`U30hgV&8j)QZchR`I8lnDHu+qBHwH~3<=QvBW2r5lz5tCdolvEObm8$2 zfrHfFJ43%W^C7bq#QO9M+ioPdq(^S%GONt?MLDD*k>p4?lK^KtqdJjJn49RCg(B$x zQon)}Q&T#FtZa9XyG1Upp^Dw{_Hyh1BbYo94QDn9Q*AR+~d*BwBRNOaAWQ=;oI=7lGOKjILt;PYUw-DqM0MkLqL=~!R_a~g0nhNBWX(K+I?)PM5X_m@qIksvkxS z#GoaQIe@57e#1TaWlH5ZUo%DplL53=`gayqvdd>bA5ryh+el~2UZNZ^_R(w75fYoi z0`CTQn^e58phQutMKU4zSkEWXUU2AZ<%4f@t{r#v&DqKAwXH=1Bm#f>I!V?_5TMC>=bTF8@*m)@Pj(x`U!e4eEQgw>ew3uK8l#s#r|M3_pJuvK89}aBVIdXWI9-3>KIC4ZBJPK{^y*lfDx$f*1!<>ml z1z+4#j+Jqg7}v39TIU+(^DrZeaMmMBe{=@boQg9 z#l1*JZ;FT*W3YTuItOe<4w1eo7LNpY!FP(GmM{=6)HMNra!5@39{iyzu~G5!CQ^`~ z(j@x=23r%<3~Ep!9RR`9({U`HXc$IB3YDi{pu{nN;RqHQfh5!iBmiH}W?*TN9#j)= zLaJn!DXsSj9PY#*g1zu!Q-57AqL4p!eTXk`?S8jNNbp-$!k_}pmmO^)Q6opJ=F#Bz z9nh8E4X+Hp*P%I-w=T0qRD-?m^^S^{`$|2FS8{iC1V=bms{7Sb&_9G=h{v2gZ?j^Q zojaJ>^V;Y8YTD}nDWE7*TP#e<0vz-Njgd+5lSN3u6KyA;V5jxXX_z>j?E4H6v83t2 z_y)xl7CLvJ9g;W7_Q)@K71yQqu$l7!0R_bN5NjWWj46XBFe|PUk++4fz%@*4CY$bo z;ibX1UQ}XnH5<^(^gs2`p+67i!^i^ES3oSRWhfVvD)WX5i0VUxQ4%6*MNQgiq2!QbOgdCQ70GS5vJg1JWk-%f9SMo7% zcGvnnX5#*h?}_O%JY5`egYoxC|MbY^M$TXO5-_>8A{N%;5Zxf(U;V)tWJ>so;DLw0 zwv1fIuY(j3pF;tTRDl}q?2k5HG_<#0ZYW)*@hb*=bCNr}utFxN#;UpnY=D2PN+u{> zf^@Z@bqdW0alAln%AI!VBgI0GcT}iME_3r=vl$=X9-zhV1s)REWY(M}VbXAJ_?RYj zm&IfnyZe$xj9KT#KE#82M!b=&h6gbO8pTTEOIeIj=nERKW_~R2`OREA!~;Z!)=j?1 zyWc4~ApZxWKwQ7cczN;P+fl&}BiAs6Lj>evjXkLJ2%sgUmu;#MaB_c8e_7O$_2S!b zi}P%8o)_+oT}H+wckFOF2tU;0Jb(Io{^^%K{SlGJrU|H~35Rr4{BnEdjxlNf7;3wP zP0(ei37g;<+5O0D6Ab~XLYZPuRl;WMno3okwj-C0uko}rHHj#Q+(_uw$nJ;JNcDpx zNOUkkROnN^RkiVaM!ptJFlxf+)Gbspzck-}CWuo&NnI}8H3wB<($b>pnIv3p^x{#H zp`m>3tlejS*i*5W#;Jjp=jNoU7w2qQae-z^f0SfTf0&}`nPg;6q5NLVy_MmDaqt5F-61h!2OzhxwN2nvY z?h9Qi3)hX5MVfd?cM^}I8w#7sw41j)Bl(8RxD!I~7A*TE1%<;kO>zHMMXF zllB@o5sukndwFKxn!wSO*F*|$tA$&{;Mf-1vr^G9COA3DDc zlF$3xZ%(m2y&0v}6CuRJWzs#hMBUk_SSH>>*{2-bLdgSJs#^=@xWHz)E7vCZ z1-AI!NPEf2&a?47BNzxpXhZKOF!~qXLK}L!Qe2O(gz%sL?9cf2 z<$mkeQ0Gay|G=+$Y!>P~4OXhB75mo{ZfH^)K?PmbTX<2(%o*48p+#+i4KnN`R@X1p zdD_>=?IY#o{DyZ#AVHslejN2 zzxB|{#+6pt_^RWoGC6bCd4HmS z0ndqPs!AKd!gY?Wtht~g6+jWDgBdd}w&1b)bs*0O&ibW^is3+>M*1+RvY@)aLsrrh z=w>#-774EcpK#w7ZRrY5OpW$GIwR6eij(oA5Bf<1A7{A*4>EK)=ZY3Qb?7~WoZmeA z9F!B!SPGOA=F*~PKzW@0_JF9w<0KuZ4<(M%ee`+RFk=v+5hBT6ivgy~zUVg>q%JLL z`D4-^Wau(k6x|=HlHm+q{@wT)@_o7$k8BF0TV~_R__~?1W=WS+jjjF8vyWKlPkF-T zCcjI zI+cKWa>rr==b7)Pv*m@}hP=@5=xzw=jJVU)7H%YVyzUll2zosT`v1P?AAZbtrPwiC zv!HTV>{v&DivGwNY6QM&uavT|DIll`wx}%y7=s`(MU;P)1c{(g5X2`jc8978<=c`V z?Q9e5hKdORu(R7v8rb!=2}_IjS;;3j#)>@wV3^j(01+cH%_!dIAlM&JwoPV)v8h&Z z5+s7!(xGJ55j9=eTb{mvBq)sIFk{G%fh&EFst$#qAgE0lTOsstfoplYG^I9H33Co8 z{~+AF9@Y3pbCPjqa}LP5fkuGU5oaIGDSRE9^U&b#;S#X|nYJ9V)vpM@4OZoIB8N1g zs2I5FlbU-+;6E1#dRTwN1}<-t*bf&+V&p`T9i;U!J?d zGp8&NSD*?WZYfupT&;N?DKb+5J20E+J6B8#M-{N#j&W$)kVjhABUiZhnFI6b<(>9M zd!KnT>ivG!}NTEjx_G>W-^wI~r?z`_zEpzu(hZJ#w<3BPmEK(MaUMDp2&W(lLQ4+&NssgX5SpMNk-l{MdXIDJ3=jM&m-Zg#fU$IT z<0y-h@gC=P4~;^+;uA}Ik2A8l8kp*=d30RxIPaM(LP?Zl*E&k+LW;d?S2DOLa3!l_ zoBO5_ZRV>oN~%WzFH3N~%bO!{X_Lg7p)q}p8Un?c3zya;2UL&}T?tA-JCdY7BTDu? zedsu&NV^N0@MuWszbFay3)VU$tAj-E{VkVb1SZ+yAqtWxv|S#jzeNoK641cl>p%3M z2KX?;DxF1?l9Es-e+<>CtyVQFRjUT0v21IAX9-@^Jh*+7RhD#?+OhCN^oGOZj%`=g zdD5)1Is07F%$z}wqKW4i)T|hgWuXDyA7>UC%W~tV6*KUWg*M-~t|&__DUHb?pze$4 z5Wo;QZRa}#!t=i=E4No!S$B&zW#xL5mB0C$zUgn>PYwaS8Ktr^pslO;!$Gb>DMF74 znoe&p)5Crj9b`(Y@yZIz2!~+ySgXmwutNuv6IzW|Rv33sJpiptw2w+SDxjuUR*=1- zZB*c39|?LSjB$l2eIZj;ES;XvK2j{`5U}e5F8~woPe408wkFv?i_?%hhy?kZi!dyx zx{{n`_PzfMs9Sgfn5E_$X3yP9;duW8LZS=c#RFjx_=rqK<5U`vm54g;&giPP75; z^#JaNz4e=ZeB+}rUqpA& z^tMxcKoJQgYvg;V(>hXTia)GLlM_X#4^jL2)e!7&@FgBx>j#}1Tu>~nnZD_y*+$Oh zO0^m^+2@_Rhzg@FyNfxC$?ZHALV$A~i&2?>_{_6*Ptp`T-@%*s3~E18ng619HG}q9 z%s_(TetkYf$w|*ruXu)1kR4_7u|2tHq_nHszbN%uCIOK25`F$C)heY4#W#nl_pA#G zOF}w+qFFJnCEhXTM+CwzV!_?K`7_oV%UABtSa*vyf5!S-bdEpc+u!m1|Ln7q4y2{_ zOb4>^pTm(nIH)$LARaQ!!lu9%G{L@y8~v0ZLDmGxu$Rbs(<5vzkd$Vm4rGF?(k>cH zi!AX{kPvHvKucz*gH+re!O0`Yr5ULMnV_o`&%TPeAOY3{JJiO<(#S;Ukh)d~^3`a9 zC_BgU{HXd;?}c6Gmlhq!BwcM*RPrgN%qrTqc<%~lt{KMVa772QIgyIMDmttbj~-Oz zoYa9#5?Ts7G*S}HDN9Lzd)niXJ-S44bbCYxvN@xo*n&zrTHW8Err%TdWTki%zt2`* z)IuV(m)t&XnEL0n4gq&{#av%?csmGP*vC<1`PRzE%II`4*)lC5sT-)#lIOeuv@-NA zbM}<>CNLt-8R3k8PL3rh?NK;Gb@QaY_SULN+672`IO|IZE{b&zPq| zVS?ShI>24P8JRzrQy#ODHytt*^g>Rh6?jhHP`9->lO|IDd;-EyAA#ghXC@`7P}p&{ z$Bs1(aGaH<_WKhOr;16UCpPmNh1h?{ulgeR3#9Ioc^@m~$_7X5&oDT+AN=2Ds`MmR zK=DoSy2SH`bUgT}ADYj>{x~^J=3qz?+{d{ZN*w@vxRUE2x`2aXbs;6TV3_~_m2IJg zVcwNxq&o<|-Yq!tZSVm~u7XF_9sYmz-UjB9G%F7q1p!%>h`+>HgMoJB#1XyMy7$)a z1;??OwPnHrrgtPSjy#>7o$lTCu(z9@$z;Jc#!f(1WE^E7mWVA#mLEqlHh-~_7!(Lb z{6tn*0uugV0SAO5R!fW_2{Q>e5{=WXcnW zDPg}lV#8#DD90>FCRo}U#CB;0^I^4*R-q5azJ1P#gJ63WS!#p71*@3OhMq&s5ex!# zAFH;I;*;EZ+abCxR?LzKD)`C|Go4I;I*_W=Bc2ahx8bbdO=QUgGzf%nejlWOlVO%j zh`j{Op$;RZouy<`k+;C$tDBI}!^h?4-tV9Zkf%JdWC98kQU;+Z({_OX=%iVbAkx&JW8bPW8% z#z$NzM(ncUu5vk2hzW*y*7AmsbegZv#DNRRN{*m3l(`5~nHKJNyh+^tWdof^!ukD$ z_u}^Fw%Z@)oAnzY#LniPO8VFpvx{_cUK-ag#{$f^JuAuPIH`=ydPtgBEgQxN##d;2 z9d@^^&~}x4zAyXH@4WK`sg|umL>7LUEE>|f%x;3Pc7T*QA{?)@#%GinHqc5HW?QrE z0=p}`g@}#eXEdz07@Wd7@wN+f;$+CDmKnB)PNnD#op*^-{hbJ9?4AFCm z!qSR-j+tQ)@}Z%HpUIG^=V*vo>JlRN_}DDd`a|5R_qe!3;S~KN|vrrO%XhMD?x>>c7n)@XnkitC41j+VsRkIDd8edJo@7 z@P9Z8FY_saE+V}TDCA_G0?yO>6fG2j!K`V5<3u$!RtUy1oRF*03YlUp?Y6(%_P%u40V$^zZ2v z*KnBxgIW6v#@i|fbY3Pz(r7M|z@KJpuDkWPazM)-&};kL^4Rmj+vk>DqBT9R{1Tnh zKKG`7{QY4BM&gLcIM8mm4`oeP(@C^A zdh;VHcFNJ8tf-H;lS$ziuC6#5nd1z*S^IQj;a;#qO@Dogi2@Rtw2{}<<2Y0VxL zTq(4V^hDF)4fG348r@;xCPL23^w=*z8I@9 zq)X9C0l}qckJn%~qxO?%hRbD<+X?;BAg~@#{R&MzsB+%`1=Y9AD19W`?RX#KQU#JKAr4P8g6o z@I=DCq7fMPeJ@k1@xa)+eI;qvPyWI8|B;(-din(HrBH_{-JrW6wro3AwR23&pbla% z+9cI(t5P4uL)|2JdZ?QOPd>a16Aw1@r0p0x=I1COqgjIY#x8Dtnw<<*^-W~*$TLf) z3j@)aa!pp0%@T9jAVovMAJu6}OYexZ$VW>Gn|<5^OW(uhlwr`NR<6mA-j3e(5PV}0 zjFz*Y;TPV5b0d%wEvu@RbT*N3ENZ!f@Lzd!e~Bm^BV4|A%+g<@Ne4#; zZrOQ0iHAeSLR_1j{)rxr{NqH4I_?+=L|DJ)frvBz5ZSG3X) z@+P-No3WiAG-%8!r?&z6Ge-tAx4gEUJ2FZ=a|cB4Rz~}SLB2n?lOsf7Iq$eZ*ySu? zIcmj0gCNHI(6NK>?>?|pmz^Uf+v)hy%A!;Ftf} zANszz1i@j~!4Z+T9|PG681uM{zG%|n7bFOF6$ZQ$Y+I`P=8i^=%0Y=1t?KBx&JDfS z1SAeRb*KfDP@7>g$y;*h-8M+@8hXzryCb+oRI~ux|5Y@)+_p76Mj)Nr!HWcf;2w2a zi+`6*?z8r-VFdEw`5LTmJ~iq2BPc?EBhVwOz0Db zw-DHgPb#|sv@mU4Vz3@0=+cluWn3}Jjvt^FUaUy;GD9e=go&t8>nt5woPRrXw`WKR z2N;U$<)MjQwqoC+?TYAy7B!)R`41!EKv)qXFNmS33*dumJ|CU~HRXbjRb#_28wpC7GUB2KHK}t1a!k4}2 z;hZ2PfU8o~gBwE9A!do5J@sceu$~Et^lc+~AQWc3E*tRu_EMEc_uf{=(5G8Ms-$sE zQMBQGTR|tdT+`jS8%%fC@dF*w9p&Al+4gXBNbfRjgS!G=(%|Us*4-ejLwY}NG$gFD zqo05O9LxLX$U*UT3H@KWl1ICLj?3ytoW>41%oWhBHM2NcEjIO z%#u_{Rb*M7s>t%lw%cE_GH(B7Q)PO*l|28G;(~0a{Ur&MHsQ!tee3|Z#heY)BjxAM zUdNinDM(wB)^g((wxTf_0=Q|$><k7kyh9yn-AeR4YedEU97^ilPqmDF}!aB>H_xV!Bo%bcr!Xo8v z@vW>l!V^7R*q(D7hEm03ZHHLyZ8q1~v413q$Xz zqB=rpdK%V&!k@ei2lvxXviDTsz9`opQuiWu?>!ywsY0}u!njNzL-Cr^I4raln5wm0fwg7q{4Y4w8Rcyb{~27E=0J7a_1~&d>;R zq+o)ejE3>{4$KE?L?w3v+>fl}0eH*6Shf@TmFq zy&#_f=VQSoB0es>L^QpMOQe7wsUqUTL`NgLnTBqegMWRAhkD$1(*JsT-F-jxDgW%n z&rQMwI%Uba`@+nnn=KT1geZymf0 zBb-d6!*B9IHP5|+PDC^`3M!}Ml!kO7%rIyga`eqMC@O}x$k$|=Gg*pEzgQx7k)NbE z5oQS~AuPq5#l8t;9Ji+M)#OpR!|cKX8K^9z>~WR+nvVhF9xCm%V0MuQNZ={p8?yki zRVxygeUtLuM191L$G>{a1m(r?B8M{ldwRwFCkZ8Rn6kEzH5o7s5r0JnkEw61nUWt>An);QUj+)_?aeC2&@9phftBDtOcNOacn< z{P+D2{27~J2#Pt$W;o-QKX5bbF&YQS|8Yb9^v$sC4`{vwl(sF3j>Vw~&e5>lX%-wv zD#PLYIf~zJb8J8+5l%web%NsFkl<`9P7eAZIGf?*pdSHevz(j;EZ}UGu9ahC$S=X! zET`x4_^b0Ib9n?T%cOop(U}$rLtVj7f#|@Lk=NBI8zy0`7-e~VIho6to@dE@%fs1U zM2F?50SV20a$nL-sc_B4%4`+_9D;KiE167({$#AQGd#`u5k$qyii(Kc4YbA;mJfooibP#oWGoBAPOjNXy+L-FvFSkd0~ zB%UtTUr8vw>=LbLe>rIX=rexoWB&Uy(0({IKEso#ZqN+vZE!#fXjXBaa33Ig^=RKg zg%554(Y~p*LXZqS+D~?adbFSH2F=hOX9hm+%S z(Q-=1L3om8`6O+Q0-H&_NH&v-gKP$(qP#vCjwcuo&`tAW8H!HCcPp_TZ_J%RDQ#8@2RFhGQ-xoRwwL?Ie-IfP@3TpWVLG;BSg8=whv1P`@;2 zoE`61Yg^|0c)tns&L9-5GDW9~B(#Oue&^@O!_7PcL2Fff zIRt^xezCmwWtV965|&@0a}@di!9V;fzvjFI?GrJ|n)OJ5`%G#zifq+Bkhj8HfwE?X zT@BW`7y^OIPB+3(G~CsMA*_f%yEG&UnPI!%k}U`77Ak6`p%fxx7g@)MoPBAHNDrNA zU<2tJ3yC4y>q+rSABJ9n9U3Y6Hmo@8#-o>DhM{tg4}*@l@*I`-ZYSAdRJV9-8CFTu{UX}8R7h-Db4oIbho-ottJ zAV0v{!_g*)Z#X zv)uK9(^-n2+&Qy+lF_yil6TS!Cg^;A9A5;~vmh<@Vs+V3yy0>AcP*yB{X!evLoq$h ztu2b_#pdJI*25jYg8B6Y^JSN41@p@R^I!R`pZ^mM%(bi23In0X6BL&{N9Lh19n!#M zhqeTjp+!0bzc7UI+*GSOve_EZ9zdom`?Dpa3@bL)bbSB@mn%xh9}GK?_JlEypgNHC z!|B71Aeh^SX?FB80F%&lluoget?pa2;nOqex~=r)c|!^L?Ne(70U`fZRdBqahWz$v z_N+xnC_U1^E+K!g3@rt0U~lo=(}_tRM?-$I>^is_%${JLL-|!=cxn3NLAjZ0LhvtJ z^UUzvXUU^Ou!uw_7(QLxAM*`HbN1qUpjZ&k08zZ1S+R)oABMAaxsU5=8$te+1%H7o z_*>+;KMqkY`~X%kzrJ9;>=LbDemP+N_dezSeA6~n`L)H+^7oMwBy4==!j10kN);zWBW5yxtS` zwK2XtO969R^8kq%{owmX$anrU1`#nT@$Njma`5*1mT@#>=omo2`nh>^7o3`%a5vAN$7SI;bC4<^lR$O$~Yq)D44DA88_2-%7&#P$O#(Ao0RLs z-bzC}g7Io!D5WXKJ~)&}L0EWSgMn78=<@*4y*WU(rVN~Y9-!OSOlmL>fV6$FqLlu_ zIfe-VQExo^Fj*d8%h6^0de8uu$JZ$6GpTQ%20&{fz^yPI{P&}!e~a#?k`P%Qpqb1q z_^UIWTkw~s!-N32jz(t4&eP{UlzfG_BiWk%3cl;~I*&6VelhAd(7X%d-yUA0~Pe6jCNI2~A9s>0knOa8G3i;q9@oLoUwjhNJ5a75wgAEy(-+TYCN2w` zS7zdJ?7MJg;<8J$x+lvo(K*b-um17>_b2{b8eyn4BXduztPRB&ispcJzuSYcmKXjB zfM$q(e2V7Ga}ExNmZCY3fI6X7cb)N}eXDHfMkr+ z;%lYnHoTu~oF5|Z=%ZEJ8_%kDl-YZn81%;Dx0@-wC<)T-PtVBVgy`HSoI!R5VlEC? z)$4Kl^FDC<<9u-gC8Zk_88Vy`dYi+!g6>8XORLFuV<>e?M0n1Jp>WHtQS$YTl9yeg z6^1SchW^>tf6w>2PIToVT7o^W67k?)I^kt%aEpXtfq{+ThG5z=dCecZpi4MdfFV0{ zgJw2OA9qNI7lslg+lmOO)No`)iNTDdJ~iD?9BDJ0nrha*V=WN-xa5{Ex{Xq<&# zR~BbU?$+a1j#!A5pbY1Zf9@C;!E3iaLa}p{;>0~j0IE!hh@jYnj@)&L=r+jowYbk?BJ`>H5WiEV}aUB^# zXf(ud6RD$*$-_vZ*(^Z~t8nabeVD8h9dWA;UTe0+{InQhdA8YQB(M6FXMXo^JEb@i{Y8`HWZYQW^pBHZOxIa_US>z3aeE&T1rfe#H zY-xXB^*I@43Cz>!Xq=spU-Hjx0Gp7u0of5vzxLq>ci#c4o>sKe?`Z@{W z!8CJ;RwvW)DU#o`1k{H zG%9)iQ7&As6#uX^2)rgoOc-=5dA8?A4$WSWw)=j)C(o8 zz&LViGLL4KAxDnSgA}q3JR0hK$kEaH%=kBbMYBPuz^K+yBdQMNKH^)`z%Hmg zrNx!te;nZDY^;|@Hrk@LD*Mr*Tq^sg^d`@Il9Dt4Uup31;LW?ygYP;4D}#IadJ^0V z`!~WpQ@Jjk3eRGlfHjDMUl;n{;n_6fk*#olIdK02&wbsu|LHe9^UMwS+Yv)bxIgTG zjLRZfw#F`rWT*TBAIY{**OU%f44cLa4^gVw6;V%fx#B$% zYw4^pFOESTT1!ozTu80)6Dhe1_1PM^iSp?F`b_#nQcsI(PC7;Y3Qapj{t`_)MgAH= zo}7*-9Iag?danNal(J?#&;4#IHFTtH27aRqm5i1ui$CsYNvYE;pTyCME>z5(h<`KR zwiI^^v)J<=3jpi<7KDr)4i?e@p{`kYO*+u(WP_vcUEoqxscw7-4gD$eC#y-d|xV zPJBY3M(`>o>)d&C`|ed%41Vd~`uhLo``#S=ETtL_2;xyeeQy#Yfs+_224M@61|gV2 zB!_bVu;LRb845=xaH;{2lsu(jje2u#C_jBOL>U^s0hr_+G)W3WiPVB&Nc{-qJm{sQ zLledA99x|$jzc$9kZMVW@{Bd!_azX-yH+`CeO<|n7ytc;pJiv+?{{qWru<8(VZJB@ za{J*!g;cyyWW$SnR>UB{;U5EAjIUDhf%s-Pef#0jmV!pP?sfDH#R(R}o1r%uI~qVI z6M1FJkNqu7Pi}JGDVkYuN5dDo=~2y+{2W3CB$x6#PU{(Z-8aE;h4>9_V&!tC8$^4o zf@Lgcb#)cgbDgETjW->HY_uVwtwowIyYTKl-gGck0>*wnxR15u9?x^%;1l6S#@s*F z@v=4DoxJI4N(cdtJGjMVJBE}q;7YpZk|C7safO{b2mdg0 z=VUwiZ*>2_mW>rec&l(P-TpAh_Lpt9zck^e{o$>i<&NSD^WJ4u(;IcmwHw^J{&eKm znkt$V&eyx+@K&qL9fv`F{@hOIU*mkeJ6?60I&;TiknJzqZvXwJ_U?Ff*wmRjUKj4T zY`gt&zTO?LcbhtM$Lqo!m+iDazA*oB;GNgd4U^w~bK_RkY-$@M+#p3$^9k0XqypB| zja%4?#*jebrWtcn{DA1$*O{Y#@6oG|HuoMpy8G(gM>k%)fA5}58;ow!i+8{L&R4>n zQkN?FQqj;x*Us6+1&22Nh$9e&vFhGUJq_m1vPWC_J7hc0r4=+BADK!AQcaC_ze*&xyk z+1FzYcVr|> zYM-Wo8-*+>EURr(e(cP9Zw@`^1|ihV$N3&ehv`Kh_xl*NQ;;bpVjY9_zX`trwD;!l=(L^ z>{QZrynjQAQ0CvLTkHFF7s4f{=~y4$?x<(HGyNf1d8avG5m9_3g+{v?mU9MB-%LfM&) z?;DZ%wLF%1*(D-cUd1JHD6KE?09|^CxWjc%m%Zh?zW>kumveyIBT0r}UjlQXuXsf9 zfP3)+2YZd*ap-*$`aBZqdBE-4Ek*bdlq0d0BUG3^VDM-veFqExDDx&BaD!8TLyyc6 z%m5ei%z#mZ6Kyx}M>4?ecNIMs<}F6X2uc8HXIWt`i+miETg$UlT^+O3E?7Ww4XwcQ zpjlv9b73J9ak|Y#Y(w_oA#w*9Y@P<`F$fi;eOiKi_G`7j>lmSn9V2uLt_@N*D>gqk z-WJT(fP2Mes|2|i{9@gw*E=@9?E_!@wV#x*Sv!%8G8FofK)Ri1tt2*^VE}PbO{iNh z+0Y8%3VN#Z9!zB48SB9iOk$?tU!Yy>cK9I?oEcoW;5&{_<$8fRY9bKz1knK*$gq#kctj4#K4_g7I0DE>QQb) zO5fft|0dKEtn_qdbx>cY@VXEXIAOqgMQ+@ZH5Ew??rp2vpA_ z1%U%@F7i=X8X5*!R#>)Om{&%<=QhSXY1E7J^=WiQy;)X!MuNcb=8S9TG z0-7bxk?C;?6M-<3Sw0Thj$z%ylMOBbY30vUi;Ea6D1OuK;mPh6U1b(`1LRupxh9Hl zfv=mX9$t?`akzt5$Xx}2nAx}L^735%>pd9#tZ)B!zv&A;A@VAfhit*9(eJ}t69_CI z8C$kp5OU41htLmbS>uMR%$gzkKRKs`-6nesv>}{@w?Z&#hHZx>#jwNRw!)B*8x291 z(-je7mMi-1hz5bMAZfcbc|kk$A}}LDoF*$8wgsz`s8)nqoEsXp0|5_lMa;4> z)nqg)3D?!%4&sWKWw(PbBKHGmw&i8^eLb6`xKV-2Sll^MmW*rGI6+;rlM;M7`tm#C zBUsa zynfrWtNMwM&f^XCMxhy63^)G4&Eq06uLYZnFW7wIpZSXCSM`$~QHanjJkqb~C#(92 z(s9Bg3RyJa)<-XNRX@@G5l@3rXD_6#Wa9$WPli=G_#FAbj8*+ac;CtGT-8s|$mcRI zZ`5{<)z7+0^^51jKZs+hx|IkfT*D|Nv8dR^ufIDCRUf1;LGO_b zr5wPv!R@)<4rR6^tTRhA_UM`@a7_w_L4l076Y}&JDp20?l99JI?^X_~H=d{h`(KeJ z>%-B(_&VU|a*K)(e`e`!<_%Q9l=F;k;i1HsyPG#E+re+C<}WJ$ePqI6ZB^-L0n`AC zZ)uSiSl*!VwiHz_w{_M{dW<(IUJdlSB69+#+7rVJml(EgHff@PU?0*{V1b<41{JGU^x0mDHMj(O(vvq*+OAb?{eo4 z;Vqn>)15_wD845sR6h?1END-0+nv99fCo^~YK%LDDs3iXtHNJ?xiS$WN?_^u6fVoA z$_pRHAD;k{jz16z1AXYJ3WW(>$ellg!ZM*XD1T+&pKQDH=d&(t;`XA>SjE(Php)2| z*)ZFL$QV?__|#~h?;+(So9b`FSR}|2*GCn`R<6&O!0R>9kje)DM`Bf^=J;4=<@!XK zv`nS#;`P?Z_4%rQ-v8mZT;SCC22+lNF^q8qz;WLWf4<0nqkGvvPipS!SxMFg^$l*;spY*0NRa(LPNt3lgLn zfmmh=-XLKl=CFE5ji{w4j3ZWYmVAJkCG^f9L5?*+(hfXnXUS)2w3M+2wA}6CK<1xE zxIShXWn|~4&bQQWm7^oP$kv?ovgn!wA=N|-l7Aeg+sqr2w}bM?$SC-!K5g!5>Z#OD zmF>$GF)95i^Rtk6TW8Ln=NC$Bk^BOcQ!_BXh0Qoso&uoaB&Ix^dl!nIcrzL=m+lY0 zjx`InwwSFgW-lelSZJi}wsaA`?rYxkcRn&(ylN}Rd!0ajU%bLJ!qb4By9hEm5x9n& z8Ixkp$kLrBDB@MlWfSCp?49QikM6IgXDOrpyFKw2oX2Pg-D0g zK$9hN5jyH~p713y7oo2);89(K5of}?2q?vAD&&A(yi&eJTB#E7!#9v`2%{5e>A%X{ zML=#xzoKos&p-Jr@LNh_UQkrvzDTeLZs&SsDW4&4o)%|O%rDa`@qB_vyB;+uq^0H4 zD_!Yj?{LVQTx+VsZsh|bpuadiFx@C*mo(xR+}C7?)!*E^#QkhDYxa?f+Gv<*{_~3a zEAFqjKZg51_8rwvymJ=!rviD8`=N7&D9vu&9D_XWql`xg5}mUGg0%W(sMerdh138Y zgtpGHN=GA_AyN?>41yJ#tqcb7@aJ(K&F`lp{tWjITgZ%K#RHrf9@@w89`~oic%K?T zYd${hXc)f;c_Z5C@ErlWT4&iktTimQxg}#$O9}|g6}^i$f!u zC+PP{`Ey3LSU=StW(X?eoUkHI2$Y5+3{J`N??O>==P%po_~H<{O({=UoQ=R*)AI(f z_;#U&D-}NgEWXRflKEakYmyH0IUJ`m@=MgXR>8Cr{@PH9our)WF7y3+{^XZ@;o50C z+JCcl+G1Yg-4}1ac;}TnX+XzLTQ2eedj_x?;Es}41+QNVowgyf4m9Hb(3Q1D))yLC zubsAQ2T1s3jk1kbD(mG?Szr93+n>F5fQ(9`t{osDNG?KR!R#4O=)rd_PoIBA{u z7cXxLA#qHlW?dKA=u!oMwP!nY*Vdd+Nv`SvTA9phhqoXXz?zjAVvIG~G{mrj#Ai&zmhreM`3bfH7R9B_Eyf=r%|bEij?0HN1syNRqT>2`aGGvztR5NN3KHB?7aA zj5$VuBUg^rmOu?~mj3!+R(2@+$t*8Ifc9)=9AkWO2y`r1 zm@1B$;_{D+b+go`7^{?962T7i@B&1=WmFs816e;dd++B*hTXDDG?heJ> z-KDrgaW4?u9m1RY_g~L?zf5w@WLCZ;v(D_Z_q9WbVraPcbFrbtnn?z{XhZBgDGbBT z!6^p~^Ear8Wu+EB(IfZLdqLa3Ixi5fg*q=HU{~j$q1*aW-RQzoTYV&^deV@-E;L%( zePm9hrFk7m`Q%bMi-2e!SnULj9zK&9KKClU-nYOB5w@E4uIWP49&{sYm@odee(|32 zKL-QL4sv1xfx6I!w!0ouN4zX#@eNS|)nC!#{}94okr{5qJQXq*(&td#I$fFiUqyfJ zjE*4EtS9uAt&@_N*z+Bun{!5eT^;GRwsyHyI2JQU3{SQ}kD)k-QnNuvrkG}nqKlo* zwum4@>C%LEd(?}&p2%J$*v~e`&qMi+_IzmG))fl5H$*;j$b;LwzLFAt%gAyRI^xOl z%kUcl6<#$A4F_{d=4ibPNFjI}5n}0}K+^AA#32kz;ryh1GeFjL54RDYpiMS#UNV_s zJi)swl{u~Go5b(Tv~Sy^hZE<1_guS46m1~R4zs1CKS~tN18iLf#LU(*uf;~HeYyDZ zCRkIPqdgXjkeKvzqgNuW?pG|1jYw@ELMsEq#s~1`7FB3=11#44}y7;jEbVgur7&LRybP=am3(AZH8m<=u*ZL4q3^ z!d>Kx{P;OqINw3BXtF199doLeLzx$|fh%yishsC!v34#4BL&p~kN30U;A9baFo)Xf zXdKuSx{ciXW}@J%0?uZLrxBC5@=w;Ou8j>~p1iVobPe2}*-h(c;_ z*>i|6BUrk!A%x1X9mBD>F6Of5m#QR_g?&}N5Px#@{4(zY_ptFH$}oqY7b?2M8{u8< zSm;fsaVu{An|?8h^t7vTkla?}qfnB!Rr_1WYptNw1GsQQT!EiCQ`}GMTVX6$!#}E} zQAqXYAJhv^25P7eW2C^WpCr1ooAW)i-%k$9)eW7<*hF)7XmZnkqOUpIdK*?>z5I&4 zTR^ETX0Tt)TK@w8=MPOEBj2fQ9#c`>E;ec+w#?E%gnRe9S+~Fku#*jJ(GJh1jFT+6 ze@g6a1p;8=rKTvLhXPoA@pm?v9p+B{+?vb^-OoGk2N4$_j-#xx{gM%E_9>gYWW<|Z zAQRXbR|ovrp?@6Bpou{1(g(P?bZo*Jk;JY!0JvM7^8E$BtpzCvU}~`*58Sj~4-7=6 z^wJB74^0kz=S8xz@WC-12ix!HX z8}3C=(l@4>-e&j(#MzG%{iUM0rzJ(a+q2vT3i++3Nqau3@>PhR(o0_!NbsniV zL(iB|)=A+VI=>fu{1y}k7o#3^mrT4D(<*Yqz*2xb9H}YVZQUG&o2p_{gP~Zlj=W3K!+CSLpkFSgFVXu|MJ5K7`H24OOtQdw- zXZzfdX0Uc|SDRr`UhE||6iI`-_f6su*v6s*rB2?TP)beviAGVraO3o`e3A?;Y^@VL z&zz=<6A?!(gq3u)aRUgsTiy{0&^YVexS!xuk(a*pUm?BJ2jN{@I^!6pwjoy)hv!UI;Qu#e5@V?M>mGwtz&b_!~(Rj$a2TUQvArL4f4un29eY3 zJ(T0|OwfW7&t?_{eZNycj{nwz4$yl@ya4V8vi%YJS>h`{UTpU!;k22cP<+^mIBe|U z77$B_AZ2~@YX=5Yt&{h;!3QRF#i4yTo>}$P{06@r+WDIy+q(HWD*YQVd(vNz2ljJA zHP%jV&R?#z$UgqF)qt(?ba(WZEm|QgLin%=QCK#|9vnuLJ1RH)?*q+P{=o6^$@COb zGa9YlkUAC<2R_H$cHxpzj>^-if(N9gdA&YixIRnS4Ysk$?8e-QAoZ+2v)m+P6Uzh| zIB+ju5gGh!f0zB>uJ*DIHeGV;MFlyzDco3k_|hp@F0bkOyH@Zqf)^scx++xITWq&u;pf54r>9m+qDT4> zCgxVBH%V($yZw!r>~=gNvYE}n^o{2=P6k`jx2$KO-Y;JO?AzBV@*E*BZxx#n;Xm+Y zhWDJi@I{a{h&X}hP|1?y#4-E=U@l*UE?r^tpKq(b*ewdhiIw0lofm|cKF%p|7)Z-L zfYIsJ1|v;%2O5R!M>{WhWS>ipIg|e$yRsDjdTuY{*=NJSe2sO^64jjVAP8hAgoRYA zG}hh~5Ea0!#^q0XbBiNa9ZVv!AhqU8-mte_UKc2ktGFM|A&Ri(K#%}7!fs9?P0oB7 z4q^Ud>}TjL<`vT))g;I^c86UFOeWZThX2+*|Pw$Pz9W#nT;IAf!No2tk4p zW>g!Z?%7D&n~DPLYYe8$(ak*HB$0D!Gwc9|;UQ}0R1oEBWuw9?=Rx<>m6(e54-c#^s8L3ax_p}0p+8atD~!)hXXgDuHEHGS1A=% zE}6LOCLKk8%b@I;l7Ag9m`7H^JPBErmQuwbi#Mp2sa~`LSeSI?jw;Am@F-0yE zUKk@G6yOnlw-P)tCtEyP_Jcq>H_xnm4Y3IZOa8QyL?G-oT0Ji_w*;ZEUl?lCk*!lp zqa)aSkyKkwoZTnhtAB*M7)QHF0tDfJ1ZZ3co9T0_>0h6x;H)L<2}k#_KB-eO@@{yu zqrKF5{ccGDYjRx!JjSI9R37LY) zl(rpN=Mm|Fc}#|3^C`j6<%LE`8Wgf7crPnDxe{M;E9}TO5H(W~(k4ikV8$mDb(h@U z7P~y&(ghk^aa7q}rdGTj@vpN|e>PX2#Lez_^7XdEI;i#L{i7BUF7e5s3fg|GN_UD~ z14gsVpHl0`rC%rZeI%lNl2v@@9$GNT7$s>Hnj)r-kkmsI?3p(|<9`#cEI>qR#qTU! zd%wmFOf?YWT(W}-TXcsOFG?Wz6D1yPd|X0Gm``pIL0Rd$n&Cv)6Kd67wYDJnQ2#yV zFS6qv@ypV;AqOSQPJ9yw&BqE$$T>F2YX@H{KxRt7mtvXhU|I9PoNX2Za8)Wv`q@S+ z3pWwkWaH-Od=fK$Yu{K#9m%RrtRdhpZGEymz(JbN`+TzUx^0)`tEj)fG_k`b&Ur2C zDsz_vFi*WgZKRdM@XVjamL9eq}?QILEc5$7wPe z2@tIlng%MT9^i18^QDW^<-89mDB7puc0=Z5!b!0$K{uF~lMRNaEetg*P{H$yGkORg zN6b0cM9RCWM^Vl(gvtoX3%20ux<*?|?&lB`ZII91>rL{}io^Y~Se5ZgJJ!Cyh{ixq zrf{A?M&sf$f_D`lR<*zFF z{_iz8Wy!Hw;sEWmLmR7_TeCa)P&EOEoCNOI?JTWLZE&@5b7O!0d&pn=_?Ewtcz{$1 zX~EUVIaOTC^ht2eu?y0HIi@+Q%AT@1r2>b(V!R@n0MEBzNu%^91#DX4PRlD0iep=n zg^e-8aBMJ-x`u0PKkBkc?rp~0*}1n}@T*s@&Zcxxbt|`<@^4$xgi>4&ke8}fUtWr& zq#`bTdU%Rlaha<{1DnCul0SIL7u*eSX)E0I$nhDOa?MbehY*)~m943quP-=)yTMKpw`s%Ch3r|H z_kl!zl;-Awcg%p09++nw{THYk5RW9@#2omN07_Tu8`l*qE7lgxrkrq}E7U?AXQ)ep zr%^6eM(|2RXKYx;+lIc@uz zr||o&5Mj1jLb6Wg_S(kAi5yk*lh-}D@I!JhxA|u8J&@~!@q58({FV0Mt<--GjQG#~ z3pz{(56DP)2K6WwzKb{4LFYm)PNSY? zunl8TsFuBSM}%ug1}PC1j>NxPte$5Mg#+f6-U`SPHSdYfaI+wdCA%3kv3J|3(GuRErmX?- z)AHi}c90+|M0zCisx}@zrVlNae#f*A(ikh==?g`CC(s?j_yiOGTtn(lQflZyz4jPP z>rCoiii2Qr|&J7ED+Ql6aA6o_IEnZHA`U*5A+!OC}nYIJG}Ijmx5xD}E3U zw@f)jMJOmo1M$=5%wDhh1f}Y(!%%`6Nxy^*j_ZT5n!lf zhp7V82#mhS7ZG243Wlx786pZO%5DLj|KLxY;CD_;Lf5j-+B2J5j{Q;yx2WdejAPvi zI0T(F?C9ica>PwWMWu&C?Y!T7j$4A19OIIbPmAP-_kGA^N%1jpLM(^MH8co`=rU6v z8{$VO`fIZ2MCe3`$wVv~3|g+xd)UcI315}#Ds0e`m+R@FO~|6jL{!A(dA4)UO`YAe z8xrvmW!-2Q8<>0*`^(}-=23rnSDNBR|DKg;>HKEQOJ`q7-K0%SfMDao?!r|l+>{e$ zRzws^Iy!E?H;rBwUh_@+Z<~BpTx0y6p%|lz*y6qh8xib@lR$ZT#!es>i9M}s^tyMG zlVF5?qOnu%UV?*Jn-DAAJudv#5!kiD$t=NrxUr)V!s3(PvMN#G=EVN5Y|qgwF#|c> zez6e6Fo#M1?JHi;om(5b1gTFdo1~U^LVJTPnw$r#BVlnR)fbpB<&ONunAomNZsTX3 ztnBsDh1+<&#jR-~w)afdBI-unk$4iEkZ0RbS|}{ZK58p+^EI5QU1OPXA)n~KV!n@X z9(ODV?CbOCThpTzT`yK4YyT8^g#^5~Eybd31d0_(iIfarn-)Dd;w~>Oi2b%7y8Q&) zX|Imxbw*4I+9pzbib5qwBg+RQ!X3*^O^$LTepDQcA#M_+sz}%fGzEL8-jZoN%~PvN z(9GwuAva1yf$b0HI~<}^2IGWdsavlnjts-qZ{iCo)C$7mtvcmFvY+{m_$@iHa)0&ZR?uro=>j?e0Z zQ@&7Oojj#ye9skjBl`g1T$Q=;(T$2~sH$m9$Lc+|k`r2IJNkUL5)1FfrKX;4?{SXK zwwO}rP-CWb|KgqaOls$vs;*CsW7sW-jczi{If1qY$DjoLZCb4h?tx+}bx^v_t58ms z0kpZ|dn5drld`62#_abDNAkFv7n44oa|KOM5XqkTv$;1O{?zxSz%E>N#~DM9OIV(KM7nKgCo&yphk8QH#*r z&^vi2MW5Y8d6SC~oG||sEal7!p?JK=Ylfi>wrm|ltqcCgO1SyO8(HW092Ea~mhTrU zL+2d&ir?93H!v+T5dr^y2V4#si1Voql$-Suqym_wGpbEE4lTGP%yfpp==zjUOe#o> zlEB)cmh#Y5p0}Ygrv~Mv&?ez0MP}ivISo50Vaz4G*swtfLZTE^$__p z8T`V8=D!MEFL}_i`N>3eI1l82Wx`5`iRLZYKfi;Nu|MRw0|9=kp^w;W_49V_V&8~d z{_$H!-%%|TE-+pm|3d>d1zkf_a}*QxclI}Xe2Lo4x}Tf6(O&jfosv(RF|GJu3MpJx z(?%7?Q`Gv;9j#>iLd^%LeI1$poepwfNTjzOBovPo!+`N!(=ge}jI^bYX%(5sFw2lu zokA|dr@>^C6bIsYh zbm)NKZq_4-nqLO@Lh?gX6vjS^^<;?XhO(S6=)Xx$%BIvbac%i^pD?6Y914Z+Mr&qn zWnKRG(@yG%*gU)oM_*!Y+sW^>c%^+J9*5L3SHN@FCVR(1cuo1B$f z{IZA+1hEO@S^=+z!v5-Ui9QMsNtxk^6&kPyiWJWl&WZW0vZQM zi@f;tC%$pq-d^V$LRV$mO=+Vhayhg2HHQt-Qc5#p_l$fjL(RC|z>L3xS1gmNk;Ku^ z)W15KZ1C=N{@&ul?Jm&;o~(ecvZJvi7Z@h8vlzq7e{;^W%xJ@Y$|XMYI`*q8g;e#} zKBl9KkGt~UE8)DooAVD<2bb-5FT&d&krJ`* zxxmg%`CapTCvlolql0Ai|J(9veW;&+{i3W=yEPo6C8wYE1pX(_J(VV zC*!S?!9Ec4QS1Hd;TLQ7%~4zZ*g+!%O)J>1rO0Rt|e4IjzuZRo$6)HDL z*NY}~yx5%+M}QUnQTFc;z*qfTAhM*mYunMe$yXC5$NrL)mm7c0Q?Pqb%IQD%V0Jgd z%&2}7Zw!gFI|$hK0ZaBg#o?*Pff8*$l3B_=j&s`C!4pTz-;@*r6^maK$;4f3N@#O` z&8@$Vp4RM!|kr=nK%<)(Xw$N7-cxN7j?}1B_vIWwn6=InCVb`zj7=?#0ZbI4urx{ z?0zx2)trz06Tng1)}2SUGx4TrkQ@u?IH}LS6Z(BSxHLKwtF&rO^Y*emPfetrHv2=; zyOhebuDWIrEiu!6Jf3bGh$&0>44#nkFsK`Z+q2H@4&e%V*nX2rH|-9PCm2o;hyl$> zKPI4_CJ`SfzK5c{50-uWg-^;ah>B0^XK&B}ZiO-}xqw%=mKqVg`S(Pl|7qHy^B0LCY*k$R!jyjod^& zD&U)tZ4a)nT)fV^7*?r6Q!y9YGV^!#iOq{r&e~l2y~;_X5qrvweY-3tK5?AV5mI(T zOo>lhpO1Jk9Spm@vNB2UbJDtZls$3cr;39boyK>#Rs4zW6=#fCN;>kY@+Uw|d@`MAR;v-pgAstt9(*%twed>Llbg99&8N@8;bi}NQhmTMi#CmAr z#*1a-So|w=a>+`R8XQN2m$#9?&m-3B&r)qZCx^f;5JZn1(hGIJsun4ZL~#h+gMRL? z9H=h<5-1pGsy6gN{!+7Ise_Bi1}$U4j*!li)L*jj2Y&ow%&|I@Wpv56ew^g<1q#%d z7B15cYh3!uqE!z84C+pTuE%(TOf_dH%xWTRodYCJX%*2nz0Vv$uRd7&HnpWo@&nzZ zGd;ft$K?r(<9}d(l~oJEgwDC9x6dzgI3qbjA!e=zu=qOw7nhXfH!22&_@r(h_w_kS z994u8aLydq5c<R*zmi3l;^%ULX!FsK$5H@&tZ@iQdqFD z_O}Hb*#2XKZ`I%)^ZrN1&q(R^_H*uWN0a@L!Y{cg$WcUpi0e~pI}2EkGmu9Yi-Mn6DQqIm!QP#o`o;bkLS# z;bXh*#c>DJN405Rjuhlh2MmJ>a{CgLbrkVU*Ur#hLz}Z3R($Vx+(BBB{tI@vh)m4{ zt@wUz&8o>#e!;)O%3wBUcB;!P<1!gb5g}|foPy*+?AO6U{@n@@!ok}pg7xjc{k)hS z_9Hxq_=FXOp?R9%PwyFj8Ns3_aT{Ltl`KSFE8Bkh9@-w3oIoV2yPX!AEDHa_2EN7{ z<773s%K|aX6hEOpOr<}B>tlr14QDwn(foY(B1P&+3x2Dk-Mg_gPDt8tUX=-tN>H#v zE9lYfh%cz4;LN~S00DneI99By+x3^uS&%_i$|i}c!UP%%Vhq!-@9=1%|Ly}xGo_P* zXM*aH8s`?RU1-3xyAl@W_OQ&Ua$tQY7K@usqWWloSbEEkEKp8$7q-K~32-IZ#A4rppc z{$L%XN+zx}nfroI2z{=+d@SSOp_=eGI%5Jf7)NR*M#Y#a_x!+BQiz=d+?Kx(_j%i@ zcMI{*KH^N9@IpI_+Q7Pa>5Tl5TgyS1hK*4|%pK4EjW2|eH+tthcyW-^F&MzZyzVek ze#`polA-eDlTp(wRk$tKYkx~lW~-1pV9-G==Mriv`N)%e<6BgLXQhZAw$d~=hwPK* z0mZ;3xIX%_F8O}@ZTERM6@K*XO6)G|UP@Vi?}z_Y%;=tISWqqC+zJhDYc1>hpQoP7 zCc`H{#S)ilyb^7Lc5ZA_D2U) z5)`F)9wW=b6=Hgv6`}fiit8`8?OoUM-p4P+ecw*14F*nesttnDK?SP_M0fu8h95*b zx8n3f1^3~Q00n}>;!$xi%!sp&g}jB_P@;p?&EfeXE%a7p9~uD3^{QxWw|*iT3dgXa z0#Tq8Yll++Fvclh^|T$6k-<}3NXAaGzTH{E$kgy5M0}BvZHP^kxn%Dn>K>_xq7qeA z1^&Y){cgHnev-#7@#1f@_(a!nJxPHoMF|?+>?4B~0V+UD@<;pVK^qRtl}Aki*1NUr z_x_o?dRzV*q-v35rgRg(VNHdJ-yw=Qff&{40ceG;KOy$|tN3~8(W z$A|E6n1;;rmizjYZZK=x|tOnmk0tC}B@h)me3 zH#wi|S6IZ*R7S5Rk{6ktT<(?LAzxA-lHHhA?RoC-kQS&fe!PsnPj2x*tgstl4vz%O zs0P|zalO)HKz9}M?~HGjlHT&HL9T&yv^?;jA#6!+D{UE3!TM_sIWaG$1*LB=FcZ@* zo!3`&Gj4l3hNSW;1Dv{PcLRKM6&Sb0t-nKtrJf+t5?WX~Fm!WKcHTHM`wa_eDX=_6 z@ElEmb{Ub~7v8&O{K}A|!9d!XFbjq#1D+d{fA;AX2^UqTfTs6gaBR7V9LZ{L2e5Vj z&2QCE{jo6E)xez03(@eyXnQY*CxERV*9#y&3=j8$=*mwGH%AIj6Edk*AmXGb{8J_+ zp^g@pa*i1QVPTFdz_Xn;h1g4C-MW9k+#M=r(*0o|cbdGXlBQ@NRB(8~%+bt8o_-Mc zPP8%W{pnb&A6%L-4cQRtx@Z)K=-jk+L8bi&d&30SGSN&oo0Eb|wZMTxHk`xcXTL~3 z_f27fK}y|n>KtU_m5q&Ocw=y=^Of|Pz>KoK= zCLgX728qRrei?n3pq$9@g)K)+;tMK3u^bPy%iCn+l91KMr^F7nBr+p>Xio)y&BCkB zJA|Xj2=HzW@Ck;>)B*lr5W+?M8#4%Nfz1}JF^T-1CPUlbX8Q}q26UM1WTs-yL-sLL z=LDy#X|U&FrQ-vmxG7(!;6H!|nm7FH5q@!2@gZC+An&mR*6Cf}c=(9TU()oGK$jv6 z?A6Rs8ifn~aUE1BpkUt%qnO`8&h8oB<)$ED|1b(~ z*g)HYPe7TTO$3sm+z`M7>0Ljx>cMUhI59&e_He?dCIhH-<)I z#W>mZJ@_u|-I%2=Lv5e#&3ca4r5IOJ0^07t6VTbkn?I%sg}>w zc)Hj8uV|b>*nNnfRMQFkIIqNMZwbzMT{qG5>n&l6lTUnrTCb?fm&Bp;#`D^YyqLue zC00Z-kpONe5Tnm$cV(}#h`xiEe_dMkZfMZxL=`~KeOgH0Pc z54wQ?Wg)R&qeW8uTkewW4`8XwquD2}td)0o>*fOkJu1C_HNmpVtFRF>1@@72+K>1A zra-VLDV(kH`1AMowND<4)&+@PgOr~^)S-3*3ko6#EY^?39v8mZ<6kAuBL>rr+Z8mkbyQvwx|; zr@D4Tk0Wd^AA3{@2KBftD02ImjB+)W4-J0+Ur1*zeg!RAt10(}y1k5I*?W4cBOH!L zt6{cw+SLyh59GQsEetF&=9SrwHF<)-TlkM0u)qqx z(C7R)Q}-N=xS$kc9c~hbe#kZ@ zvcH|y^*O{CH8;NXzDWf0!EWD*(rUU(>y$I#7s9)PL(5)H5TOoJwev*``u1VD`t=a( zd29oM+9YcXqOW>ancMOi-P28h%DJ-D>XKMx6^a(!hA_htUCgTFe zSX3@h75^G4ir2S2-Af_+s2ImqlEtj7XFX7^_v1~2sl7Pcn!R=^Kjh4v2hn^-_Rpe6 zCRygAM$$t@gx_XnU+zAAPP5dq%EDHH?!E4i%$;dqA0tNG8Z zfYL_$QSlP0A9Yhs+WHNim6#2Dz91Nq3l#vu{G~*GO^YgX(l91G!@WK~jjnc$^up&w zx1aNT(teAUJPzG}p8_+L90>>>#WJ+~+ZbT0D%6=}UxIbe%i_bvgivjI%wl}5e`~?; zIQ)Z`%+8oD*Nda=cDiIn;TffNX8Pfm1NgqO+|faNe02Od?AZ5tnPs&aBqoyXpuma1 zWAG#~gr2n`F+@#8ICF)qjayNh3pGi0gtrad2KI4!cKrvUrN5rf2ZijsfI{0U0qT_} z5A+Sf9KEFNVK!`-Z_eGT_%$I_MFvxW3%LWWE^Jf1IRNE8rLOb|e&#ry>0;|>jCo^Q z!W|<-bL7VP3sxYJ@ADsrxVDF^7(Ktj4S|1HNHgisGdnM^I}aO*r*GbE+HI;V(|66Hi*Vv!Jl)F+qZnUK>fwxJPrZM9Y+pvuaZ23z7z1_g?HBSw?0k&r zG(7a?sU8OI$&`mnPDznz$8Ru^H=_9E(>3}EkYc*-jf+nm7=wjr$^QKFV-p66fG?b=8OeM!ngtvS4qLB@+_YOn{;f1VnDXzQ(U54P8WMPDJ6Im0mPTIe8_ z|9`!wN!LeA_X!(29a$q(y8+u2R_zG2~lezs8O2ar7;;_}^ z>#So}Ketl2j!JtC8z>iW$*aPW?sLbrSN|2R#fa2b=kSqwPkgy*i8*{5nWpnOif!zf z_#Vjvx=dvA!NjrGD!zkL8{wOCljM``O+{0Y-wMTq)?}wfX_w!*4jWE6pNiRcZ7d>@ z-}Y~P^r)#?!FHk-_UAQavr$AcnjutaVdKW*=sm>fA9(?{ zReg7(wZSi%qI0oUT^oS@wK<8di6PvSYBqY!8y~#V8LA;<2?}L2adHCeU0Kykc$H>5 zih8*3-_gexEN+^M;OAS{DjJExe;a#~gshkWMVqTAgsK?D413t7M6e<6Pu3aE`EehdehS0 zlgtJ6mrVTaR&Ep73RyhZAED*pviZ)7XyP6#u_edQt-R5bPL7!Mj~coC44vJt@?bk( z9owM&mHtdg$mi&AOj-N*FqEQ!7*0q0uLge7j2w9F>V=pPbM=1B(@U~uft}LkL64Yi zUu(yqdCrS|&QR;4MwgSZ|`*eXSJu6atfsIE$gSC6ie+X2eIM)%){3Xk`y(Y&KX=6Dvvt;s(=7zDHH?lUD=2NCyX-~vSuBP+Qfq@d=( zBcl!W13b#j4AL3PUNd4@e!EsR^TA(I#RQje#S@$$9?YofGaCKHC3(}!$lLtayU3xo zLj3GC|8n@RUkj$h?l|{ptGAc;8f<99eY{c<%AX!&pKVw`{==&pW)e4!!;x#^}Bt2E&;(N z9N!+!h2R=>>Oz@J5nwT96TW01-k5XDlOjeLoMpVy`o1)_=n+8_tu&pmjI4+J5=z0} z(za`QY#1L}Z9$M>pp98`2rc&r$TE+X$PYc8hP>E1%=#B9IVpH=h|hLl2Vl1YopN@C zKPyb`dC=$R>)jRjdi!Q(05tvG3eU2rPv7~d@h0p=8NYXjF|wF%SapaG{EXiY|JtdO zcOV#1Rd5}@cV>z|6M$9K@lwXgmzR-4b0v6T=0#T$Z{326<6s{6X4dgfS--Kn*fZp- zf1Gl3R86}X7q~+Vp^CyIHnPGY0J~vU#@#iTffH_jl^FROziluBO|JzqjlG$fV+TK34MNrMe@JY#w_>Wl%Xy$17Uc;^qkWWI%z8%f z(%d&26#0nk*G`XBDe59Fb>?Fgy$cgk(AC4^@b0R$&>OEDQ;E60|BLkQr8uq|)R&F! zo$<{8e&qc7BYN)5t7iJXi++^w!;9ff*I!)j3ylzHa@e4jkqdJeEJOcv=aK&x2-4XW zVe=45HL`|XqJVLhI(@mlTB#bbhk01RZM#z?*mvdI&Rx1-N_G0e%RhFWt71YyaG@ryL;3uV%H5~&c;G8`Uwck%lze#Zxp6VRw%zexCLDPy|eh^S7#+gKDmVi)XILU$t~>^=%KtlenoFnE&7s z+r!wBczy^z46S4Qlt`V_bQm;atgS#92Awd-cHRJSA{H8H!00}%^bha&$1}n8x+h>j z&*<~nNY(Y3pd#phlX=e%qzaR#-wj?2uWooA&PHx7xFfMLULQ=>tUBIT-Ui3>uWBmS zcQ0iR+bh?u339N@81_a>UQL(n8CWqiF1R(m1EJtDB~tBZS-be`81!$oKdaUiQc*es z|HIoaf*u^y4h$5*?sGm{++fkxzW+f^di`}AxqoR2*GQ&Q`x*T&u|BC-w!LKVwc3IE z7Y&By7o{C$z}>~>%gS=;jBb`~em6wElfU5*kW<$Ons!*+Ej);uWgX+<=L%t+Vg+neiOL0{V`LMxUE6ahZ2E3A zp^!N@tRd>n<4-GYa+*3!qAPoA6=!t!eDl2FXm@ z7S&kPy;R-)waoK6S_I|ifB4xBB`^GD-Xa`Z02=5Lsw+mzTEnycQwkagXW`RNtO zSL9Xx!p{zM9h3iR@Z~nc05{9Qom0QMu4ZlEL%~c_4BB2Bx?Bo=U31v*wv!@!i8$Cz zioH15A>1BK#)hl;=*VnrZVuT8x%T_eoLDL{0dywCY7XB%;Y1F!I2^zNe{5P{v{1WU zxpc}!m06!JX1bAIU0!aVF!lDJO+I9lln_ zBGz+ zHcu`-BtT8KdwV<_=;-B`(=yVQke4VtAep$*a-cKPY$HDzF}`TxKp>P7P`DGsGbr`M z0K6!&9XII&b{4xPFXkLNQr%=+?H*jwmbymoO3O+m%;c7EP!$5>XWwXE@O)J0Jl+fk zi)-(;Qm?;A$4MW-RA0*GakOK4n6FWrDEs4(mdG&x<@)14)*a2b7w3L2Nd!|--Iwfm zu-6QwDBaUFZB8f2xhZ!&J=s7iGUq(k zO*FX+ml)c;C|a|z4rrZ0f$WApZEnQ*OLqsY7Q30WfqEQ~SHpNVOS7r-5;B4oe7za~ z6>WK2gsIZu$>k35);4(mkLF?pbdD@~ldy{>#`jc3hVBj#*S{^STP$3X_7Vn4a==R1nWM(Ode0^PskVQ&CdVn_-9H zBjU~3+D@#6!qL2OgA|Tj`gtlS!DMpDx4nMm{2D`eho68Ty}eQc$k*4XDzGmTrmO0@ z(BKNV=zA)`)&D!VbzQh)(*7p#nlnF# z-)X;-~~> z;`WjJj#=s(Ly=uGEUQZTh;W*m`De;D@@_y@RQV#;PjbcuI+iD9dDDDZmZU?v2!So@ zOjTqhT6vPYCYLMr>EVlbE4~BNubFu&CuaPIGm>GW_DDDEsi%oDjwGpyJpGBXQUtg@ z>79FPM++kU1PI^gdXdl+krQ>Z%;|yZU#H7d-6Ni$Ce1 ziI)B7ENIcNdn7_K-&+U|xY^Y)^G62C?fCKOE#1fEtpWrp@~MKo5yiub2$Wx{Gk=a5 zUinHvDU(q<2l&z#wI8@{9B?PX`>$hi(g4N`G5E$jC81XiU z<~P}QOLW&hdn2z}l{er=#Q#eqxK+J;%86`|9tR>Qv;-o2^aGa^08iu(f;$Fot66#3bp( zWiA&#>vmIg*}US3`-1Ps<7RS#mbYB#BONr9gy?|Y6Fo~g{L9s>bBi>XDELiU(kv}K z<_*#3Fcsn&G3e^sqUbdX>6m$O+vN3DtJZk{f5*AbSCjB8p~w%Tq8qKee$u?uS zrCS>^%2Pu)X2w@mKpM`6QgMPow!rn4<@-9CKRM1I7pxjhdm-0!g!gz#VxG33Lk4yG z8FZ=ETz*C-`vL_SGx}yLfNg}YI5NSB{1w2gNKyElO4aU+>P53j+x}J~pD5%wAw5SV z2cUT!6*v5of)Nf3UV_iw&P8PblEpi?J-BzF(GysnOrkZQ)$uN+9`WaxyL+|bH~#@M z@#s?|A-t+be-A|?rYV~-^t#J1Ny%}?!{CI!L&=!0G7&YOTC14as>AZnx^`nbV<>9k zGQF{CW=NS>hXzFetE;}km7-D+K|7A1S_C{46q2%b&85zVRln9Uu4(RJJ5!7nky&S_ zXKvMUGF!W*hvIE9Ye_(`5}Qgdpz_~`nK!bD5zl&DTYEpodg1cthPm48>Gms*S{mxp z$Wa|S2Ka)?)hr8z5uPp&7R@&_-w!@?XXREtD{bQ4_D|f zg~@h;*~YB9$~#<+L1WI%wraP<`faQP-)MsQIb_nqNPX$KbKxC}D^n-(68-wkPQO_l4I;rHY=@AVQWf#PED>{N9N zZOqohO%iaCNpQ{8a9Fz^p?3=L?taE(?y28@Ja@?x^XlCNeobNE?0;f()R#GskW<6q zIhUauztT5{2gbJY+C5>{j@YY^za89)F=XD0f zp|Kvp@v*cAIaR}QqigbcK`S7zC2j9M+)D?H5uNaPr;xY zD_`#R3De>31G0Mqto}a$)Icl0mf{EE4meQEBp9Rq&k|8D6mXg7+8QTm2`^W@l{vQnr4U^ z-U|E~Glc2^8K5jZZG#eaG8E@D439Z<5V5BhdqCPm6EMk8oKrJ|U^X%}P>Sl;-K#hiQL_`n@PZ%J+_&S@}&x-_-I>74E$hn*V==mo>R@3lD;&QRc#rgN01yRE3h zhv~(tJcR#x)By{Y!Gp$gyxoO)#%W1)z=Gug+DGDm_3bvy>ovGr4U7V z=Yz<0f^_lD-KP9N4M!heb5ZZ$^c2r@XZ(|X?atWVSfY?~;l-=)B$>HHYd+|5@{6*V}7!Z$G8Y=?!M4QMr z6ng4P51~LMWMZO3PPfqFm92|{!doF96b+H*31paNQf8r?3GU`f5M&Tg!aq7G6AXW=qa6F$^GyTMZ^ zrzusyB3TydgOi$t`m&uI0T#7G}mD^goK@KDN6i0b z)TwKbkeA4htaqAH1cMxTxt*Yr^b=ns0Cb+`IY`rNIzByzbLcQ-Pp}C?==>Lc{H1q)Wy%QX#kfZ3+i#R&s2WYk@(=+Su+?=y6s!ut%JCjmc0=gFB%89GlRzUS{WAiN?bM=2J= zd9~Fjxf`AZffCD(nG0GTb~!l){)YFS;4-1nM=YzLPU+V47pTXFhl_{r9Sohp(KG)E znv<}^(V={qvo#*i->`hZnEtc60=Zy@I1f<+I(Y++Tab`rFneH0ic$YVjyj~Z7H&z} zocBRiN-N`i?*qy2J2_n?Gl0Kp=Mss>6nzlZI1Z1|QnL);)(pXNfV=Pv!Lm!V`XI|M z(K#7{Z~p$@{JcN?rpQuN%CJ=>tjFQ7>(HvnPMLvvk_u^;{HJI6KE~i$uEg-he!)+41le(j9z8nIXy`s9FFtAyfZm5s!%m@mN%29<5+8zP8?1>GetB^5LClh$ zLN5+xW@+6fyoJvrwO+Htq$rN!W*-wO8RgNLz%k3V!Dw|Jy@3|yz>+?QSsr!=FdDcf zCSTBB@Ly8iQqxg+i(luKLofK0qvIoGN1yeo zq64*4B@-oRj7+S`9h}r8^zbqphNj&sd6#({`w$})8gacA=6XtMG`d;JqKOniyxSoj zbLMuSs^M}asFIxHrzqpo?JopLcR-mx@5(~5ogAA1AruqJGxo+DAyle5>G&jsX1N|2 z8SanIFeDuxUs$%8=Xy}{;csLWEL*#Q!c5zzG!&KoU0`YIOT_NuiNhhslRJdL*!t4% zdrd}IZNBt(f7M-Ai4nGx`B{OOb2HRUNVudr2p>$w{!>3I8Un+RBf#&zA^_%wQi(C< zVMXR9A20xPh0?U5{Pcriiy0Fz#G$JmE=sG#okF9x{#CZ-f8}`~?0X~o%Y}@}8z>66U*;+ulOu2{R z6_?h-xg^Knc+71SJC1E~KgYHVcQVG?8y zcmz78a=lKt4!a=)XQu>w?J-tCmb%}PYXPVqBNh0}edyg}j=vflTJY8g4Ld~3lH!kp ztL?+gLY@H4b`;hOa>V3hyW|Mm6*wdo3%Uy;?v1uf>MFw)$#k%Js9Tk=v)0Cv?WL@~1sQ{idFi8cFpf(_TeJWF}^a)|(?(3Es7xVpR) zzFl2>py7!ojC0x@siTP&+^CGji62?ML{vEa0ds~U3-?*OCB%<1Wi1$FO(U|M5-i{e zK(jO*A3TGzhy)^$nwHbA#|W2idsb)!Q9~445KN64qQxfmU0DP7R}ImWSXo&EbnqYD zKfe2gJMVfad1F8%&HhsRO!$R>^A7m}?q%O?n# zkdm?&>%jO*Mfy;`(oK&sH$uzhT{>ucakufNhdhZ^#KweA-F>{lt)VoTQQ=dezl5Vh zx?Q=xxS@9>y!ty{XslL=D%xqEeMiQR-1ZbB_Md3k&3v?* zIa5>}+|0+xoB3!r^U-eROkmJgiGD`2j$V+9frT`iM;ShAuZ~6ke`@4KDX2HYxv7v85TmOHQrB6+c3xuDBEuT z{igO!+t4GeGa_$YD7(tG+h3GelmA}tHg!hit>vMY&R@3E{v!OEHsOQU=Ak#xPHi@I z8GL?KOyWa>#*+DUrmgQcUYZCu~jMy!*sLaXCR(u=<;6k7etA9=^0 z`R>H~)hv+lek~^isS+}B#wQW+DPV@dNg6lguhtA3^gxMY-m;wqnC{zh_{ z0NbX}?@}20Oq^>o8n*9bi8y#3;`THh+QEty`3SZ{yVfCy)D?zwddv{ytAqIE&_)fS zsNpSkIAv)#$jAt*YP26HOT(eL2WQF7pQmAQlkw|+N})YtRi*+3}PoBD(;*`w3Iwc zL+-L5RfNdnv(AS?rrek67OQCaL`b`YMzXStPuxteO?L5*e*fS6+TY_O%A{-3!q?c1 zdgyDYFi7vHr0!3)t#$x6HS7?c=1r!8f!4pdubE`Wics&?m}|UkHBQ#R%R`5eVa1^Z1A}3^>)=EoAU*p;IH^1M?pM-7(B~Xau*D@ z<)0Bh>))gPh3JKB4@fFmRe>f)Cq^#YVX3^hAn)|SspyBkiJc7pPP+~1-FXLM9oKfA zhIuirO{;8{x~8N%C_d+h%Hifd@nrWN#Z|O&GO7E9Cj>9ML~FP0@;>q#g zucs_G5EK|{JQdvnwGDBvblajZ9wacsTS(&)h|Dk*&UeTm12ixqP*$YlA*nFkf~ZBs z#4wPx??kl18xn?WMUYd1k}0BfB^RU>=_W+O>Y(E|fWRWG;a8-a5Dk$ySLRC?C*}?5 zCYWK@LCaJzT@lb5(oHbK1pXqvm49k+6U-7aAv)g!ya7q>`z6IqFv~bj4Ej@|q?K8+ zKA9|QX1PHuXj<@Uilh6hbAWfC9|$Fefu+AZ(2oV-hAbJ#!>S&7INXg{@E7b}LUk3x z6D*m8w1VDIWka^=5~k%}PoxXvD6=z2kU$zQ)DaD15JR4OiX>5POyjffYyP@iO`oLsVWU}p`RAdEt*-rZlO~-AD zSD&ySn(XakV1Kw{SL}D4Ca0_3SCS%Fc8ON(zZ~rUtj)K5&p%FSa=jQs?B9Z<$z1ie z5m7}CL>^uG9l~ib+~ZY&LmpfJt4u*-#h^pwSM=Bq!l{l_>keH|v^Esdxn^Mt$hW7+ z2Ue{1)7TFtsi;nNHRMZ+bM)9BJRX25Xsx;7QUDa-nG1k@?8(;xLHXd01Wg0nODI{q zDwp-!h3Uyn?lUbYwdTk#I!AtffV=Dox~gm}e}Z0k9(>s)T0w64B|0a-{igrzyT0`6 zQh=-N$Cd{-DTT?IoCLZ5pS^d1l`YN6!&(Vom>CELIf<|dmzbExLn0zt6s*kA&Vz>-lwJTfFg7|Vlf z2xEc;*a@C^7$GMHOulcefBkFy|K7E0*S+W5d-~44($%S5wd&uyYVX?LdVK3!X4vm2 z?}$8a*Y1)Gbb2WTxMqm3R#UQFdl*!e(G3Z5W;i>waeWAfqk~DKY0VB3pbc=vy(f1nLA~6_#i7 z$!>fkupIBL;;YM^NMe@5CfkN z$m#lCqt4qKbuK$aD{JGEmaxY9PAJ_odh{MZy)hr(;0yf4OlyqrEowg#< z$4rxQghEXQR&tgZBI&DbVm&$}OV+SPoo3j#1Ew|rkipUd`KsJC0oe>^3D^W=GaLc| zTYAJIwYlU~HyuJ~PI=f!cp420UiBl+OKCDV3DGz>v7ht@-~Z0nWmN_}8Drn0=g6PwR;`F`9EAxanl>!JZGYh81-5E!M{vz# zKt?PS(9NYt%(V0puq{FxlJT7o_ohZNMc*U36xY<#HMFXzjh-%a$(L?V;d_9RuB3EK z&>Xe06}|^LWK>qFJG8sHm#ygO>M);M+v7GE)m`sBo}GRR?<4shiNI#xms0Xh!B0l-$`u<=olXquOMsP{gRdEj~}_rSIAu<`(^%~K9R z>91WJhEA(J-Nxpa*tZMIa7|xu%&U}pz<0z+uCAAGQD1ZzI*+R#-Xe6)%UN4kGhEdd z;CHG#1RT8CIb#vXjj<(pm6qE?;q>E_L`B-fae@~rHxmqWDZScfo|Zzr zS>khGatznpqKZ}TJ)R@D+`Q%C2GwG6aACRpw{lcNqPSYGCVwl1hJ^oCu8QBvRsOBu zQT(mUs!7y)pZcv}J^QU(lct1l76KzeB*%h8fw+T#Q8CgKgNVXenqtr-K>agono(1% z&?JD!LP5chZRcD-bpRJic>neS^q+9RBM}E)KjHnNp%&f`VX?k=KY!`*19RKoFV3}Z z?kb50m3)YY!=G+g4iXKerUNt~&eC*%2t#aqrKUqcfl+CNpy@z>_XAcT2LJq!d}xc$ zFES4CfXmO{iiSmaKU5%!=mQ4j2j;f#A75D5eCo-z2sf~WKJpxHU=sowc@x)S8^euD z2x4?_O7bi@#wXZ$X5`@YuvxV1%qbYK3Yi1UY-m0GPR5r!8e(uV2An)s-j|c5lg9p{~TQ ze$dDKwSVjdNu@tq43fyu_-zVD25mIZTdn&v#Br$^yP<|_0 zNpx57J-*uwhMwH>wnyD*@jX9|D)@zC) zkXa5pDB%%q2lUg_mhtQynvS#ixTf|Pdw9#W>qJd%@0PplUF);i(6ZAS0> zN@|lals9zeUq^UH?vwcLrlZQD?1Sl$ymKZG;~vF@poQ;Ro?18x-eBMUqSm;={WZe< zB?0|@bfs26=V+EP)IT0LK9Yu+Wv6Hb^qT?npM0hJ=|Ak$CFPM>=x)T;UI&UGem=0E zz)F6434u0)Lo-AHrA5*mWrU_lMT&eMpWFjO_IuRLx%2V2n`4v8epwMGEfTxZb(2k!@g@E@F**eiO~$*%@7(| zEShpfDtd=V@omw3O!TH>EfP@@IVZB4Wjv#}K)d^4tH3!rC9 zh=dDysDltj{iO?a$t+VX7N4kr7a-|?aGde{phH)Cl#z=_)H1U?=_Cj&E1wAI0NK)D z{+`Qf$g>NQ0)o~PT}F=Q?jJmCMv{32DJg){Y+ z0I=?H2=%Ucv&n{YQ`G2CnBjvAQOf~o#=jVq+G7F1ijPwP+k#Dj$Wd%u?xPBet5tP{ zB1(1(E;QR*d$@>#3Lr~0xU>6&1FdoRogc1C6Z6lYmlo+~%~cfk1ya-j_?AM&aeurj z0@Bp^=34Cv>Gz^~El?ESUskVyMGX>LnZ1Ar7U}%B{|egihIssRFayxBBP~~}pL$Yq z|5=2YZUfX)jCzZ25>A5Gx{GS|47Q~Udd0StYrBdt$2n=_Qn1eb$v^)spY>N>lexA> zCZMe%%#0X)X4T0!&>)C^2{9m_mH{BgfT`FaA9*F%-l%UHaZ??e{82JZA>cAfs_n-9 z`fBr!Xg}AG^32mh07#RsK)j@e2=qC2t#A+g8$=3U?*GY>C3=zk0AnD@lB9uPY!?y& zfLX#+G81S%8_9QTS-|$*Y?Uesy^-;JFd+cgn&3)H{$z4Km{e_&CA-b=i6FT(G4)H} zHqS9@dXBI|eS8Oyd%&ybs=j(;)|Z@kJUVjqjMA>)0X3~9FnJN~1!fMLcXsj5f z?iP$p8KV{7&!3Zffjb#P=KBZX^(;mzriv9)e#ww6d|DV3KCx{3{)IE?oA6jMmMl_{9azQ~9&v@C!G&M9AD@0u~kdda}E?6L~iGyAt>YX*0>@Lw|lGP4y=u`G?B zc*-s>c#Kk+bxl_`Cg`M#&k8dwIpvv8-}tOd+s&R8zU2zs)Dgr*S-zFD*!)&H zd9QkoEWOm9{h@#Q>)-4p9j&if1}0V8@Teb3{-HvylKu97t>&+N2f)M{XGuT4_xGqoalTj*zZuZypo zmdj^YczT8_q4lYa>+;RzH_)F&}($b~vsJGHcR~l&?rCKIo z$NpyUYxE%?$LIby~(jrA1A(78g{ZvRd(#mH1jN}k~l$PzAr>S>OUk+_S@2}YSPdjL*jJzYE`Brwe^bxs`6IKeK5m|_tK)XW9CV7E|L z!HPqVIVqK)AYd}|@$YEeCOb5)C@zW_4qNuivuOi7(aKO<6f;!KSr}s0XSw21q_j-K z)L+5Cl)qTqRN=rUn3vvOjwErLsomOhZZ-di_TyqwWKOI~twpNhL^FuEtRZrjB%z_; zCEV2eDi~S%Zu-{b@%BoFc;Vs^ks+3yA_B#u;Hy0DIQQ*e`Q9H|+a)vxL~tKNamYs; zger>hE2DnL7>c9aka-0nrw;BYf?=tPSdh8jlPfCW=uY{K7GzpoqzqiKCg!S*EZ24k z1l(+fAPTF)Q1L><4WNaixIDG6T_T0G_?|8BTH7VAvpaHamw5U4tGB)Q_7@++G2F{? z3oD@C47>5~Y??p%N1Oqoj48{l8zFGH(klejC1uV4R!5MgH2{_jTx~_PQzX$PD1_Fe zMFy^Bh(TZ&DMof<-$PESBvuyeM(e1Yk{o5=YAbg8jlwUcdqH|Mu6QyYHT(c;qcLVj z*$HTIA<+X?h%D_H{&jR&`bgKA*A}&XITK)NbzA9e+xjqgWb?ER`Z?+QgQ{5SADvPa zljI{fuA65O&d!R8(a*6ZJCsFK1hzqqOFdDu15 z?9%Vh(owG&D7g^pLLGzt*jUnR`2}&G!dg=&YW_JnjaLgU2#9zsxFE37(F%FZ z2XE+?_a8E~9R;RP`1mCE}h2`u9U@U5$M zR*K7J&I&!u@!6X_D@koH^ zQ4T%Es;ftN7-{1c9%a9`Ap^rm?lXFnvqHbYbwcr_2knE$h>^OrdmLP+yFEmyV0gW= z17NHL=q% z!Tn}HKlgq4{6{-_ql3@K^w92sm0^z)jT-{Pd}WEem)O}zBiT6GVz0+gJ@#_33qUl=CvhO}SWfNaM zIn7H>kv2eefATknPj>k)?X)&$CG}lHaC@UOZf~(#$gH*pofZ2qv)UfKftlf~WCm|- zW_Z(z83n}6GCds4jy_ThREp(%62*4Qzeks=UPvHnzvYRt5Pryo+=cW62M|@-tcUTV zIuZhnB5u~Uqv8z_bw({U=XU*8^h|dBp2O1s>Y>Zoy#}&1aeSV)7CWTf`lMU4V&=`1jK1OT zeb1Xd{S3@RuOuR_;|g0_(k7txw4>CPWC?f3);zmOC8G>8+lDQb6s02Ru{2}=X@ckbM?JqGO^I;zsOvj)a!D9DX?3j^hw3?G~OZFS6m&aSd~!_9HuS zkEZFPh-a2xMS)SX1bTv$02qZbck&*5$)c-~8WiGZ?9p9O>tkzpW}gR>xRl7b z1Lw2edptWs-%!$$M9y(5Y0=eqfL??Q>=;j@K=wPHpRVb7BBweKQ=Z&DJL)04h+w(f zr)gyN*-yF<^aBX>CF3PM|YyIMofr zD!ggO30{!?)TY)9cOwiaJW%8vF8Bt+CF{Kx=g0&Si<&pfS7m}&1hqQJK_ z;$f?%h8?H)P53@>Cmh`pgpy&Ooo~<^(jGUl>NqiJF5l!Z=Br7!&8)F&$GQCHTkw%_sGJ|Kkid=0Bzx^pYIH#iG-A> z&POScc_|Z+Nc!NmlVMX(cur`KKoSHb6nFbUT7lX~$c(9Gh-da>+x>J-zl*6vD2`yd zUU)wYa1r_YWjnlIrU&}|Az*UWG(LP`F$%)$y>d8Tf6*3+%2vQD91e~*hE1HtSA=Dwfbjm&7ZyIwQ%`nME>Ap_OKjot%W^W<#J8C@A*<8H=>eDca=ShA=Ahyo7L+jvNIn~Gv_;A(ny&dvBV1%?ndD_$J9TE+DI%mjic=JzR3AQO z`O81>ZJ+p+?{`!@#`9$k4H{}w4Pit@V`%P|c8(1#WJH*UK#B!CUS=248USRXqOFMO zIXe0lwF~r`m4-w`Gfa~FSP{bant|~ZiHc@80FNazh&jEZrZu9X8P0aMF{NXMv)yfy zzs!~m(i!?iTDl;;gpV!xOS42%InzXFXWF0|S$%HFUz#PpNOW7NWl9ByHRIVqJ{HY) z{L;5g%AN+xg6De;-F5B|SkQlOLKH2(R`u8kLZ`twj@wg@#L^Or3hXh<7?UCX zngt9yRE|xUiVOKQ4}*!eMcza+e&PMRTs(~Cpxc-R;gsGYZ*XD&k1)c0IsJMp(7j|W zHO9qD(LY_?9%ilRf7=AlmYt#%{cnZ@^fmwJNB;M>I{FWix3ZX6w~&l%C5%LTT^J|S;Jn(M|s3G4#HW8F9ac9PQM;U zT*#r)l@|V6vz&IDF5ne$Z||(0Wv6IG+?zq%5Brfn_*-A^h&!EyPE-%#qk&Y)k|e}U zTj<=BF{dzWx5(fUC)_Y*p$E)N2c?yUt?(0~VUdNN8xs_>&{&8$0Z?%ePS=_8n1vqD zEsIP!3z`T>*S??qh9N$&8BM@- zs$BU(mI7}vpjVC()B}b4ux2a~9QFey(eU%Mpd%IE`L$rP!J}a3OjIn$xz%peG=+W& zIUQwZyH9m*50o{xYN@65`e0GG0TM8e~Mb))u|nv4r{FRIEnO~!rDa2m%OoePH3 zMO9g;HUZq}M^|VZHsu%U;4Iqb=Yw;)pjU9dz2Lm;6s_QVGvNGDU;8)z!S^~iYi`2G zyeU(GUn%mvlEXbYBzD6RF|y`Oo76nh8bI+P+lnp{A-iEnY-)9J!y*}O{U(szkPeoZ zH7}Cko@d@~OQi%IQDPrv=rK`*egT+yB0U~gjLGl=GR6YV7*m@#x_*o?84fxtnUG9e zw}~F?hD9>G2MZ5N(h|M70MfYcDjB|E5rEoWNMYBO1ZVp^%$6g;K4p0loO8gcw98DrKMSEJ)}P(${L7Qvv_u`LqKYD`lxYY|sBJA~6mLe>~p@8JSSm#X!` z#AA$cHL~#>@P!Y9Y+e}zpTtDs3x-@7@J#&`lUYFr0jXn=sv=mDa#)Cm@h}|e<{u>- zv>?@jQ~SG8yO0mRZfe*0IJYeRS^G_w-$Ylw))A*^%zh>|-}Zjfzi|1@Kk{A=Q8k%A zuGmURl4VnEX^dslqGG$-ar_eiv9a+_sXUNE)U?Hg$T_AVR1XE`+_0$FTGJ=A5v5Bp z?mAX%dvr5O(92|ZT{evsTP(=tHs)J*qe5(~*!GCaCHAw49C6pNVv7{_4s}?%0j)5= zqG3_79fp<;18ch|RaYNdLR9;L4!f2tELe7I=!_LxFuNF{nk6QTFp@@Xchg8`YO(it zc91vdah$X?qPKtPQn1TwA|)=CNF+!G!Pi_0@dD#H@|CUeD9Z@E@v(q-82}3!%k!<~ zsOtduC|t|F+apC|?p0Q0Rr%-={=#Y4U#VKRfvT0R?wg&X zarnW%^UP%R3)dUv8r2~dS1J|a8T}xRi84h_g zHSoIb7ncCnR%{ydRC7fH&vgU51h~l%P511rreQDbOHnmL0$f{hyFov<7%BrR8cN~V zR@{)!!dc)W2?c<(G@9lm5iF~VpbWLRq!x~o<@~5?DIDiD)0j_i)PU<{M}0Xy8)H5J z=M;rFAvBTl_poG5P+ZN9{h`JML|WLlDF$W@VWj`qfQHnT04sk!2{vVsTkq4x_M^_xF~4*VSyx zk0ZGtmT8zMk5^POR*UD!-|O(~SS?p>d@hfZ33s@U9DBORR~O{==Gd2=qSXbt85iWQ z{`2>L-dDZugx1wCP`Qd2yKTB!9xA#Z{b2_-xq|UXnJT&Rc5NU zyENe}z;KY30VAQsH!beMgkw>+N4mGDRAc-1x_UUw0_S}de`M+c6fSDWKy8>Wf?yO_kM$)O6~Z7wMN$YY58X)WP5P$nt~e_#6&<$=&_|d7<8{yq z+c93Q%B@ou?*e*tP?W;nL3)QRDEIHXvek=PPnYilOQi!%S+YPjmxF%{{^{+~4 z@5yprS}I)G7fF>4*DD0LYDc&bw!(Zk{G4!Q!*fS+ulx-Z!d8?|(8Ae%H~RIL!d6=n zQTb~bhDvR2*{|iwel1jZ%9mjjcarPv>fY3^1=33T8>+6(h2l>BE`OwZ8~s|a|A}7< z_8&VgeHDLX>s7y&86_u7??TU?_eXHFMnM5pZ7-)#LTwAP)WRE}#8fCLsT^1FhUi6( zq@y+$LBfsnnG3pGs0i3*?E}XdHJIm5`wQ17)hXe}S-Y{M>eusEj-F zO$bRr$jT1~!cgC1+*YE}!OcL6WCC%jen#l7{&bv5#=`Q;< zLvk8XPeu*jhi2GG8WCBABr2WGS_R(;l^1l`G`pSjp(3FoG8PdUBYgL$y1@|b?>Gca zWcaQle5Z+W)KhAc?6w<5_=X}C>v`--*$9QncYQKFV99_|PRXFh{wnO0nKTEMrH>St zVk~d#=c6!9XM3SqIzihsHHYsBttjR)+uu8vhl{8ogfc6ptc3thE3_T}BVpN~N|{Hl zplP%S*fpV9*oO%3QY9k%?Nop$0`^f?Dz6d`7?DvcnmmclMZl>kx3K>*;6$FS9B~6C zQjy`%L|k}(5uxJSDvn0V*A`Je^`zwH@?cVI;k(T7D!xfn7(F~KLY)~o#dLX}xN)xZ z+~qgUYp3TfJ4GwDEk8x)uyp?2ceGDE|JsagI^)Z*&4z(>IpW+P!7UM$4Fgj_B{Mv1 zQTibgb&wj+(8~=OnwnwKU4-FoNd2B}$h(#qrd@MR+g=1CaKp`W+K6pth~gZZM!4%n zR1Tsc?_*}TAE@;NL(YldF&>+$2Jr_dPrwj^8l=@U8uDIdDV)0cJuVd+gOBtmejI+sFXHp|1IrKkrkk1cCC z@ta4Xi)G$y36`_0*)<$JQdx$J7}EC;zLvAu?2_cAolUG6uHuUUrLc5ywu$u=EW>4t zLRZUXZCrGH2Y<4>It8EYIMIa6q7*IL)bbV9B=VXiWW1?U(qZb~rkdr4;|y2w1xZ=r z_jS!KmF{fK^RCaUczs^w>l2UC^*JgG9l1VP5|Rt&YVP{H$_y#Ll;n}Es!Pk2!bu)gwNN%g$3b?gSyn5` zFsM@%$1mHy|GMtPvRL8ON}VNqe`Vd}QtMP<|5DZo-yaN${mZuRAM;UIhxOw>oHtMf zUs!OfQ3ld&D+56o25mJW8r0vp#EbYg^CmReFg?ZH>PvjNsDXtiREE{ObiR(yVw;5* zo^$-UKCqU7-mXsDm%VuP%7v9au<@J|*7&WhWuTX?o|7O>=dLWhlhd#_1@rtaqubpx z%1;Sy3Or{pqSSDkSRn@L+VY*Y@Uy1?3TZB2_|%?pa8lq9H}E5HBLNu)BmPPksqnQi ziOrny#EAD@2NwmqZWuUlc=9gEtGi+FQ0@-!b;K#}IyU%~yDoffjIi9x_e1|kyU2Y> zVZ__#M)N#6|A;d1{Is(#Sn_simL3wct%%CRkRW=Il%DO>-)z8Nua7gHo+OFKm;fiB zusrE=(XB~D98b5&lRNR6%<-bz6nHhTl(Rw`8P-W8AN*d>aB15 z5=XL!GtOk$DO&SyH*FBx!Gn@e$gmASck z^K)fO`)$MVWv6Jx@teW%|LIHr^PhjkV|(pzvDiNKyWB{(JNjKDblq{{8N*@kx#DfW zcJ1BnE&8e}LrIWo2Mdl7-RqJB7&y?YT#*q$UUAcE!3|wL=(sNp8QYuT-Uf=MoM>^3 zg5zn|sO3Cv-R>y7$mv0@V%)w~oS$uj8Y6NL`=P~K%5O6^O@UvsV_8zV-O&?OS;jMT zXu6H0X>8=HUmPbh3l^;NulNGX6AEIUvI1pZnkc@aTtN6b@xf@K}qeppF{ z+Gl~v-rDQVODxngv@^u(IkN5b3@Ir3=GyCCa5bkJADH64(B!vtKxBrQI2oSWe*PVy zba3k&kkkX255D-|Rt#DlkehKpKI99Z@BXk8d8QKyi>HO7CALwJnHU1j?6svP`le&e zfNiYMPX`)BQC9)D9HckwBWI=4hO(tKz>gIN#0=54D^&=?%($Y!n;GVw;LQ$#d*!ah z0ZE3Cir3cg0d2&kp6oME$3y~#oKZ*y)nt&3v;gAAD8&W)*pRuQbe~uv&mZ@_2wDkh z;OK4~$@LN5KyQm*mrbjpVzL2;d zVJb)`q6VO+=K`Z!k=|ihy4#9F6HmC1c+$6i0Y8RP4X}iMfRbav`H|9eS`)*A(hQ&d zVSFaT1$@!LftJ3asq0b+wRW6axjNu@fjq5bqpcD3Y*YE|# zAn{_SrBcU=&mJz~3&>CMv&$8S*#hy3OC%oqmcU8^A868Y`L#J}>z2!`V)E1%h;6H_ zU7J_Q>wE42+Pmdiv*oA$9=#Z=7x-RWn{PCb8eN>1DrV$-jFrH%5_kxB?(p6yf#;H( z958lvD1<)?#ts=dxZ&9*o8B2DGP$RGo@x_EYV@2-bfkyPBG0yuIi+8{vURRV zxyhG^F_)%em&cW@^R{pMxwo%uoy@gg**etKumP4UTPH*r**P0K{UA^A-?JMe(pKH- z^N8J&_4P!W#tj+Uu?e}MQ1oM1aj-9YW$V1{#kap$(n=qTB-_f?VIj7lP|xsqmk_GX z>6ZI%e(Zz4@D~GHM>3vumG2Be$vxP!*D5FpO0bp#w@6_jN#GTqlw?b#wmlkw5;=A^ zsvE`{Qr|%Mj|mD0N=nirt{AI)=%r)JILO1u(bQ$BSmk@ff|3yMQ_;V6pZdVOV5bj`_jsGWKCg0GBndsm@u=BEBg-n35y z-Mw>wuOWj{Cr$#;cNa};XaQ28?K~8aw4CYjbX{U&U-~(do$8DY+R(R!ke;*{g#cc* z9a%NQ6EZ&1(G(6?$*D+Vcs~=6{(i|ahD}K&8qh=ZMd&;k z+41cf?jr$!?-Fi+H|!Ka{tPiUUGyt4_x2KVmz|=uo^~_!wDj#xrh4{x-M z@N{D3AQU4gCx=l7Vh9pSwPL76piihhz*FE`$*HC^8ns#tTd^ zOLH?JA23&Jycp0tEtOk@pHi5@oOkcY0Ix5mZ;>sve`U6 za1X1vg0AWT*hepvqc7+VPFz9QPc;UtTF+LQzk+7k%hj23UqgMT;H$v-DT);%=LinN zLv@bo_I5Z&XSKH@Z4_08;Y-2c2_JYXH@o0maXWZZnipZ8Vv8&k$V%vr_wSG0>xHjb zce~g9=*X-v$O$UT^0V^&m;Kn6R7FO?#@oB4-8;TtenR2>rE`dGb(V7d{kt>U+i|>T zVD$H+y}ghJfNXMc!N|6Me>J-c-TjaiwsI7{Ey@bpCR*dMnX6Od%}|b_ zw-{FFRkizb%aN(geXw-l&m6NAg> zmYf!hn($>t2`H{~OpHhN!a1qByUJ6F4%=0i02V>%zO10eGcC>N$CA8myZO}KH&P^P zKI$6tQIc`$?jz%ru4YGxNqR7$^NZj1Hn%#ed@pFLD0@^!U%*^M^vhJ(6oLonPk3XO z)Sco;r{9~gKLJjD@2iQyz3dbbR3F7D3Vwh-#4S31%_mM#a0K*We6JLcvJ3Laic3#@ z*4N(sbcb}U^cke5y5HS1k^z;(2pO9{b zvl=agbTgdQXd$GVA+lyrVB|4kvXRz|eAk5ZY^dcb8g3;k7LSpT?uK)+D{vvR2rJ?H>YJt)6)xJ0g7G zmsdpYqdHI(xkGL^hU&!eq7kW1b;x#zT!AGqb3n!fG6NpDYip7puxy9-<9L}~C4c2& zcjxs;wVahX5$d`xr(chibuO_9VYc%NH|a`0a_95#_tD&=HE2!|^am=8E;~iUp%q3q zcdnbG@vr~TSG?!byc1hb#sk3U9>aK$@w+~iH61&6T4imI_*D90I*6my=vcw1HjyBV zPvZ&GB?tsBVDyl>#iyV?!YGD#b3iAZhp`-+M8;_c$o1_}lA!m{9tMr`ecy%38uram zp7`8TdTfl0^P0OB{ajdcQjd+1u~~M9tqu}BOzE*PabcDyl2LgX$BB;YTEbdt6J|MJ zDr9m>P_--kp_9?JpuVc4Ol>M;Y-K^<_n#_hF4feSS_3R1ywM?oqUf#}JXo#+`}?aOp+8S+NYzkC?HCUbN5P70 zvq0=ytw=mKL(C9Xq&&3yk)cEwY1ao#!3DdJD{df@QXV7m+*UlJal=a6dk_}uC6%yf zUr>*<0Y5jIF{&mDptLZnk|oscq4$oIglV(HuTpcX_FbZ&E*u4LX`xV8ejsG6!zWS_ zB=@dl+hWaleln+E-!-DXQCP+kl(c%W=57yF`MCE7_a@39{wz;IfW2-PktfHaozw{^ z+eP?XW;eGZ=(W#JTy~1qM8Wb?bWTU; z=t3FV_`w-VM`QbqF}SfkV5&*DxnS@NaW!m>5lMM z4bcWt8pcFHmZC!Zp9ZV=u8CW=YvknwF?H-O+HJ-y` zd$`5?+C2aup5-3kc>4&?a1Y?E2lqk(1pmNQkEr;a0iMOVG@4isO-~{kn`HXd)X;k!TV;w`&WPcCqCv29K5yUVp##J2Pdgd zfcFNRcR`Z$QpyULVGl_K0g|Z+#Qc4mVQ#d9VUkMl6?tPY!vi9BWTqLKLx%yoj^oA% z-ex#E)0p6$4O@`o33eFqCdvD_BEj1XQPx*({NW%mL$Pauw;2LFAJXt+0b4I*TP$pU z<>jeHQGrs;&z}}h5aV$~ESPJ1vcI}V50gEguB%uU-JkbITw|_Rbfw!}E8PwVtKmM$ zd~5>*nGs#GqU=f)1qADG70(Wj(~n?9*xQS+%TCdXus4ISzxRtiVf&&ZthzgfuoimG zM!m68>s4>WmUZ|S!{Y(^-C9s)?^G52#qb!cKB_89Fgr4g;V~-xZK|WA+Fz|0!((=_ zVMT`UQRM9YnV! zo8BXuFF(%7pqJ1k&^N|nc^XOPY*OK|;9{Cr=2$3?iLe!dRQ?PQ==e}q`T6J{hq)GJfmJrJsm+xsmTy3M5!pgX-5M< z<<@B{8l`?}WQf$d&2VmHh}=w*Ax4I1%k|XA5W!sg*lAJuB6w0rxfl zu1QAa`&`j$8+qlAqMd2&IBPEK9_&ywd5YE`cezh=P7V0E-}hs`@%0Y45X?oA$pBZk zz)Z;#wVGW5v-DC*BWFY9i~&cp0-zg8nMl@$h8JK&a=H7~GzHy|fSXsuFe^3O4GDPM zkbrB3fN&k=HS7=<3YNJc0oM%CZpHCn$e?wo8&`$|TsLg?(sqS*YY|R?Sd<<2+{fPMfke{9)Xss3CYpeiMqy}`e^E1%tGCgtUU!&pWcm8XShL@e96`Yr!qI1Cc z&ENP-AM%SIl68EiGtgagpdRUIg4Q0yt3?DYS5!lkTW5D~HUmAI1&$f$2T1}GL7;G- zVMf6#G(H&+iQ!S;bHJH@B!D%U{w?=2RgCqOb(Jyfod&zjMWG}jQYeA^qj7%7{8r$I zMDBd1n0{Tzl-8qignShDCux$fKW>NjSLA7Cs?SkQp{Q(;=|)HQIf-ZS*TUh*v`;n~I3HSx>QC*`QRmCmGst&23?2(d{>R3FCVZ4oiQIupPD>)r4Cfxqi<=#j)P z$jAtF@blhwBZ=@L@vBX_Fa*P=RtS%Y-y|SL!*+*2u+9TF<$2*Z!SR>Zur|6uB^@ZR0mF;hNukv)n3Zf4+vaVHoL?)v# z1|DmG$B1=swT?~d*-fr_g7LJ46zX1^d(q#MG`HN4Fm8rGdlsX~!h%>SpfswAPeiPs zYQib0qiUv;ZAFZGK>rlmf6!T7uP88XmPm9%cAS=&gfe1RMb1=UcJ0M0iAPzq6b(HY zT}&syaHLWa8Erj=<-yaTeAk&d~bAs(ASwA;5FX9;5h>ASYj}O`YFq5u6{< z+_W|G7#7HyLmjPJvp7S!=?lHp^%sxc9^ZRvP{;SV-HpHbc#d$cp-97Te#=?s<=#b$ zGao1Pb?|-i6CL{dXRC=bloJ)Q)!x(Ged~z>c^(N1{hJhAlY?^)DF{A)RaevH$<(eT zk3-&Gw$p-?MwjiI_L!_#JNB6BMrmz)4#@@ST#Ajxb!Ri;_p>^itC1^o8;WeiRy=jj zX2`au4|GI}^X@o-1=$X7y@EkOgOly>e(B^6@5juNVgk-i@)N#)+4lD*=6IeUBSBKm zX5ibx4}HyMJchG&)9q(=ES}7_>DSl-A7%!L1Pq=c0*w> z-dNI0z&id=%`nSyU>Kgz*rFkEHXH7?szuhp3#!|_2(#JHX01W8ErGo6n%N99Ox@oQ zQ{BOJs*aH$YlayRL7vGmIE}}#96}tlhjdemM3!*FlA(c{29j! zT3GJc5Xat<-=uaNzL?+G*tA6Ui#h7Vkg2NY*J98NtgP^SOI<@lqG%i(zAkc1uF(ZadL z48Hmeu~gXNcw=c3)BoDSxrRaUe%bZ|Znqb0lwHD5zqa`NFeu+&$}Y7s&d|?a+g!AT zt^y3o_jB9#kMW9O^Qk9W-Nr4JZJyWyt0pHbv5DMz-o&-oMqP!=U3byOVcM5^wPs%* zuj5#3vq*U6A%S_0j3+&r%glHEY`9J=)X|DE;3caD>-gK+EQf7 zUNnr=$$k$FMya4;v|+tstWH87IeB!O9g?->uI09DWxxFv%0t-oRJRHJdHXP(=p##g z5S-p>#iBZyR4Z6X+>7_)pJtIyLr-{qW}rFa^+D0;ZXF#FApwe6; z^u)(va+KgIaHln=nNa>%ARqU`$GR`CRXN9ix1T%J+HCIV-b!WhPaVrbn1BaqdZyc9sI*x(EXqQ&6ME&AXPO*&m0BMRsIV?wk)kz-)yaKBwA=VL9UYrbN<&{TA@la(VPpYaAQOF`oK__I9 z+3*pw=qMtc)$sAaN<($o07^qe7Fc4)aKKgC9C_MuS^%>0MZ?Xg?4W64<8%mrDqy)d zq>kl6fC&LDU-k)n;Z;Zp>KuS`RPv)48B2HL1Ac(dvQxB@VwZbn=a6Fm z;v4?;Fa4LV%WM!GdSI|`6KeKb43sA+c52*?WRKH`7$k+MRfJnii%~v^9pg9`iEoo3 zZaFqZM>|oXp~SbgB7hW-ie2x3zSW9yJFyjao0L69!F_Ik&+NDziEnL1ZC*tT-tLl? zU;08&=$7npGCV+$5IzjY-E5@0Bz_pp9w*CTpn+H_Z=|pkx}2@4iu_zt)9Zz$)c(wJ z*dRjy?*uAH7_(G<=fZEur%@&l$lmMmN{e#W5xhYn8MnVw1^{aF7C`J!Y9}5T9b_aL zjMozC^HG|pN65#faZJ+YY|CnW7;!eAEoTwEt!LZrg>aP+*IP-;{*q=AuILNUjM-uY z$|Aav>Pug6Fr9`A2$q0j&C}5L5b>x^Sh%JyFj|?4UhtyP6E#{>k~Vq~T)-^{YlA=;`^6v|G=&AWy1SGAu0GvFeu;8ZGXSebMhwmE4&BdhL`v&pw91|u!0vs*0I>) z64zpT2-NdSkZ(G?J9pO80z1!v3|J;7H-HCz|7j>`dI^pQ zZ(?SM$zn?opz?%e5N7Ic7T zL!Tv(hmAUH#9`8g#bjZs(p{eF5f{07*u7}RxJiEz6dJx!(LACrNa6De={g3~;T8c4 z%4`9y)!%pjLbfx$a_&btCG^}eU=KPaRFru9_z@t0J{*r&n}y@PyFCvrz%%|5O4Kl~q`WY;W}R7aYUqNoVk5w$a2;)E2P@@ae>j0;6C77gK7)P{1Sa02OZxpE0$ zj5Z78Q+CZ^Q*mLQd0HYBGgP@+?0Q1hT%row^@FRLTOMrcub|#TVAtq=sxBD;Ple2- zy)kyx8|K+ISf(qzW~JAB*$W=(jBkZ&oo&@JPa$#{20y&6>#zQ;FWUTZk8-u>X;E$} zf!;-cxs+bhsBi})W2#@CFZ%KQm>=pks{>zHHEdSeg{mZ}keg{~s z>l(nOD(~H4An}1^@@)gkMp^t0HDwIl+Jj3Wv=a`ODh)%~9}DeK?WZ9qpDIG)C1nXE z6HNm`VANhk4RU)plojP9(wYqOV6a2qBt;cpQ9{XNn5yn1+o12HIz|a4li>l%(FnLV1w^k0M@=pXp|sB%?P@MqH48orR=9Q_dQxqh3x?Gm6{tM zY2>_SFLivZi7BI_HRIVy5)>rIG)Rz_XD6~U7-jQ3!g99v1te_ z2FM`WHvh_VshaPQELdOH@S`)}rqv^P=UzKgbbnPWtvgIliZwMUs#RoDmnvRNzCj&S z=iBe)nn=DCiRAPXIRPz%&vjJTBT6JMJ4Gw{-wgWi|LqU@v#$y0FG?mb!q9-e zD$KWuk(+}4Wj0qDKSyc_F_G*|l~6-fA*Pg!PT_SS1+v7{=M( zHIaM+!$_1;C1M36H@jGYba6W2s@PmwT}_w!3W}jI{+5Nr%TCb>iZ=s_zxD4t^S8e^ zfTBcXbx7O;K7b<#U?;j9V$e18hfZnM?Ix)sCZ7io7DM900I5$&C3pLzRPBZ_BnDrb zy`Niz<(pBG7mm2#MM%8aLS&I)Y1fR1=rJTl(9Zr;Mvz0&$o6B5 zAu-~|y|ADRJtMii2#J$q1C|7LX%v(ey&XGfQp(Pu-(Kbn79ny*$>49P0Zz*7G zT^AKv^8oC>BL75Cdc`n*F61)e)~1Kol;8z2D!O9si|O!BWyACk`b9zCrfc{@Qpc!OH{ZMoVg((*H%m@ zH7(a2%e*}pxLW3gS^%@qao2=gTM-Fh%%cF3f~JIbFmdX;j%8key&`FcSXncyZb-+1O*V|RT-tZ{4?@e82_T1F9HT7J&-ewy6YK03`tcb+xO6Qo&?AVwG zYWjJS6ry|`nBF239d{@p#!;p>8tY17Y%tG@#lnC_>Tk{StZk@k^s( zIN+)ik&TkY=RYBgjb6F3F%wf~3jU%t(}n$yzOeZHp$`GN+LmX!MB6B2vuzV?f2xXY zZGRBeHe*PNXpdyhLa8EmCjF!1ac%0$tz{)|?s%H%p|+3RDN=>GgEF_L8Rvq9v1P56 z({_2mX=>>zN=@ocmI`N6-#b3@+u!#MA@wv_kJ&FJ_Ge1OlzMfn$MlCC^+$j&6NQ_i z9@DbJj)q%kKZ|eT)f2e^T7_q>r=Y0E%=Hw+dQ6gkz^*4`t|j%9c8wu-$D0o{^<)P* z*L|RD3|n)DQE#bgG@4SZY>Z@?WKziRIJ;~_4=4FV-h(Gk9>DtSZZZP#8nvQ*W0dSy=pY*if)$2K@Wg}xTk zTm_iI03cMnp+Z0Ci8+|FQ4O#A2)CPV+j|H@bI)(PTUK>g*%HfBAgk8rk(B4yA)p6w z+uQE`)j#^#&pq98f9;cSX9wI8ovlrLcEBys*$gqKjX^#-&mrxhHJ9`fYEndJGxU~w z2ybhQ?}og`X2ZFWxkTq|xZh}QWol%uptD(qmivkMwo(@#_4`jvgJmdVYtB!DEn4p9 zrol=U#@2)^)iq9oH5`AuTJ9_CUt8|o_gGu*H+M=cfH64G=lFmZ{7m`?rXdXiS4m}Gau0{g8@)I%Mo-gU(OTwa(Ob6#No9$ZSsyyFjZGQXcuOsNb> zN+t%7*#i0e_#6c+VILz`M8XYn3povq+yfMALgYFMm3fhP8XA#q6X#np^e)o)QsRk`GcSqo zejIO1<1iDTAWyA$COsY1=8c9ey!}z{NSft;}iTman)-JzqUVB)( z>=dogw)_;G18txFhL8QYwFNNUbyP_%ks2}od2In)TL3k&zAw_-^eckQbxLZlfvvkH zwoNpT;n zm>LeEAtM@R%Cy^YArKhV)Vf*3pylP;1MO=$EZF3BtA> zWy|vuy^Va{s?=UQ)^)Dh<1P3%-Qif*rSp&caujq+5FLYVp+1D5eVt!bBn{;2u`r+F z2oRY)zdoI=;uXqoFO)AkMQb2`GlBeDf9?PHmcMig%6;f^gZ97*fg)HehjNv_A@Gjz zG(!0-o|aJFbIetHci4epUmar!xCMny% zwBPO^NJKE*KzyJ&$P&si3`YUF(V^$` zSDEn4922x|H3AO3j`DN@_lKauH-pV9ckH}I0O2l#gg$?uK9U8l4klHu*j&yKc`S7H zR&3_1my(eG@Puri_@b|T>ibUCkGIr%V_A5E@EDL1tGz}2cn?53W?0mZF)mJ!wC}fR z4&-$G7=>%?I>XqIM*F_pkl38I?jj?O*U25jz({Rebi=ZKEKD!fk2h%!q#0f_mc0t< z#|B{IJn>pTzOMQ)CGA1$J|Dc&Ww{2Hw>Pj{c8b=(@@4|d|K+29>5c!1x99807?GbA zgV)koW3zL-=(nb;;%+xL$EyUdj*-}D@VbsUT4;&aP)z#hBS_g}Mp0~>*W~G|yoEl{ z!7s9wQMYN+9N2WO5Jd+%xDBDD0t3{FDvw9PZTsb8LN-Um(483Isg%BvgxhA>4=q`E zILYl{Z$JMQbA_$^w@k%srKHW(CLakA|@ z)E@e_ja?zjfz9g#_F;SvlzST4qH&l~mm=KgJO z|9^gYMx29jT4?1QK%l7b9>iM;-p9Q6RKfMiIjCo(7MP!C zYT4tMh5q9zHjiX}&|24cly!|4|J(2Q)=&PhtlX&a@+dWQ?LG0`ZcxRV?tn*CHXx>k zW}D|@YG}53zNl-=wYrBS$la7(KptY>3KueF7eW#QgNP2)@q-~U8#?PJ_?Wd>eNG66Wj<$QMTw` ztiJT3C;ouakPsrtI3zbBespP3v%U9+Z7&>4FeB15rf{6`1ob;qoFoOa!BD7r&EgE{ z=mw^Wc2MK2_8w2sydFS2NBx{0fXKzPrQuHf_s-=OUO? zIT3ujAQ+2u6@>lpV%$Un2k$gphv}inos{8pNt^e?1t#XEAA;vO3YL5{U8gQRqNz*E zPSILUyP0y@XaDJ6eCl_9X!e=3Mag_7Ydu_NF0L~d)3tDV_%c!2l>=E)l4o2tP&OZ@ znTrz{6D0VfLT@7?fdRQ|X#;p|r3xURzJThRRsj@WCP2MFe>mV184tK7&|V1dzs{M9 zn8z&6wCGV?(hHW zpZQw%4OFGye1oLf4`PE1Mdl<7_GOmiDCqHJam4iS?8 z%@(bUQi$E*xBu)0DG26M%&_MK0U92_tzZV?*d&a@uE7)vNfsc#QLV^m-B#RVva?KE zz{m`l$QY*>FxWD~t{XV_N@i=w)W~SaSltZKf=aRm@)U?&>Rk(|#4Ph%1bi5oix5AJ zb3HsN&LyV*{>Jq7*m`NnKr9ZM|r~bOt{3E_M%)lC_5Rm#` z{epr=0mq?CVQ|}12vRx;oBXln6v8F7l8r|p6Ds*KW~!=Y6Ve^|)#Vw3bk(mJgGJ@~ zN8%MMJ4LHka5G-P-}t%jZ9d1lM~0yR2xpN7*+P==u-BT%0BHrL=h0@UF9CRnJ826b zLg)rQ4q!FbhJ+n6B#}~BkLbfh`((KyZyII@nrG77?~rpZ442}QVSqr72d?&X{ntT( zZ$MI8o{-1W8Up?Xe^f6Tx7}*~5#KW_1TD=tY$ zUdwj4RafGIY>0TM>Vo}6A*+wx%p&)$MR_McR!E~rLT|A}#3^5m5$v#q@bmm!bh)e}gn3%IHH=TTp zGvkvPZ`!WAx$(&oZ<^)o{A9hkr=8CaP-Yd+*38;WpahJ*A(fL&Ywpp!AdL}wDdaQA zPm7$+FcanknkUr-E)pFyAtwl1tBk8uq&Y7UO-=VidI0s89;x-r@rrNUhxF7o{ZywzS~1P zE+T=3is>9eQVw}f(#XIk>y%2BUII=>K!@mjBO_(d+__bDmK#dG$$)L!U?Bvb2vT>d zao~m#(EA20e`44UDJAWO5zrA2Q7s57w%{37AEp3wCG>f~|E zU6XVeeAfk_CoMt97-I6XrkaB#sX1vALH9xFYnbkvG%mGPdghyGyo-It% z0kLD8Elkq^F~ixyG;c{}I9r&G6>K1E2XBhy_)Rye46}{fmOSiRY7{d+LQk!%$4f@R zEYXDUI90IC511R2N1OOx;5oD5r#ykRfK9GfMY<Lu#UhET*OX=}gNWWxdNa4fRZagnTq8Nz))Rsx2H{h)a3 zhLV*?hO_hPB`aZu1Js8k;NC!cSPa>E{Pl)S`HfnD&p`}mj?;)*y+gOkEf{ns@dZSm_!&yZe8a50FvTPf zNBk7-nQqx6A>e06t>e;=KYm-W*JM2mdmvC{$ltvg4u_p~&kyKiujl>{y3G*lY($2C zXrUTVtw`uL!vjKU>2n{@@m?Dey4|pY7$9=xQ-*}@Y`EVO7ne}(4QE_l&;z$paPs}jw!dH66vC#s)DEu56+mxqLVVdNS^@NC z0Q5JW{`lYZ9w%?BH)8;rd>g`HgJLR5&bzHB=EeZDhM%Yb(Pd_T6uGii;Q>UmM2Q7dIY8(||h+y03Q~W8ZzpAewN8f_uGUjD0%{ z#KAElQ0_SS`pGfJ0+?zws*+I#OUBU7@8WVm%S4s<5jDO7O}bCQt&{8vGI*=pY?@h-GdV zlt9bvfNaFkuqm+UK!>6?!p5_8P12RQ0^RKex@D(m1-hF7y8rvXIz0KllaZoMKm}v! z#3)(3PW-}spy@>7Ely2~kzyXwerl8~`fXD-80_jgt}7zN-2s_c;ujip$?A%`j*%j| zqFRK#1iE^lst%IRVV!cixZ*fIj*(&ydb-YvYZ0bayDlQdq-;l$+QiUV-tzL!cOBsv zxIHy=RurKuI^VT>M4w_g9y%N2_F|Cgnn_n9QsE==BjEk>Rvt{`#s1}|s9AK1nhjZBzw^tV_dP4?D@&#@$Ti~C%KExK-I5$>hq|$Va3XHq z1Krzv0sAWee9Kv16Q$!7_&Fv%csi?W$;Ia4>IDP=WN;~QYjz?`qt-f2W@UXzSHPqe z?dlZVj8ky+3*Yo*|Dh)Y6c9&L@EyjZ3xoN9Kvp8&gq*2#Y&Z&O5puLRD{eq5RjE+%ljh%weOAZ3BoKBq4ionz76%` zbori$+t$!}`7Qd|L+fRyXhqTGr|6u}`q#gtd+XpRs;MZeA6u67FhJ{5vgM};SVNkl z>Lu5-Myem%ihCfP7MfcCih!dVmISN@MP4S2PZ6-7T`6VZ(*!Jx_>dJrz^e1_L{U3N z41XaT8@rw`jA?b~YbM(EDFPNs*X$-ox*F=8<-V7qsG-%=UxBwNbrimEb&`A)0C3dG zEU(r3)ctu-?D!}<>>sQwy&~vsK+yDSSP}I07N(b-q7^}J20_2{n_mC2=lyJ>)<^V>T&lO3~gKX`-5aepPx|T(*YJ%TZ+wosW2t zK6VUr+Pi=1u$w1b) zPLjt2G$h24cb2rWP5n&woztqJf`(dpy>~3FY!RzQswH*Hqt&I4B&sb)Tef~iXQqzh zlhx9?!jbec-xrwL6J=Zc7LdGm>as|3hbpEt;Y8{+nux%n^Ecq^35PC=f{r$nmE27NjBfqB-HhAIMQ?O$S6`I zq2re?`?QJh>hg}?6*X`D460?PXbqQ_J2vN_<`2L5ul>}|dBc+CE=CFGR&unUAD>7# z?;)Y7ndu~^8JWV7$35(~n0`&dH~N)IqOi`O%30qIDiITJxCFjE9R!E zv<7nBL`_?CdHR#hXvSwq9+chGAO4VRsw737wmqH{p*?|#B3fAjY{$mv9E%L%9X z*mn=xo&w7aL}m9$2s*u#xJhP6H7IazrVR;l*$|435_X|rnUuGEMdpmm5Og1gh8Vz( z+9pA6Z!clj1UWZEgA}o=-=HnGG9<{EArv~ya4*suzT!#jG7R!4E+UjbS{nZlpXcK$ zx;nZksP+hWLE{jPBbq`yBLQ8AXM}SVoRVdg-6#||*{zFamp~inW-a}Am+XpOx3{9X>=do&bu;Mo!SDK{|M*8wB{pZL|MD)B z!ff=y&kXe~nYArJiD^iHiC~T80?RSMNe>FyMReLKdbwd_*7i_{5M?AJHWB@mT-})1 z>~@5SceJ`+gvhF*DMat+sB7dtu6|it>eXa51}q z$t$zWvlYASTG%&q4jN}*p62NaGEdQs9ESyXA7mI)K7`bJqx+nq9926V#^fWeHJ@Ky z6&0^hQ4u2(`1AIJD!$z=j7%suDZkj=hj2!^u2(d_y=cDd6s>4}Gid&C!w-M$H=RUt z;G<+cAvKZaAsJw(#A4c7j^?wpqu3`iI|(78`RpWwh~~3Bu@TK@=NrT_xeB%lmN#|GEzdss$p+&{yOyb9 z?QuT#74?e{oFn!=Cs-YcW1OqN_@I*!L`chz9|DcrB3ZB%skfl!Q6&o$t!+fImWDmr z76IgyM)cCvbCT^=YRX&KF>4P&SFwV{oiBU-9j~B6_W75edtohz+PCo1)k|-G>FUKd zoz-egzd-tnSx-n^$N6h63JC;0wU2dD+`^;nwU6~pZ%REm8O=FIfE)FH z2kW!@SSL_)?ZUYYT{z1^sI}s{JcPRTitDmdv_`qhPtiFQ*WdNuf6AA??okW|Ov8Mq z;^r9T&St@5lzZ5*GnCX>=tjoDmpv??oOwmK0Fkh8E{!jD0e4mYDbmy;xqG zXvC?ZnA=reI&rHUq(kiNPtMe;xu)!YLXE20*EtQi3HniQ5V=lF>eGPPI}V%twL&L-(>=Rw@pVccPGB4JWlxTWS68;NMbv9>t?7dhI7`NXtuc2v zAvmNMg3WRrug5*O{M$0?J3^4|A{jg%eG9adfJ zCtafKdejW_+8;7MNS;p&0eL)V3l<-brY_2pb6f#2FwaOfj`m{ZBZYZ>Tv+R3MiS7~ zY|Gs!zIRL+2u@Nx&KM={6G``;b#C{nn58eqUu=0*MJJ>5Dz<2W8shtrmoKUmFeu;8 zZTCuc8&RUmEmhm$Vu#ngr3wbc`(-=4AIBS8%C?6K{EXxKd7}--zvH&QA75CpDK!am zlAd~U$CojHRrC}uv4w9_eWw0Sy@`4TZ(nE6+DJbIPu3S%H{wg`@FhFS=0IC9R2mz1l zaaOg*!n_1D0^9)*gbn~+Ber-rr2|vU{}R?;d_OOu-~iMP5Jp3uQmf|Pw^vvqW#E!>l6*K zpOY*hYUKh%Kj?5|&sK=6K(4cje4XeI@=DHG#{#H?(nO?EKEZ@;d)5VEr2 zT&(hIh2grz)YUYd3vH&KX zQFSj=G3@2~Z-q@KD;REHJt9yRBd+Q_De4}PN2wO$d&i+UoS1BV)RFS7f}s$hhnjt;l#Y$oQIPzwYn9<5aZ0Z(8JvC5VPx zR~3B4cn(oqFPUJ3l>mz}@{8ddXy*s5;qSJoW7|S6ZMREXNWWolqXf~IT&c+DhD9*f zG)yfsEZ-u(UhX*9q_!MJVJ+{^^a)+fLUQ**rd<$RL)itX^v{^=FOuzoz%f=v zFpSgVJ`1w__&tT-Tz>0EIs9NGl-YTJcG2{3V$Ky}Wd!5Cf0i@O39eb4z>hE=KZ+S= zRVO4_;U0;8J=q1pCZ_0|?}DUDcXdH-uM4v56s<1E&A1@%YTo(pJp#QN6yJ%(q#$e) zyr;qs(({BkD_Zx+-YffI*p5gIM7V6Yqo2SPw~b8UjwM}B~elxV{g4nJX z0h~ZaE`!(L!fmmb?~ZpQIr>PUCywKY`_g?>eE`*3y26o|Iw2S`5BT?Nlm3Pvdey5b zitCa*4tQN9lj)K=da2);(F>caUd@YdfAKNwpi8%o6As3TUN?hYU-H}k;CKIp*JP4k zw{JkzGD%V0f=}A-_J}zd_o0m%@zmjNddbSw^0wwKTC4{R<{0}_h7v$0!yXs{tRWeu zmmG9lQ35nG+#j^L9-$ltMU}gj_{bC=JRT|QUKE}yHb zC6^EOdGhkXN((NZH(Ho)&Xa8m)xVTYmoz|#;%hfT^8y|=qxAw|ba(>{%9=K}-O~UQ zu1Fg&P=2Us1JQN&<7e97oSMGmp2EkeIF8C$j9-WRKs^fro*;97o^}AKvEVcatuXj) zvh6$EwPX_d`@vb{kJHmGFetu%*$(gTFZd(L``>|?SbYAS+~&gpb343WNSNXM-Nmj* zyX@rdAKuSxf4^`(eG`}0Zhv>!kqQjjBq^HE#kS2IUWIHKNtS$dyopbmoz|Uv)3*7R zmtT4L;w>+|{K6|QynN@)FTUk1!ko<9K&MW!6E*)HvZ2l8IAxa?9C!3YW@ZwdJaVSTP@O}1?p!cwB3JDMNc;`l(!JaMiK0=Gf2FDKY zkq@u{(?Bf0_^i+YU2;~Y?Pkvk-;z`s_{FMDgI^-{5vI-xt<$U*eJelNynx25;H-S= z6Hh#G@frW;cfT`Kb_A(MjwvXNKx1)Cg(eVvfdKIM0e1sZ7IX!mVP64!z;_7GgE6g! ztx)>niUHp5CU}Pj%X>$)VsuP9FcYQY5))w3EOU3Bqhs2UMJPV;#EQ`|-EUYT66d+W z!Is`iaKCUX;$(95vYXm4x?ji(!y}iE4esTf`-S!tnH39WIi?5HpF6r=psYenicS^g z2Y|#{9%gjE@LkGR1Qgir$If3oJzWdZqdHZ}hmEI4Rd>{-!)J%zC6;-*fq(7r5wi1# z=;pvNxnzdgJl$YfoS|leYl3K%NVdNBlP(>d>w9%eEWK%YVS-5_&sRAxKIgq3;+4x& zi#tEukfUO zJm7r~F$rZ5WJF49Ad5zjvlaQXR4_g@Z)ZQSS75=soy}&i5C@l_oQ_k?+d-$SdJLer zD7yPG(g(3)WR^w<9#a#1=!3Z7%n*9vBAeYlW1u+%%i%g6>-zuQioe~vB`=;gcrvAFs{G%#=XTLc6i~P%~ z<9uHJN0>(;e;`4E1^t(lW=6O2**y{I0`^;CaXa-Sz@xeGYwCc%-ke1^a-`!Mzvd3| zdnJ0!m*1;N`f=GQBDk&CysQ=W9MP(O_#L0|PhRKPtd5dlb8?&J!~P;aFc~}v9p>vvKnsloMSBZqPl8^K zNHyBKx7GO6i&q9d0)$m~IuAWluL=$p>_J;UAILRKg|#Zfn4*E(5Yo9h_YB_wGj~Gn zS}RYUJFd|YfozfMRvKzyA9KwkStyYmj{B1C^A6|D zlofw19FA009P5Ok8C071GKO1`9fM4Ic$JCuVzWrY9!rcj7r9`U0b_;5HDry6|MJ=y zdmkF7=Hcj444J-|rr(>A?YA~${k*S#{hxj%q|Jp9zse76p2eNW`y9p$eo33lmxaXe zDnG#Hg;joFl^>w%>v+$O1f){3`#|bMQK~%DB;M9zgZo#5|E^8DoEh%f96PJJdd%yJu|Laq6`5r1nNZ>B0+e3pG6<4X- z%M4wwxN6QkNBY|qU3p^IZp)ZAb!4WxaAE?W+B;xmd=S z5#Kv64J3jG4t0#Q=OcZD(y{xGsf2=traht|wC6SC*vinn7;h}Jz?d44D0)Wx1)!YL%kwz zqh=VSk%`G9+4!y{I!MRZ<4z?ha?-?@#*c=)vD%8bM?s(wu!&xfPQ?*?MS@HOw)O3=E_XyKpjwgd32?WTr5{3BdIh^xJAkDDL?}A_C#OS0XPEbe z9d9#R2L$n3VvE*)_Y3d-jUV;+QuFQxb}0*gcNjqD6?Hk}Q*{bVddai{Qq^@<6JID; z$#7N^Unp3%q78OAprBhzwn?hj3cjjqN2&X)a57>VMLnAK*q zBC%U$g2Bi>6mPiUOz?v?#N&92DLc^7D^lktMDC@HFJzMCm+F~hsX?EQ=F6&rBqu)# zw(d%(Cb>`VH7BwQYv_72q3d`5&;RhbFFnm1+p~vBv|?um*Cf?B8WR5*KRWD}Xujv{ zHyBP#xQS>!K#@U`z?|Kyp{uVL(H!&Xw0-K(9n#!6H;iZwAs`!E17V};7$ce=`W>^y zD2G9#Rui_q;(ekyCJ{fPglv^h46fUc?j~hB89-6=L1Lrdb&eTKKP3A{w$%V zt68eSt&(qGzQ9BQ0qn8J)K*_1CLP2P_N0S44WRt{ml^CE~<&o zH)y@wSLDsa3=h!j7pYmiV>By|Ne46R!PCZvK?T0urExdDBGKOr!8!+&iy;Q^Rv$*t zKU;1#P@u!70k|hJn=dKoZ>rZ z1$dkrip*4&@$~E=!YiqsuEAWM+A^LWvJ{k5klBlNoF`KW0>Zg0AzPzvcX@W9mmpvr z^9hdEx7SaoS?j_=53mo%p@e;D+jg7=Zhj!E?dsR#eu9Ya2AVs+y+i0o!$6?ekrpA@{>>v33pZyi?QfPL^T#A(Ip(H80p-^?$ z0zCy`Jfk1$-id+-!*)zxDC!tiU;0QuNlwKVc+TuCRBUS_!#$orkj#831bbqW4?+! z|B#pzvM=O9@?jRKkjf!)uV`;6j?;;as!dMY-h#t2%2QNq1|assmG@t#0=lmvth$>g z-mPqkl}+*Tb1yu~Hu(4dp1<+$zt77WsugJRbf!)UCIckY*|ff~aY^Z=l-MxCdiZn0mB8o2hZy{M5cW=Hi^gYUL!@GUzQNedwuNf%~ z19at;qJhDIqctO?p+m|=ydKO#Mk_@;J0}sHfG6nL=%0+}hMo$o+H_A@9oY^Y{j!~! z^6wRqHeV^YjTL%Vn_|9C-Tf7-(GJG`R&PDJN&5ij##OMt;4iL?5$ACnZl*CJ{a98w zzr6*8Wv6I`^P7S5PkZk>e=xK;YIVopJk@&8+%Q8uAYho`ETe#MZicgrf>;BeWfTz3 zZN*te0pZ*XXBh>A^K5w7s3y>%ZQ)Xs^oYn&0NNu%jsP7DG8x4i2^R%N0ppt!n_;s} z9zxn1{GyVhFv}8%9EAsA2@u8vXEnf;htr*l@>0bjtk6+#c5Yak+ly&|=?1{EpOZww zdL_%S`}3y(dL0FGUadeVtRdc(vY(Ly&|tuyx3dB8?RJ3;P;LV2!CyR|ahQH9E1KV4 zG+%a#Ry4mEH2;kK>t1=+>EIkPvCD#UW~P?}=b!~5++Pr!!;{d!7x8{AVOIp_)^7)G zp!wju*@0*X?Ctv<%KtG$EfspuH_3_hjJesISQ-+|lOGCF;0}Fz8k~drUm8}yd7~wA zh~$pq{re2g{V>tQSbrX&2iS4u8NHPLFTcy{3(m8Hkf2}S`E< z3GYod8lG6I$ibh+|205g1N2h^Rn}TNYVB)){wQnhPyE%N`Pm;o3F+6~LYPv&3m|1^(sV{FiV2 zTPN{+xo$o5f+R%W>}3Ra#H*ry-fUW-#Gyk^sA17C*3U6s^|%~;#PiT?KbE79cs`&K zk18@;2~f%EihRWL1Ed9{6%t8;op#$9{5|t@#PeB!`vTAB74Bt(rwRKv-H>$aA>mW| z2(f;C7+SXF5zp(-a~~*8V5|QNK!#Gdy)KZbO?@_SU7k9UbeGrCGFL~KZnpH)-zij{ zdXDc6iob@*_IDk-{(2Jm(U=kh2c!)r2o7*NB-b^W4xY%f?MBE1=u@n6?f9LF-s>eY z?=jbYzsdDwu&^Tca#&cA`$(l`dRonGpFaBu-*@Myf5eenX^11%J4U06n%)2oG|5#? zg4T&M#+Kf1MH&#S_dIJoy@XOQfBt3&J{$Ymwy35JA}AMEByyW!k47v>gy=D zy-%tP>7~<=xS;pOyTmf{OQyZE z_U9|4Pf>Vev9DS6+mKaH*Xohm?>as17EF&@c8b<0e7U=IP88n0>#N@IEso{t`x@;n zdBS&7v-IgvHnTHtiYOet>>A-hRjv*)V-!9+94AKM$hxES%wTk4I8Kbh(;!!7w#UP9 zViZ2~Efw!z$i#IO2p3U!zo)B1!y#!Fd$?Xk;o3b%Zu(}Fd?>T(nB=NedNdE#ReI@Q zvy+2pU7eT?I>+T#c^^@@K=8Qh+%VJrirKZ6zh%XCqQOjem0oZJt{&0qYCl!^t~2Co zG+xN>U0XCxra#2|&L^{_3wg!p+e>C!c8XSvz8Q>u>;L%AKJ+b)(K->?;%%D|K5WtK zEnVhFTWgq@UP_&2W{56k<)}doKlL%YAu-wv6Hp>Z#4vF}P#ldeq*og{Zk=Wvp*}Zk zJz+FL{k}mRx?GVMZM*LFTePepJ{>S!mUZg5Yhtt+?vZ)ckQd^?+LY}dh8S&zh+`?p zhd4H==EoHUqqAj)JcL?;0axu?FgjaGX<%SHE%@EC5U~*$c6vZLZ&XCa!na(!DgtN>P zL&_9}v6Y&kvKb;c=hQkF?vMzs42j%k*mYY@m_u_m`l)I|hRSA$kBS;MS41YE-nE3v zX1O1B(1C#^v}QyaHGUcim9wRFhC^Y$*IYt+317LSv(0kY?^*7~R1oOPDQ_TH7CrWm z)=%B$ZJKf)EaT}x4Lfz4Lyo6Bk0%3l!TBTl@$y=zW6O4my{Y74A-nzcP??s6a*I3> z!gkrd6_mAg#?1B4nEC(Ndl#VF(zGnBO@uHPMv91+Na7K>h&|ivwbylLu%zrR4A7?Z zbOQ#-ob;7B472;#-HbC3BoKsBQWC5brBxDABpNGPglkC%LkKZcB1I69BtYb%1_g=n z5>1pLup@v7MsJ^6dNW+}wCR{4k}HpH$P^;`!@|=fCbJKIa1; zOkROjGt4WnI_e{oYIDt2`!M`9oE6Z~7rAH0)cGQJ`?`>7bIkdPIdz_D-glT)Bc2!x z%T~C&bqr1$d^S2x6Y_7KgWysbRLaZS>rl*0o$wvJ8*!bwJqHC9mi`8Hbq7Zg-b*)C zw$JWR>_eF9Z_iRLI_Vgh-h+(@N>2wSmb&w7DvTP$XP=t9Cz9#*uv3l@*OTW7B4YN5 z7CoX^Gbjb^#QkyZkhwkbcb6)WZ6|0azD{KG%hr5NLrk1=B->%Z(=6xDPKo~}Z*2Y* zZO3H|*Kt=)#nUNwb0l|}ZlA{MkQLu$tav_CeheSCQyt(S18Cg-sJsu^{!$}zta@&z z{p-C19*GCg?`piCSotu>jxXD8e;M27j(^-i9K-L=h7x!FHMi6LxM10)^Z*#E|2BsD zAwasktP!5=1&rNz`|B}wh;*FkK?k`9Z&Ntn8KCY9iU ziLb@hVWz!54^_px4+R%PDIwyau_UZ0rxqkrYw{^{L>nd)O2W?D3L!QlEC zjTj%B&T7Q?&~$csypK#H!hxhN8F=6K?gP`=pxno$-7sJwi6O^PaTb==^&o7%$)K1& zfp#ImxF?BmI)Kgi@mDlD-eA@K71&)l33du>T?T*Z?aA0wkLjkVg~@6>r(zM=R8&9P zlV;z(@?UgMZ}hvHK)>7KRLY3m=yd(MTePL?*QXLL=}G(F`%nGj?|4Jd)@; z80Ojl&%VcOFABb(QK~JcoJvB;=B8>lI1Fplt0hqG30Q_vUN(f72TCuei^g!VJh1 z?vdW1w^n&6Y@^gJLc6-KbkFoI5>qh1H*^s?OZQOkI_qJ?QvgCqac|vIZI*bdD|S*A zmhQ3M!I9*kWqA21U811mR&#%pauIAzEUN_}M8f-E+Nz(jmS~u*7*5vw)v@S|sAb$)wMI z+(YfDT+`p9BUs_m>@q+TB0tY{-<6YnS5D`?E2sEf!K?6HImPb^UY-4}ob0=D%DyY7 z_+7!P(Rbx^vhNCB`FH(yqkKL84N32Y@5*!M6I6$CYVVQHldEH((1OP#<$F-aK%pdM zv<}^6g^yZF8)<*kRfspP@FLHUJ0Q1HMyPIgeE4EUtv>9~e&qL;=f&;MZMQ!PPvB^! z{hM8#6`pGOrn~*Qo%Y8C^PBast9!RxgO8Kt%WC=RrsbN$t^p9INo(;Dk& zdhu;D%Lu-3_obI|$>$`6!Ni%xj<=$rjdNbIi|M3sx<_+Fk|E+t9*3Eu@iETr8ks*zu6;coV6vElaO#W*Xb!lA+j~7;xcmDP}O zqT>Mi>jIIug4{9;mx;u1Yl7hdk+?mIf4@W|ZV?`7!KyZS2rF1U^E7{*!WIgDfjojz zndnS;#xZ}VqT#+L>vy{P#vgn$#L#KgqXHFY#5m4~#K(bS6%ovV>nv@&{@6K@7#{*3 z2Ouy-IVO78(L23497ffKiIL$gMk~Zsv+U8wE0p7QUk5+cSrS2_rK)y;T!f3N-lwx9 z)<(-i#n6vOuSGX2eWF#q+ESfRlZN+_xEA6W?B2iY)uwZHb%af9xjM* z$c7E3u!G8)x{`GZ>r%E=95i@mxIQdk@y_#K+(7x4T90KhPl|I6>rq|Hu%0X$+<*w~ zsNSJo=5|o0KY_DsCvXSvGZ^G2oI7W5CQ)s4`=h-# zb53B8@1HwoWieAOkHJ66h3bm%D&y*6t8%_ZvB|I2cW$YAQ6rU21w&46f-}WW-HviqE(L`Cr9E}I zn69ZXJvvVTWvR{CY-yI-Buc{pL2TXBq30=`rP5TwX@YsgHY%p74&%hCzdK>BHr5Q1 zBUutOyFDE^z`AA^#$danXZNRWkHT&q;H8y!9DoOW2lS+q!sGDVjU{LPh(g(L6*x@MCUvN0GY=*cD z{)E+qFg0${kbO1>{>gm$OcE5y;S%n8+SKf=b6EJL$L#vxupl=FSz3`CVy>guMCQro zLRO$5y#f2SBv&MJ12%^xFVj8}hb8==E!?8bVY!}K?0cVh+h6;YU)+GaS&81E_(JXw_h1WNt`AG{e(tyNFMoSxsb5l8w9DSja=-iyg3}iUvsCp_YinT<=4*&Nam_uN z-s!BtZ5mtBr;L`fd@{ion|d;!{HALjLbcG2zUTyrpRtb~&Ao%kxyc(d4N>L;-McD( zNZgiD^2Xe-c(_o-lhezrW&h5oWxASeC0~o{xP~YTgY92{bCHQrN5^|YMVV*h$sqM| zQR?JDJsBzdxzvl)$@~dR0OC?d+)l0w8>)O=3XaZ24OL|(N-j_Ggrt2cjyb^=@>J0i zDmK9iM`(0;>Lxtx3ouKk=~3hbw$#lgE%S(_W&Ykze(Ue}*~A1_x70i#i@GmhrEyBP zWIN_rX_yZ}aq;OKk53Im?(`A)k&+pcWd^i2T#`Yky3l0`rw^d@iGi+ad5$#15SPNx zr*04;>Il$d1A&#oP<}Zr88k;<%ThPj!Ae657^_T7-Rwnv)_-uR2-$6H_YHDYOb68! zGHm`5t@Q>3Tqm<4@($7(BUlbzKmu9;;6p($GeS*Hr%5}>E7{YfL-d%5yc1o44E~En z+)CyI1n9S_jX}QsD-*gZ&rs1SjzdySQY9os5&(sW1ij#|UDk6|_!>;2Jk+X>^q8gd zXES(4Nl~&_`oOZCT!*rSO}?oK`lV)+k|3BW(3FePhFV3och@J&sjhBVJ|Jql_(;fo>}z7A3i1Iz2+HH^VeZ8)9vm zvJYV3f zg$|!y-HddOg7x-k<}(yz>YC-eWDBDvvkV%4(n$ukO8$8S>&+5#UW2$xwZ{p2%L}ny zK=zRG01J`7;eUeJN}*oBI}pyNAUW-jp|>2~Pf(FRO!73+s&XurMbe+rtAO@~?&ZH5 z+COz2XdeT8VE+CDp2hq9!wnuPt5#$XcFDxvRVR=Asi`OSumYeMRWuyz~uPefL zr_r*_Ks&v9_qDq(pY8~gLfbsv5X8w1quI8fHN?#H%B-P^T+$Q%rWe2RsV)1iX>`Q+ zTlW3*!iz8b>#u?`{e>^yd664;UU>GkXB9%D##)5w`O#_$uZu`7FkSKyr_fjouRr@f zf#%!P@h$rv+ad2u&}*x-ucn1=@%Z(`!5SPN~ zSdQ|yAug8@3WcTMqI{vnSXG{_si?av15cD#`Sv!%g?{**t#$GdQ6%Y&ypfey|J)1D z|G;OYP6S0ntH~Qay3uZIWX$KQu@k`zTXg5C&_Y#(Zo}{vqH9v!qoH*h0(JrkE*Y{W zZ-!k<6;!rPLTZbpc0UY_HF+~cjh|v~)K56HTAEHP@|VO6k6jnk%Q5LpE7Qr482~ec zZj_d=+r}n@BtzEZ%@D1VVGvpark>QglcCh)qvf9S>F{Yl%Wsu9;4E2_H%oMUK!}Sh zL0Ia%bZ5z$yjkMr3^GOqYwlI)%31om18G0%z*0To*pH6xZ_lvDuQZR|RznzM;@0%{ zr*ANwUe_E#+o)OIU!7mF2GfZJdFy%=8DeS!s#@{&S$VG0rKJ9e+`^{Eu<0>S-uue5 z!?#q!rpNGzN&R2`#Mgh*yOxN4>nFnEHY57}xgZSy!(ks}or$2 z$ufEOE1FM6VD$e9E{6=h>06q*rPsEU1b$FYDq?MUYqAI+?*u)|Yg4l{4&DSlZ|d%w zy1NwJ7u5cu0%M35?UA~bX&=0SV#*l-EvVI`{Z&S!}St0spF4@iOj@!(d(QiXrVw*yChD-kj#>|i^JM+O! zC~2#RUr$B+6K;L*rRq&_B8uLO2grR7RN-N6cPaOc_GdL*$bBPTLrQx&_dRqy=a(Q| zi$1cs$(@K|sqw-w-iKa8Y0K8;6>&^r(5WpL@i|J8``kB_^*FtRY4-`0U;YG!17K(g z1}x~YC$i5z_uX~}ejKcce)W+au+M#?ScuUQ^1=JiBA-5w^rOY2&*sy!+&4y{s}M8V z*)`^OYi7A`HfZ)F@IY_ND9=)%b%|{0_^c`vGs~DofW0oBn2KBR7HebUX-IphD zmP|P?n~&RCRvr938mfUgddcRaWs=E>w5I68+0n5iM4e?#8}n|K50uT948cOlhjxtX~0nGn!L;;-!l7xI@IEZboRWJ-s z{Q@v=x;^WG`O2rBue(K?@3j6FUD7%5dw%7A{wF{2;n8=(NG$5iBhOBF)-C9TGzXpJ z0HK>uybo`|kY8Fe9O@cHZg@IFRip6CAD?7Ma5h6M)B&7n*op(=4T;TW7$;iw@H><@ zkXB@h-wYuR0GEj?V%P+o4Zk9J17_GAYsK5X?nZ_LW-~n25N{^jq6J=LZqkYm1fm9B z=l&Ipnix(Xe{U`d{m&g@DpfG`H|{^5KG^R(z99UqGI<%5kxue1)UWYUaFDmj2`P^NJvj~oQ-N&~P> zEv~tO9M^CLz!}P$C&vNPIWiTNm>OHAMg(3gNFMerNqiDcFOcJ)ffLAapx$Ml{YpFm zBD;;2Tw8b-Z(zEUUfv25Tu+FmW&2^3-mPA0|8Ap-o`R;`MNz*blAMW1&oxJs6w7V3$KT_-u z8FNInl1Ac~!ZKi&0Ne}S@_BRtGs+m*m_G=>Vz7gxJ6C z7Ht`V^|$DfH2AyT_r(A4-zDtVbj>IY-lH#0Qp|fwzvn6DEDer|t}p`zXsVZnJ`Elw z`(j?ju?OiOU(u(*aYD>RLp!`ZhBmU0QbGpar@^~{MR_sYqfDQ#=+ofGzR`v+n}C!aQ;vb#8RgE@f3io||1?2k1%$C!by4^oCh*Pv^NdnrB`-AiDfh2XVTw z9G<*A)9WV4EugJyoMsaIVwQ>8mic`>S`y<+enEPrtC(bc`g_Rr8+MPY-+#v7Jz*?vxje(mMc-RF0EG`Hg?mL2Zes1U>H=~(=Y+zS7$-?;ni zFHczB%1}(ha@_)n2m17i)c&|hJv4}y3q15#+#YJ`z2FBA!+tejwL>q$ zq2UexCDPovcQ2P(!5?P8@Xoz3R~|2>XPYFL(&br3PJc_U>_oyzEJ7j<7`0Z?D(O`K z#WIm>K`EXG=cVj|?}3|As|1jL9=w;b>+564pCHecUuf$`=bZpl7hlP zoH)1tgOL%+js)#6aM$l3U(j^@YE0bCdM40>4 zV0=C5{jDcpknhj!bp7gX$1h2wY_hE&qm05J-=EuVe`%R;`?tF~bE@m2f+gE&|Dq!U zK5;!nam3rWS~b#-^6jTqVk3ee=9FS98h5vDbJL8mS<90e{o7hDylrM4>s1Z?#kOAd+f0v`lXNg=O3RK zqFRiz7Sd3fd;EClC?qK2KtUrfycPUZGYnmq(}w(cGQ;40QW6P2Pyq44-U>ORXs9iu zFl;;Y73PNg!HR~c(n!-nhk}x-2!^+?B7dpO5J?yu5kIY15yZ}XMgFpwVY_eX=D-lu zn)1;6%`roePT2gx4|C|s6~!Af%MK|cTu3f?XqCnq-oi;{+>gM~PCPD}IpWno>; z<|^rWwpAQ?$-CdYvztK`4NrAKpp7mfAQ$01!?-oWe6E}-y6zScu;2CStLa9HKNCNO z^HP$Ja^B_HN=&>;bQiwxpZuxM{juaOXi3)6TE;UND&ZL*KXAY+U_#;<*`*4y6h*acDio0Nz{T?zFdX|)3 zE-XD=q;C(@d^kf^@noL)$PSF>aU1s`zlWA=jCql>aXGK<0OkL*vDy*L!v3)yzr3(#jXIxDhYSxK-= z_sDkIpXni=y+JmlMs~$zZxHKe*&lAF{mW#UTpr7D+{V>nGzMuKbcR>!%XZ;uPq4W8 zH#g0{S$B&z|K@ticE9+R;XnUZlYgVFW0nrF{z)`~D&b{HD2oVchTWY06#>)?TeO&p z?|{mS6au}#5yNdWL>r1VqjFG%{6%>u%&JOBI>gp|5LKy|LvZPYykuz= zCn7dgr!C1~d!pu)@(sT6RUkg}&zw*~Dv}Ok55QKuH=EqhWwT6%E|vW$9gM5a^Le&j zn9H1(HN7yYbP_xl>erz02wQOo6>25eNbMjbmNaQVnUFW23c;@W3F_^?t%|Jm`RkwgLa6a45W= z(9S7vct2rQfy4U=ARx}nlJb7SacEdJLG`?Yeh^0^0r9<&v_AS%PsjBf;U_xETqK#jF7#_FkM&EnDllX1%*$03T>7W0HzuyLRi) zWsq(79QyCbg%FT058$_x7cl>d`#2wAoFLfxWv{Yd_Q@x}eqlPnoC0`YePCO_DF7D; z$&ky_2jLsBfH|96upV=+ygah*7Hw|9`df5KH|_U+#}EFEW9q=sn^C3@9-&(hSe5`% z#M3G&86KFzEto}n?-tCWy>|=RJP9o)> zD`Eh(vJ|=~V7TMUc9ufV+jf!Czl6Xl?qXU_FHcOtV-r0(8-~7ox+94Md@+S27g&#h zFJ~2@d9K`fmf|C!7;G5&C%Z~K{qp)JBhHma#Gvu>gCjG8p+-QZUrIKF{- z@bDH4ndCJ?^nIe$l!j$H%K#KhP zDlq-hhY^Z_Stc?i8&QkhA!dZe1z(E-(YPNf@LGw$l{UK)AgNZ0dM|+AUAKaS$ z?hMcs32X9x`ZX^Mn0-*n*NWNaYwIao71%y#1RT&?{&Z<#Jsovv@^W$Pe%#m*!sQSq zqvRri9LgN>FXg2+Ns7zlsf2~%z+Aql2IdHth0|(Rms(bx3zt#*@9qQY!$ZG?%dT1B zhC%(hTeOAC*Ap&(;zxYa&;3lA!JyPmgZjuYSGpX=QXR=MBSwU`0@Rz~fbmS=)h#z4 zP;^@GsU3!(YMfTg$n8}{c%u5_K_({nL-Q9T9(s=)F|n~@$-g*9LcJMwHKs<3A*hiI z!2ODZdNT}qk~lO9-}#Dbkud_2f}87f)XEq#vrEI_SDL{ z$|4jR1lqrV!fg^mMofDolNiEdyFuN}g}QaOXoI@zfx1uq^}qIeJ}MQA)$cH<3tq@2 zQSE@hYE@LbCvhGz=;3%QLopxK_6JbswZy8O`aZPGqFQU|NBBDmsC`r$G>MUGZlz^8 z3(>DTs@>N_co2vokDxFct}%QDRXqr?>d-;vN~7AtI8qkYZLkcQ(O9$ASy288X>!ow z->%Za_JFCDc~py|`=rCr9U&bhF|}$)r8U>0ykKh@3}_H5f1c@@OAsu;dj;{Y9uXWd zo`P(YU2}3IQqPKmFN`I$DA*w1{@p~DpSn_!brLk2_ahG+uX$ zHrHVNExM#={N88&;BR}gOL&VWhV|41)fRYP>@A`SJR;2 z$~tRU1JEbf6AXjAF$^pAx#x!B8kk{?l(&E>{-9k`X+?1jf?<2;Li63xTIG_VxCUm3 zCOss)0i`WQHWY^z*T4*`0~Dgz+65TT550(w5r$%SxqwnC?`BXLDzx_&qSS<3#Vf(K z>mD^UgM?cUeAPj)BUrNxk^~b)7fIhG!8+SqORx^#i!ENhx$*M4TeN}V^?>46eBLv+ z+)N_viZf6QJ#wtTQcfQbwlJ6A4b^Bq+ZE0#uw9<{rf@Q;qUCH;IDh`k^4N5tDID@S zqXurq%gIt^C!cBcrpscM^KIGmSsF4lEf$5`HS?CbIB%5&`NZqepatiMH<b;pLUZU*t^zQ;+xwsz81@r!hx?EQFE|y4}+z;qHljyH^6`s4Oi>5?y-^C?0 zo}?;2g7a-QQbOn3U}He0?Q*~k59t=eZ!!Efi}2lP8Ua-DRVem9{?7mOOaD;S0C#}2JHG3Grn8Rb|;Y(frL3A${S{UHw?{1 z30k_iFc~m6%=m77&D=EkZUaO=Ecj;|+@y^DeHv zsJO78UO`(nKX%S{8=$AEjI+L0wRp#j%lK~S)5aAdhpxwv#bQn0#TA);1LRf9OH}aP zKr_^%tDBRq`W3>xpz&E+yTI{H=DS%aKGF5H38`JZklN+ozm6`oMceB`xhs#h*WIEm z+FpN)E{V4P&^zw^!DX`h2L2oPD`WmDWBm>M>DDy|Fw=lQ5ZUpmg3I(%FmTTgutQ_n zMN^4&vT!;+nR3|xI~hdm^;_u4rygx~VP_KT*PxKLk=@PPyp>78PtQ-2St%y;a@?ov z$Lu7ut>s(QYB_sOuky^8I=UobNS(e!oVWHCafdLaPG9n+PdMDN z6A?4@u_GY<#nJ!7ftcx>ll(!DWKW6vsaIlo6Xvnl4~YE>D&RH^n3^uGZX$xI-WlPS zJvk$|VA*C?igOqDy&>+xKX~%jym?s+ZgnPMIKoR{+x$`)p@D2VaT8VafSV~x z?#l=mBgRfPRtF9Nvy)*~M!-}QLAYa$A00u>Wa!HXm?;BgR<4M4x-#v4e`SONn%viw z5e|EF%S&J0gv47W2B#B&DF)Y#iXDX*To0)_7BPAZ-Yq_O);1p+61nDp9s^%+c-<8T zqGJ(cV>K)BKngha)hxLI0P{@@?y}6nCID9Zh-OaUIKjcTMgdgMuZ)}75>nR#M z`Fp?lbHBwg2BZbtD0-n8^Kpc+y<%Bo%(B#CE;Z@UISyQ6^_mpDad*2g2CT)rg9wXY z9-V!lj_;QjsE>vR4k1B3yvHcm!cd^z454bOh7&6a)CWU!(1Gj6b8L-9m_Cd^y&3ig z%?$JytXrO=Fb2$UU+=*|z#!I^1Lg7$ql^JNNhrwTBtg+TptruX6sR}LM#jLh2Y)YW zdHw<^)SKmT;7nbvX>)(=^DF{*1WczNGoF}AtEvA&OfLUuaBq`RB`%l0uO{>fR&x&g z)RF8wPq~iGRQNA{qy0RgPh{9rmRwcWU0^C;JM5L!1;ErEWxq>yxlYz6{0rT`O9`Xe<75(P5F)*-JZS>iVUuIUy?`Ad!&Z+ zZJjD>z583UKBLArMp9pYf;Q-Oe9VWJ)c=nE_v1hE$<(l?DH9|6g#-!|=qRvuMxNED zjUnSoPPpi}h6p&U5!m&%BBrM+#xLo(f`pH;VN8P~AzmiTeNrDYRXG%l`SJsRG|#LP zy6w;|T@Fq5!jQkWX4r$_j!zBsS|kd}3WZPVcRFVt?QIY>C=Z<_^^Z`F6}JKILtrGP z>yst*JN!%vg%7O&b@{ooq(0P5I9C>F^?ijY_W1{wDBV6#TeZEc+3m;IQhuAw^4K?x zmQt$mM;BBx%dV!D05AK5Dy~c!iIx>6!0CBHYBxL2OI!?r_$UA{hx7M>rq4YH+=?5a z4F{O4CR_77LNRf(Uu64iePeJYP1|;CU9p{wZQI`1wry@~8yjb{8{4*R+qS;ze%`;| z&#vj3s+pSUKKnQi7)3(J&p^XZ3-g19ca*r&4aFQIfV9BvH1m{W_E|c!n@0Kc9YLFe z2ze50nVK>wU0o#=^M~gbdT?AKAMYfQ-0Vr0#22Mgw&JpoemTDxdZuC3SQjBzA+t6Y ze`khU$?bdclYc4-zP@*VmnG443?-QT0ND|R)rFXb?f^r5sbL$300|B3iC7QkcZO~) zn1%@PqDQzH1sy^3`vFo+64jz8xhjAb_{3S<5oBWtj^H9oPplp%wBqdxl3|TnXjQzm zBN#Ic(EuG&n}~wv3ynFJyi588@MAK1z+clc)rlikEVzW&E8#p$1>0(4E_CKecJ^r- z(}WWsu;s4Udbp|5M!t6b4X^l|xm{Y>hPb4AmgCK~cnPdbWxcAO#TiKY{XXBnHyJ-i z&^ZP93h+YgQIpl7A0k*+;LjjnYG7TB;1{pKnbVk0=g^&CSFKu@#PJIoMz&4PILvFP zW@!nsgs_lf6KUtlpd8ab8U!VWCe0%zw$4qXUi8PI%Y(xgMBWZiIoB!s$k}9WU07ag zm$8@WmW?|M|Av)6AC*NYdVvRbGf&BvXA^f#l+YSa?|0?QU!be$nL%Dnr}Q-=wbEWB ztPe#%vo!HL60wlK-u$ZHX|wb1eSCp5c#7-3Iqp8FjOTYhAEiV+9lJXXDse>YHx|jl zf8b&(w`t>YS|A5O0$1QU7^N`q5xZ?UDEC@guc^*dl$=7@V}^US5})=yr=hZ*ndd58 z?Z9WC0R_q+lp`%J>aN9@ez+wF-Z!N50h74ZE7JOYnayprwgJi$u_7is%8`qa^Xj)~ zsGjbVen826AjUQ{ivHOIvlMBRbD}NH#w3J}WrY zqG8hNhMZ9L*tcLQT(OZqt*6X0Hx4aqr-^*~_Dvzhr!GbCI0{NWhyrPmGjhUfQ0Cr5 z>$CIKMN^MKy;~~u6$m$-o07x-VHy-uAKC5s;;%9jad*s67OiFe{L@gz)0NaX3Fyh zA1ddRsbBT0iS);&S;zUD7dstOM>ZQq4q++Knuk>pQp=B+s`GlsZ&Mh}A`Q1G4QM`_ zaj&Ky9(2={-Hki9Sxj^4mmI5iAyLx8ZuZiCU!1q^GmcaHYu$`pClFrO{PojP(D*R( zsyc{~2sq-9CC9-Njl%63AXBuWxm{SSnzE+ZL@Lp421j6d3$raFmC?I?$pPkxbZ*~T z2rj1l2i@8=2ec+#eIC;mAF$*06CM^GF`My_U>-#Dix4D8($j= z@B6{8lin+fo$p+T0t#tfe-GQU(`=C1hdhANzAU%T*M8#nkN=}gLKy<84OA|({{6*- zdi~mPfA?q~T3*Qd{}3jvtz&oE-g}DRj}(qaDRBi1Y7wz?MkKI>k2yG;*!Pc&R@f!W zmfY~Lng_R(8SgphZgx!~6&Snh%zg8*uDTwQ*rW!`CsGnjFX{co3k%q#7*+=%hZnIf z=Oe^)2qoJ@B!{`0ZOG55T%bd%SC`gX^QQ0ZTIb%4@-gukq({+8b}$s)S2eCWJiT}0 z(!1B%C6+C5u=?z)JAK>U`MlMh#(0eD&ng!^LT87v+F9(d6g|)8oYQCA#^L|QvNfx3 zVrYk#>TISqpST5RY<;bm#QQyA@jnaNWo42^Ppm|D(+NKzN6yN#CJT&9Q@52#tTLHt zGjVeb((>GHd=qLlsLTe^`3In{!)}e20(&|Rl3)uEuQa>nRBcw~T`Y_IXo=iC!eSL# zfda^V@x`ldx&Nq19Bkb6mg9Y;xo`e%eK&u9sYD<4v{}Ue5D6n|Kt%~8%lLzXaQTZv zV#T{7G!Rmg#xU=yz>6f&o5fTP1+h0iaR3@Y6xoKw^u{!dvCBk4UGjHxO=;3w%Lfn|E)JAwn^h>V;4=F zc4nQL+a}zwUmOCt$MjwMz=e(FsPZbOF#VWq=kfsg> zHV(*!Uo2rA0&?b1gIEdA(>5kC_z?X1yYwxoa{4+;dKn2Ad=LlJ1FfQ5h2Qpd*ouj@ z*=FE`Vwm8EqOqS&UbpuHy2(WD%C@8gGHQ9);}%Z4tx}z9tDH2UVVM`ga7#pp=2j8Y zM1+Z!3B;`b<6RDyIKVWMehB?X*Q;#Fv)*G27_0v5ZDwf0sOV`JsuZFe=V|V1bHUt* znwb{4L;2kT!gZ~VaMB(s3ErP&$HR^4-CSsgj6PNR9VBCBbg~J@CBctSOM1q7SkS2x zBqMH!BhL;0V!u9(;#&lcK6aYl3%Xz18IabFVJU;!7`tD426K@~QQretfkwdHJqFsY zC4%p5Mj_c!Yt?UZvOMt!9H5)dW9GeijQO8AYXeNK6D9C@Oou45b2f-VqdfCntG|gK- z!qkn|xj8iWY|QVXb|P(BUVz;MJ7?KU;aZJqr*lNur@D6loF2M&2+Luv5 z%0@lLYv!u0a`1M+sv6cS_rGD>{4|lylND9jC)fE6he-m-q3n`zLU-qM1`>pLNoP%K zDREfPG3}2$8Pq8whlHQt0o>J{zT+}<>{E|V>S1Pwb0&?an`{xA1{CZMp6P^!ej&F7sap^FOKo>{J7j zVJpJbd0e@j-O*1RPIkn1CYZQ7Fg!zMYoK!#5ewv$u~}3(`xh_!g)O6vZV1skK4#|p zierP~p$SP45;duiCzUplHr23kdg(yd!haP?zcIPOPoUI|*cud*jBO1Tl&H|+zr9GU zhcn~ks8n&m6+sGQBV^N>;U2OQg-QS)`$Sq#?krGv9wL zsZm41?oTJe)aQs2ql_V03Lp7UN2I3&m7$CgjS+&s76D+Rb1Ajs4wZ!6~B@q&FO7VTn*Zv!U$k>_j$E$NP|C*u!2S z+)qdU`DumRa`<11i0*nj7G)yO5Iaj}_nW>WXh#MnjdN-mh4uAsE1-_q8R6=$Sb=OV zwI+mQAAWeG?*K>XJ={AWPbfMl!S{u2iB4|gcxCEFZ$%fH)6V$Z)O8Qq%i2`#+qVeo z$Cv7NQ1wfY7^ae-eNGT|IYZkI@SwG?ECewNlj8XB{ltiU7hHk9OJqmF6B9$a7KG2c zFahvcPWI4N3N_}jq!$DT3Fi~KYBF#1G1bs%Ay+r~TCx}6_n+6aSWG5pL;eoUJdpyV7FjQ4{yl02?>a7i(8>-P#UTf* zQVY)3Y2AB+k?fGaZM;wHF8JuHmP`_9Q;MF^3b^yyv#7x+N#7#zHVG@PX;)fX1u28A zR)!O5j)LRtoBbW<-V^2}`_Rx#5!r3*oAVu_C9$kK%qrJ4$l`n!r-a9PuBJ}7y|*rD zS6*8L@!-++FBjMbDpNgZBy%O4&YH3db#?(vyMM13OtG&a`R2{zm~lm?5o`#Q+vvUD zD-)kU`hR|P6DhBHwu89kYoLBCUs%jw~X-@8ZejJnG_t66+a;}acy(qKCl8AivbrKO9mE3r41t8=sS7mbaSB-G2Cndw6fK4yju4LQhg@^)sn7W7BX^;9 z&wsSZwuSB_zA`V}6;8!#C(Fg$(cd-V(~`ukwjX3Pn7^EWoGtaWYS&jrYz7_Cc?=G= z%^}CK3};K`TtpnEBietLtDWnIF7(bKQe$woZzV=iQXFtzKelV2oD#^DS!F40FXg;b zhyC&Hu{b-&TVH9ny`QfsSrWel5pJhOCwc&{rlK3)|UiFXn=q;fYpDn-f6=_e0lsX-LP%LyH88F5Td zvBapRv-pVEQ&5h-756*W!h9jc5S6T2*&xWEF80w^4w&&D!`gcoQ{I_~4`QzP&4Pa> z;<*r0U~6%|$kz)ZUQyt1G4e7_o~1z<(~s3$e&z9Hh3qml6I%ykAx@vxjACaX*x zAa*!%lMl^$h)F`x56gVOL?;mL*Mst-(hw&{M9-w1#?s*h(uy^*M-@C9pSm!u@}+nI z*aLnMiiyelhOqQA9m{3h?|fry8&;yUwP2sgd&0R~dC_^$j>6GrjJGY|z`1Cr9>ZHN z#27GN&?u&ZK*LabL)WWGKXY9m`D4=b+wiGHZvQR{Ct$eWl@XfA>CO*@qXC2reSAR* zg7ccb!|SaqqU>PlvV4X>VEfYlL1S*S?!3ESB*WTwAPF^&MM*i&|DhGUlCgjKH4yqC|^q+b9~$FCwhGl zuikfK3cb`6MHHV$JYlDS1I=8XC5EgHQ{tya=v-#zg_-mrD9{&*5r|ll3Y#Ub%w(K3 zk;&c>kjTbwyj}5v$y`>xO#Gm{LXKU4uVe3Az8%WzJKBWm`r++D&Tl|jgDKZ7$0zQd zNv~Gi->X#Gk*a!Nwsr%EafNqkLQ1H@JF>4E1zKIsR+xk8nPEss*10|!z zu*{KWgbnj6V97hryulhK=OT70tt1CGFQ5S>?FcQ;0>t4Ewr7FQ6PK_&{F*|P4Wl#4 zAep;L`Tl(a3l)J8jyc!(l3_{oCmz?gh+6ASuR{jbFREp)=GauDN^|r<%VETTnDv4L zp(tE}`j>tbXR)GDn6ymECDsRIPt3pfv-$g%yoj$zsgnN1W6hCej^kszkq0ROZM@mDyqI|b~O_QEe1@piG0ms ztB8Kqc}#{a$%&GYrA6895oz67$Ba4=G|(dsG~BIvWyL|CAHEWu7C+la9nBb=#Y6gb zbV*JFZ=ny!4v8FBL-h>g4_s z{kV0s!S@MlYB|8jS%(Rf#`xd%n3A28qOYgP@nJ-XpuvpJCslAbai&t=L+Tga#bg<} zps-+7XaPvc1qc28QS=>RCCQNlCxTOSWMa#JVbOsyJxzY zhopq?ee#R!FbjZx8p&jQNM&rH9ZAiEILu%u0MznzRcuvT2AUozSjOJUg;Qhrk_kUy zhqpmTJi=K-dxsj_=r2p)K^@V)8roj)9IyL1RQ9M#S1Wr!#&}>TVgoyIj+z5_&YF`hzl8n;W&w;7d~|gf*e=J5>(L%x=1p~& zo6cQhV%u8#D*vJ17y*AM(3=ugG8Gv5bGK} zu9Ojj6>_`|{Ct~jMap|L4=@M5tRlD8FP!^X_;VJKs1fY)gg+t0@d8W?sZZBYtd%r1 z?#RHS;Y&(l6cvNuaF98E%s`@el2Y|%RgUSIig;qnwgpOBp)p6 zeuJ2i8ao5!+=Rr+sQ2-U3$eN1*@T9J;3-zA@|9M!xqg9p_4s2auxl&$$W(HmsFqd2 zVd#^#;-REwd8+VJ)c)CiuqEXd|Hd?O(no0bmN+?Y1Oiv%2+~z3L z;k^JH6Iq|r^r1&fWIg_8K%Y+WA_{KdVYoFb@+Q%X)!nq1OU?7#h1*?W%kn7;sr{Ok z{;88_{!}N2lEbCcQ#F3L!x%h^N|afY{MB)r*G1XPSL#tpWj3V?A7OF!F~_^xPPh~k z8JjK-8nyV*)@HHjLamqRNn|L|^ntZ4!2q(d;zj;9a=(SWw=s&IMSvy)LEUL&?Xu@Q zn$K1<3YLQK$Wae$3__;7;suMyCGIK8F}fvZjO;jRLqqHzv%;Qw$i9bZsa3@f5S@9KM zj__Sjsn}mKStfEN`mLwG0EBCA?(PY8w9GK#&r7s@{G|K(PJtug>V$VXKSf_8MbRG-|+49HXvP{g!RL*rv)0@2Y@@dY}HiVycS<1#1MfT|$sLfUq^6D$LA-D-}(RI01L}3_q^D%jT z-3@*9RTxI5x*s_eQC40o4Ra2SG7DB38VO}K2@)AP6;}3*V_2ffAGRQk;V>+Da$~$T z>R#{NFMG)ECCCQEbBH{FCA~++JGI;gzdC2C}-u1nd zG8*%m>nraBJHmHc6hmC`9_@ZSF>dqH)@A2xo|sN}Eqmv%Qm{4mt=(m|E}>=CeIgAc zjT8!h{4~pA8w-{-{I&IGY~G&OJ2@!%N!{!zukjb33Z%0(kG?#BVE8RjEX5{=0Zk>; zohStTSlnZ1%`J6#rXWI5LIpJ!B*3>aKs*a+jVd;{c=Z9;OM6gm9b#=oEf1=`o@99Hj1KC=}t$L>a!}}UOn%zcX5`PXp z_TUK9@7cGnNElZnXQHhv>dh*kz>BX&IidyE%8LIPQF}tO@ssE;{vuYdc0!%)cbcGr z$`<$Q3QG1j)Ph2WHwC!M0mKh8jU+N+$*XB%E=4f(Jgc}C@Kv|;kxI4UN}DLM|4(enfymQ6tG zW;^+@dA61(d3PDW-*?1B;39Kd-{Fl)i4@*PP<2s@gE$~X<+1B!`U{BiI*=1<$jpH& zIq;5+Rn5+JpZ)Q^VTwrX3m}LbKpTmz@D_`eC$$<|1(5P9FR3_K3@-vk?8GOqjK7hQ zVp~~5es&v6bY9L%liwiRW(g2Ax={S4MZrFp=G(b*1M3ZGcY9raSQ5dJiBDmmgrzm8L#N->>wQ@TynzkO=^*&A=+c-r`| zlM2N8dr{WP@eiXgYJcA`|2H;okvxY%e9uF@e2qdygziUjHrwMt@QJPdEMUh)O2bAU zR`!ZUT2V!LNB{-(Da+tGd$w^w z>>mL^4rJt7VhoD%nrj|baA(lp&vPSveXLtI&^|9$`j(3j^&}^-Z)KBKLgs^^#{}_0 z-SkOPo7Z`f8aqMoG`i+XJJiiZg+ll?S}W_%#gnR#>*-8`d-|@ShBaR4KX$RMFRATN z{}I`6|2=Op%<8>vY~Nh_u3mSvy?8T>m=$h_%&iiqR|VlrHF?jlGXZ6nw*p-fNCu5u zDCw~h-ES}6?stFVtB=ci>$xl`y&&gz5KTV2qx`e_)o;e{S0O`6}s23E*%QT#S`%gR{Xy4}o0shX=6)!M9-NcQ%g~cg<6HMJ)M9FGs$?n5> zfun5B$cRz=JnqB zzO5N>1I|d8QKI3C8IidRr12jBz)`0CzP<^Rg!%42Ecwsc>yK&wFK}v4jZzWnES?7F zsRuBx%Yt}@Of`*3O?#KKio8RPzg1ba8)0O}eS0d36I_V~Pd%(QfFs#aA1~L@JT$!# zXWlDG)sCD)4$8|4FF)QlaLaZ$`)#f?oe89WDDM3977#xIeaKqv$T=@PW@~p7wT^?t zQYa!vwYy_EbnbQrLE60s-3P7-hm~uMujPZ>cN_k+*#b(w;g1)cQslc|~m0;UbcwCRuj=SKM8C zN0^9x^N6?3rMzA)yh&qE6 zXZ1!d?Gc(E1*bLihyEkBLg)b*bJQk+&F_r)AJwSA6>Cw<8^KR-s=>%9@L^9fkOyd} zYCo+=g0pr51sEodijB}#)JGG{Y*}rQPmF&o!eI=6PwiiBnB~DLm0!kHY>E zLKvI2Wxo#-QvyCOb!jvk{)+GsV&rf06%SEFLx@xY_YxAo0zxjsoRg9OaJk8lgJADR zVx?J)SJFMvE}DIgHk|9NdJl z!_5Ye3TpxuT0!i4%>*`J36OB})+4>rdaxYN2Yc1k=M~7Z4L3VlYebA5510;ix`leq z9zLQ+>FN{0hG6rr=avr&E^4j~Ep@f~67j+E>0NuzfX2<+MQk;RyT|0RxRyt&C*%jU z11e+_-n|pv7a2cuuCY;_|KhPn`DOPj8*hKXys`ft4Q~*58cbkNnj7u39}=$f?Y?~s zy8)BBAkO_uQp$-zYhLTH&Ez))f)3sZCrb1ljH!4a(QmmKDN!gmO!uS)%k__{KJN}W zdALjD4O3LA;2=!kiGOdt2dk$nOwPnAW3bC^*$EEZ#Ne>BELm4f3v9ddle__UC~_2i zRY!>Ri}q?Y*ihg(5=^zgh12AxB*h{Pj9jT#4d4{p5=>3oONv_06ft)6Kk zWcPVMe)GgW*W2ks;G=>C1>bchmbj+w3x(lg!u znoCQ(X#bZkAEIA2^+3Hcli76gOub(#Z+H;-x@@Kjw7>( zB^cXld$b|}L>$Z};2EK2A68!7#_aUnGEpKvyG0A82xVWCcft)pcIM1V-S-LK#@Z%v z=#gugG?qA(yq?V)S_A8ZNd>?}0lReQ+qebM@(Z)stqO%Yt9j%ai-+OPY}K;A38*QbC4#9*==iebWD~z`EW$?04Lw@xq?MB5(=3_Os~(#~8gz9@t$xR)LHnBO)x)>G z-7GneX&d@ec#ZdHKbD-p{Qu=Zrfoi zI)@|KS)=;ys9a zw0$+DIac;3xgkB*VMaQkxDB9&SLA`gaax>W+il3A4oL+bs7exLHUk*Q?|1mDl%Vov zLcR+py^Vyq#S6dt0fdsHAX?D6#3vE5{mswgER-Y%M8Ea?_yXP1d>jkwjBTE7@(#dz z#ku2haIX7}*qA@b{&BPEWqzRaO6#yPt(+7pjj?xMkb2nxSqp~6o}l_$zf*y)%#VkV z8L`G$tfr^Sn~_7T+);`_S}G!Wme_!iagDUZ;VJ?@*RQALfWT3lYTRiqnQB%fmlDl!eiz~pDp00tzP#qVj3MNU{xoC z!YhgvYKfS$-$Bl;y=(I;0lO3(uAdZaI$*h=Qy$uR(bSbr$zZ!q5NJMNDJ;QMF7=8| z8fO~6S}AA=xz8bGkm>EGW2W~`C`8GKCKD+HPNJyOm8ll1w0Ma8Kont)5M6al4Zd)6 zPyHYWrt`11A-q`~`+ML3@JyA8-XI!hE)@~s5m}AGel8{a<$khhqd=ksXqx>+dCk~_ zC3Q!tYID$dEI3A_YZGK!v{Ur`MiWCJUiu}UnenQz;o+Vm_Xo2Yj!VFR*my|c7D`3? z6Q66W-{RL!i1GCZVO?$%Zg?DfVuwW1=zc$Ob)w_?rQm^zF?TWro`uVf%3l0`?s8LD z29;C}(<0IwFjqa-&Hw3NzkN}1qIM;{d~BCanygAny+C=%e9JINFJg0R1^TvL;w=bE zmnpw*pN79GyC3YV4*op3VDpl>dhF=%fJ9U^y&~s;k*3!%zNnxfK|O~L>hih<@YUp^ zO#G|DI{L+Vz?gyKKG}0idl6(4Oz5kfsAL(2omZOszOOJOj{A+hQz{gapb{sZ9iIHh zBRxnqKAC4_y4pF-)DY*>sljg}2pKhCa#u?2rEzFeXta21+grq!>DdOUnkpMSN|PV& z#}9oK`|E(uU68}ded1#?4FB7Nk1t;-RBy)%%j0P*)loWr1>%p_ES(*Rf_voHyPfJB|Oj2z&rt1W>Ja1HP~QF1I%u z3_=(bMvfGJB9L-krXS}&E9VCm6*LkbFIsiS%(@g^ZAYA%>%0uVCTnHC$e$Afk9r&s zorDmdr`w-e-4AHgAK=_@Nd6bZ>GX92G(Wf#bJvznn3K`}cA2%F8N&2pPJmg2VQ{Y| zn8k!8{pILq1ZW=-nKLV(`zpsRcd}qvW4m4%fYQKzxlYfw#vH4* zq<^{Fdwub1O?DSnCM#zKwtgao^q^D7^k>DRMw6Ezy~^?8<2-u#2#^bm?Kefwp`^az zQ~sKw8>W^M><-A=7efgzXClZ`KBiD6bgt7%7%yiXcj_Y+{Elpy@xyQBp_Ur}xq2US zIs2Qs&AamJL@grPD--*pY%|q;j>1^;PFy= zQmlU7jGZyTXBNk=7{OfM=l*A2y_q0sZt8+LyI{SwzK+TVs(4%8=hkb1AA9vSat`)n z-F6qlBU`;S;n*(=_((Ax-r-dd(#;1;E=nYtRD${(HR#D=K8xsN5&k-MqGBFuDou&p z5NWUeMoJu48e4@P*6o@jaxa1hzA`4B-V0le2Euchs8scahJe|)hEXqj=RA%aBRsR) zE4FlPh!%BD@=${UNN5|;>vQ4jex2|0?$<3b{H1K>Ut9DU%-kW~4@gC1B+IaL^dt*K z9_#pcyMs;OnxlhJINsnxW;x&@Xg`oasbEMIIjiv~QVycY-cV6 zB8%WZS!5P?;6Ke0X19Iti3CM*#WQOrgX zWal4F^V^o@;QEJY`8#CFY)KdjNP)98tQJ3@LJlOBOG-;6siNzRa!SW;y{J}i`hmMM z0AJT6s8eH1J0<0-|8t6OJJSWrl!edX)#-h{&y&~nXDvy+vxxJ@<*#FEqckDzfEd)a9ipFd5XK0 z^Vq28ViA3%b3F^s@D7Lad_|%LoYbLg#&&qI7kb4zt4VL#h^FY-y9Auu?-pMEEB%)L zEB#iU?!Wg>!{eygtFjcs?0z6EHs)-FFro2e8>xpyit^t-Ji_@+pLw9IU*H#Hx+PFu zuduNskI6a%)+bQr>xgN_Ks*d0-P5sNirWbgpV`T|25(THY)cd;w^>+yJw>*mOoMIR z!fbqHK^;h)=zmixr7x!*DyE-Uufnc$dk_41Xrv<$=Z9ZNm9N^hR1K?my8iL-iB8rw zhSuBgIqx={M01#-QgPsC8+UC>mBPSt5-A1gHPi3+upTn(OY*Y9dYQlNd#+2mOM@k_(!fUzQXxwAqG0Js)}bC4T#E#A*s3TZR_Ux@5*OVpJ8?>BpIi zb|SWQ81~OUthL?`e`qSXa8N~yjJl7N%$cfgPG#%T$;*o<<|Z8_23TN{*OSr*N&$?+ zEC^8chuvUSSmst`fsBO)ULflN?JBoWmz)rfFff4=c;?lh!t7KS(zeue!b(K@+X-

    pOr=nJ zEL?C~17xd==$85b!#1&5)40X=#Kl-R#aIZ+=Bv24t$g!N^E=wv5s$m%RWILMCI4Iw zeU5@=>yVSHJ57}>I{j$quzpPN2-s&mdFq;(?A|uvNt~;9Z~f z;`wjMeRrG7@bsZFXe*vvndcPnuK*VLXv_tdcbD_vK~^k zV7d0C?hwi3i;}UYf+bN~-B`)#++C0m9i2R7o-j9f(x&g%v8{3?{9-KBB{>1*<-OUL zDsiHyExCfB1Ga2ql@+P%z-9r@6aAOw70C5b_&4$a$zJ2;|5r}2$IP)O%(2JK6UWRG zC(R|3!38j?obMZ5zSncV+<=2`C2;4B)_Xzhq2m8KHi-grpf5wvSFWAy;YK_z_O_a3 ziGuJUc=2#!k)65%{Cmkvs{o!GF95hd6Z}RK`l^NWjBoCL16n*DJ8Un_RX}oddWeZT zss*g+lRA={`+cmvPY|OTKV*hDvL%dXxe4LNffoG@E&aTqpF!8;D8pt;-VSwIec?(` z1_|`!0&(}w=j5c6za13?^4v*>MZMh^O4Nf^>X*-K}$ge!ZcYUfyk@v3obxhheA z%sT}NKS(R33F7LJ#udW9x6dV9Ts#Q6)otAqh+!C4NRr;C5Y}Z7)};~FWftZu73QlO z=1aly?V3B(=#XY*dX~dD&*)QsQ?sibd_u8;Xi(qs*}{wd+|A3WG}lfy9Yh;+X%wtS zp@0ObC15!eXBKe<`WY0Lf5EDPi1;qcNLUTrC-yYaWbYMR93P)d*G~|Aiaz?XnBsJi z5cn-hc8M?ZV#+$RbaZU|%a2Hn^!pD-tcXmi^&fc*qA&7`}w zd-q4M%fAvSfX#uxEGUo%hSO^7ds|Q3rT?wGW!n2o!Ie0kX<0M=4tjqHv^sr>Ejr>C zDh?>Q`;_?Np!IeY7*H^j14a2`bUU%OhE8HhDtsA4qM#&MPc(R4@MM4|ggy1mRP1N( zQu2*YLt=T(oEg0+H8|qgoBb*CIBp zQ~B%0$qGi~-Sj{|l*`Zc)mPg+teFHj&bVm&fN9_*hC3 zWKIV{AjBX`DthCUAJhn9dE=2+lU0pNGK{vM^Pb86(b~Pm2_9tD}v4yKx{LsM58 zLgn~Hd|3AprUO9=;@hwa8}}y_-k-H2rXJbI>G^=X6z)6<=FE+bYV6DI>8}IsL0PMP zIH{tFN+G37Obbi%N5g~r-rLWnFqR&?j+6$-_{#}|1_Gf`RU=4Qzc>u4^nFoyogPoD zu=u5ktmL~9SP(E7_r<-hM7yQrbunRC#?`>nakO}LjHK*qD{E2g46&D&=QGY1Jwfga zkZcDsd1PaUfX^|%$*8}uIxmDRs%p%uU1HcifS+Q;`}3uVb$=#h(BwHYaeQXT$1VGG zP(`i*6QY|7!^bAglgXZtgXiE4Q6z%>cz_)rNYpi#EG9&YJ{yw{OWCi9I-4#QoQbOC9QGG>nM!U5w{jdz!Z^&SLQ0F zZKb+JuJR!EZezu;jXO($1?t2s{Y_IBu?INnlry`Ar?;B+YN`- zA9H&;C)+9-UOllecWrStg4V(XJcRI{7Y+HN1Y~Cew@~<`tvPu)M^RL;z?C}NK^{$B zO=9@);dK3F^79d-=O{E9lzmD=-%p6hJqFch8Op7vWy`G*X+2FY>lXDSVoUrrF3d*D zsQ@+vxgYMiiHmIUnKkFJz2d^O3~A{&kl2?M9x!E4=&V+b8*=s{LhK|X>tSGN;gV2q zKSkNGZ=;p#R-u`{;-H?k{qa(8s&P`9^4fL%Ua@yg!lj*cTNcI6!ZXl&M@`q zJX&aiW_WHy?mP3-4<8wf>l5qxIzE7*Mc-G8pZS?rUe1uvBB3>YQEo}~We6zX_PoJfM z8`UK7?#fxX7#`AE%gIDo*jtHnA@*+cChb(!Fx8e`(9Q@0lxff(ch0YBt-ZNkuL`d{ zHmNDg{3dVsQ^==cgfKL(vWz_-Yk*N`oKY>Zx&O$b(8y6bgBx2Y+*7hdF2seWAIGSSb#C*^b3J3b5R37r^=X&q&Zko4l7k7z0FX0=1(Kn-fq zKfH5IpLk+Dg5MhqSc`JlscO4Xo{E%PPKG(rbI1ZpL9|tfFeoXXuY41nnczvVKT{*| zjLUI*7P8}pG(qTyyT-8*(p0C}SkIkHs4}npZeXAMvp2?w zPZQ@ry+(HPd4&jWURXCZ;1?NOg@BVVCwcx|Nxe|g>mT7vu9Hu%eRyd0cu(&gewkVe z-4lIyZ=Ai^*k?td;|RxthL-d0O$KJ9gD&K-GGyPe7i@5O94nZ*377z1`nU!KQ9b>m zn0JR49ZuZ(uezQja!9D{TY?Y!!8kRnnxm+qXSvwYpB^ud-TM^RV=~=T@Gu}Qj|h|a yce!R%=@Vvsr<95Fkvl|kX6b+C^T5c3xvat+#plh2Lcc5gcUj(2UdjI>asLC1P$!N6 diff --git a/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.rtlv_sg.cdb b/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.rtlv_sg.cdb deleted file mode 100644 index 40fe6d4f722784c258a2d091cd609a8b1f79533b..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1945 zcmV;K2WI#b000233jqKC0001L0BQg;00011WpZ4R5F*Y(F zF)%MOGcPhQF*6`jN+4HdVjx9iX>@6CZapFZ000000Ne!t000000PO+*0000000000 z0GS5>000000C)lHnZJu2RTRhP#rOk%1W6Hs)e^`e*zCNw$!0}lca_+L6oNt;OF;z% z6$Ps$g@uKGK>mP~mKGK%1T8GA#KOW9f~bXspr~l#`J6Ln?!EKozL`5u!Ul5Syt((B z^F2TAJ#%Mf_jpxR_X$G}HLMf)IVSvgGnvWtv1X3k>9h>cDPiE0D5`2h5d{*gIRS49{dvn zcv0(4&#GB<`jDAz=rhfA4}lQtUkg*;zA$u5Fex$bLm~%x(3+PbpKFo-NR{nG2DzC3 ziXB;BvF({vw{&60Kxrz4Qn67-hzY%|r$aQDCUhF>o+MDmZYXzPV+4B=wU@g%s@4xl-8xP9$ zxJtv)_w^>Sv%=IzHgjz?GDJgVP#==!xGB{T<%Rk(X4P%6) zXdF_;xv1D_#&gRUSZUaUa5t4g_XG6jrnATZ%4LilyLzSGvXp1C%)T*-Tmi<;z|9T; zVAW&FMaIs+(PEW@7t>Z+BhQJ>`8qYv*Qt3P)%=6(A7{v)`fIBnpCYXO9`y+^ z#-2r9*uje^roPQW2Gfl@y1iri(h&r!>tYxoE-0$o63kash#p?p^MO2+4(+IA4xHaF#n+CzyXVj7*aJ($|08z0PbE2T->Y2J;R zgZUEG=hIpTqP4Jv!U!DGP>tx}1uu=Z%Q_glG23afhYD8Nsvh*D7rScTuI$*T=hlk4 zZEu0eu`YS=aG0?c-LsFUb5PXbbm=I<3PcAlSOZmB$vLj!ejCe7pG`ph=|y@xAZ&o83=`%1?27i8<4Ur)2LC)!Dw zIL_qbaP-LCfSXz$doiWH5}bddg5l2-W8>Y7_MI2pu{gIQUP+!)asFXMtY?pKp8h#R zo-xTX%lVkUAN;GJi!&d291kbxPT}JHv&ivpKF_ zBYe=PY=3-R)O7&K$o+%+QsU{GgN$XkvR`7{w_>}Qc=dRRP$zM}d?q;elRl@tnt*o+ zdGZn9@l5XVR@e7Q6i=|Z1NFf^C+4dA)-wn5vM{+qM|s~r zjMk-O-(Q!yrtJF%Q9K!r-uL$;-jsd6IwIbUv);4pR#m;IdD+;0Q$72e(rCT52zdUL z5PU4-y;ieX*4tjWhyPzc6hB>q<@iH-&Kt7lzUp!WU*f%8azuP@*^;(nX=ICyIM_AwX=hcwBjN1BCO8!IrvFck9{E$9fqdOn}^JZ^f z)r!;jasQ=frW$MSdj3{tibqHPm^Hu4ddHhhx&C6~ocI5(fAZ(wvwYjlGkWU!I-@5y z>iSOfn>~2+-XFR9^EbirHw=Y$>L)+$Epj-M@lTBJGkA2Tge^7>()Dxy87Irpb;Sq^A-}M;JNls4Yp7VX*xsNa_VGWG2kw{^s1Y8Pq07gRh+@vPC=Ws*V zu5>00>2X^+*-}-oJJsJw<^a(CZ-Hr#iU2Evet5hV11W!y{7KCd`WQE48H?h7>t$e; z`ca5x(mw;FOzvook;;~{2!xxi`wEaUHi60bY4LQ;q`%}%O3&5@4mMk*<4zt zD=SsIwu`%IsH$BzcwAbZK4BXvp76*O^MlwT!Tb6LqtSR3+egl;+E@=osRVwOlK0Qj zYIPc@P#^8K4#?-$7Z-B#bCJc|MvXe(d|;UFLFMWM`!NiW&S=uqExMZ|B67zKG7Fw( zZRUt-27nOY*HD~VCb71sosPAFKPLMgQ`E5{l*y;ZAgP4!H$jtyjYm+=G7vZ8(QUc` zGJYQiqaI>iSU<7O3p&^U=$(BThurA~mHVRjcs&Gh_?JnLsgbonIGgWXtq0SjHo)&h fvAm3M-rr|fo$%#BYzHnCt{gn>X49_#00960VourN diff --git a/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.rtlv_sg_swap.cdb b/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.rtlv_sg_swap.cdb deleted file mode 100644 index 590e8c58a5129d5606d2ad7000f352b68524356b..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 583 zcmWe(U|?9w%?KnJ7_xxS7)Xbu78Pga=P4K(>lx}9C^(g7=Al+yu z8Y={QDTJpcDY&L&mH?H`VFeq&5CAcVp%qGlMBSMnautk8i3te_EJx-O`C&o0&r0q@)^D156xM6x0$YHb}I(9+GGe zbldU9;81G2pq_Qlh8v7UoIDMeWW5BORDYQ`%=^Us^<(48BTF1BxrKXPEiT>hCfJoX z+2=Rgb{n8K|NpOGe3X=sG6Cq*6YJMXy?x3RM z!gGor8UHyde%#l`=)=S0U^*+Onm4iPN8lHhc55~R;|&Z)6Bw5CiFbH^?lQjoIVf1f z=(AUZL`{Rzep%tLrjW)QH`Al%?;o8yHIXU6=GSxnya}8NI!s46u3P^8&GzH>&R?w? z8t0~bKKp__K(5JN$CNMS`m*o?{4Gu*vxErLZ3s});XrR#`1vQZR>}}h4~h! zsJAKAPf+q><6Lm$TF6-@I|bgN-68_YK1@4#g;E^8v$_^FGCz3{Guv%})&}m##*&1B JCHi1*0{{=i*bx8# diff --git a/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.sgdiff.cdb b/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.sgdiff.cdb deleted file mode 100644 index d6714b135e6cd94886b557e850f7112200e5dace..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2253 zcmeH{>o*$)7{=+Qq*@f!&PFp~ZP&Op8?7aRR&^|lv_dgnk{G}hl# zJ-y`3foytcd?qF-Jq_pzadLHX0s3H*QsaQGZij%bF3yJ_&WBuFAwZ-*5EUN-^o>i( z+B}^t_&_k<`Y%Xt_2Uozj}94q*mLyfc+51LQrVVYo9vz0sTP6$iKgLUWI_Cvf8*DhNw53WZY9 zmxCoyrl;kS64$gbnnpP+;LA12d4c$o>C2i*anxtxl)(imiTic3FoNc^6vuWf0rbAnjiPCvc}UM zmV*nOotg)D0etyTw)}C&Ztams^o9FJjdiEV=ku3yR3`lJur5I=SKVOcG{RUmJa>LH zN7V1ph|k2Fl+Tw2v+u5}Uoglt&c&7Nv8ffhUnw7D4RJrME}d6%FieF4CkbD#i)CqV zh&c@tgbwol67~hQk81I$p{ddk#r&ks?pK8u&iXc-Ha)lbQowu}r> zW{DIgS;zAncRfmi)mwDT|2opXMCqJ4pT#R&Yd`%3ijWdfLQlKxafarB*%)DoBtza|yYS(f#QGFfa3CG)9+ABgNTtZWE*JKKbTDg{~ZIU`m zB?`h`E@5nin#Y!{q-*n05rka!ni{J?6KGP?m2{=#nVN+kau&`lETLPdigMX|1-azL ztoSOIvl>I<_pRo!_cE^vf0Y>a8Q(!m{B+EqbaeVDQ*DDbg^~Ke8Y*p&o;sCsCXbcx zxlAiI$8eaACj5&Yx|vq^5zV_dH<2mb*k%&a3x7man;$de;2fUN#1KMhA?O@C5U41>%g=m}6*e{^b#Mf?}A+fHB z*Yg$)Em=l(upIL)qbbv=+SlIq+`DpRjfTE?3On0oyJCniHD&yn-_I`x^A$rhX8V(S zMZfR#snULyn6gE@oo8^i5}*&CJ-1nrx3j$Fx}V%==~*6iIbIcS>9V)%BqbmUe?Z{1 zqx|gX3mB*-iqlzU^%o46>tGVtiS|qN-<=jivWA|hThxtL$oq}L5Ba+nnCgj)&#Zm1^91b<2W z(LX~vYO-SO&&BMiK1M1Km;LxC`?v)BWANmN<%xTD#NOb?YTmx6=pA>+jPdbu@4$VN zX6y&fq{+1h!=JJSMTkRX^d{f*0q>VRTWTyK?6=ENJm#l{-P6`%TF#ctxwHcb8CuD< z3A2GlL%Pv8C}`@*^eXzV0GRh;I6Pfd_HE@bWiL73=hoqdWX9~z-@AMhyW(FC;m5jO zwlRRtzPyCJTTeM-*C-quxcY(=xZFRkUJ|N={O7NDbL27^d3GWBRdFJ|z&93rIBjhs1LeKR`nxs6?>$F*Ia;Qot%_OPlNru~;=@5APP zs12zm#?!;9ih?5c-vnWkNLP~S-#3TGg*={|3WKnTr4b diff --git a/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.sgdiff.hdb b/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.sgdiff.hdb deleted file mode 100644 index 3767cb574350fe9bb9a045c32f156045fce56eb4..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 10799 zcmb7qbx<5W@GlfOC~{bF4tIA72Nd_>#f!TXD6Yk!SaElU0*75G?(TY6DbC^U^7{S# z=KcNVO){VCWU`yd?k3p?0RjR-H3s58L_o0nmw5k`pDbO!+J14O;^yVx=HQ}|bhmY| zpyC$bq2lJ^ppc_rFtm%@Jl*CI4 zF{EqFvb^+Ka^M(ZSW3f@|Auq&h;7=SAOJw8rUvLBtSrA)on+RW9Mn8m%hp@|KK#8l zue~0)U_3uR&tIJpCA~={{3EW=-0fPvPM4TmSCcIUo9H{zUM-0Iibw|=^BQ{-ylPCo zlw&gd7Mn()EhLU&Unn6C%Z+cUPSY>$8D~&p6*yo@t}6|89?RcK?vhB0g1a5b%V|nE zK5r6^a1DY#_%{+arZ;{&$%3iI_$I%z)p?-Ykpw3H-Lw%V-s1cV!QCoX&KevTsN4YP z7ypU``b8l77BG5A5gw>P+dQlvIvG7>YqrM7cebC4^6JY6&p!(P_%zGCpPRtBN7dZ5 zMV`{7S_)~?XK*>ts|N16RD<$0YkMyu7&xd2z~A_=UdNT`YKms9N5LOLkQ8!rSf2x% z#Mo+6;d22mEQI%e5g$zS&V-d^4GDdzZay3Z!I0_zX23pBq$tk+PX*K+m>pbA!&{eD z*!iWqt0ko>TB?1vFBMoP^-aLgFXe#c{a=!)j6{9PRGrbDyYlO9aLqi@)q%UN*#Dz% zC;*t9ovtFT-+jtr6K0}vs^*e;hbsXuW4Tiq=EobxsPM| z_yXk%oB3bsAUnz5KSQv~0Zu?+$yvU+Kavr;1h}tZ8-L%N$t*`>XAq%WQ6A-{HXA%~ zjuITU(=aj)yP%4!*V^PGZ%bH5l!a;{-rkCvBqD!SNE|=cLXVQ0?S6s-VL`~l(@_NE zB16n)geiDYx6Lvax9@v2bxuW}KcWT3VLSJ{CKB!l33Rr>`IbEFazZ?9g56dODB8Xu z2X^1E4i20+yXG=lthqfMXy-qtxmTtO4IF7H&B2sYCa?IiWy9>e7^3e={DLd>Fw zFqFT$=@B5*mNVxSL;Vt4E))(%8Eu*JEKKj|Vt11GNE8Czp-HU9JS+mSj7YPQsF+SX zx;sn2cvjR%_6xBz9qOF;7d)8sg!*%fw9U@IPYFDyQZv7h+gvcvQaXXZ)hPI5hhzon zhdCz$cal0HtM|-muEI$+j+;7n3PW7|ruZr%w--QKK-_o^i zND8Ci;VT67No!DXClJUBU$B%QQJLHp7eTC=xipGTUH^J_x0@yh#Ej=;2b18PjN7=A zN6biw;d~vg@OeR6y0s_$#D$nAac?3?8_6O{afj{#tuR1+)LBsSp;)W zo$P)_2&ro~G&s*CQ`O)ZFH|mV5HnhVEknwwRYbd$h+)(KbiRg)_kVpVh(K-MpWDOS zk)#d*zH)%@MYSLsT+HkIKJNH9*QS{BlqM&NCLDc5D2}C!PhzHPpkTbf@0d8)V9LGF zjlt0`McF@5ab#DIvIno-9j{E+&;H3B@h&EX?j)Qqj50CSz(f@QtAMF8#z?66N38R~ zcInnyNdpA~j;N_aj!mop`6=|#N{M!c1JWC4ILucB9x6wODC zM^ARGe%1uTzd1|Z>qMoOUO^+V(B!7Y%32zKx|I_1?6RtS+zc<`jttML>zpB$TI$mm z4v@)cu=%?bJ)!%!s8b*9>V znhgS%Yq%w^21#bnnV`QduI8^#ofry{BDKVGX5{a4*Lpw^{z0TTwOJB@HiCnu-`Gec z-K)r40QSQo`+mmnz|^w{DL|Wse3dsC7=_>aKUZ-j;jK6Jp}c-P+w^I|Jk-Fi&w`t?(f?p*8G;5CLgB?0p$G?2xYuHC= zP!YFUl6@;%w|tp4A?~6>O-KI{7wu|8>}QE$a_lS8zm}39z%o;1j?j}zK+`?FB4@l) zODXR`;Z=1h2a7=0Y}EU;K&Wu5{|1=iF;mhpex_j=x;eFC*01%&o-8T8XgLeNbAF$I ze$gsAilg$ma)acZb+$m$^?JESMXG1h)mhqbTFOGk@`)N67KxmSqacV|zk)qwCc{sS zc`}{D?`Ql)bakK>{_DrbgWFGW%9;++=htp)daY&mmIuNa4}=HVbzeFL7OOCBedp5Q zaMF)N^PfR$Cj~7qxCdHEG-)99q?UD}wVf2ckycJ8mV4*YfvHl7aK`eq7Lg@}TSYPl z`XI~lsHLEx}^a|4ZqDUGLzqDv8?T%^U@HuraN(4{_M`5 zR*c&}UDfLEdrt2cKzX67;Be1yo}gNtvPmfi_l8jM9=Ni*odc?SMdJkBB#FVu;%wjS z`QF_H-*A?)dz`rO%qGsp-+k|I)TqXMQxpV>*7fZ(Ufk2~FK&4DF9budHn>gG1UuXq z@*Lr?>N$@jERS6Ctl+IiqP$kpWq~#dmihkTJ?XiOE54>yqqIRO|J|wi@Ed^0q_gpt zNw?A)-ur%OL0otIg6Jq~L!AKQj7X2Xx8NLIp5w4|T;YZH(5&41-W8j}yRqW3mzd2p zve94NbAl?jJcVl#4c;;0?j~Q~4hHonJqlXuhDkiq=HS+}KRTv)0jXZc_c6Q?3 zlO{XPo4Wr%Yi$mV=T}8aTg1&Dd$wjtdmHv%0`-*!X=4?`)`_bs`X{_S1 zV>ako{rAU1Sb)iXgGY(WC-`!C)I^0ZwcD!uK$?`4BP3q56LSX+d+~#(Ew@bem``o< zK0dZ9dWX+eoEqheCpvg)=9u>~7eGZ`+C=B9KW1M?%)EEMCD{j|If_SctadmSV84V< zl6U6R+@oHfd1|fpUE`lp_9fseiO+80zuX=O+H)V&E^ZNA@7sS2%py}fonP7SJ^Xch zSFsQ%;FA^D{_>os|D-nC>i*C5kAh(S|3a-3W!7B!z z6pj2c`9j7=rapO_S3?x>XP|T0$G{JEP?hqf==Q00aKz#)Pvy1RsI&cX(kjr9a}a*^ z!ax74>G;|h8^7Y~-}JJ!0Ixb0Uuk*vd%pJGOl|I5dDyd9T%T19ltX`A+Wg1Wbe$WJ z(p0Mw7$0bPTWbfenxA9{tXx#}cwM?$SvX`A?r?a?u(_YVSYLiv+14>It`2m#$_6>qTl@Tkw6Cvz)6Kf&)Hk7^c-Uuv8_trW=3$%r+ zu{9v2IrcBE_{KsXi_C8oDy#81$fPDQGCsZn<;EGu7{9^ZPR_>cm<~T~D%|8e?E|06 z{G0O?_NK0ro@#EOAKnO)57r7;A|ISP-wDzeFQ;T}^Wk4DK7;(GG=tVi)3(ca?;Ez&DVP@XA(H z5Q;8lVJOZg2rDV3X>6_dP#O7_Z=OMCPXd}?+w3BnNL#IZTp3;3=ch4YdQvJL?#61g zx1SYTkLlVWCnu(ML&0K?mAv-Raumr@P;+T1sJ>Qn$@?Fw=^5uA$+8%{V`>c=;Xq4% z#z?6~Pc~AutOUSMFYYmpUY3Y(QX&>eKECCdXLz57MPmiP;1j)Rp!tiGXGRLN>K?uN zK}Ys*kl&;XstjSFLcDK=6&@6D&%b4va+8#A+|aV|5gJZBcHP94^Lu~(hCjJH=+_Ue zK5Ig^JbT%;tMP8K@yPpM?e6s?++X_}JkxPoot`c%g*SEkQ@_4kG_9vB!SqLMfkN$P z0FxQ>cYJf;sVXo_&P)aP$OqvVzbe5dUY)cs_)V*>h^AxaPnr4wDSUg*DX$p0Ab&}5 zkOnd^)Pk9<0{BSko6vMUr~>qADVAefSj5EN+(w80!Y`~C&^k;ZHLEAEk(vlCe;G6h ze9xnsFFFw$5z>e@16uG2_KAsrrAO?@`l+Js1P6RZ1*u6X5)?Dsq`|u(XWLpeYxCApjd9e3Hvz1*F{ru+@m?{b*{BZz_fe|Zl;s-r(Z6$UzeIVJ44U`n8*oYWoxKvG;Yb&c z;gI)KDtu|{oSc4vqWIyTpD)ueLZ&Z!*v+eTog+LFK2ye9OSZCm1j6{6l$c5MM&xG) zc?alfZSt1+n`n>hejk!HJa*JKOMCys z-TFU%FNA%wo!jcw5HZffU!I0If3Mor66=NDMf&3mejrD3!R+JyA3~EKb=v}XGpwcZ z`66=i{~pn~n!wKESC^%XRwn$7onItQTP_!sl_HIpdlbL)n><(%3}q6w{7(M*4f~e% zOld>hyDs#iB)YM!i}d_E>AH6Hsp1m@85p_#j}9ZWGx>n<;ega%N9E$J^|BXlxc1C+ zK~uyx;UD=|+KNj=%)l~ttFK`(Y6vMaKY>GzV69!L)SF#-x^fGR1oj^3IoJ=SPYQbj6>Aqov0e`fhm1|KT;R7!Q=5X`03nr))nt9M2e#;y@EgtQZx655c{Y=6zcVXfV))fUVeBf#=AWCFKBPON%9s$7Os!X(v z6BP9LYe`4r98b2p2$ZrqGvc2(AVMLayqrl{f*V{xRT3B!?)i}6Q zW0uE@`6?ou=$zjAzT*?I4Y~E@mB>ig`~*?br{M%ef4B|kh&VWCZpc1vbd<+iC<-8w zVRDly9yWNCgS--PbqXJ$(=;0Pv$9n+V96UJEki5A!xbCZ$8+BmN!`^vK3-a#p;M{T z7Q~xM`&jhG522_^B*k7~$6#)R3i=z9EmyPIFAYb4W%Zr^496&`AhWjqe$$yQ6c4lZ zn~0{7(b7(ag$_fW3S1CbW=5m%TUo>J6J;^RflNbt4_j3+zeaVYG+cTpPU*}VSbeEJ zMWo#j*E*TKu-f7KjcdwC3VUIZ!}p1L=0Dm3GPz8|rfV_~J(|M$HI%niaW##2{Q4H2 zm(4e4Cf4UBtX_}?^zilbspk3V*|nwF9EV}+G;;#|%+vj05fXlAH2;CccsBR( zl5p#J*X|9iFnhx#5N`~0KuJSz`CeuEj#LL_)Zw}J9rr6*{Joqv1Pggfl-xgN@T>tD zzd?5C6TxVS_NTkZ1Aq9}9Xvx-WRpVi1QtJIYGm^mpA>*^EWkGh;2Q#X1ORUsfZ-;; z1|OY}O`9DFfgT9mXUbtHTNd_E16xXVOl&%~ftbwgOm~J=iO?;SBN4+m=*~e%Mza*! z;MsTq7-kBF;euf<$h~M8lf}#RMya^2*ztRYpd&BP5i;mV8sq>13giI{x_IShh|CKTxYzBp-QCe0vNjgtp9XmR z61>qdm1{eE)2NiTrF<)Ayd0!IH&u=&62jFti{~hEk$x=AwTiyOl1&i&Rjo4z9Ye{^ zKJj>TLV(&D4{vTDV~PX0ex;7JVBdIuMNTGcO?S)+_wDV)C&jmQR`8S15S?#QJf^oy zNUhc+uy!A06hnm&JcjOl%a_7APV5`S0Q`daqZzkD*2BeJUko4yN zw3ps2mC@Xr-rSqfOp7*%1+yT5(T;Xaa3J{-n8Nee3C`(-9&ubSGCEu1A?m3 zyy7jQ$M4l{N!u(#xX3Q0IGgD_1mAVf|YA%1D=8M8!Hb;UA{zzm;JVw*z5__&X+$et15atUj!sE9btLkW4QBTT(c7%bfWd2!Q=JDPI$QNON9m3l=hf16m`W^xmrhG z2D`>mB4%s7A?s%*oVb{k^Q4R;fo26SN8f)|aq~PzU^KKv`y~NRl+(ojo%Bl*>&l63KGK{~93_w!s~`n)74SR>#a> zaOO=|sf+D}P|wx`#7|GjpuppN(?_*jEFf3*ul&}#9;+T1lG-i}gg7K-=nmD2A@y;&)|BJG6F$8$1yfexn@yN zn`D}X95wavipVh;UQKBa;&f)7>2+eB^?zO?c?s4f0xqaPh6L1#Z*VwhI^NB>=1w(u zLEf=tSU0GhS`|!eFniQs*dKDANC?H75O>;$_$aAn-hiwwj=wiF#_9j9^9KSKMnkUu zZ9?ebVQ(`BN?mP7PlkCQ{XCE=2bk4l>)r}P(fZ%5oR0%OQfU9d+v=6f9A^mM8@i+w^R6C85DJvOAn#_2$3lMJw@!Fmy~>&Ql=@0VL8|e~z)<>p;|A`y`D> zV(o7D+F3|8Jw8jD+sev9M-CXLE**i}kG}R5Vj8|n~Xp77i;v484S%B6EKx@2- z7tqrRTH>qME#%pnH+YU1EaSo5zY54`j!18g@IDajvAAX0IC8up#<&*3xJE7@&e>D| z9WjHBY(YmPpd&rdQ5kZ>dlG#4>Y{AEC$=5m2HMW&;B;c;cqMJ8pN2`yG|& za-@3_eiLfo$stAAHk2O7@j_^Nta2lO(UcIjEX`Ek1GTm01quu#MwJM(rp)S=!`bGr z+2$|_?c@mU7zypH3GEm#nlLb$STUN&3W(LYqx&5^3GIM{cB;8%zIExxy^_bHlE;IR z$Er->#&Wu26ZuX`&+O_3;>LkN-^IC37}|1#LgQMNlSmI#?aEacL}u0~lz8qfjC!Mn zTQZmX@uGMKc==6FU5g1&yl{8U_4}4=HFf#9bmsyKmyU3sg6e;ih$AyKWD=o&OE61t z$y&n*#bKGKwkBYo(T1SM5N8N2p z`BK9>PMcT{O4aRWFboL{lLEtf!7y_$Yzqv-2g5YMum&(p)f=*h#!wnav4*nD2Q#yS z8K(w4v#UOxdP9i3A!%sg)987i;p~(NH;=8VP^J3!+$&}6KN<@dvp!la_lcR_epMKV zO$gjXf8hn*@BnX!Ku5L6y%R<5MYM-HaW$08nad}RpvhP;i~;1p+wDPHEqqC`oEWPL z&Cnv>;_i1IAf7J$4GI6~e^X#{E`q1{+B0Ba8F%5|g1#~AV&Uc02!VQ9xFL8-LAphW z&~Kr;R@>Qb80sdWCkOk(W&Q1k+P6q6n;hlJGyxxmkkFQvQWc|{*{0+WF|OboN6YTN zqmrn!-a66upv}MAcMLUaK8ygv;?cr43ZZosbp=+YNriD=Db=z)07{)A=wTG2_c6}j zB9owO{xy>hPw`QhA_>022K%l0hLx>_+8S*4=os$tRY6aY<^{YdrxW1;FIpS^l zTLHlZxUqGQ2=R*{$kCDbGIbHU-2a=PVmdgX(<Dh^th4aP=hTtiZ-dUWxg*!1uiwz5r+9M?6wCC>2hWE0 zUE;;GTMF5cn74bR?JHT`fSHYqNlNUtw78De1p6Uf8HV~oeSf`iT$6raejeMNO_spl z8vnTb>3M5*<+zza$=?fqsygfFuFI=Y#n8;>@y|8ix_+mVN&W!_CeP$7n?s;5Eihcy zIl(*T>%T}Vcg%cEes@D)+cuXse1qWr7gKz<&hD+o1@Rxt_b1Fe@rz?T@yt9If4$%2 z2u>jy4Lp8pzxdI%;||j^8+pHSH{?U6`i}APf?USFD!wq91t8uu;Zbe&{{DRG7IEfW zwuMabv%y0QmZ5?EZgIlx11x=R=k!X8?sCih1>sqOa`=#E#BaGBRM5uuD@T?V+WCw{cd@E^+NoaX78XSL-4JvWa#lZ zfKv^5Y~Q^TCr_obx?ziDk@f8NUw6S)^*@8Pxd%SBHHn~7 z7yupLG&ZKV=15d(vzpliww$bGSoHdf^B1C@4^NOeT7?G4Maezs$(<{<}bkpV?n zB-2OV$*Dxox49!ncYW9a#s>a7^1_OT2^QxyOS@IR(22ZNeopqTLj4ebsL1G2{jAWQV@FD#I- zlMZkewl=UYuE`Xgmv>`r_>dG>@T69HrEUer_YEfS8~eO$BO0Qu5ZJi=^vC?>#GT9E zyFbgmx2xc@>u8ezXMNe=vMCe!w{b$4BSa4 zHysK;V){`Qze>|B1Q)<}>zx>*DzTi9F0n+Vb~iftIj=32)aLi)*qE^xG-FMW#djk} zG}R!_5Lrk$L5x_5lerrnY$~3`9)U>nW+*fO>#i}yCP<4# z3q^NbCK$~Aw4|QpYVdZ;$cr3W;ROz-93J;pq$5Zhq&7M@PBhu%EKO1c#(5qlPC3_98f|A$Yf5W`Vq%vg< zh8*xmDk}>5S2|nWQKg(X_(;~M8zKb)p<54(T#9B8j{=2f0(Kss9`)=`rmQXamI9bo z*qyf}p^T_psmKK`Sy?|0v~pUTysr@?t}Xmfc2KS7R(qk{LqDTgb7kJF;Mg>`WG)>0 zD#)7JekPA0OtWfh?egy*ZLzew*u-A^!Tq{*$Cz5_*%LR`!M<9lxFLk=|(dWw=J$Hsm zG*?UO>LAtIrGB{^vY|pK&k&}(`KNl_AfIp$CEV(k2J@6mz&ZOr9`g^I?KG5=5_Zwi;xs;1M7S}brdc1Zi2;!o>&JY z%m4#%PsCu@HoeqVdaq8Z&<9bj^3Qcd8$^M!or54+^>?kURn7n#5SVU`)woKBdki$m z-{w!)GDRxW(iN*V$$ZV%*6faZ;*3&>v^`BrMdT*=~4C2b-hCfknKA^DNNaletr5oNEJRG zthbGLck7e#v9@CKyhSM=SV-&A$PzOnvY z@Y0Dwi;D!opKd|djpArtr|-;>HeUhhmfDdJvDeDpRVkkqY44J!O`&`!$nK~E~{ z(SNb&cDm0?Jqexf6;(=0lPVrx`i}EB6lx}9C^(g7=Al+yu z8Y={QDTJpcDY&L&mH?I7f%P*m)IsU}P#Q#uKn!Q7U`$F>VEe!z`q!OVp%x_b|36SH zEg{7tAtB+1@%Agz1U-xy4b27Et*eji-oaMA>$jod35K5r3_-$-M?UJ!th~8&=f|U} znqgs{okwS$bVv(Ki`(T}XUgnYT`xlQNzkeg~ zNS;M7d_yu@blSiB8lul6G8d#O9RI<-_k~@2X_lTKVfY$f^JT0|3wA BTbKX< diff --git a/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.sld_design_entry_dsc.sci b/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.sld_design_entry_dsc.sci deleted file mode 100644 index 7ef0f30be882876688803abb40a778e34dcc858e..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 276 zcmWe(U|?9w%?KnJ7_xxS7)Xbu78Pga=P4K(>lx}9C^(g7=Al+yu z8Y={QDTJpcDY&L&mH?I7f%P*m)IsU}P#Q#uKn!Q7U`$F>VEe!z`q!OVp%x_b|36SH zEg{7tAtB+1@%Agz1U-xy4b27Et*eji-oaMA>$jod35K5r3_-$-M?UJ!th~8&=f|U} znqgs{okwS$bVv(Ki`(T}XUgnYT`xlQNzkeg~ zNS;M7d_yu@blSiB8lul6G8d#O9RI<-_k~@2X_lTKVfY$f^JT0|3wA BTbKX< diff --git a/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.smart_action.txt b/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.smart_action.txt deleted file mode 100644 index c8e8a135..00000000 --- a/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.smart_action.txt +++ /dev/null @@ -1 +0,0 @@ -DONE diff --git a/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.sta.qmsg b/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.sta.qmsg deleted file mode 100644 index ee920d0c..00000000 --- a/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.sta.qmsg +++ /dev/null @@ -1,49 +0,0 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1571925475933 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 32-bit " "Running Quartus II 32-bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition " "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1571925475935 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Oct 24 21:57:55 2019 " "Processing started: Thu Oct 24 21:57:55 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1571925475935 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1571925475935 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta three_line_to_eight_decimal_decoder -c three_line_to_eight_decimal_decoder " "Command: quartus_sta three_line_to_eight_decimal_decoder -c three_line_to_eight_decimal_decoder" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1571925475936 ""} -{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1571925475996 ""} -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1571925476237 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Core supply voltage 1.2V " "Core supply voltage is 1.2V" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1571925476243 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1571925476390 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1571925476390 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "three_line_to_eight_decimal_decoder.sdc " "Synopsys Design Constraints File file not found: 'three_line_to_eight_decimal_decoder.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1571925476756 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1571925476757 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Quartus II" 0 -1 1571925476759 ""} -{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Quartus II" 0 -1 1571925476759 ""} -{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Quartus II" 0 -1 1571925476760 ""} -{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Quartus II" 0 -1 1571925476760 ""} -{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1571925476762 ""} -{ "Info" "ISTA_NO_CLOCKS_TO_REPORT" "" "No clocks to report" { } { } 0 332159 "No clocks to report" 0 0 "Quartus II" 0 -1 1571925476773 ""} -{ "Info" "0" "" "Analyzing Slow 1200mV 85C Model" { } { } 0 0 "Analyzing Slow 1200mV 85C Model" 0 0 "Quartus II" 0 0 1571925476774 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "fmax " "No fmax paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571925476776 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571925476782 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571925476783 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571925476785 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571925476786 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571925476787 ""} -{ "Info" "0" "" "Analyzing Slow 1200mV 0C Model" { } { } 0 0 "Analyzing Slow 1200mV 0C Model" 0 0 "Quartus II" 0 0 1571925476802 ""} -{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1571925476867 ""} -{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1571925477814 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1571925477846 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Quartus II" 0 -1 1571925477846 ""} -{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Quartus II" 0 -1 1571925477846 ""} -{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Quartus II" 0 -1 1571925477847 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "fmax " "No fmax paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571925477847 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571925477850 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571925477851 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571925477852 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571925477854 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571925477855 ""} -{ "Info" "0" "" "Analyzing Fast 1200mV 0C Model" { } { } 0 0 "Analyzing Fast 1200mV 0C Model" 0 0 "Quartus II" 0 0 1571925477861 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1571925478019 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Quartus II" 0 -1 1571925478019 ""} -{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Quartus II" 0 -1 1571925478019 ""} -{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Quartus II" 0 -1 1571925478020 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571925478022 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571925478023 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571925478024 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571925478025 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1571925478026 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1571925478218 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1571925478218 ""} -{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 5 s Quartus II 32-bit " "Quartus II 32-bit TimeQuest Timing Analyzer was successful. 0 errors, 5 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "383 " "Peak virtual memory: 383 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1571925478256 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Oct 24 21:57:58 2019 " "Processing ended: Thu Oct 24 21:57:58 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1571925478256 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1571925478256 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:03 " "Total CPU time (on all processors): 00:00:03" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1571925478256 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1571925478256 ""} diff --git a/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.sta.rdb b/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.sta.rdb deleted file mode 100644 index 502c3687d94b6593e4768153c76b7644536fb915..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 8343 zcmV;IAZXtd000233jqKC0001L0BQg;00011WpZ4R5F*Y(F zF)%MOGcPhQF*6`jN+4HdVjx9iX>@6CZb{1k000000B|1w000000M`Kk0000000000 z0GA*D000000C)lHU2SvR$Z;lpb`ouz#P(ehJ8_aRbr+t_B8YuoQZFfr6mzmAoh-_7 zE>4OC?t)yLc7fFbD~eXhAM>yBr}8;f&eQV(3}yf>MDs#j9iW0181(c!)7{fOGZ+lN zyRx$KeVl)SlaAHZm7n0}Supi~weaJF;VKAE*iPt8&VJ|5Ig#<->R%z@2RJ{%`D2_v z#Ys%nNoneuo~e`J`eU5m!MU=^Ngnzq-h@4ll3?gls(Gyb4~Tc8+1Wfe87J)1-5adA zwbk5cxy?4)nI!()W4qCO7R|jRh{DE~(PGXPvCpF8g2(GI8~Jf?60#(UCh<)+iB5tc zJ6?oC${n+Y7miqzjQu%_qvPb%oBNECBspCMNz8U0J$b@r-aH9GD0nndCO3HwFFa$> zBAG1`b{tInm>tifDV`wpn4ti69L@cch-BUPGzf>2#fYv){wqj=&F0a`+?#S$M8FbE z7ZYAV!R$s>M#GEaXc%~jKVqY3xS0B3A}EnB2vN#Z+)`Ei*R#1F$CEP_FOI(QhY4#W z5k~_-PGb&y7=@!iNJAo(Vtyhpv4;Q{Lc)!M#Zf$*2QwmOJ12ABr^0V4xj%wxp&Fm< zOYvqoHDaI?^7dx4Nig(|CO*S2FTu-rrV_fz8mB=rhG;=H4MbgUq7Gid&Xn>4h#QPa z%y9&Uvjr?f7)3)Dtx%nidCaC>xH$HP$zl#!9-XOrkkY}0CpDxjNVc#8ZviCp;CCeZ zAWr7N(IScFvBTJtiSMDnxql1`kuq**RZOeKfgUgB+~!7p;suk~X^1w0#`!Zeh|Yr?_ zq+7@8H6$sATl7ngnbHZoRFclzJ&*UFox{6;@4knCB0-Xt;0ISMDN32W`MY}IhhQpYNwH1Gx925ZJof#BJ>`aQ9zbq&)OhKX{656x z&ghjF4&h)PY~QUQ^4sh@mVF=sFF#GvUG~_+)UZC zxfjN8WI6lp;IVGshl!%f7i+K(Mg9nx5%k9dvcTg19FL{Qp6%`);4VG1Vw2%8W*c!b zqF}J`auGn#xf*LMM6r*+22E|`j}U1nVjkRox_AFTR1m=gQIHLT`EU^=^E0>^KSn@9 z6jfmvZXbOM^Z_V^`Vnax)et{D_$8H-9vb3#{9;-KF|iPcpMnh#hf%n(_hiRL{?s4( z!K;hHUL_0rb%>CU;N=A&s`kW7#yLHAK_d0M7|wuR#FD(E3wUt+Jlc?b>CKHB+}ECf zLg^63{D(DMmdDXYh$h~NpQ|^|jsFN}GDhd-Z~_4xCefi!UD3mlKMbbcgnr?R=T4k> z&dX_h@;%W14P{*Amm7J!9|!{LSpDz6Tv@q2PNtK)x5vIWx_cYadBNuXB~ce{v_d1|EqZY?(I!Mb+@6IOuX=90fpXK%Xq8_)@!^_E%|QRbBAI65WDn`|7QSB9$_>`mjE>R~%4esX`p`@8qf9*mIX=j$6H`}z$h2oaq= zfA;7hyTd*$&vFAc14%jP?#w3M8M||bt)Zd&Yd6@xq{XuB6w9si%D0$Zxa74plqrDR zYP@Y^(uH^luP#R@Z|!cQaTJZt*c;Zkb{vJt#<4dICTH7>AJ4pTH1!}*gpiHcp9ja1 zDn_?i(l9odC8*tzH+*q2j~3x*V;D`M`8GQp2Z@g-=;fXSVM-3p?ybh=XV;FRIoh6{ z-kiOr-g+=%*Zcchd-t|(eYVLqKVyQDVWcvHVPL4_$KiUyPNO-jmX9q@$9~9QNznwR zeBwCHXPb>{GjBxWGOdKx>@|s{F>b;(TD;aa?(6MlZ)dlA3nGy<5z^rQi%Q{9IdjF` z+vd&cjbL*9`1tr1ipEoo9Rmg~cOlWH3t6(ajd2V3+BiEnyLlQCH%MmaU{lxhK$fOtP5VWD(9uz(M7}NG>Bh9-%FbMx`XsCJUezQpzNF0V|`y+ss3k z-8-hZO#OO{iD&R)G$K3lX#fq<7~?Q#YK9srD{1;u=F&f^WP>>KYS2&%gJDiC640WB zU68#^yjcv3R99Tdvfk&@*;c3-;qHL`!GtYkgD6YhnE1yDw=!;Dih6^aCZ&sHRl`*M zXa?61{?R4&CL7)aT@nyagVAV0&4&C|no4=76j3oK(>UI+0z1CHNBd|Frt3nBxL1xy)4b<- z^d!|gC>cGLzj*exAvron-kh_Qp7%Z@E}Bf^+L-rnP&;~xdqW(VeQ~4R;8ZY=(Dz5Yi*bZDJNjx7Qx6+m zWpppUQd2-Qcf(|@ML&UT2CZ}yyb@AKi*Hf`A<>#q;rcFc3ZxQv>QOBroP!>P0yNDN zp)a4#V+n^ssj8z3e4~jo_lIN|ssZL2?>0pvu;WCdgy@Et|3O@mia4G`9$L4&leW|M zr%GFYa8oF|;^^ zS4AS1T}JF949+mB<~7rN{F@B3f=R9c^QoAep&3TRc$x>~lAT0w3L*N(jAlJ}O9nB` z&ypc*v)cd>vS4&)jp7{o61P3kWn8M7RY5lJ$f;nOwtMcTND$hH*z$ADdw_lk4a$c4Rn>3 z>rvPW*_*U2a~^s@GM6Ajr%v?$RHN71ymy4Y%mQ5?0bDdS-s+gRHjE|>f!r%74YgsRD=7^r2?jj2KdjjBFO)- z95Mo&$X)@qSyVOXpPU1I8ok1+%K~Bldllp&cO~Gtf~w(emg0UCgm{@aWlt6p_>kXF zH@2t_N6YIlot;*(mvaiNP?y!!m2&ej=huNbJ?`U5&ZJ=)OHRXA5Vy%wVEhiaF?rH8 z+{S14zqmy|LL|X#;1OJSawez#DUUj6c3482=142RE8;C6{tR5<2jjDFIG#r#W>+wm zJw`yu&-&484xlG$9ld%zQ#v#G02wYYGg-*Dg5Ckq^l~YyQn&nTVEp6Ua&Z~e@Ro{H z_n1JK9tXHu0{48UoTAlBs0P+_Y$+?#Po`*b@!REqm%HTBlBxlxcWi}*`_#|*Ngel> zRd6qH$QtZiIn_|RWvI{bj(QEebx&g}ihpq|5k1 zZf3iwY+LI zDK#9^C+YB)ySYNJCQE_PbaQYOXjw}kdy?i13!Sv#hZ-> zVd9_6X$l*|i+M1-Y+2-1y!TKp^s#i5a35sVXOgl7w}oS@dZ++eL|`WQcaz=SP^XfKfer%6lx+K47eFY{GV5wF#{kRq{^rPbnkCyT7b_5O(qPDQ?i zA>YP3)wOTCxTCF2{QWcWS|{I9oxq`KJ7m$fsB|k|%Dm@wpyby*rzfBzMf|Mvi-@8w?hV3k~S;tFOYcuNqe{1+wTlIyL=_c$_hb~+mi96fO7Q-jgjNlNYj>(u4`q9Qx3+IhT~9;xk+ z|31B^Jp!Xw!d}l=3>A`2u9v@`Puus87ZvK~NqDs^E1K8{6OmHEQmCA@VuY7=wXK8n7 zE?22<61ha7UD6J#`7I2W=b?Fsd>*la>mquYg)vST?vw{ZeKhw8Oi2l(s#R3Br3an20R3N=EHmowvG6wN_?FJ+01U&lYkMkp)?3x!>0i!H#T$yo!L? zb_QLm=6Nl4oK917bUT`*%j7&gSavimB756X63I+wq=|+QS@ii5z)~58$;fg@Bz&;c zy#cZhEG3zYG)IT*?WHnm4`dxoMpj)UqNZk79jCozm0&WG1Ty=wr~;M)&8_Idw(3qS zJ5H~ob#uCKbcKlSY3kPkCW&}SoP*dq8X}#M=IGkaR#QqcQ+jqKpmr2gl9A5H$|i5uWU2RZ zNmmw~iKxtu^tRS@I<}<}BRv@8?Z|GMR@K^4swShH9SxMj&9Y}#QbsMU(dvj~vJ$ox zJS;|S&9Zeynz_KT+m_u~j8v;Lt7aLR#MagtEwrX2lkqhfmHMMRBjsSr8CmwB5XlEh z#8y)>5tZ3dSJMLgk=;k68Hgu=oZ6z9A^Niy2MKn3#;2jU#qlGYkFmQddq!I-vWI?n zl8pHi{#PvYM-0;i=Mn5$1T^i$IUHacQ*6xmR51QXCGQ2t_(K@@9yP$kf_zAG4LlF_ zRGVyIzebtU&PYp8>w7MmTNe& zsX>}s;Pl>n1AmSHcQ`)4sZS8JoGyFLpRCY4nTsul#T`l6WuI^6@AuPtsuka3R*;ho zZ-`6cgQ+ITXW89_OI*e{gFwx~RkhTBQhK=Q3Do5`Tx6OjtwmN%WGx|BGL%|m)kLO6 z$6937L?-(IwUt%(Wm?aAf&a<6nu`yYo zt%M(I-1Z;t9DIHV)5LjDVxATQD}lz!3LimpFAD7{X4dh>rhXMohN)RMA6jZXSjeb; z6|H0>Td_G~f!DqDtLP#bQ~WH zeB{`4VHeJoXBztmIGJLVwsF&vPs|7*OT;|t>Og*J3?G`z-+6fVj17;N>)-WQ85vf z7-;&USZ23hznhG-=>f>7x8(I0w5DFgnT#yy5`@0fYicD7oTmLom>AvDWR$a`PG>2i zfm#q^GRir+&a(HlJ!hbn<(Q159hu7MD2tNT)0fCII+Ia}9pz-CEwn0Ulna<0d(l{y zQ({wZw@pOagjx@;9G44Qy)`!(NiCZNr|+I64z&a)qca(m*^zo@NQ|%suy|FX?!)tT zRH15JnS&7NjPiD*-onpc4QXZ zQPNcvvh2c?QJFtd%QFO{zFNGI6=*Ulvm-Yryg}146O)leKoH0uX?6jBq+Ysbh^}UY z>8h2?8IbHsNH1;YRMj1vICxYk37D>0h$OQ&3$>^-%E{PO3;r@3*_A>h4ah`P;^UDe?+8I=Ugrm}H` zV`}X4xvS8FJUg4t4C~rqw7GJY!9ks^XtXeK$x~dDCWzVi;CDpoYlaXaOg1)KN zSjp@?t8tr*O6;huz9b+ETIpj8MO86`bXi*pz=U8RhKARbALDdv+xm zsg9J%DDRIdXibd?Oh&nY*&JA5&qUPIm&xifvT}+VxLY}>ovvkICL+tvNJc8;OUbx} zK3hjrVn?o$sbr)&fLTpVL?w3A8fYGmzQwQ*T}8m`E?Mj$lhGCB$N6wfydl3-ucjg6baobkTB(FuiYMP@1BK65eMxR}& zO3FHzh^)F3Jy_<)ye)g|VKUOphY(q^w-Bk2Y%(e_(54zw5Wqe@K(Sf`F}f$@$oos0 zOo2!>-3&)|CB?3mFPe<L{bN4!EP%T=h{m48J&r!%#QRgsL)GNA}eQ< zw`F0``ZKF$sg>wSe|Z_%!zz4#FpNE>iw zh^``FV#)e4bLcp^4*d?`)->trRwkM z{z+$K<&@IW2R*={;%#}=3hfBkR1JjIdUo3}tCqJTyWk9hVA)k&dy|nCFd^)o<*sZ- zQXeKGE2or^`W#5&up6PtsLYP^w$?@Kw^{%((mkeOM;1Vf|SE6)w5G=6CZm#oIZ!cJDqRKcjIYV4Bw!BIypCj4{IWr> zTA3Z`{ccFc9&4D0N&;q2A3R_5bJ~xnIr2Ze%Ks!cZDd9Zq`9V73LerTY4MQ$Wa~lV zd!sY@LO1c*^JvVxgzZ21*BzFw#x9_m*i=*yWyd~gWe+C|JDS-KKS9o?L3nb6UCe-h ze~GFpQJtDE{kE|G6ugZ!<2FkoGS-jVM6_wwqbQ7$C=7;T1-eyQo~9g8q0IF!WC@W$ zBt)(geF!35;`Xyl;QF(Vk?&l2S0PR-rxR2Ci==JR6bW0Wp`FeT&*g! z-czlLt5t>Ue^T$TR>jq-LK_Lzs<>KJ$T3jeD&Q7yTxHmnqsXsA6=_G6`k>UA#S+c5 z!z+8Uy|h;>65L)cSKH~L=jv|hz1cP zoTrhrrF1$SHL4>%821g-y=*AWEtNo-FrIAd@~5;dXVB8q2wnAI-+Ky`Dv?ej74HRC zFh5mKUWJewj(YM+%oghNJ|9Ca_2kvLaU~1W;f`xY&eMt%yrk#KoqoswkqD4!BkK zwTXGB=c1!2yRX)uyr&36x447xc7C!7?|u7v+9mW}uNbI4EpqXRDpMmZlAJl9NT;Ec zM*5v}B1+7Pw9+g>L(QyDLV56)5A~q~DXEQj>U!EcD&+mcsq5q7(^PqVVA>V4Ntr&x z>~Jc3rH)oJ)sSo;ZrX_C_%0g(it*h5s~+-;6R>McZszKp6!M+{{XYNz0RR7Z0pej` zWZ+<6U}*Yiqss`SnShuZh=W|5;)7fR{DVT67`6cU2YIXvfE0rn5X0pCoxEI~Lzo$k z0L8u(nLOYE(jYU0fEc9T&ELnxH7FjUjD=wjP?*m#aWY5=2n#}$`MA0}`ao5&GMoX5 zp4IqR15+glRTUiS>+2X486V>4>`+CbFh$NjD3)?ETmi~Vs4CbGbD{`TrK_)#tBZ@P z3)Dz11|Fb9`u=ZMffNH5(21~sfw<1q$JN)>FN7QH9GQ^(qntn`ATwcJhA0aT3G(!F hkB6$}VJHBqFxIdxV*}D4x55pDyVKd%1poj5|NkUxITHW? diff --git a/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.sta_cmp.6_slow_1200mv_85c.tdb b/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.sta_cmp.6_slow_1200mv_85c.tdb deleted file mode 100644 index 3e394ba30bff549f1217de2f2f788cae5850a929..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 3411 zcmV-Z4XpAM000233jqKC0001L0BQg;00011WpZ4R5F*Y(F zF)%MOGcPhQF*6`jN+4HdVjx9iX>@6CZfP+90000003-?k000000Cold0000000000 z08yaZGxeV#%O}ke*_nBqcEkG>1IilMZ{%XiWI~hlve2VdG2@K+wYFw zojdJJQYv$jGw*qS=RLpkp7%T7ca}RRl}e@a$m@F6c6Tr9UG<*sW$RWi>t408XWggnfoIbk@Vgyp0)=OI zY1P}vO%_Nek)KZZZe-xk2mepPFQXjzM9PN}{?laN)B%`F+M3J#=C6GkpG?Vdz1Ze{4d1*U&&s+;C~VLlL?tvLBA0C9U-LWZ#nG zIgK*QR3)C_Cs667CA$8JUqlX`3n@RC@WGtDHa|}|qRMkIxN$_(@L!7V^Ao>-9Q?1Q{Ii5R z?!b+q^Bz&dNx07^aOdit0-jcI-%mtMzrM>m6Yjey&xDRr zX&!P{!j1Qb@E<2! z89a-??@G9BgQt^nf5P+rbbxP7xH5RI1iv%kwhf-el(!V{bb;TIaAoi;0lz)rwhf-w zQvP89&sE?*O1Lt3UI+ezgxfZF-az?#1w5|@|9--i!E-hEZ3(w+@VtrgcMEvl2!3nA zmBHh_?K=s#t$HNCxhASBDf)OCEvw1;ZG>ANHiu~&xPoYky)E+RRmlcX*Pn=qYw>-f z@W;J{KE(Q5|nQPTR0|JeFO%?-_+Z&JEx9SkHrBY-ry=CHIj<-v{?YMR+}) z!8$z6N6F)JDe8B|QS$t*4$t(XAysup3|M4Nv()@?17E7?)FAbx~)8}u`U|}mk5s-E|G4-i=>|uako`2m45Pz?4o^a z$1$r(9wXdNdo;?nZf?Y#)E-t#rA1_4iLB1ew!OG%$fmc)GMDU3n~6M9=O^@(YTfi| zom&$>2U!pCgff=UjLuA=$0?Ki8l zcb`R87h1hKuX~E>WbmEMdUq~~jI}0T(THljw&!{yI=*$w@oWXThivL4uOS=z50dMp zJ}S(^C7-=<@X0z#H|${ugh zupppOoVMbTtD9v2Gs(4ulmbWsNuMy1U$gd+kc6q(%zI7w=&t$I_ z{)zOZbJyYC$E#}XP1?AlF#A)1R~^pQ%>Yku2QrC`!a)eWfc`G-=s(G;|6%Y!Cn)Kw znJ6uzU0&~FsK9{$fp-;2Hw|F_Zc0RFe*|90>N;QD_)ecp!;El7Vx@R1tplaXHg8-IVY zaZX1abd^2*Q2&R|KVKxuY+Jcc=-P)`kCnAe-HX5^trU(gP)7g??T^! z|MPKf0K6Ui9r*801YP*E1?e@KUx@$Pz!!qg!hh$1v3Ab;GuPBK2BrRw#rz){ z|33Wb!=H!o<81th_^(0VfFALmhrSEF6Z?Mbcj5m${NDjSAN+0j@0^*1ub%aM=bR^= z=lluO@90>}|M1`Ww1$3T{_IDeh93T}M&F1Y^S=#!C;FB6e<%L$#{X9Q-wECZ-h%&p zqAEYxx}fT-KR0^r^Slx9Ki2twJ^dV_-!lC-A;bTVqJJDc{NIm04L$r{f&L}*@P7&V z?dakE9(d>CKQ0cN9%5qAtYYUBHs$sA@{n$00$$BWS2-KGHz%_e_5U{F{}}u?!Pf)t zCgft|7UVU^Zz1b5XElxZKLGzNIllSu?m%xt?i>OCz6S9*hsAs{JO|*Lirj~6LGDJj zj(~rl0sm+5|7Liug-_Xz9LUK=@oB{WnG~O;@O}f{h))OlR`f>vTN?O(BmCclXC-`_ zkyjw^M!p64?Gf&GK79)a-) zj7Q)(9Dy|~Cg$qBQi{cdiA`(|O*v66l`}2XB`ujW1e;2EPS)Rytd^w$Cd*`knU>Yw zQ@aAos=Ec8kjRqAl4T)ht>tijCd))NoS(IpBlolFVBY!T4tlHRf0JB<^a$k9*PjzE zMm~V_2;^1Jg~$hylaTD%tN$rUu{4<+|3RYeG1cR$Ws}zi9{(x|fAar1DVG0_N%j1H zN~%vMdtK!bX9i`D#vXH;Q`sY@M`dwNMU|@y%j~);Kh;_GxZo9yWv?*&3C^;|y#E3K z0RR7Z0hCnDYg0iG-+pL6Y-7LechjaerC1fD6ha8TzPCx9d3hUNUW!FHsJYacg!|aG)=dD~1c7qGa zG=e2vjkAPlbD1*Cj^=Q_0-X65uHrDwQ6o~&T%{I1S_z+&`|}npL1J?v0UQF+2`ADtqdHV`Ty7d%b6FhE z7@cSl`*O}ZTA>1z);a`PrXAxh<0kNqpAgUw8`GQrgEEA+k~+Zb{+xfRROpa25|+hv zi|H}=Plg$fYql1lPMb)*yY+TK;c=6Y_65^L&GNzRV&}y2SFw7Kl%MaMX5#|%A+j7p_pXTDF(2#`2L%MDmIXNoPvgy=IT+JP) zef3L$dn6mn90Tk`J|S74TNJp|@w!CCw96P2T}&scC8LT@j~FT-#1BJIO>U$!w`Oni zne1dBFm)w;CkXRD1s3v>De(KMHnO;vAaD?aaKF%R@W=HBDlam`(z)094*&oF|Nl!f(t`j1 diff --git a/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.tis_db_list.ddb b/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.tis_db_list.ddb deleted file mode 100644 index 33ec2f67ab22afad475c82dddaa37f73e977fea7..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 301 zcmWe(U|?9w%?KnJ7_xxS7)Xbu78Pga=P4K(>lx}9C^(g7=Al+yu z8Y={QDTJpcDY&L&mH?G%g7q^nv_t8uP#Q$(LJVi9U`$F(NJwBy5_rIpuB^%&}xjN?_Ixt^s4&3tNK^2^MPFn0I3OP(*OVf diff --git a/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.tiscmp.fast_1200mv_0c.ddb b/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.tiscmp.fast_1200mv_0c.ddb deleted file mode 100644 index 8681617f6a9dfa93b0b6ec72b10708517615812e..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 102368 zcmYhh1yGyM_dSeTaV@UJ-8IGCU0R$1#odAxE$$kixVsm34_4eYxVyf5zW@2nypzfE zB%8ZubDur;o;$mX3k3yL`3d^t3I+A^|6Mj?|6iLY^ocKG zz!#}Yj|rGxvYL{;&>p+4&-naUSOPJQ<{ZY|aEuSgFjU6)dYr%h90dNM17Sl7 zM=}-1gx!kmhDH!ogN_GQcReYobR1S4EoJ()Ik2&J2s!*HV{&tI17&AR{kB2|q-?{Q z_yV-kCSy@isDL(ld3FTPhl0gbIhR>35BEpZcLJ#!+nh+^ZMslQY+vwSY~vQUtcMJ% ztghB3u{vf-X!U*DPQMW&$cFl+RZaFIoeK2Lbo%2q^o@}kLOdp@TYX~czm0@QgeA8P zkU;j+UhtMP`CSM~$2aW43OoFCm+e9emB#R-niOZ`PxjFi05-KOj=)z zu%3)g*1-_xEVHpc2kl22Yw`C0nVZ(OUfZO_WPiSIzHWDd3~nKJ4+CM5uh#`zreUc< z!gl&qvTYVvMBnZ$&O0srsqSp6D)PIFP{uF5IihicU!d|5hfaR|G0XXF#RJ*0eiuSn z7IBXCo$=aua>(u4owm2RMe{p-%ynD*%DCD%}5*ReUUN0b(Jp32Kh12vnB-{MMbUCHGuW zHy0&ib#Vb<)3xka|^LVVW~Jx1uV~h-iFer6t`&P%kf3W)ZYuopf2GK(_TZ-yo&9QQ4<=UM9B5R zHcGiMbuIZ`N);45-ER>W-R0MW24mPKk>*U7U8l(KFH7$!1kmAoaJApmKx5UK9;6*E z&nR+L1y+sED$fXC@3;3XSS8mo2C6^o4-YvD=Ld6CD7647?8tesBwQao1(esboG<#UKDiW|_;*H> zr^)fF?*jUI2h!984V}IT?pA7f%6nh+!RzR~=}WAHN8{*Y$hZa_2eJu(sD(f>eY8n0 z?$#+{8&QXIw{0J0I*yx@7KJTZoU}7%Fm|xcW#DrwX?GSe`ZkA14JkR>B#(jcyDwO* z>%trP@9&96@@BV~-^Z5M#}>{H{@2F?*T;~L&)3IvE&x5ShTrtj2v0!^6kYorKiN#G zixnho>y}CYsElsJ-Q~y8Wysv+N7?14=l2S9?cf+g>r1-$k+fhoVv|(%kBY!|?^~o5 z8D)==s@H?lkTb9mi#)T!Alu((EtQsF=>GA=H?ODEh8_JENQ2*B+M-7c%tY#@ z?szIAsIo)cjA<9k0g-@wCWjn;DP0;nOsNp?vF zd~OiU+1(78y;X^ksFnv4fIL^a=T}5HYesbJ$y#n_?_IP}mEq5)$l2Q5?z(?3eC507 zX*?(K9B6t!+!Q5;19kat1;M)T`Z;ZO`>*pjo)N0-@*mvw@$CW!1yUQq&#RLL8sNVr zZqot_bIJ>S+RJga3xX8p~R^K=q;Iyi5}x4!iB z!t&Mr6_~$r)$ksD8^|m`ezj-^J8SrU&<%QD6~0*QwDv_`|8duy!{%?lnaXwk+4E<; ztKql5A5PeGyo3Y>p|{y=CK_El{YedUrncUk{7g3E^gPgjcK+wYHgz`yx+$P!PM{F~ zEg&W=YY%wp)r@A_IG+!D?03E&D0C-#%;h$C64+7YX>WY&zsBJ>mDB*h@O|kC^k;k8 z?ymN8T@ZbzG$gN$1Zh0Ue*YjCSfYY!wC;m(!wv-s;O3Xdrob=mZ$sTw7#}`vzG1im znQ!_8m?p^h-$pDE-!4al5Sj$3PQ%8&UvziRGj*`DZsR4dN-3!XHW6PfVu&{K1=a=rK_ZFAUmY~_X zvyBH2SO>w=>A*JHcT?kwjD`xHlnjxY@!3gq;9h_b!Vk0}i|IoQR8+v_OLwDxtIH~~s$evpE zJCD{M%kt2SahZ9xx~Ovt-+kE<(UI~0xudmeLz~leguLoR2Kw83#N9%mbK{J#!H=rE zb{B4Y0kcmHA+54&ECSj^&$Icr_}O$QX@f1k`@25NUc|eM!+Hd1Y;+??K7B;qQlh0q z*vABG@Ed#&bSiRj?%XMeerkR=6?}DQcRhXUAps@5OctcnAJx5;;b$**Spli@>xe=*+PBp4^J2KkHfGqGD z1@Z~eL6RJRRuV+PXnQ2`mkU7}_ZcU4^bjS)g|qj6{d3RNFOZ+F8V^hMkFOQL zaXd9gE6!hE`aL{GUTbaG zYx@~n0d$>%d%%x`$8`$tK?5`dGi3SqO{#2O|L4?+?K!ctpLb!!`{LwxVS%>y^pUQI zv)0yF5htH)JY_I>R)h9&V4u;F_Y*OWJ~60KGuy}#e8HRKBA@dvvdI&74KA-*=z1ec ze9{qeG<`?kxVJVsa-Wm|mjZL{oQ&#+A3M<&&c42{&pr9O%>R6~@g0F2j(9Z3u7=FA zLNu5Ao<;^?Ir(qNRV;#Z>azCQmz!|(ZMrNj=eK>Cnqq+bW3z9}2FPOIH`W(Y(BB?k z7iZsHlEJ6ro>I3P0jrhHZ{z}OS4UC?4tieC^Peoiha2|G+N}UU^XP`}ynld22IM*h8S}ZwK(Wdv;@5-?yVszq*KTk3Vev z;Fw;Z_~voAw$q;(;)}mg<+j8WV}IJRN35^#&9Ld*r0aBbB(w3%|J13{=ZV)o%WpQm zmH7hQ*Sl@WpsA|!es>=4*=}CY+fE%2Z zMs(rL#rZ@?XYTEZwvp^n{YemPrBbS3)9teXpB0PCHWn#p3b65dj$f&_0jRyL*Wk4# zZQkM#0I$5I?L7@Yo@LTCuD^v^7_^;q{ybjoNrmtWIUKmCfpz@-Ol`V0*k+eq9<}si ztGAu*&TSyPN!P(>?mtC3*N`h!Dw~y#G43DUKDPw(ezTj|ou3^eTU$06{aaaC&3Y~J z@3AV2&+heQW2l+;n}7a1r_l|NcV+UJ84|{u_0NAHR_E0J6s`Qmf&byC-TrZ~9QZB+ z2EcEfZ~jwKzSuz&F!~F=nRoLSsB+uFH)sx3R)jng^4&J`dT%Y_znXT;OE@S&o|PwU z*S)XL=o+d7yXKzyjM~BUS)3ce*IRt&Q-UYyW(Kq8zGlb8ZQg))PhR5w`mX|A?{SR= zIp2UG^Bnh8^MVd@7FX@v*L@<3I(xt#K16+^hlkqAw?saxtagP%;#{<^t{3=~?@Ge0 zw{3-d)~646=V1n9=O2mc_-ljgdtJE^$D`0n7r$4|7gq4f-WdArUBY#~&0Etuxkp!> z+h{%Ct-}+@A+^is#%GU2f6-{c#si)82u>h}+a_#&%_jTb-|Hj3raREc-F_4Hi}<_O z-0wsKj~_D4v_Rjr`F@AOSIDXVV#94B+lP3Z_z*X~H7rjHkY^EWJZ~yjzJK=>KR&v5 znAP{!i4Ecy4OVzU@E?7=d`$QC>J)B$!a%tDez_kg;oj+KoRxcgb-9Pp9ToJU3m85U zaUVBM@KPuqxNAHYkU(-eA7h2KUj8wp z0&yVqIdA>~z#WCjuSoYYMs5RT{O^=Pj@Ftii^ zMqoG+Ajwexr*igt?=->4aR{fqoT8<(XF@Ci=}`n{Rk$;~bR^DEEX5f~_1LxIf_sU^ zD8C>SN!E2tgm+O?CcF$JfQ~M$Q5b|_c*cEly+1kmg$!60BZk+EykW_7HR;}V`uB9D zSOwI%0(Qt2_H7nf7k0XjJ#E_vB$-B5eb)s9^Jt3Hwrqq=cG_=$LPmo&Fg>XZmin52 zsAVZDwVI0EGAyx69MLjTAL?b6GTcVwKuI&D6?A9;P<)6Z1==Cd91%;6aM7Wmts!Ej^Q)i_a!w$l!>=CNV+OzOVk zyd8G8;s4(8(e+P|D)qhpQ+FS&{Y=-$ex|bdpxR=>xgfl^H0JFS`}Q~$j2&hYNRNbc zlqx&3r7jy9`v~U}0rRX+hJ{L#mm+Oo2=Rek@&GDG2%RE==Eee*Qy(uH6}kSQd;5n( zK(JqStQcT@c&G-+pb+!3^eP@3gC$h2i5ftX0LuxhFDiU1snfUs&ZihjT&q8{t2DAj z8pVk}%Rvr{Vy*@ODH^_JQC5+s`5K!6q1U%ilZIZrYTMosH83bp?GqG5KARQQmcMxP zbsYoER*fbjC$q#R#nbrqaXJtfg+ZNS(xCJ?-kER2Ot_AlWb-QTVSpjHrhkGlE|(Yy zjW2W>U(i&-y+u{gVgy^HX@;a5pR%k8DxjRgc2uSSRqz_ZaX|%O-P&;m#3h(LVsg}c zQilPBr=4FLtHV?&$@dGtt?tV0d_O@}gZ?=x_f6VyAt{k{RBo}tG~h2ed3C~JZ; zgGd{|z?K`3m;n|II)JCEoca;qPeiegc(uk*z%H^nqdbPbk{ zo~iF>Mr+_Dw;gKmOyqF;IHweqSidb&nW*?Nb%r@9T7eizuqFudyNVudGP-79l&*FD z=_x7A{nHe?#%r=S<5!uxDTN`1q$=ew*2o^Xi5zK&>EF=9aP?v{Qo9>RDI==Tv&RZ1 zVwCbH>`78<(K0b?TR{7S_X@L-Et#UUt(zIBr63`11sS{f-_Wt#zQt_jp z$j{Kx)Xk75NRdcqsFE0GG4u3#x9_n61wJ>Ws_#vh*5}VG2gNFDbuV}wtlyMS(^w|9 zMx_3N%RP!3LFz9|dC9E?eKYwUR!c*Mv#QUZLFm^7CNldCS4T@GizP3CFaK%e9AyqQB_zT|TaEYkvWMw&WK_z{v%Ea5N8 zKg$x~9kK>w>SVPkb0*=ka4+4+#AoR=@TfG4Y>BRqYVeulPpL<#z9y6gQ8l8V+J^jv zaffLx=p|w(ZIC|7#wi!mcwirq>HlE@2Ze1oMnH-Jz1{AmCCLqj+IO|r>>HP(rIeH< zf&o!=!5K@Ar4E6U%!ZJEr_rTzc2%&G3TecU7Sn1h!YJLs^KDKgCAA}$S0rT~MP?OP zA7my_0j830HPa6+^KpEU$vwSnPck62JN-X98hngQs@tCJy{@zncV~jPyBolMz_h?% z=?q;&Yjx5BUk%wfjPKqJZ?=dmF0`<&*yr=Iz^~GM)Ld8M`aYMs(`WMybOZ8u7`@SZe9Ma$iu(+4~Y%mv_De5NIp^5^bWOAqHdS)022)`L_TDby6ROuN;@SM1U0d`@R6($S8E>0!PdDnHJ1F(O{ z9ycO7EYK1&Mx(z2*vhPWhDt&QGOLl~^upP1za$;hDiv}bS2YDW=kEl#vi+Ic{wsVj zH7BOQ@}%sb!O~kRz&)4OECE^g=cm;8*%d|T$980qzB%;)iaU0n;CHLq6zOHY5E_ZR zOQKj66{`)+NU0Q_xx5E^C;KufUdhEQ#h6KxivRT>sxHOHnSg2kk`W1Qtn}h&g)ogA z84@%x3S(8q7&VEd2scB#Vb8iWKy}X#!fQm@Bt#O!7_*=E$1K9%sod{(Vd%a zU{0;LnP0arMiV1%#5vcw=<3Q9q|~VUnQYL%6!6a;VH)%(#lBZTC>d#8#{6%bg;wy9 zU3>PU8+XS*QS;*WNd!&)!Is&6l1wqzLZw2XRy>0dVHhj#NLxIov7+V!J7^*9*l&wS zNJC7#s%zy-x9@Ag!oEGAvXSz0KG_6(z@eCuS!&SXDJ5LnkaY>fe0v?H(uu147zY&um< zZ%*YbIHf1`o5e@IP0LbGW_cN6^aNuk_;O_pl6^Mi&^8u#`UCfohQ237J_PJ!s_HQ; z@IpM3QFK)jLKn90c6<^G3Snr(&?j||vDT|c?&Ve_XFgj~kxT1`2mel!bBnuEq739I z(pry?ki^0*WcoT8_ggfvx5Mhm!Zyd@``XURB2u}m|}JLSwdyLzt-^a^{zEH60{V+)WTRKk;R3*-Mn z`e%Lu;4`5AQT@y0!H<|?ms64mHut7%N-=|VA|jW&uc+ux(1OwZLfwy?AQwM8YEGjU ziXdBuhQ+A2P&btasz4r~^h>$XF8FFas+tNfzN81jrfF2y6O+})AHfSg69^w+5eAWR0$Y@-XNy5s0vVcu&tP^pl5iT z>lG&D2=rmhaz9}JZtg;5n7Nj^+i%4(mU!x7$r&n)I5>~VI-gN^iFA1uB{-_#gm4vQ?zRs>w&+9g~eh7(>Od}nX zE;Z8(L0zwXWcl4F4A`=wUS+C`^ zhKL5(ks^I60#x~eR_nAyB&9yhOWZn{TSqQab-)6*qS)&Sx1w!S$RDr6PL5zMZZsU? zTces zV^S$^Mac&e-b1#8;DTnk-C>4Ym3qd>=4?+R<6Zod^}COOlMp}!%URtE{0W3u`pkNm z-6KgXHPY-@Cb>5FUp=XLBFPi7=?aAp-LPAYRcRwX{z9!@hNWUBI#T&kfl3(C2zLBU z?GHwk=$XvWk!ORqPdP+uX`gH}ur%z)w~If#!yG{=^BL=Im*6n3peH~zW z1LlivrD?R)t+(j4Eq5in9M)4*Jxu;krVh>mvaIl7CcQ1?@ODl`kKJUG{(Z$2rz~{x z&d**-%}{E8uHE^`54yk9Q%RPLADaJ?7(LTlU~S1~d%ETclgG(tpCDqrhcnr2rAta~ zr9(=t$iE^?Y2skci4Jo-bJ0Z-?x*X2=OycPM~WOjcx}JL@hmfwtS&~MlRqHX`!>mVN4PGV79sGX-gHBUP+OBmYc5gHu@scH5kM=e zI*U8~U#85C?HcBSJ;I~3w(0*utqK#$V-Oz4O&G;S!fw$;zLB}iD%s0@*DolWctmlU zDgFy6TDx`vKH{jyQzlxVW66H5`xCy-;v#4JKO+4_s=VW4QliH?i{?$Q8kvAw~8O# zLm77qd^9QPvMNyoM$)w^T5Y9Y5OHtG0+6K+Uge@Cg5_CkyhxH1Vxq8WVabd5DX8?6 zG2>6TsJ3r`uDV&svL8|rZTK3>v!V+`*;oF-EeNQ8i2If1${($H==vW6nO2+%*}ZOQ z;Uyv_B(#BR$Rp+y?om{K-nW1>(Jb-F(pBHN85Kk=M(lN6s=_0?j|(T+>lr`ffpg*G zz44z!luuvZA#zIZA6UiptB*8B_;l-DF0@1^7K6Y^LrZcCnu~ydYDP_ z9?nOQBvs<1ERFMSlJx6GQF@SVai0`Nyi})#K+7OL!x>&q06iWra00aUrRn2-(0vXw z&fb9XBqJxd_#-zOF{5jiwN3sY@M6~&zQeAk?7ZWf6(*pmOncIZzeLTXwJIlA1! zfuZ(&k}7SPDwHnPSCYRDsRXjG&cw0UjV=!v`)#v#JvbKyH`<$RnpLV}P{WTBn5 zG2BQE#r|*gymHgNEc2?)XTPyB@N0HP2L@_Vpp|D~ycN<-ml^^FY1ZcKYR-rCcq$X` z$2Obm+=ws?(n)OfMZp>IvC#$MhKmea&4ZRj1nag`s`cZa#zb?9;BQ(@ISZ|!qvbO2 z9d{F(cBTcR!%%lnl|BvQ4fU?axNR`zYV!bQ8v<;T^(XlXZwZIebrTKpf_{IVbl)sw z0t)}Ul}0!!rPqw%il*abp&+iZhF(G%N!RgAzDzHIH!tUAp#bJ1DVhC5`JGl^oz*Y* z;nlqL2Uj@Dtf2+WGVtS~r#{f(qEdv!q*ZqKe-Xcat76znK*6DObH$k+xg<+7|8Ed! zI>f!ax@4a9^$+X%4`k{OC1|A{=aOHsq(moWF`dt6$N zcg|WO*Z(z{NI^#9ywW#)D+nKTOzXC)&t|9cKBtcQAigw0gxxM6xvA{?l(dm1#0EWn za(AD}&wDR58ddktrNTL4vPJ&o*s3UX^?k?p*YnRQTSc8Ww4{Ybp{*Kvi2oOwKVN26 z%Ic^p?f#7Vx%6cyk!bhJDQv;EeXJDfFk?WdA05T1wUpOmgThNvkQ4bvwIy^dY~h59 z$C7aoN$q`~j{`NE zHl~B4uDqNA`6j_<%-riMtFi0X0;QKLsp@#V6(&UJrkLMkCh@<(grE=_={Zqy>N#-; zPt=7ChBYnXbFLe6sR*n~NjMjBW~r8NW_^lj0!1`nXi5J^#q?22b2D5HwwbxU zU&}S2vyO4z_zOE)(378xyi12G#)nL(6Y>>QX{e3I`W{OvUa`_EUJ0{*=n+nLFylH! zby!};|KpaF{rO)V6DT!gBo8mzOCL7Z`@^=ZZn<83R+p9Y3x>K+)Vg{;1S+KHVp@%L zq2|HbmQ**tmWNove~saAfnEPJA-;mCCpY01on*;Lhe6>_$w>pxsAjrtXYIIYMeg_` z_`hXP&6tz2xWrR6Jm)&QGI3?+A9y=|xm*X;=CdfvOZ?EOr5RwK6s*j5Q;;eR9&0M< zejJ%tdzaQ#*^)6*d+&|p+HiA*va#e={`9f8s9g>W`9l2iq1Hca?L@p2!8Yjm=f$zv zu<|n1M@Sbb?A3N?BKwW#`ID8?E&-%@F9;ug`B4ex~%j|!C$ z?!+f|pc8*F8ct@RxyN;IX+=x4H9Th}4HOD23ZFeON=CrJ{EwAnZe6j%DumH546A@PkKyO1NV$wFtYoxR&6L>7n&iv!B2=P8 zX4}R|&j&5)d_Ms`^o1lbvxFj4-ufV~-x*j*XYU=abUqeFF3Bc$JlHM)jBmq95iTmxS z_J09es^I(;=@XcK?D6GLCs(^;^g6XFYRXqy&nTp#s75OB*_oTu+31rU&(nQ76JNWv zZhhmx{DqA0y3*NMh^(RzoyT>;aOhQ`J*Be0FdZeBClu?V3EooXT-pH4${}8N_qo*u zscrNL;VeFBPUK5N1yIfkl{&BVf-EUJ-k>cvU|}~G@o~CChBpaBXBy1GdfMy0%Y*t- zz|hyh$g*j$lu6zfzP!SxWEQf??1fYw2A|V+aPLkmf|%xpLQaYUacA|D7SKl5V|i!| z`4`2C_dmLcGG@H|p#Ii7v{!==MmERs{~E6bsk^8NxhNWu@+&07wWFg}i*n`i9uL^_ z+?BMJigfTJMp#3>md|R2JzUn~(>C+#Dbqogl{E`;@(981CCX-x`7TUl;LZZZRBii3b<=+~&i*;TT zq!E}g=YHqPNpH-4E0ldJ9@YkzBqzS;8ojJWQBe{w6Z5b*rr*WXugj znu{f)hajP?lnt3jD(?*GM5U^g0Gi)SCd`K!RkYzj0#eFBHX zMcs|ozGBd4tvD@V!^x8Ds|*8TpE2M>b*d?~_b2GlMLG3<+u@3buiu&4MmM$nd6hJ5%Y^g;!`Hjz!}uk0mX##5|56oQ34B%; z@W`DGTapB62&(Y$6BGL4>(T8?a6__n$@2vHnHgsg?}_T3GRS_VQ35^=uuaxhM8(LM zV+Hj2#YRD(BB2tdNz5QCD;zmZZJ0D9!DX>v?#ITMysP5@QA$mL$krl--6;6vRQfx; ze@UwYIpG@eH}HwYLwJgkIZ^X$w&CF)ux+P%WDMP2f~-#9H9JRbK7I^B5FRSn3;{AR zX|0#KqqIsTDv_u0M!bVb+N2rSO&43A)ALx=BQUs0qPCf2{XY0^st5M45C`Q+ZC#V<4@#hx%HV_SnHej@}sT?cBD*fM{F zIbp{;eOWuu%_-JwrFd$&QzlngjAT+=^iw9P1zSN07H(&x zyDm)L#Nxe}O*c)^1ofl%EBZW!^bGV_sJDeRhv0M^0GO`^&*z zq$IzcM_(cv#iIfNB_xVWS8+^GJsCI8f>7oc z1eolRMuHTML1~#s+>#JM*_}bW8`lf&hKJNCBGKfZI_be}Vty|^N!)|IQPp0_(pH5+ zDFgg%mkkj`fdeFCy3;2|q!O!a7E$y%p)*~65yhXr$3>rJ`PFS1d+i<+lnTgID8&dD z4`*p@`T?t?XhC`VP%+_ai);vCBXnr>jZYAAMgq75ODM5Pa$m8oVH{zf4=Jc~&Ozf>m6aM2y+fp227i4KjK z*Y*j}au+w}G$LkhaYNLkg0waBz5kQ)im##*_`nBcs8uAXBC3jyUx*0AgbD^DKo~<3 zryrwWPbDR&zN;_W+es7-X%oJxZt>sS4=jDu87pg>u6+`68d|)jP@69wcI4h#wpFm z#?^RWSk~x#c5&MPPH`a(C+*W`Y)B5EdT8&~vaP+G9co^if`WcpeDXT+w&KK=`B^@L zE@Enafw-=E>nzlrC z0A`$Gmi+Hpzp3;GL}M<4AK7eASBn*`kyRszEXOP%+V4O9O`7MTy|x=79p-l=eQesa zhdTjG9ofHr-WktQk%omQFZdB2%LxxNaz*;dF${_%ZzT=Iau}8-|333Ar+)_()byM; zlMac&%K-?kZITpFfJu>4NaPQN!_VM`ozK*ylK1L9(#{KRZVOJhCDyj4nDDm>r=|oc zZVk)_;UpvS@0*(lm=O2TtwMlrzm^`ICy6H86k?A=wmLAprCW+W=^7djUDe`+V2594 zqPXA}fRcRU7wj)6u-O=$rGX<@@DST~)D?PCLFO+FR6@LRs>`QXUt{E2X=#5xyKBW+ zt^o2-&Gs##*dEj20TBPADg^lI;(=lqK(>*Wh@+jOTc9JQOUG4IdEe|Hv73cFWalaPX-7 zk}~CnNM9>C_|K~XHNqw<)Gp(besKTpfES~9V+~zw#qMD&WzP>(6@T$Xim$bg3K9xz zSc_u_T9r~SoDpwH+ZPVTn3fBv7LTL^)U~f6TL?5a_q0l0I-$S9U5H))!F%OtlWnMA zF9bv;@h_eLoOH(h`j)GdsO+6@F;3Y8w7@%{;g1Jqi-$!^VHJg0JsgeKtmg*Gf_1K9tri1z ze~s6l3%U{x9jXxTI2O-oNn5W~J;2V#L3uaznMy#P#F4N7(`-1FdCnA)7qqz_$hw#`aG6QSo6VUYak(}X5N6C||Z$pXR#w-&k>ZZP724JyV%z^0v zV=eT(`fi%C>|Uxs#sF+rvJcJqlv4)z-C1ak)nV8eW!Jp&%`yhGqH{SSD{YVv;e4Vh z&a37ncEd3ccEGJMs}IT_VkwmL=X*NfpjNBp4wgz>eFbYzeaK2k2!B zQO3A z9wUQAT2-$oy~PX1TFW(KGaxEUfZV;`>sRu2a}Mn4R+fg%1)~hpWiK}zg91rQgK;++ zkDrIsZ{<^5jI>HO1rW_@36f=^S(L53AVuY?m$kLNDa;iSEtG)KRUFx@M)>aA)*u(W z9ENaa7{~CFdJ$2}%`gePoKs&{#Gxv;3nZ5vu7Qi`r-FIKaZ^UeL5_YI^3(hyxUMRy z!N;_obghfeggJT<7+h+=&>#wQ!REE9Q7+$=;0JYbrsMkj1Xhq&Fp?MvTta-7Q{!n& zc0TRj>G~1>c-Fl8+R#V%ch6y?Xz-yHEytX1=uc(~%C!XdcXuh&KvwDy^BWYYJZ445l}$z0vPG{CDU1t2+A$~+b1=_gg09CgbxOopuTMKkF|f( zhYXh-Ib5U-A6zT+5%ME#6Zc2&p7Y$!cm- zysiCxGTs!j*m~ySz5mE|HCAo>$wJ^huSZWa85csv$3I30LXG~0)y`}wzvuApM66@Z z`1)&d0kLJlTG$jA<{GlTo#ZPad+iliu9|b%jdf^HxLRQmfE~oK!PtlHrHpOvMZ zd|D35Kfysbp~8?H{veM_I1=b*Zv<#z#*%OU3u<4^-|LFoTTOT&z8X0Y+aVUkaB}?W zF-%6r@_t))Q=ulkGQSCn;F1UsN!|8uukgp$hW|n#{9L&ozI;Z-S|WbnbOJnQ@|r05 zC~LAV&RblC?gjc}<`tkeG)zuQgBbx~#DKY{ae98shI4;Y-{mvgJvX=cUcu&gc^zp7 zGhd32s&>g+Vqp@zR(;a$crkJypIlXzTw6N;&|Iu;*!ocfT)G=muQV-*;Q+DBty)|f z!b-7nwdKwCCI?e?Zq`vZ0}+A`yz?>s$bNxw9Ig8jSJqhfrx%)${6orQBUH|B84ADJ zUUhhXuTpKij>lCGge~2K6x(uu;Adnu=xvec9X(U3!wE?8Cjb5M9#{44Rn5{HW)vW| zrx>SQsOnD_qG?>$UGM{&+!23M0AtXj)xSh0#)Yx>hkY+R*$|azzZkI+SjF$r(9?V8 zteZ-Vk4v%8k@Nw|;vZ_orPcefertRaD3BK!8#Dl7|KXbMk^1X+N_n3ytW@2I0ROAF zZgOI_F3z4L`zPtFf73O#RhKDabl)-SxF=%9fTj)6@M~!O_X!&axE^t@nb#-g92we>TeDex*0oZI-yf8&6l5q*FOpCG8Dxise)oh? zDm*ewiAbpD4WP{D`%JQyaXl(BuR7K4%YKJ?J7VP^wpl-v{*1z^f_+u`3kqG>k#>2Z zfxvBnXK(jq=g~HIFd3XxAuP;OwHm)5BUiHRQn6(=)T(P4LUA~1W$i~1_MZ-G@eH<3 zA>txQ0Qv8UdBA&F#StX9U|;U?VX@qwZC@|HY{Q2gJP>JiacLTHX+j9~x;QkAI5hKe z4|YI{sua8)>aULDcQq}_f9rrAilUgI4~}Q#A)&oXlMU2{6nbyAq?m9j7SCHH$CyUy zhslrH+S2q+f5b09wOt6FNx+lv9gaemQKC4q>p(Ded&N5!ke2`s;6q{%OKRzOvYL9L z4XZf`agm-U44r&N1D7HU&8uC(wI>xmzc4&6dfUoSAKTB%1jxcqm!s_}oQwRNZR7Q^ zI!Y&r?AxgnfE=m_vtO5#a(({QC-F#y0khR{g8$p4^pw`66{D1sh?A48-x&t|OAbrY z9_ab6x41Y>hk~j&IfPXQ#N{W5cPw$^1>3{Og0K$_9PP09pr|{m9aBtDC%-Vd5&bY# zSr|CEqu07kZxrC$U>LLK-@VkjKusuhQK!AFPnh83iL@^u9-gdKq+W6a(HTw;Cx1Ek z+q3&jlUx;LuS!((u&P@i$8Fn|kEp5+u{&K*0!CH) z&GQ3eid*)TU>kn*skXW%VHRwP)CI_c%S$k1M?&xPCHgLok-EV)015-h`BZ-e2bqV4 z3BwMn=N{F7%-_=I4~nUf=O(#@#fRL(V#<@;7>FpggRe>>VXJzV1lq=?5~P5?&F*g= z${B>-c`?^ai+{>SQlDQ@HA+0>es4jaWP2ErK;LAtq9ek7U5Y9w%w}_Xu=pxfXdr99 zgbJp%^|}!KyxrHDQ`TN{8sgH-MOz{%__dGW0V#NcOO^kHEUjnywBC0%+zP%AkxK$~ zyifJ5Tqdz}={4&!&T=x*FYeHnjsOY-P}c0VT|4W@zr604M_1TdJB<}ziADlnZsdx{ zO`g>rPuk@k%rKv}B7EP%p2y}MJ^eY`7x$LY9(Bv%Ai(K2XRGKaWrk(39;Y(_T2!ZB zG`K*|4dFX4RVWOQf*uTm216XPQDDq}!IByU{;G|cXZa}>y9_tyY~`Ca9friC!{1j% z)vF|IJFP-41O zN>T4N(~Sj^-0sV^h=I7;e**i$mBn|2Dta~by4eTB-x#srdUyWe3Bz^$7oGTieOkr= zNhxflZ+XJAt>mRwc$&=PJuZfGx^8wF)N90;-iJ(?MAjVpu-_%g+d4CE)rfxFgy+`T zouR<%Y>oS5l=?dTzVj1YCs=5`XVj~RtwT89u;M>rEdF+u{4_JPwmCWRdNP`P#5-_wq&g}9Ao-Azw~|uUCa8u>eC2S z$0;xK8C=4&obZc1me zrah8FUoPp-d@el~IBAw&HC?S~J~rhQb^eDr#RzH&}Y7GZAM{OxAs5;Uln zQqp{QEJS|-qF%#Mg?rK!NLCO%kPHPmEv5a~_-D#V(2LV{#}1{zh*zRgt`y`gOqBi_ z?#d!I$n*^7Oz9$ z0Bxd}W`L7C9qu9Pto=0%leZ~j!#RifM4pty&#;dy9ELDd6|jlMLp9dC_8L*fR*fN{ zXIGqqeWS()e5tSHQzoANP`>9Q|IUJfH0Pz&bn>?~LV)0k-zZ(}Y^rwp`ay$Td1 zXhsY?d}7`|ME+5*)9^k};LGeJj;2w6!2A8MLBjH*0Q1~eDqMs3#Hem2nQ@DX4b+=_ zUlOQP0mu0ZL%7jh2e+K;x&2Bkce0-EY)`|?e&ZaStV&j4O2{_fO?$=H2}=*vR?Pj{ zJ~?&C>FEJ%A(={$`Ea72!ELYJYgO8d1m>g=kC`=E4;P;jb>YY8&rk4H~3`O8|#2(uEnFgcUvJ{o!nYZ?riAz=sD5hmen@)FY+sI z+~Hts6*oTyu3Pbk=#R@RElqkhWy2-z{UPy_l9L~QT>b}(sa=?3W@($dZ78lBJX=iT<%Ui|mVbFS-q&g?H>e*K~V zg{^8$+3-ov^o|!SG!O-u@MY`kZ#v3)7xciMHU4F4;P3b0It4^0#G&{6$U%Ow`0}m# zXH`fmFWM3i{$@Y)w-zk={Prv2;GeHhDy_CFOsyapL_*G%XRRMzEa=NhWX8^-T$Au%u{%tU`D`Oqoz?C@LI8}Y1s~>xH&^dn{iNvd*#J@4o z%}tg?iXBX%PV*0>5}O>MHD%*y<`f`t5%-X_AW^dy*<-!Wi(+}&l+rCinB41u793{1 zc_Gl0Sz;vF8xYt|F0g-%5Z1L;q9N0`@$W_Uo_xfJR#uMZ8^MrkhTx(U%}kUIC`FN zGw#f`)Z`pH9)0$tBb>hkirK-LB&-%wg>6u<k2&n;rxwq;7%-TvpR}0aRYM5`!*w?7ge~qI(a^a3K-+~}4NlRO@`;p$ zvPmA-bH-SsM=TN-Uf1-P67|>*Z!3Pk%VDd0PNx3P zjgtjZ^3KyqQ`k-Aw5jnq%*_7e<`V%>d}`JGePWzu>2+(?3-CAWU>3r0d7$2a$hoK@=b*&R05sO}MyWO?zF;-onZ~KW@k2I|QF4b4tvcoKmz1{x7tlMYF z8s%|hJ$l zRn3n}d)5@H`}U|3As;=!#-=(hP(ss0{at5Kn{%tdsjCy&B;SH?>qChd90x3G1aF)7 z5(pHuwT^Lm5a0Bwl;Kyj4Z z-GjcpJaoLGeT;GaJ;5AI+%|slDH*3|uRJP!Y&nz&*DG8o`}V{jDFwLHHueFL6~{&Y zkK|K0(ekwNg`H1@bPB+nBW2@`NsDA{&cap>QIU<<#l5J4IG9tU*dGPN<09a^9?wVQ z&XYV=h`$L1_9xJMltx!slPD-l=qldUar3WP*J-a~1wcHEG+pDJFk#vm`;@z_!0!cq z_*G+coAp1>YDO_InWXL*a*|b^~oU( zWU}Q$d9soX~4^{aC?HV)E4s6r&{ zapa9ve09FkUf}gRqo#W7G{8<#x^(7eb@c(=_siXmlnY!|d!oyczqG|yI8QllzfGWi z_%Od{;13!IpEfbVBOqu6=Pls)txpL|NKC{F>U*q|8^^Gqbv(NbW!wM-Q^>HSxPQH- zFSNS3VwD5ZFnn_PyAkesjy$>rr|U6>UW?>4xQnKsDbq^?b7=wLWa5el#EIW*j03I6}SLRK^mHVW#krmx{+a{TiGA~nO1aY2?fd;R$hrV6PP=>Px2Zs)V>gq<7x{hj;nh76&jU@q2kJ2{|Z!>vX^H^!<6J6gfPM|L#{yP{i>3twb%MrlyG@G+mbtNz=mA=6c@J}bOg9qcB$JSAMp;J$nw)tsT5hR0$+XrYdbgkFR%Xm6LM>{ZU1-a zY;fv6)O{(X@}?YG;k<3qjJzo{^&X@9OtdK}pTZPt)&x+ki{?=tq$R?!VlIFaoH(1V zYv>dt;;b0qyIy-TN;6OHJFGYXI(N!e^3g7?i!cP+;6J!_QMVyZ4=Y;O4ke>UkLS#T zy8pbBc4TEyk8Zk3EX%O~;IgUPAm&`mxy{0$cK9z-v26>T`o2$QVs=JCpu5yNz*Vu= zDD=)GCPf0qYy8E=pms^7MDYzQu%_{gO z)rY-x>d*U>cVHI=mNg-_upK|HL;1T+m!}Qa>^Fc}8XN;DRk^!ZqyYi^Maks`h>Xh% z+O2FFINM*i@2V(mzJ^9vxh)L-UGXjNZ1qO{|0#+NSU3-Wwq|C9&vY|SphTrRiSl#D z5O3O}ZWf)ccZ_ge?vQDV7QwdvF+5Yn)$-lNc2kuDTB0n`B#HNAZgAVXfL{HtNA#=^ zJh{5M9I-*Iwq-5HkH6sWbW5pAB=M(bDN@{Lxd&RSgiHqzt64*2jp^jX(C-@t9z8za z0n)@0gv(2$v~w1U${nqZ#wof}CLzn*%HlajgePhWMsLNy=1$w}2Mbp%KNu5w zeJVSBMn*(=Y+QI?BGlq}<}r@8cVi-S^kq*kiUn_*I;&7wz~ZXCqq% z*>LxO4--PjBS%S~g)VqcN{Rrb!j)xrqY8R;v*%efzA8coKls-zY2(V))&@pzmorgj zVk;vUW*fi$;nm*1Sj){juWE^_EN~H=H}!FTXozQK=-hV?8SkH0YWQt=r=L8lcwRNe z?;Yq~ap_auHHb4-{K>M)ea|NnV*Ybwvjmx{ugLLBY^W)3r0ih%xl+fWURd0Fg}s>H z4Vk_p?ZTHNL6-Z?vo!R>{WD=J20jV%yq!RkmD~aD!Aht7?(XIiqQeCj&+K}Qwl6o} zF2jwQR|PN;K--U^wn(F}t*-VQ{eGewzt1sJUVEw0l%4^K43a%c3lB0ePJ)TqO{)Me zG>cH+Hewx328{`E9&My}1}^HEOX9Z#Qg?(AKUoJ+W89vGCW{@H=Y!rF?wGFs6FF|T za#;pl4;U71=7C-bO$bLk1@?HGJUa{hX~T#Tqj3V_Vgt}S(Q!Jc>?Zu9|3fJ{l_j>_ z3p!DAbmR7&bs}O$3jh{}6Z3!IxnvjC)V^4@NcUvKqR#3y8A{$Of)-z4$Gw|)${P|V z0rZRU7yR-TVN>W8_j>UH7ItRelKrHKPc)e_P8O;;o;4=w4b(Zaf7)QV{W01KN?7Y& z>ee{XUGO?m=w1=9QglV*$iv2Gx|r$~Vm3M`tui$`2^YNS`4XV7z?1K?RDF4qgH zxLx81oEI?36iA8JacIX)~#k->b^aH{eS_u+@9F!Tx%xGy3u# z8CANZ=|+3Zvl4jYDt4`T0_e``5ZeemGq7jr_GY>Eesvj+jwPt(oU5AzIcR<3yi#Tl@Pc-sBUTK|{~ny%;a1Wvi8s z^S0je2}v8VCR?yWARA-X%v_t{JpSUH$`-JqTrK&;os-fptQCv^NEX!8exqH7J&Vkme^Fr zPU8OO()qTrg$m7rEHOX6z_eMKYFW6NA;{(GvwId2+nt) zMh`~xX8JYsk~ndkE?TdMcKAtUwBeNRsSh!R$Y808m~~;}#o?6MqQA9%!*r*(Dy2tA zT6GdWBznhJINg7?CL53Z9s}m`?;=JG@5(>EBh>*`+^~+KTxR%^p^M`DA5qqGD|R$l z-3Dv?VraLCElV)Y@pqQ+(BgWN3z-1NCbRK!3E@b)k5jPV4N>uN=^M69dIs4b5ux}n2}l9q#Eq8{Oj zeO)k}Bj*pxx>7_I8rMr2EL@7?yq^an{*9#wE)=ijUlaO`Y8{IskBmR6K>X@z|1*x% zu3l4j9{aOS^=FN&_%~!vvV^E~vQ=6z@d1Dp=uV>w#6S`+tTWjf-xD*MtcB1c&Q_0QJ~k~8;AqwQg3>4SXqkotCR3Hj@l|30!WL}6xSCk_3V3VdY^}( zlQ1!=6uWimI&^DM9@GB>kUfmo2F<#OQ){1!F^6ZwFOqj_VckYe%YFMu|2T*kEBP5$va{IQgo zMZV&J1|T1WBvD)Wu5Z;ohQitWqbI>bFFZ)_r3AWs^DFz!pr z)}3whP$xa_nL4773j7BTEV!bAg+{3E&=D&0M!cDEYjJ%WhH+3%0(Jpiw z1Fh)40UE;35I|!UnegZ8;^88AsK^+ilY)Ur)uG%-@rsPxdGk(bL`mxID;z$=RB>%p zTb)oBO^c4Y@7afI3C)qJ8>{9g5(W>CmN#bcsmaMtR~3Oih1c%{kF zKPFBLTXN;rF~zu|IwfT_{J1uW_&K^V@U6DWx{Mm$jvTP7*U+fB&rxx^)#gT-Tgs28N0^svZ9-Cp7?V!5vq!O^@J+dJ;rvRbm z1aD{?ur1_IAE32N)Acl#jnmc{1 z*iZVWA3LAC)BSSxkt#mg3PkuvLeI}q~!)vVmy8o`S8eO zSDEAum4tiijD8#hFfTPhe0E4>!-1u@WBw~c#6@6Z7Vzzk%l4~J;r!4a#kXy(Y2WsE z$#zo`9g7gZ?silPBSo2pAM{?tZ$0ynIhjb?;6^D3>waC}1Z?U1q`dpU015dcmrLRk zneb|NG7K9Xz^Ylv@j$fy z$|qy^ay^jNDG%}&`}qsn{Us~D=4r+nAEi3u)0Dk&lVN(DU+oFsvH!A}_{I8C2Wc$d z@>pm4AWT*5*{2%WG!-ty*Z~vOr;^nE~X-tI*2g4NH_TyR4h_pK)#!1={ z{WJjo*jshr#tE_X&E4|Cs=?3u3nWAextic!A&&*a=3h%Zd_1|kVz}+x=LuV|xT&`j zq9zOLpWe@CG0R}F$ofXRdN5nJO?AJ)5=Qx&YL_N!$hDD7c>yw4E9R@a>l3OA&61%W zs-%Ocmd2JtdZvEYxc8SV6OC6Dwmw87B}e%AzN18Jf)d>moi=GlSdqyagqGNctZAv5 zlro_2kJnEcy9bN9l;kL!YJ;Gls9ooYE+z6z1&y<2I-b(x;OPBIwDoWP%x++BCJY4N zpp@h6J^vBPjgPPRW)MFVVaGI(cRzBA^*@5JK*2fp1`;}`Ytp{#14#G>3Rd}j+QiY2 z_;gAs-u62#f9gnib@6ywxiaptgt=8!aXJ?c(Y(=AoZt6u5J?%9w-DA#d&VPyrTnS%Ok;^LF7^^R`poJNHt%0Ki zvo&YT6Fv2>V085Cq6uyd?P@|{UEeHI)fvJN0yU$ha&%T#k7B#mB2qAuX*K>7zhZCC2AY$)dw0C4@ zouQ%f+S4cvwWAI1=o{ib;^=vC*Y_ut#6o^kng(j#S$Mw`4IN;BGlE)+*UzvB zxAMYL5i_J#Qce~QKXm$n))ORzLZn;ai2-s(pZHaWdd(t*ehs4mw_*!dvBD8#ZcZ)O zG>o$Mt@=1Dw=86##E9jRzHG>iscAHlMnS=&BzOI5DoXMV;0#}yj@;3=20dksYKcj4 zyc1#+OhsQNW-2~be*;i`E!D3r#0ZqKG}^e4Z!I{Gt1Yd5Ug>K2tpg8t`3}Ds% zFv_gsS+5JeZt~OE50GHuHfvxuYOlaSQ4m~ruezg9v_MT$LVE}m^vM^9O$k6l@AAVv z5yE=$&FY+GdWE@pCZC)rXRU1*AJH}?M5u^^VI_L?&Pfvs z2c^K}8)7CZ`QVUk0iVYskV;9H5WiH{>^$zW#4LeKvLxfX1qt%;nO5ruItAuUju;Jwy|wX(|Fcdfa)7b=aZoI_~?XBOZ4v!n%m z!`2TL1N3Or5AA1LM9eNUtnr+hWyO)UU7H$S&J2|_x*c*&QQ*Jc!?;))8N+eK?XC-E zznJA?fYwdvOb4uUk*3>ArlK9PIO7g_ZV@N?Y-jYHI+8!Xe4DzP<~IO%ruZm|a2wqp zXv%N~T#XE;`bJ~-t1=m-z096d@`llPVXUd;jqxe8u380WT~Vipu{?f_O-oja?*r!O z9db1~t%O-*movDT8(z066b$>3X-A?lA?+DlpOeS8_fT0?=q#)0dUc?oBEhXM-K5nn zC!GL7nTahgn@fS>xaEB)^A?>vQq{B~<;^d(iG&Y*yvK)Zz#KEkUU4 zbwu=qJY(Ky!_j8x=dw|ElQ1sI!R`eeiF*L9@=Nlx!F@WgmTBK|pSJcR2WzI~(%y6J zy@QWuWqU}ZmofpYf8@ST<%?C?xCuTCl)^E!@L`Lu8^LR~Ta#6#=_OtxQP#)2baW!9 z5BC3jUUj_0kmn+3JowK=P~64znX)4fdjmXHNIpQwb9r&|?wCB*Y(*`&{nT*Ba>f4e zl^J~L$)SvBoQ%t?n4erg)k-fn58sMEX+oHS5QH*EE-zsXx27f9oyXL4l?$V*KdqzP zeWW%r1Q&Sx)8`Wq{=FF;xQ^E&YAA58lB=NjqD|zNZhpI!2Xrm3zX)z-bG^gh(>Nmt zKDb?WrUTwO0ub#Vum4@NNxcL6=Q1yn@m-%3?|kR!1~j7lELPUG`ivds&~AR>Z{5zO zbS0KFg!J@(SQ0B?tMfW@)2;e5*wl0q#1hj(Dn^WQRu9uz$Dr4#%G&FbdY~l@U!~}H&AqI=J3YMW)uRWJqI0(tzQ?`hz<-r^bxR!p>;!SZ z#~WZE@z*`d(q7)Y!xKz=@yhPU|E@^a8J>!Dl_ zSywkT$!A`|v=#D%E1ND}e1ZHI%idF5GzL-C_u5^5Fd31ESdJ@OmKc2Vio!G7Dz|G5 zUysc~3}ZE<-t>M!asGW(5}?In^W?b4ADnFMjAaG61ylr>qI_U)Z%ssuPGh zFH{yvUSx~(Fb=fbb3YC4P+ma82jEg;kQM2dOXef?z~;79vu<@2yZNZUBF%PQnU^oi zrgaWy*(UwJHfXM-=?!kRF~>+be{nhR4?t!U&3j``DYxoyF#To;tjyEUDP9$^0Pxic zjj!PrEtuhH8rfcNKId^zKELex{LQy?&tFXuK@RxM(f&ypA6{uUbFZ)=4ThPIB=YnkS1YKPt58e-m_ zm`J@kG?`VtE4EC&e6`Z%b=_)ch!H$9R7ip%{>TLBymO4 z?D0MUo#k2r3a8>xbXx@>1(WA5i7+*wKCFi@7)x)EMz>M!EN{U1$D7Cxb`#T(c(0imW+@xAfbs;T4kpZ;(9 zdo`hJ$XDG6ozO9>pqk{hyu0}OERAVgQ1WsB#e76)@$?-Y7p%)xfkr~Z=>}CNQgrp6 z;%yxAi;fhm7^T-cKA&(c${xT)+Lw8H^4fnm4W1&bI^JB9=P^4b-e1&lh#}_q@1Pxx zO+i^$1?Rh-{l;xhzfFL{w2%Y8{pMZ-=%Iv%>|wsPXz8%0<)w(Z#j~tdYV}Ic%IB17K~mK4da^E=FE7sr$T=VmH`*IZ^&B z09|eEe4O%CL1lSWc6ozeRPXDDc9r6a=?C%NPXvGAE8>JKkYGvk&v2waRh=QsIU`v^ z`$oY77FfIV^jevzZ8ra$K=@2I&N>iIT^ezwcdX`cLV#(=q+4&!Q2MZbZxGUD3g1z- zCdeH&`c53?mGi;#m9J)wb1@RdkuF|U(s4t)Q;HLhOug>xmrAtfd10a`x2oJF+`N^i}uA2DEw!p=8S3k|Zdq;{iGWI=T=FAcLr%5B@5K4L`;?dS%{#97G=w z68#W-1z^?vkdwyUIh=Tjr=|I|C7~CuFRvq8Mq3x*;xbW%<=Ar)^Ux^Yj zeB7@4i}t&fP0%se>beoT3KAOGd#PQt7%CqaKL4r^*6q;I-;xC3Pix{&dl5`WsE6pT zp3punOqEho_5!G3eetMd7i9?r4uvJ9VmmYaIQDd&PK`gA(<$#X_)U$$43FSI!&O$a zKdr=+4u1r>oiGiR(7Y!m1^RJ;d9X}L&|Ebn(6yumt4m7+;)1<9*Zgf<4P@Vm0GdG> zHwG9~j6jFLu25`ld#hRzlV_*@F>KMQ`bB-};Urk_ECtn&lDKzobjO4=()kejoGzgomR^y6gl5u- zcF5x>q0yCp2L3O~M8E}g4So1Jb#ma*#eDB{>))jUNxglHEZ*rJQ^{Zvj&p%2hBNJc zRS4)#pz0fkC&o@|dc1~Ev}`e-NVN^Ekq9|}J>{sz`kX*63`EP*Cuxw%_z2krlB}cN$n;uCKX1E5Ul8t3x^ngIMTswWdUCA zhlSQaC{Jp~5okP|uLBV^Z@hNo!UMR-0jYUuKjs||hq{ZwF|CPt)9lmsNL{o?>N~Y3={;Oy{ z)J&qUX$L#=3wySHl(pnbJSQ=5yMJAuXk^HDRjX4cI(3TS+w+vFGN%VbX$Vw%X6V)7 zJS|Npsv_dC=oZ;Z_s&l@I`8JX4fDT}z&E#3r-&;W$dSP9j#oiM8StN-)C~58Ak|o*A}pkK+@2&sJLO2JF)q<%YcO_K3ZeT;y-1*3=xa>3}XQAIf%6&$K8t) z8r|HvmQ}&hjPv(z(?WFCg+zT8%NS|F?v*Miw=F#&{W7{j2LR6z2m!PfIen7jd_fQ~ zBN*>5Jh7RjN#2vePvz%SRkoi3YyuPzeS9-LOF*#)(&QFf=~@~}-=hvfhJ4H%C^~1w z!c6{Vbt7W?$B0>em>Uj%z{Asg291X6Ieve7tnMczc(WeP5=Rr>`-xn^+SS9Nt7#bT zkc|Rj6VOpF_g$`a^@OU@P#?#2yXp6=RL`23Ai17JyI7ayZLRnfdeatC%iqzYtwmk+ zgBngw(K??rhj(~y6*Pg51*vOrdKkTa65sxk;=frd6UQP9?5`?Ekay1=-A0K`x|0C8 z2OYzZDW)7ae}|2Aox}l@7@FTg0TL;Uc_ef1u6l;wPE*Pmi?#D{b-F+Pou);^b|Dme zQv;IT=CAWxSda}5DOdKmIGJfY_r`%$8yLyv_j3|Ie)%NB67O3+VpBNX-9Ea?0*3Ba zOt<{qsYx7USn|Eq=TC-P6j}mta*2+qtgma6d%^G?aIn%KLbh9}fvRcM)J?_O1i3{3 zvwUyz?P9D7c%3Sbf0Mmt`L#Zt7oVafhfBQDz(>_;AuuR1lZiC+Bq<;U2c#n20nuN@ z3BC$=muiv!D-n|;&$+}+=KPb8Tb1yW<14c}s%9L}PNAbM%M}e!e z$TxtOxItNb^r|bcYZn6(oB6)rd7XBwm#wZN`*ElliZJ?ed1f6t=8(-rV0}8t$0zZo zXI-M-q`VhEMFSKsrf0hqv*-N8*^y5ER*3QCDS(Q&J1knSqjQE>Rr5lo>F~rz=EhL* z_U?77iOsm!$c|Rs4Xzivm0ilQM5Y#i=4+4~CXh59S1N#7c5@!~U9N|sL$xLDqJy!& zK8O-@6&RQQma{(k;9~7L?~s>2B76f?Sc)oE24rhS=XG4dkAHCiX?EKnd*u_NDyM7C z)`IdF+MVuHg+wlIo*U4!QQY@Aec-}cUm=Wj{e3AOoIFOOR#KBJd@m%g#o`UgesgPT zd+yUPuGDAyk~>ed_cQQ2F>lw_lv*PFeOyApLR9p-wKFWnu71(GKECX~s11=8TWsQ_ z%ieHEX>j4L$j=3hP9+PukwBHcNUg*5Iiq%lL$le!skuOIMAt0Bv%2rkAk;&K1m(ix(jAzLo ziDmJnh`mvM{kq@M_NRPem8J4qPSxFe(Y}%6+m+e&*@vULBl`}EF&~JuwFvNVD1-$Rk2x54LxmFKiMb(9Lrn!CMYrcZl0ipjeEb*g{6ph+ZiMJzbZHw)b#ps@!$5akPu)P1@w)M5M2;!j&2ptl zCe(mvKB<+HS+pZqqH_F8Er%*YtX<*)XIfaqW7V;%@cPpsJ}pF~A?j#09+%Uac)&q4 z+J=|cm6z1(?+h(-auyW!-c94x=3}I{fLR>-(=`Mdv)#m^Wc27Nme-VG0e4@DAb!FK zq3bKaYACjIe6j`|*x68Yp|c^fhErID-oE?Fmsh3ZZr8%zqdV(Hg!82(Rjqlc{fnrO zws3o-q9BE5Ww>HCg$%7GF8lawXBrCPUj{2j}1cdO;w!8cO-%4*eG-?x6*>z8+ay8I)> z+XayqS@g<)p7w%j`?bS5Ov>>ei`8V{AV~9j{w#D77XU?aS7&106Nt$aLmHm27h2hYBTUdM5lEfk9NEy88|CJoWs6SSO)B zIDN0hM>>&S6L_!nx4`cw_8=7D-kv_c=B)iR^_r7ZN$m7j=u~-+w)<8z<<}TC9~bF= zj0q2g-fHu$1LHbrVxmmu6XdtAeATZ~&j};#cwAqe@(xzZFz_dsT@+L)tcxccg(^1l zX^ETgVbQV;auh$E5I>~ry7M0Ks$8|aXttreNj?8UZPwNEGy)@-`}jD&AA`#&Jg7M! zwb(!4tYZJ1?{322P6>1WPwfC@if@feKXX6M7ruvP@>?>e>&)_crT)oK@6j!Qwn&Vy z%4tG@;8jDka6}5hHArEWZLD5)_QBX!Pr8`B@zsq5Z<9s?G1Wv{foN8I#u*qpYfv8?mV>MQ;D}M0xhr+*X`Abv9 zOVf5vzjmcdmvZJv7jSjg9<{*yVX2^l0Z!Y90 zu(>IRhYV<@FuA#;(=$${C=*JJ!c;9NGPXZ-e1Toh2Nvje%H$k!oKe<|1Q~fFOIZI9 zcf{4OTrrDE>GGXhtO{iE5<6Wkmy@R}B}p{kQDtM1qt}Wu`Rr`Kyx>dO0I9#tvv<>y zM~?-uzyHoZ;myq7jn~{#VcRBw<$1d#mCotBoAwfVqoaS=1TX#F5xstvY37%BXG=)S zdww2i=elvhGC}@n;^DK@+R>QAx&eT0H0{@nRpzm#jJs#fF48c$yQasj-8kaUnyKtQ zeg5~;n(NFdx84t;Q4GB|z09Hrsf3UgEZXIz-i5ZmH<}1=py&O zY%tw5f*o;(>D^&vW2%MQsy7>CVU z7=+HmRIpRp)?&Y@z0kgjX{ZPBSx`@K53=vYWGX(tj9pD2#MWk7_UV*e>fqZUH6r!c zy1!k8 z*1w%*P=*nSCbeHy#@v52bLn!lbxymEp+Ed7v*`vwy7Mv4x+yb?gi$=n?g*nnTU(^E zBDL&J?l~U94Jwz^gGjuj>^mNO7(pwdp|!YIj#5Sht6UAQyv^3P^%ZRio##hv)P~Bt zWU}q2i3t9ThuZPunaS}bn`ST#ADF%zc0L;B)_Z|k|4L6&%jtPpYM@}Hp?jVwG&$=N zQdR4Gr*gGTD0A5Gtm(3p%PH5R;P0Uf{{R|^43!nV0+$9+3GCI)9 zLith(Um0%9lPNa2he@&PQO{Y@YQc%mcFq`{|3> zJGx565viYYXlX~Xiw_M%6Fu>P2A(^LeCC94GEiA$@szT_nc$!WB!SK^Qh`C9B&u1TXDlZjAJ|0 z(%9VO_cy|F9cW>NY^UU7QqfR5s%aB#%-l5m{&X&lH zOhRzH+s1w7aons-YO*jbbt8SkMqPgG+o~`!iBr+*_HR3!ZV>lfoC{E{5`1im zG2OVj0ztJ5b~ePT3be6bBjnBqX~3&XJ)&$+H1ejacHyo*nN1$DAoSruwAjhk`fN-{ z=k3#DWQ;it{Qv-?}gl08zMtIzm$Q3uCqzV9*(NJbGjD(A_fJozCN ze@L=@Rs3Z&B^e1K$4MAR7#Z!pIpgwZR8hBTe*S=Wxl0=^t6 z!KZ(fVR%604&F3;v zi+}BxYv(s4q;kA8Nupm>G$n$IuZef^?*amEn+nHi+}`eawh8!(ys(v!j;;SFx37zc zwMW*b@-P@g@vP_t8k-$d?JzCT7;b30v){Nyy!xwhWg)Kf!+Yyq@9baqfaT9qG|_oH zRYp2wx)0MM4U*c8o^Y5qYS>cbNv%Z=UL~S+mpm*KJ{Auks3%`So|ZMHP(!R$1a>h935+fb+ek@?=hy z`;1m*roB%^UcGx2oL4 zruereeBqb@4H=EZ8jSbw1#H>`ey;bR^vCoN5ZP>HINl1%oRM?K_V(=dl!2df@6}P7 zQ`g1;%O*eD=JVYe*wZG6gw-03my&)Ch?tQr!(K(*mrX1ND)fiNFnOZO;J-o>vR6Vx z;R9ls{b(;KWGwejpUDXDfgi5W-%2*vCH9e7RjqzEhcv4O z&FdL2crQg9&^+kO=Ef0NV%*)*`9pU;R?B2 zt{u1`u0|YM5d{P-*Lhelzao?LkjnkGW89nLu}^z){{CJ(z;T7V)+0%>v^ijJvHGlh z2>e00lA=xZe8`4qTW9w5ubQIng=U=8$zOIJ_-UzywBu&XJ5BdhC-P)Bir()c7R7cZ z7<6}D-+x{|^TGZ7F*mH@cZHHY(QW$|D_bW!`i5@qv8{WF#QSPyBg}`=I=&bKY0^Wb zspD6;@CZh^Qs2r7TV7Fw0T$cf+s97ta$|V4v9$yuEoqa&E^eT*+te=s8xzmkU-Mbp zhit(gAw}KMU>O~nE0TCIQRlplI-)Rr$(3+hI9rdBhcqSI74q%ribq?ntydlw6UZq-=y-VVi{>^Leq`jzwa97=?O{+P^Lu5TLGfx&ksTGzUFrxP1aqq z_+^tfh92B}h!=W0OCfp4Re~2z^<_uDMR{?>W*}RM6Xb}Dc3_ClY5GHdWk109PggDV zC_|gohG>VF7|#q;eCI4B?Qm$)atZxo`ODk%h#^a1>=nW;_-CuQ?b~$rwN7HNW`8Fyt6^21DW(4ama~yqqewbZ<`C9m95PTV&c;SRbIvnv_^=bsJZ!<^>VVrYI#+q*M}K3W-GDu*u# zX0OeM9UxATRB29+ikHL0S2?LS0h%P0MOv-Dv+>vCP=O>>!_hU}YjX*XsE+BrOnpp0 z$dp~qel_Y#S#mt7OTK%R_|A^osZU zyUYZ@FhAl#SjJ>!SUFXr z+jK^u)q^j!^=wplfqxTZ)+sVYH|z(Cm*4K*Lm+oae;(U8QV@?AdzWW=BQRqR%5ky-i8wTj8A{^j;2$bEfRR6zB=U`O^|(g zr1OL&rHp1b7DZNg$vj3Jcga26x0-DCcMBP;SO;2FD7ZhCr*?`d6?|VFwyg=*z61v1ZoveQ0d_x5Im1Pqu0J@&qwW+y7oZ}!RgjzuQv1}u}vv*Jwdr_b;NRRM1L9|%8t50@ecujhNKRF}Piam0hldNuJb za+VyL+KWC$-M`tPH7;H2?)l2ea{EP?X(W3i(5hwWOF&Q{ui$OJ1P0R{KG64yex<|r zDf+nR>s%EC!(M*&nqft=<+fLqEN(g=vjW}F&!P9|UEhX?qTk-sxG<?yzlpbN{#%bD(mC5O72`4D1>+)#E6B@D-?#lglc$ zeAP|2|M?2-zGyuA=Cbc^b};vkfNb^MuasM`e(&w^nk|?n8A0D4K*sp}B0r+3aPVu? z!mg|Jf{$Bmk-t*+Z9F57b8Cd#Qh?55XBvd4k3y+8+*HvtO*|?)#y-=uq47b&p#DKY z$uBi~-~4?O;YOWAx1p0vKqI!?%&SZ?sPvd(5ThSasKmF%-?#DDd7TaZM4=9{ro)=E z<<4{MH+!fdL++}UM_L<3o0G8t5^4<$gQ z)K2HkTV(7r*?yi1j7#0}z6P&NpN4H#(fJZB%-fJ$8lJ}uyr50XRGY2l9LJ1Ij|7KG zTr!^hYe%It-rt~5kr`5heOjd9Va%Jj>E*voPfMd%>!8>llYQPI`PmB%rjETL^3Zl4_|S**|(QJZJv!y9Nc)bgIWCat)E(9 z_Z$0W=jIRUKdYww>EBi!t)XJ5P5Ge@9WSkfRXgzlp*d#OOpLbjK*hw1PZVd!a+TTH zAk-t_g%8x=+z6;F2itl#Eb5>ZnfP=0W>Fr(OrnmwW|SKBX~6Nt&5xRqNuHG@H+w%f zpiOO&YF1Hl?b*38&HcvQvl|Y?vj^?5)I30gE?bCAOi76d--CcSN=gxKvlt=UVai*h z@bFn_5ZAi-Eb2~Sm3T91ooV)?YUrwLsOytk;cJV3vm*oo71mAm?v7&~H=x=g4Vele zXTVeV;;RwSOecf=_uT-=ECQ9J#=a9}i^V}%oJJ}`uO#&np)m({(O z4pqGWOzHT;ytTT2nuSsn$@MAy>%XBUy?BY*iGj7A-pil%MX6A$%oNRk*}{R)lu^bk z8M5t%_YHUdNXxrPR`Vhln?<0$6gU|1(1wYX`LN5GnU{2=1l}f@c${tE{DwqIGbQrF z)!<;7rtpI~-BbOu6DmaNHcaL2K?UA`7qiTHStj-vAs!ud5cgZ*889To%5-Bj(olt{=B4tbCN`{mv*vu2$$Yt}mNIqwsD?`NM6!2P*$4@l5B ziTU1tG&=qJ7A_3dFRA6}+7YN&E;ADIr)ifwzr56xla24GcWb+t_6`@BS^3G)yA>6X zMivC_)XAUJ_hk+E2QQCi9^RaOvDUd>DUt>$3Xfmym2c+GbxWKEwb>l6zv86E{=}4@ z|Ej6GRXg!=h>1Vgk#UYa*l8*{zEW}mRn9H9C3lFVY*Bwm5Od4+T80Ga%1(V>$RDk^ zfm_$)1@>R^?9|>Yp~M0iM{>1z&HO#z*V{UQQD^l-b3un1$wS9i4_5Tao-7|(HX`${ zgcAbZI1bVHMpz=I*KU4>4*P;#r56cLokW&)un#sC;#->7(fxgA0R_I)lL7IGZ&o;Z zoM_&?&o}7=cDVhzmhiAn84rCS%2a@bwpk48+n};s(sSKWhuv{fuMyO{^^H@?`}`mw zNf``FHqpE|*N|p>$<7e_#`R^PZ!rL6|6%IgjNaGXSV*xu_FNp+M9l<&Y8GJeY~EXawdA+sCW?{mXhgPLh1%!c~mHLSLy}X(x2@IQxfG@U2jm zr@AA(_hV3QLyZo>DAxQzCY(F$8s;$cxyY`Yf8L$Key1b&rdulGeC8ikdR$p+OF`Uy z1lL+<=C1aqJQ3SiNVoe-7$eB3Z6nq_B6#LBG_2^(&+bn~>mQptD#%?e2(vyPAd1@8 z+_cnN5WDpX&GZAEkCMPICA4dyY~V71>UEK6m|3u>!vkq)0SZDgWxRT(l!O za~tD|vTcK8V=Bgfs^518+t_rH-a%clv>bBCXX3+C)->pE<7i=7YrAq}|#OXsDZli*# zbtD>uu-DE%Y0BeL2J@K3*p1t)4m?OB{!$|%?51mymTcvBg|qZeS*w|bu>jA&a3^eT zuO}2|y*wVQKJBajcSoF^rmc=+M(lTPxo%p<4;E4yZ)*3>TP)zvaO>+WtGJ?B`za?& zLy<_okcf&u4nXB^*NuOd`QpOJ$ztG}^=yRA4_C_;dT_K|xTBr3K+Yr~6tY$ow={Ww zk0a7>{g-Vl!i~`*6oSU~V$gDzQwZs_)9Of%fc%>5i)!aYrpJCCTCYv^V99UsURuB6 zIQj8C6;q-15;@zNVQ2BUmOCRHa<@h#7~+WXPGsZRS=L<^5phk4KO8nVY-b|3Sai7q z+B$+)e$~Xo$k(We=a(^savCKwGE6aP5vxO8O3s5ap${#x*HpUn1ETICmS8OwGe%Y6 z+r?oWvK860IlH~^Bua;@%wRuGL`L>#Ma6qjBL*MSKLpUe((PX;UtEbvPPKp#^ceC& zmGfkVjEMYa!$jo0VH(qe_zfo!e8WWceIx1uc1C^Pa1_~^m*6N!U(3Vu30CQp>UMz= z{)2xh+f)l|tPEM2ZTq+?=6vj=&K#+evl_N>hOZv;e&%Ja!Np%3*6pz?qHb4uY2M}% z+pQzjm(7iaPG%ciCrC3~j*}xQBCYBYZDZibY;llbsyTK9d$115z;piK`RMbK62?6a z9gB@6kWCs9D`_ak^7zqQf7$SKNLqJO%uyb)31d>ES+TGugJ{D_@ffNaL!{MKG(?d6 zFCp4I4a3NYzehz^_1<51zVHsae%>5O+RORoST0?KuE8VvfZuF-GPOO{cHTe5SHbh! zdDp}@Nkt!-e0X=XOX$zL;b-zkm@amoI!r41SDSluiN0}=Cdq}SObf@$tVd55(y85^ z6-#Q^5M|m_{R*FO>dKUPEGu4tpU9v~*-|p+T@v!H;qz z@NMN_d9ut3&Hf(FE~r@F9u}V18NDrH&U$j$V*GLx6o!TeZ)+3UAe-_LRIU5U+UvC~ zX9)$;v@m$iVC$h;t2aZnpq?7m>8pJ{j@S+aREZ9&;yt6O;na|U^F!3$L7fq#oC3E6 zehQ<(ogXn1jJ&9VB~IomS;fPzXUe=-@{~i`*TXX^@1IIu@UgfPOvhYN;>Jw=jtoAy0g4>gPTBxVkA#A1HSRfUAAAvX80x3A=@i)@!@)|ch*91T%_D6lbxS)wpB-p%93 zcsMi&PyY2Zo8C3nBvG{!h7mLO=m1_ewF&3xslGsvdwe~K(ky9?&dF^e)Wdd#&BQ(g z>cNe7a!nL!U4;{Z=7RFKDsk#x_X=}gJce-aBti2!;;zEw{3(JG6pkR{slv#^Sm!~t zE=I1M*d{}Mo=MaJd^I10Q>&OU_w~}8z_(4^>t*5kQETjPbqJ)wQrGUSI2Vtcr2r7R zGFLx#DGPluui2UckxS$?r3rKH?7ifbC41sG=IL5)ugC(R(F}X7pF&3EwXC|nCUISb zT}FDEC|9*^+oAq)m4@rt8z_#87TqNO_XXV=fM^tQek(m^8c(ob%76a@f5=>O6itXS zNzF`R?Hh}=AEC9Wy)e!nuJ}s4JhoL7@)mLLGg}p9@_sn_!*Wb1>T>8 zZ3_X3d~Ozre04FIKX0^8#dnC`#D~g87&fgok$wL-kpX=)#}2Bo2!672#BNJ+b`3TO zmo3-Gq2Eo7YaE9t$Fyy$<4S*DN+-f~6xeeRd$7~UGm=T4OP>uH#H=bIxU+s4*aX)- z?hMTEfch>yfF4;Gu8!B_pRbpVPW4WOsGz<<4R34lE11bNE=I&8-Zgwfc*ZdPcanNj z86U!hUV)R6H2T37pRddY`M<6?TOiBB@kkWrtUVmZBL9g1mO>oBu?D?2IomJfk7rk> zm{i?@ilboTqiP{J$yqT6YHoa!zg5~G4$k?UuXIi)yaW7l%GZ?J6QQT(5ATb#paGbx z13#H5R}nPmiH+#mR1rvW1Om*2MU`jtQbOV&nOj@^Esip}ZJ|E)b)_{&K_KhGN zO#Q?YdOv7)iOZ|>q46Q4IFTFdn$N{#zhd^Ivo-KsmL1PNsSBJ)MNh7q>v+=>KtOkq z4i_)>ZkihAQLr6LEqjKoT}jVclks1AE&`jQru(_fYFG3<@{V#S2nU$!puBw8N=@uh z+us{=Z{0@_4n{Jg-@IhL4O2d{&tp35UmqPwmY)L~6{x|IJ1E)P$x)tEG4+p#J05~e z-Ogx@;x#XBgT~Ifx*aX}kB$UP0zl^MK4Fg&Nxo zjl3IF(Nj6!Et^GVh4XBXSNL~k<{Z3NSN@1UH`1P))~3#Vw|M-YsHUU%&TnqUpLfjW zphekhemxH_V&>1x(m-?PYpc4wOXNGz+SmZrsMPz3J(Q2528TxT^u--ukpL6!lJvP( zz?+OuF_<^`s*C`Fi>^v*s~!Ni5RQlg>1ir@%z_{s#96*DoaeD~r3b`_p02 zXR@?wP1yD8sV?xOCg$vpZVCbOW*;6*KBpxc-}<8S+cv+2RcpcIgnuY}! z6+{is@U_9syMp=B-5^4jL=cY8>;3p<9u z?C-}qm#i}iBo9${8O^NFIQH+c@(YnmZ=%M^Z3-+7yGEIfg7m7}!PiwkQ)^L&FD$e` za(XtrJ_!>ft|g0h1g<*hA22_(7>b71>OmaW$sOwPHy3zaqB~?4??jDYqVgTvFH5YN zM4(*GYbLtWZ~NXUBDg_NPUI@T52vk^py}`hAuPFrZD<%94G`(;wS7c&PyEo=K_^K6 z5bGq`2M22B0wR8e$-*j}Zf+(zpt`~R2~QP^}o ziX=#Vd)o^2)xjqyA|_(Lrhc3Kd9npDGq#?`{Jt<)`F_JDY-qrnseK83I9cdNsF@J9U8oKb%Nw(+xEl$W= zx0cewz2~iIR`oDPYX)$3eAYgzl3(_pnzW9}-oke%~gI{|mT zPY8MPhAt`1Hn7>GJNBz-muc3Q=>5I0w?2WR{lfqG5+Bc$sd%{VCrTwYV20Z8lg38{ z59e;m&jyZw=5QPPp8mol^)oIk*~zd$lB2G4gGDwOlgW+35yd;&UULyxN!LQZ4t`>L zfd1&f&+Do_`#`mNsoF@E?`K;?qWe%}o~eY z_9MTLd7t*r{810_?Gb&)8Rp1k`zBMAWhW3T*R@d z?5KlQK5tp+yPpQ74@S~?2IcK*PijU_`*!+`E1h^%;%H`DKW2%xf4ej^Tf8pys`;sk zxYMf>&_%D%M`KQWmf6WI@S|6wbya5Cin47dIq+XEUtQ^CE#>6Tr;oZZ7tQ9-)x2-k zPI;nqJkS-^Cl*a`rLsIdBi0Gcp$r(>J4>jf^bEW;v9t2@=@3@0&LmY_6sCKd^s(7) z=(q(L-sK=$med;Jo}q z%zYuD79zF_!dTwVg_T&IcOj8ih?5o-4S>OPYK=Mww=olefpE$vU@29HABLf_ zRNY3-g52qMG-oWdPJ~8Y(^;5KKR%m!s+KotO?p;tQ~PjTRL3yl&*BG7aiU_$;luoo z!&s{~Dy~5TPv=eR4yDPtV%~1GWhwU!>h`n)^k^W@b1fCh^fr)`PR~&f`WU4bF#ff1 zHE|X4lbXTw0G05^XW$StvR(PuXhjc}G(ReKiph*J;g`<9yH&__Y0DV=h^MX(%I-$in{BK zTW0l|Y1ON`*sDtQ!QhNv2)nF&M{B2wUPgj#)`l6!yT^?;)lWs$kXz1_@w@5|@*l^4 zI9otknK6SN;IwLczxow)Fql|HNWb{YQ<}!;IUP#8%&{u9stU2XT55k~LSD8hO8f(M zMI&yVA0YGtQt8N$)m4lev|IP>)HwFQ;5@+}5irCXnH$Fb2}t=(r{n1=C&$L8-mm>* zxsTLgVC4mG9)?4W{|=705BRY3Tv)s*M16EAbL8q}BKNAMWVdP7h5qRE%;~njLe1Ff zb}qj>vT8^}`jKDLQk^8bF|sH%R5aKOE!ub15b}ux2zs=3ZZf0g@g(?hhwI_AqZE&Q zh1g3c9$T)c^=zFk6vE-)0>QAV>QqYgim%BlVETg`{rp@`kSa^eG2j7<2XAX4d%D1Uimxqz4{cwh=&*WF${`6q85*QW^NUEgP~)QPc^ey18|+m&Cx=gWnI}K8v-nW{e62#a;-)A3AE?vnZJ-^Raq==mE? zYfszk|GK!VZ*@vh{+fU1N53^T8ojMsfF;-(>pxQ4`8Af4>Wb=^lt=Q%=xmvIa*!0c zA&kN%Rn)3~&MIkfF2~#OyQu;AhzA)(9h{7=qT=oXSMta6CAr6Z1(t4I@y#HL^; z4=BfuFt2K&N{w>W1A=S?IA1fPq zOn4ileACj-5@sMA-lVW(rfN?ctz?XQi1mRQiRHQbK$|fD*5r~t9FAGW_$9whI4{sy z&y5K=&lkO5Df4O)>a>#e@vO<0uG#E3<1)howdvXIP+ke${buB&@-w0Z7!_% z2)Nmh6$MLPGQQE@NrTrEu_yuZ*R7|@KCEQ1GAC~#xu}H_rJzlK`(rR*`DJ@Ig>M=9 zWYWP2WCeY+8X_(pc((Kz@l?qT7Y6kiwsLon6o&}NxXKLoWY7u;@) zkeeB_D_g&Pa;1bepcZ0fYbB0|-Ft5#Y#son%L`!GIGGZ1~pLnbbTAL`cW@A z+<$s4A-K!1kbQfe0`+00qn=K^--pMduVeu9SccoD_Y{o?2&G?0SPB|v;PKihJSRz} zG^*T63ms~Ic8HHRJY7ZPN6>#W_AUkx#8q(+#J`z*^V2CvU*$irtl~yn9!K|Mn)p4E zgf=7hF8dOH*xl}l0_$|ssqyW6i_iU{h_{aw4sy9r5wIWa^V=Qo*EV+8b}V+CJc-#e zY8_ilUMb>MHezAA&QVgU;k)ziE(ODh#2>e+$gvSc#qso{a=!fb!vLZsj~?yW4(gPn zo>3cUZJgIDo$0TDy);ssx+W-I*}jo|^FtBYR#lEXB*QGjqqdf<}eBnmYm{7}1nfJ(9eBo1Px9n(17%^mVxwQeTZpU$ zpze?E`rb5kBG`Mmksi{xvSe(&c5x)j?Z4^un>K~ zO@wx--@b;C#aNP400e6iu_SU1DSsP%!_VRP47bvqDDs!WVfY#Y><06uab7D#x=paj z^4C0>W$I`n=~k|@);gKyqKRHu989;;uNEw&$ zUeYi$e?x-f(XrGSt}~pDw4nI0u^kDZs&!v?QM{lca8tBU61iT9tIbQC90et!*)EKJQ`k1-XaK6reFfo4vwHJ4_D*$OE`*KFlsBy=ezZksTqq_T>+)?>anIi^&AZ zp{#hNYPZF=6io`9bBy|KPx;~6f*O^;6@f`G2F z1v}o>&V77z_cqP%!+HkP!TE93V=2HGPiV*r1TG4A7J>R?=QX8!^$j--2dFB%;v8+m zfF-lH)2#dBhy5`#WhGHv)pKhf`1h%M5WaTXDiI)?h>)^y1d~QE<#{^CIH|b#q=HtU zk*h@rms&qD^NRgf_@oiXEj2f4SHWRYdXB#h|v(T2WdZhkEXY)Xb7K!QGXWejA z7vH8#g`R6hb>ItN=2T(h(24`4x44b&HmsZa@O$cdY_%O6?N83>4ZLR?tBF8>T z6|CGb*&hK_AHdO=H(gDS7Tmk2Cm~u}`!0SZnnT;h+R4`?bB~dx*w609uu9f<`Go`_ z;ZM^i!Qdx~2BOZgY7@c}mxKOi!9Q}i08?^_ql^|^49e|v-z$&aw0@Vn@9gq>s`a3J zq4;O$J5m=|;1Jk05t>pI{WXx@{==+_9A(VU@z`p6xA@I27@V<1F%8dL;U+Nw7)`0s z?ZcI-;XO}A_iyDg({AOm!n_b*#;>VW(2ul-v#Wp1dd7>p)#6vReDL+JL`ZeNQ8DaEVGPwKnfFVaq4N-VW zUy;?YFq!-tP`h>DY~>bGJGZ{kGxx~b2@-W6(+-vfA6T|Ali5*QNh2P(M=Xl?mg;*aQ_yv0L* zk-3XqmGg6sy_xe|`Q}ih>>)xl!)OKQZIMNz&!+=a7`sDHTi=%fb`=Yzn>nw_pk#@I zZb4N+kINjnn9Ts>t_>AnpKu0oOfelLPdba*A6Q~N{PSxObxbZLc8Q-O`$=5+?mOeF zwYXdVN4MF~4WFib`n=n|qt<|;&zx;{&r)k@!AvVcVA636^Hs0oUF^+zAn41X|43Y8 zcXL&e4{1~_xI>VZ!wTwi5C?ocANYGyP%TV*adY3_JzJ+U&SQiBdLfBtQv6v?bCBI$ z;lrB7#(0h}?juE5rp?cfd;eLO8|LhEX3D7Vb%Bc!$g9fNdYRKVbn4z|QVuoKDL3`k zQr$$wdw)_61=+GjOI0rlpDml5lyz!*pCeB&*GP{=8y&?8wUshJG({I8J!pomrDMMn+4e!0&~%w|r0yWxrY0a@4@TE`e#e>gwV(LS+~uS!Mm~ z?_>2IsJqebyi^k>J3M5OmpEYacJj4BU!%Jm+~(;6WDynQ6+hd@sbM(Q{8Y-T^8Brc zKq>0b{*lB4`kMYkDmj4WVU(*^<cN^w-_r(UxR&_Q!#)3d$TeT z#N_(L?8^gLUne!}{X>}2w=BOZ4Qu3!b6MNUl=J5&H;M`H1R@w^RT~?H%IN;n3o@c4 zg@!5%$D{6r3z{xz;|vV*+3Pp%U!fu6Z6o@5Ngu}xvNVjc094VJ4ZE``*N%8k(54uK zF{)STr@n*JaqVA5a`7OP$$KuZtHK4p`~W)>!rTYSqZ^r)jU?uzwsU8}KvnLTodH$! zxkXv9qxOv71zuHjW}yp3?oxIJZ-mgANpbRr%vpDy0;x6KuZKI$o@xPNrhp5=TGf*f zfP&_ENaU#%dwiRNQF@MF%nE3B>!230?|tKRYY+{E_>~X0V%3?vA;w7_yHk|{^#ZU>xE6LNR_Jc5*(+iM7{`ia z;k&!GJ??1o3fY;yCLvnGLqWDZCsD)@1&oe?0Y-{C8lw|=&K%WRY-vCNntPYOjEu83 z*LlJQ&@jgyd}SvJ5u@~`2a$k)=QW_=_MR;M7jd(-?1#X{&pKzT3p+xr18KsHcjr<+ zMJ-9X>}jGusWuzhR`C)#0F&$s-fMDl+6bgk-#?TlhfT%k=_4Vnlk^ij9Nd=emsgSA z_t4nU^72~WS}eY!?Tl+K0^IeP^A&ka{DR`C1A;{1be$)6PxUc)lV=kI zavF3m*@)b1&^9f6II7T9d$+DEbe{>K0+z?kKEZL4#B-u}pMd;?Nq%MK^+JNHbj?Id zxZ0~b%^`5&JrcmMP9zD)i1c!5f9@S9+(tWFw=L!YGh{#EpN<(hu6F3rae;)srP-yn z#!uaJqm*)?QitwXS*^bd^5&S}6$h;U$c2I5cyaq3nOifPiZ{h$5r>=zQ*8u~KI`Py z`~+1rIlET!60ghN-`xKn;A=X+R_bAxl%m<-US_xzjoZ%sUR*ywfHmJuoly<g1ucf!fdypKtrPmnY5pcHdqiXt&az~mZ^jwU9 zn-}15$uk1>CfKX4_z%CD%d+6weKk*dhc|?2Sxa!20!uo+{=9=|h|ucReiR||%a(LI z7peb+>;{gu;pYEtzqNmUS(64wpQlJjQH1X2YN+}<;c}S>?*8(A8v5WH&#15Qm-fg^mEY$fedA8A7qK=|PnWG5Rji|P2>@hi(!ESsKw8gTKrHR;+jDE)ds zV!{d3OJY+kIkpF^A8H)b?2}xjkzHwYDAx}dNvLNuqu*1Zr z*$A!F%iv_|-^j}Somz1Hg)+hlYI6kCE%nt(cS*M^)eDoX70`iOO-!V(74WN0p>y!X znGUS~47{3fnX6NfO4c+Z(9!hfUHT)^G-1+duQxFrF#r+j0UHx3^uknNeNB9Wj83ix zpDqK~rGP8^@Tl0scDV3dLTes8io_uEM5KeKz8HL_@!8}ucs3#83=O%9M(ik7(?m_Bu8@vSokQLtZ2_QtGbs*@@Jk20o!zK9VqlbVDY@DDvdG zOB(<~uL<>iEFOr%c-b*-b3d|1JhVcc)N`O@zAS}&khK036&1}*?3rhS1OMRN;=I$g zPwkFS&baS*j`L-4jut{LOta)Tpugd54(d_+qy?tsoI%*14x6o_=}bRvqwf=BdGOHF zgh=iD>Xo3Pdu~BRsV`?gk^g_~e97*gEf8tFiKXRmn$}qpKu~FkN}?lSIFW&Mlv0kl z2PWEyab=AYVsTxU9>XIe*~a5LOG$3h#*c z{sI0zCt?tVbx8b1;r2Y8rq4!rPif1b<`r(;g6BSm; zeE2z4bxabtb<%GXU6#S7BCH{sruip!Q(}bm2a6zM^KUUg_HZ35*+;m|e@&Gd^SP++ z9fLJidXvTUr6zFmiJ6NvEjroFXuAm(+y{WEfi%kVe~Qpv2yD>^lk#%yOUbi@fbGD+|J$l1p{-SS6+%Ia-Zy_@BnkV!004&=-?d0(bhy7|n~gF3zVw zBRncd#8LN6T=voM@~2rc!Heg7_q2YY*8l7!!(sCdMdP!^?0!kMAJ*<<&@Xfl*t6Z3*+0wWJ==442#-=Y$+)&BFl zx{u1AZoO$YDP?4p8{PZdu8E0e`qkYVBd3ZK`tknLk7tCiF?U{V?yPngnmeqIr*Y^~ zv3J2zU4#~PUPI|d$ex}ISBT!GllRdnF154zp_EB#g6Q#fe}wd0ZQ+p7*Dhvw)+K4@ zXWv_`t^cK_^gTYo{RZTg%D%IPyJMgiDyr$&z$j*In1G%jk@JW1DSYHwx!Y*a5&UFF z)d6>`yxQ=JN1c^H*M+-PCovg=?f;RpT`s>FRjr~03k);U!3fI55B=%^gTPfjGp(od zMYZ2kIXNVEF$KzQ7UFg~FKIV&FhRxIE+DnFUPFYhl8%nM?9)^Q{tC(vrVqh@L4;o@ zdM2GlU@2oa2}8pmNGc1H${WIYq=@%{i!`D`*dLBekYG$2V2p6uYBJ7Uz-o# z?qpwM$t67#!P*E`>yii$5DKp99q@-_H7SP~9!z|hR`^JcwN_=OuEudA%j4al@)(b@ zsa5tzTu~M6Ff;*kd2A*-aS>7_K7hF8gOV&!_V?7Xl9`~ODHfx1Rkt@uxF#i?>gOT9 zqp|^_$i9!-U&{LJhqC7K*m<`MIPT|gSz@_dUkyM%j1+u%`qOpZbXwGiVs4sasr^TN zX)E|Y;iu1f`1&4jao!uI*BLNHJsyXT_$JF2mKHC>UJ;Zy;yb7u)ckq*sC1nLVLbi$ ztpN_{6D%iJq|sBqNbUDEn=?DF@)8T_MSoVb6*#8`YoZ$8tSr;O;|9pnA%xFFK)K3W z9_@NOr;sbVVE}*t-KmtR!Cs6zeq0%NgAOlpJli)NZmXkL%SrL;Z8WQN2?ut?$F83e zanxQAP(l4D%Hd!bOWLMuq*b_o0Sgzhm{wcaL{(X1NPiz3{Hb8U7IoP)pTi3uP_n+G7HB*?g^Pex73Yt}~CWq(AxqvvD zU*^dlY799%=pkJAkjjD|90f=J8{c_x;;y7;hxk%pgSjnRu}O1(ZLpkqu4Pa+O&`KL zxQq?>cx+z7hSItlrJ=)c#jPnK7&Xumh2sB&ob zHRW)-7FhNS6`sd(dqQY+rw=du(fMx}3v1d_uVLkSFF?)(rM(Y+E@Hx`g1pQXI;Fh} z(pFgId1vpu=ox0KgU2r9ewvtO95>wKy+0Xl)Dm?4jABZe1 z>tGFQ@aKM8g4QrHi|j+y5zHWUwzLI@4$v;V+G^tz6W7UxRJufBM6@1joL5?n8-dx3Eu#2r|_cAqaJ zT~fKHg;4RnI~Z(P(b_XeN_iP|l*96UrY0fmE+TL16E6VprpqKX?|06-(T#Ue34RRD zGdFVQo^KqQ&@Xiqu+$cL9e)^4W#>dJJ|z1|8Z_9|6U6rvNMx|8)8-}>Jo`zG+GZ8B zq$1`M8;>nCeUh<1Qm3Hni}@RpL(cCkNh;pm=T<`^fs(A;AjXO)F&Y)YHs{i`!Xww> zj$UQsp&LdH(oX$~KxM@AfFgfsO?0q4$;DJupG6j&c3LA@*w5bKz>v$)I*WyFIbGjU z#jKk1Yr@m>;cw7}^2v#j=o>J{Zn0}y^sSHp8-Z*?Gw4)?c+vyCg%4?~n}?O%n1LN{ z3Qrm_4V<&An-a+<*X4`NR#k$3ZM{~&)29c0;)WJmi&OM^{=-YQ2GV-!+7p9&vLC;0 z@wIn-=_Pk1{+OQ@qkCt)V5Qx+-XX{+SKm? zVzgM%lJK^bof=Tr6^e&CxPSSMzqv z`ZJ(WCb*R(;AMdbCCIeFdpWv(L6la1K-Ja+580LvOmcsTiLhLklK#O7URBjuKOm&{ z%)}o4dWKtQkJe3^E7}1fylvreB!M1m z934p&Y$kJI;{*(mPcnB%0=~2aDGt#RfRwm_dX`H^IP|aP$=smYNxXGElII8UbR+v@ zr4E6AZ0m90AidT}#lImMh^mMscbN3KQUN_Cqjk1p1TbGPKFGB{p{pTtquXk`p!iY2 zF1?McrTPY6b^b~FSfhtW?+!!wrp?>%pnfE(x5bNObrU9KlAF_#a4)O1l9eN?O=;&n zzCe;$iXcNLdQo1Mu*A{R(Z)N2-4-S;z-FyNTL9=QTYt5Q;x*1zt_=P+yk%|YC z);!fgIP+F9qjJ!rfN5EMO5MzDQ=+(AkP?vg8`xCP%g{#+py= zaSivzFy+ZArg{9^GCa8HLzGebPVz7OR+Td0q(C*V?R%!Um1Q8tG;sEE!_W08EePBU zsFM#rkfXU;->d4g#1vm`>)N;R6=~lo;kt%~gKC&P#wT`f;Hw69e%1eFyR!Cd+^UTb z+6}X%vFInUKD|$(;*szMl6DUSD&!6Y-U;ygJuN2caM}p{DlRJM-51g|*vDd($j3cn z=``!L@t1%2CzvYvzTrm>`v%s2Q<+e=x3A_#&nrdbsCRf!nDwUG89d4{W2d;_8^tcl z#RFz6-(aMCin4re1BH1_z7YeSI~_s!lhb-11_JR^Y38$6$ViP2micdlvg}vLuqNND zV(`oQfXbUk;L_3GF(3LN(xZOR3&uXo6Yjw!Y12ouXb}n4rl^A?El5%hj~}|jRn{ZzDf^-$K52o$vxBRv=rOHQ0h&Vq@voKS~sm$0FQmOjFby0ebi7u`>Tu^GcuxE_o!+{ku4nscaJ$fNu zr!@PoeWBgn@q2-0d+y3e6JjDA`oyz+YZe0XCsoi16=MYEoNK>;8ZPH?W4~@lfaj9k zuf3A3DYXoD_dIW26lo(8A=7hnM+Ma}MhKn93%+=6-Lr}N>LHo>Rq%8wb6H?X0tjx? z?r{`SFA*yxn#28#!^chZ@A|f-S zWJk#V*M4|XuD&L+nvTE3ApiF}2T3-`Op~b0S1gZPVO)iV8T^rID|wJ$O4TE<3PopW z)OAM3x^os{X139W6e@SG5x?*cFT7OcI&h-ZV>wiv49$gglJ%&R=?@9~t8udK_1qSS zI`k{|Lhe})MM}Kq|5>o45PvbEt(vq6`1lQT+(|!(n_R4M)e0?JBa1;9I3-%uzho8} zUgA9XH_3PO#huF*y#rLvXznd_9iq#`9d6fQrA{1rf|BLi#Txcf9l2h}G+RP-lqM}w zY|EM3na#h!Or$TQb;Z!m#(>9T{{RAlk_oqT^;fmoxY3KdWRH$_aKC0a5Axo=q;q!082lhFs`6 zY}^KzCGzQP$xUgIG@`gIf2Fmu=7ZgRmo9~lyGY#WjPS@lICy9zVEfcr)}LA5TvC46 z4E<3NV@+v_-Qf>*y!6DfjeM~9Z5nb&Je0Lo>S)_k>r&HJo!=3h5z=1TTGq$>luIXF zJje|F%80_EFes}d_|^r;-{<*;I4H(j^Ol5gv6bvMhl@dpgu@EHq5hjftB>@|>xTT~ z>V||*WkRMO&oHhz*mo!qQ=J1}5nCd&m;_!t7vfZ^i~p5)%11LRHa^rw@#xp`=403EiD}oWV$O?d{v(MZYoq(=#T|>78gWyy87l# zz9FIc98l>ZH>;TN&P6VG_hQ2i+I@BT6^apuoWtgqq_NT{qF5_F0`+{UlvJGH%mpuyopU@T?K#Y`;n2;>(je6o) zu@89asQ_An^oO9!8{UcIblpJw*X9H)f?uBLdVr=%Lpc@dmlJ-D=`Qls*?&`cXxMqB zKS@ILPb*F`6JKG0Y6cXMn;AGUk}YJKh@6r4sEEH%$xvmZ_y4o3WDXI?wDhiS-VqJv zwcY&zRF%V6dV|S(Fl*sD+vtC^#!2{h?i1Awi5N1LZdb!0Fo!dNv__j--bnEHE6pnj zV7|UTW&ivc6Qa1$FtO0FTvyvzd=8G`mP_|=wEcSQ>knJvMJptsh}Az@{o#lG-WO^c zH;sYif{P$5V~nK{KE%?@hueI957VoiEdOOLpD|oA##&uY4o55id0kl%VwI2Ab-{}@ zQ3JL{;|Pr)-hN&g<{~r9BPPU5jnl*Wx9dyapYy)frZ{qT1#x(P`b5E2dSR@y8NI1W z5ooDn1w3Mu`qraj| z?e5W0Biyyg|A06N_yk^i`z7`9YmLSagqaT93a2bTu{#5x#lTTLId;I`$EknlewuBv zazpg9GQLwt9?o`DwF$GG$4?ISKaN)u7v8_g&^R=oe=8r@0y3@1V!~@<;mScflqD%_u3?=NBeVRXujR6{r3I*o@GV} zv`vCocwsphdS=7Z2kwbD5Pj#xTi#7>fHk6No%UWS~uc4g`IE zRKv~V%iCx#UI3$TYr!1-zZ zO>&=*hQeNWP!T|QEU})I$rd{HCx+MFz%dph;rI3^rS+N(eJeDUmwpDR1?iEwga8_4 z;y&v8K$FXql%CK@h6!TvXO~Ja{`kj&08PiXu*j672r5M4T#(BaR@~Rq+K}eaE|dnP z2ydo)ByqC|;=l$i2>#ydiVz>LAn?KMIZ>`u0*;`mko>dVlN?rpis^xE*S!ZTuBovS z&EKGv{HD{f71j+aYu?f#jiwB-)b>epCy=14XO#<`WIp=ePL6cQAdU9qNixVygkr+p zh*C=E{{FNm@%YB?y;d@_z907ong9L}>AZtSa9H(FG zGA38i-Ke0Il^tSxa;cijF{}cEW1=EfFNJ=M0hsX7@&kt9&4&Ogw>0ve)k2=8Zg4=#rn-@e>7QRo z|5okjvl@l4@P4o1rmI30JWZ}?wv?69T+W&pBaK%GFi1`Px*5QnBZWJ!wR(C6p z&%YmX59ID^e)GZpkh;rq7ovSx5?zzb!lrU%bVj(*LzZ*r`D|-v5Cqt{?7zJ<9JQoI zPJiTl@#CY*9-TH&NOIXiU!IGA`7W5(Cp{!WsjrTUD(bpc z6akTxP&%aq1cn~ELAqPXp&7bSq>&g>Z~*BZx=TPhhVD-3?)t9J^SoJa(^UKK`5QidFj2%DruukxCDS740@wl{9V}(-J4C{N zTacBI$rQd*&)D|u01#l%{~|zE%)IUUJ@j3@(0)cCru%E1n1SBXwtCe;viJJUy=9mm2wRE6)j3{k@uZ7T? z69en9G%@r`1pM`E42_J6_nuAXrmiNWp-h<(;q_-rzwI61ugG;;vKsyUQS^+b)(L?* z>q`FP=-^l7G*R)E_de4!n06LqzZm8s(;jeU0IZ?HK#kji?Z!d$!yC@cKn{lYbMP`K z`F4^Y&|ZTo zy}q#Yk~-=FO;OzQNUYLha^-m58Islk%>#s%FbrsL=n(93kZjEBbSJ_7&|uVMk{!E? z6rzBSx6a44&({PL=%;#ycMj$Rzoxa(FEHOMP~LL{ZpA)E(NSiPS8jYj_~&^*8@MX8 zVHtV&rTyRF<0WT0yQ}Amu0feJXf9<8TXpObtz4yxY#f~;j#O`^1a5H9v8lLbUk^{p z@-OAgPpPl`S4x(7Zet6$cFc=jD`jbP85B-h44gUO75BV!0A@BhF8JMvNT4H7`K7d6 zZ`e~*;x>d~OrLVa-EH!mA06nb{DwWdeCI8yG~F<8;GSwh}e@8I~I< zPOwp&QhOd!x*J4pOwn7?fuR6hw37Ob*iIX+gCz?0`ijzrXblMz%)x$H@A+;^Nc5`* zty{a;N@}aE@+97kI;8a-R7bB#o08cvl=g=EgGaG(`k+HYUWXeRbo54GwkRw60$j8AibGPGuZf6u!qqxWuN=@Hs-4oF$$nEX z8o9+j;%hb>_{)BET&*gC+O&0%PX_;5jeuD;C8_SMK&xWyyT?P7U=l1NQx2**B zU}iI&WBqeMi6|GF(ivAnN;b^rvvWE=t7mPAwiIEYh4?M`^ef(s~g0@_P?rF2pYY)UHim5yZ8N&g7tEF*5>79(-cx6E36!M zWyBYO$*kz@5J`*t<@QcKeC+MiR0OP9Xv|~6{rGQv)tG?CInmSJ>o$ZQGM8Ma7J&J| zOkolCErjz3nSn109v^XKz{7H2IXGjOyTEKLn)s+Ir#-VplsLwYL=EXB7g*ISZ30(AJL8rz6;f59)|onOwosGQc_R5QEj*Q%$3;vIbQq6(<1hmx7~3XBKk>YV;Qr>0=Y{uMIW404D?CZsw^#q76cy_IEPq7O;mjxq6}Wy< zM04I-Aj{-UGDNB;6w*|l4wyqPwokfx#fFAk4B@;=^hoE(eP3wV^NUv-SddavYa73s zo`p~gnb7ek4M4+5&u0d#k*)ntP^VND9oh1>wNIVR7#-?(y;A;0E*3Qp8aUKlq*+Y= zBd~l9RF>`kuB%`Ume=^c$HjB)50y+q^AkOOIBygKvU>~IOS%a6&y%M_*uYq5SlGj% zM~fW0uLlw2=1AaLexq_G*=BJ-gPs^lcy`po-)(KJIAB<;v@bqM{=aJ~>zpYO?s~~+&%FSKc4Y_ZO(dDn8q(DJ zpl7DIVFzQu;0H3EELA z@eCxQm4f01z>DOk<&8jn>AA|pn>3f_x6ch5^wniI=y2XY0M@i%i^T;d$L+DWlNV{w z5+S%zzMWnQpEpM@kr}eUT5~XA9Zf6>F;57Qe4rnOJTh-YvPQ7UrvDxBUVvp7D)BkI zr#9qBh$~j~T>CVEkl#`tzHluuO*xGg`;}3l=iy`I*sxs$)2(qxtOl=ge*q&JaZ6Jccb`aCkqImWD}0 zMMN}Bu?(nM56&cs^CD<)3u?n^w>Z=IhxbP;NtH2d!_}Z6{t=PpLD8=|PXLHxvrxPE zyQheVzY(0|9c|UolmS2?klZj*tG~Xy*tOKc9iVP$2EJvWz%5kU*LQIZEx9zgRo&QX zBp%OzIoD#Gu>bYdVDwsbMikJa;8wM0n=8;j99^sSixA&0Uq~~G-!m^SpaTM5wNtc) zZuL4Hw8}ab3jhw0QJ-*x9vd!cswXb@_V(Cva>re{G$e!* zoZ96&Euxcpnk63OoCJi(FSMnAd=A~#bACB{8P_Ylws!aM>6(uL90)#nKo*AqX!veqGCL+Ji11oZdir+O z`P3)#IQ#F0t$MbA$!+>%L$F)pr(S(De*V*>GxCHkVBC(SpH0T&`*IawKyM5K{zkX? z56{iJpW*)0*Q1qaEIqm7leMq+Q$+8^G`{)7jt!|zZe?9H?)6R)2^^OT;9)(W6&*5Y z35Trmfk=s`cJxr5(gSXh{BFGzkt7dbvf&TBwX*UPH&6)O6qNkNAr1t&QkDjw}K$nwWVS_-hKl1$nVT?i_Fp&1})Dc5aV*z~5ao1Wmcxk5HcCAUFP;_J13B zZ|^rx!X^oHgiXl4he|pti`&bf_XT}>=NSf+!^FtoI;lt97IzVabl%_R_;=t@Y&TC| zL*Gn%S&qHcUHLC;DeLZ1;YXLG8w$g%=k_Ia#fC@P=nh5;rt6L4U<--ol0w@*&yEyI zI+{rxVh&_EQaZeFYqdBvKZ`Grm2VQ3chLWs#m>88)d`B2Bfe^sQaPM34jdKQ)V!?D z+5Vw@%lS90yb`E5+n6}*f=ZrNFY!g8b0<#e-PFh+Xvj>5`AlxUaw`HC_Q%h`YLXgVyv1hs{k*q(Iwk!p5+>#*sfy2+23re zoJ7$`Fo6dYc(dI|C>d-6ub)*os>eCv;xX_c5)N#ubOjhDt3l693`x2}VN$uh&bgQ2 zb!6Ku^;MuXzRZ?E+CV=ers{YXll;urMqcNgtJ0fpyoqba zl=2|qsC*=b$gjK(((1A`;r4$P>s*00ODq1!9>kf82bVpNjG!TnXMw+Z+l_b5l+Pjs zQN%>9eR%x778;{HPYR4D;4m+CKe|ao**muN?+)yj*N!F?13zdt$=h*7Q4~1$^F`$k zk*ga`O%={6Q%5cKf<4VdW5gvO@H+~FhD89kfnut8hoUrPmC1$Lzf ztGhb}U$}Qg3cTZQVY!X3tp7YE{1DO@^7bxok8-m;a1jg863NLLJL*ZB-{hYHWY_N%T|OpySNU3`2ST$GB7IM#=;WS@2zr52hoX99hB7)7l6`+NOSA^m6EMi-wo_f7mxU z;8^9CVJ!yyjLye}&h)yx!=YdS*-6h9Y9l$sD`3g8%PDP4y4wIgWZlliv`xFuch*DQ zsJ%CXY(0200@>cN@N)(q*xonNx<%d<1X6Tzs4knR{=>dio&L7Yh1Fm+Wpmq+DQEj@{Ohi~Z}m%~ z_wUbtph+AF^A+J@OZXg=(8_s8-v!0vEFG8tWkZ$mxtbNkhD|gx+kZN3WbR@ii+U!@ zJQ3hhooQMf)qi1J^QNgWYG8PFlZ8YtOJc8E6jy8&DlSsmx`ZJoqM} zT@jj&p(3oJA*m98(JK~X`@D(y(+iDPUub^7sEh~JNG{9GCUryLE7iseL6@>gL2kFSV3gNpSup-l zN)_A-S?A0c@Q5<4y7P6tE)ofcE8!clqBDXC?YP8^#saW?JZi6vZqkmSDK&@oBE&fR zDxSaVqePLe>!pEv?9XGS%$2rj#nok|DZj9Oc3As*MWw8|{L>z$Jm+3JgRjLU%%-e* z_p43DO+cK^jfzbO7X>XQ(ZhDtEqh^2XJG|{+Uf?u$mnSrT)mvlzvbSa@oUv5T$#PE1@Ok8YG|!pq z?!=*#bV}wEj5I+-)w}d6Exc`ds8IgMncimTq+^W}IqRW=?UCH}#5i^XZ+tgJ_fB6P zBN6FV7$l`2=GrlwshtzUlr!DJKUMi-y^U)EHi)XAyHn3_=4zRfNYJgY z7t?-yrsj+-*@D1E;b%_SC7o0wxaEmq4h)gs_CxJA{*yNPfQ6y%WkH+dy=@*(yqDV9 z7{o54#UR?D_rQ!V+8{4(6m8>G*DHulhb+JnZvIpKClxCtoxrz=D6}jdgijQ$lMDzf@t`83*!1Ps1K_=FMc-?l3c&2QlvW9 zb1q#K8KmiuPwuhn`SmfOXtwK=)Kx>Cvib&%8yn(*(S!L^x$SBRz{r+qQ+6$@FA#%_ z?q8%Ed6i{n4tZ7w2cB?GOB*olo6F_%mCiw0)ownqYA$-8)#5x#0i^fI5uhPN1z#6w>+H<7H9AHO(S#n98!SVQ-%P9O^Ul26D)w^@YkN73Gjk;0#!07Ze$V7zY0+&xo z=lgVlPs5e&@#W78Zi*Om9}37Z!s=$U>RRJH$}_>=d5kJAWY)16VyxYUVMeoR!vcd? z1lD*XAGTOYKz2(GCOEYYY6d;#pSj;TvzJ7+x=|5oHauYtNK2p;_3B-!MR}RMf2+7> z*;!5GJym80x^=zrYYY7orfwQ?KMu=nRb!N0ZQ^`EMek`< zov~%9@ZA;TrynP$vpDF8CO@RTaSqSw)^E&Sd<{)4WwcDU{qct%)ld1J>mk>GL$0th zoBT(b`!6_Y+8{-dBBPmfU{WoQSzss%??ujBDDtw^FG@LiW?Ry8tftd4mD7JRYF6ri z^V$qo`L*dU>*DXbXVNTjvY>Zx#e>Kx`p4=Xt{gdRh#|z>i$WP-^u0AUsz<;tk<`Ss-(b60?$cp*RP1e#hCCK zt+<{v>cV0U5>MhEG2NsAnDPdyztDC=65F<}jq90DNW(BW)->p1-c*>`ormt>;5sqf zK9kfRe0ZWt8l6+oR5p3ObFOoN7Fq+_jm(cUaFDcnf9BQU4W503`ae0uJ0EqhGeZ*? zCP3ACJSGdFnTnNJjWctVV`{SOq_CIa`+6*A#X&to`Kw~WNDu$viF#$=v);#HAv#!f z8PV}{6@~BtVQ=CSLk}ww9whE1TK@YL2Mva+9l3;X=K`hapq=L)=Q%Fi~%mx&ennCa6sEQ)Q*JqPmRN#Uu@OL8MX5EtIQc)LcNEb zy|%p2O>@c0R6*I^*I4InnQg>$_bMrr_l^9*ou_!TD2l30oexh@D>U@Fa1G)&&0>eU z$AQ2%8mYo`pCZmG19~uOJ!J}ijMwj31^V1y%}c|PG-mYSEKhPJq$NK`;@#t5tf&~q z6on#%so$KzM`t7}g+`i^V;ofS1%W~e>Y`YwV+sNr_55#4Zku=ZnV&Iqk=-f=w#d2j z&TGBZ0sK`gJWGVCITRD|j z^GXLI8%=Ajm+7ubQ^Mx+5oN=)q#s>h0&O95mhJn$-P&W#PdN|Y3u@Z zE`lUOZ8lUqRR%q&EnpFok78u@DTca0_~w0@^}h%8@O3+dJ4@&Qt$2vKL^=5955ISr zGe(>F_bS5raZ{Nh-I`Wp(4pq4k3gt_zLLAb99^jiJW_hU#FfH~2;ntj3%9&0vJ&A< z3DwO1NeU(G%rHszH~^(FH%BEVImFss71L6%U?r(v_7*_W=7*K#m#li?Mk50q3&62g zNBVkbKO;RGyf6;UuAe4HtSV${`mgm$}|@WT2?(?5!i{0B#Y609Zh> zq_oBkDA#Ne4jP9B-}-s$@6~-zy!1Gn7L(EuA-kO=`eS;SAo$^#OZJYZ7WyK~@iXXo zQMy9|eh}J~Mo2dyGo@!fR8!*{2CCorG|PGp-HJAyx?#Yv`1NTx(xy1qHJh;ocfPMJ zFNxw^95#g-|B}+$JAYvsUXnh3L7HZiQ+yXm*HHf`g3he`X2+qrs4kI>W}3me`1ei- zwBpmJXwwZMY^;rd5#PV#kfg#M{wet7h{rP}+v~gIHv{9IOszN_A zjU%pk%%_;(CIfo%poy-Ahj+ahppo*+B_$kZ7}%N+rT&}$>yhPpO7w(L!-!pIj!V4@ zL7&V?7N|6KDsu;Yu|yP1p)LQg8BZ%j(y^m&3P56wp-i2crYTCQiX!|8(+U@`+ZXBC zzVb&lYUGAI1A@AeAooRk+6%CKtgS;}Z049~45=)!Qo`_(5LEJ_(mIg&Wl~-yOuDYC zo^gJqHWPZ!7r-C9^Cm%myQYkG=)s{(t8ISKAzeFF9g+X$s@1|55+S zkN{T7L+{=|=8?W!k@^01wXg~s7d?p`kV)76vaOVVO$hd~r%h7#D&fR}dm4CxgKn^> ziE%5QQnxa!uMymq1Rh=8i!d7gz4Q`Q+~&P2H6Y}d{O8K5$NSggrchL#U|De+CdDwj z2dB)HiVpZ&ehyLK{^DEOfEDC(R$6W(#M|R7{8uYUs0WX=<0{S8r@oqmj>ZhOVbmKOAhgw41q z;I~gArXg+*d{i!bYo9eomK5UEJB1>OlfAERs*i@pJ>HOA&hX_c`7gP!t=%-~j3N4U ze+*ri1vPf;%}gd|iHTcI)KQaw#fZ$F1_p0}k{tQUMG?aUBi}ddj6Yd~{`*fxFCrIy z?$rapFflk#s=NY12+SuI641$piP#QE8-H*oQuT{pWY6;t$;7(MU!rcZ%6C0NFB6MY zlDOYfkMzOzLZtBzM0?~-p^e~qUcc;|qEQ?R>N}O>$8V#qA+LKhtvp(S*1S07>e!E0 zT${IE4|FQXs6KUYKRG%xk-3izIX`zRvP zrFRi8M?+KIo0ViP;v|*T;GGhQl_g0;VN*Vjj}lG{4cNlx2bi>6-O6u9R!Tp8aEl8M zKV!D#{bq@!)Y|?p+KDK(_RMv4f*)fYr{TeutA4RUr4SvFshBR0w8YDqt~YKfVt98bV4t8dhgWeD$uqPe06XQ+y+aOjL_cihfjiYfQ} zSN81OZtL?3!nDsHmJJ9j)tW~h$xMaV{@}rq(@eiZbvteFsdBu&yg-XV>#}zFB`V%& zBC86rTwE99!)4AXmFryjMI#ui<)AOd1V+e&`ECmU-rZmxv}Um9<$ z0bZGFMpS^K)mGht>Dp-#HyN^Agmxfem1qGqrgZRbzJRKo?25e(xs&T3rJNOAU87?> z9#i_K8D4TEJ0x2*UK3knxanPl3OF(0Hc}l~tW{+mMkCK9J`Bk+rLDb5sEqB#)3xg^ zA^%lCxkf$6uQUGgysEZ(6IKhUw1i=AOPG5T|v~?^9YP*5bMK5Jg zl9eU$I3(7ua0lLHF7vqH`4lj6Ls!b0e<>{2mP;oS>zpO^XkS7ql4l?cgWJ zq7ruEJ`GLV7lx~qSjV~*Cz4y@irWe~2H#~HpvTh(-BtMGSV)>z-}ri151T5TIcM0NfD2H1I(3V z^Qt;F4w9`gg-h-;1A+(hk9l(2)*oUzU`Fjj6yZ!;nOEQA3Bc|o{)JN`Cnq)14QlnI zt?JB!(WG#7uf%_|n8&^cj(_V>=J3KBza{v}N6SR!rK7b0Lvkelr~(7;I#YNwOzY7e zL{aGF{siZDJ>&9m0I(!0GwvT*=Z|?{3s4?MQ5D?=eI#4s$NrNr8WDEd%E;a#12S4P zY&SZol_e`MDUEUGH96E4X;eKp5_pf?X>8?CE3v}qPYb?-srA`(4}|P8e$1s=(45tl zsdC~QRfy<+ym{uy>`)%wwRJ=eJ5=MQD+YIU=fND#U;2YfA=9--g)g?^jWXI3TC+CT zZxNrW7kcA!nLf)Gz5Uv~sGvq4pFt+qYg!sJm|9}cR&RU{?n!|7FxOCMf4C~IV`i=; zlDWYQ8kUv1SD|Ya%hFS|`(#HT&{cq*#)(28BL$@Et*t!;xP`B=QVMpi5uJl%0}jK# zH|M=N2QyS7OoFtT;4g>_*-;nqHF=f{ng<<*$6cqFuFtt7?K#N-gW_$UG$7s48cvL?2U``qa<><%kqgJ>BS@WW56p-Sg5J4>zJ* zgbJ_IFLAM^sn{J0dA=+=(tW@q0FmINt>xq1m!gN9d=$Qy=wL){p1pk91v6qZw3_jkCfGeC ze)2<2R1d{@WIPdS*SB*OHcS8*rsJT>;ysS4NI46S95uqD8B%shPCg-u1V9MW(BKWL zgNB$r&_lPAPF=p}y##A!xH{y@Bf@#|^^EQds3usB_fTaSU0n5Ci^?Q!no8WyDR-3pk z?05GcEQc@?W45YWK0?dQEBqQ9?W1PZOfdM9aOgZ6bQB&>#_gV+jKj_abTIQ)OX7|1 z?6*_n)rQ8{CMyJvKMQeL=6=S=u^D4LoY!+LPh^9a=G5u5kA()iGyFASz3*4A#p+R) zDXUf{2arsG2Zk=@m}5kjC$NJig_r<1z{GOM@FYP0?lH5j0T>{a-&h8&+g^%0ND=5# zp7^j)ppOEaJG&reEK`R5jgE$;(A)+EC4{E^toz+_HIIjp|Q`4xQjEMq5x zCMj-{Heoc-uKZ(rHOh#Gj}}I%UXCZm_BCCEujJL&+@uQ!N4A&6v%pLT;+=H{|CGwE zI&%#h@bG|`n)C3Ob9hx5zyAb%?@Abb#B+kY$!6MG8socs|1bF6%1P3?F{gL7*GD<- zUaRza$f64b?&K^SO<_|>9s5QUWnL!;xl3w|lAaKB(n^W|Ym!f?SlsAAz_^t?Rf;z& zVW<-TcOeJVRHqn;FZ0@}1#k=f7~8q`ujBKYy1Y2#>PV@DDTF7h_+ta<3)^|DN4;XB*x%WIL%6y#|>n{N& zf{ekF@YcGVwohHn_1oTo!#9YD7~PGh zQ{i0thEvnKKH?jeo;%fn-4hBwN+UAD+qZ_9Q(AdPH3^!{3(dZ3)lw63*t0Ld9C0&# zm##kZgleG!;e%Fb?A_;!qf!^0?QZVe**_v=!`2r^A@6iVqJS+^|DvH4(|cb@pxKj7 zv=l^*U)Fx1i{rdv#OkITFcp>xXBMdo0 zLR0$PK(e{7gi(XIG&mwamc$$Q9-=)_*E?V%I)%UWI0ZRYZwP@zWvQ~t0>U|l5UvW9 z*c%CEOf*(Vp) zMTf-kI3(8!=AKJ0D36C|NYXM^>&=bgW}`-{K@<3Um4gqYkk*}9kJr@cRLN7dE}A5B z`LNNWt zqvWG^jbk&9+8)ojeY(J-5w~VE!m=I0Vot}y_^K{J-9#A<9(?T6gnfD+{9X}6HYN5| z_Ie%2@&2@?MUu+cJ^w~B#p(IZvSo<0@-VIxRaDtp#NgS{#}iWVkqH&gMLs=pT;sW~>-r_+ z8^v-4--^t@n2e_NTzqrW_SB~Lv}^e5oOBv*Fpp!t-H|N3yI#JumE`5+aK4RZI^eQU zm$`{3x_0|^VjI1%VP#{Ei;aYeirc^C*N_8Wd{a3$)xpiiw4?{Nw(*pD_D2Fb%X0rA zl6FYFbh$X=$j65y=Rp41PIzUoW}x}Sf7h+|vPo5q>tir}WPBl!W{78Q)u?nDQ%Mqg z8q>XGzR|d!hh@ek|Lc0@LDnjPdWz$f)IY+aK7L9*X<|N!#bEv6kDOW#GIaDnt^--C z>jEeU6TrgKc?;2cghj?*jB-JE9^@YY$8A+>9<2Y#T1sbN>D=%p0;X}w!FMhymKcZT)m+RhTt)_ z@uw{g$4qPR`x5PArDp?f^6%=8ZzGt!YR)y=zo7wRevQF(Pn zay5O2n|E+=L%EYr>7v=>45LQ-CxPBlJRJ8QB`bYtm(1PUT5MgDrDr2n-^31Iaum_c zCyt)uf~zFdSJJN2UV4sYQ#-4IzuBHkuc-#bBIT$0KButf?F8ztIJX z*r`XZid*tBll<&KQ9VYNMBYJrGoj7K_dZ|f){{jq>^76_E{+`ZEHc@#^VSc;w7oVH zQl`HBVp1JrUG2P&gAo1RnL(r?eClso@!a6 zN>2rVZ=rbF<|-|w`UfgPJ8$7Sb1z0u?{XL-GX~>UTIj_sbaQ#$g7xF6&C7EWOB(Hs zjz(CS-G?oPHXBdz!>=4yj;v}ezdABkiFxXtPYzR)V8oZp=yWmNNj<`S<+HY2-r*f;@%M&-F zMD1J^i0=cRR^`y>D-?J0wGvfS!x<*he>TtFVS_3M4@VqCfyz7X8&xKuu9>KW+!FNq zAGr2trF1F!7`h+1zZ`0!swqzOj;9+FPb8AdJ2M^g$Hq)~;nrK{C!ntot@HlbLJ{Yo zTE7fz3SB)(eRV9l;fWU{5F*|j1c{;{*>hPBaT==0xKXitZ#Ku64-%SHltL6z7T}Y( z_K!-AkwLRvgo0jnj`R74LOhhmEs)fazPhi(^8*cJK3^&kt69|v`BILMt%!B;RSvkT zF;ae`I`SZi6UFir7VlXk5WG|~Gx^mP!j1Hm?Om77uV(#B`_6f;r2w3Qj-n?Y1pQVS ztt^IVr_q=Wa5AvKA_|b=Ca>5Ok%4kx)d~s<>en+{ZdOK)e9VqqQr-J{TsQmM1*L9P zbWaMe#*+nRO?LojEI>*Lx3I;l7`-&DjK||o+w3Rdi1(X#^)v`0Yd`OD#I)(hA!!p%AT0n zSa1xeYK4|+I4TbdQO$#0j0YeyCzQ@kt8Tx3KS+KmAnkRpRWd;zsHlr@DG@LV{O6-} z7YxEhc%uf<3Ss<|^5vC*!Vf3~T?e~fgid6~Gbu4_@cJ$b43UpaLf>P?nB0a+A2#0N z9RNW;CNJtJh0o@jIuy6}&QqS)A`<@5bn721-+fKFH@nj90q1Ae5w{O&6@~r>SM#$a z9+?u{w*3z-o_hh{Vr9JQDZCmYrOuz=%JstQb47XL=;yc|wsKw?*RG+acFW^%FxV$-HMvsqhkL5&Do_LFj)^I10JM>f# zEYWS7@SnH%jM8PBCX;1YoP)Ww%S8Bo#Y*zjN&Nrg22z>F0_~IS1=9krbY=RR1jG0o zOqo#KhtEcT%FEwBRU-(|Vf+*hE+2{8&H-jx9*n(gZ4w(06bykR$-Yd+(7Sa3ap8ve z@`MPdP4*{gav3cWJ*4z^p{ada$pF;$2@n^O%zc3?@)x&I8}S0A?P)fnpKS(^JnqZW z%m>x9>j&wnpMArnV9vp0x*$g_7@HRb;l!c5AR)_gBI?kXs zD3)_3kS}H6QERrB&=UZfqTl2)t?^EF#Ttvr5TNtR@dR7?K@1*nw596yjv5^XJ!9kR z_Vz?MO9^Pk>Za3#kLzdFxCq}O__br<5v>)?i#Sdj`;&gyXUXD zNKFI#mF(RME8ugfcpPuxdM!?kS@g2iZRVCOHG-5eR@|k6p#3Yf#^1WsQ79M-%vl9q zjK7{Kc23}72Qf^jMq^%;QPI+14#`tUQ?W;dzjy=_{NWW?ly8<%F(0zGYXw3lS zm~0b2yB11PxOZ=>!J4f6;M?F#k9v(7V2y=Ka5}KarUozF>Ze;Un`LH>v@Dqv z#Qgn;jLxaLB))wIGTQv7(w5bW$f@38W%jPj{;h`Wt;DlMMX*xODd%YV?K0%pidcJQ zZP3ptk4q!`ne7NV6+zDi955fg?Bs7TMx1Ed*)mwSdZ5PHn5M~XYW`4G+ap$8@b;2O z%UrH5+0U_SU#W(kZ(^!sXEM6wTrYsD&^OOi%7+P&{^YFn6)R|+ zX3x?HY`60*v7;m8Gazktk)hR4y~1~q>L9tblL!g(VOMX6gQOV6GqL8a#{UznY!ZZ6 zKVzIXU76Do+NBszt`?4-6G%9U?b%(d6~QT(>8~WbM6pmsJ_B0Uf!%O+6#|f{>wF9) zGOi4n{X(YhxmbqoyN#4lTZ{g(hIUU}5L(6C14PN@V@f~@w)$#A(0K=lB<>+9kvI(v zqURuA*5M`JI2~=%BClQfzIG3FXNB5)r7_iY}g+DKz zAI>qyxJXm7*SEVUq!tCtK(mM#ul?|wffQ&8xBY=`SI6OPHp!GONKGdJ!j?OGH5S+u zWkMXp?eb>awEQs`GQ?o^Ox&Y2AQveof9JY3g`XZLXK?`)3WQK7%hq6NhUtxq*F*{d z`xEm&$cJ^8IaupxTp(S7bXX*^^bT~*9|v?^%yjR0YTRD;psr{jMW|Eu(%)A1?Qhp- zb5N{VJ`PwHGZ>X-mji#b+rz$Bf3PkXct}ZE=XZA;r|d*Zi2Lb%(P+j zOT%jMXTs0P_XS44Lih@ElzyM>+Qn?>A-Pa$f*M%_oJl@qEuTSmWy7YI`P_kKikBL2MU!7WbymB%Uva=TTAKtzrK=nltT}yEV5r9r!zoj$w;;b)xGW? z_gtowvE58FB3wHm%~Q(@+O-|I#s>1h5u=~y*pzGaSzZZ6_01>%2h?Ee@1&Pscknzq zvezeI|8xWXSuv8weRk;w9X{%Fjs(XxakPq8FQsWDUP3o|2y+@4%M_^h2tsIB z0>fxc^v>@8i^912=vYF#jGdkIFhBfRm5dG@$e+v!W(dN- z2O;cFS=lIo;sT?F(u}Q4kL0T#6Kf45uh#R$?-2l{^O4>wqmb|TdkFEowx&hIUr?2# zS;LF!j40oHRaPal%QzB+<#7XkpdNOd{QpwAoI{YfTdc^{PO3|lv+!G6zLj1ph;i`d zK6`)4YF2b`YZ%l}{qKB=zm@ov<7vy+8)=?uMD(3o1F=*)i^r*%q#Cw6ksnzLnvIC} zh@23b##6HSX~_hHRaj(!p9Ri(B`LSRJQ{xb6mB|Fp0SF8j~fwJyziEslycZF`%}DR zVu_UvXW~Lw_@ciP&^(2Z?o^&lHDb@R%-{E`*gRl*tN+UMxasXC1r6^!0K8sq5&d|JikXaQO0JmE*5!ikhH1vC^6uFU z8=8@$siRK`cG>_|=gTdhR9dgGeKO5rwlBSRzq2)qmO}f-pjNVffE7kmp;}MS>1T!n zXZ5h?f}cHG`oPjO>GlZ21wcEfW%XN33X6w<+-ljnP-8J#on1o+TCJ|KpQpHe+wtvYcx6)kma6 zTD(?$X5D;O7WA%!B$wjyRyzdDgri^7lgmoU>)>(y-a|7K$!?UtwU%C%WoPAiPJCoF zChflj+fw^I*t_ouj1;+PgHU&-}^f6sH{N$Lz33HCMd_9?ZmR^?bG0+!# zPoAIZ0kf-w-oq|n?j3@QoocAbzyC07Q;NX*A*!iR@^n>e6lpTLQfXzhYeuqLq5w+5 zPY<#s-Tj%?Y;Sd&R(BaHT3G*@)6(GiJ~2Q?@-wfrB~F_Y7qO9uz*kju9^DwZE2?vA z@^WV~>TN#5f}Kz6#Q@8U-N7ksAY~u_D;h~c&w2~vOD=LS&5^iA2OK^`58jbE+HK$5NOull_v z3B|V$mYb&#N(xMtjZ<@l&Ym|FaXI6Fu}(xX8qj&)6E6 zlU2Ra$<3_qOB0M$8+)C_NI`wm2F${#FK)!1J0S4wJ+A_4CRsuyV-qSgJBg=CgD=3w zl}h7YB~Zp~OFhl0xA}()Ac2EVn!iIH#OhD~P-V;4QRM8pOIC))urMOX!#3%~xo8-b zA~AC?%z(V*(<>X`)~=#sqN>0wL7;a_))~{pZ*g$wjUjdb!_Xzz@e%iv?nwu5x0rdz z(&UO-SUk-F9}E#cnLB??*EuBk_0TAIg7S#z<>4*=mQh0+giNkrCbRbeso}=Af2TOd zBG-C7c;C%mnlsc2YA*boBd>bJSM9Um#*kPVGiTqg;o4plu2rYq{Ty|$bv?~R<}ybLvd}X> z9%19i7S38Ab2j!uQC<)eg@Q0WB6RaG8_TG6Hr5OKkxXR|ClMp5A#hKo3ekB`@HfZ8 zKZ`NNx5p@=ODng^@;0mnwx2gD{qx>d>Y`&weAP3|kYqzBM@B8|mI&Gs6EmgHf1wsL z$|W!^;-7P~WryV%zRFFMyWf<^06D z`|(cIOsdeoEc$JB5#1iUn~!19P{`@oQg%maXds7%>Row!Q*7Q;X1U0SEO<&Jp-Zjr zTsbGQ=4MM%!{KP)NogWyWU{sATUP%^S$YKjZHaMbI8W(q(*)kiO0j?C1d?+32o|G2{En#BlWo z8bW5Ae>crUWdEO)<9@A~Ai8MKFQuixZb_#9Lfyj9D&&4rWS>9Zr}>o=tANPfmTJ0M7NkOzWhSYCr0aS;D0>@-%xC@KA|Y{SF;kl2P}~Pg{_9 z@`GmT?;5bW=E1N}Yfm-g3IV`sN=X$-4v=C?k>pRN#4%kbUglNhpM+X!`DGxV|r5A|Z(~d?h*)5kaDh&P=or5|T)w zmrF3r*WZ(MRWN78`%_HZO(E%*N-fqpYtxRw=#}6Z9E2tUe2w9`M zZhc2aua+zGnEGiRec0%${tKQqqUU)*sVe=tgyeAWa|5U1PE?8H?b4q`u8Ri%flRktDBVLbo2bEp3E~;__ zljg8|D4TM#R~K;zYW5kB{Nk%w)*F^PtCSlbu}?v1Zx9-= znXkMW-)&S3dzmQlSODRhO**8?ct4VCr0EZ~;OPLFTE6}Fpryw^7=XG-Rk*&U_VZae zBfo4M z{@|yYnw3v-RmE<8J${5Z8Sb+!&c+B04~it1iq=+?UP|=Yy}g8)vqC({ZS7vRTLpYS zvAC4j-J(*MgL2@x6vu1AnThd3?n%PZ*Oh<0OfTAU`x4dqX!Y`#K^`DS;+9UE*!+hx zfJu$Y&t)Nv9+ovZqKRkN1VG1B^VvmWZLKQ)!M#(gBQeyF{Tym(RUJb6w>mLN{|_ne zUxk)dl8}3I({3x&#^A~`1DE9P@tZO!Zxs@sjhg4Sh-sG}NXIpGPU>-P;Zn4HrM=fr zaZkS1UvM`PSYexkfRq#KuO}jZe>M5Cpyv)$ z&?AsT#3*5Q*eKRr5nG%v_@%%CR_SH;U0*`1%Yny@k6V(OdoA@q9t<^^$S=x(dJGE? z;z1Zt{BO9fAG_=Au%PYp^e*1=#lD}(XUkV$al%2e4IiemGX`^{Ae3o4%+dHVA#Q94 zKO!@f^g(W%>EnfdSqev@+L^+*tagVi2_q8hBC3{IY*SG28f=o&M-i0uM&we}yh@&wCy}`gAwFP|!o20TA`eXZM|-OJn1ncjgC&03J(`q_?+0 zJL=rHvg~G({Bz5Ns?7J@^{*dT+2NjjdO$W8Ypxq5XZBG6B=+yFE>rgg)XsXv3dUX< z^un(V$^U({YoP7nx0$rsqCH{yzxMwc|M%nurBiR$;K}biNtJBn%(NFacCoA(wZ&>x zhfltq1Z}jsmE12Wub~rE^CjQ@svM(aqw`>E?D+871IC7QhxNbVY}apIG7F`47v6tj zd@8`>2((BvEwN#1uTocip>Ompc~|Eu;o5VvZH5ucw#qT(0F|dYoEIErH>C^oE3Ydu z(t&%3KlwT}nhjk5flmYCVo#2JFBn6dH#jYVIIkIVmECv#9Glprl3>CxVSey0EcNrQ zV@%*S?jR+x|oE6 zAK{7my$|>rpUBJ4{Aul8UE;qBq0*IEOS)CWq;p97%!Y$q# z7(^r=IN#6v+}ZohPx(N^(+Yb)C^*^4;KFCrk^J_Imwm{$#0w@JwJgv}+ld2Qqz1Cz z{HbfYQFsXZ2nTAWqyK)7Lp>9d{`@CW5YpqCTxv^z7F=NFz zfhE{uD=>MC)A-)x?b6D@En`c;1T!fNLNH+z8S_xu`CENbb^noE9j6*t!50?vyQj9n z=~+Umr?s0!?i-l62W`0=sFcpxvr#MG+k%@&o{_z5 zT)GY_{i$8kMv0s&jyvsx&y>VnG-i7Le`AFFA#tua#}sVkr{w#f-bj@)_rHresHfF= z*V$jpI77{(Lv(@`@H*PQOhZ*xhAZzRu0gO77p|H}X9o7k+}$V5KL!U9IBC((;AzVj zfpAph%%4S{FF3JqJ$vVCinlVQ*nt9y72W9sf#i=6Xd1y?-=$VYo}nhymS?(qtjhsy zwZLgzq1ET8F6H3ht=BXoSkAZK(4lEvyrAA>UC3jhg#S)x+)>`SNEQ6IU{LsDAdA|8 z;%tlUb=yCw?mm64PktJitx?4 zcvJBciJ{8+4Ae7H=Ib3H<6KTtF1 zARFw>GDD5;1??_Nx~iXR76iff9)^g#+v+$M1~sii`8M}DZv2~#Y)U-zy}P;R`gN(g zoa?7~O=R8+%d@+~j@lj8$xRDGZp)2HEF{5)_6D6`haC_FH=?(bKQVZ%-A*J@Or8uJ48_4I!@ z=g4eb`PtVm-K?}9poJq(B1?XQ)IK>-IsfN_#Jxwi_XT>Qj(>H=M*Ne<3ODk2%<)Ge zbs5Ogds9g#k-ZYw(8t~$0`D6PdR2GIHDDa<|9$FOUAk#a%!WuY?(RtEvDSBWsV7KW zN-S!QjT|gCn9+K!5Uhk!!cNaR_aV3oP_R6pi;Wpy*qrTzIpS&Ik61@ge@5@C6H6o5 z4ARI#{IR93%Eipyu#tehIg_&r0io{l2LuB)SjQl> zT0_UTwd2d18s-Y}^> znXU*H2#ndT6p+V3H)bxj{eWc@4TMOh?TTCuuihTy1Lopzf!wCK)CJVykFG7&;#AeMqVG&7o)sy9l)YoO_2a#bc*>y3_hiZ2k=WnP8NtJX$c%SGUOex9I7WxZ z?r+-t3N381#Zxj?F3cPAJ=teh+~|S0Tsa{6p&8+WTb70kwJzAwEHg88Tn$yQW>_PW zwbHMKVw2C?k#@CL*pWtiX%C^-6`vlQb$=OyHS})1V<5eJ8md zoLimzS_bcr4dIgWr*^%~)h~lliDwMNnu8gG^dzdv-9NDmDJ0B*I?}BlCk82F6=Yji zKiCe}#IKd}&EwfR91dOY4HAk4%Wn2VpAL}k(5$EEcIFI^*M0qdbIQgbG`P^>Wf54| zYVZZM@mgGwxbQY;8?tx9XIliaXDPX9A$*>^%b^lVH4;47OFw_ar7o|wzOQ~Z{Z&z| z!-78OI%VN?be<_Le^z&x;cj16nO_1er~zQwFf3nRD_6gFrXe`L0>(VJUeC90edOP= zKd-V!2@S_v6`@naA1qrH!^)Z-gzh z5ymE1o4p5C%@zvV6bt07|2;lRT$h@J+bTR$-qmOSa-N_;iB5^XD(B>UpvTg6wBYyb ztE+vkiFuyROi=m$-!0{oEsJ(W*|U+=cb*D~><61aKSoE*<&P`oRex4PCi_eK-#K`_ z)gl_$WTO1fKR1OxiPR&}F#oeN866?~+&WtLJ>UHC`BTw?c;M66&`)kEq@OV%{AD3Q zQKD=%p(_xe{nWTECVFC6$w(YIJpF{(KwM+2e1Le)9{C`$A<#{eXUq z#*4mh3o*aXB}8(6#C)D1vDrno5))XZd{yygUH^xe4N@kHQMJL`U{7iRWPaewF@h6H@+6iLh9UtUbt@KSlN z`m2_?zlZ731B9w-E?lg9MLZ8_5sm9`j#NC}V&Tz`QDNkoq3;cEp!LzPK2rR##ja+# z5FyO8QSE80atx*MZH@p^0d({^E2_eJc>J2S%G=PWJ6sCnmuxc?F@1DuyeK%vhfx0l zB{nZveKq!?+U}kDDDwQ8A20WM<*n@`=EmD2>cdD2X1646NZu`x+?*?g7S^DrW0&XM z^0WyX#_i=c+v6Oso6S;eOnw_QC7e5)wADO`o?8NazGa_GP z(kA2Lk+9aYFic;2?P`3>y8)&~9jmX@z?~Z1p!?Cg7nIm8_pI?c87M74(ZFq6-V~O)B z_dgRg<8z%Mqr7jKSG95oj>-_m#@jDxU5NmR%<0z6@x)( zKiZ(Tzf@kg50nkY-XPsZJdT0lWXaXH@k8a(b-_{ho$q)g%8t*q)=l$PUwBOQU=TH|(dx5XNV7EuQL@BG(E zC$NB;Ds95x9^J(W!b(V<}@6YW1#p7Mn?!i$PlpFBF1o>~3YTft! z^R%vbwagFoxk8cU@y7qoYKE0wscenxPfS)k*si)WXL+5G{LV($Prc?ue8)%;*KUgq zO<{-07)?}L{#r{&ku*PdJP$RUT}|R;{jg_J%9$h z2X&0}CvRJ+MGAFAM2rGMUDbZa%pIi&lKOv%7#AtAo;a~3hYBXzH$iI>Jsdy1lilC@^1_3!z!tEe*h+Y{ z8o3Mm3|QMiR0&7=TeQy)M*XdB5=t(Ou|U`|FW_P-=;MP8e*e{y?4>~3-o|YmaX%{)_%8a$pHTlFN3G=DSDzVEC+6_{tY2SyzDmP$LLarT zv`f*g1@bHBP~jV z-Ku?s#mAOBcJkK=RyHr-pQdRKW9013801_SHi~P9`V?_(8j5;MM`zOLUqa1!Ne5Sz zMJ%?w2#s0H+aK=Q9PU6*A0UUs-7STtb?)8Tu>HyPuUdml!_vc7Lp*ZQNZivFL47g z!pO2gPgnru$*2;RRcx9+4>j7Carj24IjaOQZSo&x`^mhx{yzS3{rYXp#4`%u@6(HTjQmGp+Y>xJZ4z{r_rWdKnvv1fK?^Ejhi_*$0D zmbSTMF^XDvQdhJ|wytkzfp{Sq@@zWQCtvXeHq_UGA`hx-vh{inDZ{Tq8D044PdgBN zBiNT1Vf}e@1rkYKSEXr9oiOhgd_m6^3f_`7xGnC`6<*}@Y|CzAtBtzr_M<#Osw9gQ z4>L8=GzUtE9O6JY;kzz)&aHn&U|#rCtr9(^{O|r!KCUz{^${B}4R^B$6o2W$ezbm( zHJ^G|qTY79MASL2_T=|q7{$ON#lgR8`q<+vG(^VDl0JJeJdFt$p|H)708>xm#~E@y zm!0{96oUZ-#|l8TS8z)K>Lh`;(7yIrx2|YfiOsX!_5SqBvPRD0ho{2N;sZ<1WpgG& zzjQfrf7)71sza%i*M1OXlaTK=k*f0MAinfHKP0cx=5A8E8h}d+wvsov*s}#i7C1U7 z{{OLH+*Y;IEevKyo#PYFgVyo7+4o7JkMqfK*?ZyVL476_?1c%m#=xL1*m*HDa=1e! zAg~o?q@QeD+yN6<*0fri!d}+mTOk0&UKLBi+ZJ0e`W zZ_mTdf{wYs>+Jh{YF1du;_h!tGDOl|?p;gtJ;Gxw^TBa}!Cogh?@z?={+eNA59RQ{ zAUIp3sp5QJ`ao+2D^iR{^Bo;|jAPad732X@Z03={Wt)s7^=lJFcxp!$f27jOvi-Ly zJ8DTJWsL=3deiJ>Yj3GEe|>YTv)0K*W(qMP(fqr2vuxby*`ulzaCFcJiecWSKj=d0 z$gn-Xsp(#F%}(dD@VGjL{Rna9K!$||z|$H|A$Kd&R07kVuQ1vOctO*doNa5kV}rgF z7bXPJN54}@4f7BdIoP}1@fHugi?Z5n7C1{#>ybM?{Id&4gGJ(zXRS={k=aSc zpWN4E%eHObvs0L>Us9dWKSq+G%%hDfTe=A(CuOKz8}ik5eUx($@Cw@V%lJ{tYrV9( zHjka@#j!$1)H(6VK;fN2zFDct>RUNVI+WLv^0{FB(dw!9ORDYH4s6U1OqlSoiWN_6fn_v(dC0o^xJButN#jC(b zO71>gZA$h{u!pY{2UAFn>W)|FiF7dZmkKFRRf?_o4?^)iUd`(Pbtghyvuptd86 zudXk}r>d4i?+&}=I9s;`>lmLSc&xSq_Ly#kv^37RF^pi7yo?*>4EMFQ;ui z^uQZ*mxu~K9ySlRwz%bkZ%uHE@YKdbf)GDNX)#0ElJP5dI;@{hYTPrLJBQjei%|fd zfNwA@{%)>hrzg9O zDDVxBwONq{UZnd~G_%fm!YgvcM~W7ejvRjreA<+No_##G@^E6qt?~Cl;1;U9v@&fl zszsg7tf%R!uW~|K-M}>p?d%i3YfN}ynhteX;M*Km zEbwe^;d(pO%rM?wtE24dR6qabYng^EO;cHjTOC8!1B zd_@Hp6a1f1k8#{Cjuu)10ZZJ!8As}Ru`4)$Vd`+C`^D(wn|+zPMHKwUHRtQe{jR2D zz*-x@vh#k$bWs+}^QmJl%>CPnC=7pn^Wbn!oWveqQ7r&V6Yayj>vw05)8Mqi?Gicc z-mo_0S}eUn9WPAecMt1<`bg1~$1I7!>|g{Q75Suyl>$E^z4k0iwe2%Wp3x*GlED5Snrilq(>E zx!ml^^V0dKqPR#`&q-O`>S)TQ0smjrt$D852Fl>>)a00mPpe^fo{)*k;mz#f0paef zRzFGjh#zJ1@6KaKV*xb|0rcIT4tbBH_?*88u#}39`r;ArAMM1>G5+X5nJ7CloO$)| z^wNXYYJtB&QNNj>DVA0w-fI^U!B?k3I3`zUfZ&_shdE*ylQm^p*rUALY6skKt*LuR zCoC(PV)f_4Y0p)%XI1_5E+g35Z*4QoX=aRekUUv6&H1RwDD4UUwhcx`(?rDx?_mEy zxBxtb=SlTyNn=Nzs#K)1H#M?QnBTFib0PN0_yUv)GzciuC)ZLXnAjSVd1Y_M{jnBz6cBsJb4KP$uY3F37#&U=ihiW_rQefk?JNbnq9JMbXni-!!fQ;! z%dPJsDp(PjvL3Iy3NcLfm5kC+NsR=*{A@zLCHD(z<$F=~E#$=u$~6Vw;25BlsWom{+ryjoB2lh z1NutQ9^4VJ^K@q0N@G!Lxfc%Uslw$I`;W^B5A#WF*^an9USuF=HrFUfV67&PC!%YH zo3tG|`H|~0tjM8KYUWdw31g*va3|iM(}AYe0kizlB#CTvZ@x;~`nxj>JkT~ibcJ$q z)&|g4^b9o>iP$#ovI}YJ*tr6;m6EH9E?O(ynwe+0%Lvo5YEi(B)D8H0)yc5<%y8<3 z(rJhgB=}qQW*E#`205ECXJgRnf?nb8PrW4ofGQ%JM+%zo@p`|4@s?DfR#0SCvp>jc zR>f*LYS4Rb!Lm+^>Tf0TPrvT_WKxlwr`LFFvHfj|2FhwuT_f=OT95V$Sz}x%};VqJ4``bH1 z2_!pi+eJLBqsmRy=Ty2`UFne}ftCYPgW!xi6BVS#?cw}))%a9(HXedAj3^9|q66O= zJfJw+pu=yDD~@)IZ`g}bo@Hl?_5ef`sU@Pj@pos&$}9fC)du;0S`&1PXw<_Ucl9bS zEB06J2ma25TB?_Mb7nG+zA(lHTxK{>C5t`r52f+&1$&)l^)k?eJi=ZM$$hGF=*24H z{vz|VvXr5=Iy*t^RETK|2YFn?>8&_E*@d|j5}wPdkZN=MC^%&AhTjyxzjl)qNYv3m zEO-QO%F+-52f_-#>a%_$vGH}@{#I?1`6j<5l-C<}V?0$Ljs2G>8crCo<-z^o&n!+_ z=qfhd+JXRRhRb~8!t?X4`maO3cRzt-ST|SB)2EF6Et9p<=QXzoj+(l5>i8U;G!4fb$mpq5<9Ra%*-z}kJrkgh{MKTq z!lw=Sz(^xAOc2AA=qr;yJeuX+{X~a39Xwc6vO5ylw(+@j(9Fe;e8{Oc?3Nlz^ zux5+`&Qwd1$HF`xpL`ee%pN`e{VSfMzx^U7U>2he9>IrYS0K1KP>P?t7T&DHDB)`| zARUY7i=5$Wju|CIG-u(&Ts(gOwhKkOGb=gvEQB}a3zK*`wl{D|8&HDD2h1%k81=m6 zUq4EOqyYkEj^H0OGCD50*B2M8xk3=a;MPZZ38d8?bnA0Wzb z5OLreu)sJ3!Rr@P$G)?oO<0U5(t^cU*dQd!0ZisnRws@WmrxA+rcCh?d{L69#(7AR zf6R7D@(s+rE(cFrD|kJ;3)<8>#x(22XWRHQTMw;`Kabd5c@(2?vyz7V8{&0O=A!7q zCjG=bK&gK1iQLk$s}?oIcFjQx)gga|>5@ zMR$tx@-|b4^!eguxDoTlq?k;NBFxJ!$lb{Cw4pZ!Pt&fD1`FnTlraHPyrC`#R!qA8 zNC6pduy(mN>Q*iuE9Z_0gAYhY`Ztt8p2yt!{8V!a$W!am+Tt~3C|tC*da14HtQubD zSTFmjNC)Ne<;8b$+|j_Ej^nDOBR{= z5rBI85eDgS{U=jtWI#UX1O8A8*b%0|f(DewgqI46jO|+(Fd&~Yks+BmGWN+A{M>ZnlF*m>L1bAsy>GJZd-N)NHd|m zZ_O#M0e51v-(9*VEJ2E|W_IE2cxYwtH8k>!TD8iujM6q-mDmmcHs@hiducl+`st)| zgYo+|Hi)%oFaYG7%we0p-==T!Sab@@pte_yx*jE~Wl>cl41uYNFB3S?>`|#}wBoeR+p)M0m5%A^Ee+_D%t| zu6!hHfj|=+k`3`<3|^bEpj)T9mp@>FwcKNRJOA=jyJD;KhC=;YxRBfe=8=e)eJmh!{ga~fur8lwAy2ZJ;RFPk5-hT? z?cu2nZ3Q`|4@bP2isuPH{rYx1`#rwg#gt zkSsP|i>SeUUI#!DvK1jEvP?5iA8o~tv|>`d_%Z4SkQ$#{Sn(RK1F1rtjbFRGom*jf zC8C7&M=|>i#rE7C^j%(ND}lc6J7(PnUj;W=aL?<|&WRiW_m|gp3#S_cw$+r7urg&b#&nNu=70tWM#p*73^ee1ry19ZkH8oQCZDQ-v zlg`kjGhLnv!?5B%d=esM$mczCuh|wV)>UZRdoPE$j1%(mi1RF3nZjLqjsKjMp&qj$ zMc(`?A!VDsx_xqZ>`~qBS4R@x(lxU-z<)yjyVaVl(z?-0^p-_dt=R~rFcoNVc^ewN z!-L%XowbUTLxHYX@XnpZ&J0XDF=Sm<#hMowT+{3^k%Q6-C0jmx9w3cK)ht;d(FQxsPv9f)6}0{3r0?8 zIdxK4$k@Q7Uw1CU$GD*8SY_pj(2ib!$8_47c0;I_ES<&Q3#lgem;cc=0Q6Sgsg5*b zkhqleTC^#cwEwYI{$sh=;Yg&KAt97sv#0)Cz_6{Ca>4Z!nz9UMoT6Nihw4#+N#lcfwa&#Oy zlTP}#9Nnyz;nW?ZP?ugfKgUdo6gM$1dP$jOia^_z3x-U+#4xc*{hKXr%eKv|Wzjw@R*Tjk;z)C$- zRx*0M3QFOi?t?&9x0IDQlVW=*54UE@K?Fa*M*?_OEhU$JQUJllG+g#zKYcq^n%0tB zhFJz1X8aW0`k1x0KuH?Kbyxj3z9p0!7#Z}@E@(yhQifAV5UOw6{^mAj!$YJ6wHvY} zqHeXU;m4`3_NQHnrc`B@e01|6i0?jkoC5n6CYK}6SOA-5MwUyLOE-WB-e=ixS0V6^ z0^JHuF7bJuW}R=%n%C0T=f)q@R{Tvfn9Q>pz^@c;e;e+v{F8V7cJs>7UzIPqCFKD( z8Z{_P+p|8Gpc<{i(%UDr5mLa7!)9aV#)LCq{x8B)hO8^imabDAwB%ff?=+6)$iSCb zU~2t~;l}cR%FS{3cY;hTQzM!qz%f-ag3eqr?PZgNIW?E9znzg;Rozxffr+4D4cKwv zT9308MkeWeyfy4+HVmG-u8y_eeZcO{fZrQnwkCu`7nn<{+cs&Y*=9pC@ z)EiOa!K_&R!(?kXsWcoNZPa5gj&<@ebo&X?5qZyFfau_x{&@jd81JM3x5qIC3`^fG zBN$x_8Bg{Nv*a=i5yvwu$7`pyfBw-)S&a8#Gwz|@B+2x|wXC`Ngq)LEIykN{IkNj5 zy!jm;)zexmXR}5HC3ImvR*H-Lu&xH2oNJ=|y+p05S(?d;E{Rfm{^W}H)w+0Koc(nQcM0<@6K3RF663T3gq}wF0yVk3YxRFmZwbPqzlW)d(2fvzJu1G8 z(l~W?LNtIzyb!l+szTjrz>LI-s4gujU4#18Bi4t}@Lp-G7Z*`+8|o`zFAM0$suK)c zK=|f$w*tki`HpVI2fXjjIr}dmOPLx)Z9Sm%%&Mp!a~1j;Vq&SoIqMu4RkW}Wmae*kzx*wB-1e!v_L40TX-VB43!1XLJXe^*I8`-=a zp_UEm?fnKhU=NLWd7+7r*1PG*?pr~zlS)HcpDTlyl}Ve4hv2+PQe%6M%q^pd1&w3w}1Zo6W zNS__%{1`9PinEqK{Rcc6d2-_7(%Xp;nga)1sHL@Va-O1;8 zXwVCybgYeow@)g5PneW!d8V>^2=)6}+wV{fwJ(mLaW_5C!f9KTYQYXnERCgTX<->WXr2w0s>A`)x2T;oXGw3 z#{lAJ+M1)N8D{p6YhrxbMz+vPpZt%?@U3#=QZsBZ{5rH8f@YlJEPZNyl`2&tG*@SL zcYMp+AvB?nVq-1ea~kPek<%yp%V=_tC?t@antFPL?67pI@x!fR7oIewNR*?ijhI^r ziOUWmTAakgN5a(0_n*wl!E2wcWPH{+oSq~yoxMdE7~<+v=fX)1ni0<5d`_$6*Cav4 zK)_mkw0Z|gk8Rgfc{Fp8O6LPI9fl%5WCh)(wb0<_5u2Or+K zMI<~t25{%eW<*o+VYy=&MF2Hu(cWeCgR!;KvM4yD)liX%xaf!DseRQd>0031*q)gj z)7-Wpz=_;qhWdPP$<;z3V@{mwGF#9ecjU)$ju6kZfsDaaNCrk%gd@;gx}z_}G!e5XAmhowQ6C!nZv z4MIvjei&$fl^pb~QPLJIv&}#|JA-7Tt1X3aa?vj+az|IhZ>@=TEC`zPd``sW$4`w$ zH_MIiyG$+7lJg;6fOLYYxUDYtyauhR=5C_b8+)r%C)I29scB4Hcjk%h?68_4#IwyF zs&gM;s_FfUf6MD%9_2}Jh=Wu)!i8^C5}y6=+JEvcZE2+JxQg^3mtqn`WPz?bN=Fmm z&nVO05=%&3^~G=Pa`EUq7nu2aLS31b7tYxnBdXXj+B1r%K#zU}&_K1Q1@YIE_e(i@ zMnW$UH~$+yCFOl}#>}2=1Al<12FdTL!R6vfGwYsWr*DX2>$*0fkV7$+|7MRsS7wA( zr4Oc1Z09?blF!|B+nyI@3#E_mI804nd`9Wx4CNfW{c&m7QnUM>s+?^jFEK8xxmP-# z)8}i8=G0tEf8b*sz=+)8Xdyg``Z-O3{xBWz(9{;x;=Yxq?ao}FGnKKK_a-N#Sexb= z8{nT^=X>am;symlBR>C2FT|ETw%_lEq#>skJ#l#?<~S1~1(@oK?rK?8z4IdSf}MQi z)zV_j;}=g7{idpP5n%$fMsx}>nLNHs*Sed zL-I4ns#x_SAt~j~2lG5*6{!%^wJCOH@~c(_h3(2P52vZZ|7Y!!)$*%r3y%U{E2>uk@(rd=0-jS2!Sw~BPhW!q6jiKDMk-H%(K;tH{az+!u!Xa^{0MdN&n2iF zCPL+PO2JUL`Zv@fal003-zsgx(omB2irMWO+2bnt#ngE;Nk=P&eM68O1QzBO=i1nuu}k0kZkc;{xyp z(2H5VC$lO;yut{)>hJ{Lpk@kSHw$`CjRXLRl+nRsm7`!IxqH>2pyVg`(q2UK_!!c-XCJ|HcQ zU$oMP6?ic|X{d8lrlnWCLT}N{FFnPK+%8Xiari3q{l;a-*{#qyyqL)0QSdkr2{|Z7 z%N9Qv$IIjo=4TfSwubf$u$?)D)tsp6HW+_msa=H_$-Bpg!OcD~o>7fwZqF@Q_x2O% zy_M+~kR5b%0ZkAu<|*%JejcR8DXWIm2HH?ZDlb*Kj1CjKWji&_c=D`Alf-^Fj$B*diGhx7#_K0U1u}2znf9rN#nvTw>0oxUaJ_HrRk-(vyjlB3ojx+5M9o-YegI+tlll) zl|mghTjoRr)kSS7qM~K2o=so*B5AqA9-GK^5&tGdUC?Ku7+0K`M@Rx0Xu^55pI#@{Wwsg9+S zBL(03NJ8n2Av%=CqA8lOlPAjX`60@uDzFa)u0)b|C)BB#9L5}cRu(3G%O1q~NV)x5 zv{{6}Tf~R$(cO)|vNFZ7fJ%o@Y_ft}vn9{r7x>zXenMYm`TI|fqli;zp>c*$?oX=Z zbt2|(-t1NyItB@fSD%I*d`SQ_beeKv)NE9b;PCvq<|^t76roQP)e_j5KhTqZ%J9pa zx*$>+k|#(WE1sx1|AJ-sA`>?~;y^LR_vEs=dlb#YYoq}1U_ir}WF(PurA_y}hpieH zycL6r_>mUOBB8WTS$B~icbFtcn$&9^6{vFml$a1b) zqgKNQ+cmV%MlQ+Yr`4!i%StmFEMH_k^pGJP3(xI-4p4+YUl1O2hnjh+B>yf{TDih~ z-z7^-MV8-RMg$G~sU32D|LMhG@v&rjw0hr+-Z40gapvi#*jA&Ti8Ahwn__6q7VBs) z&@q30zv)1~siPR!&A=odDuaiB4-ePEkw*OhzSIoZdl-J^$NxC3V1jL%MxNs^1zTYZ zpSN$&m|r^8w4&#Ky3;898#D9?&@HhM{|=Yy(|*Bu;990j#a8IMs9>%7@KHl&P)Laz zrTG^G24*~fU%Y0qIQDE-h<9iDB^K4u7*S%+Hi<=PQMRUjykO|?Jj+oV8LA=uS2gdZ zN_FW|O{OSXH1cfys#VurCV%g+^g6UBjYQ48YBo>#*}etJO*R1BE|hzz8?IINl(IuPBx)=&o#iGuM4P)a>z0pu0|vzFwd zQ}~_3a+pmrWCFNupz!4i-*4O^92yD+>y@5Fi9k71IW` zu5W>u^=46bD)jKT7PJ^pPYbOtp`81#4PW#?Q}kwqm7A4AyI2rT0n%wGi%6fXEq1IV znX`eKjEyUYT`%}{a^|Tvrf5RceHaRN@uulGlbe)VjJfQtQ(C{d9%z@@q)x zTJRHx!!8_l%@OPuOQQ~@YqiQ462EuoyJ>5qfzzyokXMs77XU2Eu~eXc>lMMb`CfT( z;bD8Ii-jt7GU6E`Cb-#EvM;R%cXTR+_Iimm{fv0w>xg(GI0(!~1g9U_>?T3;%6W?} zcy*yEYrn|60sNs%jnsqVy5`*NOq5yZ_;_Agyc*&c$!0wkwIm&nMZW%%Sc9q?xVa-G zeLQUIN%$NlAFXmHrd?!=iby2f4cKzi;-q_NE**bV=;}CGv8Q$WIC_tqWoCA$u4od zDZUtH^Fo%BEX;S0aDcpgc#{Ci6hKr#LdynUuFkg*E9~Wsn+v zZ`p#j{XYc2r%19^GuwD$pD1C?b;@_ZrL0dE$Q9xe$0p3^tNIlZvY2OHfYxodD@tkR zcr9r5XskRp(dG+(A)enaR_#DdyV=+Ff^OMbN58F9Ks#SRt2!gSnn%zAa{3WG(2^Uj z>7*OH0VmIfiyJUN_y5D#dxs_Uzkk4=hFMu!S!&L-%-pG|xid>sQ&V#fNJ}f%$1N(7 zT8`X%OJ-{20?maAnmY$>a3GZf2Tl}31Rg%$-yhE(&p*#~&cy)^To>nXulMVI-S<7P zaixi7oAb0`A$RcDk2-ZEg^`~suCBM!=_34g{zfowGFwr~r1WQigeI)Q&3<9R>lx)p zb|E7AKy^-=0!sP1Moo05zoM5MbJBzzy5s;0r)0{itDTazZ#6YEgbCmbU4r@aIv6oC z6))xbn-FUOe3ZB-#-7Fr5~YZ1NQ8J}!;Oz!$I=wa+aE?8O3|`SMS;vpsIBf-*9o<@ zG=-T%)$McX>DzD8D9;Vo9G_FBV+Fd4@6~HTQt{_<7Ye)Z&}meF(5?jEejeS+1IzYb z?nUX8N}QJL-eIXTb6JaP7XMgiznJA8=)r)mW+V+7B3Na%bb$Px#4w%)K*G*1G5o{S z@RPrmUy^eURJG_J>hNMpD6Ie^Z<)Wg%Z^A*$?{7+C|w<7sB~pFs9X=YuPfo;nG-R5 zh$qV?zTo7b`hIe1$Bmzm**5<~V*7_$kr|Y;z;n!1C*wv-Ia^#3~Jm-swodScT z_nOe!ZELPpEw0w^mbN$4{!IsO{4;C!&=m2^CRV`b=-XA64~aaWm^^MEIxEZ( zDkkys4{mp*-s5yn7& znah{oKe+q4^nsY+*|U!#&brbFP?O1ieuVs*LYQj3V(P0?rhcmz3CWf&=W{$q0EC>U>6ijUXVD1 z+u<6dYD*A=-at%5jN8(#L&)Yo+S{444ZqH4%R&V9Pi*)MjpRZx!#Y67ud@rMuuXAU zZ->A}s}TECy{rsufB9q;@p3OcUb9>4)0qr;Jyy2h_WBCK-COyvrccZMikIL z{*OZ+eT91hsrktBX;RH!g=y@eclK_l*y2<$&Af;a>HQJ@0{49Cx`!-oX2qOXU23W`p#T8|x9dgHfFR zg2k;Rz^@G#E`(~W-rYVCjn=$-Xcbv~6U)*4Tj#7gmhF=sy}ZNkwZ+BgiX&jdq ztdVUvkIKLLPDs}?8yL$?lkXfEc=~9rYfu0A53LkzADc!2wlm7<5s@BMWJYw2+RVH7 zR?{^oq9mmEM0bP~meK8fMP_z{*E2^rOTOkJaj6R&L-0vLxhFUlm5QpR2&kST^e z*PVP#W;L0+Dj>)WXpq6_bYx##uMl&$_pZ&UF6^o7R=Y3;#$N)cx;SfND1tG4#17h& z4#b#dco>lE-TDCA*IChlugNx5K5&!av_&mLcpSC4hR6mC?T&A41Y(eq7)JG0zV>!A z!b7RdNmD-HRtV2FFFL^kpYE|6;k)^=g@^TGie`miwIkq74b?JVhPn>Dw90QQe)49g zHqR?(EBoMxSM2%JLKzUf+=v#X}5{V_94ZB9W@kt<> znY!h@-Xg8!1hg~^t_E_)JBecNxu~9RRE0y&lbv0T5?_bKj}G6!1x9TeR6SBPSmnGS zM7H^>1&sY`<<7yQIZDYjMGP9U)6SALW@pbBzqvaHJj_;-T(tGqReAS8s~R7CGlEp{ z-kZZknoYp8Y7h0CBA`orQ8M=ts~5HT)UyQGda2b3hKnEO_2hC<BTe@iSPu_wkvxEIO%%!~3{&gvTiWD&~`~+4&i6F(#xS|sCMh3Q$bHP=F-}X&P`8{i+hFob-ZSN)*2nES>50Ax;MLj0I_$H6w3J{yi zUbTm#b5C`-AJUF}cCKRu?oc3CJ%wBf78g^u;8mr&TFzGx4Th9NpwF$wydU(7bK2qo zH=i`Mz0;DxT7Pj6#Wr?tUG@}&zs18to33}mczL%Y={9YBCp*cv8&C2cBDbrCQ>H*F z9sizVWpT7LCfIrWMxhb6!&ML8j^+?Z@+_`DevvDxy%@_p%Y$F7-b)f*R<%$I5t_61 zNd8zMz#V3~)uatiZ~I!sRz!Yf+~_1n5iop;+759EK8q9X_Lc7! zvPo`6wIM@GG+bDpsL^eW#Fx+=aR7K(+R#2ML_nn zEtgKtn?c1u5%Dt)Qb$eK6|!>DHC0_bvjVD;OD|$`u8pHM<79bd4k;d;jkldlK+iDk z*@%~sqe2f5TLJDnRH-*%cju&-3y+@SORxKQGr%Q>LnRv96V($jRo1=Z{N5>+z0+z;3Vt`QSFF(VyLFX6KulpsM_2VjZjEkN zaM{Me!4u4P2Hg5D9-n4I-d;KsNo!EekVl{A!Ee6lG-4!wau5v=N0~qlB{+YFeMM=8 zt6`onZ>Me9ca~q)aDa`|Lbu*{ZbjDALnO*VnVg4pvh)XyZ}t|xCpPJO@@G|!Ykt*q zg1UyDzF;wef=ldcfV>k)$a#tLS~J`_HJfpn_VJL$n-(A8uQWcB)OZ_je%JJ<^38{v zot_dp+Wt_5F6oODWu8Xgp*9I50jLHo_}~x}fyMfve_9*f$=-^AM_i@kzM%CSri4oz z@|+5j9OU1MZKiZYm|cj#cKr4~?Nmx}u$gs9x#*>Om3Z9+8=K(%Kt9r2w)05c=UQ8+ z_ci=*+gnG@A!*WiSALRRqJN)d#UzHh^qVS5Wk*8u4g^BDh-Y2uPiqv~F3G;D!I5@{ zQAW<6wSRu^B^5y07e&ZHuC<2-RD6j>y`4>c8oyI5IA&2WXoRxIg@26kxLmq#SfY19 zY}Lp^i|}NLe=1M)P#E?B^M`V$rww&0z$0VpdgsCu&#%i*0ti0dQ(#Ow#M?Ncba@YF zMDtrTB(%LBp?x{kqeBqSqz{+)hh~s0$2}0SS81HmFNZS8O-+AteVrc~;nsKw;$QAz z_paRKB2OU$rDra4yeu@(jPHH>STlb7F(Yv+_)*QWnjZ@5ZcQIg3`r0AE#eu{o%eTp zhU#*1Bu}75>DxEjkywux`r@SBj5alcT z7T(j4+LYDYeH8drIx@(2^Yp!yhJMSB9{6kz^9orq*FLDCUS3V+>utQ1SF4ouF@Va6 zHGAM>TU7=}ztip(*0Va*;=kG6TpqZ$@4RYn)36q51F2abtT=zn<;<1>PkGBAwCnCk zksHo%FdJfCfN=)kSa}kiKMm5aw6F0}YilO!7$Q5-*Q`IRCnPY7THL4!v@*C%xnM`v z$2E;9h)@!@%NH-wvR926r0zASU77yQuVV<+I!+vR|Zb@lUR` zw*KV$3T^Rl>Iu++TIbI``;YM_pwSidPQoBoXL#6On-}~o}Z~Ul@2LXuf`qmm;J|s%6=c@*`GPfT_FNpRscphX8~@h^57mF z>`~lUm*RZOxTKm5g2wNhQ6K&g^9|o{{UFW=^tc*<7NA*orM1G11YBKMFtc19|jf#bot%_p@l5I4Gy5rAt|0%H9pnw;0PO1A%!K_EL(I1qf?rN(ktUDkLA zQ;N?TiE)^ky%CafUx1Tf-q-r)>D#vL@5RbFtz?W6{&;xMy@^&(vE*Bv-UlKaUfCc! z=6wDTsNqN>tcV00mlWznI*saGpbSjd52vuon}-OOPi^Ku&C(JG2aUA7U6rxu&jL+h zTdG&A8oUz=C9!P^3+o<=o!0t}BCkijFix!;>CO;(4}M;(i@_GKqB2K_q&SAl=ndpo zuZfsI4Rgx;)PFLwv|JH&@igORJAA~vO1IyH6j1C^|R~5SzdvP%irnD=l1VrShT7K}A zg9sPxx??L4U!}eLH{4;<4Hlr!lgf)JFnS|B0+N0&e_8(D6yV|$jLmV^A*TpshH7`H z-|;i~-*!pNpD0a1Sw(8uS5+}=Xy%0Vut;LA^KcXYSM`co@meF_{uKbh@vG$0lwg`N zNxn?0KgZ#{eB>LsJZjE($lkBOVu#X^`o7ve`RNnI5RE-i#30^BoK}Wg|KanKTIw`n zNuiaqF6USQUi-fo#j}OT3n-buAD0aJl@%8Xf3-R{% zBniyTZO6))gMMi>U#-Jp%wn(>@$J*cGRTdz;AsUZ5%X5M*<>Hsh70-q6(rR9IL>ZX zfw?39v^03d{nVT|^KQk-|6d+IRke+sJoH!VlF!Be+8W;N8&`0Eyc4Fd%*-xn+xQ2aT7$O3@03%wx=3s`yA*Z#8Ca4i}NTBpLq;1-%?8-G=5<+e8Z354aaDuXJk z#S&SFq}PzoeqngiL3|)D+KrJ1$qie00s=`3+BhcYxUURbTZ_Z@>As3tU-P9IH551rXYs!_bsK(?F70 z(1&%EsujB=m7tTWHFV!ver$fab7(-(y%`bJ(EgWrlJC{?e~++dRm* zkacu(^M_6}ET&)e^#_tEAcJ-)Y#3~4xUo_oFXUd4D_?}pk5hUz#1cFNNu*wf)A!Bj zCcZ?QF41Z4%Oks z@$Z(c+1(%U>hH?x2erk6Cb$%3S8gtK$AUk!g#h0IicE zBqGN9V}`(*JxRCuSnDx$Oo}@of!wHxo@q(HjU{882~D4rm_s_NSjLD>)A50v_Rz5s z^Nb>LWKT6<2~EgTLyqhQT27qy37ZZ(I2IyhYYf zF|FZFB%jwLpSxRJWPPYQ1QopJ$+~2iuF8tzTn7D33V_6=xOB8yvwcx*)r5W)gI^V5 zIzqZ=(R|T0sI+tnd%I2?{BANW9hKV7w_T7gpPDq5nbi77C8n;eSv%C|reC_m-`5W9 z$hwWeR;1?AB*80qM0$PraPyZ=O<4G4rH!M>8nYyY=6g)Biptt!fovU2MNHLNL=(lG z)o>;7BkO?rM-2vAol4oiV(^IZ!7{G$Q11=yB!LeHmb{FfL5yQ$QPTc&B zb<_492lkCMbDId<=nMRS&fr!h=7FG$E5Cz2`c(l3{s-ki0`qmM?pPlwvfr&*_wIqd zaP>^UR09~~)tb0Ciy{DiT^ITUwGH09+QPHBh31=;MgJJ{hBPL4NH5Pu1Y(3UJZO_n zdQOeagb?SjbhMtgp<}_2$0~aSoIN@?_ZEh+m$TlUDiP^1x8m^$ngOZYEi#yNYQ4>5 zhKyuW0kht6a&R0^Af_h6qiekecLSqR-5QkPIQM01cGz!oI1s}#SyS!2fiDR0$~lhM z9Z852>Ikk`e`kGsNJDs3OmA5^(OxWIeri+_yES4j*ak^C+XY$F5udw`hF0)%Pishm zM!vr+*(Ox2KTR*?OMqJ!HvtVhvyT8HVPAc@@H{Z)%`+lqK!{k3TCH$cJ5WB|`;-gp zW;sD*3!;yVY3xY86_gDWk>DYuyxZzx!B>cSe+gd{h1s$gLExTHrxPw|JYSW&K{oG< z+FFb<=|3Y;tRT@R^B5|@-Kr0xTxr#Xwa4RRZ0EO)I?L0yLOaQ#L8ZX3DI6F6RnhS& zNX-CdR0o*hCjdmDw1(oW{6*CPZg*p3cC{W7gCJ`u)AX|-MDyp22Gg8z5i z=~lqD1g`6$YE%G$1NY)f*pt;pF&yY21vD;u6;WguoIfh`7%}(3n|HiODxfdj8#%gZ zipY4sW&EYpZk2ok7ymF=aBbxr?({g=auxX$QSjc|Y#dyw-=r^_6aKW^L1_`hhe48T z>SSuOQCd&j+-7bn`j5}H|b?X=TG1j7T@kg-$@_|{7HYT?U)tuy>vSx%9 zlJwf%{OlD^!TeGpFDe;PS|6>trE=m`k>=reuQGW`y*%$ZT&HIxUUAm>PC#txR#BVC z3VwSpqVd3z|EMvdL0dQ*r8W)q8G(p;T#BvOgS_*)g`MbDxk{|73VEQawNd&yObt#s z7bK~$TK%at7b_a&^rY&?0^{9ikuhRCFJ9y_LL|c!vtA#!#(ym1Vqi3<6h}V=KZZ>B zkPc5keq-W%-=Or3qK9iEeXjemL3xS}>4}T92vgWZJlGjF5ho;#HSEs!)mi;pL24gk z0asjgXFRoBAeV>--TQ*^jH;O(jkUo7Y>qoym|h9mgIgemt1~!EGexOw+o#N{yN`wF z>3dd!o|ndJ-3}<=b}%U36@$VpR%0@@d^^SZ4s|;l#c|-MB(cUvFyHQ)YZqvxAx#c! za|zh+&fAv?B(MwJ-`huwIR8d`e1YagH#^U|)biRA`yR&pCFYBqb=t85p(N*!w*q!? zjaQ1b9~NgLse|J|vJ17hu zB2Xb&B?Ea}KFWJ&-9cC$SAoT{akSWrVy8XlzE-s-vilAJM|zp<=3Bge2VX|L9;#Mqe%ccExK3N#pgZl&k=IRcj`Y> zuxD(Eb#jUaMFK@`1l0gVM9Hq5oDwR=uu=B!7?%iI`)E($yg<=cf3zFX*eBqx|2XOZ zgc=7uPx|$39V;7xTHZ?>4cuXiS%_=#{QwkqqHr$wD38G_ExFblTZPslBq?(-x$E5+ z_~!6KUIZsHXB8iYCG&I!-JHf93n+d&3IH^=>u;&X=gyv-h%1r}5V$e{l3x0r<=O5w zXk1U|E&DkEJ9i-PA!*;Tgu`K-vonZ5$(6^Rb_qotu5i5f<{JOTsV68>KC?w^Xa)o; z-YcTekeZbGZlts=HW1aVFX$EX^_njfIJazaXMfwdQ~!#?ZR{NvW4115(OEejPZ@=3 z6#SHe*2L%M8LkrJI8jQNDqMptfpnMWd?WjsH;?B@I4EklSCHus+Vq&Mdw_(8fFI%A6fG)4EFyL-FTU+ zwJ`YPTVB}pXT!|2Yw{r)a?B2QAgUk1j%_`0xiuPF6D1l!m|E@S!2LXlE9zbl51?EU zkj6TFXw;Etj2@L0Yb*us3YVUCFhXpyIXtY|pC709KAG$JfV@$DCOlF^@=&#uT$>Cw zaMaM5=)4WnD)5+psr}C8_u%xBaVb)Gt{!A&amY)q0zXZnA>>Q#t}WcUkc>CY^H+u?xc!E zY^?PAcQsjk4tp}|JxCi)Z#3A^8o4?Y@hkagg0;pIwQ1b2umCjCGbI~ppmnT)M5BFc z4f&Y2xmWVrDbMUH+b^1)9`WH)DE>SKmcykaVLw|G8v2mU0*5D|3gSoeviF&C?3MkM zSoZ^a*|PMcnF6iDk}EkcudfSkuQb>jfDNa5{uoOq-K?Eb?=#;rc4~VN;7cThRa+;| z2z}-I;)YHVN4@KP_rvn(Ibzoo36e_s6Q1ViAU0P68}7)l9^j39L)TcZY}iAM=>Wk+ z731FM9#v>Dgpb4hWkjr?pdnGFQ`>atK;N$_l&pHXc6}r19ZS<}R^E(kUvobA5t9J2 zUn96p_b8YZpe=_)lt^4{^m~C4ce|TU(h7ki1IFJfn;GfyrAw-o(7D+>)Ng-Dv+qB= z15BL>AzN6Ff&x$+_2RBJTxIm&XT(zdim94}d|5v;z_i$Z?^En#$_!LHWLV)Mgtj*i z<(r69o0B>!SJ*P8Jg{yTa=Mw!CYRt zSYw+LX^*OYhp0f}V>UATPR|u$A51-$Tn@lX(GzA_YlsBwASmj<$|S2Zcf!lg*l=;E zGy)AwQ(kI#0lwPO%ZKU!B2IKM9!>sY<0P^rFTS`Q$KQ%}11Qxj%U0)$Jn^_`kc4Y4 zR}MlIy2ds*S)FQTH8mJ}yuhc}w{sjg6MNj;#`SEuotb?|N=@&-J*8%K+f*|K6eY3L zi?1i$*8>w%35$~Q3fc`3!HH?jvIjebj9rNL$XfVzNTzD@K^euTNLmVJ3)v`%n4T=xm_M&1wL;n`XNVmPxuecW>~1d4+{(nmksgwDN5W{zShIg(Ewl@NB;wr_RqRCId}Nvo9pGMoQ}epZswZQ<65~*XtnT zil~kJ?J|O>S;{ zbz7|r%97skb7pYuRj`WE&sEt(I;BO2yc1%j)Vtlz2AzXPv$e)y+1Y6FE+RZciEeEv zRw_^XHg=|)p$l{M171D~b~Npe(*`_IM;X+}OSJ7#o_wqD%X++Ct1OQB#f+(vv|bjn zwp|+Sh&hkwr-4jP{1?EFugpHUi)kYM1_O4lBSsEnE&XsEoWetCYOfRtc^;?3+~OO8QUUE-bybeShzYW{zFV4Jp-+)h^fhHI<9nZl6|iqa9@^m7Pg>4LN}O4YCi}L?Ff*cov>Lu()g!$lZ6?sL zi{B#T3=eTjtPJ{6c?ld0G13`qD~YRKa%Ds#Zc_@o=`@q0UfmK^v4*vt z_kx&uvX?kKstSnsd8g!mo?jr2#Md$5faTrMKJ;9m(CzqEz{=&a6v>8+kw{af>$KWk zbj=W?#Qz;tP#x-XR_*?M<_!UxYcIP9qs!oPe+F;u{UVG~1rbLIN+0SJY?fY$!N(j0 zT-#*-Xd{=uYl=|AyG9ULzpU{HL4%vG1aX7D0r81V*S|>5sDZ_8c6vI@Vrt&Z&xN)C zIvA-CSjz)Ss0;u0lKLuj2(Z`VP7Ks`mG^Uzu^Fz-`Q{stHn?wjz0w@;hZVb36prwE zo>XWD6yo6zR*y)UsaxwC4mC$xnwPRp4Q6fVyDs?X3t10lZAxP^q+go8iE&<qY${ z)#jJg&f3*1JSceP!S-Qz`Izh%(OMJVbc30lP$QsQHRH~9%c2U+iXV{PO)8hH-t33UtAm#br| z{a&QJ{i5DSN+t@Wle8FQx5g-F*$NTxtjVjZ*l!Nh|ts} z7Zed@#F`2avq9Rtuf286^A`Noe`|;5FokX&4wY=IQ`F{zDyII}{JHEuxNeUDJ`)U0Bv$TEWoC2K9!d3f9{oD{j@q9( zndaWdt^VJ4t+s4zNWbkA-IAB)Lt=n%ywj|INC7cRr(3H)-+zQ3XBjMGYsB@7!uJ*q z%ogqY@>AP3k~!)vav8KPMseB%+y76W-rLAr3m|5jwARw``Hhl=bX-rBOwCEb32@2~p&<#_hgSC6K;lZ0;)Q z!xvK6l6vt^X-GIaMr8KGB#!cjL{mEE}!`7Y_FkvwdR3sWX z&&bhdZz;L7)t{r`ahmOv-4t!)2Wo!}PO za3LiQwx3!vu&wX6gk4g z68~c11K_G=kvYxAsGii&;VoJOf~JE2E0M{%EK@8($Tdg4_pTc;Y^Z0|ElzF-u9lLN zn0Ha%03ai_H6;{DnPp|g0DEZKA1n%C{exo;;~vyE**mM$vTXvIACdJ0A5%Sw%$NM1Wo(}9(F zUlJH-5VBaWynkn-WF)L_TCaEzwje)M2NSLnj`D0aH8)C8gqpghh{f6vG8u_|lZ4sw zMw28sKU^zm<-T2vC)W%5Si$_s!DsH*{WQxL6-p9>Qu4+}5?dVN{<*%wwWUjR`#;E_ zn8k7PlxH!nRI;$kQ6>^WWx$CzC(&HjlVGxJ@7va*6K`8Y?zd2=m6DHzeq77txi9ZI ztd*wkQ3?Xok&s^&{bg)&2L%oO+&`Zc&Gy-q@+nz%Q#HtjH0JlJh5v%zlvQ{#`m!{} zxSp$)wO4|DS&DpCo3v2a?!vNub}`>I%iJ)CJ;&)o$CV@av|js^UiSZ#-9K;fw2;JE z=IEUxzJ@IZx!XDB^X~|BXw_H<`wbVms9rMKH=J|n2ko#>dE7%0XK5F-OBpzhKK$%F z{MODnvc&WPL%Rr$IxhQ+zs;;vUahR>^%+{c;4Z+pPvKa+u)ULZe2NT>0>@!nqK1Eg zzJAiU)u&S``_Mf#AK}JWo?~1*Gn@JCL9EECbALd{ij7~_REU=wQlw^C$Ish(YODJC zga@EuI%)$e+JpkWZgX$bH*LoM$88LtanB*iHBJZItzx~^AWmYrQ zH}TER+k0!%UGHv@Pp%me?$*c#)Lz|+RpUp~mA(6DkB`R`JAY==%Yb9nUNa4MY%u4X zme6a+qcEytb&+D8?EvRAiJx){C%c!3W06w7FbJyCm;{c!b|e_+D0~@l`OAbh zJFa0o=Noa5i;Z>xzJ0FoI^5=T0$l~i3(CcBvXwZ*dKvUnykhG|0%YDFALs`KdNp!@ zLMwMbn()VLRkt$4?WD(KQ)!Q7J|^e!iKx4g-2tIu8KO4X4KNL9uzH~;*b!;a{nCEg zVm-d9{h`)3nwc-seNC`8=RL)P6!sd7?BLIGYPczOrd$KplCEw|;{{cx7&2qvkR$Km z{=g~1ncCtk0&W+2+^6MyfCIeosE$zW&u$PKE*)an2#gZe1l?&>B=9x4kC6F z#~sH6Xv@AJ{CyiESEa^VTt=>;$!!kU7vhN6rR#Hh5%pg-FNfom*f?gUd|M>fj9eko zY0(CEAZ75KI!#v=N_a1s^&SUEAF}cz=g20sDV^aFP`HuTTw)v`$I)Wb-l#^k;YUe- zKzA8p@T6sOxOY8?b9%y8*cE_&KAY=@C+=2~0fo}FM>k;O&BTF2aH{LZiTk8x zo>2Fol^1b3cWjr|=H;N;+1tQ=hxl;r|U=9t$sTMm-*RDXCNU9(Tiw z@<-`v!BbC_wHiVI8b$XEp$3~IRI+q{4^KK^N?CFTvEAJJm&QG)mU#Ge zGc_+ZjeCB$0IwNh;F4ZulFfVeKee9XIw1oUiqBsjo7L4YHD=}4-DlDiXNn3{5USjP zJiPs?T&TF3Xrnz|WKzp`5QJPS5jd$gEdx145b$xQ3dk__(orV#2XN?&0HolkW}1+@ z;7E)^&MtaBZ_<%buh5|{bN%wi>msXehuamY)3i(Gk^{=LXyOlT_Nv>nDFyNYCD3ae z%-mV2KkPPmtHVL#Fq+MP(oaAQCBc0^mdkYG#3Sf|mW#I3uokPRp$*!m1AukK6-n40 z4x+CRpNtoY=7Lv+l(pJ}3=O^NYh3&h0)*i>%-YCpB6@eOXcJ3pNC2-&D*x5%3)La> z5Fv0T+n~t?EEAEScOk4)o-U6nXD)BLn4Ky2_^bRnXO$5ysiH8lwWo<|PHZ9_VHi1? z&P#lblB_A;$*NAS6e~{`jX@|~Uh;8ODeE!I?=}%K0D{&TjM^wXBcn1gXWDnaarW9S zm(3mDGe>B^T zU&erXX81$ugDT6E! z8W8!_5X{oR#c=dz{TuCO~U)KFD=~W#x3{Ea>$~_%7uW-YH@9S z7D-A;eaW_M7BW49eyE1m2CtEyJoc98|I9j;%}yg?--G{QnOSwh`@jW{k6I3d_YJ{Y z?=f9B$U-mMdY~{rPpcQ8y?s zhr&(S;1c-Kdf)??x<+aiypQ_Y_sU2(huY>KbAGLoYwjV2pgky6wv+S~`7ystT<6If zvoB-(ZP8;nEA)@Z%2OwF0w*i|FKS@^jYVvYIFlkC8w}T$Sa|f&t}WDdV1rp_V9|nK zp(Q$Cwwf0<&h!)JLm?LljE4aSF812*Tqsl((>3Qqg)u_kW}Q))%GNMJL1 zJ1$FxdZZ~gNNU+Ds|^Q}JLlNB9JG7I8JqdFlHgmU6M=7;N`1u-npjPy#8`=)dcUm` ztDFN0|0=M*<3R;2bgh0bW^uc8H|iQ(w5}Zlo4(W>pFd7oEHE%Ju7=KfD+6vOPx}FC zDqLE&iAo>sp-uhwXOmowDLq{g!e2wBbTS#>7&@&Om`%&9+McWJZCYONOToSiYVZ#L zFL*P$Yxcnd6{G89dUzh%W-68t8ZX&?>)5|&)USQl+7V;FWtlHt=kT4IAD&Zt7Ps!m zp6O?7OoQ2{Fcnsev-cbWP3^3pwKc6>DkSQaWo7p_vT9~XpDGjHv(~?+xKJraQRE6w z&65&07V}H3-yg+(o@ULEOH7p>obBkblS|wFrK%beOeOe>Yog&^2&QL{B~)*ciR)cPfu(h4pHs=l;-Zp78*O3m?yW1H z?k-KN`UcxI$C@kC1@(xb%FIki#sF<*PwQdKe@tG)7m6!PopdI&pCDm%1cDWwm4KLnn z#lGzD|J)|L=rn6##@ERctgC9j%KxpeHe7PIqs_<=W!@hHnmSP9%C0m*-BUbMlCih% z_Ngnp5on~nEn5~{Cj&fomL$zRchPnj z4ZT>Wz-oBYg%ll$)Q2h~$CP!QxUbeJd~6I4rb*0YY`r>r#Z?g;V`t!$TwM5qCooV` zj=d%#wm0r#wtsB^U}90R4Efz_+jq@3er<%X@?*M1O*rSjZ7P3D)g^^%QGHuIclE~@ zr3}Yt9zB=}r&eh;;r3dbM8*$9;l&Xgtmzi7jLI|@f+{PHVXNJ7#s@QRBpXOGZ?sz% z+%c{-cb2D|Ulrs&PhWr~}a}|8I(DjdR=h64g23n;Ji&kQ;#G!yTbhmpHy$wBK(Kh2^$&!k;cc{qyvq?tVM6Z;8QJrnuUvh zZm=)l%?5TCi#FnW?QU z+>LYqsGCj^a#6G4Ypi=V!y5smg5;7l%dE7NU`3c5)C$$z$6!os1;kda;N>woGGnxA z~FYTmJ|28I5uMZSNxDab7sjOOYapt%L1RM`kGF;8-J-AM8GX^Xwt8{#z0UlGmLP6FMGmW`J!rab#0^&2n$!}*5OE0ycQ zO@|t;{29TkF)xXn@O(kW{S(G@!=>qp^w_3UK-0C^1yj$$|FXURg~ao^i2tu{Z{2qK z205Dloj{hqOOg_2?SocEVC6HHc|p3um7@@Zd%Yw)=YF{^FHK8N!MW$4*3q3^ap5CD zH`I~SQ7eW05i_aWR8Web>nyRYlD1vWD8ZUdhy0Fvv*C^MJ-JP}b(^R6tk2Nl-*l)h znz3f$NJ55tLkgipmK2`boz_H}XQH;qHcb*v_5K{1a$bE8ZyWpmK|j1^$!qWwRJ!Y^ zr>5!`zth9gcb4JKap_$-TlCM@M41Ke-2_dYCmib9$*wDUWYYVa7GdE zC2CCd2n<2Om4Qoef1(kgS~hq2>aX_Pb51)wZT$D0K@dDGZUJ8#u8*7I4wyGFN~sHS z7)Yjz?E3mVn4^I@S-guqV=d8uWXr(9?~Bi+0lfwH-Rw&iN?7{%-}b6%typUEbI@^! zfyrc0vSm`e2v``ps0%|*Y9@}WzrDYxpsadx{m4n)Bl>kEmEW8|w=W@qUN3M7_0yjX zLRsd6KtF_KwTo=iLsp~z>=X74id6beX$WI?JCfOhG-2qQJ@bnp_tB`-=$(?+^tcr& zVEXG+6wR1SsLF$8F(=pME)K#UR4z-OJr}Cdck<6Hho9_}(_qcAeQREBN602e8-es8 z2)$cOHLG@70+tBlbhzhk<2kOaztegEnP8*xdjWyK63a^20si zBGKq&$YR8>y2D@eep7Aah+>V@NVKB~Y>MjL5St+f)4?3tRS!-}I1QNLoJ=xacvdRz zTf1ltK!pby@qLwWG*q04l*Z1*9rW2n5C0{Vhc{V-$M4Da{ytCD@0>6=ouDM6%sf`j zyhsukUp8J^_GtT6AgO2e(b3D4X#QV8Slzof^Nu!zr?NSXX%uQIaBlB9W5VtLpH z@0TTzu6WsNh_4t6d;bN=01fyink|eA?r=JRyrvodIkRqI*Fo-SmlarVIpv2j@&K1N1GKKAGR(v%5Lg8-uRE&MvW5ECu(*k-(XSi z46bPC!Qx1-ryY0iALs`AAw+4PLl-HYa|NXMf-(&av{Dv*2e<{$nB#$!Ob_ckrM8;K zynEa}?-bX0Mh%J&{&Lq)GQCaJrvfj}VOK*0o`%Qg2YpXC zB|X(5{X%@MLZf)g03q)WpjUz@;_au*P5`q*|lHiZcKF6c7;T5&{i?+t! z_@&;pcGG=aC0zI|^FxHWLx2?2x9mreewAI2`+nIMrd%2!M)o`TO@BRsL>x?0YgxkI z8PG2!QS*;iR{ZgMGeMRHTHbRelGV|VFVZ3Mej^SJu$|!b!Yj6B;h$dgaR$vz2U!}G z9h7aBXL_oZy=;~Au$o<^#V*szt> zbY&iQ-K5X2SLq!->&S8I{Q<(ad@SIASk{L>Yu~k;gS=%*{?&B;R6EI-$PB$AFuIyQ zKpT;4uo(JGDYATfZ()o!BBZtkZmF0opw6?NN7Q#NRX9(D%x(Pz+e@Co?9CsAc5VDq zd#a7|>og%vWI6Bw!9U7%b1%SI{-@4qkniyuqhuWuNk4u;NPd0NpZm*)X8`~+mqAa} z1|)9jVEVVCPeYfpLvYJZfwG!DPSa#SbCcDy=~wH-{E@zgN-ir8Jk7uOtrn5cAm4*} zB$QZOwf4|dh$}t4_v!(=+0EWjcxBN>`XjkIstfXl_qW!T>AiG|!-L5)3VrpvWI2D2Hj>r~v)(A{ z4abo=jtu(L`6L~Fm7eT5gkQ?FCR?}Gq`#4MopoLBV`e>$JdQk$JdPq}HtLLN-J_va zXR%pzSiBA`uS4yRwLcbfiv3siUqKEcCa_d9-TOGX_7OHInX?k}Z*60^4%0c=`6;cR zpJIQk*H&Izd2Lm%+f|Pbm9>@qNY-`Mb=Gy(bse^RqxBhDX`Sr(sP$uUhRQdJx{b~9 z$2tevInb~{CNpNNyL^(!Ep@Jb=bVek$LUGzjCtDO?`(FyZg+C}Hp;#8Qk|FTywobU z%K3Dib$d_q=`gQ`kH`AO`o;Ri`X%;t)z0auerff5UFg5_X-4&$1R2ZGL-GQX2)CDe`Wub{a2OlZ1r`I{a5y1+0L<@(++ly*K}Uf zS=U+DS=V*=<(-St5trLt9RmC6^T>Inif`(!4#7~#MX}A$`ZmM*l*#L$)>s>3D)-f{ z6l?}DJr6g|vU*hLwj|wh!FD3{bHUz2>=wbAeZPJAjG^^z|6c$A00960cmZ^k%Wo7% z5XPJL%RJ4?28ZQg0?UR(QACh&EVXBPVU&4kb`}Fs)LIz_RL)me`#GsPyY83j1bG=Y559+ z)#3<%kFQVNM8^iZ5`(<+HNwW+u?tgA>#K#B-v%x4Y{#DVvGiK89ZyH)C-=~?r;X@o zY1@gE6$jBe-U-dphk~P>)f?^gnJtpA8_?KPopmg=frb8iq466TQQMNtTUA>^GlIIr zIShXD^3+pw3~frFj;5XrH?kW2t%Yt{coo`?1o==w^dM0mq9Sn`yoE3vDk>jsv@nJ&%^6^6ER3e5ie-EBDH z|CAucdIyiJKY#0)5y_~}r|1Mals2!kQ&6t&m+f!`dZKqGehldhSIdm<662Wqs_($> zYhHr}2Hnl64l3R6PxznN@8w$SvYwb3Z`UVklY^;XkgH^NQ7q*xMw=6pwdt{}K?WNi zYqc`dQ0o<9W^5*F_34bwVhpe@4E5x$6J5BaLHCMxFw%Z9eu$EWbnHQ+ov@CsrCgVj zlBL`u3YeWMzWo>-)07ux-+IJ}&m`Qn04YV~t-R}r# S^r5uXBasY475xVQ0RR6HTF5g1 diff --git a/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.tiscmp.slow_1200mv_0c.ddb b/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.tiscmp.slow_1200mv_0c.ddb deleted file mode 100644 index a04010f67c7ce134a6616fc4b1c4dfc13fb643c9..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 102733 zcmYhh1yCGa(*}wpKyV2pxF)#k;_mKFaCaw*B)Gd~abMhnyTdLLAXsn~ch}4N{r6Vg znwpuKGpB93`{_PY=OY{(TooGp+Z7JZ?(N`wyK7szx!XEBQLuBeu(PmHh+UCH~)-5B!l4 zGKfZMF0j*LR8ABV!142FrB5y?z8!B=P4t~)4;E(R&*8+`#Ndb@J9t+?5~5;a*q=W9 zq!If`7eyf#iOLW~BX!o?b$fcit8s07eR6a(VVrl!E42E2Q0#Zj;N^J@lH_6~M?3pb z%TKN_u`Pr29*4y^V-L^$*&+2(8+r!;Jas%oJjahayAr<3&t?qbX8A(!gxQ0dVOL6s74m(t|PNjxT^{B@U zxkPCk-xh09OhY^S`)w&Eg&A~rj33nj+=Kr5q58*ACb_7`MTQZ!$5A)6{-p1>CEjqc zcK?$)XTDPdXMzU>GRFq>g_O&+V}sD=VDlH!B22l~lgxcuQjP^46?SGux_|VIhczTK zc39un_Y=Ij`Z&bDj|REXe%*<3-D*}`ZjGM<1rt!!Kv%>yLA-I5Ka{0E2aKm`1>cZb zR{Ybk8Qb>mZXYX~Gp$(AI(n!H>7q3J(z(F&CE<*zE*I!bvDDv!YP+p(qjNVd+PKX0 z^=?DY28&SjWH_#wY

    D4^CosRH9)-+kJ9;@Y9qfw*o6zzBf|yC3hbX9<-KSI5qil z$#rz!6GsX~7_=dL1kv2|!Q0Y)we3Z^5^&~tE}Dg5E7ZR-Gaz7(e=ut;;7d0tKRNJ& z-QXt~%1zrX=XxpuPP!L(-6#*{C)}5>l36m`52=t3#nF_Rcw}pCj(!+mc!7en7pyL$ ztMB#P<1or?#|U(4WtN+Dmd-PT~ z@Wr$NXnf@W0vYU3`;7nwhZoe;-SLWD{xM%Ax}%m73hxn9yba6nkGm-^>RpIJ=!MM$ z0Ht@be%qi;YL0DCA7Ou29SM0^D%sZ6^NSp;tNC`u9J9kNll{=S?r%nmvK~mSBt}B8 zLm2`r?@MvrD}PGH0*L$`PBNDkX&K2-Ic_-1aAsx?gdlU1-Hqt6Z!FVqX)^@5DQ^gB zjU;hqi#Sbe;VqCh44TXnH*AO7DUFM7^-Cbo!kmRVw=?{k4b3L{0(E^RM7&JsV2F=m zCV=$)U@^2SIZR_dqrfe`EATdOAz0xW>Pp8OFTRnGj!pRTz z0cWc_emSewvHX}iNq}$LO1*m;FZJcJewm=+o`>)k@&3n^5~n<~$5! zuX7AIJ3PFK`R;a~17+H|7Gp)+k^Vmq&>fy(0GwZT)rHnb+V!EqyevzccEOFpHRRoH zP=40iD<;2THz9H%_9`fYiTP`rS$2-ElS!9#7k7s+WBt{wZW<_+11Mm*Le58a{{?2! z*`^5v8C1@@zj#L9taN(n=b|YawZhQ45BKk9I>)aoeO&Z&CF0(UoF|^&U)+h>`R=7( zPGEs1F{C+7mSh&Lj8k=4<&v~;b_5H;~Pk&wp zOScuqc2kFC++YZ;`XcOgmS1th)G*F3q`_+3W!)`OV%gbzG$W({>eh!k=jb)UT41G? z{+k)8->W;?OJNhaEDH_W*0cKu0`_w`@yEZFp=i7;YnRWZ9ZZUrJ-Iue`i4e$w}Ab` z!C~OxwbXD-pl>fbBlF=Q`F8&|$6k_{V8PVO8UhoyiOX{S$^%*Zwn5$@a~Iidmc5_w+LXmd6>oiNNbKoxdV zKG$ohjenDOhWrlj*nSo`_FIVOnkVJbPa&Yw>k+vc?C*ccx=<2$P%qd$6o~Qf2n5pT zs)G`7z2`}BryDd;A#7RJ{}<*ayaT3Rr9JW2znyU>pL@QLQJ$FXx>NBF7$x-YdFh^; zZ*yDZTzD8mtAKe``>(X5xhanx1bF$Kn7;)e$!};nU z#W1%a%?&{Q26~D<(Cl})>F)9{|VX-!K$NaLa78MGh@VKs4)zg4(>lh?yM z?6p>VI@((Kp6ndB4H~=sU~<774RRK;kiYfT7O47Oh!%I$b=-{SCTtQ=@LE*GckHHI zEL556AV{Gx^n6|AFc#}z4LAkPeQ0_-St+_%p$}i+@x9*iU0O565=&_4Mtd!dc^n}x zfjx`>Vy+i7hPVr%l(~Cj*NK;3!pU{MpJY4#Ia#??Xo96b$XCZhtA<{GsgUaYYMfuN zbAH)WXp+L>qaD^eC;ta=dl?I`_K1I(1gsiN`n%oY{{rPKpJhsQO1qJLUvzDn?7E#> zDmQslcTCoSK<+`VVK8c#mXiRu*&15XWJD%Z)V$*Ln!H8g8T0nSe*w@XZE{p4BxI-V z7>NfRcP&{xIi`lC_?I|u&a%L|pNFK=BY&&o&$stWJH*-Qzs_!|TOk_Fmn%EK*E#FC-KG1eB`5n_{pH>2Xm^mD z_anbNgx>!~Wb!tC=PA}dKy!I_sNHVd>G^qy+4-@V*u$7QFaUhI+(gdeu5g@Z&RQ1R zO}UEv_XJX5AK!U?x%Bh(LD?~K&oOy;=6AxM-4U{;B zw*NEue%&up#>@ezf&$FDv>tbuc^6o6D_VHG(i??uU!K8Bc+0OHH&E_wjbDBt3)ZDF z4Tj_ZR_Ohz=jlz8?scD+(t=l=W;GIBVd@5CTA9WD~b z3GpjB#MiUre}){d8j2ume2)c7gMsa>&C3Iq_LrcAZiXV*2UCXuee0H6K)CVS=f{AzdIg_@VU)TUqEzABCn{OR*KPpu2q{hS>b zI~|vc1|BuOD}Af++5BCPbIY*tL)hV0-|wToEw*@ev*iEkyv{F)|9CCiR~XJWNp;|1BXiA!`Nz?W9QcJNDqqSI$* zSR%dioX*-k-4~iW7QxShUcTgLzf*JVtM{|z7SW+NPZBB_))aWX_Alee9E%}3Yo1Od zoImc#9vH`@S$~W7Z+p$ju>30{(8p9T${o!LY!M? zNaU}cWuJ&#GbSbPC}tt43;1G&Y7QH}W=Rj?rB^WF+|E48G>BXmOtLEa>?5&Npz$&Sr01Esvgor^pMC$;ld%MRWB zdyZJE-kZH7YFKpErRS8D`3HJ~&;Nio_?RZLWhj4fk6UI6CbTZ{j?l{^1bBZ$3rcq7 zNBk13$k4Hh?5Ma%%l|}`!jRH4soLkY(XAx>Jo=$fr1jXUFdoFWe};(pyct%g^UrlN z5&3RB)la%c(C;!5-LU)n#NM?%@t=9J*;!7%Qs$ObuSXT9w#l%{AD)EI;r|2z`8gU5DCjuOs;JocWn`IgV=N&fXYEZZj*O5Mm zD7U+X^i-NW6d6OquzNO1o8ewkWNaziFe)U%swnBO5L@6k*9J;a@pzayD*0>wa1aV{ zG4^Nam2M~18-zYnWnsxrIIj5pF%dsfUQSd$+{ojEfFm%-9SB_SKW>Whi={}7c>@tc@LV*T5? z5k5^@6Pc*&Y^e&PFTC~~AUbl% z&zMR2;^$BV2x1o3bcBb~5!3w@FH7i=SMzOM3uxFsd<~@d^5!+OZ=Z_Z@!_zq!Fr3{ z8CIl3_&&9cC6BfL#VbJ2OilhBvtG?W%bb#2t2YSeNkyJm+FNgvP^_$yT?+PTgh!lz z)<3ZM%BIdegE~oZ{7W3co(6L@kov2GQ&*BW_QA7yB6>6_)-z&}Q5hLa_5H{2>pLEG zBq9Vjd*dqVd*MJLie2OjJo+LwK-DdxVt)*i6x%Z#T+KT55%M0W0>m(8lcBg$=v&$r zQpKP-DS-dr%vq&Tg{t6E1{zz=Pwb`E(h!0?ACHv-54E`i9qnEiO6@$-8A`z*aM_Ad zF4*p+Xfe5gOG@iF#oA5o%ScKBFC(;w}UEl1TWPOV6iVJCY{(G&jImRB#WQ!XBLwDYUy(u&CFk>T(* z-4%1^m1LM)Es}zv{|!cSYvIaNLu77^68x}}zAG2O#q|ZIE>ERWa;^rpnY~Zs5^z^$ zr4S$R5muC@q-xzp!P&ZJ`&ecb_g^r|MTepk&l6=$EV7nbb${$tQ&mk8rc5k;NnqM! znjqG*PFBs{iLZ z%<_uCrmje=()u7|J;|^MNRE#^`g-i8rMg0=Z}CcX)bn zjaX*7{NY{TpVp7)Zg5mBvQh~2dNm)$i-P4XW=3h;iYZ5D7hiRw_?ePM+qfkE(hdGF zoxD!!H^!;=A|gMlpQ+65NtE>?>myl7q5LWylcE?ZnN~uPX`cT+aZOIivwpp5_D+^y zlw+GQGcSkg%~qQS3$S>|Op4MsW6yarsd9fwy=@r|Pt~Gw1Vn@U>Y(f%=JHx;d4{S) zeb|8Al&-Mi1x}svXZWCy_;aaj@gTk$0|J~_&Y)I8Ua;vdO)@qW4FgD@}oE<}3skq0AwVg${fFT`f$&!OpkphoZ_CjV&VC{c;RL&x0$L(Dgz5fxNH zDy?2p()Q*{DqCeAx=Nc(xI4VDF8>FF#h(cdd=eou40fWJY_ghM;=wUHBYxVUIF4C3 z`w5OBz3vQcR=y(hwZB|%Yz1O0Fxsg=(Gs>e*@@gtWN@A%ufl)z=Gn^2SN`r?7DN$r zC~-6W14_w`iHDT4=1YbB2NQIX z9=w*^RDcKbG<13KOf=`Hh{bej8QCiTg%a4?7Wr$)a?lW!pWj)1IIgg(8>t8De_UgR zXf=(5p|mHDo&#dEw4xo}8Et9JnHA^-wMe?N!&OFzV9rtSo7TD1>gmHNX@#Bxen$4( zX=Sb2VNVXpE^$>}>l26d-@P%BE&~tx^wI4*pQVBIL-6@D-J=~s)PO1Tf)N912MQ%i zpMGIFTh)RI(E9uDP8Y(L<|wi_yHQF&TERB#N|~YH)H@*%L_8yUDo_nN@qa4`r~ z=9hrdL>-Z2`jTc_GI3q%1a%O&WkegNrP43@A3?3e^+;%Jkm~jS*)C#qO@N2bIH7e- zu!y4NTz9}+@I%Q3@f22t-g<{0)%6&w!~tJG{zz)R_AMIvf%#VF%{-9(&K?q zjgWqD^hjiQwCX+z{zY?%UqzLbY*9>?C{cr+TyKT?C2TDx<*WH!r6*&`1b!^n)k@~Z zHMSxh%FUptep~}qF5ZW zEQ>BV3y$u-3j_V)-tf}%H_$Iaxl@Tq`B?lPdd_44QU9R*q2l7TXZo0Lnv@lWGI}NM zpb>{L@Bv7(+R%urp#9-nY7925>{lr&h2%sFLL!P$9!WaO)s)cPZHrh2%=3n#7OH1C zjj*-}+%e^?6pHfVF;wxHdf94> z9XwmnWZ=Xk(6@(OAbJLFL9^r=u#d}h zl3`KDPzw&?@re3}@FUDPqR-?c<#Tp=LT$)tX<9Do{>1f?dW2xsJLOt8#%t`D*NX0# zH(!?nV@MH~lc_z*<}$hUTA-Xu!ynQrm&U+k6$k7oA&X^V5_bHWkIgkVw*RpK4}<+2 zv}=ahGJgy&kGeKWezn%7aobCw6BtFlIL;i5)s-Na(Wwjj5(O7bLBsszz73UnogWp~ zmrO#5hAo*1ClNR`y2DlpKyChbim*=j#oEdWdohS(29A+!v1W zW=YI!T)AjLQQQ^&@p*b{3`_YYE*v;?T{nzS-N2p*i(ZG-gDMToGE2>vpvZ#gsjK7? zz1+8sz%jdCg8QoNDf0%iZm4ZiFPVD<02YGpA<`S|;^7|En>ydfd~0(J|y)5f)kH_MTkl+!ceo zINn_5x9u++Lc0vjRk~^mdGo)-mC}laL^yqvTDI}uy+BDI9Ic+1gh)jmseHSP zzYJRa@7DJIrl`!ZX<=x*q%bd5h8!!q*?pCibqhMw#=xtjFqP(Ftr`!R*XkdT4*F22 z%B}d1WG;f<1r6Pv-y*?o;NxF_gEu&go=x%D)_jIx29xHOT_lK`E^!xZYDTSzp)sm4 zf;rbe7a@0VILG$>+Ifzxj@>GFxA=Mp8}i2Ottgl>(0|bC58+S`u^XUCql1*3V55x| zv|GFiI(R3|Isf+s_jHtYLR;tjsM)FM@>^Z2jiRnHBF+E~GbS`29an^v(_!$*H3>x3xYBS^HR{$=1SQiOe2e`?)hDjQ9B?KLCJ>7_ebcu=6Pf`e#nx_+%f-Y=`a-pDKBt*ORIG!MeB1IwF3jD*{^_qKb;(M` zpvjvVlu7uShiN}-D{zv%Yez6U$5gi~^Q$(0AU4NWYZ7X1X()H;67Vo5FhAGP56?gArtIY@QC4^$C{QUdX3WzGWRMs!Pwe6=A~OT*>sgo$IHdq# zUZV(@$6qhimUO8(^ica9+M*JB=ut^6NXL}z$f#(V!b_B5CtwzmwrrM_zZ6;cl-CQL z!f)pDQ|ssJ-RoK{Lxf^$N-xxw*itBIR}0Yr`wo^Pa*#^1yW`t}So+QmW5sx8(zU#YTlmofUDkO7F^OjP$TI z5xF{cGG=L_e74g&$rww^!|IP;Yg*-c6U>v*0KEXE=->F?t~YRKUnZOo?{D2nsQs?^l1YLNcJ18&wn? zI6EBE3q!mxBO<5UDh^;pk?STGMkXMA1o5F#h3&h4qolkzR44oy>;9)5i(3BiQ)*WG8)a^ zGj5xzQpZVF8IL9$mE|hX9>tupXfi3Y;{;ndCKX)kR~Brq;8ANbe_KtBj)rGO1cBdQ zrEv__+rh`m=~pa&Tgz_%>(id5_XgS?a=keOpxYD#;+7yMmJ1bZ*XVPOg*s^aG(?I{ za!@lN`hy9kU{Rwf;P+f#%k_KmRhHr;->5iZI5n9mbVwS$3(Wgfgy_%RDb69(Yi0R$ z(N{rHAOl$|xTJ~$vdxI6CL7dh6*?TMDpL6#AX~vYJNQKxEwmA7Sx84;MGW+fi$Sp+ z{06a98IgvD>;R3{A&s)SNP4p}wJBq=+S^P*ji#Wt()8Yf`dgzR7Ka~EFV4yt%uVS- zx@HDSd0|C_Uz~Rj&HscP0O&pyS5=bN#yj98N7y=0wiDh$)ghx%TbdHuvIXZ7jR{wvj{x=tS z30~v0!`VW!3h%oniM&DSn08(Mol|p**40WWuWaBfG^fC5NCFezp2ylIp$z!Gc(j5&P!W<{HX`~_T2S}D!2{&&*9u0wF9zmuCvmYZmEs5(&J z(l=?%zIVKn@+{fKzE^bW+N{wC&>IbU&(M7ppsLTq&^>{{b>+9VJonmChpuy7%)8I_ z%rTKY!=IOglSSXn(xkPM{!hCY-*y&>;h0yaa&f>^3e@;fxK+{V1U4FKs z3eOFweWD;QZVexq0iI%8A_J8sbh9AxU#+=frm{k%{eC@ed>5wW4tP`t_eF6l_KTta zJltC>kjzu1+)`jkgaFVj&!D!zGc|a~@0d^iHjR z7d4}7P^_3aYDF|YF-SB9I_2uh8I#!`sYVAZlBH78E|+j@(^zY>R|`m3lUS`1)<+${ z*vv9G^14IhrJW+D+KREpon6J;^E`e>K%*@)q>T4~6 z--^h1knL&ZP4T~!W6p3i=nd%vX{WN% zpV<`&3m71&F)Kv*o&taIm>jRHJnDYpWXkJW0`D7952?P%WdRUj-7+ zN!vsuC`X#_e9Xnj#Ay(N7|aHga38d2I^Ix`Q0oERk0vWpp3jXt3y1te124>_I7e5C zVwT@h@=Vw*;E9nCZoLULM3xUuYkP} zR7Md)uohwE*f;LeS;)EXoC^99XP{mz^5NIaReexqgIv|vx7Cg90)}9-NN}sYwY!c! zyryOCiOZYSVs(;l965AvLmvjwnaQ>MwV=qYK7l*oMMN+gvTELl$X$iLh+PMK7qCUh z(AmOdYVXuh|3rL(R_O)MD-p*^r_@2~En&fJG`GRE>*x(2C@28mZmwJ~@O2Dj)jH!^ zYs8MpfUA{N<_q<0)0B39Ahg}1|5p^Zfq?@?;K0`E_K9)CK%=`GFKc8a-P$R^=GCw} z?xvw*Oi;@tzkr|4lABi~PEynGl##^W)OlW)_@c^r`h3fM1#!oih>|`5RlhK&<0u5< zdI z$2>qxwnJUvgRhWd!zT>)N|O4lYj?DALSu%oT!VgCkf!GSr1bBw_(M|+Oj5A<{|#=( zN(RVq_)#{Y^jAuVYrjQbNxodc{T^Gveipbn~+<_v=+-_`;1k>W3E@J1=sMdp-f|JC@^AR{5-qoqxS-Ta@wcFnwUrDHO>AV$KW z8u{h0#eW;%cSP1@iX85lG~gPyxu|aCYlZ*tS(7&GD`9|&`^~!Qz2ynM=|aIDYw14i zhHxDW5qxUXLwV`TE|DjaY#}~L;aTEFx_*aYi8l*>JiF02;BPxzKaCJmb3I11B<7!$ z0YeDq$~ko3ye};Xm1yv0fHD%+_ORs=hDnoH5u)p9`Q{ic?WH^Jq3Ic=iuiaz6s3paB2-DrV!m^a~HpPDSZ`%^SCB5 zvov;gg-e>AaVW$V=i2G6<9=8r91KUw$g4eK=wPBN8neOO3c-eTl~WLAYsexQlV?m< zN5#9_Ukw8z$aMHX(aQrfk!de#iaYLX^RRb?(CJFC827YZz@POM%d7is26pm-<(#N% zN;@QEf)CfdonamIS>Y5&JRVMS!{&N~&q#?Hg?VrT@ z#LC)iFjKu?)s|pv+QOWl;$=kVJ;7HJwJbEOHh+ zmsBhs2WHZ_2!8R%OboX^MqzxCg2fCy(XzNggv?yDx%pHGL25{_FTt}Kx@7vk_D@T{ z*mdx*3w+n!l=LgTbHOqbg19@|fu_+Y=8@xPon6{cLB`UE%F?{uc$cnD(Y}K5NDu-L z%gTq4y|6(0kz_DBuIn|4+I9OW`@ki^{w!K`-(o8=zSbw9DvFoRU0rhK@z!vBE$KD& z`iIq-u?s@xLV3LD&s*lQVsxu%2rvRp;7hL!CH`-8%vKkL*anz?ofap*;oOs?iRza@ z1EG{0wRXE{EA{D?B;`T6YFl^2Gm~6Hx<_;;UzN`#(XI4)5L-Q-?yS+B6s8`t{p?`D zb4pe;+;8l#f4-DAA=M!@FOv89?pw(LDAg#!tX+j=5zSo1-z*Uq_0%jqW`XXKH~Ze+ zUFU0M$|HN1Ox(>I-ddwy9wHLo8;OOkSDi=L5prf}6mu0)!)EK}Ij;YP?_L`cgL1W* zQKm2D38pt+qb~a{BWB<0q5~>xbtTeDFJEPKq#xf=h(@qz-;72GXyd>FZhhkR^Yac0 z20NEwJ~=8sa7AChe0bUU7b$yMq5a39Y?}?RC(BTYMmb8-GBTJ}KOy}wb6i*$mFP*}fw#ex$E_rw^e}@&JPn27ouN>Sr7^ilxi;)Hge8s~TzbQgo z$UlJb;b$U+Yk>b+?*XjXi1OHcR#LDm zbWgik1%>iKABUCi*~y`kBnsT! zWgLqRXlam_lBQ(@#jvRIK}c;~u589zgVB{4fe{G;rc1t(B^1w2A4`qI$xSRgI_j|m z>6$6iohUar%Oad~K)w?h{m;3>tz+w4I8(7tmtqF{t*PTg0Dqsx;;VDz&xb4e&Qm2u z(wpo5Xr)ze>NE-JxjvQZO7!*;g8bXWNyu!onnlZkkinWu?D|;uXJvtLd@7HtIH;x3 zH=9HPB@d|#u8>t*eXc63X_Lv{Zq&25%taP;Pb}W z99F&=&NudgbMTPY2_i!=;WcX+z{KgwHa%?k)6&K^H>l`9D@RY=Q(StvLeuAK9R@ra zCFEJsH^p5gYi3{;4%}hVp*ula$oVph@2Y$~cdI!QS#1T$C>{Kf!hhRqWZbpg1~C3h z_lKj3dT1`WbKf9IUz zwRh~ACUg5ESd_^7H-d8a_taOMwH`f#^ZkNJt+t3XHEdWwuON1aiuB6JJfa1l^t;=U zXBhM(hVT&a>!fHuV#g}9tFScSC z6&XAbgqWMaFfM~YS=3u9_5~P(ENq;cVMq6XLOwQ|x;vW?=dqz&)+T#6E2|SMAMer@ z;}60U#sKTh3Fl*QW5HT!E2PYhs5p~N z`xe3{rKPg~2<1!yI%#+*?{n6{MP(E7{XW?0Z}prUA$Kf(w~l?R^%r@8%*=J(9^q{d zGc9^_d=VEnFZ?miyW#jubJ;lTjc?M3`C>b#5)l(wgM7d7WV^qQPbgmubTO0~y5LJ5 zuy06QeXz`BI+r{nby|}e7w#IdVV|>hKT!%Ugz08Kw)ECPeU$OUfH(D+On#pV8r-pJ zJwA|G3kAEE_7Xa~81wMm5MpWfiq^}vK%+ncs*8ZaR}D?bv9-$;XDNrUseHD9%=rdR z9K{*oJVo2=I*>p7Q6&BnF_`n;deMEzJjKIx!IJQ#pu7HD47(K%_^~B!b|)6El(e>& z;_!CRvNR?>TmiEL(1Hjn)|Fr@iV}yiA48Y<}3Vc&UzKF zk3Q8*_}<64@T=w4P=37Q%9+%@`_8dhNO8&@RGPdSK^=rxIrm^l!v6u zD)C>vm(fByBoWDod!Qk#s-%!)BnG#nzFJ(>=PyB2O_3Ppt%cw3d*fv?3@w_BQnhKS z;n=FUoWw3BVND-c(Ol!E^NsrDjvGEpVi)Kr)~qvW$(+j|p6w zbF87^d0Y{$;twFCt%mqd>8}zJ?emn#m}Ay1{_6H$b1ZhJu#tkQeb3RZx$a= z%ArJKUSiizFwEDfR`z|y53FhPFow=C8kt=Ym1_lwIc2@tcbKg94V%^QrlPaH44Q;h zd!vCMh z&8Q99EEX(0e+=t9hOH4RT|U^o(AUli=GLO_9^)nTvBsa*y;dcYYy@D%aw|HAND!U(lK<4|g1#7iOd`ROY#{s{e4W)}8wUN*zxlQ!<#N*VRq47e^2m@E zYYC~QFlFZ%m8ZyH24eqJ^OUNv>W|M)H}6a#XK4w1pfoE~naCX;t|;{H3Kf}hcP z<|5jc682dgl|OWrE-+zgI2@X#nw!{zvmZ;=BIOY zjrW|!De-^JrlsY4PWVPI^ zV9T#z@u7xhe5}q7G!LWt5M8}~xA{Ya$Pz-m@0@xLTCuaI5cUT{hq4=X5Vx|liIzQb zq(eXxtqNyb*h2i^e*H~dLMA(F-WPFla>(SMZ{ivOcI%DxI=1Qj$Xfbb_!ANnHTtUX zI*~>;)6csjZbS7Ai=y0nzoZPTZ^2^5XCe39>DQDyo=?r{c)*@-YQA}p*EXhF zst8HbPj-rbPRX=elW}x18Ca{gVXe45;d`qKn=hZcq2KO`7IH9$V50q{hPl#h%{wgA zC+}uXwHc%%5|Fbw@`~7r1;g6sB$$(T7U3a`S-&W>t zmvCXi%BrA_8KXYIV z%Uk#;ab)*z2V?FsY-}v+7wnrkM2Co>v*hP61#0P<5v0m@!a8f(+F5Gw8r-*EaIN_~ zd)if`pTNSuv>j^fE`FuQdGqJA+I!kn>1=WEZ2EDXs{tuY#L4jv2q`)gFO0u&X-^B= zqp*}HK#R%#FOpOr*zo$TSrMn*|A1JMPt7q>{mHB?nH`?aP?MvC(5>GhubSgwuZ~QO zbF0^TPX2BT;<_)K-1(_BVrm$<=J(d?+X9D|4;d$Z-SwsHf~!ym9YPR8dYQ;OK@4oeUYw9za1hP4662YlasBE7UbsVeHpabWmx!1Tg^ace%ZCCk z{8m42Rg_Y*E0<7X-%}DIRA_!$q)pb{GI z<{;Ow)gy$*-KvDNywTm^boSi`&j1R$3EwunL;I*Apu+gwY``<&+IR5{DZTfd6laTD ze_LzPF(3zF&^2_(3KC2!*gd{?abf*wX#I@(y=A`)1_UPznjICj=P`$QK{E0ki*@a} zU1vD-R1vR=@7Y8~koS7q)l`}1FCyx=q!@5muQAQHF*p3eJWFBej#ig!(gdf6(^?t}0p&)FubV=Kb z2tLNw#V=-~yAfQAK<~GIR=9j^Q}8t!BKtVL`Rx0VhT5px4bAax&!6~V_0!=}M2)bf z4;ocR*e?c>f?Ohy1UjY__}$+9iWvnm3PP?Bgck#oM=)*Om5ht8{lnV;rgdo23!H=> zfGm92x6h}hGL?V?c635g@sSKOF$Wrp^z(&p6Gu>)6T7p4U^?^EPS~gL<5FapB-V>T zse;`RQe0K;^)JE>G8Q;d zy4G1>cf_L4ID8Rk+~4inNAeB@N5Apu=d0lMcO+}tVE3xt#W>eZk8HC_ctDR>Q00rE zn{4Xz=Ad%S&#e_gy8uQT4^&}@sfmVa&?i2FM=tfnp1P`k5@TV86&0FiaqZ}lmU_a? z*By;{2w%8p^tojt!nu}lcebBk+Oqe+%-6|VHSs-m0HU)i zRq%g%FLuU)DibS^V@cMx#C=U?hp|le0Q8An`+ctNJQPu!wbNj9Qz-K@a$AJ3 zm4W>c5BXfm@h~7Afpa-RC!UK z;z+qcQ>$&aWVyklA*^2Hq!7+&_!XJHY|+1*02K+)1TeTKu#{q`b)ZP|4i2lFqD|!W zHju2ZAjNvg-)UaM_SOo^^ThkkMjmB!Z$X;iK@H(*#?k`0EZhZ&!CrCucLHbPL^b?D zd6y8K@~7+~m%GVeguc?B&>d7-ms);^*H?U9qxWPe#^gt3l)VyX9&}+b%ue6$(MkSg zDsJ$VewVaxiTH}L{1a=MB?_x%mWwZg5jStaC3>?tA4-Zk+?pB^0?j$Via|up-^~6z z$$x&WCAZPpKF5Sn<0$i~8ztv5$8d2F1mh`wjFvjBpnNvu&Z=#fKDW;EVZ(EST)5$g zgW7}BNkXs#!upBAuwi8W+`Ij@I`boYhRPbUmg8~mw;XH(t|v8SsKU2z|NQF89-`r7 z&8^K1)5XF#sPKd#Rl__3q(9T+CiW*!g$7P%wc$`n=ss_~oBW0b>_MA}JS%$dje#<> z%Pz^~us*V9P$Juhn1eV``B-OrI&T*-5} z1YR9+-#SX`=JFMfXEcg9Uim^qk4A2r`e2FrMSlQ7FI1N-3hBOqjcfaWQ@x?JZ-?HJ1>VJ%1E>G!vC=CZw*11mEd4?AS_x-!*{!=xYl zrJ8vJ-HnDmRE>FqhnjQNS0r}jFv9LAa|3RD2QzH|???CW4)kcY=!64q*Am>Nd;39s z-)rvTo1c)r9(SYQ&ZJwfWXY4CKr3=+yIswOR*`Efb#u+5vItaNyiQc#$hB_f62@9v zwV;B9Et5yRyGmDS@mo&v6Zag{&zFKD@vbLat(CcLRs&KpYDN&AnEQ^Bi|92)Zu%yk zr;1x-Z>)9JeXe`&>-z0|_EssvO_|p+YKosOHVvLO zKK@u?^bCKRj0$(o2AnN%;CC$>ta%kYm4jfwvYx1q9E3;>j^)0gD=}|#uOCF2tFj}r z2w>>mt9xqk!jOX|^#TFY4MNMf5xx?W`PW?f$cYt~vFcG61Hm8z8cnJh~WueEBaTk^2 z=9Cd+2shn|#P`pXDbk?asgpVAmHq-TTbb2mYhimPZzn-yy#7p6^Yf3lVaFC9FpXqM zuJ>2oSiH)tpW5-E^uBzxpKX2{q3g; zrI*LH%SKK&3(bO-YOE0wy8gWF=k(km0Mli7+qGE9vAvr@6GupWjlt>YTAp~nqHs5 z>yqxY+3UV5I_l!zq8bEJzG*)~-%Y9YDiwmTc82ilBc;l*_QZdK_1-Ms=Tc zs){@&a#Y$h_=A$PONVD5>|o|~=a{~W9j|r0IU3Gf%<$!xd;k~O?chLn5z0#*G%6JP z{)~L|i+pCFJ%Xx^Z4I+G02kK_hfcAwWN@1pQ|~#p0WZA+9_iU=K0|KtL*v8GoO4E% z%I;us44dsXwk-2wSU6<<*mjFu=Xbn(J1Fl0*KvD+mxJ6iq}4oP{OFtp=f0q&y}{lP z^2x}sZaMpaD8D|S#Y~o>g2QO(^mG47+}5;skI;nv#bzZK5EnkutC(AckAYM`Q>UFH z#?={k)Fb$g7Frhdo0|_M$iDtFc;%wu&ky6^rPmGf(P9{t#1#xw=y3|oQq*9EXH?uo z8`2a3f}&5vzIw8UKTFu&K@-zk+z`en)*K7V!~C!3T0_bP^gq%DiL z%hid>ESgUnaCb7^t?A3GAupRlcafA}L!?I|c2!Gdu7pPo(RT+; zwJ+b@opR<4c6K3`SJky~7>$?DX%C4-m9vPmg1dDQX(Ad!&~~9OF#mcgolue`doyW1 z~lT`+-g zwf|b9K`$IUM!8hM?~DYR5Ek}~D5Ceb1dou|wlu9IfKp)Cy#lLc6qq{{3z9 zU%3oCB+GG)d7tzg!=NO%GgB(!l4ncmmErbT%qVgZl_Y83E?Bs1Aqh!kjo|N=LxADb z(50hGX*k0zH&DCAbr}`uSyL%g=Rq2(d z=7O81jV?Rrl%|fSE{N5p%Hp{VKX7d=aB%R%t^I|Zq%Y$ojEXPJf4f=1b;jwRl>^k^ z(N{KHzw%tcW4xDeZrB8)HiV>}n&oKFWLywz0Axci7+#2j=rGuJQeH(s@oJjhuht-I zICZ)ka=o%uB!d6&JGWWK@WQTiTko7)B6Kc=lp`$K5`Y#LRm-Z52NL8t?aNl|o$;#s z>yc3v`p~(k9uAb^=ENOS>B=>FwL4Q&X{6dPl<(0ZcUB;Ljlp#U#n%rGM=}kMN}=Fh z3hHMYjNWEcI*ddl7_qr9VL|bluV;BGet3l$#Hdp&X|Llm>P@5U;*A8pEo}iPkp)dF z?qbBloiVE2V;L`JKRDrYa)UjBgtGZqMEK3zlf=JnyEjal+^W7aEyn| zg}VAs9{`UQgwz7>d-B>vR8CHoyI%@a#x9vM=#`q#8p)50Pys32E^|joWGt7LtB>d< zKVA2ky(Bc6!Drvd@I|U}E&pol)|^EyrfT4bpvo(^L69?Vlnx1XMnGQC-^xR+(*TW& zgpQMS7U?qpj31CffkS8{%t?#1>p<0Nh(Ww?o96b}`IVWgCx2@fAtA`&dq6q`fH3pK zQVu8kGuTS;GS23B^cKK+E8tQKe0-4X!jAzbqNuG9%k#F9fIf36gBlI0S>b0qLV)s< zDrbrgc-zFvK&61N!*m-N*icF&(8H#8EX$Q=#aJitTe+|y#V z=bb#Xv|P@<4@>j0Cq&|hA^60nBG0~{Z@#;Ps`=B54Lu)nE*rJ7?%d)BJPAPr)WJt# ztxgW!m`MAKiou4Au(%1ag5hHywSKolkGGt;#2iNOXdyu3@|ZZib#gfH5wV>pZo-Bh z2k=&^>*zAc9|ATmD$o8lg`2j& zww5Ac7!;|^VpLbqmK1jRRP_oZuGju9e_t6ttbCAUaD9cVPb}o1efM^#|$2pz6x7rG$8$a=Jd|E4*fgJV*H5%RgZrq985q4@ojTa51v!tZ(HaOf2NwN_k`d?)p2{+t_aU`eR zh%#3;z4y?yhxiv;p7>hiYbZo=82J;uxnygudLd)H$uFF;nTpugQa9J~_^^9$T=FsG zA$sb*!E{rm61XOlJpvZ@=zzXU&vRZ_ie-uDP)%@0>1?`@sx2YCu4A-8EKNLh++0P53c|%UIx8 z=J43~%ee7F;%at%-qO}nWV?vgRRr)wAdQqWw|(>bh^c8%!VmNsds7 z5i8l4>F+hs5}4{Yja}&d(O{FPiWgmqDkErskGDNvIRM!YGZppnw`e(||5tmv_VNdQe#+Q%M0W228&mAmxI##wokNh}O{rDNZVI8Mwg#!F2>Lkx z+*TDd_Hx1wwpea;4nrKNwR~P4dCE&m`3(qvl@Y3^lG(Hzb@C1-p{K&{J2WH$nK_R* zk3ol*FHz9ua7MwsswEjDX?*MqUYLDxIlr5rs_OyqZiWv_N)md&cg=I{@^WU84H>oK z=O>a^AyDZe6J}qw^s!!QcwGYak5bd@Tgr~lHfj?UQp-Ii_{g3W?H-Q14~+FHtRU#DdO8x5W8K9!qh zAFRJ*F;FmErQgClB5+i>y1-IwBb_3kztd&An3~BdsHk!N0i9fdEDp6hIk<4aa@C;T z^~yVQJ=C}Fgq%|5gF2l9g|z4&r@aUB2ZTSaMPG{%s`Eqk80r^w$# zu*HWoQ%UEx+r_rw>xb(c3UXQ`xAxn&xeo+zTP&n*FgT`t_T%J?oNo(ZZG`=Le4vy9 zJ^iIJeQcg;BOPeycZ!~vk)ES8ca09}atmI)ESqqryOZwK=pCeMX4`kiHQy(R@(7d|E3Md@iZVp!7NyEba z5t|Ql$g?otHKYLa>RwlWj7Zdq%~@Nt3=KgXV;ou_pB@pi=UxT;KR;bZ3F#WDv9_O$ znrFdna#k%sjlc2KgOC=zTl9huA+i^!P)FlL&)L;GA}h2H2a1OK&$jv7a}|w?*U7>6 zOZvP&(DMs#Gdh$nY+=uQNyR6%!gg&iSF?V{UxYrxE)%DRBp0O|xu7MGE=_m7e#X!o z6aR$Xj;^HOM@?&@t|1JV^Yg)sY4@4yyNzo1nOSjgbdg&QgPZdK45)$j)ET8HbI+-r z9DT~=u=k$l6s=#WIn)_1!-7z~ay9V}$`lUdA9ek3?{bEWm2mv%-x)x+dt|0HqEQv{ zGArCi<-x-)D_V0icszH?=D0o}Ro>Y(P=j)DNEZ^1EtS!!!-8uz1r!HHFkYHQOHzsk zHx>GJN>PxoV5$dmGKnWQ=Q2b1ZcA6sG{P9nT&^=ypD{r){2EYA=##`%+;F zW!7~ucpgd@^n2n;fjn1VZVY_J4Zix6ILTfhTQFsde%db62*?PgmF~YMZUo|mHl=}v zR)ptTt3DfNZgP@4jF^$A?X3M)>H|hzkWI}ORSa<{zQ%$Pswz|fGe1N|lfy&>C1p{hc=^F> zSnsa#Suf^?I;kUlp`7!RpsW-z^>^>K+_%;m8~gqfKp zX?s4nKytV6GbO1{?@*6>`2HVnn1C2Pownd^0~XRKnfMeP{c`o;kMsCrP1(tD_wYTx zLhp-zz1TBY?cmGlNBX!Z%W&d<;(GSrMK3V<$_*aO+PY6Mp`>p1It^A*cY`4=9k+4@ zRI3RkBKLX}O03#cqE*;MZIA7=5Sc5E3+3^`q=VzbFxzuj_62bg~;nAcT)D z`ZF&n2Sm;CPNoQTX=%v!IV1GoRV-vlVCdV0Nt)j`rMD-t$mv~L0UIm@wttoOd z>b3bTdUsrMkPlyfRuB)2+3z&R))RVDa?d)X<0)#zizOGA^t7*c9eN!WU=sAzC$RoH z4Lt*sbyKpQ)979<_ZJC=*|fFGOg0nYxA(!=-?5VEX0;yW4_}3|ek3Q)itYil3Ej?k zVRVN@8BeUP>oxRk)9O>7;6QJlE|wV3yWkk=+;jNK24L_+fcDt85sRpCk4$z-dm&!M z2gHx9BKnm}CJpO&PbAT2vGD)c2xs~?4;^dAB=lmQ;_wJ`fn5AciLIN&g5_(;Kqj=^ z1;Oe=ZC%Ub#R#`?sNlvn_(v7KgB6IWVnvrUUu)I|F>&T9 zvuLD*+R;)2PQ_Ij?uV9K9_e#qp>`gB669LhJ ziAi7cbVmFk&*}U_!B)LJ20|;N1>Fz7=qg%q;@U+)a`rZ}YUZJY3v^CuCClX4>0hY0 z*eZfQ4!36GLNBTh3%!SRoNxjxfcTt*nVn*ks2;wQ0|5EBm(M{D`h=LSRjy5$n(FXvbG9}4SJ zSshW#ir?bg_k;L6yB?q+K6ksx`bV>&7yoHZEdQ9U>rGG?V@TTvo4JBXqiK@fO0|?E z26DKgK@=1E1<8KUt2Il3pU$$t`w5ldF9u^4ceUfEpUp^GDtg$P$4y5qLa_RzbHtKZ z&znFm^CAy*8}=7u3b4?4T;$O}bi}8U3;;A-KP{%$&^Ivy&t2A57*~Qx&e}7jZWrGq zS415TF?X#ReL1sy;PcmhvF5&MpClUrUKZx3V@)O9W5O$};*7A_&Y&w_hlU%924K%h zu@uv8T)l~O7X}Q@NHZ+KKXdT;>JEE*mxcrGUz;RWz$HlAz9vWIKTU4@dSH47`Xpxs z5_gzOlheu{d*lr5bcX$G9x46gqZWnYYMAvJy?M|u8Ry_LK0f^GylR_%_RdGgF%))T zUzg9Em0>)nM$z_~;8BRQ@|{q_f{Mtns>s`t0oz=l!}I{TzpSbc0My9AF2cZ(eDKaZ ztF4xSmC_8`1_fuWMxXy?=|APc2`Aw@3L$&=W@|ya7Y;0sds99cq|msysepizmghsV zrd9cS4R5tL$Xnm_$xr9=IOOeJkWoeRW>lria_wmaGy55$SH{Kyyd%mwrDz%Mo3$^{ zy(Qv|+AbV>Fbrt3h|LL}ojA{iqNpcd`R+UCQlS(Z`ilwXA<5C3^##gMEF41UeT!6( zB{|^Zw=JQU97rMJxPPp%Rw2q_8E6_d z_^B@qWUEW{rm-$=JZ`c<*tERNNYFHUGgGX8BgX$~5-Fo(WpA7l{_K;vx?7qhJxpR_ z;2Cx^TK{%UN!_lOSK5+WzDBOVFu`TKgFKOFJtfirXZ5r~#mTNa2|hk?H<_6145+8U z4>}UK$3`&8?ldDJg0AbgWiuxHmBH+A({r>tJGMw9Srl;Rss&}9&pxE1jC0)bxz?*y z4K0zGUB3%{8W>WyF(Xl!a|Hyw9`x#OHyV(qJoyk1e>KM=@T#QT|&V5-$>w$!|RP{4`(#^N2WyAwv;`K~Ae7c4uWoBidQ({5mKJCJ_XBNXys5 zqKu0;!2I$9vMSbbL=40d!B<5b-`u$zh(Y`>^5bLr38B&Q*srzl_ZKVB=Vv)6S|YPL zP7Lrb?Mgt4d6?UGzU`}iTG`se)ShL|;$;gN2)JwT5eY#!NblU)|oTDHHw(DQBw95t$V zw7!^uTKj1B(Y~qvULjiN`p(`utR&6!(_A-_3w2otJ12A~AEV%jL?ipPRMy6_uFrzXz zGTlaPd#jTrwe5Gsbxp)IRu;4mU1@ivVX;ef;a4br>isYUYD;W`zN_aU<#&$c3TKXz zD7X&EQhC#F;u=n02G&x4X9Z^tBRNX)IIPLhu-(eAUHh=zmneN!6Vj!m;pj^kNikQI z$JE6jHqzH3^>Bj4iv>AZy@kGc$Kw-IQxCC^oB!*5#m7!`+`nzHFUc6PoQKNz3YSl0 ze&ZBIdTN^W9@+f*M~R*RMI*+L`Z+JS&hjHU@ujZY0U`_RJ5A7jUJWewO>TZ(tjw-& zE-Op>YZrlhkWr#^bkY`Gzry~kcZQakIX{}`?vbdy|CPLevySY$S#oa;iQ|CIBaV#8 zsk>?77$PmH!bba6XT9YP9^yldgnw%;;tZ*6{1CHWisN;%bSj;E$#t@1P2(r)-T+y=^x`oG0h1P(6?7U7A|ZT4(lAF99%FF^2w+vq*QL~l19c=H$T8L3uBMFgLY%_n4CO7ODfrlsik_Z;oCsx!Q&Ql zu}0gtc~{ox!E}F5@1>3>-RvcF%T9xTPFjN1&e0k0sNYoVcOZVb;x|+m8|%b#ZQI1o zuIIP9_Y26)tmj8M-E&~qb}78MW~9d~Sf8>yTrl-h@8(yuV&F9CLkzJY9Xbh;r6|eT z{blFZ;OBtmyXFq%@=3{smF-Nsxq8_zO`gUMnHo+Mk7t+hUf5`uAt3x@LB89-ov)vS z6=q(ABQ2IuUnv0H3Bsmq2Dne2%BQLn=ShU`TmmvnFdVeM?y7g^OZhXlh!y zGtZ}?{HutkYES=m6id`OAdb4Qx*D2p+Ufylw*FVDnvC8+c?tPVN1O8(7>_#2XyXYG!@_7&5x(s37Ck9yNEz_jTB8g>jdjY}i8Klev}ZQG z#kIb>ycd_=8Q)#X=BJ?_nqiJ0zx-+G&hi_?_yV~itF@LYr zl(e_cnI795&rYt;I$nR0pcx4nsC*TB^U3UG_Sc$<)tYieT$dm0Y$4yhS}aQ9__b>M zYI}51Xk-mHtiwh=^L@aoWZ>nd)~nfW06kya2ZG6OSV*67;@(!07jrzELzSKfkGmO5 zioA8zw^SF9*@jR(vA&*F61$l>r`m%t*4*eMV6$xePMUB{>A{hziphHLq+&KSqiJay zoR`pjr&p~Qs=J_}2`Devv$UXZ*%G>Vt>WKImLK%OFK(Con;$(|`8bXL~&W>8Z7z6s4{sh(_9a`fBd?@`JW?qf#YbCY9$V#|z{0pFJg1 zSdU?)$~&bTrVr{zH52mFMVAu|ZN;+$Jd_l&$DU?iD`jYWVF(o3ltW|WOAs%X(O!5` z{`&Y?*XgQhma57{ee1nJmkjiF5zKL58uA*-NQPc*-70R1%5RJl3%&Q=tM8cNjU^xT zC$iRLX^XEW2iD%90dbb7b8k2{9L|ES9Gy@!9sFQUCuZ9%_Y9OI7(v9(*?zv{F4suE zG)L!kFc$tHUq!yuZ&Tva?Yr`W&AO!1PTeQPwiCJ9f?a#7^H8CkU>^Gry2-gj&AZ_S z-KX$Gy8dcE+=5Ft`ew-~5un`gzT=E)+olQ2!5{j%2KHcr2)h?Kp@j5J(`!n*;2)!f z#-tl(1dh^eO+Dh$zy1Cawjx1IyPav}{!Wl4A`cR-sX4lDa*h5@$*~o%Z~NXp$G2&! z4+}qmkAsSO4_vM7WFjS)#k)ua$HZOGGiinzBV$m7?l3xW)M2D2+?aifZe88KFv3I&krov9`+J(8&FZ8#R( z7$p%I^TNG2uIJ;*$oGmN47$Z$GLi>c&uAegztJdK8UL9!t^sToDqXt0Ltl(!rOI^Z6Q zkUl~YE#z!M)AUF0t)xaB?Q)lHBH`p(L9@m?mw)|(W9Cf9%fBxZ#EB-ahHW&Vi+w-2 z-(Z4rz)@xI2*~x%RE~EH6HKM=Hi5VQKAHcgkdmQycw|4umhCOzJBZ zp?C~P%|E$%b`!WTEBr#G<=z+jYE@avcC0}PaqePh0+Uvo?ypmMG#~d8?65dg`M%$x8X8SfIbIX zm>|Yq71jg9kyY{>QUnp;F@3xc(5Gv@ywf89GhO>xL(_2)*2WPFdHU8hN;4!xObZ2y zi16Xt{Yt2@nv{f}2UU)TM&hq23W97NfbU+LGbFEAJ=_sR^MCDrj@=yCc~s8HaS3a( zFuH$u=|nBNbH4<$wfec!ZECLMq~u(X+%Q$^TUhFwJE?y}sQ@$Qgnulh1Wt`mm7CcR z<{YKof{5h15mr%zMt|2V827)#Zyr=U`!x!AFGCsuqtOCJ)*EJWdhC>)75RZ!)5E=Wl(1(=*Xf z;*lB99XA5^O#amy>xvf1h|-L*GGV+->BVr##+nh5>A>T9n(s+?AuXZf*%zJ|@3UaET<&c|;YIDUJ&$X7IGzwBs`MB4wI#7<{hUV7Y- zwAWFxIPvxYmphHMxU>?}=TG}k+3Sg^gCYvj%`^KB2qS?p7|fHb9*_PU$sGxe`gda9*{%$FlYxUESiT+Fu&^h7j=^ zal}{B8+N1ptEp~H6SE8EmpYd)`q7jVRC}nH;cO{XY(-f9(c4Kyda@;fD_)EQR@dJ- z%~(QDs$Tm!UYD#%*F3b1qT>|oXkO9zjJ3W=Ug!<{icLHywl1$s#=LiNFqoQ;6``VA zfUe5`xB<-D; zTkH{eW?9_IM|VJ^BnjBqB6m>+NTu73gKc2-586vwP=S+vD1#>+K}hmSwZ0l; zt$g`4vfsKhP|Q*|$c29YR{D!q;oMgS8&`}G*T0B%yo4-gLx16f8@I1^G5W1sHirhz z8!~Ib{`)_P=Z!Xgh44>TJm<@H40N9?A0%@MoLM4On0&0F4|fT;rojWPh|oh?w@z%@ zi*urh*%+b?ufS_j88q$1*72>R%FFOnDWagW&pQZ~ol38u?{*YB#7sNea&0U-Jd8VR zvrI#DSNVc3PC`f=y;9&%o5Do+)Vp}?zJ@ z7LtXPOD)>3#~xZ>hjHWKE>CAIxZ%a8v#LTzgQo_tvzX$8Po=(OG36r~)z>tj2V-2t z3u*R4vzE$y;h{~zhV``qBdWMZ7A=0~FS0@Le#nbJs z@3dprDvAmDSY7{!Z8V@VqyXs7yk_$s)q|Juh1#mI?qqdZ&q1i~T)nZJ#rY5v;Q$lR zl?XCc?q%JF3uVYp>9bc07Byo+rkzuNVP%UaT!x7^$EPHOMVJhOY^JDuvFvC` z0W$On_7lfL&v`NXYdd&Gb$@qvcjQIftg*5%Bm9-r zcCW6s#;+!6dSn{AT7z1iFMWas#h^-@0x?T3|+JueSterXY>EF+iZn09{q+XGGf5?$( z5(`>yPA{s!!DteLAF>O3fTj?XwDVS>c4CyXV$H;~Cg~QN8W_m?RNW7}-|`T)|DEBV z^04K2AS%hRA49e&(P!biuH~Gkeb_A7y#kFOUF>d_VjPujKN_pST_R74){^r*(ZBTi zh(>exi%t$5L?v75#XD}NpSlIzr(NH(UHqLZ#-7R^(Rkf6`>%267Eqb3)qSHoPS%jL ze?~FR8q_P1<>J-1_zlsZUntSHwCGbR6ltJ+VcpT=U&>6k z>GCf)1up;YoFzaHmFqDhw&1CEp1u>!(k|$8eGLWi4{0(#uJ#-1w4dq^jJ{kPYIQ1A zihppm!CLd^3{%pBp5{GQ4ZQnL2H{bf!XO>nBkEQ_z~p)ae`OUscda=*V^~;~Lg&G7x09>^R2|mWGL*Y}UQJ~( z5nd0}dMMMJja>5xXgA0IjBM}({_T7QT08~1Gz-`BWV&Xh-5xjI8Xp_YT8mCl)YLue z55UOGYT+x1mq-jR&H15yMmn47_I9iLzf0xM2gtqSaA7<)D?GzYRyS}G@(T3XSOc5x zyeh(^sQA^FvvD%`NSYt$Z6-}s(3@G-Bg|H*J(~Tbtv98%(;U(tFt*EX>F&xs=#1@8 zp|Lm-#vD8W#~3QdRcGq>>Qegx9vmh>X$xxSngv22&PwigBi5DClXhQ~=e6hnYgs!e zcT*kDGM^6=N+)w$_VKc~yy};a`gul|=o~lZnQvyc_^Z=M>@aDdSBIl>XZP>Ci1Rl@9MJyN?}DFXbl87F-?pUA4gJM%%~bm; z#MKXMNEXP`*bWlA9>Vp+z-435uU8%mA1J~nD3t$0Ul>#iggubaPZt(wFS64WLN4FH z_3P5GQan<2z)At2?gdwFCSX-0TEuH)bjWk(Zz}+rp4`RPLDX7kuRE3t?l3x%b_?Y^ zNTuIbas+{UeDtAxH*yy&vZ*{NI*ew43}`uan2yAJwa=pbVvZ)}WNj3P|~ zF019012B{oQ$9OJXa7C=qRR-kxLxqBz1w#UU{!w72_jIIOv?jv8~&^*VzQ&-AqhMtP|81xHCK&puF4J z6!|qp^_V)SN7Jla9K~@UsI@7o%uK8%qJE)cOIr{ReVj}2II#OmXnWmCt}0u$=#bdw z&*Eu>n8zzv-BaqMj1LpbCnqlPQ7bvP&VrQLBBQQahy-(}zldoUPF2-E+R>&5%Tk;D zWMI1LPAY%#31ng}5596r-J%e5Yp_Z-{;}()6WJPH|Au3dwGhZ@4=d2$$k?|QYyl$u zQq=|X;*kY^dA3JG$o%Yr+`gpreb_I#F;q?D+RM!K|D*9X)3NY-9zXJ9Y3y4gS-V!6AA-y~vQBG;7}5AR(raK95;-U%RFG;mG(IpYXw64}Pyv z@8efIN(LseH&8hz&y{S|2vcV!< z;cDqLi~0onH?7VmwYs5v8wk=rnqUOMUxb27I zD!+;3eD$&cnrH*G)#-_3Fk;6B)+*?fqLA|A=qd@M&0|xR^!=qn&yg(x)_Y`|*_84W zHR}J^VdzPiw#nHEAZTcXv|Z*pll9mQxBn-ZlKNRL;J%)aGoqmT=%Py%TShmT+g&4* zusPHa6VLP@oo29>UY!n(2K}69WL*fcfD(}Z!+(y z=;dxdDpy@UI}u4Nvi{bsRx}kN!aAKqA%1qAZY%LUQ!jaLkZI5pk=_aq&;OZxKw?Wb z*}M9>$r%Qzo4=z-Le-eg@y5bT0o7KTf=Goxvi9OfPM^qO0>;P(*9PN#oaz}#E)YWco2)icihU;cetp;#XuRHQ#U4}>bvn@|HUcLqzEHwD{_Cy52zQaEVG`vcch9wUI zm0FafP0r%RCR+KdtAOw?mrChYm37(Bi6w(td_tO=P-r=U;f}bSp-J#nPLtCNiS2jP z6K#vzedD?H{w7<`+t>Uo`}HpkC4l%|U3@e0$1YekycKb_5QSiYb9Th|mhbHMI;JA; zqJ_%FbuXxr286ul&)Dt?;-^1T=q$s1YCnV^TX@P%aT^3hcgK_cAu7!X(I%ns(jWP^ zHQu#N$bnQJOmmD74P%`}Sdp1*9C2dhBX3vyPHTasjh)J9H(eOcHwu!uLTsL z9lc+CIJwq-^x}4U7#C$x#_G_PS>yfE=_WfQ^5L8;LhuOI5``l$I+c8n-GCp+Kud<} z&yWg2=5M_uisj>atjG15_!UhHpkmJtFgmEeBJiM0`*Ev7PaIN66mspaSn&C=*hdLE0 z=7tpLH;eG!b<3@r^>3lx1h@CvF<)Om9Jm;y<(y!*jCwrzyeH{nsn-Tdu;fLc$8MQ- z6Q6nNSZVxb(}(l#1qhRVAWY4igyyp{z|*aIvp{t5z|wa9vLZ)H)g7^Iu@icH8Q8YB!6dQUg z$BXw@9pyBU)uo5I{Oz@{c@p3AKRl_Ljm<-R@uV^zJ+E4fE$gayH+8Utz1_tf4|{39 zBFXUQOzKv2sDCLe_zEYcrt;xA@y9@d1K-CzOHlj+L8Zn8bI0tjl|WWN=@)%gnZBQ7 z^CRLr;6cku(Z^;>PGkaUUjLGs(~d%NUMlXfA>cgW&m z^NHoDYPmz$KcHjQ}$q#csKqgKAWbIAc9IA~kFZpesHry%Gd9dXjnhK(oHAc9%Y-5aQSb+@-hRCZkj<Dyh2zAH9~ZG4vNwiod!7*G7Rs}huL<&eUo9YA3M z>)6Qm4&3%*Ei@so^7A1$)p7Y`Uc331|8N88c}{(NHGOP~TG+0%97X-nwI7?=on~_k z1GF{4MNa4gpoB)N8PMXdcGBp=-fe$3VF$LK)c5eaWR(2n{my^c7fHW!(I8+@9xp*r zx8-VUdmUv7GU2HSvb_e59gA?LhRqoLfelo$5?0p6svKDSdH%UXOV~}BLtc;ObSb5f zE=5jlrWZ%je%yf_%%Nu=T=a{4<%xK`r11QxQ8OEV;GAr zE^powf$2(|%Mz|XHrWpYlk*}SlHKzAswE1adCH~ED^ZtU-*!-QE;TUi<(=DE-eiHRb9NH# z7o%yFm;V~Pp;2i{s8zpGn9B8k1m!zdl+S7`f8=H?$8@}@MNolZb`gDq%CP_5B$4;7 z3E*qH@ypGrjPUaYaDo*G`o@T9=A>YYe*)2#srS;3R?p1->AK5D33idlwFLGqf<5tk zJBx!-fYoC-=EKMF3716=W!L#W>@8%a=Ut{KIo-?|Rd!Ps=-&)Dz*>oFF3y(U7)|z+ zvlTeA^l?4h3kTa#e5(6q3O;2`#Kd{V@Q0|+|4tuDy>sT!G5ga+;m+N23n*~}Dm@T) zpF@aRPD0DnwRL9kpdTV}l%&OEZNvN@(+f{s{+5P43er9}m^HGXK zx798qc@`*J$RB;6b47gRQ~L3|bqj^~z{QwQf6pkE@$;Qwkq2ZZvOkV&Euv|E-1|%v zs=t<8tqJ4WHc99%3t)yy_gu<5qV{BInONNX@p2%4nrXEeT>iFc6ki1$g_g^O&6}5J z@AtmD}$oi!jQ9>#Ru#fm*?AY>G6{53*T{Kz_eP! zs0~cL5q7ivx8R@njHhACNaOalF}A2#;phggMKUAgaKzt*uw@3Lnc;$1a3_ZChW=X* zQDAfMxKSwxcvZy+`w3?L_YDoIJDvYH=@-}S&01wY{-rRnTl1=Pz56aq&`qa$M(myW zgl-8kuKQ4$;<-9T{mhhQ)o8CMF+CJD^fSZw=bhVu$I0^1v8?U1_6<1c-APE(dWQ1y zvmTM!6)9)UWYo+cx>k!UraOGo!w-xz^%K)w8L$jPM{e?OpEZ$KUcK$_Fs_j@c>%we z1U^ac)al*eAt)mS-4G$Ujk2lsc6vkZ-&ce-GT-xRFZ9laTCR2>a8}mOhU_Ey#@9y= zx$#?mp}R+*zrgS-d=6^WB+UGD7x78kMGhzvmqLmp#0}RNjIJcmlrTGDDM=yO0JGrV zhQa?dwtpE7|0ttd|CTUi%eJA;U2p3DU7l4svb)?y{Q+}NQOkbHf6vuXu9%LT#~|LY z>)%Q9?3ZxBTah50&lUdkr(sm*BB|HnLa8&0A_zA-{!7DDVZ4v(-Dk6K7I-~#rq5ML zH*D_XUaoIKe+eT~F3{As+~$&WHW*Yex`gl3Si~j1HYus(;i&uP3f~G`Y%t z?-*IwVA(E-eYiMaKdi~1Ud|MzejAwCFMm5!joNuIVqMRG&9zSKvVA<_5ndaq&uV$MM4B*)Br)c8AvE7A>Go9l$IDN zIv7Zo2uMju4`ZXd8O+gLBi{4{4uh#L|({%uu;bY?S`ztM2B>BZZYiGD&8n@2OVqb3e`C|D?lPlK|^W!)DT*Vq0 z{Ea@r-TM-RE*#@f<12@M?}jZ)&89?K$?K*@pDXI_%gW=b5;`I+uq6tg>tf=n(mk_I z%gYyw0@~lNG?6^be5DKCZPMPo!7Hgqo2-h&)wMMfV=B zkIcBuM)4+TmUl=rkkj35u1NU4p!_)IfVlp+FEnF zORX_i8FBn}2stTORK^Xe9)}`?TS8WtTS*Pd;>t^ck+`B>NqMHdfY6^wpf5d1-d18vbb8C#Vj6pin*Azh(Lu`pxIHTP?{eX=S#S zlMOVWuXm9AmTrd(T=-ngD^r%7WHDBE{P=>Cm_WFA&;sXq5rb@Qr_ju zpcYrWv>LLP|IE^h4#@BTI=XaT(91BB2eLw7^HA-&!?Ki|zmcn)pm6N0ar#VGc&_5Ll$tHBpKB!nW&6A1`Ng96#J4QSt!=()=CGCbx2%oR) zW{8El9UpQY->GC@#{@0M!A;yo^nX{l+~&h( zs1Q?@r2TBaCFwDWq0ku8>tP-|KaK(85~8(;oU zjST`RQ}I+tki}N-vwsP?X9)f1smG0Mic6RcvIf4DKr%19o;*^)g0ETXv9WZd%E|o- z?Cr?;d)TJ;Q48}*K5+HE)r7e)*21`4Tr>qq(1aWoblAatz+<8P_KKTpM$cT@h8@eCMBwi+Qr<(dSO5vS$(l-pB z%5@LTEL|+wVace>vpoY|B5^@o9=i*%Ymi;m+|ez*aw$w+7;JP9T%wmSDcpkNdRSU!BbIA% zVc^trGCjvTk@9d-)SZsZ@5X=2hi=+|M_CmmhzE8kvohr``uG;E239@^luOmxGvo01 z@ROC0X8cmbab{tisbFcU1=1I$L|&nmM?*&P<(D-rlbp z4Swj4&`iZ!1T`Q}Rw34WV42;sX{-D@P*)Pux(a0LFZ@r#zL&xVJk3>#ti-#w)Mw1s zM7kNUO9Aj_OUuxTGCT=FZ6U|5988n0C;SdnRx=R-v4(N;TI+?VJ{DvRp}D^;#x2DK zlS|L77yc}x14--|^qH}LEp5^J1?Y#g_ATU(l`Ncax9DZ&IiL@o@|0w4_UqqK|E zhJ;)~U-&rL2+KH-&#Zx1D9U&$6g5&?A}oFhkUJ<3*O(mEW_fhuCX9SXlFHNtwp$Vi zqhtR5lrewG_`Ou3<`J#TM^7R8tmasF2RNKp=?79}#`?w!8CF7PgrR~=CgheYVIg~2 zuN(Nm*(O4=sKV<{#xW5eh1E7P6eyDz7JBhNqv!xvE~|KVQVQwJdYL z2PeU^>}tVDOGT5PQiM86rHbrj3A_R_2;|I#X=HI+V)}$R+Z3%OxX~wd2u*yfeoMP( z=$k;SWd=E|d`VM7OEN8ow>b38Wd1JhFWG^L(B_1IIS;9S_AzPQWt0&AKsiCQvy-wY zH||f4lpVaM;&#gBC67xQp~r%#5?hPZu#Y)h$GDQ&U5u+naGe# zZb26Q?xL&1cL{haX|fL*xzuzRgGl-5yA1D8fnFh;&qCZa>h!p|T1SI;B{TRJb28po@e5s|lN5u8$D$*@N^56q;Exm}g#UFhyPM9YIk;Bbv zhGXjT?Pxq#S{wrM8!?Nv3(#gvx1WD*OIGBx6tu!k*qXS&*lV$l6+Af8mKx4n#wG)v zHpk@NuCbpk-zOpM6-~4Zb9}g@5V^{+M9oOtt|sLGYh~h4QkEKf+bb;{>67O>xep$f z*0|8WTTny2YyMYmd-s!b&_qI!Y^QJFeHS>(uc>+4TDfJoD%*jKc~yZya&WPe*}(7h zFM_DXS+&8;pFU7OewPqLo4Yl>e;gjIr^wKfnP~`x|JBN+ydN%X*_sQY&K<75k2_Rx zu66%tC*^$~DkiEWZqhEdma9!xSLnpjwH;MsLL9rLC4gy)->@W>f!XZ86B<9|f{C|7d=?afSsQM^l?orT7?;_XyK9C#)y(q1 zL_K7xaJY|3n#%$qp3AHaVbBw^>GJh32`wGnY8x*4%&nf|_kZK-34_FsSt)$y*aIb} z18o-9*wh(Ub){5^7rWR-kezg@jK0HQ6!i$R^>H3VPU`9CWPbke zdt_Khq^r$TLri0=Wfvhs2wPxiw_&^TfjBIi)dZ-2l$z6*0eRc4YEyHSb|M=-gB53ZgCr)J{ccdNm;y#LZKmZ@-x?vb);r64_W8d5MEoHQyZAe47x4&NzL>#*X5FG6*~{bm2MtY9s+dTIM3Q#>FV44v z!o{>8D$sA%PdOa#1Px75UFI&O zA&MOKE$0d%U%7m!!N)W3))(KWX$xZ-CjUMWi&j^#y1XM+_>+90GROsA0$O}9?e3Iv zb>P4_(}Wd`CJtDV5X6?IxjAwUXX5boSBLyAw<29NXfVwkG5fjX&?+d*(+r`85`fE} zIDF9zQu0)vGvU%%9Q_H1jbfwxzqMSs&B@?0)7^yIH9q~NbU<+LPf*FjyLlfDfP2xJ zKXUcmQcY8@l(LZ6G{Lx+8Z<{a>Yfz{2Kdl7nDu>giXXbp!?R!+wegfbpCmUf?}Wh( zl^spLYcJ;{3vGGBa*M-uLG5ElXMgJ~`Ii`krYRq_hMU4?=Ik=p6uCO|HN)sPuATIg z<(4s`6{{U?A#-R-f59Tl3Ariy_M++hJt3@i||e1+MZgRP{+F z(#KU+`|ot{?LYFy_@yxGEM&q$Xwz=+NDJ+wFG1pK*TiC+E-v2<^%sc1RMgan;;Rnv zOmYvcbI7qhCf1SqDGbhP42b2ic5r=+PuQmy`>3ljl^JO@tZ)IlpzuO$kg0*lM ze`Pc+7rOt3i&&px>RaPSq=@mIr{1pnS;@|m&lqVoH}yEj$-;*FJ=|Z^B`v-@qawOA zu)AFM>ktq1*`zCnx$H<-({{8}v(KjB58YP0?!-%s?R9(kzp?Rh#en6%A zy5MNCRUv#&D|E`0e8aXd;xKozv}lZlGnTD#DCcXU3W{ z@g}3or9zF<#FYg?@yTIA>L5o|-~d$DCZnBFk1Qs)Iecr}=IZ{_M25-n-2~f=&M_d4 z;mN-Q71{;Pn)HC!E!baETH-YMg4T{1N<*pGJ6ec-nA~Wk-V!Fc^$#TWKLx$-#G~US zRr~_A5-?zHZs~xsUzaIunI4%77*0z|E2~z4bV54RLFbP~ zkwK~r?vZ7j4k34^Zch#98GHAb(kzhMQC2j`M+9+)sf7Sc$iMEvVV&=?KJkq)$WS#H*gt5 zy3X2wm=VpxFnHJs2{St*&K!dG3#~lQnin3cJKNHLQKwVp2&u508y0LF4T{L&tqkV4 zU`I~GE*TeWUxrOpwlF2c;&Oty?7~$#EhBM3Au*=1!lFC12s`G}<43FdI$x_z3Yrz4 z;70HMu?=+VuATmDhv+fVc{Hp>iQ{x;rz)09@rn4VpUD%->813_)cv?p&FQZEQW<|wgQ(8ti7F&z_24x>SK9lsuzwf!Yl*4li;L4@m)Q5>Mkrkjh z#_7!vJouL;0mNLN12t03w|w{>*z%lB?fCs32S37tEhaIF{wJ7EC6n-%0ZBM1jAGg3 zv302v9ht9@mV7InvYYq)F{3D6{v4;$!GDO_GEPu<-Jr}98 zYK2{JN*{f)@dfFdaC>pa#}{m<$We2KG54fDq-ZFrLRt^EfP~_-ljiX*l~lRmsoK5^ z%uNNx7LZ9^=r^W@tZ}40`M?R17v5I!3=Hf%3incZ*w7opC zoaV4170ooppJmcFY?D6t`ksC5TCV}0Qo=o2936|0S^K1K_X=4gYWSS zSmm&=|3}a!s4on7dF9;oWRL45(t7p!Hq>S5)<`VIaA~czGonJ|iS{v3Azn==4qm8%@ngF?KHJ_O`oGRYj zGhFt)%&r=onjcrg2Om`#%8<~;j*I^}H|af}=g)mXkA6apm}Gv81-OjRx^W)9<~~jh z(Bui~^00(Pbkf22lVaGj2l9P^l*-Mek4~Et0NbYpGj5)CST;Y$CTsr01paa0SK`S) z>qvR@j~P73gAcwms)-J%nrjz6G^a(ID&6H};!P=F=)F8AbFX~`6f&p+3$8W~r?a`O zc`KxuH8h$OlIfB+3Nj*Zbe;B{Bij;;JoUTD!MR6&2K=rI7&s2|1!1N5kEAXx5R~OX zUlsQA(IM(1sa$2nSC`R)?z$ac@k&C2*s0hHHm^_<=WgW&Zv?H+o@3HP`COQq%lG~V zEl&1LYMqiDslT#rzTI}>!V*XBO>2VKk<}cKGwZx5!emQSXxAB^+k|)Hke0uV zm9{y;ZWqMRn0|u(r`P~hyTMg?&C7q@;@A*eUdSBf zrI?!cQLf_dr-&799UWDpl;fgbHk>rq%WtJFK6sN+Vy4Tgq(5rz_f; z_2!3SW2iYOT{P0TI<9m*iA^okIz(u)L;T&rQtar1Z@HKDZ)?h3r3lA0^W31iP!6!> zVY6hi$0p6qP6I>Hqc%O?l-}vLy6Lft8As>k$7?6WHMUSw0Djsn0k$Yt)J!PfxS~(a zeeZavc@d(g58>74frh5p@OWRzGUVYJboJq$vw=*8p~=De>d zkYz_`2Mhewc$sweJyxobEf#f?Z+1ESL;j9dJoCiv5swFNg+23W6YOXJc2vxIDr+70 z!QsH~+~%K-RbK6&1JljuNM)llAD7UYMBAOU<)Iw)$rOo1hg$TnkdnjMvS>$&Pr-}s zmj3XK%cNdSj@+Bq@E^Oj1EU)S*dhzUn&WQ`9+=8aBbODyiC7r1j~Ch zLeJw4KYtMVPxT?JLpxAfX?xhEN8Tbbp#0miCedeOaxb7oI3&&))p*Kwjuv)^v{a=> zSPCMCa+ah8@NoT;z{36bC0Q=5la{Af4w=uV9efj?Euvibk*Uxav`)?WlwLg$-ii%^ z+d90B54e8+QlMmS357qrd0athdixQREtyt4nfrKKdJ58|91|9pIyGNz_2y>dh%TNr zKAfnuV0_e1)bBuC(Rd+sb_tFZA;G5xbkBRSct18=zHBAaYq+)inyUg#g=jdPiH1-2-&D6_9c=qU7(2BQtU{xcm-B>?5moVFz zidXufyezo2_=hf^hkipzU!HC!#o&s(xUeaBWl2d(6zI|5Osqrp*78ydmz@K6XDzJSC%AZazH2i#^y$c^tIYMFQqE$4-yT zvz%5rx8C7rZ~T)k{L>kiG$r2Rc2zoxE!La5ex~wS*`kcVn+&CZJ3N1aJ6t7Z)jF*a z%w=w^S(T&Xa-5m`7PW)`(6@4Uc0~QV!0M~pDCbX+K9Q11OK@0B0~DgKH9@l+O1@WC zt{QL*-RdEDiLA>#DgPQurMzP(lW7dCSyJNUZuD0jrjaK!AF3Y&$E3CGghWpD@EslDx!9D*h$jdTtOuC$)Tcd-Yf4;S`u9QPLFXT!1|y_vWiZGuk1nnJBvm zLzs^65>r^4gh{uqpU-;VJ;h&sI8*(47p&3KVG`}9=;C<#8g`l{b*)7M@8|4tJ0q>5 z#-q6g!Apctx!g{z{U`nHbWVC!3}3dXa4Oe6^83@@kvKd18v4P-HMOZQJw6xJxdNu6 zT4Nz381_g-cJdBHG3TA>U#TgXLA>Iu`_uarFQe-5K3v|0fs4!eV;ifU)%a0OuG0s6 z@L$e$^FS;qnRZyWF|Qfqxh-i&cHmT9f4X*iq4)ZaA^G6N-D%RzM=mH{zpaqSKQ;(Z zyp+u_(isRT_XX}i*NLsCWJ~I&v0#j?jPCQdwbUmP>SRzhnZyxE{9)Y@*Vt>?X9yYD zamT0lxwNgG;7Q;VNw0Ft!G2%58s2h^rwm_~H3{N)%P1$VCBEOh;k-q69{4HIzSLYG zk#alijAsN}5q8x60#k9M>tweoX~cI>tXlkw@4%zrV~q8CkFxbwcI@Z34c&B4&J7dF zx92k7F`W}nW5eh(D|8dJWlkOI(Dn~YcyDy-*a8WrvAwFrKiV}@9y{G^>5G#zpAe7B z3FKB=Czb*0jMnGju#0P-vrH(pzhITuoZtRU2q;r)YaZ z0~RJTRec$iJCymLr*|9u{89cqz%=duy;X%U`}#BhzELl^3if#E)l${7EyvQulajxS zI&pg0zy2(vmfaxI+0km$dZesf$D#h+$mA0XdEZodvAzpx9R520=#}YThbu|%Tb5zN zSU`3K`;=|d%4@vw4I27p!RWU$hR?pJ|I5=Ebj(G5i?=Nfg1ISMw^ZRWtc7Zz6feCwo_W2jsy>xIz%6*)o#<2s;jh<_q z)X44p^)GArTj%(q!yrwB+RA%X76$QG2ETU(!SXe@;ofP2K`>Sj{H$Fv$xJmEQqiV} zsbDzmL4w6f7%tPHpEn>(fekqNuF<1Z{#TB-QLcv_Z*!WW(66l#pVMz%W_2*vZzo>A zu0zA@5W%U?YqgVUi4V5^P1OD27qZr$uYqD-$B|+>^zA+(81)*Viv-u7QO{!kW&BD~ z)r;_YGhUqCnbirU5hOm%!W+5`End{DKE|9fBE6{YBR9)1>Uae}8XV!o{$5LM`h5DI zjU!tZr@d72wy-YvD24FmaX=VGDlaVx&5 zUfTnkL&UVxxpvjhQirHc1^Qfc#G2A(9i~fO%6scYZcq- zmxrpBfY(M~&O6xMt^S==u%A(@$WiPw=GT9{H^T<}pIegG<(EgBZ02)keT@?-+fS1? zPOa{~%6{y$J9V#^x`mf>dj}-{B$AN^kWT-cGxp|qso~t8H!Y9U$s_(AnQEN^Nhh3% zJV*+6Eu-bXF0WNHnz3EKCEWR_7$^5|9!rkxWgStd@oJo3k3W3SuIJx~uB*SacC_kJ zcT-a*7PItgjOT_T*EjooG7dMVj)uZz#=9gBSa!Yq;mjisu#d;v441qsvWLjlnY-$U z2bRw@(f2zKC3GkIzxAu>ok@|uP zhqbSM|7)w(en=u#2(jvTcxI&4RoETw=g;1ez>StB6Q-S@nf}ae&0TSIhrf(%`r-1F z`&35cxt;81X^nE9r^QQt@99HiaXBd)_=h9|^8Yj?ojmXvM;oqZ-9%lKAY0D6QO$jC zA3g`(dg^l%sF3^7)Wk?D{++=1YgPxB?)Z$Zd1D7AvR!mP77^NNgMpv&;sn|37WO=4 zQ2M`(NzGH%2jTkForRTUvWQ(nH_x#l`rd7yt8dHF4>LQJz0nZEYL#Xub&x0-1-X4fIwiYYw)48W+QO7i@jhNY z{%BA_@wwl9d|S?zkNe2qq;M+H!6sl?v9*^b6@#^aamcm4ggdwLT@&Vt+?_9vc978??veS$LgiYqJEI_lg zxf5dWDr`HdJ%+a#ngh5vENltaIFc{?>Ajh~(rw<6s}Cqw?t5pFeFXg5ia(5W6X4fe2`M}rCl zA4kq&Uyqy{#|&j3mqp&v0w_7Tz5i*VE3P@^1Jiz)Iy7>X0)5XgO%snSHw!-*5BTNq zfmv{y##c|wJ77|S;4=JkB^|eM>b?~wj3O3xGuQjT1(zg@0%y}9%r8mjD;th@@ZWCc z9{hJW5?t$&L++^X1__=lxe*S-|D+?^W2#gfC3}XiyKGh$$6$fU8LW|ds~IB*XJ#)# z>?JSTS!sG z|4A<6S-n1NkosDKN2g$^#>zvk6g`NFDGpjUNXowo*qBsXk-v76jC}18xEDVD(?O3j zdVmft!MO+agaj%c;srTE94;Wdi@GCFXy*P(`{E<0`6$=k$$dX z~4$lfhsNvlPsI9-1+emvkv>SU&T%z5V;QdRrtoMN6FV+$qgo z(4{D==GaycXhlkcF6fKf<1zE!)Nfd?1htA>PPi&hyNk5|U5A+;!MrTq+L_S?q>v*2 z*teP*L-=-i5Q_~*HiT&VoT$@-?CrtygZ%9>&GUDM8J!R=L~bBJAM??4AP#QEyU zn%1n>JVbKc%`~bHk4Yj6PozK~D_0S}>o1Btzq|)`5Acm$! z_fJ5xg!Y3lb8mqgnWxICjYT8<1m%}9pUa-=fK>2->#Ph(m#HDEcMlM;w5VMAWaJ|q z1R0W5Nonhl;=ZC(nN1rqJpCE$iQ7b^ket|w{(qFXn zvm6WfCD7Hov*W9A2=H8~TGzR^x^|L#Rv+$)dl0gn5thPUWC3aJ!ux}zuhG8@D6moF zk^ZzVgXEWYQmN?~Q%dE5?u;E;a{GSn)lvTeswNw~U^LyOi?F3{UJCl90|sF>^lN5U*2|Mxx;6f&J2(Q>cM={wx5+n)?$)y z7isl^3*PtttUfjnFF2RGfmUVwvQDALx2OlIpkU;~ z`rKB&2Koi=6l+NLPnIn&zWrI7obKca{ohDX$H(dNlrq(cmShWM(sSzkbR0PW(!HME#hA zA<4qJXZ0+dgjtdY7>h6qtIu+P@F1259Wu3M2gr3XqJ%fwErUskh59dS910 zd(Z#=M^*;jTXxj}o$_gjBA)N)fIN6m)_fdaMZ#jrI@y%~voD zN|4M!uG>6G665#lV9kfy{KIz7(@WaED-?r&(dT=cyq?4@(xgCpJxkME{e3DG@Abl&HHRp1OfL)Acy(UxLNyi=Qe zkpp7}K@&9bb<9kJ$!Mdm<&eVHo1Rq!j`k${dskH84n2vb@PHaN08OoIxIHrLuts2DLr(~zdSov?1fH4 zS33;nq({EPUfNWKezfU2Pu%p?uJnuWC43{*B!6-ezjS?A3~1yk?%Mx%#7;qzEM2ia z_DO4XZzC?n0(X_|0>8d`$&e{Rzg%baI$9g)gN$ZM47|1MO=j8&)Br7jTRxUU+smOM zn4#kQnLYj%zj?)j={-(DxXGc#q}l|q7nvuaddcQrIV6qaMe_d_~FppGe)PV4|B_5BGksfmJf)F(Z*E1hHuhY+7(&=n<@a~y^K5CJ} zwS9Mu8)u@G-!Z#ch@I=$sPKe`{T<>uCb;NV5S*HsKj+?6^+cZh_ibL2mXc9TivpQP zYAOBub9s;3@OutFr$J$UNd;`Ka&$gf3t#_y2Z8L`8Ha>^OE#NKyo=8DhCwty)Pu zjo|y95}Sl9lrbbai))Lr>9P&OGvAqmJO8byTT8tZp=lXiJoJBzeQDWXQ51{n5F^GA zt72?=x`2Sc2k3;Tve3Gw!rp%<0zrSb(9#`8{!L%2*3ICqkk-eIBN-p=R4hKY5&`NP z^y#Py02>*bNGeI|J@Kq$uFi1fh$S{oz0^52Mwzq_sT080Bj7Ww{ymIPW$p=&;3sv$NWc(-@k&D~c`cF7 zUnyb~>4y<1ErEXtVwaVgJ*PQZMFD~s{%4<`0(R8T=y zI0&GgK57P}y)w2I0S#?}qRLaEf2j^xr-WXKBs8E7F69LK9a`*=!CnI zxcm?loLnhGjk}vbh9cc$;3ZfoSm*OLB_Yw{TL+IWh3rk0-XT`X6;k{PQjFf%5K`qA?r0T2{?7F4S2b$Idy>y53KJYwuQyiJyD2n7@W!;F=y9+ z^EdLk{93`=p1IW5&k2fXfA7TmoL~!bF*3Vw;}xo%rK%sK*I7$-*Q#~ho8jwhkv_Tt z2keoaueycKMu+xuq*+8`;g)B_c4dMxzz?i8_M16k+27N&I;|2Zi!A%ecLsW@!okVb zPrtJy?7ez28OC8mc!x^m)41G6V+B}{J&XzPPMD!(LedJvJbG9)jDSTlJGr_0LAN}9}@K3qt?tIg1M}syG02y z*$_tdBDP0hmT-{GZy~APDeP6x9fPA5kMhaoq*aVK2`s5n|I?~Gyn}nTNcQt_s%1nR z%YW5*Yq!quB_cb==l!pA5NrbjKKN8QGYmfUYVaUMfBT+XdN-SQ{kFd1 z)?ay=w(}P!Z?9;WK3DWZNOXKKcyf04c)NF!J^&Cs4g<9KkR$;qKw59K*K~8QP8q)# z#&MwVrLI>qq#4}kq$LL9`{2C5vg(bJ5lh5Cd#ct`FZ`Go7Dqp!4Hl`!D!r;mGTxa& zJr87eE!F<0sNkt)N`IiS*eEz2L@j_~HmX620j>t@hLmB{LYm&>udnC~n%`>%V__@C zanz^V@|i&_KdX|n?L`wvF8rL~Z-Yj7%L=GZJ>pz#bn8Aj>*-0HR`cX`-ns*x)3(Kz zlvuHi_Z^LteaSA#+KGNcnNv*Kzs_k^-Ku}A2Am&pcXl8#4vd();fu6llhA(TF)~A{ zPfJiM(^PzPt43g%m}KW??R@v-VC`9+uV|F|p16*SJOOi8xt>moxBbp+#N?@Q>}lP< zEoM_U;`#$;xko~}3UQMmE^x*g55e`1zWWsw7S&Df8p%0M`7du5;SFx9^1t=;O`T=x zrtB&#Erk|L#Y^>A;aP|m3j{!BpeCluPqW=U_d z@u50?!Yjc+&4st^E6jEjAd`>#3YAD}jOW4QPV6!QlXcNQFLw&fNv+!wF*t7|cxb5(TBdnTLF_!AX^tElOgbJL((xmm5_xQCvS0~ zIdbkM(s9#_YMn(I0))EC{zXR8LjuyETcxylhd1NAl|3@wLyU=5>MQofl!qQ{s!Rx7 zY1~7RORgXA1KXq;PqWbMw@4~OcGH4hvY)@pp?)pYuwNH=cJoL4N~m*=ug+ zA#uuzn29G-<$rqvb5-pt*^w{A9-FI8-JaajJK^%CAuyZ=?dM3CoxEr9`G3(+cC^p4 zD;aLZB6;N6;Sc2}YxP{pUs*6w0n6Sv2a%xf3cWIj#bOR-kE`w2=2;~-QeiQ#TPACQE)xeq&0Zq zx~DH_H>FHZrgN-_R16y>xcBd@ii^z8nvTlASxD>!p)$d^t50zFtHUlI=AWfpSztRe z+5A+gqjs_+7D4Xhh*FnG0-r%p?u*-c4%qN-RRV@GB?Fa*kxM=B>|Rm^W&29i!GXpv zy9e8MOH1me+XR@EO5HarhWTz3A9T@-D zGAIkxW2(ruNUbzEX83t`Dec$_Z+N}X##Hz_(2Y3_5#V3th4KxBk;N;!Z@%!5=%OoTPenW ziQ?J7p33(1ft5CQXcozVZ~%U&uZc(~Tk74~%-@jr@7Qy)qsPYz5_|4wrG@V$l=39r z)4za_Xbw9}Pt)X0r}T*xgp$W)k58`*?}SeUNsi>aD0^;oyJI%?ipGWXVkF{TSFz=Q zk}i#< zp&dpGaCRw`w_tbt_4}W!Gh$Sb)VXC$ox5Xab3V~p zujqKnss}mX=)uf9@zZi(+3%%U!ziige+<1!kP;i~L`#V?Mtl%l(Gt>_X>U*sEPkDj z4JrFJ1^Z&SJ%wfyxC6!T{ah4!%!!IXlA7`~8CZ`|DjkHlpXA_$TXV5<>7FM<+7!xC z820>)Rk+0&fo!b{QaVz|^HS1Bo+sQH8T01z3<{8ybHbGIaQK%qcbxLSTC;z zO}*eZli?DTK45!a;Gy-M;wKF*U=wtyOJ0d$hL_C$X3!@zq{I={LL0T`qW%jBDWS$Fz)P({t;1Sq z`kup)iQ3001j-_!kgeEM$+Zamcz;Y8rC(m?JbyU~RL%=dOpI9{J3a8OG`iXV>E}#w z?bYs&68(_n_=fwva&cIFhimR<3m1p?LZ`QAT%(Exvy4>ILc-QF@vFv%kWE`Wp49=^ z<<>=6{PWk8LwoH!fZCpVM)IIcb>drPijR4ked}==xJjF@Ac-SS?HTh?bUgL0)-aNb zK6zWFKGpa<+y;M zo!Z}X-QQTn%oSb8r0R1`l#Hq+I8DGiRXKbVmyS;|;j%6ZCk0nnKV-XI z1Y>6c6SA^}-T03G@q@l)4O4`H!qVjr6EQivBC_gjsuTP*mZKv?yDC@`sM$eTjH$k~ zH*+FMnJntOh-G%OXQVylkepef*xBwcCbn^u_M8QDdLEJuQhcy)s32IJjNDE*iYq@& zIFeCE+x1rFB&!0MULS@WSPIyeO$kyuSKsdg2%P>_VH|0!*$O{3cK?*5XJ>%OEeFgW zCRX)b+s_>{cv4HuNK_FvIQUvWAu66wSOyIB<_uV8o{>PTW{=SG|2hxmSDt()3vC{q0FAtalHEKLsgA!>I?YPl_W!-D1X zuGLqbyo-$PMZ&*qY1pvhx{JslGZ%U|ck57S&mrmn=IemCug1 z+mf)RsmS~}sKf=-T4jN)T)ow%8K;pI#u^C8(-yAd8L#qtu)5wAsEn`+!|w-?j+adq z!Vg{?oC6M$v|sVnIi6MP2Hbv+IxhBlr(M25DGiycd3dSy;Lr7*0@>;UaoEfujY~zr z(aiAP1xP(jc&yfiWA@SgWl3)}7*$K^j_ptYkpQ=DYfSO_Djt6HpVQn+YU+d~;e*35 z)V*LHXSrFb;689}pJ|6xTwLq+Znni8zxBu#hK2te&R$7mk~dn5LOX}VhSL~?W(UBW zrKzp7c3aV9zu(s~ci$tnn#^4fzoA+l@z{#~cR6sf!ye4DBwXF(+3r8*LtQK@in-rN zJ((0Z(CLM4pNV-iBNU$5${dOR@%OLsD+Lu<#K7n2!{_T!SeG;@3e?IGu8h}TOw-gc z{$o(tRZC?T3D^2uLnTq{`QzSm23U2jLpDi8s;_TIxOA63yAmyg*EYDNvH#bCm8hsu z8;OJ~K9#wzaDKk9@jfz<{NP38W0djRpDph_JB)M-JfTm&m%oTuPi5`}?DY=JfH$ql zvC+iJT!sMr3kv(6%;lkhWrv{(bLU;%Ym4o%*|WVF8dO*g{bn>(&YJv0tI-0heGAF| zVd|@+qWa#iML|HMB$S~+x|GhLW5^L0LJ*XYl9rSKq`O0M1Q}vLQY0jlE{UPLyPF|@ z*UxvoYrX&6HER|(&OO6(_Oti%>@(9}HSyw-^Vf*sOxn-+%DLI{CN)1=WIy=7HvLqm zJuT65M!ZYO2OB3q4C+~{}=gw6$A5+)z<0pTdHJ?ZB zLNe!{{foAZ__)V&%%c6X|LSV%G7CM4ku@a!XmOkivNo@ims(6(Swo$+b~MU!PpV*_ zS1GTs7Bknlr%XF1vz$ad`1bNPx495n@$F6l?$|Y3OIk}NLl=D+a3%8{LGxhKe61VKVk-uZJ05eosP?}o(TlI+(f@tkAvEntQ*H;UY zB=%Fg7t<9#!a9{yNW_MBUP=RT+d=5iQa6&^d@-@)DFUx3*oj~T+#`e`91c;=AdKn~ zKsk=EiVHz%;2!pME`OC*4DW`ME#p?PMDhG5Y|GlLG)wA+BZId-sd5#41C3GblNyiN zT8uW5tq+l1+PwS#TUZEyDQj&QeZZvP^X2lt%Njv2@TcIf{iIxId{0=Vb=B!hQ%RSM zPtU#jP#Xle5VEU00=#A9f}j3=C6(1gq*^I_&l{f?4%llpaG~zCBjSBYcc5%v?T)bXb+T^m3-5=4ABKp`V+GQdu@p(ppmQ-QC6Dw7Dz$ zd8X+Xk9RlWE{B*HTLY^GWhd&=Jz|O=532}RkMl50|`^B6J2Ezyef* z;LGZ&mJ@93S+VdwGKbnaZ}aZR5!Yh`EHPR)aEfO<;FbB%;Lm83k0)xkT`m!_Pk zyKhZergRj;f_h5Hxlnha3?yg%g7>M%NJ!7*P2Tw8zjAiu9N6|{@M=+x3?U_m@BG5= zxy6uL0Jc5uL;Hr#g#~X-h7Szx?demN(ynK)a*k5Rv0eL1Vrl<*@CWIb1PpzzKl?>V z)v;SYlSG?&JWD_p7eixYdnFecDnyQ2C;k+IXivu9!7qR|jB!=$n0a?1by=?yMXT6m z5+xVekAg(Q?kok zO|*P?2>`C2XdnVZ`HEIkcY=&N;8=_S5D-X`asi(Ly+hIVx&Vgp_1XcW56*Q$4#qn) zaY`kh%t8Lcqj>kNF1>p?!GBl)Hg|WA!H!IGCpQ+52{>@r7~J>2Jk6RnYkCBX6af3b zJ4^A|nzHpftt4#gd;(k^<(*qL=CG4zmJzHIUH3@l&__NAKNGg`Ti^K9BdT(4w^UW@ zio0hZnNzj3QS9IcL_mEzJLBmlkl3H$CFL_bX~laALWrk>c;}vyP3`CO>w#Vpzym9? z_+f2j9+!qk@7V6I5u`02fX{{qup}2A@0$CGLmWwaV|8+>DWIWkWP5i+E7%d6gy@>D zq}f)dUsW5_%EBVRL#mGmLthULRHPmUKfU-^flU(ke&K}vr$Jv0KI-hFhvbhxLdNdG zLGa6p3+J$(zdD(kMm!fUXIK^|`p>Xs2`UDtz6;AZeb!8bcn@VY+Fx*3FObMrFv&vTP>x%Pj#31h>S z5vRkdxY2%+JgCY1^9mQT?sG`g@;M4GZjQK0ekn5ci$BWd{0+W?f1w;bU=oce){_VQ z;SGkX{798Rb%HeiIseUC(8pW_52Ss90y`9 z0U`g6E`A-y1DdI?Foc5-o#@1?ns8F-J12umBC>aXyJqeu@uK2J@r)?QJ?TYJ>#TO3 zYN(FG+Hn%tUSvi)YUl~^ro7&p8ilPbr}q`WBmIIbPR`N<7!G5(;$3m}H!5zNaQIU` z;v6lB-3lt{X^QYQ2b_LTjvu@(iA5v(%tmoV|0E5>!9@NWmWAI@MI4TM>AQC`b2LYS zm7G^w8JzqDmpYXpyQ4Cqg?HG4MYnP!`Ql{@ebgLX6J{aoJ~KEx{nU^YjC1RZ^`=Be1u=QUWGnPDk zEDs2NU0a$+{H`7GK&C>FmuCXDz;9k4jZ+G7D=iA~VU8^nK6+Ws^A0^{bx649eB)EWX&_2} z7QHdMYZkv|_M_aI8L%u7<*y^E^ab#m6&4eOe#>o~{iA{~VCMO#x~*sxFkOOPW1fo&p|D zc5SszPYJjmquloT34=HXtqN!SPtsL4bU(&RqzDokGVNol5nxeqipY$1iZvf#kzYRk z-{9E(4em{r(7~8G;#udrz6+VU#>oUu$(Jn}=9sK}847l~}}KN-kF z%?A{o{@}ph++M4AVv&PkEj({=Q>C1)Upb=W>z0d=49Ktyirw~p{5f?*iK09BC6K#i zd~-2wY1?z>kA&bdh>GkI6#`Px*2^NLk*@P7fkKkZ0aDgk1nttD9r$OjF~bC{E)$;? zDB?P3$H$<8@jw=k4<;P{PWC@}gCr*P!ZV~h5lZgzPBJMBJ$I(lYI3GNiiWGK>yXjg zF(#D2I2w7G=SAPXYN~jE>EMBk=|fsayG4L1i7-CA4}yTrcp;U~^0L512j_bP-hyNb zHC&pem++kEJi20LqsMAm*_(w|gs2AJZ;$FwJ?CTxMw- z!|nG$43u;R^uJT1h9~))zEmy0ST7Du(vlytGdrKO92GaErC<1571{(|o`bv9o2%zI zA`-t7-TQ^LCH%uym)MHEv9$QpEOR~{9nc0b74x@MONc4{iuFqH2|VhLvfH%Ws4P*@ z3`ONm#Xll53z*&Ub!^n#Ol%<$6JA;D74~S5pRCa0o5=p-{LgBBBBL(nd9U}zC`qB% zFlGcWOJ1y_F3pzc?JuaNL2lDyQn7r7kC`47_&4xOzE@e4fOr7N7YKD9T|P(=b0v|X z+ewgkG5}_P#w>2KIYrABSdvEv{~v-J$fqm*YJ*p*Cu$iOZHIf0P)bB@lz>$aDua^K zpXR7h_g3(TFQHnt_m7_pi^~J98z#}9@lIOB)n{wUr$r7aJznu5iEIs38U6<>1n>L8V`XkEMSCCN^iY5M+JV^u~6a{+!|6Gv`~IC3ABN z4mSk{`YjEhVLBgZMEw%@G%n}V`Q>XY_j1&hz(EbR3<$%C)JJMUA_)WA_P##ZicEB0 z^}OowrRijvs;a_M5?kMu;_a*xMd};5LPR~ZSi(=$^I@+DyiJw_et`F`nd%aoe9=(1 z=oL4nVk!zbs1Uz?9-&m(2*h{wi6rzA;NkCp{Cg99oG?MM>2!Bh_YG_XoauvV%xz+;z;?%WxRQ4e9S2Qn=zScyS z(u>7VfT{2xMvXV-2Vcc5@2JI{lLFKFMpM+7>w*^|9aiY6aBCmrN_^yH66l2U>J4If zj_;ep>z&8!=pUrT?=>GVyupx*4v)i%D9VZ0nnq|>e&Q4b&aigvfGgD8GO$VO{27!p z$^w)-3*dk{MdHCr(xl45&>;BX#-3BI88b)p-yygA@1!WflJhM>-P#D`04Jl zWG)K(**fXy>SBAm{qA0qct398ZWaFk?!PayU!+i13w5}B``ICSR}LIv3x7TYms_(e z9Px28;K5a*hfn>mV?;!r6@+{=4vb(NDm@k<`}LQXK=2P{>*5}9rj$QZVTXXs)fjNO zy4c#9Hp$$B6r~sqDMwTp-yF6$w8+7+k*OL6$W7jgFRJj!@p!jMfRs#v%py~HWOYU< zrBgEZE`#m5#;-C=Lvzf#jKRA?dtFn26L>~UB}F;Owrz+8GdA zasd&4KQn)#fzwsnh6OGaF#KDAerDA7m;KCJB{|~%j_-=gzvIbrOeg=~I*zJzrXy`) zKTNIz4=^8jDydhbD$*YXcxJvfw+nE(8$i7O9WHh5zh&yW)>;+fUYH*#HX3TLRz$KM zm$l^F<3$kgf@u5NC)fLl?6Y-|AlG}F*WPHaA5|HDb)0M_F^$3$;DlDOeMKII|9w@SH>b2eMbDtN4iZq&o9Z3bhpJemp>>rZe*mSMKe4^ z;3#5rbc9@tU)M2IrX@ED#o)O=iM$60qDBaH?9c<-nJSLPY}$WB!K{6|ETBl4>mEOB zS&iGDbfI0qZu7{xkBudYDj+wc?f0RYdlZ&srj^NZ%8e2=j5W;67kzIcb>oQwB$iF>+u=cQ(+w%Q0)M31EAmKZd#rd-dv( zm(g|`nbou|e7gkHvPk{8;qG(4(q-PG0gIRM)oS~e2c#HDuU_F59zRGE>eXBPT;oQDvp~0pvKqJKRZq$n0@ca;0|(c05afsOF`3C+u}-@mup(A(cReNK zi4bRW^M?8g%IkoF@)0q)n7*p8wEsu6SqCmV$s|SH?I^t>l*k}DOX zGE~ZwZ!|D8y`TA5>`q~e@7gY|#?moHf3&Ko4J@%d46%EEs;Ej}b*c!1znbcpt!!f3 zXJkqdT^nsKERqd;paNT!U_803+=8(d0-XXgL$T`UFLtMr+x^*G<;n^P$jGEW9WYZCpz0!$;uxpn-SP6mRQi>;M@S zjs{!sqWUpxPJcz{?fcM>`Z$+T=}rp4#-@r$zQ(cG6=j=RMDJC^fiF>mNbQHw!DKC@ zDdhIpXL|0&QC>eca!-h9&T2b;myVGuQm8ksy4YQ zWFNfny1#@C7X6yz>&ob|cRFkDCs6;t*}>{>Jfpxk=O62Ox0u_~o+zIhhQ!@Ue(nt_ zW;dCz;&=@^Tc?j>o6*dyQ?HGYTqIt>e-`gGXpP_7Qz{-8t3x3Wr?7)CS8>xpf(F zlQidhXh)4I0jQ@MX78uOgOYe{OiIO+{PzlA--1g)^jDt{k;M_H`m*U_8yV6o^<+7- z6d#99l!9Y^HfGGpZV`3H(S#CyWv5nh2)B8|oq(hS-f`Bbcwhthj8Lp*5Rz@(w7 zmJkvvA`n27<83&4?oAu{kaRbt-Ib*nKbk&n6wdC#Z%Dx`rdcFd@UxtAiS%^yG|TX0 zhe@Mgj*E{8=EeuPvq=$e39`-}X+bq5;2y1YPxc;paj)=5r?9-cxrf-3kGJbW?G#J1 z7K5My*a(xR%hoA>ANBrM3%`(sUXKet<=B z+la0j6AX~}#c<{I_D5L2=-obUR(d}tX8~;?(E;tpA@9GJD9=OqYO1N?0-&+F5(aq0 zqmbs@Cd^c>mdJXiRgT4OqC!)~`+aUV?bk0e!Z)7ZQD&42z~{0LH=plSOC=3;UA~OC zvf7}QBAzMQt`o};@p>lXhbnF z7GHnVa7+tpR`PlTw^n>T$=8aVSM~Uhx_tN3G(yX)Y-DAqci!dK8KwTLkIyj=o5E>q zNa`h$Mu-v?f7yVQFIPS(^!gYJtDUNx`l2xrQL#TB@q^yTkMi6I9z{!^tWs0QJAQpS z$+K;SK5#jTW{Y=RVMfE;bP47!90=xX=PwwN*B055U6|Lhv>iq?U-d+L?^=48WE-u9 zTz*b{(s^lGXIpm|RbrvG$u2ANu=umm7QuBj5cGDfbMA2-1(qlzn1mlXr<-h->^+WF zIe--X6)z?TJ6_fOmL)F)8ha8OhMmc2XJgyV&0{H=y?pO4^C+vK#t4MX0%$km&=ml4 za<#5r;b@!s3uroNZmwL`$co!+mfWh^c%U+V*JTV0gdbm0Ay6%x4$``pI^7}dWc6sX ze$V?&bJM%jh<-^)Bw=(%V@)D>Pj=-|EDAMl$Sw(8iR;@Iw{cE5)r*_X$;P;Gk_qQR zmC0JROWcwC?>KrSKBe{3l_k07^Do-=YCxZWz}ohTmiNmVSKRbORKqPug@D$uF~cki z?9x~tJ|oJ=1q3q(t)}IDQIBEC6jAB)o}lXtRgO3D$*(#7#_%sbJi@*ccQ+r^mMGl* zTg=CmPQR3^GUunYso5Lo&^9^Z`NSIx z7mJD2sp%rvtiYvXD#`&nSWo0gC1qKB6E?Y(u8g7vg6EjwO}eNw-*6qOl+E;1$Z-%~ z+ym{+IvbzVzuR3WL0LnxPRI|2wSOy;RJ%>&&gZykH(R{?h(5}Vr5~(AIaEMpC>GuH zng>dT_O3K)blA_ZO>`<`^+F0hme_1m#G$o5wl_aCd|vgAO)64yu|7(x%uVl(pthh=0_c9CD9W76rpA(6GQhPg1&`9Wc z;Kf#eA@PfsS_a}qm_f+7RaGVjg_bp15V>ed<>J2zyd>VQ{O=sQ>t-$Gnp%D)mge$f zabZjLetQntRJ+wLjc7=YtEBsSh`^}=jzPlJtp}<2mQpgyuQ;j=dGy8}C`2AcX&Dle z?COj?ux1GX?Z%@@aIJmJow($Cy!|^+9LcBmG-A|>N*>$zn0xDo{2M5-)v@=z=z{Yp zuxjINV3thjRMjV;)7u#4bS)CXV=t-?%uk|wo9uveE49y&Cr-Ra@^;q%ePD_3{misE;!5@O!16kz2HfU zW2$)#jBOYyLVEOxEv^{d5N(~U)g?wAA?BzmShD!0EzRF9DHlAc(v)4xw4>&w7^RgWLY@qTq#z9Ml9 z4FQr{xB^LL#D}+IPI>ZIaM-8>54i!OE{qmoGqEl8>r~buw5OiULRWDw|3o}ZdtFYB zGC8@`mmN{iYwS-SF~e|wqIsR#=x;Jb#@%uJW?z-A~S?NKFD6)-;(ZXD=hXaz3?3zKOSomgqiz>h_!^b&i3}0)16I5T7S- z-lnKasgu7r#rgb~SIh8>u?sBnkebPpxs@0xyBX>!;@rF^UDr;xFS`-}g`Ii~Ps(?z z>xJYc)mWgm=2<)Cktaz}uBzv+sM^oG48tT(Ae%(PROb;hDtb3$bW=HkT4&8JuoXVN z+o)$~i1zc37%f%aSsU$o&cwMgINev{g-7)zP|`0U(fj^-<}d4@eFd^6TA=Lbe9^TH z4x1Y6esc_tHT-|#QI|>v)Lmm-4DjhoRA|F& zxtW}sVhU7v)w-vSuxKyTxiuK&k;_D#20p?+V}=a`iDwh1G06!%c#Aoxtp|D@@^g~c zdF?DlO6mFa=TM=^Yx*GD6;TZ`4`so7w?cSkr17XJSOhgxT%#3tLsG2xQBk0u`Orcg zJs!*IfbDGMO2(=7?&v>wJ;yoy*MnKD$F$4Yu(Il-p2LQT$xB^Wj)goP%>rP`=GsHd zkVPj!7s@nqvPjoCPyUa^+~t+NDXPVGo=;|Hq{wh(1kl4$K0+wRQl!-e#hoMrVyIf3R zD%%`2l4@U)1lLO(vwO)S;zY<2uHLCe26drMs_`%q5I?H+ZB8z~bkAKipE{FvIv_4rv5cA9O+e<m1~ zb4*L;-Z&cPId}tgG}IBkNPJDJxld2p@6S&Fz3jGPcU=04zA`ypK{C*)DKb17;+!HO<(DDMZA*V;h%do75pw4e!A`A39R#l0-_2TtLjCQ*t_ ztxKzJzYDJEeKu-3&WbR!r9RMf;o)r%)tIVGps1Td@MX>Y3$U@S#5fU`*k|M9wSu&M zjtau#OSL9(t7Y>ro{JN}pzwQS$l5+7fwFdw-2lov$>8w-bvoS*A3AOK_&z7bl)?Ds zi~f9OT=Wt0#D5_$uIc6KA0u|0rwRFVBL`pHpX`t!^(m&~c-;NEo`K55UEXBU{-F?z z77+_5ri%v0EQp)17Yw~vSo9%3G(E5(D!|7nlf>45!RM6ajl+NZ?fw(I?~ZsobHg=4>@<4ykAy*MU` zIM{xr*1h@?CHWwQLeyoFg&M#msAQXHu&*tLg`AtNF5hK_VAyf8B{X2w;lE<#nItXT z=p$AtHWXd4M%Ut1F-fnKtHWP2^G!mFKdy^T24<0z>HLOZR2apj+3wlA_sM+!Oo>+c zYZT33`A{e6t;5r7!O)H$n0@E<3O*ZKORu!6?7q;>l0Nk9z?!Rz~B1b15$ZbN>m21rzpLoP0Sf^@y3dG ziIlT??b0;mwwukZPd?BqtKQL`{lRjK&l21+y?JHvz62!a?Va9(dTVQk553$sW7Zg+ zobr>7yR0inkTzBAs^C{y`8u^uuQ6mxX?L7IP1?5pZmH_+=_KRhT5I)}%~3vqI`KN} z1uL#MuQGBdJwv{?1dW9=1( zJ#AOgS^}`3W{~~u>=IF8AF;v{%epXzxcc$ML&K1rf=K?X2+vF;fR=KDphL&?5aS19rPm7n9z*9$361iCNjRv05~Zvf%vltY+Dp zB;d`ak(ZrTf?ui5vx4yGD|Aks-Td$42Rt4+X>q0bYLgluRCmLmd;T(!q>XmnK)fc$ znINjYKqOj?p35z>Lu|vX-lFcrS4dI{TQ>{Ai=_O=82rpRJN7mN`l~#Ck=(}x=GIOi zU92+7ZJtNdldv(%aD@`n;; z(zfp~Sz&AI{d%Q6Y2$YAM7i(EIij;qnbY-25oF;Z`c^j~E&!9Bbbfd!V@|5_*oz)= z=fr@9maztPHhKE4D=qcyR#1nn)dV?7EVAa>R6UsBB;R5q=UeMLG$XVWW$fLjX9zmi z#gGmCSlnS2gTB!T6>10(EmKm-p#6ObBnCfPi$HP+hZV)MhMaeHPTbjCIoHsB$&ijy zpwW%m(Tm7%NAf9;esX`N7>_~gr!awL#pw>CDW|p5+!DqCj*CJNQ^`yFWuBYu2YkPa zD!*!pR3d?QHen=6X{X9Aog}bt?Eyyq?^@tPu};Na7*fDWlVB{)NP)XJx(0YG0U_uc zxA%mp%brpRslN6NNI$re!h=i{ot+eIVm#??3M2RSf{;74V`IL!fS>c+D1Gb z@Sq3mo)x-Y<}xnrI%{p(oDi)=a*TlPbmtGCSwHkChfznD#W~#`*(-3qVq41!tr~D= z!pV6=)-Rh{DfbZa>aTxZYW1UfK0&fh-b4luFHF(k0R-Yw<10xW3scam$Nob;k!BSV zUIfj2*Yc0t!rSdEnof8E6Ho)G941zlP3dSdjuGVZZT!4NVe*JheG#^Fc(=Y6?}AK1 zOg5|8WE=Svp*ypYPT{mQT&`(b4d&IjoGD?0)0-TV_wh|f9qNo>py9(No4sKt4q&!l{4OwfO8(3ZEL`z13}*F38B4KHlQ`1Z z&&fGq^nH8FA$i0nBW?mN`rLjF9!}qh=%75H%Ii4{)FyQJnlW*1d-v#yCs|6jOiFq& zbQbMp-=V`Vah^_fDD(hoXY!qTeU*iWlJKwAiaQC~(e_;{VRy)N$SpjrJ$;n4)D>n= z#rj(?-|Zt0tBhO)>z%)#JtfBc><=wbo8M9n$&;Lud&KL@UreY$*Bb|`L#Gkq*xp4Cmeh! zzk#krh|>8jaJeLcrO(Q<}5l#*`+l4e?Qfh;|o8A%^4TZIBOCD zAQB88Tw)JKsl3Pp^>{6y@b>X&Guy2#p4r^yI8iJ^;i2f$zDR}C6^s?;Np^Ov?IE6aJ zdD)t<(Q%48XUOihm4}Ns@tBJAUV-)uX{vNX6=zZXvSmGDW{L4`TsC<9jmTeM z4UR{zRUDN#>PfF#u|PyH>GZn?>3ik|aip&ZtiBO5mwL%RXp?uIp>BQ)QqC+e`BPVM zydkI>y>4$UGwU=~Ud7jF)^Kf77WV0Uh>n99X)9K3K*eES@=8fnN~_56KxGsB&L3t| zJD=sp!iH3{MAc>-+vixrzy+e8jVTt(+TpPmGY}uj67W1RAx?C=vNg^0_`O&IbqSk! z?!Cq)Qg<0jokN)IgPrWdc)m1kZ+l2ilWbQR-ekDaIuTK?iBLZEl&v~@P8YQyf}2v@ zZ_xr|%Q!^!^G^}p_OWF8bba>6IYI6V0Wg2jAW)jTh!TvcJ<+Teu6hTv*W4B;d%nq+ z<28pBG-h@Go0p3zZ2t$;^J~|kA*ToWE)K5u_d+E$>SVDDovH4_``lva#p(mNwl`oj za+fnhHm7m9QlYYGme4-`qyY-K2?um0C{5J6M9@}ls+R$WA05p_io0A+pt379B^{VfP3$1Q zAko2G+@@eBZN_FIx>UiHLBGf=y zNyysk-hLoHf6CW_!4tes^sNMsh_blTv13Ds_gxO0#+Kvwm zRQQqeGDA4&UKX9ZG17CFp!p?o%HzVKtuN2RNflgEQw}Kni}4L$jHe z8M}8gp|QMe1FRS|7yBZmgc>UDiMO5=&;C&q2w351_5H>wzXyi5tn42;8!kan@6f3~ zp}dXE+Y9yI86{%UKNgVcrJeZhl9^13zz=q9q;;*jdkmWv;EWE33@)S4KW{@MqpfR4 zUUNTF!F=G!A#u!%n3-xZa+%-A^T7=?F)i}^Vj-nS0;n*ig&!8Pdwbw0oC<+Yz3>$tRekcfS)R~OhTSIevYP=c2Q~Dv+D^aSZdv~?$Y;>P~rK8K7MQV zpct|mPpqZKN)nd7Z*g45k);Xp4YU^icJ2Gn*_R_|BBxS3PfIb!lU_f2-&X+A`73j_ zlIR5B9yhPQ2PvyuMndEpmUl{$1}YU=nUU=xBkyf1>UO9n-jq-KjU5AjcU6AQt0+iK z(t=^K&{=N4S&iHdCvL8yq?x$@D&-5>%v&11tqDLb`5@voni#?pD=7O<1BRDbrgG@! zCt)K;4bu0f^I@2BsGJon0KE7WH1C6j;Bs{>s59KIt-0je-iL{!rkG z>cg7$EekrqVn(C?&TWW%rHZhA7yV+y0<`x{ecN=k&qq%Lv_Y7z*)#nb*3M%~0Jtbt z>5dpq2ADjrUAh;&C11saaa{va!zaAYPB6Q+QqasAP&txb zTQ4B3^j47@O*`-0GewYw^JuO^3sxKU1{yq;}jiML5j+gJRwfjPT&n+F?q*O< zND!bA#S+kpRO_hM`a6N^gnx&qG*mAhDu@u)`0XWs#3hXJa?u;@-Trigg@!oxt;Ia} zJQI+A1$}O6!(gNOtqAJ{xZoAHiQ-(#+$V7GYu(B}VTHjur<3CBMO|y;Wxf2S$z>fn zg^?2MzJDy+4o=k-C8q;LQW_9ez@i(ny7*)Rr&;|FwC2-+(;YtiKqbx`POLC zUN6qOK`|E1b7h@5FVf(tq$M}mwPKD{^QXo!$hK`G)y8ifVxJQw>AMXUoW94XMeQHGxg|+~{+Og~rvO8H|X|;b_sl-j0 zb;3>k$5p{BbyEj6k9<`UD3G2c{4M+9A9efKdn#uP&)?83yZyd}YE{t$$&?W2jP0gs z#Pl|06W!%4J$V2PS4`sNVlUZphKoyapFQ6i+J{s|+!aFBMpKuhqlHkY3#}hY9DeEO zvNsr4oalRw1rNXb33a|vSv}TMa0QK;B66SzChS#CRpm_1Z5dRva6S}~TX`Ov28Nw} zBv=J_vN~PA8`foG7$WyFQd!4T=5)N93Xx>;1jQBov@F7lCc2ha>r~$lJ+E>)o|XpJ z21?5>Si*5iRw;{7kwv#=k%#Fuw{w6)gH6}`0Qm8y=ihtJ_Q zJ%QH`=jF`wRNU=8K9QukquD$iGuY#~#_3M-WNrLQ9egfFC9yWD-@A9($n=lLrl?~Y z7DKwR6T1|eCf-RhF3)Upp|6#4$Q$2mn!xUu#fZ)TuHuL~^)BZ~d1P}b5&dvQny*Vx zeG~f#fvbLGfpk>8b!E1>us%XGTiLvVHMO(>^)(cmvW-IS5|ahdch`c$b^4gZGC$4D z*GKfcehp35OGH;P5M}RV=~&xEY5t3`WUWuh(tKa|?`)Xi=0^x4?!B-($?NC5eiMEZ zA;y4<@&n$AI-mFHOVVzY23vi!cVg+PLlSM>Bb0l^sd48`iSO^46>{jdfx0_zGbQ7U zt(o82IZ0}bJM9FAl`r#$=j48>t~fRq__>A%GjE}2#~p==+BA|M@ie-d9%M&ka_)>l zMnsrLHAxrS9a>D_>owN>!tnE7H_$9ELZCOII&R8}_o{=SD1>L*BG)k!*eq&C*O6me z;2Zn|%w(CR{((BxjeiIPeCp%K^jPZ`PpbqAc(*()Lh5g2S=0A(L?wB%rTjq7g^#hH z_D|H=?2SlR!q+CU{R?JqvgX2E4xOp*LgO}AfSN!@0?$%4$0IQ53#l@PudUnM=3Z!(oL(BelkgZjr@XUK4X*_I zC`5fGvwZ*SG)?Ul<`|A@o+_DW2;C~H1XmsQ>6V!c-_1Q_|2J??q^uzVFwPzW+-45E zhk)@;6_gA_0rd*oxk6JVahVd^+n!R?WsTgoI@h>7TbfI!jgH8%qfVUg-Gii`FF=lI z9%vKW!At$=t%lTb@VoLiNIppAT*4t_6aM49%cn=Hu3>nO`x~1=FDz^a_BHKPn|Z0e z5%Eg*SXtdk(07|Nn%*(OZ&izXFjr9%Y+eerOS=D-XIvX@2Q|a5o18Z6ccFG7{~xVQ zJCMc7Qqt#P(u4bJ-HjQ8x=QN*0qrkH{2#O3^T}woGDc9#T5dK0Qid9B6Z$1z$6MQ9 zeAf`J?PR|=OuI#nJ|J^5xWPi8yb;0o^Sgi~C$(E}r~218f?uNGgkkdF<5FvJRvC-w znn*p~1J z))2fB4>NRRl5mysj0u+eK&sj_r`81I5nFhet-om>F{&;Ern8~i2g@zE^|fH6@vi2u zcBydFtDaGZdG=M*)Pq%n^Pycm9!^ zO5&a%2Tvwse;mAy?tcLk=w=)CQ2q_;D)?(c_}l;F>U6Gb{N1TCrbHgk4?o!BbM5CX z2Opr09lOBAB=hX60dL}{9sRSp(9h?GwTTwNaxHmfOkQkLHIQwQ>Q?Ni zirR3wHyQ0r$lo1uQZKn6-f-nPq`X>k^?z!>K`Cp)Hi1!~@~xlpOF~!#urTJ9g#ONa&dr+~EO68SvU%;wUAf+vt+Zs3GXXM|Yr+G5>>&Ibw-tYe{7o1>~Tvj|Par zF+NQ`Tn&eaBu}d^sawmJ`n}Odv>5A(0Ue{InT-Q8A+QJQydB7)G(`R=v6 zyr+==r84zzfdIM9Evwvz%1Ww9NA)P*r|vcSdYNm(7@mmf&xafs{jo&n}2J-lo?5-_xd<_k8=R-l{hXSl-g_>jdf|{rb#4e_=n8tO{Yy0vgBb zP$tUG(t?F}K%s}@%QRfw{)T)rKhAX#!A-oPoF>(-GW^MGZ?cBDka>#BCx>E6c}#Pn zu*>1+_`l+x>af#0p8}wmAAcYBqRXL!5|x8VH|&c~I%+V6aYe!p8gj%^@|yK`W;9%- zhBBYq3CIMRXJ^8a_XEcv^M92b?3OUn|M0)K92=SUY9gdc!e-74+8U+cc=`TSFCT@Fm;d@`J6; zV;)`eQ8M=Zm8;*n6lLv8g0pEyM8ntFN1C^^>zd->K7G5P#Zz(d((yvzCzTN)kHXD; zG`~SJJv{f7HP0j2_dk&7>TYIwX!iODvCQS9+jn7Z%%2eCv1um5fYmfwd%sWs<%r!W z=m;R;la&7le;Wh<_{)OyJ+G8kds4bQRbn+QqTAZD&VUN8@Xj+D3qSGzO14k=ZAtAl zavZ)<4iN$Z5KvBLXUOh^i-vF+*bT-fmG%Oa40IzI3wnRf%w8T?UmSq0g5~sS&^kwk zHZxpDbhf0k%=Q@${WlO(r96*g+1_E|I!qbPlTy4!-ZfkoAKmS$h3FFe|;fw=^k$KTbyCmqI}; zXF4v1yv=h|SRH^ITmB*}WBfm!zB?@GH+nm>G;^hvnlrO9b8GHFW@_auEB7EXHCOHd zVs6b@uFQcetz1;@0V*nPGzTauxwoh&qKLkH-}n9f{^5GAhYK#Q&vVYheeQFgbNC_J zuQ|S%k1xGAg>`wB<_G;iyuM5_j~vK5PC)GhhekBKdv#uR@Y&+rX(&)*SYT!23Eu@8 zyg`?B2FpTipSoVl;W`9QJvlmVvcuQ+VMzN{%@GGyGso`@q1j8Lc6hO-gr|-|0GrQm zNn!Fg)|8*Cc2jIC^pzF~T){b&zkIi)THz_(zo*FPt@oGz1D)m4>mc1Afwi)9?u6&l z&_(y9pJ4894b`b5`^QStp_$CneBw)gyk62&sI(Z6wfdG#l;3HJcMRvxxsK<|w4#Zo z|MZA9GVKB{7SaEmrtWbX{BAAX=>tB`$4YO`dZi{dC@u-XR~LV(9j*XSTu`MYcAvnv za(mF>bXivOqJ}?bixTZ>y$Cj@U_LXF@cu&6!Q9@8xYP;Cyt@7FJvY-O6aF>jS{QH_ zTAH2;SLN(uD>1J=E+?n(J*Ebmp8CpU6fN;vj12i6@mpxazI=E-?9UFD8G5^dOx0`6^|j-}YBh2l3_M%$`5=`5WFXkXI+ zZH*rPnK;cXx@ceGk~9w(;q!Qq`RhKjg{Zld~)d zQS6;|6$18okQ~i5a z8j`u!w|;j{tmzf+t~l-jza}9Wy=bDDz#kPHA`E|@*t6R{y7970ZswEE_Qz#^R;Wz~ zmzW0K%C-(|=*bHjZ+8D>ZMo#V$}>LB-<2Y#FRJ?*lU~Xcv~a14Lv2r|qy+hrQXUCr zpznm&-fa1kebwJqj#Yc{m5#3aF~=-osiq)x{SS+XhW(X~FB341;@ffoAz_*$;M_O1 zH0g1|>051@X&f!({YVeu>7DmE^?3BhGZXRLD)#<#TB<}mTH<>E8T?*8scWgzS^VSL zVO$Kt<-N#=!yzx=1vmPh^a@Qr`&H`6@x#tZ^F&ctj2e`DlJSlx7*FJhBVyv-Di=+r ztylk8AaeYyJn!I*&v+ip|FJ8XHh|%X^~G<^*oV8bZuOr+@BPgBrZUiXw`%w7(eM1H zmp(pYRGcYGMjwyO28AbN(o`aMy3!3Qhu{1$tssVqJj?LC;#9~RtQoBq53fWP@@iKq zzm}zmN|YaZvy`N5r)IHemb@eD|0fD-pf21J)#JJ>tP@fzpmDXTuaO2}wmp$3*Y3ZQ zuI~j+kiW?Lp1mr8OPiI|zupA*zmw!!nHsM7G*rmji?b3BeR$cWY}Dd$w;)gaY+>P4 zO;}01rnEEWqWO}>Nss&sP-b0J>DN*RSA$1xHLhvtb&qN+{#*aI(ED$(O2s~QHAwV; zDknP%Qy$E(!EKAyauF-0&Cq!oeUr%_lCa5}7)Tjupp*_o@32 z%8zSCc5>dV)VgThX5r*_76N4rxlG8P8JSugSmvj`ynB_%6mED-c(PLYWJQV3Q>ba< zr%3V-Md!t;G?|)c1meF}~SvYp(>?qJ^S%R2Dx!LN?d+ zy?^`aH6Y>PZiW7hU;Ceb$}b>uzcHnbXvoO^4U%Iu$SN#NG;we|hVAFjf9hNJy4~&d z(oVdLcPOgdT5`E+=`Q+?^Wj{>m(0nKQ@nV)^1@YOK-IC@x zxkFu6uX=9Uwu`%1rATG$WCBG zTfHrtZd4JC<8?1dOiB9xXZ)8j8@#@AuR10A!l=)J)lajAuy!|NQn9IqQqP|@FHe!Y z116($&t2{`zLjXC9A;nX(PVg=Fh;>k$`` zYE7~{%^>16JWt%;Wdm|1gx=dPTVJhabiFF^Xzci+S0RV49b^CQl&tJ?3OHOcKyD35 z@R1*Mm6Gh=B`#|kgjRYVRXIAuXk}<8d;ylA1;ow_iNOC zeN$s|RQQcQO?+@VSS%0kA>*Ag>l3`d_bLXR+e{2wqS4%H85eVmZB5@E5WDc(&pA(6f9ptz z?>DRJjOupF<>=9bQEIOUAK&4{=Cf{_WXfod^{L_MfW1EplM96RvXTyVBPjEoP;Us= z)=eL)E2XnOn$gE9BR2Z?5u%GmQ36Kb^x`c`u3rWJ8QB*EL&SEN@h{ax!RDNE&Z3Bl-9YpTmo*{9q27ncvO8ZTV4 zxmqppc!J>(kp$B)FbfvETq`DG&IzRnCd5o}%jf48@B)nL8K*i$lRu(ay>9c@1y_?U z{RZyp7+Dx4%*T%KnXSYUGT6P!Z=o-qvYQ(vM0~%f_eU>#A&&Iv<{$Qyrl@X@zI@%0 zpM?otjXtIzZo!9|yRVO42TN^CZN|%er@~8py;$wq;dB{R(|afJO}f-_QZP%cMm?LL z!M8cDPvCqP_~XC!Po>bbTl}kO!}p=n=#WP*KXHkdRu@>j56kw4f1Wk_7pVEhN~K&o zBBGQ%#cxKxFvxwyBt4?A*dg~<{hu_~{~Yh$7{Bd^F1lVL#cela$~4Ma(qaYiX(>22 z%4~<>cXzStg&2L~pj)X@h&x^Tz`dg%3x7mt&h6&*N7>fmhC`-v-kEXc%C)KTRK>ok z5AQe!BTs}Z!?f%rg)66^==xtLjN&p`yGbrb@^FqyIsXNIr(CJ2#>7KCH^Y@6uj|eU znHWm^P1>eaMdBY_w@p22x{@Q$sOqw5_vGu4YyJ9eHM`^TL)=oYx+jYi`&6uJ7*awr zyz5+`w9U$A|FhX`c3?s+Ur3Fg%C?y@TnRLpDDkd4OdUdf9%|+sTn65-cI+b*@4`Dz zGVxDJ(6B9o7WzT!T~L^SjF5P!vSlJ-j{NR7!)UI-eRnxKqb!%xdE0%Z+aSW^B2$0g zgA(<~;D-MSS59WC<{jUaj7Yu$OHP#~rBHp?2;4)>$>_Yizx6C>Prl}4e#>CsF^%`L z`cj86wLyiZ_Z^LX-^Zo)+0lH-I+(ly6VU!bbuIV15EWuNWmSu8@RLEAH0NXKC;rj68yPb2ZBcV`d(*)I|Y z#9+S~{tV%sPvcyAz$)9h+b4G}ZVY(aYdzBOXn4xZAM>ENyA>fLI1QRycP48AQ2i*Ru{1^1VAXSoNH%mDd`l+pD>_43nPYjD& zmU!fQW9iT!ZdqraEum#1Gb6j@@IZ8*jTy0k{DIW`arT*2`OX^UiVxGbu8}v$QxZGk z%bQ)TaCc;JZ;Zz*{jtI%j9hr9BJ*4qd$D5a5WCm6&u^IfF6J`I6lqwHexB|>{3Y}2242SdS$I0zSG|kS88EU0* zjGy(|*V-tVZ(p=>UiVeXOdQGFDkq=plQqH=Bh4T6Kk%6#G50#tUzHT64saP?8_9nq_ zML9%EM=JJjb>iB~;|$uxfT@;T;2#voeOk+eZM`jwB1!6*Kewoa*2 zR6Cs`z<E!s|NU4 zaCmF?5&0C53)m0rDVSI1ajNAFLOrD+_2ukd^@d@Si zBwhlBV9t~r!fJE3)Rvf@s`rK^Sw0m@@DyS&(WbC5MXvZf!B-xYMXBnAox-jJlW#{e z0Qbjs{3*)7=3ny{4E00T8N0}-L0r4TpHS_$ zyEyat#Dbj%Qk9rk|qjH@<0KXi!Gy=5yVZ8G|9fA8^Vp!lbY_2IoV`Ah0aEL)RX%bvFO zBIHiWqNxpGT)H^R`IFLYdCRts@j=Humen1{QRceI$HuE=8{GFL_Zjl4l*U8WT5GE! z#YN``RwUilh1T^E+hxmHIa*(kDo>oJCCcFFB<0aNN!-n$Rx-fl94`0_Ev3`a3Zr0u5+b#Yhse07 z><^C&L#AjG{8_j2*bb$MXT4B6d1a>E^4`JBkZKD~m+}8&X1}6$XTYwwEw2! z((6f$O-nbD&^7Wx9%3>JC@=LNn^H!?`&%|p;r-~ON>Mm0x}nHp(ZA}Xa`ZV)?B7NU! zh9sb1c1M0gjY-3W-MW z9%3sM&$pF$U+3tfbJJxrDw5*o2;52GT8ffsd1Q>eioM*y0tQIAMypRRgvt#IikCSO zI9bs9rchOzj`Pu4)LOLSrcnp4hIA!v^CvQPAW+Df`9-jKkLIE_yBGSOYW~d=hkS|Z zq_$!5{Lt2c{Rl^$HngqZ<0RI2R;jD?yZz|g>`~%`ScBy7SbZj2wZfS|DA>?rPD?I? z0@?A~$@5rxG(BSCO)1#a&J~+5d6omXrG)Ok(PL?D+|xKbWhBk-V<#$h>t|lZ_Esso zEI~8`e*u{FQ>Vl))dqE$qF7vRE7H>zw!(P*AL9T;tqqo;2jJb;3F-{2J4m~tOslP1 z$%t@O)!^TZP*Wr@(t`|bUy(3uF1W1F%-$WXdyAePODlOkDK-~3X^KPMy}MeV=nacd z9}R#f-LObl%^IFtZBW0}eLO$_ST}s|yZyZbGsa`6_%?e{3zE#RH@@W$|Lg3w9~8sE zPo`1ru9Us&H!t|KA#ptF zw)vx}m$?Z6`?>Edw^yIfsLKL<+JT{n&z#f_sJ!Icc$^SF=;&&(Stpm)(ScA(=U_`AR7yh3Meb*3nJ}!dgIB)kQT#H@QJGCH%e9)&%#T`NvBjl zGYl-67N1TeE$m;`}NqqTCRbIA247Pp%4VP713e{GMe!+WJ2%y zy+>zYK5JLSW$Jj;0nuI)YlQ**e~^I$IO2ULUX9Rt(5Y zL5tX#q;i>iSudO_aHqt-c0mX`E<7C7e4B-0ZSr$mXEq;$lpQ|2iE=qy7sWjmz8E~e ztLSv75`#Gkg1b{&t{>_^C|O6G9ZfQGZ1DSvKt*X#Y|BBA0sBBZg)F=LPtG&GF=RFR zKH!C{%|gow=E9DKnmXC#Ymv|+K0`?E%cH#4CwJ=Dw2{XxM}|zy_B>fXDHoaFZ5|5U z9m((-&xCS@={2#vwq@8OC@lIx?3@eiK9KPrS@$$FC)84aE9N;b=A-2u)KEV}>bJ;b zxl(%p+*WPfH`bVatWA_?sGR54T7sPK>irRKw+7sOu@Tx*!nwrF-uYN)$iL6mHpg2$ zQ8(+iDsR2aKh^E1<~{{YT!UskIJGp`6P@Ds`9XM}LVpl`h}Tx863>5SggZA4M0rT5j1jK@qOzp`U{$(q&1E|okOE*7O6tePjQx(lQ}Uib!l zrGM>!^kyQvT7)CVmiXwaj2PhT+gg3oBt_WAAA~&JVHCFS)Leck5ZIKh1c*AR$;gdE zEn@P@smp&gST(0E9BTNG|b;1M4?fsJs|MdRc%(TCz!VcRY1Y`ifft*RlN4!v!K2j?tMSeK*ec~77Fbj zTv{yy&8Uo1_q9>QQV33bZwfN^u2$r6Wuo%FvXD>0{ek7DXP1sRmzeiv4A;^gtn}_j zlPu0H1>yFphh2}vp4hCktFUW9XhGXM{&PP zWB$T?aT|R>@=sC&;#tRBNM7y@L@`M)wC?u_N+Ap|uhFP)e168r=QZmIhoXH~a)u#; zA*M}Y8FW|kmY>kXFUIrBpyxNCYJ%Ow8_Z4_j_j8it``%{_^H*h4_%^S(IOf_(A)Ci zz@#cP6WH>U9u(`)fTW7um6}iF9i^{-}eWBFrud=%l9k9G?!1*wLz9@4GVv_qB((iR| zMTQd~!wjUC0r*uJx^{OfwjNh+yohPoJ2}3p)+ChZmaPPtp^@D1_YtRA<0sdU>Uh<< zc!$&k4MH#zsF@De-lsE-mjquSaV{{r8>sR_ti0?bc{eXZkgvp$D1f+gpx~6fTB5!4 z&p|46z(BVO7dU!6G^)4c#dE?@Cpg2dH)>8TuC!zS!UiAW2<|-0AFIue{Ofi(y z03U+&Zyp5j@blwdCgG`=zfXfb-8>>{7aQxX!yK`HIEFif?*`hD67we1UbRH+KARt^8;gpAXnF!rHX!i%l%HY6I+U`Cjdm*E^J0V{}92p+cE1}5N3W? zAz9Jg9JzDyLZsW@Y^2{wz!{sfA^-Rw9u$<~q5SRa(=PoMc}w5Oi^Dw2*V}II7E=nX z?Nq4(Mx2k^N$5>5KilCDJ8)XMqck&IrP-uHtaFpmn}F(m8C% z^pQ%7_&|Qc!dtIaR}OA%dq228)n8R!KXdFQQ@*X}J6Gl14LJCuGsuINk=H~ENSkQa zgN7IGA|I>(K_gO5esR#zgLhe=#zf}=o#O%ko%(}J$DlI7Ivq8&n3MC8bwm!WvPi%= z->~~~GT5%j?Wt63?rO-6UKU$I61ZZk$}{8z$Dc%UDF2rJ zT+Yk5EYwOsqi}P|x21pjU5{tEE7Oc58LVpr!*IHBKy7Tu+h}vje9p7Tb#>9xe-|mi zc?>LKvN8x@d04+m&GqdWz)W(RhNh@et>4#7UINK){G>=_KYV$3kjOE=>@iunZn~~B zv+(Vs?cJJ7%xV@Ffn}M-{tV=FC5(Fj-viUlv_0&K@8iPRzeh^|ERuL zuPc5%GIr2n>1f0`b!$Iucmmj1Bunz;*%h_HO%qlRNVo= zGH$ANc9_Gv^Wb$aF^+EjAHJcjA=xbBOFsgx*YY$WoD> zVvZCTej<-qx_!$2)w8aLx5jpv4>8X;rIp=x67&1=$uqky(pl+eMEDW--0F^&0&Hy? zMdm#z=-wB0-sN?x5Zc`u2Y5FpDrcpRwtKqu#BW$ob;)3O=4D`VhVPwdT@CKeaM#4Cz9?V2tpZhe+r!q7QlWCq9Z|`0ps*aJGH! z`qYrQmT}Z zPq3+LFIX9BV?R|4^-cJSzzw+mWOvIB{;?XT?r>zo3mdFB`D(0|Zl>Y@=sEn&tai>C z=9}`ad>4~&Z-${rW?tdA>2Le!;04N2P;W}7(stMvo~UiEuGippB=sWrpA2(==QH9` zf+0^uv_CbZGJ3`!A4Idz)KU1uDgH}pvsP1c%+(<^oKQAS0PpeZh|}9!_7yob0HS z3qLbIYN-2CP^D0N@?0%SzhNy0GuGIm@JQM(nMwlZbFN_m>?)*YBEAB03=yqawi-f? zlk6l91vGOL+A1V*93gyP@Gnm&v~iKq<1cG~t4=I9(TDCRFqk$G_Z(4c^jXZ@5hN4t zI9PvEvM+9KvCL^2r>iQ1$J3)esp#MnzD0&32tOBoV1MliW2+*<*$#u0&-vGZx{w8YA!?*PJ|&EnVY%47!H!4gZy0D^Kp#7CQNrR%Gj*7+flol=QpvT*3$ZXbDQxc zexl4oL@;>gsvj2V-?Vmv9n^SJQy5m`C-~v0*{^LlKi^Xg+eN`OG*$j{gSpw1&)03o zdddTF>*p!j&h_GNhTb-MZ2c}+Ek%+>MT*Je`-{a&kagE^ANgc)k9SV)HU`8u$e8%5 z3fJo(6VVbv0p871eOb+V6>M0w)yy+$wBmM%vgRk9=azTJ4=A-4P|Z<%mxV#RRClcT@aE zksDogsvd{c2N(W~+7D_d!9!)C{Mx{;ddT9GjASGk+mKp_P)befJw z@{$`1!ztjD1L64wOlb@&G9+1>&?}EQZbVLpMW3+}gE-dfvmWcN`3v~S!{oz6@hx2H z1vZhI?_)s#L8Ske75x}nz+y@rp)Eu!Wr^&U=r&Zl=Qq52;K%P})4D~b6s*NGg7r>$ zNxLW7lzy89<$)vm15}?>cfEFO;Dcv?WJNYD7quQ7dTLK07~(J-;M!k}HNiE*UvW6{ z%`$i{pcUF7Wd@1EaA+e@;HzHq6D_F3!uuY?tQh~8%CaFpg%;x$D|DCyhK|)uOZlZq z1j;SPb$0oKD3J+aWjy%GHZwAMh<70M=-ISm7#GT)eKFeYr2MzaH8j)~km2RfbB*sj z=u=epff^_v>jd@v9L!_$dgq*mzHwOH&*|`G%UZw59Nq2qYkmp6?0%D#6Gn1p(n4^l zZQ3;>>X2a2!lCr{NV)S&Xcb%ytNp}exII^7AXI06-J!WCHx6wFx?G#+CM63;9X6BgCir~i6bzfU5L-D`$B;TD`^5;FuubX6% zSGwOM7?HnnIT==c37Z`QJZWF>%>bgm%N;0#V;zIQCjQ5ntFZ*p4rLxNgjX+b41nQQ z7nxDckO)!QN$HnNQs(I#rE((e_+SLS7??3eNwV)s&&=H@>MvlfA zaO_U3B%?Y6egtzPtH@{pCF*=;{i2ZJh z=45V90kv`+rA<{mjXhj_u;O&>?;BrLp!vW2I~b;O?)ts%$$8G$1QuF%txJck$0-vB zWj7+9PGyi{Cq!D0FUeveT*7X&60*j^PYjkGP0b6b>{$UkK7*p^R3gw4x3}6?2Bzp) z6lT9L`UO`jZPt9)a>t6t6nY*gp=2lehY452Yp&pXH_Dtac^w1&nu94HtEJ^zSf>BL zF+OW+J}GMJOSLoC)Xg~0^B(8Jl=pEaoV?iCLRPX&dtt=WWh?_1V>{3f7l|>n~0@Z>wuTmE-E9Pfk@-fo?4; zm#@j-;5!)hW}6CC<(tG)=Llb?BaA~@h4rTK0GTg^#aU1I%;kc^7EaDVGA zDx{VLQW1Sn$MI(16$Hk?>8e6~g1fgG8^rgI&9dB_dY&(Lo{fleKNCCS&`(4zh)Xl} z#Y=$4Xb&YSP*ONnpZ9t}RcHu_I9i8;$NixZZ05Mc&}x4Q_P(d14O#)TeZzHmtNCxF@hMx#ZcrRy;0M?ur7 z)^n*qgsgks2Pr#%(ORK{^8R7_8HNs&f5I5x-!`GNnMG%ffk7ErHaH6sHWEQ&h=%!2 znJT-mMBUgrjpYy8{1gb4#M;KlScM!rR7XrarNB~tk&R0UJV#QVC!Xx`3^Rw;lW!6+ z=Ai76LFOh&Aoj-JKr97P3z<0Ne*uQRA@QdpuAaHUH39hmlLIV$-xIWT+8PLM4({x? zP3$c^;n#zF?>A28+J%q$G1L_S@l05a_zSCAQW?zK4-T1-b=7i}P`R*e>a|L@tM<05 zK&If_w`UqrBww#9ks@%w=Kxjr7|I|^vx{q zz{T+#@=L^Mq5UNmr=l`sh7U%f@^w=ese`ZEagQjr&Zr9kQA81iGqL+^V6Nl!w)Y+E zrElR+LO0_(gyFvRJ^o>QrK$zjK0;jO-R5H^A=~&JZ>aCNnBcb#)frq`Oq+k^OWH`R z{$orrt?jZJD7r9+`Obx6;z(-pT};WCz&V@_RLK7@_5 zk>&0`@fF%Jxvi18Kl$ubDWW3-Y*6{U*6IlAmDcUfz~LEBYG+xJKwPuw;=hmnbl=Cl z@dPWn5~RrI;qMA^VO!q2a!Vr^c{dP)BZGoCjhCg%F?!n~T7~-R=0-)|kHji_Q7AM1t8ZLh-=8CbHVu+;8T-a_7fmr+T(SN1 zF}2`=(L*)GO&hDTbJo;0cbF@z-zmmJ2xh>N)9c1&3SacyX;VrI>fp}mTk{9=vjE?> z#V*r+IeyJvx!}l0yLYDJ@8LiykDV*_IvuDM$jiRxhet7Tzpoh~R7$600OT@s7TfD2PV(S4IjItqzWcx%`L#J; z^?&Alk#qOhHN1@OgqGbqD>ClpRi4T;`8XKOi-X#$HLhA3tC1)4Las60fbxC;&iPucJnfNR}DHc zZ&QKHTg5}hXBatz#I5R^DJGD-!adxpphEVTI_}Nb-#lV(49BhR?f@)@ZU(-ir7+Xy z8>^W6tn|~{Gp0v4(gGQ` z&wC3nmd0yFXHUS;>of~t-In&%K-v|P0)F7wJiN==2!ZeA4i5SEH#F1*#&%69M;urv z!M0fcJEjg4_EU*c(qTV*N5A>HTeAkivBFE~bsP_v;gl0KaBLgM1c|gkS(4W@9wOGl z{iHS=azbrjcqt8`09DgH zM9X9xo?FcGeR215m_z+q5c@fwoqUF=_ZIaj>JIQB=ekOq{xn=%3|w9DQfR2Q?{P8E z%H-5jyd)JMoiR$h)9p^_n!N>VJ@JL)BFCm48T0k{&oADXyS+?!~B4I*#P;jT8aTb}&}(KTrA$-bL6xL_VBWG}G*+|$%q z<0^8`T~+2YJ*{*C8idZ`)nCk#vJ( zg_|DF0BrMu%wzSZT5UG}7@OxFgsLPGh94kgSbjlvvg`=t2KG?Pe5AT|;Ky{GI1?~o zZOIjpZE;1`cDNQ>W5wXqy^>&r+qne9 zs?9S?g|E+ZLbnnS14d!0&-!@NN1a;VJjH)H9>)j|q&?xmE{&$#$BMDwv>Z^@d7F-= zJ^HN^(lwKRt^z~1I&79#l=2G-8Q)W8|U!dafoeJq#_#FkPo!Eh_lX=V82^8NW=%^$Rra%BP8Snz+_GG4`Y6%jD~hfjbAIo1!#XAroa*j zP?-si@wg642pMj)2O9SwXWjiw*s#JZptnh`Uy<;g*vXZ8K1B6L!qP6WMfMMI$vp+S zjNIxp7ycv=r4e-?&=cNDsBN*(S=|*_N(wW$;1(EOXtH&*ppE>;pA5u%4g;ZHC?R=6 zr*alctLLLqw2-y+=DH1gLJ{Z5e4s51!o}OI{~(r||R%eY;jJ_)O5 z+;l6_wF-7HxeQZkP;ST_|(c-kt53QXrVZIo7_h+giXM|E=Sz+i04-tCbTgfy0 zvYX=ZFuT%7&x^<*PD`Nx=TD4H)(^2|yfaC3I4n%~qPqlAngy#AW9z^hgn!F>tmT z@ZOpU=->j^4%s!>p&*D7MzGzBA0FNyqhj_u4g#z|5IelmDbR zqqTVQw>;1q?A`C->^}kO75XZhwd~{%I|EBc3K?a=Y_1zh`yr5@9!X#Y0S^HW9tyA2 z)VUqy65**Y!(8|%Zn$?P@2&o5m^-pmn zKzZsa=p!K{=llW3b6(d?{AWPDw82%J_WP^ic&>r9fcu-dw1+5Lq2Q~AN9{DzAwiS> z0$;V+y~WnklkOpHr1u{MN5j5BG6-281s$BR#YWW26hUc!mQ^{S53shDu*vdJ&R;L1 zxq)S+p+!#XtvAo{J!I>9{kXHWj(`7D@SNmq|5NZkuKw}e=hnF*$t;`Wg{Ys<9ZpQdW$q>hHq2%v0}n< zO@RzeGS^9`A3|qg>*e|G^1rW99)Q8DGgn!x>+FopKkr`G+oU!swy(viWnK_Gn2_Rqb z6RIY}QPJ9m!m4vRm+DJ^hYSOUuRu2(46e_F(pe9}tk09c$a&?bb}kk+ZnbGZXG@FK z19N1HBz?e#+=gyQ5k{9IXB{r;9_^*_Aj^d(Y-QIzqS+`57F3bQ;udgPJjQ&9V)7tH z%F{29!CeYj#rmK13cv(e5|nIvV8HQe$Kx4}`ClZFloU6AfADa^ zM$`3&vbr`Vj0p0qzLJ+*W;f|?V4s)Q;~@?#u=2}DVU(J7_fY+b#w*wES8GkdzS~!y z;9l_vD+6S(|7!1uPI>9m+P8KXG>xMC(Cp|~bt4vC;QUVHSuoJ%?p6AKy7lat;YRq1- znk6v0DOA%pE~J>RVL6tEhe@0n?{a3O4^NG5q_6Bw8uI%-^rl!!6pvK5VVPtJVL{Lf zSRenE+M#e^I_n8puLL?`fNyo(BX@&9w3j$Qcr;pCx_I?(qQ8tw^9}roKIBxtDw3C7 zPwvBLC|e*Ia2f<9NdVbbqf||!Xk`NecUMoLM^u^+hd-FA04COb4MTGX0&zu9c-FiV zcJOW1$VzK09@3LFvoNG)_p3U>ss{8{gUwiq_E zVk-G%%dBM_00iw=Z|0uVqPM5KosLTY*?lS7ZTT4Lpsa>Ih~9&_hfz>=^&HEdU?f`` zgj;qvT*x&-y`=xip1@h`FDaJRN3B+f1u3C6wp1<*)+^5~bkb-*Ix9g?L&}~;DA0it zful279_9GgrFg^5{%-GhfF=GG3Ed*hgSydD1;1PJ>F^n9Lm~va_vOk2>Pw8=SrOQq zf11-T-yqZy`)_h0OK{@;o_CZ=>GFc2nW_+`F7d9D#ZxmyA@e@jY&@IOXasMHPR?fh zD|X{j8G`T2f3c)nQ;%D_9YO|2Tl5z}IPag`4$D6wtL6d{Vtx{*-$`F^IyymbwT&$2(QmRuXKz!sW1I=CI>5O&5tjC$XXU{G;1=7H7^4FVIoS ze}6Tta8DAwlHtZi!jQPp0f?nXp~tyL!2+>xbZO)5hXX5uz?!j~8u@dq<~R()u=&Va zdIuCarrUsQO&vdAeWS`SyMsJr*nE%Fx&lM8;KUpvZFDX)7ig+;Gz;7YPBVD&)VIS> zJjaEJ(OW31vMz|23-A$q>UZ6e-q>~gt*=nz z1BB7|bv={c_xZa0AM_wRP{urdNAihF!hK6XJLb#O0J$^%?xWTsXmaR2CI9Y}8}xJC z?d3wbf;4XG% zR3e7*U6Xjf(P&lAKqgei9CbtM?cA|ZH87FKFd)2>*}NiN7k%lFT9p=UkC)13rZQDj z??cRT`~xpFH!nv0mk8JvQ$xN@%MM&qL_MEqB;|v?_6CGQ!4}3g&V9$GtF+X|tHrf% zx6*DvSJjuSGV^g_3|h+85D7PJXS|hZ2?17pFk|fZC|||=WEiC!yU-e(*WaX{7-x00 z4xDs9)z%yuDAAn}RpKYS`CpRe(ZVte(ZdMm_J#vU%zajZfLTIgkd2*7fN+S>F7ZFL?VgwF(slMJZI zVxniqvmB8_!jbQUD_qtnCL`lG7AL9Drye+&rO+E|qhHvQFj@xzn{w@#(x0ciFHUzz z!~!D0=6l$Pu#Fv-!*Lv^m{NprL1#p3pE^yE7(1YJSAE*CPf;+2q|@2>jcx{hadpJ28zn&W_cREVhkNYQ2+tv($&n zVOjuhQSZp zvZza!;9xkf+oQqQ!-KoW-|mkPL<>WgC`ByAR9U;<$%g+Nv=|9E3gyTtEGqr&280{g z062g0UTK^1A!v?&pBIhPVr`&TvOaWuFnucVNf*qxE2KvDxVgkhu9Oi|q0gx#yu9g| zl}XOrzXl+GJ8CyP_2k&AM4RMV*UR{w{%GOHSBOU?y(Kp9#^DVoh3U2qbl=eNc0=W$ z;r@@?GOyw)3JQpTh=7WSbP)mR3IYlW3eu|-kuDHQ z2q6N}q^a~SN>d;tAVC5IPkWfOD7D}ig2_caD@?QnY(6K&?mfwKMY2QH;{YX&Dsn~=e!n}qq3UEov?9ju z`YX!B_eV?+3u>iFo>Hy5ZMK+U1ntTq{zXx3-lKy|1~8On)vcQ6FWVDrrsN*+NL0juUA7a&xsH#Q>GHxCHLOZk*9 z^dcBv+Vjv2E-ersPY>&-N=O92oqlTHmghFTX9p)P?8kKgao2 zX)#GpSP)R}iDlF`2+E5TXhU_q9o+a=Iyw&x#+MSAKuj) zOQti4;L|yU`k5i{yT|Ji60V$xzR%&Fe*MIWYdUX5PTSm){rvgnUB89iQ`gj!PDP)q z5vFCAu#n2=9p$3lkn;tfsu(&se4MC6lo`#`#7dvJ_bAVC4Z}u)v0Q&XgSnyNo z_rJE!(qDc$;ut>t%J0aVkqgPuIXS>owO{lH4z~^G1`Kmi0z|vN_XC52`n&Br=Bo$n z*uA@%w|_F&FmAnddnK4};7%u(QA66y2kevRjYq^Y9k$PY|6EVoJU}aR_cvA6K!Gp; zGQR4wX<@z7#Bg`SxZRTba z`2um8!*EC`oXC9a103%A^otwN)EOcN6=FK5bABnL&(n5v2CLB9T5p;R?vsx?vPeK)*E z)#a?LZjvL!OIzXjOi{1zcENu$YD{5>>afn9-ewqhCPwJ~%)*$zASDS=QF>PG!H<{U zwd8!U>63_l{4=eAkYHa6-|la|Ge`vUgSUZj5pSCiEgr~8&Fzh>Tq1P~Pc&=}GYw`8 zn$GTF7M-@e+#F`k(%NFgyfFVGa_G?`M{fa6ys};=A{=1G597CZsqOSXsQt(&+ygFpYLvyH zkte9b?<($GH14raXa`gx&R+zRum8AsiPy!|3&5WS@9qw*Pv+(qszuG1uJ3UJ%#+Wq zmwy|!2Cd$JG?u7UJP$^dFYl1m2_LD85WNcV8+;yVF7o$>q@Ceu$B7m$Bk+=J<~^?9 z4{RV4sGzke=9j$yAZOtC|k95fYC$gr> zo~4)WbhF{lOb_-X;H3+q&PS8HQT^eG>l;zpdWJ@uR2ir#G5WZhIJn+=W@XZy0w7%R+@<>o=U2YpE)47|LRoABXB3S_;VDQ7( zmPW8IJ`(|{^8QU1d4>u3xR*qaO+A5Tb@YlHrD%C3QIil0rE;5sz7uo{=knO z=YE}@oW5^&fLb5MMx2yp2Md%gee zr2YG|&M!>YsSbtsLz@!2Y^+O%!TG8~g$c3&FX;Koq$DN5*^W0~96Q;$$>XEpQh#Em z2rC!;Xrfltb6!K>8NQl0Ml$`_=^C5rLnf(HD4|RLbs(ST99AH}?Ox6cowW3hg zst=rcZ^LR&hg^pLIziWl|6)%1WDs55tiSljOQxRXyd8$FUYAh% z+pET6Bl3BMsi8a?L26r14Ptgli3)}=r;N)5G1iR@k1*XLpN;d z;Z6{dw(bq<-6x)%xll6z5WSKF{whjUsHJZlf05 zjuYDu{p6ZMHLbm^@w^h#Y>ktdCBZBb&`!HTdF#A>p4FTn*>gWjHw15pWD5A`xp1FR z$-?5IeT|8N(Oq}j9AT}GLQ9V!@Q@$8EesrUXVrKS7%|;iWOh9$&7Ymwf(jrae z2I48~58j`B<_$o3>AnNia_!@kZlmOC`0v6Ff=ua_HnHjuB&i5avmDe4Z~Zgizi6ZD zC~(GdOyPdxy%oeCCHxKRLH^d1+(cqkQ2)(cnsn2g;Ufj7$)7g$EUj}R0=_gqIyx!Sk40iJI_$Sw+; z2G)_pLe9%fQJr|z@v3FUy)r3JyzzINjLsbnO5uKPA!2$-L!BXlTomQ*$&l!wYvuSB zI^*xtMJ1|j-RE|nq)>|JW3q@{6Gu$bVIhqJ+@jC;>(sv4zst_0O=@4h0Q)p!wsKrp z9IHjtFo897cApUdo__?R%mLYVDv!_YmLIj^+-JQ?h!Ht}My8pjY$Y zh3Z)>`X0XZAxiTiWbTRWtLyckRw&Aciz!}{Y3NnFxY!hD* zIPK8quf)Sh)S`*5DR4UtPwYm3-fyaRl=Eol!N%y}o1Q+KQP6sbWLY@#+H44dY-!&)KdWnIFIP$4?T z#QV<~zrZBJ(@yitx!L@yz67QcVB}-1mb*>(YM)%h~p~p z7rPIx&br2J2rlUC7XGngVd%2nKSbx}*ywDE`!CpH(7b>YM}LXcBrTOahbJ&X;tMhP z&10=6kV_}lw^}T=*SaJkR7u|g)Na)d$|QRZdMFNym=>Br2jB zpM}z)63p4~Lj%sG=1j^`clt2!G>-a+BMCBWe3PE9N4S$tG9U9s#$TYHOL7~`pfsv3 z<^(wE8nvzp5+q9RjPIVm#ZAGWf;Fai>)i_sH50qN^feR5^cl&Up%0)-xq+=%56h#m zcVQn*{)qX6b>_}*3DNxb|4Z#-p7psBWD(GY-nTIW*ZiRTHO^GsBe%3aIP|VoYReQ% zefDLuRQB-)*I0sq-MC8<;(cS+E0-hn@yvT|uQbjSP6+^wMk!#=Bz0dn9 zwAZe~1ft5NiN@#7Xps%f@X>F|G`d1Z)ATr^b2TeDM z*-`aJk@9Sa*`oT;u?sc%^l#fF3pb@#Zf!q7If?Ib1LGp&t9z6|ADR9h2NyAwz)DxW z;;mjJqG;{reBRY6Lkw70gcLBe@25To^G{^G=y2|NcYY=W-1f&}rFeJ=!Y>|Z|58(1 z+)aM%_zMNWi;Gf+?}w9!>Yz2wpV`IltyrF8M0Hut#cj1Pb)E>+TXaPeaJc93*ZLsg zco*%L{WY)bt#Nlx<76^&So=2gWLZ%pB!; ze8gOqos0xeJC%P>IO@{D9wdzZx;@)8CZ%SDq+W95tB=sde8o5LlM{@9`Wr~JFx`Au zrXOBwBk<`y%!-$eFS9;LZfoRY7FdmwaRpY3E!S2k-ioDa<#c0wGs7NQf1E8jVzU-J z_i@hQ?aVH!?8iH^h%xJ7I%nzDy-DQ)d8$?dI{MP|jwq`heCG7wuN-bBS;!v3BGlJX z3$AjILBlXItnrNZN?n3<1Hk7}*&)+u%7GAok0A|#JSq+AQl*Q? z$5KOrLSE(2OpKNz^l%fTry$tZ_#IqqX6li;LOlg? z{jtN%IJ&!j0iw(n0LJ%_s@#z(Nzv~ZzHa%=U6ck1o2=i}yXGI}<@kIa)joguM=N{tdjS1(h$wta z?RD`5WO4fDy^eA|jfb$`37Nxjc4O05!qV;vpCdf$Y5x1zt7YqBk#e@!My&^mmY#Nu^tEx^;Xa3B7pY44@n2YfOnqZ57He{IX$F~a-Q(WX% z#t)wU=}=tkc>3XNwS%+d{FH;~pz_GANaMOHwRd_?y5Z2sqICnDpjVf+gT@5sXnnXX z5TUh?aiSM27q6exCA^w@G)_;X_3m0Ny^!^6Ej3xd6J-sz?e00+`%9OR)d+IuQ4d<$ z|G75~kR9#?jfh!~MbKa2W1Jd4$>sL(oV%|pdlywd&m}m^n48QfLvFF!isQXtYXzvx z7U{05`4C)C$&C+IN)ILn+cFT6PJaBT6Xi{AtX03zCxZd{rKzJu+eF)}Il>ql{qZYu zkhVf%wn^1*)D1$KiJB>HD}U@%BuTOpW&6*+brE4EIB$vLAe;ihB(V#!yAoRhK6aF& zSm(cX$p23#M(;9k-|43lG5kN=`Z1pzYAt+H*=bO)w<8w&rVL#NK(#JOlx;?QU3<}{ zllH}3iBOkcsZwO0)&i<|i4m_|>?Hr8JEq~uuqs7<_&(Hd&Y`C=;=u+*$6So+q_zJo z>!{CWNBpH{(&4YRTPbsyTW5XN4}TS$@i95ZiIj_#hbczmexVjllmX;E1H8fKj_oN)NcVd z3CJ@q8D(xXW6xXN{wpV|#h{-aA5WSdhx%_GEWb|?x+eTA=2K(A!uyhe(yj3bIeUdY zF}ES|-zv?U+g;=#4g^Y#63ob0;%o5_)~t2(x0w5)K>qY=3wcFHoG7D7x;HuTK;B?h zFj%);rp8>5VFSincIvH@2iqicIA#yMPk-mMvgq_~_SmIE z1BL!jyI5=g9iQc5T6_~hBqN*J9?;dWzcBTtBjQN%UZ<|%p~r zDYkpX$Xu_vgKTsE2OL>#r2_}(RZ>960Nrj5|INj_RVUp{X-8tNcqft_(D(YQ&e$Wm z(kbtRh?tPOTYGXepmQzF#%v5pTQw>u=0I79w>;L~S1S5YzPRF9GP>m8Q%` zu#oKRUR5%!N1J(Zml!l`zMG5DCS3})k5~?-766NZI2#W~ai25ZSFtJq24`;-XiN%n zfj^&fUM1EkB;fYaA1BdHsqMUQx~d?WGi@cwM{gN@>iK43GWpIi;e zbv92_E7roKs5*UIk|1oqySzue`?Dsb&y|Osqn*}8n7Vf^LiDMTwy9K^qK&|x^tjX? zlJxiM?ymB1I6JcBrw+DDkoueP>A*F=FFX109s8nE(G)=quv92}0p;`4|0@l->=eY> z;J26YPiMt^42snuZE8Rj);(aac)x~j3^2a;)Up;^AQ*t5#$CQ+uJe zaUCJ6n(Z<^Q8^R^x&xect?C39z~&_OI>o%YfX3eL*I9xXLsQS^Cc6(V`!-{&Lcl;w zc6`A>%f=>9e<&GWbhv=*5mc;)nrNkV~ z77@@rN)e-F2O4m!gjRWLI8J}Y#|Y`Q#pbFUYz#+^BCMxFYP>(e*t&Z487h#h}KDDM%fbCq+P!1J$WZZ<|m8ZhL#LPaewA;FBTALwwJ)AW|@ z9XK8t?29p;NkAfm%Y18)i2O3&Atb`Cbi*Oox8B>=7*n)W=rg0<=F~#6cLD7dR;hpD z*I}Tb8*ir@z9GzaQ37)|?vitAZtZpEJNe zHFB`4(cmoW0-fVXwuC})fX8BdCs~`44P7rZe!RX{{K!YAL?aDb!Op5bJfm_|xZp=m z^5yyqXw47UiD>X!Y)bUT1EN63e0Vk|3)@jWg;0X$pO}GfhVRSEumXgjV836aV>9B^ zV-(QtnTtN6&Slf5&}VRKrTJGeGx?Y*;`o{&?%OB(Ry zVJLKD!xU-w)(SgxS%BkkV$ zfyP9{0fz7W%154D!G*?d=9f0xtb|;_jVdGEy_yzXZ{zBO(7%3A3fnT>fnN_d?gyG> zIecHzp+Weyw%fOdQU{qFAkqCslK%INn>?@${Ms~1#+PY^VWDFhpP?IJo^kh9rOQqU+~J#)q}N`W?D&fU7UCZhk4Dx0CXT zsTcQ2Z#zO!GD72bJeSCjx4!VC?vCSCr_u7mG>-7PD(}qt5;=&&yI`jr0^3X zT*}Clp4d&FvCQGehZ!S<`sxlw%{-IXC zgRY;fd--c?$!4z419%_SC|Cq!cM;#dy6nC7U8?P0k3J zKP25%VeFUaSbMqiK5P`<=aY`VQtrOI<*}gS|8YImUP~O{{n5mo4Rmw`XuD$Y@f>|8 zAdR&`bYXp+&!Jg2UJCUSrcT$&>Paa}>xq?eS?ma9LOFH%Gk^XDKMaj>rt@l;!qWIO z>|mz6EcBf%@rj`9UesDc4xVuZpeU*Og)ey1CLcYPY!dOT$e-V_79eWzCGeYih=Zel z$*ed)BqFLr)y2>Ly7ePWYca;o2tXVg*Hg#%Jw`eWkX5dis|Nrnt@>DN3In0BcNLX#*51cSTI94r{O$04O{Po1XJxKX! zfHYY7nT0fmZR~5kJgpLy>52D5sDk96n0&4$OXNsd>d|eu*cpKk zTrHf}F#jqGSh#}i2J)RjhqgKA^VnU%mUk|5#vm*j9Edtjg82} z{({!{JF#3j<;XDZhL7pkcw(~FxR=60kj;xQ1#y}9>hu>B)u{}n>h)O23-ol)_>Z)&qYa=cjLRAyJ~^;($t%TN2=&)jv580GtTRCq|H^-Z_OTTLO?MjkpjV}vcn>c2LSKh%4XQ4En z##_*$CL$bnWl|+^va8Lds4tQ)G%o-&=XfP{b8mRRf$aWGn2M-<;Kqma!l)qV61?zUQzY|onOR#8Qbyc?)L6WeJU zyxrz^kmxcxoY(I8J0;JT%;%WLY(nOrsSeqsEnV2VmUm#w+6go1shlBV2Y2?5>jO_& zCHA!w$Tj0{^5>jvJud@mCbd!n1=r@MJ}0>r)4@ zFJyA7i46<(HAvKLoSET@@XU_j&6#YgK-tPOh0z?szda}u}f-|@k%_c1Yv zh4NUB#HP82sTOEP+#d^YEAKWyY`0%?h}QbE3N`s!(NyMWwjX(S*>fHEj&MToj2S`9d&x7Ks{p;*guPFx%KMP$*%-%$3&~x@Ff8QAv6Y2>phk{Y`UKoPjT;L+Z^@`F;TRQj0 z4{(YGpEq>8j-+$nUBVfwYd4@kvl`vbrobcH3N3(Maz{~jg?7-2aB`z+mB`%h{pYPA z7ia>mR>fL-Cf_Z>E9Pgc=r1A;K<9?3oti)pqv)bQHpZ==obf{IjY5L|e@-vmP$!nd z`yCn(Xh9Uw*im20eOqD8OAi_iNq-bt<_tO?RJfU8U!fr}V3GPaYX{EJ6TjX@P*U=2 zyWghS3#{TN<7;Z|lO&fbE&KT={h(dx;Kw$_FOpM+bhd>e5-;6@cKv3MAGf4g%=L_6 zVGVW3uHn*47t~a1L5~NJixWQw6;{|flgL&+i3g3U3t;8B&W5mEwd_UXW=tXG$IXGK zMuj}Zi?*6lLjqc>tX-1;t9f+$%Y@lQOU%aWh>r{D&I8|9wk{=fk}rw;t{9jNyS0HeXD8W@LV{u=Nkzo-0~S>Bmd4GBAuIx__+jj6 zhRh-$4!tmt5yR5T4W33Q3Cua;uK>})qvN=BZMi2+(tYob(zC8&5w_;4YXmPxDA*V( z5~qw<*VLe%4TK#dT)+S~?FtE4F+k1VNz5gR`Z(e2gwG`#!vfdlIp?V#(E=PbxOV+i9+0PDh-*FxSn>xff4S?C-^sAKpFg*#d#i!Et8 z!f^3za@aEI5rNuEUV3ZA5S1cZK90>df*%mP5;yg0>!}3FU4C6~=bO2;HuDyr>z}z)Givd~s&cNcC@##x-Lj)v`nS zqHas}M0_uHCVYUpaf2;abfMGFOcYg%G9Y1FA=Ung1dT~FMulu(cckSE(lWIBhC5P- zu}EK3&1EIRI;ut&C-|ak^n74z_?0QIXa0LCKvV}5LgE8vmCUc#S7)x{ttZV6(tAm} zm+8pj%K>Lnx=XAlWxZj{lh>B}>R#FiZ`L6m?$xjJ%L7}17#Z1O2TS#t_PWWVmKX`G znS1UV8|-${lboqTGCj*p@~sORip4r|L34SR4O1rnYvi(tlhw0Gc~{YK>fpejE_IAc z$S(aZ0?OlaTQP+lX?&l_B?RBX#Y!A?2 zxl&op&~q+eQ6fyfp6mW|zw>rox9jm(Vkmt1h9Z5&Uqq zXkSxSgmi;Pc%^<(mZ}y92@Jh8GDuU@j8R@rS?fWP1?IWheWxqK85nTs~;|4BFK z0(DC26~$1~2#~fZAV7QT+dTh%W<|>M{?;C8z@(o~a(N(tCP?69NRv)t<9E61rIv>^ z5`D$7B3dIUf=D%XWOD-e>B^?KiY2U>6AXFe6I7CKTn&i)S+1*E49GvNa*?3;rlN7z zhD8>s2jZC?FLJ08If&Vc@ki<$>PMzFgm%qlO=j%yvE`tZ2-MX@=iG@02SGci*>vW@D?TAZ zYS3ke>kGb@R*gbTAbtE1ireXbNAGOMOKCr`;|OVz7>@ zLZFMRRZVU7*8sqW0YFOfL}l&k3g?+;CLaIiz722X$N|`Zq7VJaWI3y&P|<|`sHOhk zFN#}@pU+h-^|OM8KKR3f`QmO_2erx?l?Q(=rsw6=3Dj=ka$|Yx&B{N{LB>wxy_XJSurR8HAF`&&XpBO)I?HW~U18mC@=d2R1C$nqy zCM}RTFtbHcYw_^RddW-jd-q<}tnJ!QnzzR1x(H^^XN4@NqlEIO!+XOkd9@b9eg@9k zd)kK_OliHZ(AZ`EEqE(6<&;3yh7Ht-@&5m-dl#bq>0WHk|4a7-XVA5lx4*ZI~5BGGp# z6V}<4;-UGo;={`Y64(iE+?_x5RnzVlCUHtTn1d%FR_aStoKIjogj##OPX#Vx4mu-m%ON|yxiI1 zCFVF4Pf)6DtvC%j^c13hAWXk|vUnG1SgaT#72TCHaG5^Xm8re{l$`^~cLP;K!#_zl8Mvd!io++D~htHh^b_B!Gn z>s*Oh{M35g=KAd(!d-0+mSLDb49Qw)_+OkiDF#(r$}_)}t6sJ_da@ei*3>u~`fm2i z;FP@0CS3V$YS4c$rzPMqZ2XDlbgW7=Zj=odR+4Z2sW}PD5xc4F;>zCKJVMRxR1rir zbs~6V=UmM@mec)|I+l55aE*Xg#W8Bp&-#`JTqOC!&wp0GPq&#NZ#S~D-k3W`&lsfN z<_2)tZU!CR?MdF10Hg<-d@CehJ}7e}+!HIzr^VBr9QDia{kHCF?(bg@NYVMt|j&MWq01W4g~A57J?8a^s&@3zCj`@2!=Ka z0QYQ?MMTn?78PZ^Tn`Q>e4YiId;Pc;O^cEX{(^l7-FR;X>AG*j9gNpNi0<0Qkt4rm z#j=zEkK3={cV^)QG{7a$1+^CS3NAOz@;Qu3gRfWeM8byWou0niZlF`^X)gmgW%Y3G zy*oLntSBc$^QDoU;-2R1p(B;^Lo6pa^ zOiINSsUyDQv35g-1DQ)+H+wBichs~EtL+trv|Bc{TZ$-Ma(Y=;_XqRUKwT_>uH&)* z8r499mzt_~ng+?PHSu{9tn&jbaSzH}I3Xf=iaAogr|5+{8cJwAso!x_lxg#2$K+n; zN!_^1#S8nFdp);y)CNi$-H;yj#Q7~H8pn=)YhGDh%W=KJi-%x&SO1o0qy9O}3DLcY zsU+2)0B<8;mYaMLDdO_YuQHr|ByZZ@dgn| zU#D7cjgm>r*7X-YdaYv5AOF;-VL4TCmrXM8ZQ=5Vq0TF*9;u;P?=bs8<%To!he?rG z4#R&4U(HtN^Npr&ba3-#-T|~D{>Sppn8N-xBqFPa6Mf#-(5Nje>(y_MME~=?cz2!T zV~d&NY3vVqV9VVm!G7~yx!gZ+!8Gk3@@_$xL$-fZwnPVM>~oiYD6IAP>!0L*%*K%o z6QBC&W=m>o8agm>WJ4eMKiZvFhqf-!pd-YqLks$GeWPO?cZHRb(7w5)*cMOCxeAu7 z+bSQUMp9azu262^x}w1uSbi3-Dx}7_nRmu|bLSp6^(J^ArF1LC7#pfb=_)o1#9OXD z8`Sx6BeJl7?Qrw3rh}~}bek*4SG50b_nk21Jb;Yrz4M@4Kv~d5D;^|<6Z7kG3Y{U4 zy+FRkcopURVt`=_Td6`212d}DW94@V0H-7ImfA^^+=ta}9P7XojrW_DO+AQCqlW5+ zVOldS!t`$$4JCdCeL2`(ln?o=^tZ=35K&Up1`HC1 zJyw$*$JyIV{@kqITYb2@_lPFSo9=02{8gcjqE0T|)ajxXv2MtTf3CKDtAtED?)^Mu zA~oS+&K!W?vStlN^?HMKi~LU%o8oKuo1o$NKNFmR_(p1b_897gluo0You)MP6!;sW z%UBC5FdcfKxK=@>mjfFrlsl01nG1InEqKu6mOU#EnAr}8d}yM}wG^$Xtx=b>b#( zQ;$K*9AFj1gQwo<&r#;2}PE6!J7C zzaKT%&s2*)IEJ#`?^m3_3g(;bgEo?$eC0=3uap(Pw26uE&4i5nZC@TQl`rGw3=xK( zFDwcQ0G0TUmxxJX@{N&0oH=viYZLxA03>XvamVJhxYqY!X{>g0!g2uWN9bNefYZJS zdH|3!;}c(ghbLsk*2Psv7;&;K|Det{e!0Hlt-^v-#fEdd0W=>fXV;n<@wRRUxqam@ zb7PT1f%ZHuDVb?ANro8G^^aujp56eZ|2Ck${5(AGLtFkUI2!!)5#wiLK+PVm5RJ)J zU%Tp^)z(2u39UOk%MSte;madGVbMO__;OU0#oclYug2##%3a}!jkI^eLJuLdUx9dP zx`Od(r>yef$a^a)Hyk|Ckwqh!5#Ihw%%Ry?|X>Xajl_B$p*`5C)oa}55#wC(J5 z^1LY9-L z-^x3;zZ(M}s0;ZQJwky+e)ioOz$0&_u~F@7E_s1`$n~ClD4Z^%0#9E; zGqN+TO^&j*MrwSNw#63HDe;xsi#O>`{oXMzBfjY=S^|F%tEQMA%^)=npHdM+k!zMq z*XF}H2u>CD+}c7dN|A-c^nguwBVLlzbn$3wjscbG%3XOu9G8!F<%WWc0)~fM2bEQJ z!&1UFU^5VL?9=3}v{})iip0B>a}+_{e;VNTka!{yOlc*&M=P;57_lWYW#pzCAq z@)obm4%m~7Q10W^uUS`?3iQY4P@`Yf=6Yk zOAV_vtS+r)l52P0!6zzxt56>-_m}7FH>>iBDl6)JBIAkEwM*Wqy^m_1>Fu#E|0TRm zw4HhM+EGdD$h(Q{pu|ARc`peeIHkb++F%hNFmYty&UN&8LnCmgQsI)XtpfS=oWk^; zjW${Z93PhTcmJH^8T2{d(a@1gF#OjAGIc4^aJ<1H*t^P~u8&yKfr#^w@g+xdCEr9| z8%Kt&mpnyHDfP8 zA1Wrjr5xmuxVp)*AbT;bGMNV|(@omyS(l-G>0_-l(EXm~8>Wu&(Y#YG`YjXb$t1`n z9=Nhnt#gmMQ~6FrF7RiY83ReOHGdaQ22T~gej-X(yyw&v*>v!qv05UW;P5T!PoAcf z*7XCg%1(lsq^!hHVS;Uc84&rM+veNfLuBv$MXfBa0#D75&iPCiDaZ76IYSLy61zjX zduzco|DL_{jXmf3%#*T zaT?>n{**kb4ALv|Y__e&THGOy1z@8-3Bho}_aI~~u&poFdhTT<^ z-|@#pJXtl*<*qcRlP-gf70Xl@givo^+A3yk7Xh~9@e)=&@N2lP{k4?+Q)-!dj-WZ zt5^t>&lajWm%45{05G(m5$tG{0yNz(m z-2vdPR)n94QJGTZLiMrrhRecoyJL@`-`t1l;>ddk1v=wSmw`#F2u?HpTn*_-HRj*= zcJS6w7_ii}|9B{)<=m4#?X-+YIqw7*S>0Xal82Y}a}Cqdv2?NC_{etfF3ef@Jm@Jb zCGr2a;behM8Z5WJ8X#|V+IzWLo+K@?gnzKhFrtZG)mzR4vOA0&UaTH&i4xtVJ<5y} zmG-#TfS=O)wQzX7a%PL53RFZG#^UrLm7{LC|Xr_!WSfMh)oE^(b@i*OmwXD$!I0h`roGuB) zj64D!Kx^cn1CWyVH#9CNl=M1cE*}kwX(a}Ih#PKtIPyZ~akY{aBkfyvAYg8%Y%w5vb{-|$- z=d64m4K2YjN=L|;2wL=P}6j)SVKgeCN@2d#>7D}1JQ z`v9}v5U?7Ms3tw^9?NY1H!Uo{`{*IUb!H$n z_;CMEjeGDvYC~LD>e?mjUmfIR6ZuE>VGKGiqpcaTkQgb*a54PQhVc!1 zfuK;j7h0|Mi9wWm^@WNs31K|e=Bz6o=A#~jhCv}(%y5RtAWe0?M?+1Nge$;L3gDNO z#uv)4X_>K`?qfAKu`->OJVf$O^5-~XCBnl-WZY)qYqsCqEh4nB?8}KFQ;mS~m;U5r ziZj0F56^sk@@I#!8Q|~qDe47)J6?g8{=wOsr+&7=t;w`6uz0NMwzi90DP1e=S^TQ# z=8RgZV>)VpS7zf_gI+-`8EnLB=gq<@T=NVVqtNzEbt^?5^R5Y?zZ#!z)?u6#AIadgI5U0=X823EhKJ zJzEXBjT&%NHH!;xQ+w8NwpWvuWk<4`yJ0^ z7_;5!D`ioYxR*Bd)h~wYtIHM}?i*2n8Aq=-kSYmBL72Y4LfMraOH5y#y$#e>!fL>c zHY$GkJ|7-E(Kf zrOo4RchwITlaP3?duB)TVcI}P6=CQ7O55mo;;$vm-t{G1$U^g1$W2PRvglox>F^o< zg7$T-n8aAcpWe4nKNdYk>LGcF-gB{3kpxouKUuvxm)FxMwN%@9G%@rLvo?D?&3Fd> zzR%2lpYv(gco(nv+$&AY>*16F$%vgFk3F@9f0yOJg??7S*o^?TJre{;4QAjil0v-E z<%QRiaBUqlfzTmuBTMS(wXQln>9q4QOJ|^X2~AM#7B!Ia=py+qsLAN99vaL*93f#x ze-FI>(gTkg22W4VZDhp!p@oJGx259Aw7wij=%UbAD*nmpxf8L03NLlJBNS^-rdiG5 zsoI~ZRGH#u{^S!Pq3L4_)i}#KSEgaaY}FVg@)3+hzBn{9IgfO0J1!e9p-%~I3g*_e`NU+_csC9L&ge_iFev*UKnyC$;Vt+v3W2)dXIBosE9~Nia~BXWB6f z@f?%oFwhVw`Qo_i3?^(S`^=H!qB5!2wyn2AR|^Tbfp~!ukRCq45&h#ZQ zzkieup5})z&rW$hpEK~P#3;1FGGrmVM%`{+VXsjkCf?oNqJX0*!`YaG^HP;`aE5%{ zskhCp(M5hNMmFZ%5XV}%uV{51eAH<@O=n!J?e<{Yrcw1e=8S!=3}5o$FUHI_XU-dE zKon03_NksJ(!OC`@Zu|tVERmDFgqhd;X`)qj`Y@NE-mrEhI8;9c2=?A{PFUDAgw~B zhNlMxa|H2d-%gO`({>}}oJ~RGlQU@5kJyNkq;R=1WrBllqXeB{2IJ;ywt(G52WL5J zd@%98yz%%k`sD1poP#w<-ruA*H+amozTfB>bq~aUEp2`dOFQ5EbOJ_!^S~;zo0S!@R|vcGb6NJSH_>%Q`2*8-l}_XS0=v|=gKg{Y zS#J;NR8di%i#zZ1xx8>~zTGHz)5yAyvYJxSZ02Z$Fm>`7gnc+n>G#k<{8IHAcjU}B zMqh9k1RAeLcL9sNj8i_@H%L3G4M%Mz6<#Fy`yc#awVi98PPKbq!JUX={-@Z$p7nXN zMQ>&PGJ-uib|}2rnFUoKT7*qx5UB}5^J)HCCEB=Ao?+SfIgZh0b(c_c#d=>O z*yMDzg7yf^H6H2f-7Hl$b}$xVZiL7;tHWh9Nc(P;xTylsGR59TcF)1z|DOPG2aoun z*L1xVxi{_LxAmS^*Lc(Q>Ok;2lp2k9^uBXfPI`atDK#^nd*8Xs zZ#I+4HwneC?bx0}4)8k*~^PS|VfEf;?67RRWYrzx4IUGHX$VE0^ z%ooHh^)}a9UQ!Cbp4uShi}?cEBIYuWqm*1fth=4l*Sczmcl_TRR|R+Aw)qF2V5!ay zuwP``cA)8SzkJ);Ho4)MWV(7J2*N}9aLf2l0)^D;Ocxd{8Ezg!`=t=L> zmFpikjx6Wz(MHl*Vb&XEz2P`A$B{vwI-jJ=uhN%2hww|e)@1wEn)ElauCuOdK4#YA z$m7W4$m1wtW~0uS);$_-brxGyhsEpA@;cQ1So>o!r`UgG{}tpQVggGw)4h+AYad~g zk~u3e|JF8!>oA>@ouAVF`6>3tdTr&kmDg7Fx?T17a9LZ~k7QkEU1wcqUDsvHH(H;e zoz}^okJ>*LXSjT$sN2{of2?z$odXRUWFlk6ddnw?+*0T2ch9+qe4M_-&X}iN{?1nC z>-Hw6@1@*3FV%Ue&P%OwtDH~QUAOl&pAPeC_;{>etY55OtY2bZSM8j>>X&xU*MY$@w3KS+&PNQQN&u@XUFyJ>^P4j$1T~ebKFwcFT>fcYjwPZ{a5y1*?(2(&URn- z*negJmF*ncIh|nVcunUuopqgcopoK8U*5SWU2(a+)giF2K98K&tN5ng>JSW1q%)AMlSEUU+bZava16l_akzZC4l#BLR=)z{mF_ZVL9 z_WuO{00960cmZ^k-)~e!6vv0(zsk?@tA)z)qX^4_gcvlXG@0Hz3pd_hxqFua#!NP) zz{YmB?QVI{7xhKo2$1+qsIN8}V?_S}C4Jz5CyX&Mgzq_f=eE}io1LA# zGoN$j%y-V5dqOM|T|$U;muH*H&@V@$=h&%ePx|tliZFz4Z}Y?d46ro|XvYiP72;Qn z{v{87X{g?Wij=mMD=+CdQcC+OcAZMRQmn-Ez57#x=)@;zyU}79I{JTnV5?Q4gvq(? zA6g%yC;xj1Mu_F`oP3GFYHdpD=YmZ-W+iwqsBG zSbDA4j;EvY>euMl(?;~PwCzO7ii2n!?}TRQL&4F`>W%jL%oa)54QOntE;yFjz(PM+ zXgne#YFmSAZH$`+34r}=Mhzhb2{dRBR>z(V5h3pd_2xRCIKP8B<-oYd5FMaio5y_~}r|1Mals2!kQ&6t&m+f!`dZKqG zehldhSIdm<662Wqtna|@YhHl{2Hnl64l3R6L;TO|_j;{$T~Ex6x9bzN$-z`G$W=1C zD3i7wpIpnJthjIm(aQ9(v?{Hwv22J>k!`n|J{EhxDby2ahF1&5G1&}7b#AW;99gmLvf1xQyy1(#!GHE7zBg(95@xgE!d4!<}A0h*yA@pI*+_ z<()mffT$q706(9g5T78wfDpaD8ojZDExn?>i_c3}a(2T1N{~(XpQH!$|6cz0Ae{2Q zdjUv?!RSyH#k0_f)UfD}A3ghMJyllyu^&{ChiPfV)pT_J!5Ha@1{6#bJ|mHsyx#hu z=&fX;kamHB@_C1yp8l^45)vt9!(;8q#awo7MQ+z}tH(9+Y@V2hV+EJ5uP-z=cR9w{ z9rOo*VuK1I`_`NE8jBHnu3HcTUKPCBAtmYt3p$<>3vZZvwxrd(gA(okWYF z&1`w}R{WygBj1#)tJx$`yU`v(K~HsM-+@QW)bs|B?03yDg~#pxHs_64&dxEg&zprD zk5cD&1pvJM^wS#F6(;20GV_oFq(7=gnQ;fwzqhDiZ*WgDvaO97O<$@mXqun-F#7T7 zLFDULPwLcd&%zM|v?$(!6AM@G-boDEw=6i3B6BPp2>IRpX}=OYJTynTvW=i(zxpYF zGWef?SK2I6{I1{4bv;18gX#f@9lY`(*lzg^@K2BIesWr6-reRN3Swy=v%~>)P%^^r zXjjhc$R;#MuqcPEF@7pnGJiF=(0j0Lutnond(u2KfsDR(5-OLLBgSrmk`vD_HIiKy!3%Qfwmd>-DOFv<^ArISa@a8b?o44qFffg?Q8S`X`i@crJ4x8aW0f; z_vYlyC?}Y-r%e0vN%jKMzufT}bRb)M);SqmEQC2~*O=)0);#<#Q8KuDD`?c4uXNn5 zv|C&!=sWs&#QRAM@zG}I%k^>;ucraz&w$*BmV;&r9{x}Ddlrw%0Lgn%?NgQvrspwz zpW#H>HRbM5o_)P5jz3=-h~Qr`-nL;9eL!5>d9z$4`PZ?iyYvjbkB!l|M7WuN z1XY{ZIJ{%_3w8f1sNihn!n*yh@S{@7p-T$-xyYSWh*YrZA^mr$2D)M ze=^rCm&(au_C8o$`P%=>O7OUrFw&do-Kg{tHq1 z!E@-waCC{<{_J&u38Rj+n7X@CU4bpfWTijrh<}j8aB`INC=-(8X483h`9?YQ0;v=4 zV#@U`T>fXoRz2Fql@(j}us5UpWXX4Xcfr`dHCx=vF_YNmpYB~~;{`QoPJdkdP=btq zaTPVbd#(u!*}7cyLC-$Va}OgSUOXvU#4b7wEnWl{FP;=H0yJwk)NYwMv~QIw*%p(3 zBSTMDwgXg@M`3RUacZ5^w*W<_%d-d1Fa3)aPf8cTC5tDdHO4mWHYQA+l)rr?195fJ z)piPMpx#k-)5y_gJ^K^x^?F@KRIv59`YCuM_(|IG1 zONRGduV0+Q-< zBjQ8K@7NF&0M#U@9Yi4#Y6sk25(E82@7yQU+OP}ta-SUh3J~);Asx=~9hun<@xKy` zpOLoe)PjFgM=d=*+bi$vje?$-I4pbRS=cRmX>BV~YDnUCqA%j!WA)Mzj$zTCG|CW%Lt%uw|8DsG`&Byze=TEkJi`F$( z?FR)h2V%3NCBd-LVgH=F=A-YtL2s*TCMM%cgP#-F{JJ_1@htE8y4)A34u)n!t`oP1 zE{`9dhpOxT#%_yJ%zi6*R9rxB*m*FT+_yvrNtU|}iyQyF+tT$4cK;5BEK;+%Z_Edt zn^_uL(JneoptAZMb)4Z@et5Rasj>7wyUWk`5-3!mz;yEghm@)f@s8}NFDCB(G|3)N-XyGZoi|_XYHSXlw}Sg z{$!t~HF&Y6w0iz>!gBks#O*w$sNTFZB zJtSu4}yt131 zo%5g*dmgq{cE4Y*;C=i&JYQMUvV&Kdd$Gz=8L~aYV}zQg__g5JvaKKkJX&|UC>`=U zMfF;7Tp9jl@j=xtHz~By>#X;UvqgQMvA$_*Nb9rnX$tt0V$iJg)9gE$B5Sw+lr~Vb zDaqldcx2-`p8(uP3!dce?~eHN=;UX0`9zu16Z&UPtLUIEeQnyj0rY|tw@az2oa%7( zuC`#rL-4u(dho@coNe-4u3d1yK_2f5XuNA_YfXY~KnhyHz49eK>+R2`IRM)0*|f<7 zO2A8d)wgA3xB$%!%4g`K<;{ll6ZV%*+}(%YT}~oe4~x|a>hQlV4{kHHvkxn$Y^RQh z9h&omV50)}B^@}7By>$WB*U-^ceiu9-pP+wbaxIebS>F@zHT0pRua6marcmV?pnxa z)%*-dFa$iA@!kK%DhG&yj?C4?hwXhq=d$~D-icc@r&z_^oQUH=__4)aVlJFdYGXF= zAegQ64%(Kfe*1!VPJQ>s&NrP82El#Mt>6#aFbMAB6Ob}w-7t3(! z?%R5UJh2n)#=f6{<+t4%z`KVoYX9e(r6JtXyXgw=# zqdWPENq~-TX+k>@ObF~GP`8)P23&{&|8&Ajtb^R$^Gp%3?Qm$WPl( zjBe?nkEHb4(c>j74$pg)Ku6x)cWi43v}{lL^#|KgVp>lV*LtAF^FzLWmT&>ki34P3 z_548~;j$ZSV^H9L_u}2%OGt%=fa&%lxnJJ%Zu@4fEwCqeh87p_1w4+J zv=~@?$tcZ%FuqY*{=R+Tnvm_ zJw9L;AHKN#cMW+qfs^Ngowlj%@>~ufL+=yh7ea)0Q6y?p-ke1NHM*n0NCx1s91wu<^g_DRIht;7uIBlP5M+9e_CShDKuFoI3wN#y>iysG-? zv3J_MF=uyG?C~gSsCcw@`Kklxw`b~-d%pHS0CT+I~wuJl_9zB zQfoKI)fr+7(uXN&lROXM=)pwd9#`#Os7{`py|>fdw45Iv#`3hiSS^k(Q_gp!Ph7cs z7QhLpqTjowTW72b?kw*A4JP>Kh1At+E(RvV;dfNV5P!WbMs#+c-HB?8;&q&l4rOhG z@((U=!I_|DMBZDQ&uo+jjZHsGX$n{ggMBcBm`eAp_M(y}r zmv`c__=PMrzRLAYt)uT}=Wcyi!i3v5T4mn!gE7K_;nPJe4%PVr*6 z2lsv|4Ya1%+$ep1f5_Q zm0JM_ev2<0TIBN=Wf)^$56L)5<$~zTJu2&FtlcMW*WN=MRUHcAk6Z$BHP(?IXN$q- zvHGBV=YX52ytfD6j7d-ZvMSQvnT0BTheV@3MCQ5G9OkGl<4h6)Q&Gl+l4CYSp0`oH zz3Zzy5f{tFCx+3~I3Q&UAclGTBc(>3PWhr5aR2z&-{na^M%F?$b=J?@j$Z9-=Aih> z(D_faScNCacO?%`d`Kugm(n%f@$&dU{4b0C^&B8@l_nEy_amdIv03Sk*U9th*tt)c z)4#TLX=&PA=v$*}eo#RB?8nLMq)a8Ln>5-r&ctj&HL~5Fgq9WW-&)!6>-Lost>(U8 zs$16FFBZ@>*RNkCI-_MCe1KrMl?B=Q^|0jyNA!~uxgxhH+*e-+{NW7L@ryJVcx3+^ zC#BF0i_Vs+{I=-G5nz186UL{&Zpi*AJ3strJe2yV=`4Jj+N0~|UjMc9&eS8`OQ}_u zaX;Q2b%Nl_IHKxW-P62w*j&lkR;pbyDXm{=tErimeAj)s@XKAwrP5dVq;VtU{>W=D z1f4FLs{K40okpUgve9i~UP)+M&Lra`3$=qS+Keq8C=@3{{hFoJUiph)9Kw;i*6CyT zokAy4_=kVGF&OE%7(us7arpA#Andm7R|P74Is^2DhSMX-Q)B34V${gY7Z{+9Ta&yb zB*KL_2LAZA&>a1%SA8~qAO&(lFUrPnTxYmY#YM03xGpnrtWt!D2t{q#A^2Hvbh|I0%H-4O}{oOyPz{QujN*r>!TF zv|ul3vX7xVaKU>Ur&HGQYNX?`iOQNhO&0wQ8O^G1m=t2skFNJm8l-@8_&M!Wsm%Db z3qJ?aq6CXAU1-gD(G~AixExZ&m}-6eW(_YJPuiC{)4^B1)*!50w6Ox&uM*OJxdp$c z(Qr(z-O3BU{ZN@#v8$lhOl0^ZLKJn}Lr5$t#x_V0eiv7-5=lyn|As=)HZo%;)ykC}jxq~YYgou+kseq5mjlHLoHSDhb|>HzuR8ovrTrGES0 zbn`j;7A+MzhW?xv8;&NWW^`XSbtKbU<#T(P4E3c)ACFebAqPfcq3>OvTuVp4t2gV< zYo!|=muMS`h0YxQG|ejLxV4K5m%hEcp&Jr_`Biu-2+PMm?fbvFB@iQ!MJomSA+=8eD*7G$ zl{mp5tEwzo9Oa52BG5{zVUWbHdAOy3o7fU zYfwQuwve`vzkJcmYJ!>1)koSf>$q0;{)Aq7yDq2`(x9TU>=P52 z?&D80;xQ$R;?*U7rLvKp@pkH>!TF_L{x4|xu&n8nQ@)XN^FX-CJ!p)*EOPgBN;HFY(8CPYb??mRRT8e#Z$@$F0_SpgRDI#dlhD|vR&1el2ke3C#} zZb5F9&C{P0MC)Bd5h=TEkt`*r(_`p*yl1SJM_jmDdP>nz&bsKo3y=Fw1A4FtiEo@a zS5Z{RI7M3W!|AgBP4A=%s31kJa+6^eId`#g7vgcI=(2qoaX@F$c6w$K*soJjI+!7_ z>7qc7G(2F5>SDBi&j&bdn5oM7k)jer)kgyzARuN?iY<|2RM#h%sGs^ga#B_zVS2h? z1u9T%z`2!UbuNjnoh8TuWmOQsgdK=8jlVRT=(+-Q$!-ZXX-Ucr-oE9UBdsHDQzTtb z(JdCyJAj(tnuXzifhbhbDZQfKdOlP9BKHwkYf7d|;q(ej>~7FM9>dC@5KV;)#R|dv zk^hyibu#T}J6u5{L*tvSQD)13t_+;8%=2ryH_>SJQ&UB4iqpL_-7M|JT{J%LS z3-X~Xxpex{G|quDr3$fNIt$K@RCe5n0MW?Vtj=xQ{0%McJ>-}8IsTKXB<`;BX3<26 zzneFOZJ1Hrl(9hgzCew_$R<+R(kBy)WMv|OiM;%o;5|@2e1_9i29I9_<{XY@8pf1u zN~+Z4qGX@>PBH~y;4|^hrpiWa>u}bO;wP0KC6GL7hEYP=%|z-I73;IPPk?EEQHi%* zhISmM*{SG!UBEdh11C0NNq0C=|2zHiakI*!{M9KS{hE+VbDI>tl#j2Daa{MTxpAE5 zJ3Qa!y`!lIv1V&a9<-dkl5aN!HDt>e9iM6Y>m13=s)|!shm-A9g!Q)1G#OVG=WV|_ z_%^e5XXhNarr;9#u@!EEDJuW_#874Bpi1K_503G{S9Lf~z`3x!=)t~TM;9bvL((Ax z1}pNmv5VhulYE+j|PU?ZtUUYFG_f9I=vaXjtpqJY&@lSgyG}Q~dA3ra!XG ziF($8^W956&O%|n&6$*RL(g@fxf=9o=&dRLZWWzEoXaS;!6c88#4W$k+RI~eU4tF1 zOJ3`i{AhnH-CxYI{1Qxh}<)*fxhX^NW^`wEN1u?_P&@wEoxj$G;t| z6y+v1H65)ZN@XA7d_4$p-!;V1&;gm|sx4St{qQe()YET_b( zbqXhmz~$FZm$-npiFRYQl#qs+RyH#W1p~WrXCS z5RX~M>tY{#K#PU`xt2`nvB!r*S}uz3)6Ex9z+YR+1n42Uv#H7(J7LLRtmXG=6np z4PzDg_A5m$5h$#ZanQN4^aX*HUFHY9&XAL$RUyJ(_#CJRiANc+4jLnnxx0TV3ky-w z8NX^@9gAXSag|OmaP4(2E-5!BN7AYj>%>v!C?#<592H#j{%-6|u!FMG+9H2Rr9tT% zxkabjxY`!gBp|0jJRuen|Hs%QM7>h@#*+`Ae-j=1@i|;YSC^{XSBty)w|+idz9Vd< zs$M5NGRBpPQDGLlKAna-n)oKV!^wq(teWTtCl!Mhfo812S5~G`L1CG+_t83|9jOtH z2>Tb>I%_OzWqj1tkL(yH8NKpWOPWi}=-L(sGG?b2iD(wamBSkV{gwV-%0 z?;puE;={Nm5<(5rUQx_B?$GmBMaaWcf>lfkQDuye`9W&I=1hvg>P(8#226_Ly%+Nk zoJ=CD_c5=$tiB{UO4BJ(CFNz{*7I~+jgWPG5mWun4T|I4B)HGpa zitoKtVKCGc5QgdsXsp&gvOA<$%B8W%G|Tz+<$rB&e|A(?9n^Qw$*X2YPze_~X1Z=% z&4XU}VQ1me#4>5(@REX6=rll5BJu0U-gvA6UM`UX+nr3ebYmOpLUP2#e3eZM_m3C* z)K$9^9bQ|Ie^$sH*CECnXIEEH0Qlg5Yu*Yr_%ISRpfc=lGRV#Sln-Y|FZw>kdi5$b zV#{5cxw4=_-QM7R42={^s?q5yRnG%%^HQjI8pr&M!Ylr_KSfAvACZ^}P#b+KTeZaV zzed=;)*!JAAJxus48g0;Zt)$psemo+Og7;YyH)*yiktT5U^lb9GGXFcUMyjy#CD2W zmYb(@~nc(BoQ4pwv}_Z#1Ols63BjJxqIeJ>APu1mUsRI`a%qHX($%0?wM~T`J7H zpfhEE5MOuliyqyjL=04%y-@K*k0tI)Ay#Yj@cDOhrSjp(&?p2e_xD#w%TV#~W|XVm!)hqo(113R=;Z=>k&)t|X~CJmR)gm!cq9pxj@V!DHP zKU}=sj_kjQZdst>Fr!a?&qQyFOBU9U5y>AIQH?-FLiBdQQDR||8?XNm%{Mqse8p_6 zo^u#r`tU7(j_ZY+J~*gI3lpN&+DBx=Hq&i7u&pSSs(vc4BfEb>7t_WJ>P3$9&5zSF`s_2VD%9?2Cw2*#BL4hkx$v@|Zy{SBIqrjR*XR5gh)U{}jb*9yQC+-oF#nx3`5{c~GYfh{ zUm2I~oVmnAOW2jk&s8w=jgKMSew3o17D~0(^XZv|l>!d8Ty+mr?dPtD!~;fQZWCDK z*s%So3Ul&*SvgJ_cou0(O)U zX;A`kPFf3f1~%pK0o)KQaRaj(LQ0+ooTNq?ep{kp(MOXs3Z-m0qrb1eDttBKuhzx0 z^Y&@xk2B`)cmDYTZZ6AN45I=~ab%v({uF@X1QEum7N8hcp3-|xdNjEZZWKwg zJI-ljAFj83aN9Q{%67lHt5GAW*0zK}#cRsZ^v z%+MwcEpDm_XI2XVLsks|OayN#2p1ID=#D|XuE(QXPXsLZYah^@$VE`N>%aGvN&=nT zxK891QKSjJ`rmBAcL~gjLP&)2wOmeAcq4IEAe|xgM=OGYkT*Vf+#P%1-|W#81(Tm5 z!arMQj1s(qVt3v17c_~`y7+$Sm^kxeh79>CE+TRguB@Aw$i+QMWAQ>fdR~ySXD_FS>>&4Yr z>?Sh5XE`**C=L-PYN-g$JOBLxt#7XN?n8RbZylK*yqWFix~=cKUH1m&OWkrT?w_he z;{Pj2W%_-t`k1HX$>L>*<%!d75#0f&v9on(Pn{Y0pCLJma8bq0TP72wye}&D7nCtC z#5Pm5fr1gWVA`UE*^X+yF#3bpG};W!Yo76=I4~-Qp*qPv6Aj?@OfY^<{fcjMn+Svk zCC%gzClRDmrL}kp3E&uLwE6UzP@O6ArxB_)UPNs#vTjNVLp-}wE!k@*`ZISsnR}Ha zks}|FM%YB?1NVEzQ%=^tO-3`1P&YNv77Ew|EsxEwNM0%nTjB?==nkcW2D8#|!3ZqW zkBVo*uuH$dlAX7Lih4GTW?WnRE)!TrD*eIq3-W8ExHpD32 z*4U2m#U6>QG?x*T^Z7$+JI9xNF;<;$FnW)&?B_|zkc|d2llT0 zHY&F&bNkIWS1Y#c?;SLA7kTK-YhE_z)N{w9=?_1meDGIO@8vX@RduI8k; zgZ_=3)mW3kL7y*-L#_;#9HjokK;TF;jZIH3EFT)8Vu0eg#dOcMR`AcN0rE^B<*eg?U`#2M@O`-DJhMui| zWB-wJUwj=l)1Z6r;F{mNc)wor)RW-QR>Rk&8nu^UbDXw-)Eqyh@z=M!+O_ARg|k|P zi@L07!=llVIEvJ3PVeT2J0IXCcGm`?0MK$Sn)!K8NT6Hh(6@tIKTlwUNsW|LUJxn6 z{3o>#EqSC@AMdfTrV$O@#FH2_^zYMw9Krd2>OJv`i?H1SNZKDdM)mXHO!lbW#ew+Z zzf}(2O8pqsrp`sJ?SKvhSTD}QTFua;G13JXE$e9+(85m0ZZL8)6N{ZP9UHgh^|jv_ z;v8qWrA|weQfz2>kj7*w%xtVSiy5IdD}L@gRvvw?<1%njhm*z;th7)P{Wqn?hPzC} z4Ty_g?&Kw{M|yg3T8tkzJ_DiQXO!r8ttgD#A{C!BvjRw&UdelrUrbm%CPz5D^gSb~ ztb{?%;Mac;lDp%k*x(g2j+Y6~yA}O(!(7s=AKob(F3Br)|3k+&TsfL+ZdS!wU*{b5!X#r&iwp8%e=q*=N5}Bo( z6#NpeAYSW`?@S`+qP@{JS$jjPl$;gaQ8vNJT0W)>d$(EA1c`siVC#8@sZ4vL%3!6w zT35>Xv39Sv4jig4a}IU6v>NIL+B!8WH*+&U3rn;F)qO#ejNT5Mg>%pmP2S?;K)&>O z#$`aAOR8!0;5UKnxegprS}opvLH4g@+28#{{fkLuEYY&@*vNe;Nz`8?@`h2yu2_2tH9GQw9VbThF4kuyR8Zi^(RS;9E-Ax+0G(;r043-uIRF5z`Y ze#)D32xwEozWI9f=NE-;;C%^Z6#QZ`U2rIWKF7#0{9DqkXf zc8WRTc8|ZnThnl*B!et=h9^lK-KAL&>NP>;7dcU~#c8cax@j?{QcZX#1H(xQua?{D zPX{#P?CcQaMMWyn*h)$eg^0`ua*A;kYc5yy!gM10HC`%{@MGgK6){!k0PMQhTj)7lrjBhdGz&!mohAzMO$0RLsWVUgdRUNs% zknC3)G#`4j-LW*|nB?oQMY2)`X@eIwb?zPZNo^Jj=A4xJjQ%H^$>zXJ$#(+~lnAGy z!2-<^H?hmV8$TGJiC55+S6{EaXzyq zD~I5bUEVfKBLa$(z#F1KGbaSE$lW_3qXX~}v0^Z=nAFoG=d+<#ErUn!s60Vfr)SOi zbU{^kuA)8JM|ojP%1Ish+U8HQQfqAV*<{hNKk=y2litlKpZ<&5U{~~aS{i% zasG-&oSuF5WFy$Tlf;(Q000~y1WB)G3Q)*YwEyNsjbD~%-Rr&sjP!1Kk$s!c$7F_` z3sNKF(IB3Z-?wFM$A4%w`Mm@}a|#SQ5AUUP@U-FwT7KIF2Op39Q>Rw|KYuU7pO##X z`rr+9dM@@-noKeQbc)>JYAHv4DScc#A-M&%E?eX(CpJ=+V*4XA+?|Jtgs+)yb(YdU z9t%g>W0eG^ObJ#PNL*7Z&dW}6U`(f@^=Zn=c7R*iRc0J>!+l~D~ucc0WEz){q3 zhUJPd-x2YzvF2arfrV`)mzjFrJb5nW-Lc$=Chlx&h3cOT68M0fc9u?D23Qh4Vtwl~ zp;5ZaGH`C1%N;^I4`xPC(8FP> zfK3g0tD}(-0pV#BvhC!yP<<7y-n#malAz#AS}@Tajl8_+<8cDXti0@td$?5m#@KX% z;GxFQ7+(I|d@txPR;Tncaro)-7{!s0Cy0HPWf6U^jX0X8y~PnlGi6k+;>?u8 z?Yr!WZ*In~Q5`^m7-FOyg$)MQXs7VF+ec(l-9u*k+(&tQ+2cO6OYveb9Aa7p+~NOK zr~^lDuR@^Y(MTjfTAxPOjhLlCY@oo%aAVqM@*CjwQm7B@Q^1h2XY|)T7W9s7EYcEV!$2&U`M3Jp3qPpKtDO8wM$J= z&e|A=nN#Hnm0Z|d61^q}o5cb!9vg5zZ*3VP&72s$y;qUpWxEJ;07z1?tf;Bn;BY>@ zxPZ0P^BLh)LIJISY;pjl@_rfQ22m=~NM5}*A}qzS4?Bn@i~D(Zv#JQkJhEMhLov|& zy=t?JA)swc8qA$Y+iynGlx_vChYeDS2Sa|*?r-PRWlA3H!+xqj%KWT8a(yp|w3Ub6 z-$Y-kmgK~mtaxk+nxy%rx$57om0*>IJ;6o%QucWbe+NJ-9|$_!DkMR_VK>Sh(O0*n z3kXyeF4$sQI)_@%Qd3ZAxl!##SA%^DCyXt3zM)3V_cYWBU}+~QR;%VBm&B7VUTdS~ z@LgD{&p9Bv!Z=3MXoh&DMNS%R2xge`UHvj4=ev3Oad-fgO!~DD8RtLMy&Hc?ET~=Z zrF6PaLEJYm(D-1rKvG-!dVe|BoNrDLR&2OJl%+qP3hyfYLlQ~qlogU!B>jh@xyTF6MCw>RG03LM=O70h}2Gv+?BD52Jrc z+RT^E!4H#Qq{w|kluve_(La|W17tj3rRsYM@2V}e3G1$~Enz=hw;n>d7#{{H^G%m3bKC%SjPVTX6_2YV=@82cuKa z#E`=sAbn{PtsTGn&rd%8Ky+W+p@lU@^@>k!8tgI!eK74oMDm9leE8GUNoi*gs3($Y z;O}m#ks76RO&A71+bEuCp2=f&T8X>0@fn={TQ>izLap zf5K-jvp6NC)^#tX2tC6|ZwRCveG+R0IrP>X%T_qB7e;v^1wsgsEzz)5XL3HuS2R$< z2};98oq(Sf(GADrD|5Ddw9XlXwq0+RC^w5fgF+l7H5B3KD{_dCLhVb+&E)f_VGt&d z)7_TI3W-_Rp0qwMH=L&=xE0(!=Iud=w3=e=r zg&IPlTMV>EVcA;WBUYWO&Z;QG-U>E5%a0Aq9jeuCP@3L6$fh(7{q>gwufzenB3-rn zDS;Taw@a@4Nh#ZN;5FNn?u*}2?YoUphrNDeZz7#=be}>sBA|2@L?|yME6u+A<^kg%N|rLmxi>a z{OiZd`qgw2l$&5oRdy9;yi5gp)@_Ksh!rS!u4v`GU(<@5Y%SxY1mAcP-IY&Ma^0llrIz}kzA3JJhy%ubn_u^H^j2g#z+-X?)^~D+ zh8qdGU1lcCEX%ozKu?T7N(00@vpaU;2fm*a{3%QisNqzl8^P-rDR2RUdkQ#J)JCeI z8@k=C!=m^SB+oCGwTZp9g*)hTb5g{3bRZHB;oXhr@pRQ2J_6|ZD;g_sUmycNey^o7 zZU~BztW-#}KJGto($^>XuVD4_&=EFR%#heey5E~2E6MGKZ+hDmytGEH-dA|G08NnC zdrJ@M$1A3&3Fx*PK{lLsYtCO* zDAa@@-fwbgj5e${XuL%xZeHOs=6&2y)%6i^d6sgYFGF<4$=mo+5bGzfy}}A67`+)- z9Q}-iUIzI=i%dVOoM&+dV%^Bl^HuNWHGVLu94fs096m-S{=5Im1&+x!*x4)5R5i&5S$&3F3Mq*5z6 z7;?E)m^sK^D9bk|PAhMps-;(1DXQJkM+V)pm#Djb^oHWyRab=GxMaA>N;ngKA@}2c zcq4Q*cYr489D@Z}7ll~qaa1>;MdsO4f`UL5VXRca$3}rRi!%hv{uSD~24rlo2m{V! zJBc1qeu6o>L8;~gzkrm)(Q9&?^z_TfGx_z`@<01ZcVlNnw0iDaR5R!f&c45oY#dVE zOLB}i`n7D{ga1u=*#1be2Fc4k@ChHx1~XoQM=PHKCppH>v3SGmj6HdFO^9sM=_MY-PHU_E3jLq5NMG%W{?Uqp93_rsoYYX@##_P5=^^uNZ4X7!C zdG(>hcUjD_lOW3=cYcOnzXAtNWnEG?Z=rUc7g)SW)^n8n*o5prV$14Ww5#tG2U&XF zPv?*tq3zYeHdah8qQ2Q!0=bLVR)A4C%DjFYKnPC3&D$JOWIb$<)KgGp=E-A?%}~2n z@DCIuNWhSMaoly-cu`yx-U`bYEo|R^{}ikyThK8gy} z$o+H5^u6uXMy#ksfFr^5aIG9i$?xk(S3tfKENK!hU2N~gOI;BW@e(gsTvo9SQ~OA` zI-AVlAvv$Liyc@loRv_ek{TtfKcVBv*m4A${e@0#;N-o>&D$(K(fE3-%hp7#uX=hn z?r7h|ivf8l+cJZy{+?<=6>$O5dY;ED{lmZa5PvXf-(E~VmSy4!M4?e{%Wx9y{|Ute zS&Fe&5(R$}!SUL;OE}w-oK+ZuoL(*F<#> zpl`~~hA$YEZ{;#A0vG@xpf6h=i45Su#xEF<$a!o#&X|WzN=m2}_S%#6u6riRpWn_o z1^+ZQ`Dcaurg=pFiF_rUbyVP&q4sPk8?~d$W#vG!X|c3OBct5eDIy3_MXL3N5wv_c z6=wip@#W-=FvR|k2m)O1f)CKSQk_|@nj!TK{araw_T zLB60mW^aXDC3E4xr_m5zVPV}x`GFwi(f3~(Ys{lX1%YDJpgP#=$z`>k^ztAI%&6h^ zi2y#nX_al%Ve#*h!*1WACgK< zstGyUo484gq(NMYBQt2qcP|UPC3Eewh8;w5^%%E6C%0{}bN~@;Wm)u;L^x#W1MUtV zn&8l>YzIYVWcLN&oR~;zphfVszraU_gdxLW{WHa>jVz5EG<*t-!|-DsV)p5VlWS-7 zWL;0hPq{-nlr#CcGcxHz9|;D=q-*BgDNR^ocCYxxCIr62q2^v@QzNt^7ZjQ$qzH{@ z6ruXuf3H>9KAAuL^9C3dtSO6RwFw;~QaMINRvl>x3N;knS@SU9Jj4qS0JU5m+JL4A zkf{DGv8zN!z3g20PYN2eG-oaD4B8(97YEuvA4Rz}2ukk5CIo}0k z+u_5BmmD&$i>@;cH?s&GuPCi@;u_XPcMEc^C{<1CI!tnZ(T2zq%F<(M;-WQ37-*-~ zz&%B4Jz>C`UXMIyjK!bSsi1X_ISwgp>#qjCF=)J3xH{_ZN{3@g7jX}H7&&mhWFUlBqKt(nVe8^Pl0xj_**x?6B-xE_SC0?<#Fj5fw z*T{QfC#IL5$HUG;(GZQ4S~Zi+Si|jJkx9pulC8}vmK-qcvee(J6BVqg&VfRlfAudZ zP828aWWHPU8_r{yBDA(YsSyr?o>y~nx}(9GLxw;}eZl+2*;$8?`4I(Iaf*X@9|H0DsiqV!r4uPr0(A!L+&)Gt4Cl{z4dg zhk**ujny=%V>pp;ycs~CMPwiv^H)X1lbS$-X^9TfvB}CB9l4?el4irs$Pj{Lz563- zcYmP2oM|U(oPfMa&v*Tw`_YGDfnmKa5lMO0xW)3<1uq2U7Zp0e=JCu>o0MeI1uL)E z{z!xbz5Ukmw-(Rwb9m3GJR@|jE_FxkOLR1H>TJPJlj7k#ls#x+OC{C z4_S#p!F{Q{q9=B34YC>Sfl@@*n5v)h85@Ak+OzCW=fF1kEYEip&#kA9}W-@xzzNR`@(uhjszb@NyDeX#1+YTN#BKb^}?@Ebjmmdn=&KX?bZ zH~{C&i!C`Ms=efe8`CKH_zZP{8w&K9%$g zbWWCqTh$fMhpU8Aadc{kY1}a^2FwJ3u3aaCP!X7QdD_1xt_r8zsdtXP>%Aqd59(Ux z>}&0{=Y>;@J5o%+d{#U91ML$#|I%Z@auz-$q<_5QAn$sPS%i3_m!?Lt&W`Mqi90`a zHB6pyT0-3DR}IMCWfrhw43Wcfq!S;mi^)$sdDTw|?gv?<+4{8yND%)AAVJ^0gchH_ z_1R zd@1v_Jm0#M<(=#QU(siIC3=?I&;YsZN87l|`K)I6tZ(?BpBm^5B13m zar)hO#hF(hDv13yX7wlPp{$|k!z!hrFa1A3S=Fy|$xyP^Z&QO~--^_;2;9ps?Z$hm z#deM}M|spvEILf7bI&Ww^LIFzD#S_X43+QvWK5F4XQY(BGN-6`4>bj`oI6Ojkba#) zD4qAgRD9ZxgTx>e{EZGiLh0TQ(xeYaP;gEe@EGHw)3M^q;GFz9cDOp8=P+$oYth*>s!s*7Vt#1@&YHWI4B%nVG&^_2T&psHPS@$@KlVR8$Gw!9^~V#WSy_ z@850o*-njD_{oowUTvm)3vOaV>CSyh$s)ok^AN|jr@a0`Q6=;}&cTq%Io-GAFXLfq zItzX2`9w3KTHaVJzLu&e%TifcM;KYFRgm?!tVaZOz0TomnulabN>3j0Z{yDvXGY^A z-+O?jW$D^CNJbfsU5^$JWt@Lq!9G6;$jW5dS`sz5p7%2a$Cn-4As`j8CqIE>W;pODqzeI51MvYKaPqJ9 z;@VT8EkL~B@RP&rF2}(_+ak_mDy7cxG>5|BYD{UUTdRbQGNY11ls-i9wRq4D0jcnN z6s8ya=OI5ad=BThFcpID&z|aI0nkH6TAxru=f12`(Lw0@g*g3Azirh)TN|9;4v7zp z0&DcUvlX9&+Pz*cmxZe^rL`FWJQ4z+ke(3MMTR)eUGulxM#F;x4Zyi+6AAX+@?Rex zywf!I-a`lx)|(e0@*E6MQ1KT949+&$s6?!h5azycCy;)pzb3`&Q7S@!{NWGf3_&;|w4D7Br|e zpC4q9oX_@#j~)S~YziLEUzf?e4sp=1-|eaLJt0(Ckdw>OEAO0}i!1*k&YO!BA{|PsO?z>%;=}VK{LCK#{sGSX=7DNY zos)g8a~vycXzTi+0i;)xHFKm3))I^Hdsiwikm6$bB3jCnLF@ukh{cg#OLLdpfrXWPR#Ia*2oU*q`Lh0N-sJdnP>f_u7%0BiT zlq&D33(mCkqHpn4XlM46>7K4opM?IZG)!n^??P!> zkap+3nCT3VhiuGOMLviF1i|Bs<*RAoJgKaadv6s!;0{>{U8nLQe;Y|~I_MxJtGzhT z7s2Zzjk!qYufDN#k0JIsNNzJKqVFgiBE262e`7Mk$vOW;QSm!@hO?H=J31Mh&nP}b zmu5J*EIi{7LZp;;M37rP145+r@%{NUivK*$K8g>~?Sjz6=`}ce7@V^V&Zje+TxaYq zDuiC=wdI!2ULUb4B0L29S0dzmu*S^8JPy}U*=>&VTZ1F|0M&C|)lgkwsO>028dPaE3j5{2W^wOFBaqNf#3>}g^y&!z{vYB6hj%D5s}Xdc*14%_{)fK} zp!$%`U1@My<8zyt6%qU8Iv<~%&rRp_>QF9CN+0J&D_Kd} z#)WxWoe#50Lm&V1?tW}}34j!Xhtw-fyj9BVGm8kklq0vV_z3q3OG4^@J=+nA=LYh~|gFThYV9~1jEyjd)G&rsC(QlOIrA_>>)u-E$H&e|R?G`gm znP_lYy{bUs+&@a=SfK&IDub0s~YZCPi;BLIiTh^mbv`lssG z5luEJ{UcL`*0As8^A`aP4){POf|ss$Smd2zRUK|Q^KOM%i!Xfo-Oo&m_6A3W8pht{ zUn!eU03P&7=o@DB(Lpcey%~>VS0CM*wXnH9XBmB_R?ue^qt8V~pA9PL^9Q5PhuuEN z83g2@bLX?qBjows&fNe!Z;^$4t)?ZbQ zt6k|@pY0P$kFAQab<5|3gwL=K(fIV=|9J?Wk_*s7(C$d^ke3aP-QSu!?~2ctgbANL zAG@c)9cGmUzRc9a6gWWTT=2Q!jL#PtF2Qrw-xf`%%DxBot@#U0{qqr38$0)aN$Iho z44=I|y@rq8Yn;C>Y^XlN5TqLi`0)2wlytjWLQWx6!-vCP|1#;iiuIJ>b>5Th16^`` z@Sa@=N$6@J;j^dO&`@FBSCQ%jLuF+>srV%Hn4#K6;Z)g`BG)BP*8z&pP;sCrtid}& z8#@CE$q2x4ZcY9N1P35Y!U1;(fbe{{(lCZo&PO;iA2p6k=qfc@=UvCtXg#5W4bDym zr!_uR-q1f(N#}PElyv8QYH;p1I3F=Mmd`E*=Sef?u$bu)=^X={TfZ$hL~oe4KQ3c` zKz`m!Wy+$8vpVw4N-QG8+ ziuzn*^s#SpjaJqm`k{g(bVCLET&OJH+M8Sn?P_X(K2y4vGC2C}p(=ccCbGGTCB?oq zc8aN^EY39sXFF3LT0Tb@oP7-+8Iehe-FPhLX z2Imgr8?EuN>rz^qUv1Ys?Yr|7{l~t=R>cn!s{J`{VH29w{TX#M+S7hF*3`6E@r$yK z036=unEwI60Z2kS8JyD%j;!M5h>Xu!24@XbD)|i&g~PYg0Rovm?UL?1?G2N5TLZ`& zjyWH!j1^ws%)9>@K7TYg^BVhL1Re6>+vxy-Or*j&yuTCblh9{Px*j(;n;V=H4NkYg z`MJSa(b#84g~PWa4Dk}ZeTcf%JW1KRfuRkFZZ$e@X;S(_gJa(@Jk>~FRh4%la4zcn zZ!?C~->mcBgD+BH-yR9@4gTh;;j^%k?%WbW!e>uq=f3oprxR)zKHQ~Msla|j5pyM6`fN&1B!@Idf5+A|Z{%J9+8zj*e^;QWj^f-??q zPRQ1o|1~&k89uKYoV5+k`37efgJaXRh{5@d;j_EJx!2&xI!}(sY=~LMV%m>0e9kmD zt1%9EAi!e$7SbYDZTh!we{Xzx!+##??_O3=ST2U=VV+Wd?U?tK$@GG@9%ok`-r`Om z&OM#o38aIL<)kF&>logaVn@Er~hIq3RgX|nsi_q!+53jO?x^*JBN2ssBusVVEptSkf9OUJre z+#z^~>N@^X z9U`*;SzJqGcQ|=S0GPocxqYB>Xdm7a&b03f1_|>i?aClIpIeok`5Tj47CH0m{&pIo zr6+Xa)y2~7+&}(Qgb*#jwS=hT^?8P8d5e&DRn6vOp)UfcateVYB;N%W2+n!%vhsV$ zciY15Xj<4aObh#GW(0k3fO7}g7M8)yP+fYJ_Wf1nTa4LR8`vdoJOb)vYb4VSUajWWc~45^Q3)-p zr2Bjb(?!IEN#)Ka*_bF#*Acfs7)b z=eVLTLM)Dk@JzX?6P&~RG4K%j{3-bNkhvIAl(m}blO&{fPU?3*;Tp0?^`OdAFDS%e za|OXmKi1^wY^E=Ivf+%Gsv_s7Ov$~RpQlOivfkhvEJC<4ZU&EYO$Cb$AJr#~bnC0O z|9p?KPX~Qk@C?PrIXx3h)KEUpUu`#>Kd<=2_7fUs_}pdq*g4cC zGo6uX$gg}|8&U#D2OSo;na5d7`38~f!Nvqxxwynwemz4q0q9u(XCELLhnMvyE>0n& zgZ41x{RCB$ICq1p>-gkjlADd8_;olF*GNT~`Rid z%e_+6Cm}XQK||hwQbGD12K`0p)*5#9iHXtx%050~>3YcI@};U=@b?H6gh(bKgfo9- z%Zp02ge;=nI2AbOI1AvGLk?NZ1JZv`Ik$#__*D`B3Y8yUgb<>)GFgCnK6faH{~lCG z<-fBSLb#kN%k#I@83B?-I`96XaFVorFXGtu@{h`>AkS+!RdFtxQ4Bfr3gTIY4=jNI zYuFqlvU@vC<+KAA7Ku~wn`#*j@I20VTyhYqnE%*u?mAhy044Z1cLAYV% z*Ygs*K5~ciNI+m49A4J#Tlu>jQguE&38^yIef#BFpBas+wb}R?pQ^R_1Ux~I;PZVU z^Rz!lTe4=Z~84DdIte;HB%?4Bun)7-IR0O`9U|!iSn)3I}&^_Iz+Bkbc+4 zHAx6bXtYWN(F3ZF?cA1X4AMcXtJS8we}-w7-&9mQJ~bnkNlH3DO*W&FdDyC<&P2y5 zoP;h?IL>`5OShz*%-8vN)w98QU8ReNE8~LnDkGPKHdiAT?-#{X?5lJp+DfI%xqJ8l zd`M+SE+`pA92Xh6beoipVTgpj^x_%jgA1{k^&kHByvgPJjYnaYCe+!FTtY~{TgrGs zD}I>JBALFTGg0k7c@DPEfA%nTw*G^)8PoC~Jc|rHf#?at`6z?)4+G)H-HOk1hR+EW z$3QUiR@6tYCn74>2dVAj!?`U~x!^a1xD+5B0XY2q6JMaxYY*9srKh+2=OG92%o0Km zAAlrOWsdqqC7lR8M(_|mM2o6gop((zb5=}{QUp}$nF260j)o+357+!DBL5*3&h2MX zD)Bf2hv(J#z$z>p9>NzK*4PmL0_Cq#PX5;4j{e~K^#Mij60X?j!3TCbWbd0Shx-@W zVL}h%;qbWs&h7-#>Pm=Do7Nq>4oZM5q8Bnh5LpS0Qn}UdmRJ3lS|3j3B71?aBt=e9>Ry` zDY6<;AhG=`mSGBn90&94As^?yU^p*l^m)|ibFlFZ87DwqA0PR8DUn{bdJGf@pz>b? z00qLV#OIJKza(9}dezuae<8)WpKxOiYv6!2I_O08UOcb2Q_p~%dxq<}kSbpfFMRlW z%BCOlDOL9-w5F-+K4m-sUwH^+`4s~pghz1-hsS6K~g{gC$D=z*~bU(HlV0FXn&*ON0o-ojZrv> z`QkHw9Z%u#Q#9puL}!`N=_u9WS6|Z^S|g#y%~Rp?TGD5#DLZQ!pO3FG4fSC^RQe?8 ztWgo?Co10)!ph4c4&IRoA-oD!LF_lX6Y4R1u==pbhpUYOgulP0s1iC~L3n3NMPtlchI0t!A#>EdxXF0wk1w3N z^f$VkR#}n!rwe9us@9%XifwR)eb{%hc~V?^NR_qMt*zJ|NvbOL!CG($KJ7pHoz8cO z$JyhIG*u5v#sTTP;~8rhLaMJ#XYw?7KHb@zH9#JMT@XEnha@zHZ$nw!%BWfFT6)nw z-Y_|DJYV^nNaj97my9=WKVrG~MqV=*gNxFzmP&r7ntHN>acm?rx4ml@up_=jV zr8dOj@7OAxhx3W}wPv*ScawuFsl4F5L{v)cjE7A-lPIcK+Vyise*4r&*YEi8-hc|H zgU(m!at`ml7VUhW@;aiE&5Xy+X2zqHw|98lZDuOETheDKqt8c_&sTYy$T|IO;?~M( zV#+B|T~71*uw5$;{5Nw$?;ZK_<;$+Y7+1 zP^$8N^@hqf_}zOJ1mDU1=R8&aICr0d5Z!GcQUgG5p9=l_w1eg`ZSHDDpZNRU;ELcm zKWU`@$v{3__6c*aeec6hVT*^HTYay7M&mPkHVQ!6yhrgAQK5HAbaUoWg401;C~NQ< zkBmxih^p=v0E(PSo?PdUS%c3$rfqu1^a{SumOzlS^Oxp4ApP&-f9H-;5dWQD0Ro3; zZ^fC{aB^)U!Q1t zbP>I3>Un(IT7u8z*9;C;;7IT|+@Wp;4+*k8&5QQR>S1X(Aj`S$tC400{g+h?afs$u zy>8y6OU-#YcVNa&_(I~%Im4ApszS)|&@P+3{W7^-g>D#(%meTcptbnH9?Z;cjlhHsyd zTX{W+gj)JYT3)Pt^wS)9-Fm<*S1hQC`RUk(qgQ zW~0i*a*A`{-W&scVs9p<;Ox)iER)@dhL(?WZ>uLP2|Z;}x-Iho58>1AFwYi3cm~g; zbo%cPk|jT@qijm2R!Hd+CZ!KCRgtrOR~qrK4?-Uw+>_z|&|)?(VsjUumjFIxJE4R) zycey>Q~mxak$y+4-(71`y3!1or=bn|-HGb$@`PI3C1;db0r-f@o^zBm z=G*GZy;E6%qAa|78$$T2Ic9#t?x|#VmA%dIImqzY+RT&epzOorT*Ie|K9kKn$=ilc z6@4P#ATuMWDtkqJL$zqF?m5*iKA$l9tf)#Q?~!Wqt6QZ#p;ru_HB`y9^CWh~ul;h2 zb4M6Hc9e9I!b#|xs6aCivo(XU0LnizRJUirl&srZebpk6s=LWr`{rc zsdvv>tLH?m?G49Y)l~KB^yZ`63!=Kc$o;TxFN$@PZFkz$er;gN#S^BDJ4)63 zey^cWL;IAW)ja`kHnK)qpR^j}KVKhW0t6{V0K~a*O2dR~&uU(UL*@%Tv9nKCmBxx2nvU3&gqYl+L_{)AT?vi(X8-T|HaHSM4*hnUzm!(xz2; z@>cok&c>?OGd669fV^;S5&jRY%I{6Eoe_@!9HReA|NWnba5p^73%~$zPQMRQefLaA zNAP@3;VVFJ05bCWu+TI>I?-d9*Wnrv=N{xfi3G1-a#v7cLH%vzk}(V^bbMV zhd+AQ@Y#Z)MSV6<`VcLvdREo;{M5Vo%_@9O&zeiIynmNGH820c&d%Y@4ul+MjqFY! z&V3`r2yu4Jat9Hf&q@Zz?uq(&gL8=C^DmX}_HAY7jy8P87(Uw>oKG+WY0A@ux+R1V zRo$btNOij6JoEC&jFy$bi9vU(FOB+~vNN}q2J)Dp+QZ=3Z(?E1t%UZ=3iGR7yT9_T z@vLyjxr1KiHA^9c>qGUnpmTpw&q{24*xGKi?b0V9Js;lcuD0ix_N%qsasOrbyli^Q zV--$9pE5XyE1Xu-wQ@FYLQePb;ar#U1R_0)mC$Ae=SD6Up|`|V>gv5rxkqI9=zf%Q zdS!@xvSxP=CbIgp!l&9U#J8zb_~IX0gXqu7K18=Fh;!>z;IovXB0@_P%5rWb^*O@m zGd)+>Iye1S{Bm}r!I!4T)i@=g&#DsV-`@#yu;1yOsrcl-Yl>Nsb2f@qwlS%2uCZ(6E zboIMu6r_XBG%20Y^7IOmQutwLXTS0@g!H>xO-k=FDgAYu^7KNM<@3hpj$HdQ@{8zG z{wDPgKy3Zou07?rZDRhRHDY_YU#8ZaICrq>M-l0Mcx!uSwM(B4`nAf_R`<%Y{g&4D z@_Nwpf1Wmdq~#S3?~$l*`1=J4r`2@z8$Nnw!1kl;n(c)CVC?)&66w7oh;A_{{d5IBqZL(buf>tn2eS}iy)k`P z;LLa{f^O&bBDBx+tq*6k`Z+Q6b8CAf66yN6RX)0YCTgXACR0DRrjPx~tevT->*uh1 zP4D_}`q$6gh@_z}{l6~j_u>W+=XzC*&1>}$nFUX^J>S8q2%hQ;L$$PzZsM@d^L3Hx zYD4uNLxj-F+RacMZm9OoaKPPDwZ2;>c;tL;P_nA;x;dlq;bf)3+h*!{ax87X?}5BaQNMSzAVbJJ1Xc|eWIV6eAg?2`0Ke!y5AiavT#7d*nH;9 z%m*n#x_F&cmhY0%>N{bA0>SgSg|7g~`TUqIk9Y*&5FKdh4Ow4@SkLDNd{yLAb!Sdc zA$UGR(+9l3$M$=j`&;HMi1j!(GX!@+)j|7YcLH$^&qi^VL$IS1?hyLYf7#7Xa5_lJ zmq22C&@vYJ$R2<~)nWGDx9`L9cg+kRJX3&TIiFp!JApVSrCT5g&7Dz!vX2k{ZVv8p z9R19Nzg7SQ4mnPT;d6pV32^Ll>dzUR#SNbw3=VcSgJhBJ9G=u52qdAY%AcLP$fE=} zcup;$k1w2)l^B3}$QG;*xaOQO;~@b!MDoTru07QxLxmk?BzUSd__ikld8#iNs!2k^ zXHT`Op<2mMU1O-0GgNv+pU}Fdyq}(N20O3eXN|1)jI0w4)prclCylIbL$#)%!fIX# z-Wsx7FQ8sS+q<|-NHQFvrTD5RgL*2v#`R1?^@7pxM@GY=4V7&NZMtsF^np&$C!w>{ zjtb80@@~-&iKGS)Dxw7xA6}27APJpfTG+QtD&FDun|&G32DK)%Y9NM)W}O;Jn~`KXFDxW{ z_EfJjRmho$7se{chP+v45$6)#GN1@q{FmB>F9)4AFDv0GS5d%27UKnEfPfbu34scC z0^wa8c|tsdwCX?iWL$-FLcPX+blMYw|Af+sHc?d0?O^;_f5C(Zc@gq)?hOU0=Fd+U zeU>o#oNDyZ<${QpoG5*sQjmnMRGhufhpFH-?WTMk&wM2Gr5E>^y6y$$j41E|PC}y? zC*;g)V6s)pfhzG~^wajjU6YETTsg4u7%8lqNmHKy)1Q z57VB|&lHt&hbtVSGZ`n;kO(s;MF>_^6*=pDvGP@&xt)>Tkjk#0@KTC6fE6Kn28QTu zqw}iDVnljX9?=>6EJ*@%HV&kJ{7|Rp+LnV&#xiO?jtiF@7(=qon^{ zmTes{iWD@D!)z3U0SaR0k-o<`&_(p&Cr2v3I?Pj;em8$cAyf%HY54q6LHIp!1JUy& z9c;xsAYHuXEeB-ng|)_SnX{mQiuZFckf4&t_*k4dg$bWMpT8JBwiK+Dr3=JfXY{dq zaLd8-*_f{Y!2yWh%YrY`;Bo#PB;G?XGf>3A8fgh0rtc1SAE)EKz za_)4~%KX!$%l6{_obeDo)moWzOsl8Y_BhvCtM{tW$Ijd65wvghLTeB`Z|Wa;PfAis zbOl#`Ayu9aIS#9n+Bk>k705((PR~+tm#x4jq3ccVJgab=TU9}L*C|ya{eSkp16-G) zNW12!yRN8!qKK$u5K)4NqM{&55HX;rL<3nck)uRK1yO-R23-+FKtSLlhl?Z$vLH!< zWW>CH{D|o8>A&8ot}{LL&Vg@_=W;LiPCw6l^UXU|)z#HCJv|+5Y)_dAWg#v`R;1YR zW~^EDXHfs~eYcymFHSVO(Yqj){F*zI7msS;Tk4$Bi}kAn!Q;}}SAG{bBC-XI9zku{ z_+01zqI7XfpvTilX5?;?!*TsJzrVmez>i#-HN<})DJ_`Cw>ToQW_WTdIZW*XT^fC^ z2xBVr9_9NF3He&EkI0;JM#+yo+O~Wb%_Z8f)z;?(Ei~H*kJ}l~h@5tj?~-r83LXaa zpBK>hpMMq~dq(pOsz*KLLiB7j&%DQqu7#4{4oHb{ok}*`E!2N>-^oCuBTObiqhnW8 zjt9i9HCVsYv*}X+8Nt`6qZ85i><`dQMB{t?jAoibnT(|L`QY;@oeuEU`IeWgmyK(@v=@`yX<(h?~=gSsMF zW@%^^4T#N(!hNSnse7h&JNEfVpRY|ypAV8gX9dYA;oGVm`;{RFEBTmK?Aob#4V4=o zCaznxtSh-h_6s%~OFs{iD_JSjNpw8FtEcCI9=ckuw*Ryxzbm9mM?O$V^4joDsbqnG zh+IKm94qA#8}T7_{TdA#j-_it-A30V3O+BgtMayWj{D|Ra^`Ey#phHuTk7b zKwj|77m;-+r7jui2baz^)eo@J8YZf}8kxFh3Z`hRdp#i zo_H|CuW)XVQN6-p$jzaYz`WI%(*44-lhUezMr3!AbFR{Ou()0jc_cc{mD&!tMMRvEXz@ zgZ@(whU`Qo64p*f7}Wzbl{^{hS}EO`?>mj{E3IH1O!9jAoVJmll0JC4Js8(8`aDAV zJfK3L%hT!eVbVvt&4Y%gxiWelUZ3ZM5=q-+hS2Bx^yRx?wmg)u*jK~f91OWv%V!UU zaId1*NkC^bhf%HR_)ynU%3xI;vqbNduM+Z&Q18_}E?*k+Vl8bf7*$#m6}X*=@2xBWg7SSqVUUc zrSt=(!F{JmX=WS6`F+yxY$_wK5ATPrUU40w-Abv*4msVP_OAU!w+b{}d(*{U=-!5H zj~d2?>lDs8P@9WjM`)az+}S$Nq_jH-axkug{Zh|{Y)ARNRqz(=has*7l*>Vrb0Ccx z*d@I4Q(8N-G&*C}5kN;o;+%t&z82D@Big9u;=15$`XqV^K1=Dks$es&g(+P;I%79V z*IHSQr$SeRrF5Z1(*xq_8V$j#S}vJ$8Jwo!RMJ4-M&~D}ZRsJZqb(b(s=fU*^5Qqa zKDxUyz0HpeEq1yZQ`W`x8B6o44ci*BXQD5(q!D>>(7BTDP#fO!LhK?x332IYn$SX) z(lH?}UBjEW&_v$W2kY9Fh>krcJO4GrC9+uH68Q(!jxP_R6h$y%tBk9eDWx}R|Irp` z{M7)ufgU6EDw04DfOM@$v;n1b0i|rmwWKz7l}Phs1BK+-ZKOln8n=XJM<}M6QU`BrVBf<0U%cgFaS(w_|5HD=Jjd zq@)i@3(?lxpmQbqD7Q)pkvL~}Ed46bXm3D}tTVTi209@?=af#?-%_8hxhAjEyzl@n zk+)<7oIrFXvw(;k9-em{TSR>tyK2H94*_ygxC4Y(V?nAa8Y&)(6{8G=u$G&oi z%SLuTQ|#L+mq%xD@tCP$(*8DzeR8wkc5`L^Pie9YK#l=eLcnTPaw zZj9J+wJlG-t?kwx4Dlrtj>)QlFGC@32I=MxyollsPyf z^GnwV(W!rBep%U5BJjufMw^cil zFCaWdNmpeK8dh>BwX@wFzPl@VS4b)AqjmmCwX@x)`XInY13gB>S33g=q-zJ2&r(Xc zTwN-(vsv}N%nKdgH(QOBE7Ptce1ku0o3L8Dq^W4NMQzoPgPWx6F zrF*n0Vpj5}fZMfr9{&q0u{EPv{sS3--asmOUqEyn$MC$T^c`wv`|_mP*{1)x0!S*- z)iu^>cDE1m-1A-E@1d-lvF}q}^=d3_Nl!ulJ}q-_n0~AKG|v${-~0Dz`4sRB-G625 zc_~@vSO@PN<}JfCjLa(UFO0YGFsT2$JiOy{OrjI$*=Xh?ntcg*jJS3Wd7=C1<=ByD zkX*?Ky{Yd~9QU2Z_MTOs4&c&xHWd5M2sy8&v>)TzSmScgkRKD*Wlo@HqZuX@DfD;q zik@AmK>wgeXK`VCOB;E&Uykd8RYM#!u2YGN$BGP-ig_t7AZIim78mvt_F%}up@y&P zMg6{Aj}m#d*Su-#d{+356InXc7)9O`5bbdezAEBv!jO)%r}X?eqkaD8(RSJ^0gVUa z`UdIq6|&eO`Wetp#q?bzs2$e@q+#4gTI6kHF<&hhvXD}dyuNY&#Yq~fIcI<@kRF5 zI7&I{QGv$xsVHegj-@j5hr~7GSJZ?35X^?ZBn^8THc!fPb5+8*=_%NsJoA-=@HqUb zS|zd9r|~FTMbe%?dj|DQMfHu%sn+zAv*ah&M$0>B&+_hmnQ3@cFx}}fl!rP(lDN3# zWGYvzEX&N9VFk@zAM?XXKA1fL7BP?F6-NI_-m-P}RopPHf+Qp7kcab1?O3VQgUNX( zNuEj?q!I_>>RJ``pW1v{(rCY4C|7j_pwI&@vVG{0A4@->xjAtypQ>Zs&H2M~n$n^= z2)L~euhM#s_P(`gjwgMFU8S`xXFk%Ww@>3gx>hlbrGYQEZ5R=A_@}3tRpxpeL)KW9 zY9P~hm0wsNVW3A!m+Noa^6w&jd?kD55?ML)fa{8A0TKBi^@*QNed52TOdnoF zX?C|ynL9Jzs?2NByI{ty5i!f^glPS@O0F4cLwI_tAI~hP;H_J zq$8Mpm?o}e(Pf{{DSw&L5!oXky8l>+y=$)Pt)vfPD<$g+$3c$iqhxhJDs=Q(0b05W zAgA=U%z=~9{5!?IG|`+&$Wp|$Fwy*kkhO>l7mw?(m5J?Ns|)SzAgA&?luoQQG&$!;=GO zw3kZT95Rqo`r%MpuH?q2Vk&M4I;Zp%6;h^@cd+F3QgpOfc*}I9>lRAa1C*}Gt{g>q<)3ROQ#^I#Hptp;@a$VCA-*^*be`U1WZuVXngijjdY`I)s59ste7A z+j&xR9;Zq}>_l zVpgvz5iaW(Q6~&?n1&_Kd~?mFg;a>VH9VEmd!LHhkotrCO6gMlE%QQaXr#}l%=k)a zTq2uf1e}ng>jDQvYeZC%+@9;A4cFb&&VQ{+YwI(++o!a~%(t(|CLzCO^gbt3rO&kR zrI6AeLdiDVzAN75+4%fg^p4v$*icu%(5I=)ciojjxA!WMlujjmHVW@tE+KXPsXpDM zoJxKk5D{%MZ^b3lR#3?;)UJL?c-|{nE~KpvQ=OORcJZ>Hs9A9rPFX zaR3oP4{saMif5oXgpgs{`SlaFj@{!L5q$L{P6WiB(i`=+9s7o)&sIdUF3}9r&OiIu zXBVIWD;sk&3gcJ13maFl4%&hQ`#;8n1&_K4Bw}1 z`@AZ&?abKS#&rBfC^KgjeWvF7qLN<+4s~?ryb^JpPFxET*J{Ld3~^1rxV}znUY-7j_+1cJvDTe*LZ8dj?#Mc- z7Qtb8aXZEJdbMGj1|#ZAq>?vhJ2!IhMk{GjI`5@zE|E3E8vZ)}LTNk<^iN7#lRj&c z#h&dwY|C_s+)El_J*zg&asjDiUb0x+y(Oh9$YLvz#X#f1EVdKr)BBj^2kg`PSm~LQ z^|6g~tMtg9mzpD?d1ZGyu_=N(E?=>znz>Z_B}d_i&u-9{I1QVJ}Jc&$$EWeX8VYIC#-Li(r;+&3h!!tn~s$&(=S0|Xpg);CS~j*Z`7x|M0)#_ z))-53hxchE{Ko%0S#|pDLXH)5OATkVKHHH#9|<0%t4@)9o*=FbX~gfFgZE0uSlLU$ zDASZ~331J6`y501TpT>AYh{m}i0cpFuIf&(!aOg`*%Mc_dojs#&~agU)On^*Lj|EwOR(i zWO>R+d-@L2_!AzOh5Sh4Cq5sgjS7 zRIu#F(gZkRsZ``_VNlJGQwG9u%>KPNP5A`r?+_Ot%b@HqdL{57tpADTZbxIp8 zyg4}A_$MMe1txal(+cx69v?rusQP^f^5}3LBmF*;^4U#Q)cBZ4M9tv|uuY8Y7;94&xI0j|tJ$0v zxXoGjU0_lDTn#$ecam~t4J^Fz)v(dgq2=m%HbGU5D|4hL<$zZI;P1pr5DsKa9RB&> z?2g{(_vz77y{>+d_twGei6Bz~u4#?A*%jEB$3OqwN!YJb)m%#Tr{6_n#lvy09jg)yrf&P;xWcv1 z92GeA6m~mdFIZmFe}1_FM!-H2Y{&kr=^(4NF#sP67}8gNS)ScSY1mcf^9C5DP_<#rb`#>HTQj|2Bj5a8~Jnm2*zzY zWujo_)YwpAMTpntKRwtWmJqyuUO||BDAusB?R=8fg?E|ET5u=ibjd%ttc*8%eEuG4 z`iknTz1fEDOj(?o^2&lnFf_k3AJqGHPI%Wxp(iytGiUh5HODSvn`u%%$uA{PkpATh zzga&%^9GarKlO;J<&1@cQ`M8S)5ksyR&{yY>FJE-sMTi`3%Se zGD1%+&k1*MYAe$9p*Zy@-*|r~hmQ`(`IEBW&Pgh>@#Wa&huVZJ)kpSh{J`Zxxo>Uz z&jtm*DJm0(cmDjRmpIDrKV77?E|~*c?CBP4j&Um8wf7>+y&l3L>{kk z5qN&Wtu4wLvkW2HVJ8nPmt z11wXu-Su1{u+oZFBueC-zvKr-*;Pb&j!b6gk{q=L+D%^4yl_Cq=BehV6BmxdO2*a9 z>u4XpK(z9a&4l#deOO<=GCzH#+_IXy()jfwGKZOp4lq z6Py0s2~9YRoq12TcQ0gmWaipOcXVlVci(a$6ZSG5B#e=q-8PZtp*AmE-tbUN!`S?Gz`MRlJ#b@Lz!gN8lN!$l zh-C=<@6Ya^z&jw>*QxD|;mBMy49FVqdM6Q;I6P670!1a6(=T7V7g^0!V0nlQpR!;) zOts(P%9KabX5yRx>R%-2C2L-Cd=eua7skqn=*%Nm&btI36J%@G`fzhhZ1He1%`G?H z%FZoU%JnJU<$3SO`qj>nN9Hi;;rY;&ND+ci>5f@xp!}p63?1CkdMN%2k4}%(4+q4~ z9nF*fjBru%%Nmp&_L_l-5(^|Efgoq!jO0>DOK^$0N&jx+rW(Ix#Zo3paoF10EKtyX zC6k3u90IUu;}>?k!gs0!M1!%Gwf0s8;Rn(~F?XcX;AfDYl+rNwIQLH4BLYFQ#xhCP zKq5gVFS4Sfh|X4h(vtt)$#68PGn#^mu&A6j74=3rkXSP&z1VQ>#?80U4U^9M%MW$t zvJ&PLe1H#P4sNl^ z-bzhDN@yL~D+zk%r@6X6>-p})EKD{!7y=ft6#bT}^`nfe=3(Rd)HyyI51!*-UU8*5 z5b3m4u^;Yy(m5&i-yCvwns3vg2W~H=0b#ItYtHr-HRd>r5@gVpGS6c4q#SWJ$++^l zW9r&nUHbFBqbSc&;KjA-fw!KB?Ui$O-l|h;DSlh_M=Mk{avR{%YN7ZvwE`#^B}J22U%8l|6~d{6jWXzwHYg0lmpOAy9qrMAN0Otdp|lSB=P}O z=~+D!KSOFYB}vj|1AY7+ZE+NL%NzRH7gEe8I6#S*alDykC&r8kHddmQ;xX_Y8M@LFSarBC&Y2%>n&@n;6jKr@Js0DPX@Yn`j6ziJDorpITJ(l1DWw??&bdZ`1}o6TlL5st?r8J;1{8Sc3%rUf_BAJIk{>Yh zgx?`cU`9R25ZtjT~7Q;+w& zm=tsQ236Ix&QxS4tUIa|D&yQ@Gx7#VTi+0?vkqDi`=Nx=G!i&Sxr^DYcQ zjmU?5Ih+^9Q`ctACt`)ie|bD!fBvI!(_a|v$7+fX2PVN|NTWEV_mSVuR@PvkuI5LC ziCPWBQ{g~@tCx`-$IP^iOHbY=eVhh37fTOb>{_SJ5Qn|vNnmaCNpr1?57_H?NIA|; z@#l^DkTeHJZk?Bl}FkC6N7{mGmFVLo_ZFIYuUz_`3Bu6w)HQ+D-%UN4if zVPHNa*)z)GhfbVZ#&QO}W8JY3SC?ak3%h+EJq!g=RFrN@nyR_-*m&$v~Nr}ZE08s76^-X`F6ONnKecXA}XqzS*tn(DSL*!2zk`J$BrBu{El z{fH&zpb#<_cs58v*K*Un9_A>xZH}BA(M8EHBJ139F+6JlG9j9!tV}1F{;M|bGpZ+X zGect$`zb0Hr86KDz9?C>SEs8SaYdhSLSq)A~WKlVEQOQ*5ONfsMk; z`tM7!Uc+g+SW6q7FgHH5{gtC(r0qLpGFSV*_2ik%XSY#MO54~){uf-ecFq=5Lur1b2C=7`{d|jz|8LN)XcVoH*8xXPW2hn^mKC-oGxiQgDl~9(#EWeR@(=)Rdwf%_;WVP=%?7^?F1V z)4NhzsYRPO%%o1D)pt2){rr+PT>?AFg;q{5o$TxC@mLr%GzZfB!xT^yQ>zb)z|T$^ z;Z6B}u8jwJR*g?0?PcNWc<_$yj*XVKh1Qx=(WZ?;S$Bz5jl1#|bTed5LGQ;CsNocN z5#wz4LZfN$Fy#KQwGsPm%9_B^g&*Y4b*>+xO}saHVX86*g9_-Wm9+S(*Vn;-7@uw! zIkexNnC^O)$Q8U@lpC$fZR6pJbCo@X(muPr(@ErVwPTy{xSjdMojP*lSE&rw$>Cmb+wz3|H@g&u|9}Dy7RGaE?qqd7YJ6_(R6_!3+yA^Hm4|y_e2^Q#d z8|^vtp)~ly>-Lzz^}lm9NBP6dz?VEnKQYhVCl)<9hIbMxt;Bqkh_&XaMl2*KZO!wR z`0^^R(a-(`4k~Hy z6zr>mlxiZ&%NcfxCGry^u~>P zKj>*Ch%~UeGt9)KfVWXU8W8Rl^~B@H9nlAFR9(WUEa-j(H|WHFF1qW`c*Vi_I|?QK zgqd&p9b95%>k@zik;X$;bvdB2QMYgMUTQnVlNr=?FF3AuEa9BceBavlW#7G4@MJ_A zC{J!>KHoqWFs5H_z8yW1b@TbHOG;2W$Y%dy7elhF38tZul1E^l1p#1Szi2XMwsU|sbWCFCCV`7v#C;d zQKdTzNsiv3c79}v8Ueg%j`wU9(dZ&+OCEQh(f>cWyF`)5C`|gbYV5=iUmsNX!(bY@ z8_ZS!l+e;oQ<>Re%nXYXayFG)6m281%>+`y=Z?;56pkEbJL~nqX;(hU;mBrdA{Q(WS#4Y{zP9VyxFU> zFQC%?)-yLmrrX8Z+b9Z3kr#}#+CI-}Z|*;OiA*3J<$f`#-8_E!DE&z8ISDB@kqq>P z4t-ZI7im}csl+{g0P&tS(Ui$8Dd7)o4oG?f z`MCm=L9F4sS1m-SUc+RYL66t{cm7j8R|pt)^zEnJER3eA7?FMxT-(ifm^4Xy`EBM) z)_mbm>R*su?kfVK?Mk$8Ry5gK7h0=5(mI~NhL6091-<`-7G5(LRS40s3PK7G&Mw@-{z857O;rgV5rHI^1T?h2-{5?MsDI`ur zPa{*mE`^xp!o(~Bk9@mlS?Mxy_OpNhI0Cmoc5)^C99zJxcFxHg(4 zK$%*wd9w9%2N0+3KR!BO2^=gA5ipA2TC<%fmj2Pv*$^cVYy{=yfA45n7>vDnwos|YJ_^HyqyGKEl zFqbgv(`xgGFR5Dg^wSi2Y+m!=7F>y^pip0Z@1FuC3w>YiWAh0YJZ~2FHT6ZuLMBz1 zhn;?5`M#k#asxpRpVUvPXrpE?zbB=1zaU9^ORRSBD_{Ve9x(QlYwuld9gIhy@9*Ih z)nW`~-ZUf)f4lt?$@NqA z*B}>)VB|Bkqojm$-Jkmq*5*S2Vn)4*j@=|l<`b==UM~xF1M}oBh%U@R@tM3@IuU{x z+Pd94b@%$@wFxzbSW%y7;9RKYRbvu`(%ARVWi=N9^0>GvS%2j5NwkRf0$(J+o_aHUdzP)g}porYCaNo`d&Zw8ERWY#q%>bnNP4)5gc@1~!GzL7mh*Erzvp@iQM zM#FfE+H51|$BX9;PB?V7@5N_E?xlooto$tQG*!8YhBup}MN68QlY}PT?DV#JPACt3 z`7qRi*JeOUEWhEJGd!!!VdGBu-xYi@+try~8dLJ3TV=yFYo1vZqPO$%83Nq?F(Uxm z`?HQS^+xPyFR=!Z$uIo<1$S^3NvuEw>oV1-ZU|Hz>Sy)Mh9l~{E+wsq=7wi8eH;55 zp>3vVDUCT*`t&zC8!h1FKL_G)Pmhe<3g{d5-K7tGzRv!F`;%Di%Ib672Z+lo*hDF0OvKs?_4yEc(qwgg3%+5L1e^ z+@A1->;vK-I8%1|TXWSP`YhPf1LgBT8y6*RsRv4#FT6(gh0ZP*7SHl3ZZen0LO89r zRgF&GJ)OJP;3g7XY-#IOwb(KdZ2n!))6ZK$9*l-Kz65n*hD5*WYZ!~mOMFy@ae#zl z6{ds`G7yhEC+ptnCg!jD6A;fQP^SGv*t+9G0bi?ueiRsx@lP5AHq?i}5y>#$``6>t z<$7m#+TalOx_c;jVhYt0x5a5EM{w<#Ro#YKiSKjVN@8b1S!u7k3w9 zz?E}kLjSji3ta7I@8QM`!=$q{n9Y6gS_UX1oHHqh+xlj$>~UMv0G0`)PU4EI^tSlv zwd2!kozku|EQjR6yy{l`xS@m2SI1dLuD)+-&90$^7m%uVt`OwXrx(zMqrCpUc`Hv$ ze(?|TU)XqC62}wUU1!f|eURgRQ938!ll-^Yl6qeXYCn-n*VNnH%^kDzD?BfzPu^RNOE(vxd!dm03D>0n|duJ90##L$Jl9)Ep5@mDc z!#&Q;k~0GMh}{u4Y4?E^6#?8~e7_leU)u7hwhI%JDB2#OHapmbv{RKn%T9OMjk70dtAHur0u1@bi_Jsr7~3ppfpJ8?ln*^~hiG z>EXtuCkEaRz5+($7r-CIaxj@;S~9jW@qXC6WBziP}*=L03&(Sv^sXCQ3olban}wt-rB}1t5cCA42IR7Vzk+VCl!PW?<8di z9C+Td7Ii$2aFszXjzp28OByrof(d#PFZO$yb7GY8mma~V6dvj|P&F&(9kjkn_%Gjw1Q-z?XHQQfxXKlFbRSsGdmLq^!V1f$g(n#^@KTi)V>*QliEfh_jiN@ zbrG|;+s)yG!GRMXgr78sx2X2wz3!dJz!Lrg_MjY618P9Wm{Tm*t3xQ^Xx)c#k3Z?8 zkBF{#9$JrI&*e)sT_ikJ!zOIv<)8M3rtWCmiX65QWYsm|%{a6+wxv%sF<@CcqnJ-L zAMKec&enbcoQd{#ccv^8U#1+YqL(Q?)}r6a0Xb%*vN=ILj%Q(w({94#ZxxKu5ZLAB zRNwHU7RJXTesLPCb-b^+m#hVBe?3I(6b3p541JsK3)^E~W;P}ElY|^u&Dl@r4;CKe zieq^04FFf(xg$+@uLDiGKuEgDH{q5JQzK?iy{ZU(l0I!gADhW#(|)t2{8RFi+!R#}vr=@+Isrljk$0^8q-V27KR;x7Z8W%f+((qlq|CFmpo=+hb1~U1MP( zZ=JcT2)haI65&uVWzt-P7lhSIllo3Zz1d8Cw~DGGLh9Cv9K!xfYdhu56A@v~azPtY zPUi(A34$hRraZ4raKUO+GI?qPote*YJ)(|@@__SPi>Y81oU|~Y6o4^M*T!J(rUUT* zUW)L0*Mioj-J~GbAX=OcDAmK6d<*%Em<_7%(#ysF?!ScY98Z@uni_>1Zj=g8ca!HU zfu56HY>;G@9upADyEUhEIhZrT8(0{5Um}?s{T+u5W^)R0xRD6=r$M+f-Z%4BY&-{R zfNUvo(39~#in&x4T$QKMA%($8YKdqsAG+V` zn%d_u$i3O7mUZJ4H^rSeMQCCNZf)ubh}ObFQi$)?bNTU{9j#}zM)!zA@sLAaFRfUxeRxIh)YdXIq3m zq7Q3mV^kKGlML=c7~U`=fkorkHPPN!~DUHp&a2r7?E8qqSs{@Afs6m24b1 zwkP$pT%%}IonDOmv6*Htb}*m$&6+xeqUu=qDzqH5eli)JC^S(_0w;wPPqzH7VKi=E zdGD?Fj$dJd57DD}&?)>Np)Nu6tGcJtLz7#x3>VX3N}M2=?D`58Q$BIq^tNaxO5FC{ z=taubghGpo%_^~$VX@O7PmLxeEw|HTmv6<$!L`(P*WzOZyXwhJ37EtXz$>njmkon| zmjuzV-}d~zn{sh!<0fLIwC1?fmp@~2%gs^dR%plEdGoYH__epPmbDPyteZBCx1a)f z7;3XGZ>`obzX!n9#1Z{BHe?12rhwufIP>pf3RG>SIi$dM<=9>7`#{T?6mD1Bhx~BZ z`9;Bj8u*d2=^^l7Cnmh;w{+*9aOHoSfqsRk>M3v{7A7EE*4WsNO6-O;HO`+on4XV( z?%V;MJv@GMOX>8(^T3mc?pLzl(`K=sDt@RX!9dKg3zdmBW$|?G2>LTRSCN1EtS*~k zG+f)_5;k<=r`b&}FG%Sd4p!|pRlFR7U(XMVSzN0~3?HlcTnT-@*ofL{WIUm5=*k)K zPi4KROTQe$WCo-KcE?-VY>x?vtoMg(&Sghhp3CKYUBxEYb!Hok-DlhLIlF#KJ(~7o zcwtnik_PCTG1}|xq+xR7%R2sx$Rk|4Z6=!VON{QbcAN;Ld*T8DsDag4JcRorU(Yuz z=+}!*F>N$99}`@)t~%7#9rnd2aeC43E8fP)CydO~EXTY4rqR?QSR@odtzeh7oR=83R|q$D+-ob6 zcb=jby}`MJ8f4f=;psmpb|t)c|k)%MZ0{)i!-o!dh20zn>ZVy*aDit#k~MXF*!O3ik0t zm>b1Z#8pd~-Q7!Hr@rsHfGc00mzTgucN>}llv1HgxVxMsvK(!Uu?!Twi_ENX$Q<&$ zadvLXfqA`?rZ21~Qd3rR^#f9&_A0yUAkxnKVPYTxA4LrfE9RdMfmdH$FDqg$<$#jd z930lna(#9;okHDp-D%KPo@N9guMn3aNFKPsR+-lS(^zILZ&6@j&CJhum*c#sK#kbc zHf>bz15!{ri{0eZ`cx`i#SQ2cx??CX+#rD6SQWkzos`a~O>c?prDVR)4bULHguiiyg!NX8s z2|T#REQeCJ@@DyOkuQU?NMg80E`q@zU;8Bg!$F^2Y2Cf^rJJK-7?MP}qBYn3pXvJN zwfB%;i6CM+7>!!As=V25`#}E}FL=S(Y?Pn_bJ1Z6QuR3$T+aV`+#6GFzVw;IqPsnw zX-J(W{C(WJL{O0(49%&~XvMwE^ zI8&Kd;q*gf!jlL1C6#(#xootg6Xc`jqaWbUK7caS7Ps2Iy?;)~3=7Cq9RW`Z_bh^* zUql|yoKw-;=VUWo18_)T7#5w)#YqY#?w%Irlu>+O$ec7`IwNZpSN%V<}L96$t?6+FP+eSw4I zxo-=u4m!`*^#<&uV*(U7ArUqoxK+BXoGH!GN*7Q##h|4=7unf1+Z#}zo__y{fM$%f z9s+Q4n0%X{DzXu6jR6q`o(jD*p%-k}z3WQr%l^*4}# zX=c@>ZJ&`)HJd=gGKW3-6yii)OQm7yI zOwrOWS4lsDJ=0peR$l@Gl@1F62~(z@C_cVp1@Lc~m9|f0m))eV!qUpX~Do*)i%{ujbm? zue2=*ukE2r-vUO!#6Uq!EF%2dio>B(5oTm2m5OEzu@6hS2SnOuJMsA{RABt8dxO*) zt4Gl=hm(9eKpV|6-7f%#4li_?QJCO13><7otiHVFQpQ}eiJSgy<`9=+Ir;=e385vj zjC%u#2qGr}XpHxLOqA|UuYZlF9+0qMOAAeVfFvb#P@Z-C`WMA0k zXJzAlhpNxS$@!(ljEjU25kmWFwl8JsiEF*CfMq!7fm;F}hr>*$@{Uq?9-p((2FYO7 z47gjuQlhyi@-83&Qg;HeFdi0E<@@0irt#AIWq|7mJqQsp1c;rC`_C{yOXX2xY>do^ zoAjxHD%j}9*c-hEFGew;D#dU@rx(Nn{kQC|wr;3&r6+XiyYJQk>of03XLqzOMwBDT<=hpFCNg_moxXeRz)&1>5pMFfA3YUX zz-$XOZI|DEqm|`ktgd1XUKC8YMC56jJzdCMtQ%(vWpz@AXO;rj3><8wV-TQTf76|E zd`(K|J1eG=a-6Qw-GByrHWV!+9tzxY^$=|C3bUJ7V=-MMERwn!}#TYPU+9<(Ra9Zc&^p_bsx1p@1 zYk+Cw8#IgWAO#D^lj0_p3Jk^~RJKGbJvl=43i_M8`IxLVg3V)7jVO}kQ!ak|vW!n& zZBEDF&UtG4r=0n-Ywb7@5Qe;L=)~oX6lv03)4Z}h6PmI9hBM;x&DLV`#*}J3Q7%Cw z5$5rbh|J#`vu_BQ@;$!F9w4G*KT=H}U;UtRUTfpM^3)*U>heZrAuuZi3}J^2mvG(x zWaPS^BT0`W`c)}YtPV}$LbN1~ujaQo^ADRjVBr$}sS1~84wR=drrLX9qtDO0%A6mV z$VGq4hv*RUO&8MSxB-umPrko@N-Td$1ds=0_4TfC9MnV`hD!OIuz% zi5h&{+luCn+@h6j;AgyPHq5a0WI}n75!O2Fh%$uym^yNsqDBLSkY@%PG`^ni7_;sf zvvQ-hWQz>)tv}aRRhf%Oto;*81K%&7?e<|lP_Ibam}X3kz3~T6+Sg#%yYwDE#}|A#M}2)ERvx2(O~5%HzMp$)Kqv6PxK#Nf=A2Ho-Tqnvr}#xh z`SOHM(#uuO=E?xE1hv#53)3M>gS{ZM@$Q*KauqkC+*d zDM&oQR0hw9RvP0j4uHYVP3%g2)Z4~kz-$UYl&y<`R^}i{T;EuP9*$_j50^FYLu)OO z<~t_|$#(ml*)PV$QL`j2Rq>Wtm16`@5P#<7+&zzjI`4pVcVYc&@_GT| z>4wYq-o$&_BJsUk!Sn|LE@Bxu>Q{h-zocO_BC984%t-y!i*|-)iv;Dpinb#tTF6R# zfT&_X!6T&jga<=*8^jFmRHUD2BQ-7hK0uucoT?m@PDIWe!bBWIF(J3E(3A8iOYEX3 zPMgS^u-3F!Ja^6QN!A*cBG&AiwKaB;TMw-!8(dtVK>hW&;*7Miur6m>X)I`QCn~4+ zLmjW74lZGv+WvnISrpPld$DaQA>TgPalwoOm5~shQji^PD9En)8NNA#{y|wXxI=@3 zh!xF1t~u1UNDUoetu2Ql!mpaIjQokaMTeA7rw?-`H1vc}EBlc{xhy_G?_b}xqlBu$ z_dnJw{Q`D&&q+f_)DB0wpq0bSCu{t(+^MZAmvSM**+lYdM8;!%SA@H#x2uPPNX_SV zDV(cUh}*6Qnzf%ItLe~@oeHK^5E zvY)XPXf^)!ior_utpE85Z2LfByhH%FwTzjJG>?93|JG<0aDSMNoF$m0`BYMpO^O`@ zn}2*}4^6iOwLZXtXT2X%BTTdr^IYbm(rw!uXNmzn>!Fd|Db)ibbtL%bTE&lrUPEw# zwMGuK4rbv>W+bpS)>bST^y&> zmATVw%C(FoMgw?4ndU)yvQxFmzgy5n9G_c+=stNsxkAab zqzQG~p(b2x3um%RMh6YVrw9rLgb7Ruy$M*g(TT)?f{MWMX@SxbNJv&nGb5qdVnp)I zi^EQK)@&HG?7`iS!jj2zxhD3{vRdsbqTt-wR-W%*ViB1)bAiBmT75F!udkI(d{q!` z>grLGUl2dBUT;$PMlXdcSkF;OJId0PTfqr^qqXgzgxuL}em18SfBrj75t8_*0$95` z{8rtrC3X4Cx`U!}1U+eBO!cR}w)QED?gCjVAWCD!tbK1gh~nII-+e+v{Bj!saN-Ql zARs1_K3x2)=*4_$;b6{H1gc>8vMBo$hv&JgXQlS@OKixUcYvfu$Oq3j}^J#?i zCye=9DqL@yno7)+mGVyb0bF~1g{J!c$qSyrx6fUa0NoS*84b5(LJn4>T58*-&a8v1 z|AtF9D#ddjGR5+xUy#RDiVfL7G!?X@N6Gc@3Y2y$3)FQ7v8lGneB`=q6X$S|@|8eb z?*5WW*7}qtz1mdLfM_C!&dg>E`mi;J)P<*P(qrR~Fs^fyiap`75LIAVD|(g&Ln9*mjd1M{r?a>4k0E8D#F zX;$21H_h^x=#g4{DN34x3iC~sNAe72yTeyYrEQtr5KkQ#Bn#OTyh^oR-`LW2G>fkh zWj(I=_dA_(*|rz{4vw#mtJ09v$_FX53*?%#t=t2|M7h;UW8*rI1bOykR&m$)++^8{ z>Q>naI1{!{xwAm;1hAyU3+wjtT|lYOr>$D;oGFjn@8y2e8((OdMbKa=I^xPSbJENw zUPQFIV;^(*=wN@6pL@kF`#{O2VkC?@yfr-DdWm5-gjbaK*VN3O>*G)N;UlzDto6i< zbEGHlPDUKqR)xnz65b2Cy*$6Iue+`rNZ){PaDRD&c~@Dzl1u0H11 zdR&XtZv8NJ(e+4AucOY==J)UZNCM{Rg4%s9G9xJuI)m=ji5u2=gD^A(OZJm{9AXrB z;?kJQ(`($2+>S-h&R0LrCu?h#>(P-zRTWRe%$J?4$MEjBPC6I{J$E!pMV{=fHk3PT zM@U(b9^J1u(>mr~%zPz{>+g3EJuZ8OqmjNl73$S|m?Ajiy%ay#e@MNUjLbJ@or}C# zUu!0$4#fQ_N$iN2%v@ZHQnul*5o9k+Ev+GL@1MbpoED4Rlz_fgbAfADnFc;X?!R$zR>L6V`Tn!LKRiB<7nFAk$LGLUnh~_5Ae= z#qnQn{0E$W+pMi8X_%H?xag^Im-0;cF{?w=m+04Ct)rFRs2^#8o=ctq2r4GQOXN@X zjR$!X-iYbgRi0KFJL|v7G#!PEf`ZnM{=9ejQ=ZWQ&}{{zpv3RLsm3Ja1yq)Zy6*}I zh&H}6?IklFm$uJZoC!TRY0la1DNizYy{e6x8oQm7HH(9QpV<;EuBM3Nn_%55vBy(X zgkES3USPi^8QK4MUmwu3bQoNEpT%}sbf3kC`}*GJlNkQ-TQA1q-JX|J_oV-OTw8R# z7kXW})f;3LaX1~Qnu77+!8{#zG$qG0`oT8xip+@VkR6@7pDW+QnXcIjI?biwD-f=w zB`3TEnozbMFGMFg;29*4Y%PXbzeT=%ri>=|=0WgJOxV!lys+dcJ1)fWvF!W6A~1SD zGZ(1!b8GYme;a-i+mS<UI5Aaa{E#z7vQz?L&}&?z+8 z8E2iw9IDXP6K37ait-{+%|YQ?K~or z_7*CcX?#2`XK?g6r$LeE9T~Om8=8Uh$CUi>)kvrV)!BZkBC8ep9hgsMO#g~y(m(sk zF`$xFiM^~Mp^e62pC=2-*jCkYrjUXZw}o84Mta#C&^=j*pK9vCuz$i40ftmLt?}bB zu;-?4*jhUd9X|yu<$>Io71{f=r6elkXvO%ZkH|9aMQ$maTbeg7-VIL?a*FP>Ze>{L zle{l!{D|BY68ug0506dgyKaQj%19VO60~DDeZN6KZ}73}I?#}@uNFj)#O-1X;%N&Q z&DKx~) zL48-;Tz6zW!oit=BK2F>p8bldQu5T^8Jg#*tAcf?10)c?;(5g&VKb}QC@urugcVb+ zU9bwXD|q2@Ad%;AaNIN8T@fkUAwL+>A0`YwVt!s9Y8=a)x}3fFHcs(1L-`ijrS&he z$@q!HVQi(Xb&vTy|Pj0*?L-CL~&uHmZsQzhDgG%5TgPhj8!xr1kVFZ>!--uEG}9h9v| z^I6vfwT>@vD+q&ILL!3hCWX6A_g+K}eK@bZeSQ-BJAfDOtaehY;9mapgQAj!VvebS zR%=clKt+&7sVyiv4mP!t@AxoLC8j`Cc{YezTV-vnzg)V*86On_0bn;sGQAlbIZQg; z7TZ-vKmGROS*!0$tQ7KTL6cpV{EEt9K+(m=a^>*_=&VvC)$_8O!L`TL0n$YG>uOJ&_h zGXdB^>zRvO%ez_xYbNI=Oy-nR28}b3rOu%qci~e>m70Kte+6TOlHf zN0sz8K?aSnf4wY(>e%m@^v^JF(U&2=ErSoDPQ;w-3>7KSLcM}w-gsHzgYG=FujFs4 z2!EtawcZhrACk4@ow3s`8^({R=uqiD>1Ej%#lyl)gnV|zMv{CI1Z^m?KCi-|qA4KF zELFT!2z(-CTot#o0R|xXs|Aw0$A=^&dXW3_`z7K;NE#1*;B;j4o_ejBZ}p? z^5545|3JuqCl5dsbJldDf(4JJEcygeO1lvf@*DrAczq=i91(g_M4!Y+Vc(}u2N9ne zL1c&ju6yH(t28?&H(bnNAZH*iK#dmU|L@@>h0eot_mPK^&|i{#uxR`7Av#&JGmITH zS;muXUM0Q^rgQwf{^{g15>*e?!0KMt^i?d?tz(eOT&>fViV8oQ5e=CE@N4JGMb@Z3W$5yp@T^$XV{}S~HZ=&TaNmNyCf`?7@sY zNyX*;PXx4<;M-rzoB(9-L(ygT3U3=no8&YR)&r;+yRQ?gL}6a>MmgcPeBPm8nxt2P ze_Cx5$Kl}g@H_2X6rHd1;8dZM8tYL6PaE069QVeyT_$w z=D_Zd#anTa7C9@%jy#oya4t0bRirN0)iP8yi^$Dt<#`NcH&zb#OMrMl8H@tyn6=oo zbee+V@)~k*e1hFqSd|^mq7ZS&%3L9L5PMh2-%k+9z(wA5N0 zt{gz^eq4;HW!Hy87V}+1cqjq2?>Un9>nfJ@VCd05in*1c41SR~Cr*_&or0P2=iQ9F zp-Hl~X1T^Ej*s-u_kRLkas?~EC35m<)2D@&XvaJHk5__E+PE)Y%Pmq3P$0B?S=@pg zfyp>AYfeOxmF;qMvh7!^a~==HLxvX__kk&lifbQV7|cE%u=%WYRc^Az$J4p7IJABl zAVWS^TZLvWyoYghu{+!Ny<}9-JaEY`P65kx@0kVyt_RSfOFOA6E5h0`-Gr@V@)`gE z1ZMtaL#L{Hfq_b6UdwN?YH}@T)`TMFShM<-#_k}~vzH3VM}fSXXAM7PG)vuRe5zsrqUFoIwIQuDk2%KfFtWs&TVuL~gvsUdEE{HIAvJ&tA z-{+M)ZrfyKn=h2bfvJ*@eY5AB?_OtJpX2}e#mBOWR1g21PCI>|*$Iv#xXn|WQ>4?y zi(vHD^Ua9FxlrbT=~`e}Ip1>L9wW?$0NU#bKL|#}WBo}-|2^!Jy2|wYyp4YS8X-028#kjn@IkVzz*P1cG()8( zue3UZt4a15zH9qzc|PZa^(Z5=@AvMjBMpd-D=yes!0$=b~sbcm@&CDvNFgEc@;H3hCTAaIDOj*rz4ugxAz!+OL z8}I&}fYGjISmQ$Nx#iP8lNyfONEojB$w%W5-K`wTD>BC!n^!IQ4?9=BkG#ZyLc&!u z_XHatoLJpY-)n{j<(^SioTX6dGsTT>(6zQMTU)w~|F5OfI&)Q3?at)@t{UX|J$ zYzuO={oySO8d*EAPf0_rZMg0}x;49UAAW#OMKFP!BoQ_7;mf-7Yik@oIPY4!et zXxrUe6ZV{Vx7XV9U$1+;v@%vQIV3F*D}rL!0&@KvFKhF z8HBGdju`+J4K>+U!F!f0Q~20m@>o~ysJ*t`_W#G&SB6#9Mct~P2uLF$Al<2O=7 zRoYG3{kMPUJUBFd1XSmwYp&96>gcstRbAO?t94#BDt%`7pSX{2GLL;GaIyjp5VD9i zIp_qnc?L04e}zGvEAq#?Q<;csnGVB@4IXpV~;JOuGWhq$x< z27apNPXp6*;#`kVo2$L=G6c%pe^(de^t%PAv|F2>#G02gYhAM!PHL@=@aHQ`)o1;Z zC>htk7;WO+Lht+i9pQJ=Y+dB51>$HiZv4>?NvK~oKbzQTkE1+B5erQhvVftd+y&VkMKKmR~1Dv@!bj8Gm@Lia;NM`QPJOj5hl^6bQZW8e^ zdbrGiACarcQ7;icoE;eI^pdwE?UERECf?D#$oxy`V_-!p7vd0A;C9t=Fud*>Gk zG#jjyjCwf51xbAISse163JQsVk38JPcFvWFY|(vZmBd!VZKN zNaR16r1XuM+%xUGs~#yZ5SI{b?V zH95+d^JQc$MuHBSxmiLfwVb5J#Ohe!m`vw0^2T^Q}<6o9{z`}fS^^qLy z!))upzXiKMq42Pr4rm zag;7n?uwkb99$0mO0YrCcxc=bdihu|Nt#N`(|;sF0dOiqx%4@kW(PTo`GyPz--G#l z9KdOkn99-0cB zORsKfJh31lF1@xf|9JhSibP)?R84IXWwaV;vGHIVuZW2jYZUQVN;jFP?pxmNfWm znjwiRG9v$1uG@O(%jn(3mLqw4>+hKu>v6^bn0>I+; znPGsmba-pG(Zl`2NdyY{F>OKPd?YorV!T?4wOZ$fDw!?AR9GQV#$Tvqw&s~z3!(f4 z()T^pHWgM!{7L-wM}j#x=5rrXWAO${FsW>C$He!L(@6ay{HkOZU!i7+I>yAP_*_6E zJjsrDmJH0Po1NblKMM2)XSQ6Huy!iPdFy#HXzo_7D!&{)&2fUkGB7^)IiWXy$)2Adf~j^@5^ zC7u2zTc|F%`@@r(d^U+{`-o(aKSpQW0%~Z_2%t*)UzM0yEX@*o2K%XoD8)k6;Rg_1 zJA5N`r7Bkc~{{7XwY;IkBsi!$f9RDYIz|2s=1T7Yj9^Y8L7PX~L{GHp{m8TVoL&uDI_Aoq^Uub@oxy!a)h2ZM^Cjv@8SCd|NI z;!Rk#X3<^RDyp z#a?axKa8_&S5)_BVH2|7_A8m9`#=|vTCD&ecED}-`{#+m1j|dm`hU%;g9)<@!2tb~(0?=_#*?b6*O4FX{hQMlWyTSIddJY) z_~X9}UN%0Zo7QGvI#fE?kCzM9AUFW#cFQWk?ulUlY^HfN;QRqVtL%vEVKn=I5h_k6 zMSt{*-7_=*uvT583)0!5?Ep%ZmCD84?vC{uPLO~>A64c*5NF?v)hR>I{rc7aUQGJm z#nzV(^T@uT8I(dNm3ZMgR6;WN(f;>u;{f#cU!rxn|MLKr2R|9}OmoW+ntl12!ypea z>+nmSiNaydtYN^n4b9K#g~nXs#{cA3Ez}q7oXu8G<_R-wvmH9~4OfMH3gyosAA~tk z1Mi%b@mtARJJtOcbs5YFJ_Gs3%8E{#jL@=FZ;i_5BoI#lpzSA+0Z<~^_c8KVYw@}y z)xn*vo7|cUgv$5zmR0DE^y#r1o>8z4QCr%8iU4AA&Mkoc&q0=?*Am*Z-wI2i)Yf6f zYL`Ad--!!JtKKop^HaHl_M!JsX*ena*2>0~iy&Grv^uq-`rJN!@~h6ubrf+^{F=xL ztPSkjngSq$Rl4mQK&)$Q$yv~x?rki2h9+-ulH#V~yM9m-r3be?i!L7I9HU%qq8uoP zzsH^1gcC}}+39ix*)if*?-d2i~%P5}3G8j$Pzr}_?uIo#X61uGJaZ9<>sNlpDC z7S0jg5zafu!i(sI<|Qtb*2F(sZ>kne-Nh;fUZK6>+c!uTy}odmQI`AQlc6SrP}KG6 z0KwZRqt92NJ0bJhe@YG)pv`>Q@hvOYPaKkY1ha`I&>Y`=S-o$#AM)c5h*C7!eLht;bM0dQgsc4X^hZ zi|oVsVHJl2ca%Bb!p1HmV+cK7l8Gs8YI^UZafY~pdM0%it@@UmFX^0IHi5=oako0~ zS#6`W+Owq(hO~QVucqK-oO=ln3z8pNiIx}Ahfbgls-hG-vr3RiU1_^eu5*Py;?ifE z!U{67p-WiY!HzpXC=)Me!pli8W=cQTnR2|l*X@HSD)8+Cz>1}saw`Nn79g)-|0Xac ze%Bn^6d?xB=yn_{FyHk24A;;w2yc-%xxEj}X7IQaT-+m&ttoEDj>01xMKYS>&d0sK z`#nbYNu-0P^o(36W$?C@!!I>KTwg}u&7e(2q4b$%Tq11SMeZuFsmK^HH8wlYxzK}g zCzvAng^1kq5_so;r1>fcfo@ep zX&)d3;hk|be91OpZ-v-W1ai55As1PzPdmVotrKXnq;Fl27Cfr{h7zX^SP$Zme!M2k zaFRL`g(Kh7h9VV-z=>-2ZA@wmhY;OSorQsoYsKKXk%Y868QBY|s{A~tj!w_PJG)9z zHiuM=dd*Tq#zC`X`sAgd;x9RADkwrz%l3$oBsV4~p;^wI-9scRkk0XqN7wNHj`!>v zz#tx&6Z^Ke3x9CNfH@`jZiS&%`H=0(_^A$o3<;}?9kUuMU>g243W_9}lbVYPm@n-k zZoWN+^idc$l5z8~9%?7>F#yD$YGikXXKaU2ytgDhUCMH$WyZY%f+uRsuIy)0a_xtD zN}Xelfh_347Tzx4cr^QamB`-$!>prgFiy41uAX!d6iXZAZjb+As>k6qRj^aL&MG=! zMrKOj1*C;}t9;l3`m1<--w002qS-Jv8g;)WNEIkE9V4WS^iGK5G)1JbM{8s`=}Z&| zPW#qv^_Bqc#jD3??s)&IlbNurM)4SGrgI*>q9JQNqG{k`Cm2&k>viuSwv|~%BB`cb z`2W7JaLQO;Y)M!~cP!CXPR3HuR|a@~z2*$_|FU< zCRp-P_MiAH36t_A*GW>z4Lfu^FJMR)Bk9IOlh30#W77|alHHdML)R-f1ovTImo#p1 zN7^WcGWfDKuUt14yOxRU z{6lncU#}wvb=Be9j}-6*%^(J(#I}|0Ll%d47C?a$ZH6Y&AvTau#5TMT*Oay`U2u!L z*M_(^atF4KmHJti%m?r+yienlt*y{gc*o$WyfY$!wA}#8bO3Z};~9upD4J zuA-@O$(I7yPv&>tc4U9(G|Kaj)QXwZNME8<$*hDA1L70-xPqvYCA0XaJ#Ef!RbRj8 zHF7sfvg;ZkGwIPiavs7u=o->SN#c^Wl?UVY6BrhB{|C9Nk3cy=pp%&d2B7g%72-;V z)!i|J=wuN#cC-njd_glVj8Y`T4?(0aF6m9a3Tv?>8NCcexjuqQC$)^pU1~Y*UaXi{ zLjJkIYTfKzw#)T($Msn2amRJ*al4N|uG4t)YTcE#HBgn=HZCxeN~MprSA<|v=^_TP zvh)xgjh(zRyN?3Oy++^# z;gL|;EhKC-ka-MCW`*niE`>0E(Fzm9T=0o++cMi|L-ZK#9?-cWwbPQ*xBs3cG24-D zS5$8ma&ZP&vNrNXw!J=$sDQe_;QKS7@1(F_u)>;Pm`S{7;PQSTp2j0rvexlp>9dJiBs7 zZ4D%c#>QC6wx~L#SV6mq#D(H9R*R8X&2NkHtQ-=__&QUm-KEcosPMA-xMqR07sqIS zghcTMajWDL8-}7Kd!fVeVbP`)3yj1)$y={Wq*)R?^HGg@Nwhh$-_=*NQdQs3@!g&` zKUr=8E7^GRnUCSr!e%l|UyZsgXDFz>Opc3pb4t2ZCH7?4gd5<#pu_;ya?e@C*$$bd%c@&-)(RZ&ln6q?9PrlrgKSFWM^npQ1y(a>6E ziqnK+x;jgYumqHA9a^23Z8yl*c6-*~rPpfr_h`+QRO2!TO1l$c)XjG;u2rUQfQL$n zXI1z@SY2k$jJt7e>p3FU&+?A@nR?Ggel=p!WY*e_LZCX5i5Zt zk0m#T5eR%qldzIYbHW!Umt#WkX1&BPlXV@nB)^}0h9c``jr7LJ|jDblfp?bR|=^rtl$0LD>YSu`N;129!`V2%53if-1QDA z&k9%0h@M+9*STj4!?%q#>ijJpB1hcwYVk_3{a1z8T&Ytj(=P2DhSEEUeT#7zlLS&X z1;}f9%4eGl7RSRnD7ISv{CI=jpm>|&P~Ru#H;k{pmqW)}DUBA{9lrs!irs+PBDGtM zWm_7!d{VpP;MkbFfjGayBhD+QZ(_^gl?VH=;T)zEU&zfK3b>@ZR!W2`X!l>K*L`!Yygc520Fi8 zSoT;f`m}SdvMXPYy^0M{dg`|ZBv=ea`!gUB#eTe-6ssPTP}{{W2vqZ;zm9%>t$C`b z$5`E_;_gc={rx^n?G?V#LHP6@_?0(*okX=AxgSlTWryy&*|myQ=HnPTo#g91NZ?|u zRa5aJ0t;yhW(Yl6>WkFK5DBBZw{c`oL>ed3dup}xZLbp?=to1lgc8UFxBn#8m0j=a^kYH$v}l= z&e*K2!!|~Jl`0v9a1dRk9aPIdW+)x+DF09@5k1Bkh7*MTOcZ}CJasc4LCIk7eo8tN zrk!D9iixMqwWH9&Sf>c~NpES)YMQ~8L?g|^=<^VGINGaRm;aYcQAtz_ zEh-oc)fo&yT2lqfno_CIoC0J3*4RXtnh)1>xEAt)H*~JJw4QRtqF0OmU$!Gd zreUVF@{Pk7hFYdMyE2WB0I-g5p8K~Aa6eO^ z&PXd3u^PJ3<8-8*ezXSqmidbwI(^w%I=?*aQW5D3s%y)FZK+Yi8JbjhqPYYaHYwU& zYR_}&{;jxt+=<0@@tP(~^3AU9A7{|#5<+aOLYK>3=TrFBeY8-Mh^YDUQzJMV<)eJMpvDZtfJSPKrhlvB%0v+_xdH#~ z;Ky-70)62Y>Aq6P6{}X0kh+Yfu=46aEP2})r1O}wa^qWcU7MbGZP!Vfv>*tTY7>f! zLbq!IInQ{SGm&l^Fd|7+`hll_))4S$9rqAvnSH@{7Q|_i@9ERIS4jEz9*&HZK=aVG zv32JRL)Stnsc$kt;B(7J`qfOx9mG|ga#{`v3=SnJyhQ6hz=QhOhz_WZp)$kCiz!wOqaSXjPIpEPgp)rxqSK$PLEe}24}Tj z57*bweLOw7{091eaqlO>4hC^@e7soC^p5;YWXmo>(luy zdB7o0-3Jvh<*G2ZqrvCPV(R%U*9J*)n@^=g^ala=G$RU|6OF$Ps;TYT9UYx zUsmU^T;@nSh+h;V?3q zNXx#`3Z$?V-p7NN)H}#0BtaG;r*Gv>gZczmTWu(42Hs_4hS->fRU=uzE?m^8iR>&_ zdW>Gvhr5E08R_jm0|_IfxDZo7Rw(i5sUMIYNAuFt<^z#J2@;FFdV@Z`K1+zqJK+HA?gTfq> zL}5!bY?~q=-f?V>@>*LqN>7D9FXWD!Y}MgaGd)`AnG@|a7&n6Z+>=uO(3{XfKRr$` zkx^f3Ipn;&C!Jk4)#P+6iv|6t0IxNJ>$-Y8%)(!lTQt{}a@CUZQqz2_=0;%euR*^= z5O`M6-a3^0k7{!b_Rsc%0^#zR%Jo_^w7fM6yA%&hQHYfeYA{k>{3rIhgv!LRafux` z;Vx+-LcWS8MKshvB40qh{QRRx2Px1{NolOrR%eK_Z=UW0v9i|64c}4N#bjRaw<^-XG`h3*+?Y91dAJ{Dlt;)bE&Gkb<(Sf`Zkn%ZZT`gWS^rO8u;+CFJw12 z!@P~>iZ};I>U0;Xee|WCh$47oKZRT1P5r1CwBTdI}`T}Q{4703vQR&xn-P2LWn`iHNW15X8wamx49hy4pBLTg|9aMRjz{eQ%=@- zc2Xvv9FFSFUn#K9gcXwHbjZz)6qsLPkrKs^AYjF%BanxXJ6KF-Qs%t0 zjvqTtJuENhRQ>I`LT&7pDq(1CKg(3m3EC=L3L_z-GAXiTO$~L-@f0tUh?xR8xQUgY zRtsZBhN;cuz#0&Wr$F97G@=ET`t(2;`)2%6s4VG7zd@m1n-iZ>h!=>g~cJXdOjs%Hd<&T!W+K>@hI-Mvw zjesN$nzJkGjAX4s2r2tlGR2kk7V+P2H%%=hjn z7fwmik?IRj0=v|ly!?(MH3dr)OgYm1NhAytoo2%Ax_>zqmvRv0fGn7_O<8bS{oY@} zlCQ^oz&Aij^j4=pp4K+KE|!TDX!wMdD>pC$EFPOSxPpCvf%E3F)T|%?gOkVI!a_F3 zvK9(8MN2$r2N#)}zV8R>3F!@9eKFOVFz->=LddX1G?nG-n*BN!s(B^agE$6u-wYRSi6*TRloMfh-z)-iBH4iF7R^BzaKa3t6NG4)niWET^?T)#GqrLgu6jvH5Q zLoZ-`sKkwkKM)t~`Lme=Bj{=-^k6*Qn-2>O-7jj(nuFm_+F95(F2SW_I8C#lRyNW% zwtF8;L3B2Zu16)!Nfy4e@|Y8A_{nvUpFNeIfOQ8m^0g4@a=+%lDCL1hQpwBDV>BwX z#C9IQ3oJH}%4e=|kA7PTgWp#TeAuGZcT?pK$yR$*5-_0A8mIKzZfusMoz}?$VxRLy z(Q`GdvC_8VsX3~Luxy~f51vk#aA7B7`rq_vvem|03WEgb{|8Uwx3J;s=`>xEroTuo^Y+Q=3f(XalMe zWl!)eZSKL;o?*>(!ZX}Q;-do(LT@r4XRhv|PW6*q37!=Jw@q+iK0Awv@0Z5)9Scbhp>A%1DKbw?|ILLxJXAg< zxHP=|QCcwPEKjE}{!+1)%mULcYeKS5Lzp>~qFuuF8s#HGenuhk%-1n)$&Ba6V38XM z9(S(X5GPKwCvJIK_t&p;AwwGJB^M9R&VNc1y~We>Dt00_6*R&VUR_u3)xs|Dq|bD>mLsBWIz6KK*758MXq6 zNd-Y57*fdLJ6yPfQ&8kyZ=%VQC#d&B?$l?J;$KdsmLJ?mLdDz%_62YUjVB|wRUo4j z$!BzhF;+MYAtpy72XPPzSNiDdN`Lx|bJn$@upe4!IR4JC&oMmYZ@BXE>v8tI9+k73 zeK9DFJ*nqdRlsKIqo(2=wxYz(mN+O`m@HXg;@4mBV7V3ML0$6Poe_)5yhyK;wlC#{ z4GDZxL{6K~8WpZdp=a*Hfzq=PL5#BAvxV-D0iG3Jn7JCE@X0h=dSGjO;(AZ_cx@34 z^P3yV@4#Y>@E9J2r#m$~k5R}XDJ}iE*qtjRmfY)z1 z;P#rF`R$t;Ida$Gma%WUuuM+6yn6s)xmZMUTC7OY-57oTf#9vu;$P8H$lot+Sw*w@ zHFl%nW7g_*NzRQE(+77s)x{7qJB=|unOVZjF=CmH6QeIKl*Qh~s$d@li<7o|?!=7_ zZjWVYz>YZO`&q*)X<^Pq3!iT58dqw<9I32aE8;DJp%34p`><|@5~S_ocxx}Q9~XCI z;p4LIMR3Te6&v;60~1V5(Ml0-O6~OC8*4fm^JW!q(Hqhg`K39$;9pDe3PW?w;AAc} ziA`%hJ~f%x7dX5UTiRg0)ls{C()M44>vbt?@eDV+sf_!Cx5_4jQy#c|?wfbKb4-5^xci1j>1KUfq(-1k#tszpt(26OqdVAyxK$a^uqN9t;*4uoXa4 zS;-4vS`tVj431tNyDYpj;4EkgJ@Zpwo0|LW}7`#!3oJ)XqhOH+_ zL=IE@RI51*l2fsdZ{z$1>*rP&OG#m_Wg!OS*_}d%Ely$Ex)F0B({48?lR}?iuS#W* zzIVryN-0fPcmmt&o5|-0ds;t;M$o>18P4~nO(Mk|4v=lY>CHun!``D> zljaLwc0OK2wd^nSud2qhJaN=xxM9+SX8DkP^Vu%RzrQ6V-mntvWvV{$yoS4ys>vWJ zr4Lekewf8it?yT^;>&QPy2f>H;5%+}MzW-32{y+|3^Sv8_Qd9#AGb+MaF$@pAVfxv z$LIX;%Wun1%6)QrOb#NIQUtlgi2fT?baoHn|R~gO2j(|2Scq}K0IWK-}xic z^ekoewf>*LOeJ!4HWaIk6 zcrWT=)?CaeQuPt(&Y7Zh{yZe4@^{VJqfS%O8bQ!heRLyVD5LB9Vp1nFJ3}-(im__S zqUgr@^#{U@5rQ+u9Urxy^`|LMmwQ)eyHn9^dBKhLj6aC~0KEJg{hd&9^F$vmd2^VK zrs!2lvh)te3hY0e(r&89nWsAw;$-Y5yz&@zFm;^Zb9bHs4jX4O0dJ33{w7#a%(_Ko zv1uzt+o_YnvmO}O@=0cTJebl;5X!Yh^onz((8Y0Jd@?!w5=4|-H)Z_%#nuC5y$gUR z8&~u4MqvBim%tXHBcI*la`XmRgJ^dqzSettk`;fL;`@H{P5AA3bNDu>CWoZvSNYw| zw{nVXXYD?%StaEq7Bu?riZni%59ZfVv46cVGj8Y~Cvn|uf9uPFc3|FH+LVuaxDl&? z9hxAqHvS`4HioR$_~`I)ZI04CV6RWqlH0mBz=P!9I&7z(iAO~_fo({y_EH2ACI_-U z6|cpHZKJVfp$Gt*T?ORB+%>>3B-)OdnIQx$eJ{CJf}16{U`5lu`E}zRFLLU|$;awX zTz`-UTeUeQz|zDTX}QZED8{YUVKa~qUwwF_9`y9@W7gmJ4u{l^l`8RKjb0CEU=%5} zZ9fyN2WoDpX?4W8Mxhfo>$cnxLV2NWytnb*tMQYG2Bhf7KB^DwPUFq#25AfX@QJ98zP z)RiQtGC8z=tAy4m3UcQ#Dun?mLR=|K6RD{ZoL_u6ZoU`jTZ)&9OsMleNGS?X$0SH3 z@>pU*-aPY7YvLWqZ|0xWbN_0tIiCj+yc+*A;oq`eVffxK`>|Xf3v!Q-hj`k@y?nTXdWvR&GP^8zd2OHJZxUPS+RZ5JE;ZQHowojZW5L z9k#Le`mKW(@iA%~Pk|oZ_AX;|r*b?SF+0Gl{pQXE+dIXh%()FvS`H;w#K%Ucn-x*(jz|}ZH~pMIpkG-fsTHiYOTz-TUm9qi*8Rc5O<(?tc%lfq1-RCjOqcLE z>{n?w`+y|HCE8KAlc2`lrH1G_F`f%_pY7Skq9y*x#V5=dFJqDthaQjp_ue4foLKq7X&XzSW&7D5#(RGi zFC&ze`+tzyY#e1Mitn(hK-AR;Q{rKL1g1sxynd%rd$C zPi;w_y*C+1r!MLW6vvB+J^*WsHo6{^B{^{2?H^gPHq&z({ga?>y^Y=Wa6;)r5Iq=6 zf9pwVosT@3Zi0ppf zRRlrrzq|p1dB>#mP9nQ|@UwDyHjvU3IYs$19=FvgL^1e}G;&^S_t1gpcSw}?;;{~`HrUI?diXmvVM#)TU>(v(2%Z#G}(mkNTjra8E)}w7aX?EQs2dRgH;AJeJc4fbx4V#Zvz=s$b;a*IP*NNlPNNm*x2!IrRjMSccYRoT zhEo(Wpj-Q|=)Cx!3Vjo2EYLY;?GZJCREXVU3WYB^$@5u(vi1MJsDttTZSMvB{abPC zrQ8O3)t2tKzJs&(xKqus6B*Mvg5)MVqYiC$;~ip7?;E@@O480^UfKaHg>tTipp~Ft z#!5*W^`<;^`)fW3;hkztj2$W}b$+`L zSNtqdL{ZD!zOeNtK1tUz@Zk&L>F%7sD%;+~S6snzciBX|2j431gJyTxLz-S4nFVYo zl+<=4rG+!tqSJ-sgJ(yIhMyZm zAgl`ZN~;Lj4(Ax!k&@9cy;Ga%Rl~_nnJl_+CaAtAn&gYJDtP~#eqHn=Uzm!Nh^9z7 z#-L3bD^8?~FLxE}+uB#r}} zl#(=75*c^4@u!BPzQ=b8=6g;B}?2%FHL)(Zm5AT~8dA)D=>}!J=#H-1dR)dj_4h{>dI6 z`G~!ADjkqQF}0DzkE1lxI42-E zQc_)|WU}r(E|voC&j|`~AHFd?PAn796S5Ys%EMmUR5NG`6xq6MU#&*q3(yujz;?_^ z9+w}yVjp*W6+MgYz+rjwx?my8=nlNmme`{42+iUxQWVd3XVE2=UC1ul)KmCSS{rlL z=?D($Og?!by&3@O;T;>3xn-0DEkPJN@;Ou^N6lAn5N|P#IkuUs=H;0BOkeqdIgY)~ z6d39F)6aSzk48{UAHyvW2fTCc)j5HNVY(?a8N5<0?}$xmHFI5PldgZB2r>0}b5M`% zVCJr3$&-E=(?OCleM}H>Ac4PRppua5Rv6M524Bi=;D|+kWbwX6({&I6$bvrw?N{iVnAD26+cegWEf zWlKwvAnH2M=7J9Zu&<@tO`I}$K`(tprS&a(V*U$SEZNwIxlLif3WQ8&Q#XMaKa-Jc zup)qk={p0ueHEmQWNw&q5uWrRf$`^?>(K?ywDDz7#!v%7Y^*~Dvry5Y(2}Yr&fdd2 z5#~nBCJWl_tWpJ;ihMa8Q_C7cvS(7QRk93@taGh7v1Yo*McZtz>#KSL+}>p}i++l-|cA zC>^fTcKug0(;uIq;p*i7VS|x-*pCp8R*hNWi>-e#`yt^(DWO)%zf<(K=ihT`moAqW z?bwdZpQFV3e=kYw`2Jr2(!hu-B<>qGGo0PiDokt(py2B3gp?v@!L+zzY{%h1!r2MQ zjyZ|FOLde>Uy+Ggf*RG^B^n_3zNDS@Gly;x=PJ8Vx0wD9+Dg>AO#IC~H5(yL4AS%X`GOir6l4F=CT@VYMd zirNG!A)~=;Ih+0=;0Cqblo#fF6~&_{>29o3pWS-2LFBqgdpwJVTieDrK^?$A5+LuL|5x zBhZO8o_PlEXvm6Jl#Q+TlRRm(DVo;PJW8i|<9C6+_wN&XS@yejI2wBI`+A7KPm;8o5fNJ7^IR?xLTE&D=B)5qFl;D8LyPF{R+13Wq)r7W@Jhp$kO=%&*FAUQ`D8P5Npl5 zgOp1Dur9K;%x|WjIPcC{kp6a6Zs0mtl#$KUJ7>QdOgDXB{@2HBHw{?FTK)`y?U7*8 zlIFz@GPn2JV3(IO2Ig@W=E{$kfaotWs_E|K9^L7;y=~Bj=ZhHwU}RDI)z*3+n;`<6 z31}E`n!mKUgeiRLM~t$G@$SiI3}mG=1=a9kky(xq9l;rbCUj}Lt+I5d8vZpf?7Iy$ z0l&oN1lypw?<-`96WCu3%M^w*zNycwGRbE<(X_u5hT9j-Y50%FYdXvJ>vjT(?ok4` zAe1LSH+h?J@U<^(ZOK2XZ%&)>L@2&L@%oD9(J2Y(oIUGIKwtqAj}S}GUIbX3nPv|d ze)TJ@AZzt+T#^(Z2S-ox{q_bU5_Wm3cZ>2)8=W!teaV|61d<)!?p3C-%nD3()j1Ec3_J+i}~D(%|Sn31-hmR z6WKC&Ezc#Sm$n_^80q4v88ugRq488PpZdHc@zlMg__@u4`X0mURjQyQAw}bS!By_m zpkEHi=4o@*A6dehx1P?=G4lO$z+S}jFOcwrniX6Zu8eUz_=I6aRG{HC1WJj;hN#6IX zTuLkVW2N$}d0$q+H5T20NkL8wR5f_r~@1!eSr8>E_0;*F6hq|-o9J90sY%_Q{NFF}h zA8YZtFfK_doOUG^E1Gm-&D4hPhUm zE~>A>L|&?x2;+YP{;@jqYQDEyq2D18+^SPoro-FuVhiprL_`$cfh!yZ0=Wz%?FMY~ zA=8}%`;l6Vo<3fut0HXVhW1uv$MFIO(o3zDzQUlL$&AKUQ^Q7RQPv|#)$-dWguNn{ z+L=1=rK6`cgu^z39`zrUb4tp*7m~#~bY4AYK{Rl*-XU^eVbemEZm)_X+yy`*A zcMhabv{GTX_e-wBXL>IQ0og7K_AnqKw72!l0EAYrY9*e~Wo4>||7OA5=%&r-k~@V4 zR;I7L(Qo%*a6n(K#S1{6Got?0d)d9ou8$7=mibb-OoNbAtkGw)y&Tx34*+M<0ZcFh zhib%MWDkt}g?6--_tz(`WbWyLF{lk5nQyIf6ruZvOx~AhaA>h^aBRz5vLm~8VG?wb zpjbD0mUhdkzVE)w%)3UQ%CAA9)qfv#1^VDgpW6%`+h`7_Z9 zXE3iEf-L@VzEaArujriyme*rmwV9nVjvYN4&eFvP%G&WQt6~FB#iCw?3^FW0L19$|zR&T}sC9#5FcuQ0p_d3#SbK11S6hH~`D~ zXHZ8yKP{qc3LNh|#&vckW%d_De?`b>RZ@rg4KQRS_ z_Gu6N^IM$s@ti;)y-q}95B9HvS6>S+1Dn^GN=@`>>fY66i5nk%+2ZFJa)Zx^>?3dS zo=p<;au%>}L+r9_#DnH6vXdGYAXYCMh!~Z8Cfg{o|5nsDOJn}W)FzNaB1`73dse|y z2eFRm*)JGs6vu9yJ6X!|i50znQU~3(4OrxH0y5o}yKyPcuipq*9g(ysR;SA6I16*J z@uN+nWuhF8rY#sh_%G-YsU)!lX!v$^L)*sLuVB)CE2~-kP%I> zn0R|`;XV-%NZ>yxX^of{=f%Ok8Q0*)=SAGe1yP*ohu5)ZK(s?Qd@ZHaPWFoIbgIz; zlF#q|DQ!C6#cRL;BAIx3>r&7hX-7%GI{v~9Yli~4S+kxHh-KG?-!2dI;qK!To+Ew| zae+yk9U#R)?m~jCEULal0v=LxY(S*q&%n_6MwF8mtcIn65q^ksHB(xYnMnX>_ zpFF7-89cSNNc48bhjzob%e`bk9F&3eqVSg7@`hf94LS0?~Jm4To(aoV{>lQI=6cj96jj$22dn*sUm= ztF69`v0MT6&0VJA6+oPSIdWcbU*6vO zrJm?v!u>JcLO`A=^pNi8QMxl}kx8S8)e-D7%f4qDt-vD&>JgNL>{nHwElAIq)s#U5 z@t(_VP@#Cw>21$nT`X*bZUfLvL;LPD{BXI+&RddJq(Hd^XXbt=mxdzDm;%Z>N$wvG zM(L)F>5j{CqJare8~ns$aJ37Dlz+ zdIxv4QOg%=pfx4&t#{^ep&m>3$1iwvTXnK@w-B;%y2FmxEA6R%(aSr5#T{S`gx#W= z{vVF6J)Wum|C1uQRmwGl3YCysZj&U+wN%P|?zfTqeN!~#R+0N%sE^y+??!XK&D~t* zejPJoGqd^a`}^lS-aBX8Kj-y+y`Rt5^L62WL#n>gbtE8XQ>Q$tswc9sBs&QeSEcCAo?q4fQ(7vwp>`*YV~$s}d_jhG zmLdOhw`=?_%cP(I|4J#R%N67O8|V3Znpn1|Os)6?C_QiED8F?wQh zA;V}O@%%_El;beR%IM1eQ-z2wYyNt}ck5iP#Lx!@LVYHOVQY?68-a)7^cO+8cD zocb`M(BNI=IR+%>o}*+L1$w1D4%5YF35yhZLEtiWQgrt z>5G>JZA|1*#9?TSQ``#wC?9@Caq()pgsDR^seg-~2Iy*_iwOzydLT@dLD9B84d!hr z%7sQ&8Qj|_G84zrKF9qJ{wxGK+8!p;z|lt08*FEbcY1meRZF*`6zLP*&L{EfIE|Lg z*==6tUsn_;f<|*xPB+wlRI2_ND@o4F>sRKjjWo3_FHcW~@qKS% zL<&DPUAWuFM5!>BSH$(FokRa>7t#Qy4T%$4J4h4UvIcE?A+Jcr{X$7}dsBhQzK``K zgvpj;%8^uuZ*zmPv>S2jG?ZqSFW;}6M#FCg_e^b#c8@l?l_NFE#vjlgB-H+eZ$Qsg zvDB7&T9Ei;-NVn#P)^%O<9;27xqV)CIJW-W-wXDH^b8YiWHxVPB+Fu z1B5y`*72NG-qHy#9TTp+bdlS9-L~|Oh%9W76`E!@nLK#QXVn_#<6f|=(hGeU^8?Lv z^IZ;_QAF$gJ;Q)lIrB_CXPPfE)*uws+cI_qCa&`t{I@&<8Tk;KI!p`4k4Rk5f9I)J zUp(=#ucdr>T<49e+|)nLU)i+E0KPAb=AM55!PLU#OLrOyN5krr-oEEAv3wO+yu6}} z6_$#4@?U0`{JrP-@qFK=yYc+MHxu@m7LUvO9Y;l6FXH|~PDUIA-)gB+w$q52R{wj4 z#N|n)b=3zw_vRdh9Z~+gg~vQAd)ns7)8}H$B9*pYk(B+s%2L1g{70#Y@!mn)l3hAi z%Jm#EBRwe*KD0BJ$S;oZTQ5OB(VRjpylat%GXu0-`5)ijklwH0)vnau>7pdw*_B>F z_!8NlA+XEFA<*{dezs;=hh5tH9X>4!EfDZO)F={20#T>6<*7aOd6f(XUb|44V~HVuvuv+ z*a0*ex{eYjY)W-D75Los{Wd&uZ~+VW@MnA#A@!sr!d>!#B^NTkK{n@h{|eP@rwsmO z5#mv>%x0&V+@+Hy;Pig}*9<+8W9SlRS z=$XZe@mMzNT<`Q#jFbMAS|MTeyZnMrJ=HT;e6Qk2BBKYXldcl`G}{uX5{6gT-P$Yr zmQ_eGI-lvJS@E^0K!HO`YVt)xw#nZ=_(t>tAfqb2G1SJ*S ze;=lixzB#Jhf7QxM;tsn*q8iW4aoC?wZvw<&HB~7l14Qtx9!rsk9_#{vYdki?t#na zB!#kB{XO&9no&N`Yt!az0*Z*4tsRQ23)~wDI$FUVB6xBEvXDid5flyW% z1ev^-Wn~QoU&bGdIS8!IIe%?0oao+A>=$0s5*%M`kR6)2QK5kKveZ+iO&3r|#Cp+cmTVxmV{Qt?C@I=K*$w6riXk|! z+On9Q2+suqL`$H(u1Z!Le<$y_#90dt{HhpSY<}rXZAqj;CJe+q6Bhr;4ucktHi^9I z`p4w_g_tGHr2U*9S~s&5<%%B~;D2#k{pZ~?ZB(*kal=0ZUvI{R$89$J2Y?G_85Z## zDDY+Lmh}r6l{~(|e2;QTLwIsiBEtL?;YalD!y?x=^J#|GZez&8M$^T}yg1tX0St_P z(+4vg<>r3xR+ID6NBA4h*B2U5_B1LLx6cB`Xl}hFrR!`4VhiY@VAmwiUYxD=njqfz z;6L^Cf3$P}s3vr)H5WQ@|IpPrHGKIVLKbr~3^CyUUJ3(7&o~PF^xX-w+tUdFzbxFU zk1A5S-YFUS(662B^u<{sh`VdW-wq+9_<3+x>~+~RntHv3I7hebkKgO%KkVe9 zfn?1}WV(`^;!X9~sZ@ZvY6jus6|JOSuP;SAcT9wHv2&1oP{$)${M8^mna&=W z?=(4LQD?67M=d71H6W3%>rl24!C*!ebn%$iYW#~Czlqm^kbNXI0q1sAc*}_SS-Tqp z;SY=k@ONC^?Hh(X5=|#wABySYEJ{E^{#*(c+x8ajI#T`CwP7bTMPie)tmpl@qKIuc zS``=zAo%I8sJ^0Hu;d`gRh=E-l)k<|e3+`0%OKm>-Cqv%lSn6Qt**5?3!&Ns~ThilUu03_^4TYA6f8=(Zp!pBY(zj5s$xkzZRfdh<^W;@Hpr8ycZGo z8?U)OdAoRg!nt7iUZ*4VH<|p0YMMTEGve-N2NGzZ*Xx~%w}AgW67+5C$DN~kO)6L} z0e)qB{skf~3OU>vId?`jp4XJ^D8&VRQ>izjwR=E@d=X!5QP>`|Vsa{2mW|@T!khH2 zR{T8+dG=%>@_gWO=009*-_=+p5&at#{f5Myv&0fqW5?BXHeurUzgO zJ|$FIIpd0M8|O$R=kN}A=qI0Z{KB76yC(I$KF*zFy@d)r4MigUQdg+kG0_`|zmZ2c z5@6Db9+tXjAQLLqz>EXa>X@J5b;)ldLW*HIZQ<*Ls_Y2WoVGG)3N^fc2$WO&|DHTKz8J!d6< zp{g@uiHVHAHE95Vl(6eTNKP1_ZoHjK= z3nJmJ?@xb?4x3|>aB)sKwEa-_`hN!Ou=>P|7ab+>BoQqqvC1FQe`p^fYTEX{tx`ie z9L;Teq?}l&Py5DS^KM#rrT^pw&EC;bG<&Dfk(QE|8Lwv)3WsvL72g-*27d+Eskph8 z6nqHoFS^fXXr;b!{^=bb^&2mw(A6kpI2oDW%y>W4uQNZQ=v0e{6Oa9q#ft+F8x6<-V;5^BPl6i>!=tMgpvmP}C@~D0lB0fqq^Ztn~}<)K&tZf5MVs}l)p4eF&C^kIM45q8$J*|E>EgaJI{>> zT<|wN^I*nVyHM-2eHn)D5RN$co#3V45|-nxDb+>`^6=+!oTO6AvA z>CM@}Q;(+)SD(NBhi^@}+1j6Ai3+g4f(dQ<&A;+sK!W>pPqR~7Ov-TF1#_q~?$7(< zE~YE8W*(u&zIEG-Nor6`JZc{4^5x;Vh(-4!<=Yj_nZ~Wd+_#iN=8nFA6p}fQ zn%p(;N=J-YZGVf7$L3rudMZ-xSrOudBKIF7597B>9(;Ib^?4@%bkmJ29X%0Hr7WeFN%zl~QwJrS()s zwwHl;nVLA`)cc=Ft?nz3z8^c2G^n$NR{|$)jvPN%!eJyG%;tq28AR=7|J2Sxa$ef{ z<(C_ty)$CmaFg;|9+ zwV%Z_h**`0ik<8#^1O8e(a-Z6ET`%{Q zTVp3yRvf&mX37rcRqWj?W`UeAoJuV&4$TRDk5lIJdrp2P|I%3sch{qtxKrH{G@D%_ zC5ms_-ydl8>G|{Z`?vBPd_&LYlg_&S$|9+;rQ3O3?+o=*5bNqIDi+95bokqYY0ZIp zkB_7xic;5syzmIUCgy5!w9I;0Xt}$R&Z>7=toB^rz)AF+!TsO|<4mC{)0-Kgz}4Lo z?$K+WT64X-<|MP?!a9q$IRoUMWx(cRL8}~iIsnaUEX1Y`HY-z+yb9k3lv5f^lT-Ms0FA}$TI#D3Q0X7z788r6IZM;2a`BMw#Un4E-~$Pu8D870!xxJFvLAUk zC=>F7Ip`0zq$OtEFk8roP~k?RKZd4!RD8JizeUm?I-`HzKA{+iu+WgokN9p~)3ts`0TBJOtB0?s>{A2yhyT#@q|K##Z z|FyWT3m)c1);k{DGQ0+sM*f=awss2f+$5YPY+atqHC$R(plXVFWUN?DRBV63hIH?6 zn%{KVF{>s$7*y{O6f!nzFpOPj6H*ljaWqr9=6_^74-gN&y4iPZJh4IiHiUnC*-PO& zr`Mxuzr+D(W=bD(w2Ncm+}siUM(A^F#%;`F->9Vc zOgxJMSf+6B<%E;rTJZH1Xu$GO%NuFQ$jvVnM#UQxYio{*fqv>NJ&0UoD9gL3{dIoR zltfZ#)Goh3_)y@@8tC&;$y1=hFn+W6;RWTj1O>|6vtrVpl(N})(ZQQdmI^%VZk0_# zf%$71N&YLja#eFXSRI;o9%+OY8GmXFCY6I55`OWJxfRr`8_%qf5|!RGll;LS7Jub z7z~;GsaoRU84LPyc$t`Db|DB8GS5P#C@OVuuRd=?pFX*^wQqLOXJpq)=^FZPLW*;` zp=xol&w0&>O^b_aGwV-x<&`-##Xp`ZGb?q)U2NNPjN#xX-vtx-&5X}?oe;|d0BQom|$}3Vn*as8R*%(TG7)RT~c4>35n>kt>T%*ip?~P=q1dodxk$+NIm^m zTZ`Uq{oX4x)q2{iFs7}M9q?9vMlF8X7m#hsd)IB#Hl)|%T+Zs*2jboNF262ogo`<> z8oF7g{V~XzeX%D}hAF{QcW_cuYKwBM<5L{|Fo)CH9XBecr4}WZditc~Pz7{teh#cSq)eEJ@%sjtW;M`D`rV=ZbBfP&@bu z%X>HJEO3~gON9(6g26-5+0#i9^VjZa433|=~ND%ZfZ_zpL$GLnQdb$4g)y= zS8w&jzoF}3Ozbi$Vhdth`V{-x)y(6bPuc@K8)#LAQ(@1rdl0F6`@Hl4>DnG!8sD?zBgEp!qF7fRVxP4(WJXO}Iupry&!3T1V>wc~4?7R;BmJ$6;`# z$z`FnOR+i1>O&HzfQ!-xFDRFqaNUM^1IPF%A|h9_ZbMGpRe{qTNx| zg0>5;joZ7rGR0wM(T@v;Ojj&@d_zS-4&18n*IG|%yPy`2j#P9FCJe*VIofA$9+(vy zIF&gnCY{4()}}mNQ5tx1+zI^=8JcP7VQ8O4+PPlYC~x?i8RL4#PaX&jWR z8t`SZZ}r$rLk#heli$7Y3VQaBziyUixwh0ty7PD>>yL=B`syO;Jb;HJypK&8CpyLxnoyY9 zK#;yZ;=xxgV74RSU#RwlW13dJJjC&Zb<*{rxi1;I-TZQd$Tv=G{A@Bmur48AhON#E z@fm(K*AXSTauTZ?e|n~XUsY#RazcmiSJd7bg5)Gh_exc;1%k{x-&))5X|$wvEoZlz z5%XU5O>ZHl*FDvS!-$zb;9<+p!Ph)lWKM3uqPL2!*OkTA`G9;h&=a6X8Ku*>OLf08 zbs`7Y5UtqYGr>k;6$Wv53kiGFv*!;(3DyM}w*w@7WaBg{kp0LL`?6Ei&kbAKl)l7* zjD|-e$8HdAv2Y7%ri-B-Db=EaQ8kFRj{%I3u4;7ewqH*hRZyxzJ9zSCcudL$n!0;u z$n5&BCP>?tu1mO1iH-WRA}^{q4qtUu;owknvp>lp#C*Kuyve{m>cR9eFNQn9qdSx)dR`LC? zV~(gD#XujNaw9i4oWm9?Hv(5m;uYhsD+UIDi>|Kzhpv0gTg+1zY{B>!;7Lo(4P4`k zF5Z3YNq#+U);YOuo|$r1wFULs|5YS=c*S6gE@*yPyAEk$s?ddljX$5R~egWp~mc{FTnI%bEL~T;~hd_jp|_z_qL!~>eCF)fyJ3H3D{6)QTu^Az4W{- zg{?dhL=G2PRMSAgd$%7?$zq^kl5;=Zl?s}bB92>~q}X`6MQ#&uz8l+*O5iuQ-YYaY zgV+)5d@eS?xS)6e-w*4 z8gn+aX_*^;hmTnqVM^CjL~2K6)Z5pWYyRc%O-Q-#mo_5uaLf8;M3$xUq%ZTeHQZ;t z1O~Tl;x|{dvv+}ECwbU!3iKFwV`jXDzjgR-^M8_cH?m>KjShebU(xEHSCR5Vvbx27 zYdEv6o+{DqbZe8i@Y&L4>|flqpSqoS%sU}3RN&Uc2Rsj!lZn2o~>&^SWKc}>yZmfr;K*X7h>Q><+>+=u?$0v)-| zX*=m|;8VqU9`ikfMxH;gHn}t6cHN z>C-Ky*+FMq95seFs7rrW1^d1IBBp)juJ)Vp{SyQy9L`~Hnh23nQ0#y&IHig?_`~zh z`pA>a*@suZf0p@Q)*MwF?_sRdBu^(Tm(QY>; zJAVTXvQZD6m9q9VuM46+`v&F_zRU?@b{|4k8WT(s6uYAu!W2?Giw@}PGBN|c1>d6d zK1C_4rsircKpW;cSAHb;v!}Bwr1qakj9vDNUd?Zn?xoMV<85KNa-O@r@hw(k&b|%z z0Gzv*xIO40UeYeK{?6^(;~t4W70DFFj+JG|*apWYba1%nK>}lX0&I_?JSwQsbN2a- z5t;0MW)=qKJ-br>Hu1G@FMC&I(vbQ}rT7%&X5;Key5-#NtpUe7LV+_E(W-C2A@P@j z@k_k3oB;%xGaV0>?QrTl!QmYJd-K;mZvxX=I3gXLY+nR&MvhZgn4X)!G|bo}U(U0X zCM^_8NAjmY&f@N9zH`kvt(+!gK#CV{aOlGI?is)}JaZzYuPO@ikjF)8_{ARqU zC$x>_clc+xNBQbsR38nsWPkb0P7F|_^VY6Nj#4Ioqo2USBO+}bFAHpm1w}4}KYM%h zdX5m(V-tWW2wa_9Vfn*Z+-C5Uw48O}Afu6}@^=5aNOkW!e!WdqwSLVc2E2#b*V7r< zLAWpbhsx;9nP2S3S3`WfypLiYJ>0o=O9xx^$hIn&&nb%>Sd9*F6|{D&(YM}A`>jc4 ze7v0aW^&qB4HntUoK@r+*OMPBWw+_+T3vV1?{n5wg$=9HOZbx|i7i;pY$H*5cT zbSPra@!1PpNm`#a=xk#A!n)lpTkLd#Z37L5BVQtyi}eLi&>#~_GT>}Kc8jHMnB%5rr$rgHU-X8|17WDzyRg^ z;MPn&A?sCul;0gaRcS4a-mdGoJzaFWm3xveSF>>8l2!8?1FRwYKsqPN{&neOYV7>b z5P13``MBBPf)~Xszt=lkP@L&N2q}x?3Fx@h$aV7{%iJf-x0@<|3QdI8D{aP|2QL;+50~l&Z4T*~s1!QICXynXlhFtPHk;<`+gbYubh3!V3 zjS>VtAU7*-FVwFTZJr{Dy zH>lP8jIws%8$=Yk({q6#u#)e*^r1l)zIfVjou9|+E41B`R(;Xhmrc>2+P?))YgQZ*jb~wJuCaV~dw7;Vq8)7);#>k75Y2s#7lj6Dsw7LcJW<#8W z_iQpEvftS5c$~2J9GqnD_{48TVnzQca)*L>USv(EPjjjWFDNEH2vRsmD0vuHf3~rN|D1gHT2E&9ksQS3P`R;g>+__OV(<#qlE?z( zA4}DwR1!P3nE~-3qNi)xbb&q^lw@g`y*Sw_%90pCwAUd&^@AQ z;Po=^4)?*4>L)#lUMSc0nu0bdOdDZWpiv}zII*-71S02^(p!bF2vFl|o~B)7b+x~R zfMfVkLZ662_> zveZxI?~uYw9kY1`ZOh$a{X;PzUHlUM`pe)0rFsG#B35yO-eOUgP33`gGp`>M_{Xeu zNGA69@sY}lE0Uf5JEzVw$11>$_3RDpE)z~(OU8W@v}@y$U8A-@tuDyFYxq|L9kfjh z!$x6GzR2kJ)X78ugbIGv>>`y%-&#yRc4P}}eenC2EtWO4f;%`4xa8d=-R^5#nYg<` zcHF;qM9v?TaHzWqaA&DO&ijV|Jg$N)PAC#y|E29n|j+Z9&d ztVpd4n){Aiffp6eM`>9nIgRA&!y z?&5~)PV&!SVxi^U-4me@iBsVaS)2Wm5hXB-TOczF#^381L~kpOzHH;3ZbDZqrD6)4*`^!08m;WlE|E%s95d|B-NVc4Dn zikCk43-Fv3H3sdHm)hkg6g*8SX2MLn3WmzF@Plgq0!QD|CP8c>@`cV6dVRBKWs}9n zBOU^Xe2&1Nv&+MvHiG$s$VV(F1E|GSSPBo}CSnOf=Hu#7@qiItsG}B;FI;oqQbN%(4p-?M*E?vlhKG_USaS z?%fCnPOe>`>V3uOCAlYE0$g=7i}%j2X~!Z~B_3(_ML!6ckRiT{@P8;2sm;TiTOJy; zj~}m_(}=3>M72Iu@KL#|GXtvUhEOb)`kzss1AgoRjp zPNHW6K_%k~EOqeyG256h3n0pXKBK?oIkO4{uYOS55eNSRH+KTVJP*P>`0Je8%N5+n zVM8GwB*%+f2QL#W-Wg21w3MR92e}(JY6mi6n*VpEATQXVh-_x+)(L-dihMj^{HNrl z^Te<+(euv~aqC@PLU5u;WnvI?=H|Z6ZCG+jSGc?$&5?k z4Aa0Ja%jQSD>96u{=}dV%S}@Icd{U8$J&G?1|-V7w%XHrp~&a+aSW#M8w(>+Tqvbj z#Xl#2VT__UM1ndU5)2lBWbaopB_(Fp7mX1^nopuqnt2j6Ns6&&Mk!hM#8xOO z&`?S1g6qs9p+@()=RfaDBkD?I%5r2N`;?nyt~adow}8xnnHyBq2!c06f>AT1;)kD- z8?akvP)mGT2UvacCr-Nqd1YyD)IX&^JafG1pn(q7QYoAOW{i&K8*8So$~$inmi9cfI!bl8(h0tpH3O3= zyq4cGbUfDsTU~G5oz~r~j@`F^q3A?u=QyTY;qO@?Ti`E)m*lNZghR<$-dYx9j+a)b z`vmpVEZs|RjU(=rkZfeFexW)iX{f#t*<*IfZd2}6O5J&TRyb|$0Y6*n3C|p0QU?vN zI)pf(NO=!679tcf<#r1t3-Prl3C7U-J5?)wjl|j(cT;)gkhJ|jER<9p1S^fyzd?51yI-2q1& zvf0`_NCSK7)mniM40EIeI{EG%WEk3->Ld_nQ~TbIAvXQmE0UNH?*s!D(_ft$m{7H{ zVrATGB!$F^>*3hPZePQMJ-!$SO1jxD%N+cTH6aBFZLN4~X{97hJeq}ud~&Mar!R<^ z1p1$EJQ%y=Y7v&#x{DPFDJ@E>l@cDh73|n<1itM?WtZ6itO8^lqi$PQaDF^sj8HPV z&YlWKvSJX>J*n_R1ONDlu4?e&OH17gLCvk5RRnjYUrva1O9fp zJbd~ePRf?Ktrv57)G)EP-m=!UfY(@0sj$`DZ0?MSiuDKQ-h@p zZ2J=OKU6Diov^FGE5{1Z^8YOnU+4~i33(mLZ&7Y_5ns!nd`I{5&F)kF&SDa;Kr}LL z?Kzb09kwJ?wlzixTORPzCe+LB`Y+r)Ve=AjTrS36rW7%a)s}{X2%a6_`hx(}x_BSD zVV|QH#=*ZqzK%GDhH_MN>2GS3#YmmKNgoKVA!TmwZ4eJ|$AghD1J*!#)O)CfAj~qj zjZFnE_Cb|VUi*wS*bo9Z&zQX^X11b?!<-aB z{rc2^`sOAH1Sl=hJhg#SY{G5AN&8IVngHnbWRDsUm$4iQXQK^b-#{?=v`u$A&`7*IvYV3MpG=BUCi}p-Gxih9TI^hlmg~zVghY{O|#_c(1}R z+Uhn3p&ARAy4)ULy{;y55&*{nfULeF!F|Yj|5uDMFLB7?L7M-B zjSTn|?u1R2zl>;Rgq1p{nvxr9#K<0o`5}*73F*oeIsWXgC^95m_!}X%a=`s#?ek-% z)2j)UxXr%(<5-ygU=_@>Ex(i=v&d9BG<*MdAl#KM2EJwf`lD?6n~c!C82F$eL`lD& zCu!Wi)$Wy5;_2kHP;CEi7R+G>6CZ|lVzQvP%M1uI;%fz#uH3VjkKbTzen0@;n?LFJ zHfIGSaPOfj=WusW(N?KzRZ+b_<%giCBnV23Wm@!iX;%x;qZ3}4!wci?Rig=0(T?!s za}*OB%5O%t40$x;UF|r_J?dySCwqVhY|9+>_HAUq7BjPD$MtU`-zPSo=uHQRBi~_o z+swXwqUq7I7l}eic%au}jQ+gc-RrQsh57U}xhiv?bSQ)b$G+kW|uIBf%Tsd<&IjUR~dy(Uv%SteF3Pg^*W_89GG$m z)A&CiEAT(MCXV1r;XFKdIHkwqm{DAhrL|X3ITxU6cdtzi_>8E{!tl?NBNz17KJV9E z_0y*b4%Qhwe){co3Ye-ekS(eZ`kCm#s)_E|I}}E+e=o7s9`GK~rm??8{;ha9%u<*D z5EXo3lY6nYPU`e3dEGT< zlzP<6o`T|>wo5|7x7SyRdpoLsefN`X5?b_S6lYKI1^SgaC*}1LMnb}0e{@qNNin-s z&nvmF#EYzpbY!{*BObA$;-SE-v~d!E7IkFB+J~b9>4Sd&t(Z~e&_?sE=))^OUCMp5 z)J>K4VVHKt=}m&l`yHMUTU9#X=fLwS6Y?FKlFO3+3=GN72PV{`>6|VriN^?EBhI>y zkVEM{w4@}Sw2Qs}vszep^52ukuoS35=M0o$*xqLM!A)22_OojWV5TWJ8`<*jh{b+n z?EV71N;iywO%pt;Y4B%vPtv-X-|zi_FSfV`K#Ce|l^6+~h528^tkNi>H4o(LeCq|j zpFm}<+`1N1>3YT4hwdJ2Le#cPXI<#mgK(9L%>`=EBL;z;N7jpeOi&*ts6z6%FoX^- zb8{q5itb_m({o0i)3<^IJ%r`8G3&b>-yc=~#d?=+w-`o6l1=7yJGU2kx6bB4nQ ztHt0y;IDo+F;yP9-e8Z*JhOJWFwrKx0Lx)=V?eZV!-iOCh~JFg?_;}{m8R)F(5Luf(=WCd5@P)Ee)x$_ zK}_JrYp8xw@-FYfbJD8Ti(>qhbQ2+$4-*w8R8UDwLWb3Kw*#-lYkT#rz-$ws`h?Pf zV-5Fw&Vs)O3s3ie2KQdoPhjg7Sl}OEVGGP9O~mEo=GTPOe16;V9oHJBHV50iP!|VG zVRREitUQ^~WhKAL4gL$&L$Jd9kYYGPxm`l-zob=D9P2d(y|L3 z>IYgOoR`-FLlow^GmYj2o$cjLZ}OqYnd$>b=| z_C85+ebcsSq|5$>MSA7F7KnQ-1JZ{?tSLv~tZy(pS=js(dCr+YbcSCigc+nVXnioB z9sK-dAHG4XzWdN^?HGA5H0MHXHS&o7#eh#Ozoh`{(=EEQiGpCVu$5ne%5Ff{tCiH= zuR8uU-m1e0RrlxyYfIR$vC&L_7Is=b7gNg*6XL1UXwP&CNN&LsE%X4aM zTfIQBE2~4>P9(Ui&r8H&SXPAraeBqto!feV&(B@Im{Ode`z*-nik!~J-=H+tX)1DQ zW7fBH>$=Qi3>oA+F8uWqJo?Vtc#s3?=4bZ-K@=C%!rtdlv30yVP1<3Dv=4s3Fv8@^ zil6u%6Zoko1hjlqN_mGqt<=GLT6t7xOfQ=joBL!j3q4H>Z+w0tIrXNUDJ&1fqL*Ip z3!rCIzr<+OEg*fu2CK3ZEo^un%3H~NSeJ6%fYvua?cyEOtr0bCZCjaRKJcC})$kCg zYWT5Ab^^?u@k7P&@Q;1gf^exolq_3tV7me5<^{OPON$7Vd6thB=%>lHOKj>P!R_(# zm@Im7Dv*iM?K_PZao0GC*`{#biJfcTTT$R4oIF!cs)SJjTz@_-_Qem5X(+~;Xu_kr z6+$xa$7?>a1s1zX-Z(OQYs+L`_G3`@!)bEu3(n01t=g$A&*T?~2KLLO`aRTk{v#`B z<(X!q>Y%Ge9$Ih%f_MTqIU27_0HZmzg7+B4>N-{Bx@FE5ULAe>-1kXm!LPw$zsFRC z8=W(c4#yJF$8x%8op=O{_NI4&>jmBQe=w*~(%^~F0gaEM zOx|s$w_lg~$Z{P+&3@P#&yHjt9@}MDriDYkEU2~GG-zVdiu*hw+)Izfh+e*|D@H8S z9zkrjE!I`KcP$%i^dAPjpz-3Yhi9h-edb$+)}e*O44QzgiJI0mNYw}C?RMnLx+(k$ zOtJKSXrJYZZ7iN~<38!5daWp%O+`MN22aW8Yd6UKC&ni zr@n}UMuwJQ@mktz(J@^dp&{N+7Bi+BOPf2R{%8RQQeHC95*z~S^Ic^l+4=QbggP*_ z5BkxQolIAeacf6`idK&1Q=R(v?d3aCSW47)maQIm*YU`{%>0$T>PN!OA8ikJ3?UUhk5~H=Ytic+=dQ6fBN=at|ZxbC|Lqo0^mu>e9Cr{wsLK56QvtbOmF`w0m%-s7J#?owahxZ~4MWL33w z$vmjx2px3s?^yPCykvD21;n)`UPCP2*kWw0JHPSzy6d{%O|>6VxzkF}*2mUX3U0`- zEH~6H13}Q!{D9vBqS5=Le~XX^h#sIs0huOJXAkv73Q$#*uiH#)D+^D}aJrHn20vRa ze??MilYA2Th#!TLK>X^ZWzvA1o_Zx&fHsKkFpQ>Vcu zh!Zf^5xF6=*H8=ISg)tV>|HMSbkNzw zmnv(N&@#)o^>-C&+AHMW2y^$NRU+&wxq;kDeuAljEC{B}5aorr_XK~B24EBO)s@T^ zcQc&?J2o{}g0j*=ur*sLV{9viy(|YM3TSD%1%xEz23da*+>2gsRVNvgl173%1rO9w z>rRM!r)|rEFHEKy^}tyyhZPm$QS1A9rHPpjunX$FXB8-^!iMbn-yW~l`JcQ==Ln>3 zrGou)cPi_UJ5b7f>zEyWwKn$Rt&}(BjpFpRc8AAr#wme}}>&C+j8TP}5 zCPVqI)}QOxT|;ZMM6!c3UNv3>^Y<|iw{PqO|B9OXb+_$qXcq_A8Z4awH;V|_#dC5` z@3CY-?qjI}#F)3gegjZOB; zeoFoQFd`#H2eA=O;aU&jJAHXDG}DHSst`-vCe_%${|=elB;O?GotgdX&wFeouee## zA7c7Sij*)_>kR^2{y)avJF2PX3mbhch>8k|f=E*k5osdQ2`E)TML>E9QbfA+mJk62 z6ancy5vfWEp%Wkx=`~1807FDdfCK^r5<)09{=WO&zwes0=B#y+oRc$W=GimPer9h* za2B!rRcb^rWY+F5eKTQ+pOx!s+>=i@hjX6&HZY@+HURdc%m;SV$)+{JS-qBYeukzQ zgO4aanrX@N%tduYnAXCGNuv;wH+nFAC#K^!q{DV@&-`ds!eSi#qdMr6Q*JPuCtJtH z;ioZaS6sjv_>>)w5}z(QEv!xQ8vRVJ7ooIgFSi-lXt!x6Z3xnA`P)+KLeYBKXtG}N z0+dNN)Xh!^-Ze($yLugSP~%@>)&Hz4CvxhdIsIu^lMYY0<3(akQYi>%a*3BICUARx zYvW|yl>rT?M0%UJLQ>w%!~bAeg5gFP2QKW57I2j8+d6$KD;kpA&~A$(UE0~Jrnu~J zQPJ~@XN}$sGavDv)Zn8(*JqrR8f8FrTFx9Ek-=xO@^#aL5X#4E;^MBKjC#oKl6v#x zNfFI=f@j`2oR0hW^-t4zQPI=OQX;2SE4-DZjtSBPf1=*$WL!UW`b@m?@yC`zCtp5z zkauwoPLH4<2q+%B64)o0u+H35$6oNs-^-xps|K!)tCW7Fyf4bVV+od)`CS+QAT$nU zqPF-5l8{7evS3KO&t(Ev7}25q>#aXGqVluc9t6L0=(*TIk0-Tvv*F*APqEq8`#(hl zK{P&5yDE3stzs#jsF1{m8q zs{Rc}RXE~7pAuY<-BlWqqgP#=upMk-xE{XTZwODQWL*{epP}1vqS?@6nW1`S>bk4d zdUed({d)f=ea}Ns7Z=>rCgYAD<#FEy`D^^q#HmnnUkbK{9=-?uPzZ|AKhFaKVlQ7q zDHMKzVdnRL&FowTGP?7eUN>iLocij^0sHWo*WL#nhuM%U%~JzMO129= zR>SDwY~SH?G+&|iy8h5W)BbLO_P-SaGbcT|kM8|EJb`uYt=Ue-mV-Nij=%I7Gf>=6 z)Y>z$LA$l_*iTZ@`W{Asv%k5lvMRLt)DFSpt5JR}aALR{G;Z^>sTw$lMonC0G z&a23Tr)pB+Du-RWJ9~x9ebT`|+Ftmb##^AE+^aq7!XNXM9|P^>s9b&@n?hgT z1X1U5Z1C$_zt=IuP4fg!j1QD>*PPkXeW`n9iV+)rJ|X9vnTG3l?(%^AQC`E=H%9*E z(w}2~XWCEHe=`iVN=DanB5Nq`$!cbIiLn_}jhSSOwhHV*jZMlJboci%bJg-SQ9&f+ z?)YSV#F7Dp2DgIWWEm^JV3szY>JD03TC)A{2yx+ZymJ~ytyW~GsvsXH?^8Y3WLD?bfQRwO_6uvugUZ*j>*HhfofnrH~Ln>p%L zC_ImeBW&+?w7zFPan>B)3Z)LMTGJOGg-Y-lveqJR!c+#M(-DgNSw6fk6x7zDYwImn*7oKo6z;k&~lS|uf;RiI6#5Os$b2pPsa7p=h@Rj!G9(-4~ z!$w}to;U>yb=W9BZew3<5!Vjk3aI9I5WDv@a&e^S+A03))kl>rLVFHg964kD{L60z zA9?0DuyZkG+lTv~=nxLJ^j>TOGI2yUwN%`TcA1;BGws8oG?n&-fl~_WzGe{xO+D+K zRaZPM92-ybfL+fvcyVG|te1mC@lT`H9(bOT>$Sj18=LZ5j*p{M$L6ympALe5%&bJ| z?&Hj&)*d2p+}Z^Cg7DrQ{A^Ln^SyO#^6_?>Xi${{>}F7+LoAn?aW&*tP?SU2`46rt z=GCGfz!ud$m(=vCeJ-lyT&U8eqrvyPt`SIJ$vV|628w5`1+=t4BcW0A-4$*|{+rk&11EFFuCPj8TK$UOhYKjMVaNFF( zJ#tJxDn`!Uc1${hM|KKb@#Ju z=k@X4?GgsN#vek9^RB3R}{g!W0bWE_^QqxG#TqsGDY zq9mgQL5USwmRCCZ>EjL5H(+pM$&g+H5Gf3(&yySwR$2euj_V$+`BMSxKv+V$>ga^i z;=+FP6GymeND}z9Lopy{)KX%?v1+01T4U)}*wJnJ?lFWmTRg5~w02oz1VOg>>e1uc zEpSz%LvsNVjrlSAxcMm6VSw9)LpV0T=#^jsWiw|)S|7=KGMpEoP)r#kGxJ6gMDS6^ zX;}`W6z>8Dey$c^wQuKlaL+2fgsa2eww0X*+!U%kITMM~y1xO|e32_$PW60Sa7II5 zA0R)*&f|CYbHpu>(ysf4lq?abPW=c<(mYGM;CLiz2`Z%bDTPH59xXap(JChet{7Q} z(o$@F#2m#!jajQWa7G|5IMUWf)Nv2o!`))I+#nWYbFAfAHQ*7ihsFkFt#c5aw)YcV z+=q63hY^hfNZ_VBdCu@ST|*Ur#&dpNN3+?$aN1D`VnIm`Hr&kj4sydjT%JCob~kYm zox@kiwVe)zUDV3QPZo=BVk)oNhzXX@zjo0W&WSPkBfG zlQU5`!Kie19`Yk3|9cr)fdSJDNo4sy>}9~>+$~S4B|2p;Nzuw>Zp3Ih-4k4Lp)|f= zKA!bivDVH@Sv)?ky++$OhR*BZ?&f*&1qbPxHFqF55oAx(V4ViEgLW+4&G z#xHKs7YS1-wqf8KxIHvcsBYQ%1M&$ za5odJcJw7lX+U$I1BbUly=`|D!jX^j1mtqqIXInc$prVDQH;e|wnur3wlAeVg9i&E zerfS$&7P2B4@qhrtQwLFQQAEh>9P#R)pWkO@U+z@FP)#sx7@<}4*(|XG8Z7)8WXn~ z67o$%6%}`19X&G`+6~UJf+Q4>hX)A9{5V6qe@9WidW_h`y*xaF1jMaeyAQHJ+5?jV z)>ef*#19VyLtT!ZB_3V03g={OeRbHxLwPF}D5sI{wJf$~&b@;;2BTv420AkR6VwUb zMJ@I$tjd?LTmb{<_Z8_6uv6$%lQsxDXzFdm^_lyjK4#rEqKXOqW^O_+pjDl6fU~D0 zhy)z>UfXY_?oh}&_j@QgUKkssW3bk#Idf5>3s)of>mZL~=As!?VN$sgCmywW;ec*1 zNgSE~@fi{op0+=e&<)=&w571O&T5|Fky94~w!p+_*Y6z7X)p@k$@-2B8iK?@!WCX~ z+At^IdzF}2`s^E;+Sg76Z*hV&0VJgjmT`ICAxsdc$9q_xZ z1ftSW&b%-!$O*n%${-Y|)mmB9CSS9IgWt~!1Pv!`aT0W~9v2I@bqlo5i7Xp<0Emwk zu1#bs?Fv=D&m_`sceSE#1q>vwv$fCadNwUS+FtVU#)7da5O2YVLbffu0n=~(F|qaC zIYQr}SKw#<#+g8 zb#1cT3x&@u*x7i+%G6Tq&%&J-xmC@3{u8yJZ$FOym~C8TmMuYM`ESAIUTlcEsie zjC#wX**JtfByODoU4ULV36outOzP3(VSZ6VTFIRVn_hj-iHTJc~1bj^6S$ceaXLfw!?;S zAEGPa&xTsh;NM+!-#EKD7FqeQqHf(-=-tz`u(J})GNuMpotT_&9*coVSis19i!rC2 ztY5vj9M;7>ALj9N>qTHVWGItk(PkQD^sQ80e>?nLo80eR_M?crz$hFq?)nc~OyNgT zwAbVX(1$hztWRlLQ*ukFXU!EF0d<9s*_raF(1i^_5!{C&CelHii}}B~!o?}gv9QFb~=Fq<08az&uYhy#y#9Q@W{EKcw?Zl%+}wL{w5 zi>p;X?|dw^Y##sSmcneXFD7RoiH4OY><<5!Z%E^><5;VaN7V;$`I0!-4>uCk z_hsi~g8*;WcmA8iFtL^-mJ)`5Ye~r#et*L@!0;YQ7;Yl#qa_F{N~v;sq470{3Hz4BgbRVp1`g9Ib}!b}Ssl&+ zv-Bv#^ivHkhcBP8DYm?2!!K#^;;y!@yAXV%hHfaDSAUs#RLv+FBjNQu>qgj0=x)SfyaFe{Xj{azfd|5u0J(-jT)8U_TU374IHr&C=e6nb76kzk5q3 zYzP8ORY?EVnb}5Fs0F<79_3eN*vMRPJ`8q|b_E)Go#ZaUE4m)9dgD*}C^G`&t?g&2Z zTo35-uvgp)AFhQZue9dciHED((l&sxOnN1uD|8FKjOo9w?1Q5*~+Z6x}v)lv8l z9eXNOy{`nN&YM#s$~xDdy|is)j4GkdEvSUfVhq341w}la1Pu5NEgYn+dvq>_Zve6& zvq?R_L+U>jCYjn#yJHA0hJLcE$gnLJW zEFy+J(7Jdqk=BY{JdmdNm?7$CVUNFM?_Q!{LeR&N&Q0UOD@6x5hVmP861RpxldTz*^M;@?`mmb};Y#A_I$lcp_fU zL>=^#c36tXBnxaw$Kh|_Xr10Xp8AeTXB*TIJzhmm|jAY4u(J4z;7hhOOIay;F> zz%7d!-g&Som90iDr^Yv_xBE>7FIL~k?ZvY#9RaTvtVIww6q^~Fx7WJ19;!R^i2&W7 zNA~c_)m9m;?TufJrf$jlA@>H*FB$$|_uicK;BZJcQ{J*PgmvKfe1h4tCLAk3?m3wD zr=mM#7*dGZlcb`(u0QdF;N5k|^;Zgiv9{dP3I#7EJu2&i;4UO2zG~*YAT4^omW&EK z-&t_41mUu6($Ku|G#9Sf{Gu{rQH~Kd!rkM1Eb^1OaqY_YhJ0MZ%kEz^)*enjJ@)0` zZyst7r@s=k`Lxk&*@}B>{Sm(!9!&>Td4!ux2UU1z+ch*Tg){&Lm^T`4PT#6IkBJ15 z+y4j#r8X!LDrPxCFTI|*-WVAXb|~E*EM$fadU{A{!l*KU(-hVF2*Pxrm(rt|IAlX^ zkyiq;!KTP-hgBD^0Rp{h-MtJap%lF5%sn))l`7!aLd`E%{c=U~5LLC-IbHv~!IX;P znYD5epH*@GQDeH93(Bb+y*U{3;<> zKIBUVxGu$Z?r3agR*~}cpOCg;x}LxSH5ks7jRT3{P`tsP*VMBuP;KfdgL-U6ntqHHzIFDhr;tPO^l8i) z{P&_<8SG3hwwye^DpXB;(-!~E`_2ka|BhbDx>f=6GC4?KZveVmwiDls#sqWYc=i8`@+mK$afYVUfrFTBAd!GxO zLMmT(Sl^zNT#%ejJK7}wD%nqRO;TL+-O!wl>d8t?#9;pJTwU7fFWIox7|_Xx-vI7| z50w@~CmHrhp@0Ul9f1YMO{|ll^OV8L=Y5FJNXD!h#_2~@FSe^SuatOS;^?hiI-)u^ zSUf1&LG2a|m2fB~XWO2sD}Jav zzl&n$2s(>^p9#8z=wMSzw3Mu3x7!Dy6rqcY`_UE0Y?5)pY~k#30DZ^n9*X<+&_z5b zgO@GbbuK$oRPnfQ6ovLe$TfsOcua^(0^3)cO<7RO^ti)KmM29TT7S=*%{nEIB;3TC zM(HMQibi=oK(e#VWZ`VvyDzrb-z6vg#e4I5hC9l$RP+#E~Ro0Knh# zdMoj5_6`VP4!)1I9uXn|?XHUl*T~GAk*;7Hi;z9#Q0u)VxFNwMq%EbjRU}{_)!VDN zhr6}MUyIl8iy!(Pb2ZB<((>^nG?}FPX^tbROWE2Ky14SQ_DAD!4cX7ANWd-2-U+St zNhgAy%Ua;?IHyzoiigx!&Gx+$wOWm;D=!qQv79Nc@4RoWR0|8!anS)5XB9tW^-rX9 zN@+9H)#lP&ZWrshEO(?8+|ei;4V=?&HV9Eo58yr9Ad8@~F>fOLPcqLTYT4A@;_kO= z376T&GuAk@c>Vq4RgH;I1%}kna%biOD;V{T0nu3DPU&|i?9vWTFF*_1&U-aXbgBD& zRC)lOJ+w_sr$^>7pvZqMrifW@>jQTD&YkH(uz4>NAPAD(1PQwkW=_uJSo%B4 zPug#Bjgh*PU}6C?DbE70{@uMhM0*AA+6hZ7=xd!#T0{ZGXxi;RtC$Lke_zY%RK~I1 zGWpULR z0gY!B|1E_YM1$fTifixRN`8hx#j$YfGYXFxDx(23vzU&WlI4ZhAg!_1o1(SF-JFyH zbH7W>&-sX0>oph94M~<9(|Pf8;Jra1ic8UWf7`jTrK7=*ysd(nkU#R(Ko|T8vgq45 zysoEYI~SU29x(sjJ1BD9+fkSY$^4LTg$&gq058qFSYG0qc~Tvcl)%Os+)q#D8dd}l zMt8a&5yCkzQCZhE*dmJl5Ynd6NSffUAp4T&rw-wY*rqAcK5%te=4Y=00B!%x4kaD+yIC1|D0Z*9qZ%gSRh#64wBd`^xNXVln$oBk!wnW$5P#<{#FLS6Yt2m7eYi_0pB??lL7>R*hsY zqu$w0RT(}8<7uWP)5Fq2KbVx;g#lzQ-T#&i_9?zV(7QsZv#x8oFR9JQIBpAI~XF(4LS{W zFLNVh*HUDUkL}UNEd~}K^NhDU!BB%zJTC#{clc5&FM}cI_l650f0C&#hvn}>tWFWQ zTED}Ujzgb`!3W3k2$HR<9_M+fb)%Tdig+hz1GF?t^VzWG25n$G{|DFnS+YZWUTUU^ zk?(;RP_NIYM5A%>9;BUU0)63zU(Rb?-~5fh2H7p$SW@a_c1}OQa;T+Za?}>{TGK?1 z##BOw`=W(RG?yOi{ZZ(-zrV(@MeWSGP%#m4Dj~tWv~~E|1}uY?#Ja(n%vFcHfxJ{K zbcfe^t=y;HzV@h2po7f1&M6s|6Q&D!ZgS7-#yDW-V9&NxT>@v_d8)j`K%$fx6pAVX z%b8ZkwdLKggiO5P`>2A?s872;tiDP=cg0HEo_|t5+2hkD$GH;zyJRDsO4~m_?B|q) zk|WCyOh=kRp|p?N#Qp zP}!;-2#B`ie$GODPXq=y>N}#+-~HYV4-$4QOA_1yU0xLHE}f(#%3bn0GA3E{y%=A% z|GoKb2R}b6cPhM}gjHQD;CDPnyRWg6txa%fZ8KZjfOeOz)))3QUTxVU7X*K;996qQ zKuvPaj}iRepv0H@)VAoI)lFY-nO2paBOL=h1fAHu2cU}G#hR{vuLnnNxCn3ES(S)} z7+diBUD?moL6)DK$ixS;EFAcjP97qWEX)kQ;E{v=1{zo z_2ex$k8{LB;p_GlXh*|wblVw9`R1*vu2f9(P2hCfdTY0?RgB5%yWN}EzmMHAmLIbY zef1%>@$8D)3%44P-m&wk0gT@|nHhQNdmX)#}IZ>X_H(fm2nwNaU$uJFHS~yc-5orgF4tcvK zhAZwYIUOX2_!edNh-?~lz>7FwWAY&|XM=bUm@`4Q5D+eMwSeV2qS`%i=T3Rx168zs zm8^K{7X8sTxL>;NDqKMF1AKJJ+UhR0k^C17 z+2lhG??{{a;eW^oJ;_vY5g}%KoMc`_-*aipHbvnv7AH4m5KShdo$`GktykLRZZz ztLOtIE;*wNg@Nfenf33#!tCI0Hc3M*_AeRan$f9K8TIQ#L8SD?(1)E^kI8eOp`0B*Tk$0!>TGMQz+m4dYR-aBe`+_~A(!QmtWM%;v8k4h z8{+Y_ar~b(e*hD6ZN|tAM*j#0?Qt$K|L&Kx-u%9(d`!FbX7wH6uc{}`D&K_#FH))bM%EiuVd`j4Bmzg@VM&h9!`ExfaoYRuzvblt$EUXLV=P*9RO*V@XRVn z&WdXAZ3(nOM2?u1!-dpPi!1OSaCNWoZb&H%7~}_4mIEdZpp}6e7THQaG*Td0PvwGH zjupxFb#kP4>&TGI2>vO#Ln~Mlfr!GJE;9`sbI;-h9E+*kiaf~Bq8Xj)cIBjF>TL;_ zQ+%5W-4Y7Tmj2i>bYa6ovPxl2aksJ#@X;6Fo z9b|U5zAodd;r6_qe5S1S87pi6_*-DGo1* zvvg!p!xD&Ra|e4MU=LV*g9;tExM~+1Awrf184!#?Wf%O!M8Wn;%``%Af)A8ch;Q!FR9nz&JxlO{D4 z#oOMAe5Crc3hb8E*{`A*#hz(Pm6`9n#YQW|sJ~M4lGJ0Da+ZIV7bwTZRDSj2qJ9U5 zxClcrtu*K@@}{dZSs&_A7`phcv0cinu^K1z-c+ikAZ*55Jl7ubFZ>T$6}~!2n$!px z^xaDv?H3SUa&>vRDoJH(>Cf=){8`ewx z1B2`QRiN zr6mT(Bc|P4-&(J;8?A#6-3^S{y>67S4Rerujf#Fvzn;w`qhwch1qQAx-Rm({PSjd- z$XLdCeRDEoCbUg}>R1pG6J@(|88+|V*}pSN?jWsqklcX3S%W+Z)0$mt@xLMcJiT;$ z)!G6&a1AzKt2r4~W(D{M0#-5VnJUjle4{ovy`BgcPT~pgaHQv#e^acI6|PZ^>v&#w z?%h;L#6;%NgkZHV!fZ;yWd>vpHF6ITBARN)rpBykP=IpHQdsAG)uOGBRbEN>^W-mK+z1 z_!2HQHU}m23uZdZ^fAI-4?q@vS9~Wh@0EBFs#ZRIUn>KddZ|5|Mp@518gz1a5a| zDDUa!qCCLpLJy1?o-z}N9S=UQ(WzkcIifxGXuek6I3(k>n!#RItxm3nAt?1VQ-=BS z+;w`SeaSPH=l8rjivnq#O^8~1#0umpL=6i` zS{V%%VR}n}g&hbiN|vRE62bL+u#>`YpeVQY;!LQ|*RT$JR_4WG9 z9l%EhJGK*^>i?FIi&hBvvU36IQZ>}U*OPS$u9xbqd+w1V{Bu>ng?T?My53w^R-rF} z@Yk=^zEgOJSITn=$iV}i$gqp?3ydDoJOiOToNGCf0;J#|3_*-;bj^($E z`biatER5pTdY=%iGnZYMI54lJ^f6#>@L_P9`oK+%fp1aZ_qe2!HmNv%mTk&ik5^{} z#Q8WPjX@PIFoS+txvKJL9p)t4+2wjYhR(`>gp53&9h2;HemrRqP`eOzG5)L5t?t;w zY&(V&IJxh$$>>$W}C zO*Diwv(IQT6aP|ox=t~;m@;DiQ&8V7s;kTJJoFki+xml1x>`C0f1!+>Hw z3Q0)x2XHF|d>D4QLH~}O6ge`O4^G|)y~#@4fcpK8?zLm>l-O#63sW6-B9pQW%8l1; z01*?1@&1cu!Y9qIWrF$8NpCy>=Uj!bTEhLq>gP7~PsXd~$DW+|96&wEV#Q8GdFgnf z{t4a0aaSGxv12$ul&;WXew6O8vxH{NJN!*>@B-lSKZn`q9A`*%z+tXt8@P?OQ&IzO z+=2|3M7)*dLHRvVpmmj8KD1{#n!3N27WDE|6d0*L1DM5M86fnpVa|0p$ZfdxKWKxO8fH_=LxY)DxhWcrUiyEWd zP$PX#WFC?cctH3SVXQguDy?sJq3%~(c3G{mAu4oNH$d=33VOrKRvgTl`tKAchV|ww z`fPya^Q9o#T|oP-#&5#6Y4W+7jo&ElVu>sDor%kEz_4B*)9I`KsZroz+;4jH!oa1U znPT5+g)bw|Kem{zg-Hg85vFHC+p@KI_pA^bN#80jMZDRudyj6dYlIa47TsSAYisIx zu=}S@=z)4IN`FwlxS0qFO)~mSC!T2#M)cf;z~tleL^}Fmr4A3mm-SCbP`4Mg zS0mF1rxh>HGesNqscs?{zBeAc|J;{Sk?tIvv2llXKalXFIa5M+HqS*Gi{T1&3%26F zgE}{46TI#+EIXG+{5_ENsy`&Y%}Fr&VrGHAE{?z0@=}}qGIP|nz*oq(?UG$z#4m+s zo#LwWd)U?#A3g<1);~*enw?Qv0&GeB8zk1L%Jh51CQz3%W9kE43H+hP0eyG!WP#kC zDvn4^fbCXK_?EHyE%n<|7uPI>-AmN{-4c@+7CjDw%#JR7xu+pqzF&%wXEK<6cJ~Ls zp*dzt0w2BM8wuF==bL|ggQcouzT2*{(yD}pm* zYn~ErKwXqk9glz3YTIlJ)}0~;uZA3eoByfH&G6H!GZEQd3=E-H=OFksI=IZ8{dbPA z5S$ctT*3~D76lPboBftQ`oW9ke*F}1Y-3v}x&BYBhlS)svrjEw#3#eifzkBtkuz#O{d9{j?|{c&UXumah(LvR(T z6h6efVyA$PF{~7%Sg-^ePK1A-T=4r65fiz6r76ZPbjW@hEil~tr^Cg5XSx`Im64^N+*k7iA^wGnpNf(cvusf@i z-|sgcVHbS_2sZ|waAg1-3^N|zYkk`NYf``lDJw*yI!u_Vm_aF$ zAe8W#SItI!dnl?q$Yx!d9(R8Eg_=H=n7?F1eoV*&on7-LVA2>MUvNlOX4a_3PfhF@ zX)M1_PhcgBu5c4+DON4G7|w_pk?sq8AkkP?$63C!3v)&}OJK~1X~-ac>9mLa5} zBwjqqH|{(6cE>s~fhW}Mmc;~%4eJOCvl|v(l}&8b9k4clYR678ZcTdpD`sFV_<7`( zBdFw+fqiU=GfdwAouQzE3(K#$kRY!hT$L|wveL)7sIB=&BUGn)=L6s-E~azoOoJSP z{p3s>4%Dv4-C|TN*SUVhQwkCOPa&Qk_4K;AYG(O61%LC*(DaloACXW@yb=0r#fj#nD*c; z{@J;JNH)BA6yA6_TpVH2#(Y{#$@>Xd7ist~G9=c}>=4Y&FN$jej3n?N^;S0}fd=Y# z;U=R#mup_y?|_D*7r&3nCVYChT%-=hPV!)h=n?H7pi>suP-P9u5V5V@p4R+Gn`nD; z`7TU4D+Lp7=thy^xQe#u-DkNIF+6ql(Vpkhsk7BSasFR62d@#9bG}raIXi{RY5@5# z#dANe2cEv}GE7{m z!#1l3W&SG@IqPnS9{o4@!6%*sOfReb@`e~J?|Gm=#_Ka~jNet9D|??UjnJ7+Bdkl9 z2>Fywm_|GaaO^DJBiE&=GRXH~c9dF@oJHE!oFOd-6SdMG$|s{hQe#ME6_^yhREE)C zF$}-qJ>FR!hBa~*4i9=cy81kY#ZErJN8+RksQbB?mm)ybwN^utCP}kNhxdcV)jq^V zn!|yaVds`Bqjujc{A$~pMaThZM|NLq{u|6N@KkKd0TFbN7UYa-_8+lHw!pV7MN@b3 z;hZyH8K8r8zr`m09{htU0Zw9wa&IJ^ZtAxmLJ#}uoAFqjLQ#W@7PlwHQk%A$It8u} zYkorhu$twJie*y7%^Ad+OOI*;$wvVgW*cTpizT?eg2sy)x%sjJpDpYx z`h*@%u|Kbi3$>4Qdop8E=RbJ`IclXt5wR=BnELNeZ+rsUL~S`T{P($*-bW@9s`phO zukKP^r63vT_B2f*#_~`KV&?gg%4@QxHYxBNLVH#BE8Iq04RQ;{Hf2Qo5PqPhjzvQu zfTIgb`}~{p`2tA{`(YoCk3LkZ)lCy}&qpo#*_8}lvYF$+85(O%Vcb!i?O$YLr%jh> zidI7=^uttPR-K z_cQ9d!EVJnHjj;lFU@AU-vED_r>hpsq+0?fLkl`8u%~3bovT0t(hO-IUMY8}`$!)L zt6KYLZ_3*KZ5DPkU`GZ5@pm3J zlboBM@oa~blbo%pq%0~^%8P42>nR>$Kl9PLhc`5iIIjo!;W+UuO)K*KcpP zrQ(zeaYHW+g zflge$$wVUJ>_KU`q6b%ag44AC1VLV4-pux>KQQR}{91@mQP1L^32KPtHsSSZ=bWF= z!uc)lmJR&4=4EEzHBJFJueLR%XMc8}U7bI#&P`ke+HT1&PiYQyDo{F^7djbz|Hdkp z&(Qo#))sc=aP?M^N1%6PPw|>%ud{^AzHXW0>fbmCpZib`Wuo5j6;z0AN!&9%i6eU(X;Fc^_ab;y)TVK_NgOq?XaL*xfwia7`1g*?Rcqpne0~d}zIN(u)$*|8QepO`Qnrq3rED529zN=iGWI zB?015TFt!j1?;FSi|8In3ME|l7n7NeDQgUiN^E(&a%R$7atd)IsB(l>&i?YI5Fu~yUO|9s^a2*638ZNW>bcb!p&zgzRPx>#M*8hXNtICbx|y zkmJhgTfh^@7^C^TqG~mm%GucRy!uc}YB7d)eQv5uHF|v4s&c?w#IDZ>Z}T+uBDwtDwuU3P4>lVIhJ3P^mxrY9 zGYcFj;)-0Z=Nmp^JT~wKn_~UwtI-^b{A@|&m31AKg)=ffOzzCRhMP9h{@ru6E~R`GHly! zVroos2c~Drfa5+qTlAtqv`6x@K)X&wMb+7uOTe!s`Ky0_7zC~xSoYCY5=zxf?F|}? zfS!ZZ$@>ZYu9^)UO77$K9Jz*=%XXcih9s5_1?Fy;@Wrg0!F<1c9u_+dDFBZ1 z2YPTpyehZYwd$z(8Nq`%YLdTWVP@<6gm^8(>^pI#xAF}lCB`I>E|=u?n45=t2RqW6On%m3mbk|r%6&mix&X!t>)%g>a|^k z$Gf-xp8!M$yZAIVAoA&2<&Wrud3B)oTsxhoSf)qJ&ieGt0qgHrE8Jt({KGAM-|DPG z_)x%?R>rh>-?@sL3x89qd{E8!sqH_t{}gc^uh3U&|7l8ni4ACU&E$ROl-em~(fiIR zH5%{eedn&6^#0saYGyw7zH^t~Y$*Au7dPIvw|Jj+iovx!x4+)-I>74yuLG*}dE`fV z9nk%p;8?pnpVy1<4?$iWf0~lxPg9DV2FIV~Y-2c1IX=SI*O%Bb+fD6nH+j7^G}l|5 zu-DdGF8rD;j!`#HQ!-Dx>KB*t?liZ)(V7kBsd_`f->3huzI^AA43Qk@-OzsR=jK-1xV`L?xfa>u>)U)(GBJQ(rC&}*%(A?Y}U zuDuSe-()}V(DVaao-NPNlisH**FSI^S2G9RXI&ht!)g~VLB%}Kc)TiQ|yoR+RAGyudV8JyXx`b zvbM4x$-2(E&brRJuFIBhv_3;St&=?;wSO$maQQ}2x3N|JSm!`H2O2iWM8=HumQND7 zrOwswo^uiTIDLtoF;BbvovqH-?M+VKPq}wqs`FBvms;gkIiIe(ZtrV89p=^W@mRlD zzgWLmzr?<-+BtpIFYTVM3;lNUazLuk63F|EkiR?Y{1@|H}R=+c~y#I>FBIn$BxF>pJT?>$)z#ymL{y;&OYd zLttNh9yxDR@lCzeAs8;XD7G2e-)2~eGI;~k8f#-r;=bAyf=wZ&=i$a#R!<1sI;2}5 z*yhB3DcDDe-6mM8ueTHLF}&XG{|f*B0RR7Z0d$q$Z&XDT$A{m)%Fptvh05}y2+M+m z7&N6cnch1KH{M^ldzS*nOg5#!#&);uZh6ob^+n$ZkoaPJ6cSoZeBgT$tw$}@rot?cipL6EScg~!9LM#(qLWp&jXPe8=FGr*2*r{kw`tqEL zFobV!^TYoPur&*4#|zyR;#Z9RB@cdSsNRH%l(v;CFX=c^O8Y8yol3k?ti<%a`%{DH z#3yLG(P9}o`hR?2t5u?e$+_+yS|6h)|9c5Wh~@B{e2KwoaRk77H>d8PV}o6ZLEiZq zVPo#t<*BFj)k4f~gBEzUV^8~7dac-wr=#-f*XY>OM)b6_?L^9ogJ>P^gl6eO!O_m@ zjrRJ?7D?C*Xl$x3IF{PLLO)q(JR&1%TatOJYD;KFP?xxf!7rYld5VsqO$pS|)RW;x zR-?bQ&`k@kLferb?4*C1e3EgU685p=RHrj4Z z57J3gW5+F)Jmu+1>}u({fhBRKi*rMTq3xUkv)_Gl7moNpC5W-!!6WN0ef5tK$*9k# z=ma{HHm|c&P_FNn?QjKpqIV{K4CxG4%Z%<4l3xf!BjBFRWiFMmhu*(&56m{^jOv)gN={1TA696^%5~NHj}mbbjD^e z23Qw{dUDr^F5J?fd&NnNv>%TjqNE`md(dbntfOlw*X5*SDffs1X6K4;{DO{Y$_uk^ zJ>taYlM7;K+#fu5L0#oi3=o$T~tMsntdsWI>aOuVj^(~2q@$Ly2lBy=x2Y5EhK(SREx|3 zt^}2v#jY43QB-gJ*LT-iJTE5>S3-LKYzO5Q_&@i`qWcLF!J|$8r+!#q*6d2Tq(S> zIItrr^?5=WLCa+Zj;4T7!K_CrAQ`Bvk@CeHNbeIP7r^0aE9qEX`K<0GZVG^1Y^DP4+^(_>y#N3J|Nnl2 BqW=H@ diff --git a/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.tmw_info b/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.tmw_info deleted file mode 100644 index a1c04827..00000000 --- a/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.tmw_info +++ /dev/null @@ -1,5 +0,0 @@ -start_analysis_synthesis:s:00:00:08 -start_analysis_elaboration:s -start_fitter:s:00:00:14 -start_timing_analyzer:s:00:00:06 -start_eda_netlist_writer:s:00:00:05 diff --git a/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.vpr.ammdb b/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.vpr.ammdb deleted file mode 100644 index 518e03db151e5af81e5c189f9d74f14a866731f9..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 300 zcmWe(U|?9w%?KnJ7_xxS7)Xbu78Pga=P4K(>lx}9C^(g7=Al+yu z8Y={QDTJpcDY&L&mH?H?Fo6wVcm|;ue4#Xm(t#MxP{Ej#n2->_k`$|umY|W8kRZgc zdEY;FMmK@^tSSpw#r}SmSNkua^I!g)d$>M-7{AJbXNoJ?XS+LBs!h0Bafbc$e%9Up z_3!*$l<=Kt=?s<)rhrKBqUf&Nd3?#=wZybkwL)v*RNyNZ9je=`~7S8k=+)Ch6N0)i402u c1UkGwcNt&)926|_=&|ulx}9C^(g7=Al+yu z8Y={QDTJpcDY&L&mH?HWff&HB3v3PpgD;c@Q&71I#-zlAgb9pEvI=Pl8c7KWOvV!X z{;@N<3Cw3zIm9jY@B93)PXXBvzb*WHzv=F0@x1*SH*6h3eFVfWM$4V7HJILz8P&?a z@B@1!AJEwU|AFQuC8TUfNJy}lkouuf(8HKpx4`*gywnXKhUy0TdWJ@NhWZ9Z>ep=45w|_mExmk$_eiJNxn^mEF<^~iT)r~OXW;%|-Uxs+gT z-D-mzRkhu*tjiu<`@r*8A$_um;|^o`nlW}$xdytxP}P@a#zm~HQb^xxoogg1Rzol& z*t-jNg)@`pnO~&4itUh6qr&_Ngk=^4Mr&|qpU11P**w8Hy=k+;{8|#1&-ZpyX5s=z zmQe27I5%Z#ls-41YUlNfvh9nR8woh%fGD34v&rlHdSW+%*9m=M%?pA~sIeD)?s-BM zCX%p4JD+({Tp@Gj2Jn@WAL$0G*{Wh@Blob;`gv8Pcpxro#4>$)SR%RIeq(X-P-E2P zn_{)Ga^G+wjniz2+;#`#5>E2p=)ZmVcOL%Fy{InK2IgAvc!_vaUY+3mi-K~&NGH){ zxrQsx_gh{K_O)Ii&$ecaenpP1uIvu+2auP1{(9t&bdh(x>0()j@gG0#m(VRUMnssRX&fe@4JWsMjlHW#59EjMwa5c*7%pc*%zA$gI7Drc2>f1`<4KimvUy=(>wKR?TnC}qS z#|PE2>*$M5nCz~Ks%S6vi5gUm3|dkX#5mWpML5JbB?|XPd=#y2^p|qwWuLyo%K~PU z56Cv~i$&p{x;WO*m|*}VWJUwD+a?r6bZ*-5r}TeNTPco9GZ|wBd4@u`->bHV8~Y%} zly$fB${1{Ya~#|-9D|>jRp6!=zc}#}qg5bTt8+)Eg5S_>B;*RZ!;1T5?=pD~p|)MBlI@$`@~0i->?1RlA~&U#()s;qr&w`3yP=!?!%RCzSGgpr z3c3{!s~U$IJHhH=T#0=lfxdSFdYRq7t>p!2;eTGDk-r<8J|)Pc;@OUzmhqP*a(T?8^WCg(p$TX zHD(|x6M4AB^yzp0jPg9ixDxj~qABG3JkB%Yd;ZZgjwCi{fq^pHPj4&F8(#^)^arB8 z53xhx)cM#I1@*mySUzf)_u+1A^t!y8eZay@241Y?wr))(IK<;m(DfK>ymi_M7(eH; zs!mVJan5org#sfyRnuR}1k(fhWQAia*`9^o%&pVfclOPd>CH>hZRl8Os!6+5dGN_mOv#T3_|$dq4s%a!F5vvVuj zQwyP`^s<ld&O}A$-q&MDdz277mk6jJ z2V~Ra-Q>EGPU*28S4UWCRGe*2eU@W#OqYgOlbn3vvP^k>ioE~;GX z8cDubaoN0VZmJy8=YV2qzp$M(FH0)e*3KXYdFDc2&$dM?==@H77_OQRwSAnT0blO`{uSM@){~#P$6$z>p-y^2zdVfrE&c;yZ#ocnW!=J42o^^Kw4}k1cHQYhyI-;t^B@0hRYFs2L7(Gh^X>Vq}CEmt>@!+~^w&;fTt z=tk8MCIgtE(vt(60}Uf4v2dK!WH(cU!P1HSf|H(H4G^gfmYxLdC&x@;>*-=&%a|e) zYv5M&JYXY6ZI%#2c~L+188Ns4hA}Ko@p1kK&r!uw(c7#AMDizxGdPKsCFOSPU{i`< z=opl3lE@7KPI*@zHWLE?_V&U=q8#o1JYp_eEWEIjO(l#${KZ6_WNq6LOyoUpl1-JY zePZaQen)C-J+44>;)^M=|cnL6_YkWj6Xp?&l`z zLO-qSG;cSZ!Fq?vQl9EtENm4CE=&Dzei^OLd3Q&k9%vGVG1B9v5=4|@V!qUr0*%W8 zJ!r3i6j2E7be`ixQ9ljRQB1rVfCfJck4~KI4#_KUkLGy`TnqjBfZY=Xi{kZ;0SsS` zH|^Copu=P=C32j9p#0*D!_6{TWJH*mSkjz+qow%ubkn@wkv=L5#~GP!;jey|Jq(sP z`}1|rjJN)Mr@mc3nQF07^-a4HiLcQHX6vE}2c9DDSyUv<&+Y*n8`k2TNEdqs0^AVvCPk}o4J*hoK6s#ve$88L1Y*lApm zt*%f&I@`?AhHUHX?~~#z6WN+c4n)ll!4vJ^ZG}0-iVSJfO<||!qN)jLFKx56H;Q&6 zU#p}r-F&C%UFNU{IA0nL*HnK-TBAT8J~%Rx{CSSH99FB=1c+MM{NlmZ43&>^yA1j{{hG?P9$Z%s z#wsl~BpMY_Ig$XxOt-$3=+jv+JiqX*S*rR_;1j>3xFvLFEM|MG4tvcbt&~G3{po`E za%iC5dqs#IGeQCfkfjNiq|R1Bq)|it9tA#sfSU!a(;6RNRYjapXpd6JJo(GwsZ?{T zDb}L9YM7d>N~W?}T;`CXRinD_yY*ZG+6&kvQz*kb8dRnwbv~!)UdyBB7e&2&g;Q5) X1@)oV5OT+UN;?F{3ikh;y}iEyEWM`0 diff --git a/CH6/CH6-1/incremental_db/compiled_partitions/BCD_to_decimal_decoder.root_partition.cmp.dfp b/CH6/CH6-1/incremental_db/compiled_partitions/BCD_to_decimal_decoder.root_partition.cmp.dfp deleted file mode 100644 index b1c67d625638bb473b681fa4acb00be38889ece3..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 33 mcmZ3(&&U7*6?3%pJax1>&--+q_tkmeb=JGlkg@C*Cl3Idx(b*8 diff --git a/CH6/CH6-1/incremental_db/compiled_partitions/BCD_to_decimal_decoder.root_partition.cmp.hdb b/CH6/CH6-1/incremental_db/compiled_partitions/BCD_to_decimal_decoder.root_partition.cmp.hdb deleted file mode 100644 index 927812c6e3a16dc9c85f7768788b6a856d2498d3..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 10294 zcmb7qWlS7Ew>Gr6J1j1xK=H-h-Q8t@#kxg{7ie)U?heJ>9g4fVL-A#CD}MXlH}~(C ze3|6P|4+if+5a0{|FkaH#nsNyftr(xjgyUoTGGu9Vnxl# z3#8`cUvjD*~uHK|`p`2(KNk0QbJ z$>L+8^mJQ6#&kL7ut$joit%3lX+=Q}3h}w%LFq{Q^-HRS)%U1TT5>}~OdHdoUr|(s zX7)rh1HSX2+pW%viK-j(2{*ke?nx4Vo3~qmxg*Wjn6Gc?@pmn!i0Ax zRf|}=EN6QcF7hp)Tz=v2vAlG*20}iHG;SCzjtq5#IKdCbcmF>V=5Jx*=-FL{-x)q4 zEwWOr*7?nC%ll_}OG=5*h{x!B`#E}GA=&W)%Vkmgf2TdAtsyyYpYX>7qM0g4OaJ;BejHWzpaKVK#B3usuGinbdquv4tEgo&Jmzk=~$Z*V)~aVtDD_; zZh%f!i-3!AHuvsF*27)eksD%zQSd%7bM8G#I&4Wte7BK6uD&V8_zfiw&6!$uHohhx z+}{m}v_b7B*aZaj)T5&H(y}lW3A3?%_vPc>E>C(!vHRv;M@!(6ZDtVgqB>smS#a(K zXoIP3P@jx$6td_HMNm;qFIANrgFPCcXBizQQ7|Oe6Hgib6-W{EFd=Ba`~VE|JMGYQ z&$rC>bHkpQaq+G;tsl#Zf2n$wHQC)N|6)+Ra_Ri%#O&u~rHhfAr7fzBsh;-?>8UIK zs97edbISMfARy$#DuHN&zF5AId61%$f!}EVglEW+^}NCkJCkQPpL`_kq_M7Ibzb}U z>xvkv)tKe{*A>In7mS5q);+D@kSEun}2Kg=X{74FriBw4ySN`cw#R!p)!ZY47q>VUu_d~>d4@j*?Ge+<2yLr$a|;cB5H6rK=rY&Tp~1t@wbnvpgHJI!It4o_ z1%*#zy2~w^o5C`WbAG9{LdeM}$j@^~$Qhv;L*zEKQG9z7LDiid0vT*oqs_$a?cQko zB+gjQngiO7K6Lk3yN=fj2G zdc<;bfOPM6=>xS(V&ZnE)Vu-zkOQi zWrIg;awxPbYNCB`vNR)TW^@4ErTHjzKcGfSaIY)BWDk-UYMZHVC|>hdR=8hlaPD9-#MlP_J-I#ppP^%WM!yR|*2*=?~G9f&}sOBN<3RGXHsoQji9oU+;buz*e8+kp*vUjjM%C=g?oYZ8*q#>bqlBfYKTK@r%-2HdT@{@^;C7tOgO zI7vG&JbzL|xtAA1A*W@eph(60S;fr>lR5Q*S|w{0!A^o0QR#xOxSq z=fuk%^Y!I#H22qKER8#~2{&Vx$Mf>l70lJh99PYN%mFHguf|X2%!__rRmjhYWY+UYe0U)IaCpeR*PHBoI&>>g zRY7!neeGKGg+2}&%53bVzUJh7^I1#bEh}8jπ@JMz%DrTq2rmP;W6=}WiYDNt*J zD@m@SML&dfceM&tR%B44>eRX*CZtkHUw{n>H}cULY)sL@ySMi|8nDVyA`ICo)gg0z8DvMgC?piqIisTP zJ>DC&Zl|#M6FEoBr?L}{kTrd#zyHXjH@F}IA#)b&d;CvC%iZoMe->ygv#mR*T)t3y z>~8mY4@%h-Rqv4ptzS6J32aJgJySA%IcQ^n2!F<{uXzbhD3=lLTSaFcTF4_h{k>8y zLlNNSu)5E)=Mu5fK^z=?Ng08ah=e6Ipgsb_i7mf)^9ssWx<;-!I4U=tG;H_ zfPwLL_TVo@Y}xCgq0Hzf=nO+EYYC$*g7f(hwX&zK?SEk{gL@ti7k-zQ zW7Ugqh@!6@uwAvrNB`}DL+LSx2h#OMEzdwj2H%bNlOc_j^=N>PQbpFw#!g`~*-Xw? zvp;vilPw-)JZE|SF1z%4x{;|58(hv!88YK{w*ieRlWrU2R53Ry5u5J?6ezw-tbUC?z&J&zmi~)R`PXC&hS=T-v zX)(!bNXZqr-_cxP2=ULHtO2XO=z8CAv1O}@J=NKaw}`e>rwDL9jLAi2DGk!CEpGL-VnH-dp z!)$_-8n=?*^)5={DnE_1`&W0zaHZu_8dysM`*3jd$|fyw)A+D(RNB z3dzN|Y67t3nZ3*?{+h?Cz%6Ab+m~}GR-Isc+qO6CSFIYak+zT5D2sHjSenDOvd`ZO zb^Pwm0OFMz!5+J{1JrA@;#eEt5*j7^PRS~ABRL;UbJN&z3BW4Epchmr?~+NiP9hAu zq;-`_{b?X|A^F!FPxt#gmk1?49qJX5`oNOS5Hq-!_9gbbe!7Ar_-jKZ#AF^&B#Mjrby`%;_A~h zw1=1#CJ+A+FH8XYTV>e-kT4zgpE z<-5V?v_WP4ios?B@YIaD=I&Lp#c`Yl2E){y$v*j(P zQfXs`9QwrsjcS(`UpW2c z#_eWHq^L0y+*CR{x-Jdjt;vwLlCj3Hl}hRHXf4VR%ReiQagJd#_qmL(=Ck0AMeLUn zYKoUB&Rq4Ki(e!#8I@}#;W z*WbmWqQ%+it5b)+g;Op+cN_Q}-ZU>~-2<^9b0rj^j}J`Bwp~zA`PfB0bDcHuM@JAM{g}W#-Vb@V{V}hf`mn0Hw-nqzHZ$t( z6rh%~1Ss=Y187v#3BV2MPNMgS{dY6=Na8}mo1YE`l_Po=nqT$Es z`jzM=Dr*j@wJ;2_BoICj5?`|&VM?dv-YLJL0tC0*lEGFgfnXsp$)+r7Q|mAV!Mmor zYm~mGrI{41lmj~r`US4|Gqef3{v8Lo$MoAi%Ywj5h^`2BF?{guB_SUVa4}l7Ie??1 zWh2>veKu8)qs7nmmp{pM?GK4tycS(sl+uc%D0oYRw(ylP%sYTF$f)yUap13AG4Wt|wb^Ie^uu;=ktugdyPt{ut*9nJSPAp`HjaQNNRBlp|bID?YBT}4z=twNhp`N_-pCAfLi zC0LSK4l`8&@7_!gEyBUKU;~eCh*c&{-{gm=SBr`&`YN_+=fP#1EeVor6{UTb%QJ0I zqc=#k9f7X)14W&N7LAD<;1#pH^duaw-zo#6y=SXPBbqEcz5ym=!j=Uc;dgWKWAZV4 zR2o$Wam zvRYOG!eW3hH6UyXh><1I(#`&%pB*xYKa9 z#-NE@NG>Fcxo8J%_)%CAdt;aqjVA z45gn+$x3apvMtzZ8RAYj5^7K}M7#=DJCKZ0#)77XYu+qstX80GNL;O>S)>Yja()9m&TPgJmmSZ*gx-tNLaQ!`nA94=2)2qgr zp>}U2$n_xkNpDYeKVctdo$dMn9m5*(UWjCz{iQ{nC##<#fL`=%P zHM6o-p36Kv7P}5|SlVx8B9chvr)ovS7lwk0zfPPY3Rm9qmJYEaL43kwIgY%D4 zfsLKbW7^gzeecUQN;fxQ>B=2^a|!#%g+I&ab>k3LKW4C7d0#>Q7y1 zYunTuzuPsgBM+?@7br;E`~cKw!fDsH?u{MRVVyCk)OsjW`TEZ?Y3$D^Pd=hS?Z)h} zJuvNcau&;&BC<78KZ2@|UAi|R7DS^*=}J|pg_w+*hs1majB|JcKn&A@(O*q`gU`Ao zJ31NKk#-l$va7l}M92ZR-sqOS7kiaK4^fjLb3UqBzYDjdCj4?P;9z}pFtOs z*p2eMF-S}|Rw=Wad9k%*ePLJPgciR{ihDTN9B|M9m>sof$O)?=-ao}5=8S~-~_@(Ur8kz9{h z|t8bw}cQ9DpYPezb2xyAG!bhG!T@9!;c>Ci(gO_A-q(K;Smb?wF_l z&#Yp;=w|C9u)ZYTSp37)@>(h~K7nzJR9Jp@Zv8_rEjScU=1{&COEL4UnjT97KGdpq z#AOmBQ8CjSid+kBtfdQ+@zh;j#Dori;--{gc|i=mvR&fvm#~ggUf~ojk_)gzmFh#- z_`t6^Ou@dVO5nnP+L{o&&LnxJP2)l83<>3FQ|>@>cP4}#>(o@!CBFu=(TZ;12}@@( zX}Kq32pNU2#(@rTLgHdOy}z+ZloSoAF4#5~O0(vvRCmF^9#!R~Z#^t7ISV#FXlT<)}i=X-^<3ZSCHF8$V?tnW+P*10OsPc*> z5atZH3&QaE2S233^jdemy-r#UUq68xnijZn=t=a3n=!Bn_*ANP)rH%mIMqaU$bXwE zli#t24}$MF!!H@Bn@G)mj(+WpE=;hsmp7hX_mpr|65dQ=``QTh{u54kI79};XXXj_ z+TWS+9=%3&G&1;ifm|AqZTYL#1QV!lU;qhAo*pIDoDo3GcS{TIgl_ zuOHM)?}is`Y8SW7Zmgsf3?VKSJs26~(4pr1l%f~(%_a&cU6Yw7mY(m%rAL|bkRCm= zPNXj#SGk{EpDLOO5Tj<{CwUuTRMJe#@RM}?F_8mI)s?xnvC|Bks0-ndZQ?Q}G3sS6 z#o0&^3Gw_1H(#IBiT}4li5_Ay$b0kIKSJ@V_YWeRs1R?XG;7;e2I6aPw5i{(EPbYN zpz2W$;dhkl0v8d{VuflTXxP;WnU!p@7cCgMWfbolD`B8G@N~;1neEpHe zO%LXB4QOLZ*QMdorVKR-+dYt-lX4!1^&5 zCrKd0-Va}<<&2%)OzF5HmM{_F4Vde^(!#tW!@SGKYnxm3t73fbZe4nvf)ufF8tw~^ zH`R)Y=a#oCFdG@Qp4aUqyWf+M=h<_oF2w?gX0dHE8Zqgs{O+%PKlG zQvI`Weo<9FFC<#>(F*nO%6TQdCzrws79>J~*TZ7hl#MTBMcDG2TC@`$8Gvm>Nl&yL z%ovf;+2?w8r3$!iNyC2@*ct;iD&kx#mxPUQoC4Wy25}hzNwtGk_XW5p1^IB@oz3$x_p6_JTzW48cn9nnwSw0W5 z*renbP6={<8(v-5I41NTwG9ALhT5n_Iwn>Kc)xc%RNWx&Z=+FPVx}_%3Uv%)@RvOtYC)Y z84lFdr%;C>I_w-0itTJ{U;+cB`NW_sd|_Z~nV=-p5PD{!qmIF)UuLAV;h=-4airol zGJu!0fG|72zYQe7(g%sAXFiP<%7W|mUc-;ooO}N1B?*9e0WX_214jks=sPI>4?rU# zaYZ$$v%Y+?HxHfrDe*l^frQPZB2VtGt4VppSC!4KDZ3Jp49|5;?4H728CE zL(w<3>m3Qe9RX;0-~jj;C~!9p6ASxgKA6mU*x{pVefMDr7VPy%8|CaeAiD7MaYZhE<+^xRV339o6<5Q;@eOPCJjuj4LBbYM zf=BqsdDuw%z@Epz-k13YR4mWs%T8LTFD*2IZJ=B89uQaMkE^le&J>g3BFo+~R`{1# z3LyXx9?%;?D<#( z8pz=#OJOC5v`}+gx3Dln`NUj3@=uy~hPZC2VS>g7%eb^)x$u$#nR!}VHw=w{mTcIW zfS%X-Fc0sjmNDetJKUb39BMJ1>>7P%Ue=V8@wyJNQ`$B;z&-Nw!gYdE2w5{;=u6$y zQaQ#IFXw|PZ6I>0BTg?lE=h8?+^^h~Ga8meVlgY8puHW_VVb$rOe|mVBXG{-Mg=Gr!E+&R;K;!o621=x?gg@4d5v`1MuZ9sF z&Gv?F*c4+Oy*tD}@-*19s})3{c(<|p!VhRjUzvVvP(HZ_jH|z4{G(3s=bs3Uk2~B8 zhN0$SWdSc~W`L05iHf8Q>=o@=}fQ6pi5%$B{|lkVcYYEXFAyYWw#~;{`J? zt9rC13kV?wp^ucGWn=ihf9II!%BDJ!XmLRp)$PW%I6skX;h*Fl5}Kg5YiWr;F!8dB zFGT{s{qdAnTXSRjsLqTI6~^S<{^&hxPo zSDYrl#}ARU^4Wh6G{MF@m{W^V6#I}*Nu&`IR&q)U)xf>|73Q7JC~@JKTSG3R^-?E& z9U*Ng<$4l9WLTGT6G^s|efD7^N#RS#;C}!4182x~=R2f6+@B;DP5pxwyCIm&N-qc> zqbtprWYt7Ml6B|wG$OvVR^1G^n-!kAmuXH?_9Y5pqm%SGjR13)wvD`gsEwzDuOQetLMDw3jIx9XH zYBHN86KG<$wtt#5C{4*69S)&TvZPf?k`+q+6z$HULwc%T#*J2=IAi8Zyug)6f){MJ z%9ZjiE$lF`p?DD4hj=&g$!Fjb)R2cVlk= z;Q-J*G`8nztRYIutMgk>ZIqPyUbi#`4D`_45M=`w>tq@zg8MA~>c!n1{P8a^10VW} zp;E8fXM#s-LRdbA4SUwk#oJ)&#VT<0W$*baZ;A!okk4S`3i9A1{IDX6e2$j^0^F=% zK{Jk)Y)&)N(aMoslhu-Pvz_JO(~HHbuTj?ca%#-S+T1LRZvltHx@&XT}!B zoi}89GyJr*rdrgy`$KCm?lL6mn$c3JDKxyCGVhZ}Uc~-=Q+Rvxgs;&53?a}V=wfZ$ zfAJF|W_kynA+kpYuKr~dTI<_4SbK&(@<|~xb|&}Xt?`!bd@!{n0y+8YM+J{VWG${OGtXC_HClNwq!B+vbj)1 z-jzfJsko7M^#WYfMS}1*nx?2q#FhNTF+N%E9ePXf=cRg==lbh|1E)mD71&JnN7}Bw0&1 zk%Mz-2s%#hJ6qpd{VLmhKhR3k61OPBQra&iutrLHfjJV;F4)eMAw;!KGW_)}C^Y^@ zxyqDEa@(L+8-b9+cb+~C?m(ly<@Xn_51U(RAT&kLh&+xemqpR^?xK@R<=4IFA$WUHZpZOKN+3Bl%vw;${R{qv2pJhEZ-cwd>UNGv+T=uD6KkbO zk1%H!u82uxTDC)cNTA?S@ac!(c;)o6KdH%2qBxXta$Y+tyHCHkw0@HmHk!fJung8G zQTx^%!;HhTz0e!NQfHD;t+o#bT@U5pw3Eq6r}I5GQwJ-S*VQVYvfUUI85>Bsr@ zV`rmc*Kfq};+}XsvvlZCtbDa2?8j))a-1$5lJF4(gSDqscmV3klB!Zfq^%WNme1vJ zQ!`Gi(S&<%138FMu(zo0f!gm}+$?xLa70ck*jUo1s8$iK0z3N!Pbwzk!vjfC;S;&c z^6{^4pAAkumYu`0P$J{k0-g_N0TMPfebi!ZM<07|ywSzDMUpZV4ij!74il`T80uRW zDoVc*@${d`EXCw08rO9s5(sP$_DCViGkiY^wXH}q2Bu9&UKCvoZ|0#$1VK0^bn%V# zVrkB-lqAGau&sW(@+5Wx?A|RBmc7$&PPf8X-{>Jg*gSClg|y?uU-ah!r|VblWlI<% zFE(gad!4>cMRsN7KD5?n@x6D>!EuhIWx!FkSj zK0P@I&7z~xQY4MK(!ER(>VYjWAV1?0c6*j|8e^lHeO<4=!tK?A6lgCvB?O<-Cd;Y5 zC(duD_93)D*y0WQH7khXG`T1AK# zQGu81!lo^As?`?yWvK7*FB(a89T3lbrGs<9ET|1wIctL5}Mb?~8m9OFylw zXoWERp10JTsfOueb6LgMK)3kcV_%t2&fZh}LEc+GI+S_zJ?U6xUKz{fbS*u@U;j=i zuWM33Ur=Tt6I?~2m}!LefC~3WLmH`|VVQ4G%T52TQ_+%=y%(1V&fu{ZRj!Q&o!xO7 zojm$jcVoLHnIh|4!Gs~?G^tQ>4U1#ejm5%>)q-?rRJ)m%~!@U^2jsJaNeF?ORx z6|v|hB_f>z_OAL}z7tM4VLf4_9v~!bY02Gp$2ho&{{qXjZgG(PCv38Ykp=2sKw>CGZfT#K*p3uM!74CPiD7n5UF z*;mmPzJFu#DWaey#KtGMe7}mE^mmW|<^KyeRs`07YzR6*SUvD^+9w_a~`plqK0Y zK_vb~HwcKU`e8*m^vQB4$)jes7TL=GK&l|3pn?WAUcGs{FuJBK_Cy<}nc2S@&}9dA zJMi?e-28Ww9cZEEC58QQaHko;)c0ES9|3}&_2g_^B22Ahw4R5F*Y(F zF)%MOGcPhQF*6`jN+4HdVjx9iX>@6CZUiI%0000003!zg0000009*n90000000000 z09gnC000000C)lHT0M+aMHHU<_QEbJxGRdV1ayr`DAc_D-%X71u@BI=VTllxMhpcC z#bOYMqIm@-s1y_wVk{^uEG!Hag@vW1v9cr^3rH-Cg%SC^e&3lncjn%?@OF_UWZj$0 zzL|5*{pOtSoSFOHo$WIAdI}%C4?uJ7a9aZkGy~M$UBKK zH3|kk`!vgzp?6^BgCi6DA3M8IXSY-B>SqJH;n|OjS%;fijj7#RUvKPf>}pQ$Z8ml{ z23oBH`;PACZL0%)b8_mnR_hQn{g8?$!)}Itone%!RcHz-H&G~#4*ywF&rEKeZoPZL zdp~p~^Mk=(;Nqhp8oaAp?}*unwxxAo23%~02jbF*bBXB`!JrdtR>6=HtW&2aXp4bq zj^mFwIy4 zo{YhY3t_P~gl0LBj%2c7mSeywa+wqlm9%Vpy4vHfS zt~v{-BMZWbUCjzk2ga}N$RT$&nr=kJp1LTyrR(ya-?eAJE44hma?mSv5qss3SL%ZH z%3-h6Ey^oLyizv{uN?JC-9Ei?%qw-Zd*!%SYMp!KgjedS5Q#1t8IWbJCQFKrEDJia zVe81osUsVhj%*}4vaajM`l=)A99NdE!(dAg&-$u$@|X~Vp1{EF6Cw^Vt=QjE*jo5# zkvqbT$v<#J6+Wv`CcwmybvcZ@ppkvHWe-@sI7&}dLl zP{2)y*J4pTj#$cs->b2Z#SNSfoOZ2M#W=o(WXwG7mxuhl@~jF+yiMoeDI*!AN4N@Q zz{2_6dHcZd`nPka;AzO~8iY~B$A6UxpY5`)dhX=B3SKhvn*D-;mf!LZu1K-o%45ZC zp(-gN$`cL>en<(rA)uerZsGH8rHsK|b?xBOPkFbv9;`jW|Idf}8}VYEt-8(@D&mF0 zy}wb+XEtve?hlJYI=@{`b06+!NxoAmJN(W8Ts8JYQRF6EvbD4>xbK|#rJca?{2=f!|IE)V$NUX3*VVmb zYqPLWJlsCVUK6hQdo4{&hq;j@=6L|~5a>DzV^${VG*`18Id$RL%he1gOj=%IOQVDM zoyQ-87Udz+$DfRXk~)+w^N*ZZ(0YZrl65#l_VGhHK%|8+d=2nNj<_m7T;>pYC*M=? zT50~mJ3yX<*nZJR5zBAVOF80`g2-46VxEObVs4?o%Av3iboj-dzc!(JF-LhMKS!iwcZzE(Iy$6dtwOR)PX zN9=KkDJrAWTox4eEiW?6|7=6=$NbK|fR|?jUU~yw@Io+RcLz`|t7_*BXuk)F80CNX0#e^(diYQ7o!$qlPtSD91 zi&AB@C{>2|8v8nY=@Wlyh^)uVqHkB=)54JVlut3Ta<1Tg2Tt@3Li%+{I&6+Zda5Mt zH^(47U6OX07NjRj(z-bbX{#h%XJ#P%vLsE-DM*i(q#Mi$NO3qFu-#!^G>0M8cg*Oz z>muyz>#J_8m0KClOvuo+Y^|_j4C|Jsoh&-;bQ?O~(-TKkQo) z2U+PVv65mI)30+>{|jR;+jt2Ma)`)nOS#- zgSqHKy`sVrWFuyc|D+PLhOMiDU}0cjSiY*z21qe50kIem2RXaNd-{dA2Dv#pyT*qH zIR*r{1~D;cFfuSqG+cQKNC7o6Fz`T?LKQHhn56`iRE8=*m=)w78sZx7?C%#G666RJ zj`wp7VPP-;s>}cJ%0>}LgAA60>cgg*6>ROgq|c@nKvkAN%n4QP=jiLo26h8b01QB8 z@d9yaUU6o6UTTU$W?l(9*andFC7?7&1uGCIXCxMJptyelP*eqoQNsemMZqDi0h|mH zK!s5`KR?X}(jX(Hpc=6%=R&ck2B=gGsvJ)^IeYkfI=gZ+2mqCynql$J3`m1)(tv8k zu*u0W*wZ;4Dh$@l!yp4xta2_}$d!<07uVnrPd~>HPk+C7h|Rp*k`B}hwi#p? PC~z=rhG+l)00960vgzrN diff --git a/CH6/CH6-1/incremental_db/compiled_partitions/BCD_to_decimal_decoder.root_partition.map.cdb b/CH6/CH6-1/incremental_db/compiled_partitions/BCD_to_decimal_decoder.root_partition.map.cdb deleted file mode 100644 index 6ac71145b41c73667014add5d31fd19fe29febf6..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2509 zcmeH|`#TegAIIsNuQ?N8Aq_iHrZLxC(#Wk-6q#>^tReTwu$I+^2f0Kp6;6(F`N}O@ zu1lJ^B)J{8ju@x57$RfL-IkqwPyfUBr}KQC=l%Zt@OfU(=lMME=lOsnBqXRnNwG>u zgo(>U>>h#9_)uH~#K;701UH1(5kkWQAVy}!5F3$fNn_+MQhSX z@nOfS5r@RQ7;pk%s3YZAq-<7)q-RN*l%k%N2jK8w*2Euy+Z(7X>|HG(MFsdIq8{j z#PeahK^-hUvkv53KPCXt&ni(mexmfURhW70=RMQQJ-@}Kql;ube5E{Qc|dMI=D1!r zQg73`8$NsxCWi92rEbsFD&+g?=HD&$|1~)Ah#0ynI6&u50G#VV&J5T30h7+3C>`m- z*aY-0_FlOd%Bp0}6^Mzxj{9vLFNLSyBE?Xi`#)>`bIt#6HuL&22B@R43}sA=j)rE> zx_8z}^2|W8#rzs>OZ$9F)jFR}T^Z%q=kHtue_oCnf349|My&8ADWnb+_pCLQlHl?Y zBZ{Ux;-vxlyo`f)Gq5zpOt&3-C+yrX<%Aqs!FV4LSYZbe{C zTM_e@zSlIcsBlMW)4-Qy3&a~$%b`{ZHB@mdCA+IAw10&Qyy+FEO0ts;->3)yjVHNzj@4xsn?F6KSZtdEo$%YOW- zzkL6zlTcpKhGuEb=6p3Qi2LCL7OzV?S(e27NHW zDqkuL-I*$+-Y;-rOF8YFo!z*}<L2vm^dUZ7hF{_8K z?3;}mb}=%8nKys4g-u|N$7ONOx5LpSCppm=ey7^F>#k);iuo^CPlMDRqb{qmqf_`1 z4BE8oTG>$-rhFcFV^Me`@X*rSl^REq+@8;b>>v1%xE-3YJxR_xDSAYcZ`z*~Hf&3) zI?)m*$61MB%kSlWJoBE^fRH}nMN(O|gtmC=iR|A`;TLO$ZGT*ujry_js%98)T^^dhVB`nJHi z0XI?2UrW>p;{w}@-_Q?gCX13Dkf6;Th$bQK9PthN&e5}5G7ks(Y^yV{xZ!h-M1t?Q8(?wfSSrya6{G* zHzG#I%q>9eTB!2rut$lI3sSgr;;J@L!C?&oh3@-op1j@)Iz`-m$pGvHaUGoPR5Wn& zv1|dWu(`3&79Dc<=UbxA(GnopoC$rxnR;vKVkfB~ID0Cl%&X(14Pvh%l=Cbku`Xw; zr-w&18dEw#N*YMZuei! z#32v#Fgp>)fH`uRu!KRUFMW+Qcc7FMONiB~o&r;+u1hd-n(6w9SnsNwlb>%PJ2@k% zWIdnzjtuNSw^1&5@!ab;mIA=K*!0_RJ;Hd37Uib@j+-h=aRX?9*U3oie8=ySw*ZG5 zzwWA2Ujerof-IC|T%gKE^G|n*AaOsdI?yG@voGl;$e357JzKTa2JduPphC6rbrc<1 zve1Wy9Hu4(I+!lyJ)gW%RdD0U{U`2zZfX{h9~N+Dv2xXSzGK1xW*M~e4Ogseij%ie z9|9ltz)&71oL`j%Pb=F=O6w!7KU8S(G_`S#S3SwQal3jJO-kXaT=wgKsZ_ct*)=BB zx;kI@wnU<`($qn*6Y2e0vRc;ZED7ti{TNz&ChWwuXqQpiL4slVTbU;eDnVuT&u|z# zn4!KYncMb#1!i%Ttk??Ls51zk>1;*?{P&!`0sGVqC5;+lL|8xA-mAAt4uG0001ZoaI!hQQW^?j+2cl}$iC;EdoPD;EZOk-XT+2j!c&^WS3T`Q?I|SolYqugSG~pj z=G^LCqcga{Tu>o7DLPZ+?+qyI9D8EP3bQvL2ftJ5?en9DVpKHaLRL~n8D)W@nYyB> zKsSJ{YKC(ES@}j*iW}tFAvlb$W~T8vDP7L=PLVkwsG!w=7)J!nlMMvY@j9Hl5>B6J zjG33G8`+L05-%V=jU*<=Bq01^6|5nsGMlrB?S`P4J08yO9$W}=vzf^W!-Bq$Ki91? zPpIonXOqvaJ#Vd#S82jBvCj&^BqBi2DB6NgRDdzTJU)N8b;iKHHFy7W4YWOEHC=N_ zMpqp3E&7r0X9!-c7D03Ov0a2868|~PB4n7pj{5^$H~IrB&<3WZ>RR8ltp3_iR~Ck# zq#miqF%>CV+`F?6iaq%FL!`afCPG?7>auvd%i^6bi(hJ;-tv}ux8)n%mVeo8`TcIoH@hwWW4Gm7-IhQ2ALSQ#)6r0# lX<5KY+!WL7lgH)PL;vBWLG6y9xD(so3?3NL{ToEl)<=LtT%iB} diff --git a/CH6/CH6-1/incremental_db/compiled_partitions/BCD_to_decimal_decoder.root_partition.map.hbdb.cdb b/CH6/CH6-1/incremental_db/compiled_partitions/BCD_to_decimal_decoder.root_partition.map.hbdb.cdb deleted file mode 100644 index 0d0d2be41d7eca551c47195de014f898fb81f084..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1441 zcmWe(U|?9w%?KnJ7_xxS7)Xbu78Pga=P4K(>lx}9C^(g7=Al+yu z8Y={QDTJpcDY&L&mH?GX7DG&6WdR$+aE}Q>gUE%f5OxLQn=9UWEQt&(59A#8D2a(p zTh~xA=g`+X$FBaUH*>pI_AZEhl+GgYW;_q~reBM*8_t3;pAjcIQ^#{aO`s zJ$dubijqBc@zb{JAAf%Le|5>Pzl)#A|Fil(dwySY;pg?wYxeKGJ3G($hV9R%M#-J= zxpsX!Z1c}tpWpNP?BB;{@4o$e`SIajn&%(CJ8kj&^UqaZ_r2ywktv$c{NC|BU)b)F zE4KxmC9~%5^e;}>TJZ;mIr8|R14I2%!!IL!R&Xmd9 zFZHoFfA43rzMns285sWmuVAbR+UtGTL1h1}YwN?hn>3Un0tz=Y6|ZUBvh&(x@1V=U z(K6?5dGRJ)SjOro!0s-{uI}zA!tQwR;N6S=7c|$mt$y#v?A6?Oe0TN!{_pQ5vT!Z5 zaC-5o>LQopyC#XY6R#xa#j3ILNzOi_SH4j9z_a`Lb~U#$1bT%RI~>+vy76q|q91o| zCagQTAvbHvbCc!^M)B$u%1T?GetUiUe^kt?D{f1_Iqlv5W$VfV`y8VzE4G_N7(G+_ zZ?3@D?A1Dk4u>>pR(p@d7ax-IB}`$_L)rq$r+3Srhh8l z?e0As`$Z?`#vH+}N(l`@eWO#RUTbysT=}<7{VLl_3es0_F2Oln&o)VJPd-R|Aqd2hK{Qn;SR~O47 diff --git a/CH6/CH6-1/incremental_db/compiled_partitions/BCD_to_decimal_decoder.root_partition.map.hbdb.hb_info b/CH6/CH6-1/incremental_db/compiled_partitions/BCD_to_decimal_decoder.root_partition.map.hbdb.hb_info deleted file mode 100644 index 8210c55998f9226aeba57897397a9e541102c45e..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 46 zcmZ3(&&U7*6?443e9xch_SZRizE|HvN9&}{$usA>&--XT^E&H&P>qM-_Bvrj0EsUW AW&i*H diff --git a/CH6/CH6-1/incremental_db/compiled_partitions/BCD_to_decimal_decoder.root_partition.map.hbdb.hdb b/CH6/CH6-1/incremental_db/compiled_partitions/BCD_to_decimal_decoder.root_partition.map.hbdb.hdb deleted file mode 100644 index 917a16e123ccb36327ba7aeb6541172d351f73d7..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 9807 zcmb7qbx<5I(>GS6Sb+k?DHJ>Wa4AxXTX8w;aCa;26nEDi?uWY_1&VvoB8S7_#frUs z-e8D}jgRe+41kzWFcz4^?IS zZ`&Igk%yH@rIj{p92pc$Yqtcukw{0An^hFX2JBoPXY9y3-4J2|9GHH~llzRg3%^NJ zR&zmt$4?Fk2Jl=76~SZw#!=8g>>KKU@jSx95KK(Ra*5>om*WM0L&MvJ_I<6}V|$NY zO`UGvz}sB6so#~3>{&?)CGkT;&L3JL?9FZuoV}bjR-XIwkxwIiA7qRYQr%;5_0)$= zs$iZZEj3FdrmLLGOaXE$lC$`XU`kySvbCH3o6^1rDW|n4>IL#VwBd>YjYiSs)M00& zmLg~xHH-XocGeRApV&X1b!6|1e6h?}V)(P6{=@N94V1PGyIeFD&f>pG`Eh+`V~gT- zpjK-od=Kz5>zB>V-KCu19@0pqT~Bm=BK}R2LfERz&xFRA+HDOTIA@LJW^QtJl7c^F zr|JouLRt9}JopD{6b2#w2WmOdL~q&)iT}TWHz&dE746=5L8{_^YW1djZB8P3`tt#2 zHrQua$f9%?UyGFoNgT|QlmDNhHCIp@H5xT44(me6tKZ;URt8rrz0$z9#i#1`#(JVD^hHqvgK$qbLU~o0k{!_vC6L| zxWL0RJ)%CB9a3dlDcA7!!5_JIk(Q!GC!G+-!IZ81Y)n=HNiO5&61US z+H9)xK4mdiykP`1(ptElRELeTds~!kj*VPdF(7gkvR0?$4Sm_(HW-o`5ex+FV$6Mt zZGBy{_(*=-%vcH)=)LLrv*GJ6)baT0MW)Qn5Bt_bJA6cKNhizeuq)}%q_nqbJ6v;A zPwtJ4kclO*WbXu9pl| zmCUGBN27g}M?h%h5KeU^@4xOuUu7i^wDJarP21#q5{VI-k)i+f`QA)i&Y(VJ#`n@S zoaSB2a#n!>m@ChGMh;l5os8rklW!+WPfrRiQ_re;h)lg!>Z%v*`YtL;5!WlgTQd>D z{W0gFBI(*+%AfvYk$?AZ%lWNh3zf4XPBx^k{fXm)F<3Y#BG;X-ryl+;ntb8k20ql) zU(r(DUf;Mo9nq|bPV=mYi-vuhXBRv(d4j%O+XJsIGc1GtTs35}56{2B>+Y*#rre@w zRH^N2X}$ZvvOh^BP57c3_p*E@M3>`?f;W`@bCN?yidg1==ZTGy%)7m(Y~kIQ^jW4e zEuO1`$8xsx7%7Kd<{;UH?xr-)*9ecyKl%Mmlm1%-X=AC8@AKIN;%hr&HaI>9D@+i+ zL<6&S$u(-7vog=<+<-x5Mtq)lPCqv0GZH^8rMBU{o$M)l+*9y4*Zj6?wK+1i6)sh$ zm&npOPAaQ8Wh}+N%MJCpW9f9Y7~a)8k%F?W;W|brE14B4KbXZ-(dVAcVv4V!(9n-MkXJu@Fx;2z6*FD9!S@B-5RvcEme8lr9@=-=7TTBH7HT3*Jf=U3R~;aNd4^WNKPSLoVUoA{-YhDm zFWM7eadd68_N^E7dM(0Xs0FsqjvW(XrbW^6W3BcLl^yL&D>~ute{2AqFwdTLW(u9q z(1CVl75$QOGA4F*gEOzU)GIG{l+i_)U+jl7fL~1Xg2KVKr2mA%-a*#mobKq;8U5)?ccL?vDtdfIzYif-(lxjna0$hz9Y zeSejDWNPT7bViSC_1R@^6lQVd)8ciPU`*n7RS!Y8{7EwQOF6ghz95W$qW|_Jc zRoa-T)=<(Eb+oV}j*afT4V7C*qjoc+l3qxW5gVf_L-4YUa+Jygix3w7&@fr7VnW^~ zjoCOgkEn)KIY0-LG;8QVf6ra1!gu0D$1i>8ktRJ#suf6-M7A)=>!INLAqR3~0cl{$ zE_hBx#OE+B81ki+B3v{pJ4dXGT*e3m3U)%e8NSS3jPue z9uVQ8A+e*_91<-ibs<0+3E#7NK`LXKWTg~~v1WD^p5Y;zqCpNoZ8&+HnFfl3eb1!T zQ83J2P+Ug{Wbjwl|IY5X)Sq+D2wlI|uq{*1F6MNkk_T?D(2bt!pGpN$W%)__I|W^?IF2AicvnGRReW{ZKEbPhUzhkO%7hNJR->o->P*H@?t z%ZnNxp{ipDRPX?`of=!#yeB3}Ed@={yoHygk@Z%Muq-}j#GL)8e>5;-4a=Z|t739V z2Kb`U>=u;Yxth|Mus#!L^z3MwWW4FO98M-Rui z7Y3;@j=3+;K|UKBdwU)0SKIInOI(J!{y*hCri!PXEU})BUsb89cr3r>r`Dex3ZW?) zni^2pW4XH>Ox}&YoLlm46u8X`WL_2r%ouHtvi(_@Q&?fSHe+G0WmoTMyOl5YQ_tpI zm$OkPdu`+8eWpwN_h2dWa8jp(Mho)t&o8CKqpeNmA}No0)>g(WhddRyr-wGL3i#6h zSgp0B?y&wI2F#?xZ|GSz@{I!3m;V`EEM=(VQxAn=yy8EHDK|(fq?ju>EV8?mBQB&H zq-S-aniQI%+B{Dc0-`_>3OlQFPjqRV@~j^>PB{XiR%zhzioTzE+Qe23F9#huI~DIe z8AM8+p{&a+%XH186@D&rA3(*tBa;=Aq>CgYlONwZ=v>B_kDVrKNAbT;>n8jQAI-HD zVeogEgQpvgk~W3YoP#10Y@OM?f&#r!$3>YZC}=HYy!E8X)&qUteyGM|jMuOuShr#h z1+pp1!hm;L=`NpY*dF)B1Yv-fRj!0W%57NdyYGW^{J z*@mF;$(~%ZZ=^-&sG4}9O!(fOK$U1nxyiy~zH5)e@A>7<$5gh-l@4LA#^C;AQ9x0! z5;xf3f}O7X*Bs2=HNE0}NQ9o$xzfs;4{R7;rx4$M6sP^Fk^Yb=Sj#rLLK?3Byi`J` zYuX<73fs$XNm5&HFVTeS)4dwcR9U{;edv4U`Q)t$Q%T5OJr8}8E~n3T)vyI0y-`;D-ZGC?|RFAEhn@%+FONtsORZ@97L>{;g-|aTIGrV9DHM1gBRCBh&B^O#Wwpfkc9x znIhx&EtTw&YV0{>qVL-nq3@o{<$B}5vaxL1b+PZwY#Vf?RHT(&wAym=-^N2H#h8lj zw6Pl|**jsLN)aocBZ|&+isMe?jatcTJDt~m5akB1sXQ;8uHJRSnzyg!Eqk7@##}{O zV!j5M4(yv5r3cDXS7gZQlJ;Bb=^vOAtn)?Ixy;V%f2zUM zSQ#ZzsVn(YJ^8{o{Zp{S^!gL7j3Uvq_#&{X-~afhYeTcSDM7k;wIb&f+=O)}(3ETP zPl1LU)VWcS|G5xnEM5xssXP6HrOU$rtKu|tDm+6;<$Gkvj|W%`WhGf+L+Vy^2j-u-CS%&l0?H_?c`W9v+mPIBAa0V=nsD6z1m%YWUv)8Pzi{Trjz zRs&|DA&Q5JS}ye5ZqTEz9#Y&HTYMp=Cu3{IR<3?uI%JmeeGo8aj`>?2cvIYf_&_@J z#V(G<_bZ?G%X@tPFEjlnz+6QBesv0~T~q9gNp%|et-Y;HJpvl0uV?B#Ue$kDISU+b z7>I`AH2S2b4bGbC+1}63&hF$k`Q(K$%mc@Pzp21j9#+ep-z=@Vb)R*qCQS`%b@i%y zobrVA1di*CvtLNgq9^kwHU$^sesG|*L6xWY=7=il1qr-~@c*7VvhjZ& zTuVeB&x38A=G5of@xot*x~%5@ey>dRvKwTrY8~39TCm@r1PQ=;h=^zf&^bcyBL}yM z2wJ~e6}S3M^qsFLPM$7{3a@qdIF&mUTQ$|Sb_QC{F}rY`jj>wxVz|p8M4z)>0;P-q&O4A*lW1i$xyv!DDFv zke5(iE~_=aU3lPl#wWD>VUBt|>T?7WRGji5{nyQ&l7{f=%38Y9sQcb7)>iA!l6N1U z3kRNY#to_Jf*{v$zZQJVa4^$fU5(>=_YkZhp*=5hf^4jl56+@mEP^vTdtz)C=AW4n zzM%;V<8|r9d5{5>R}q0MYQHFr)6H_fl5&aVq}$jkv|0%3_;8MsQB;KW^VYHw5E#}+ zbSRYF+fsSPl{cCg13cK7l_z>u_ZzZqa!1BKLWaX{X<=^ID*o9s{tf-}Tz*t^OPG|+ z4@M?4>pZX5F)APN9s@SeWF3rY6z?$HVK3^D4zEg&4FrzR0w~|nG;Z2d>gZUH!4Dsp zMkkzkfSU!PnooFPrp$NUcn_g?zIu^QYLQR!kxzP&_4S>r4@$JJTdB$i;?agOjFw4e z$FA~9bzJbPhQo{cT*u2*@Eu+=hP4;emJa&_ zKeg-wmGAL}F@c)cVhS-EMGutihp5u(0mM1nm5{hr~7CE<)RF$mt zuV$Xy??Q+e*6SCATE};+^}VW*A8Q7lL6HuNU^i}|bNP5bo&;@WS*wYEF?YL z%fThR!aKEil1UR~A^9uOLgv`9v1>qgj600v7%G5b#v-iKy4y(Rg1bEdxSG7ESn(O5 z->)pUD0~|LswKQh5C*^Om@QoLZIT9Eh)5XR9Zt5IuewdNr%x(rw7RqO+K)4|9#!8% zf?oZyp(cO^&B_K#^CE(v!;p%g>fxe)r0mteP;OFosfgA`P-HiO?q_aP;f-RV8%vYN zOLZMtj=V#;)_rYvK8uyPuPzl&l;&_)Zb3y&w}-TEX}i!y1Ksa*(#6LqX_b63FLz6Q za-Neor!)6dg`?D-oY5&2A}Xa`LnHGM5S_>EFlD%G*;-phaCnQa^@rPmiYYj0#p^KHHfQ5nbPo(}n7F%JrI7ZUQ9 zLuA|mvK~v8X_Jat^A^HsAWhh>zWcH?$EU-qt31}Z{e9qm$*MDi=3sIQnKOY zdh>wT6^GFG3mKx{0vZ`q;!6^?T!xk4_=0hv*khQ&BtTWWKqlp+Z+ig zOLpS5T^rNZ>J?4}8N9lZMI=Y^FjZDf<}9F0Y5n;I=nv@7yM5c}NB0=tZ-QD(2wp1B z4!oSAfjyLMIp$jG0_1OlsZ0xB3-_Q2x;ofd>Fzp;vLKEe~7SH&kt`< zmb+^M3#S5LwC9?8X(nG;CbfPOE7#!aiXeV^c$#|r2%uy=Pu;^v3g=r&Jp2jP!2!F; z+{9Fen&xXpCwRy#NFsHT;pnL6TII&%{cb4xoGpS{dzTRtmu&dZ)9I>ABSf4 zajvD8kzq>Jt$!HZT{&Ph2d2FJ{gHt|tiz52Tq(iww~sds>hA~UYj7${`vl6FAA1_kPN0>YueK|=jJd;seQSIhg^&i}w79;5M4z?( zf!cQ{R8uiy_i)=?42|?Ed(d}?c4fIJvvOR1S@IBR`)|H!9EBNSeNC9Y2W-WC3FM*M ziFZ5=_PbKmz%blgTi*@jD>^@YC2ZfUupbUMasV8i2oJ1pnJF8>8|z4sx5)t1wAP4G z__}Iscl(l}%bU~k-@^5jk%#7yH`G)uGLbc}hLXt3CbH72w@NCddqPE107|OqY^NRrB1ITnFbgiwIeZLJ zS;}x<3Os<;9YO03p>+?L+kf{sOiq!{kn<-0bDAJ3Zfe(=DoZa1P#V-Z_pq01p+$xt zs%dLeepi$W=WJYFe2=4~du`K!ldTgThIbH^HAMxNUgUj_fisE2b!u#iT zrX|e9R}KC;Wp}>9h<`AR&$WIjD88}O-T)FkY1d)85Hrc%+Idho4Pdwpn(V?zgHxmI z*EarBn;vka_9a^7A`{QoAoAn)f&6)}>LHW{$?f6hEv@5`Cb36$bO(AW1YN9{@CKX* zRXu>J9z!{gpwi4GkU|VI(=R4vDBH7x08!Y+d}c6dc%@ z{n(P-C8Zo7tGr&p*jvTu^m!Wt;k|Sb3OHgU_J~m(shjuLW;iz1oNx74==tp#sXD?5 zSx1eIQ$pz0ZSM4)%{iviTBafx(cwqrAe&V>{+I$$rVkIemQIWB~1(Ls8G}PA@>AY|^GNT=_ zH4*0seGkB;#;vB5;B5Cnj2V!8)zlYKOBS+pguu^c{cqnYp}(}pR zeG?VcsBTfr7@}(~#qz4pP-Co_fV)a=qsMXP?KJSZ# zE<5Om;G1z&9mHLLx7l*4aTTD@K%YBBJ?7 zfw%&W)asZ=@Wa22i?ZFBC9M+F0o68mpI05th8GCA7O}b`QU>4!(rHotG;g)bZnTB6 z-Wci`yC|jB!!w!F>jfF3HC>vBD&W>k>Vq>X#nDAjxmUhY^Yynl43VEL-rbIu(5b@4 zR93W}29_Dl2cLe!nN$a9V7x;W@x!^WuV<0N7~t)LDKjJ73-fhKH#l&ZjX6Px3W%Rz z+|RALJ8|(o*epK;_-w&7KfJvG&zwTyI`4?!AviI4%vy39lmRiinPrVz?qqM2+(fWg zoh6&i8UjOeh4>%JyK4WG`>9=|k}A19;WcD$k2Ks`FD(ZVImS)4;jAKDo0MCQ=UPsd z2z2nSCIVQ=1j3J@n{K^cRv z&y$SK0!4hiyhbO-fjpokxvq3mFo=1Yt}$*ujYBi-iYpuz&2td17TgVYI?QDk_D&EVrgum=?0N;#sq8 z5J5n6B3ZlSvyx`*Pg9QOn;>Z zW6|Y2mL-hOtvRGzIQ^vq>+|Pu!9PoL7T60)cnqa2G^#g+(mz9DzcQoY7HvB8o=s5E z(pL)Ozuk}6l+h=xFDAs_cc`ix7WjaDa)g+4JoR(dpj};_h3Lo(KCn3%7i#)6Yzvnx5^~jloUCM;$Z?E=&G$T`|WFWZ9i3S zWvQ_th0LBEzl@@gi2=={9qe4B_9J+*snC^9n(nJ2`l5X-l9w!3)P;1*%9KzO&2%~C zNMD@0P?IwC1C|WTl@u@QtI^RM=vNc^K5@u>Ni9-H;^(!Zy#My}2dMgE!JjTJosW{O@d#LtLPPe;6e$Mf(t@wbb(gG1Yq8~2o^ z_r-38ODgKjBgGYfc$@Y!R9vE;X{7lddsNAJa8qaMZ?KU{2S2xv7pwB;<#En5It7yu z@MLFwejVT_>J{)-!eaD03VZbP5U-=MC34~jjk-9FYOtb+euH7EL%U(P*h}DhEMBGW zpM1>KP9&SKP9!^LEQw*Q==9uaw8y^2BDD1Hk5@*D+rG(^CT%FHw)TVvJ=(VrmF{9n z%@FYCv7=r1V*CYsz^4|g1e`K7S)o^<^+ilCb6ylWr`U@{*c=8V&>@uQHuSZx^6bS@ zKDvs8K214Tq2&$Yp)OWP2}BXvIDsQe%aR*M#Fvd`#Fy=V^5lRfvPbv7NFt0}pEBK5 zOEZ$`P}c;#50G3?h@YG>_LKs6vc_QNrI$a~w=gBc*tjONi41h0QfnjWp+Rq`e+GH5 z7x$9cgw(QXzJfaPkGyN^lw!f`n%G_<4;$!L9^3-^$rJZ)(?OQH-cNHS#M4mVKTpRq zddr0a5Ffms3l3P_g5Mp@j3sjN_&`00=)RZ}O10g5-q-~Ca6re2;;O$Fw# zmQ~<JS4QkuK>qF0@TwnuSS_z8t9UYU^5u1{Uz*F2M0PHKDos ze_K@%&zkTVv)D4H4p0K?&(ppVJ8R74Sc%{9*x5(k6&}IYZyVDra;&}|S_5k_W3Q|O z&lOsb!;BXvLCkJ)>#`6^U+*?%WLxBfJz`6O9v3ehA4Ju+N^>h!GM}K}VJYcOSF`S5 z@U3_0X&etU@nlwh!}A*r@4n0p5UC-j|LT-jO!ugPvuQ!O1zNm!$ zv02b!PDA##H^I_FqSzv&t$-QpwUpUN(ZXs!HLrZcd(&(#_d_?uD@nnAf)7?vC%V}L zw21FD_dOL!katPwatY9}LB_QpAdwLk^pUk)Nh=-53#PrvIj&EY=b#6tf4{t5Uj7G1j#kqE diff --git a/CH6/CH6-1/incremental_db/compiled_partitions/BCD_to_decimal_decoder.root_partition.map.hbdb.sig b/CH6/CH6-1/incremental_db/compiled_partitions/BCD_to_decimal_decoder.root_partition.map.hbdb.sig deleted file mode 100644 index ef58eaac..00000000 --- a/CH6/CH6-1/incremental_db/compiled_partitions/BCD_to_decimal_decoder.root_partition.map.hbdb.sig +++ /dev/null @@ -1 +0,0 @@ -d1187c24d5e18b5b14f48701f0f8928b \ No newline at end of file diff --git a/CH6/CH6-1/incremental_db/compiled_partitions/BCD_to_decimal_decoder.root_partition.map.hdb b/CH6/CH6-1/incremental_db/compiled_partitions/BCD_to_decimal_decoder.root_partition.map.hdb deleted file mode 100644 index 6646235d69e7af403f5c3b3e3a8d54013b5da3d9..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 9864 zcmb7oWl$VUuq}i@NU-4Uf#4e4-Q67mi!RQx1Wj;vcU>TOfW_S%f-N2#7Kh;W^4FVj3!9zems6s>hhX@Gv{~Gr{sS9v(w{v!);^b!IWaFTc1la+t zs5p7Ks5m*;xwzT6I5@efv=piI0AHzOtn57gndT||FGC3B{}3UJfBawhABNNaZ{G{? zIOlz`iA=_jnI!5XCbeXDTCM!|PaX8+gud)+>?29*$8^-q4LKfChOM(1s_y~=^Yc0W zbWUbxxC-aG%EqC^P7;Hq@vxWlKm;Df>e6@`39KVeuOR0Ry)!nQ4I{xr52u{f)z&8e z4NbH8s@9b@KNqHy%(xIcid3B{N2H`}B&ohE*+CS!779v|T>dw7haU*Si%kP}5m@%W zwje6urnZa-Mo9g}O5Dyz*%n9hAd3mH9A}O}UYcWJxhOKsO8UbOGKSyH0V_d*cp12L z(s9Yf2MLTl?IwYO8MzxBK72<#(u}A355&j&-X_lycU@gqPm|TxIm7o-x0TdZ29p46 zf*jt*42{Ybj-`dn3eOV*#S(&IPb#IFbQno_kXHGv<+a6LLi&EMm61dBa-$97y;y`e z&W}%T6y7GHe!`ZgiI=AO|C=b|eS@a;c~b2Ys~)jI1L(JcoF%QiOsj3q%VL_PDQEp!!$w5E9!nc@_(H#()XV`XhPaV$>&_ z;J`DSQ9*DhaU2EcTyH z?-@s6kWtXuhbwon7mcj&z^0@emS~zM)X|{ts1bVtgtjg3Od>O-DL(%;ySgjsk(|TI(8a|sqdkw1Sw7#=0^VwP;@4a=o&Y_ z_hv?!mp}{18fo;)9a-e6P<8FIMm3lNQkQ3^_ypQY@b^9iC($ZBv-D|i=w|@e=QsU8KNmS18jTa zG<|YP$;LYHcmFgSYhZNpZw#n`8`c40gEDrlSu1)vUq~MOT|nTGUG?K%o=_ic$O*rc(nz{gU~7U84)=dIqz@vkhzJUFgOX2-UN22meeIQuFX zb5pv$hfwMM%<4&e_(=-yo~)((wZ7~Cvl<#9MY#yd-Kn_-Mm-o8}92%2CfB_YYugQCyZ7_VzCs&BNn zmz3NW4z|Xy24$YC*1aT^eUD5YSSU&B(S;A>vpNN;$)zCYREQ(q zqAJcaw0Rjm`HR0R(yd-fw4P;h!+sQavVYy;@?<-uyH{=2pjzY4OmjrBZ`0^VmjJ%T zH)^SH1iD5$I4itX4VLn^Rky-+ww7y1a$KL4e?zLb`KnER&YM&TZv&zLB>*T?C{0!i zYRPM?8zpLJXTp?HKaj7P6Jgx!411_Ox~m;&9c{nCn5x3bf_7q;HXTe!6bMw!S;Ib$ zE(5(SH-5*Pjt;~`RsVuNz_AlLn?V#G843AbeqlnnvP6u89o<|3C5}X=`_*oGq(e)f z#-gfc=6SoMiXth%Bo;+&jCddUxTB>@p^D z!N3J5rm3()#jI}Fq4wUuRm8NP$IrO2gPsp?;bj?&N+ZJRrYZX8)dNYTgcn$k7s+7Bx{e&4{nx~ITOvt{#CpS2dv|^PIb=r1% z;}bJrde?!uu5{}`LBwd|@U8}Pvn6r}2juO&f&G(qjPYnBY(D;(Ga7Q8h&=clYDhf zhi5tzdnu->`2dhubzlaE;WWbkeAZK}4E9uCRV|D2FsxF33p#gTp;MGf5#p2&hHrVY zNVkV7W@tyG#he!@el1U3WF4AfN}1C|HaOccEKx^oY5JgsRu~ekTSl&>u_)A{JMwp1 z+r4x$Z7wA5gC668VwqA1NmgDntH#e4w(vtac`HTFvetBKpP*Qota-derVPPk)Z0N6 zTPz&vp;aOK&DNF36mtuOSM>nBZYCUihU*jhsxXHXNx^2ljH^+liO}TJp456l0+PV{ z`^v=d5aWGk%oAz(V(Uf>nYC6ao-hUN>4zB*XNsz`Bp<;){_4D5k-b=TlgSS_PvIGg zc+8(FVy9UkqE6P4Wu66GEt=N=QLQi>9&j+cuyYfLgRxwkyD*p5rXo4Nuv*8#;HK&# zHw;7;Dts)5_BSd*EJr`wy4g@?NZa!26f0=|u{+p-$22(VkeCQm3`>@3HXZ$Byl?Yd zgVppBBA?`jCQ}TFKuYiPEepQA_DOuz_{TPSp}MuLN>lvBd&tcftTwcrX}()1GY(%2 z%4`4_uix@io4`Dj-LEx6k0A(8fbKjAWytLtrT4tE*)qTLcN ze*hGg)~@YyT&Viu0mZj}j*pEuxQ&gEH9U67BV6c>{N3YJ+?MPgQ;X2EUx4up2F-KZk56(ehhrNGdr%Uu$ zNtot>Bnfq&CB*D4cxN_=l1hu?CW_0#1gqQJ>?6MnVS(et8qPfZbp)=)|9p`huIv>0 zD%sm`=^)(k!H6?L?c(u#_r9mgTbE|5Q_xk+So8Ba#BvcuEoXHeZ1nyRb*=S1cES74 z9_11>N?WeVE<-hC{gtaW=S|*9(xN@|3^l}(xeLIs!$TEz6k(AZYVL@!An7t<{hGwl zwU4V8iT}Km(I_jJ+kkODH^=yy9BB}DNJJ_ihZFC9yJIeW~?R;!N{_~yPEdE0Q zjrDwiQ<%YfaR1zldI=_vk3I;Gq%wY{x!vSLVTkg*;Od1sxgPVLAzkKbeQv8Iyn0NT zhGHg6O5vzp#iNa7Gq_J%GM!bAfx+raHRuZ?GA}tdBV@LOEo6_uD)5BMM0l<1iQ$VJ zby7nV300z38OL~WQIE(50ZB)^LTu>szAeMkJ(PI*A%qE!%cu17;?{$V$-2X>4tS3A zAzL+%e=v{mG{aSS4Q*jk#@jH;ffH=9dg0;&hiV=ehD2AlIuSNu@)dwo99LRt_ipA? z@ZRVQzop&FEn3xG1-TB*t*N2u{PP54w{r(1k|LC$sFgSjRc1J1@M(J{+m&dw&pLQxM2o%A@VQylN6&4%oXA{X z|LC2ibG4n2K_by7KdM6{1xon`@!#(fMLDz{zl#zpE&Si7ow>8Dxtr(X@f_JY2KjO` z&}C)7$hu_C$Z@oEi##aF(=}}UbP!qS>ZJpzagTLyq^_!qZPmW`ds?{Z$$pCt1ZqL7r#PuAyT+qgTuAGR;KJk1BOs@&H5^FaAzEOd&1hhT@l+` znp!Vpj`jDWH@BdrITJ>$TSW7_{qvI`E&%Aq1fY-00hVExU_g-Nef;^g zS&kLPI>07i-4i*gX=DW}Mqj}kH<=j&j8@|@w#h=G&!$^N+B6`*QuOVb21}rIevjJF zV^H9>t=K!FxQ_YflaMfhxqM8oqBT-hI2YdeZ$&g~+imB%?FTE_BgK;HMspdaYgKbj zL{6Z;0pwVf*weXPrRdG=fL7@1?a)8K3jA78RwHO@H?Iuy68Vrp(fhz(Db(gI`0M0w zp*r@|*9Xc@$*F2H>~m-*Kfn`aUHcM+%uVKpsjuu#G@AQP=B#g486rP{g1w(^ae;uQ ztDWjy9@hx2;=A!SS%W2@&}YOF1a8{}5jsdo$ZykR1eD(EKmQiYg3bb9#NFrYfO$}A z%^0U*yK!j8oAkC_Ge)f&CiAC6#QdD^k*= zMg`)=!yzhvGn%91KieYM1?l?i-N5;2Q8JPJ zE5PW{3UUb$y3KS`$u@(&H~x}LTo>z>17}&0)?30^11Pmafe{q)sI4IO+CH7Q(OZms zBhWRJq=SC0{?W?t5dcHx0tTVCeIyD{3308c=&EV!VqaTGG8@vcRZ-ImC06D6@ckf-M@eu%#>xkfvy0y>3ExLo=t?$NQ$e0%axSG1#BDME1`jNWsH#10GyEr zHs0B+tjw-0bvDAeO}$-Y446_FO{I*nYjE~g9~8G0)dL5;v(Vd^)*+s_M->tZlj9qw z?o~^<+0+!7lIw`7A;^5Wf-dV{bDXOY$3F;7PSzmrox;!F(zUB{Go7<-AP+4)fbbc+ zOd(Is02oHM6`|Z&D&rFe2WX*e<%6Gq$JJc84xD!PgD>8jJ3?0(`?6vP!hB186vlUlal#6x42zR6UJ{oz`7 z01Ss1svXLjWC7x;G!5k$u9YQT_|%vAV>53%Ln%(#pay*f^;j7e&0nHcGj&^~QZogZ zQUh-f-Xy*_trWcKGW3dtUFk)YH4SNH6k;uYj}+R8aj)!ZGM53A2ZT4-slPH5z9?8< zZgU;y0brj2FdG1j&77K`@08svJPYHq*mqR_?H*bSvg9cqHTY+|4}e&nPGx5v55jwhd;&bri)(I~6ke5Lz-}0|Vx>Hi*T~tV)=Im=>OhCii4W$9% z@3)|a#m8n=qoTW(pqnNwQLN|ya2R{?CZm&sS~czBQko;>$Ihs~$QgWm1{~WH`Hw96 z5E$kyQq&~ULi`!CtxH1zj>KTluOTj?p@kn)h)cfXK z`(R60An~$n{Jy?uyXDbc|4w&2NZzkrdB3^F{R;Bq;v~ta=_+SV^1~!nw7fCsgJk&} z{_vF@x0#gT?0VyenxVgY4-e;W#P3G3sBb8CX4o|=esu^~f^AbDSU%kUE#q4$SdW1p zI1g1VVN~7p+_=ZP@WJ+F<_M+dRA}H)`ENO&W965s2mr*%_lJ-ALrY&;cLNaJ!;ZUH zM}2*apN&JGB|}9JqpRnQreXp<7v}y|;hi%`5jnDq9g3S8sr{EGQC!ho87@tD0j1b# zhi%05;sYSe^cg#-{1Rg4FK-{Ru?rwBpr$QQ;>h4k*(+RbW~(lw9t< z)<(w@q-$n9UK%s`9*w8@@0QGF@ror}f3}#M%?->sJD9ZkbqBO$80PIc?Se}&h#aP) z^~5m_r*pTZye8c{uQV1H=n&uVP`Vq3uc3^Ku)R!woh>^s zAs8<^SmQdTsBm}~Jw=S->T3-9aiLi$(!{ptL_X)gm1WX8?y^MMgy9!wI8NdwhDuVAFk=XI_H+rI4Bc^L`B?R0`*kxYg!DDS9>$$l3$4im9_d#9V zRjTDjRg%RK0LEtp;{(7Zy1fc>+qxfBAe){C!biHboCVzdoy^=%BE+8mYBD(yWk=Oi z({&X&YF-=h%d7m=2{4s<6 z8gv+;1-8N5XdYe)pneh72Pbt<6`JB{2uTzWRNs1dj?}KvWVO%lRqwK3^B75!Qx)!o zmm$f_8DoRu!v*!F$!Q8P@ioGJ;QWp3lNpWus38|wR%8`R&Z_BI87`vPjL=+l6yZ?N5#jQ;rlCYxw!r)b+Y> zLPGj4#+7|d1QsF@O(TUVqr9A#(T%@w36j0&SVI`jNv-UdeX-56=Y=u9)5=6$PqYq+ zGZ3cnR!TwR19k3Ev~z-gW40skEDLc#KM4s62y>>3I;@@d42*{-DfDvX8kds$|23&K(h$owxj$bc>ihl1ShOCkDk5D0Jx}eT4pdbY>Qbg#`o{7=uuagNm+hn?s@3P~ zFl(v>-<>Y4n2JqV8#b95+1kdYcT>7AmV86ZcICm5xK(N81`;EGu`|*h@1tLyVZ(5^ zWZ8^UTE^24^fsx`HO9WvM2p+e+D9wcGuN>M@l81TV*>gkCkNIa^*NXT*sB0_p8?!(6*nCY5{LBm{0tXfP7sV%$mez6|b)MZ2HW10W7hCTZ*mMqy z-(I6En1z`~AE7*8s0X_EX@&Zs5rsuP>@uhF&GXc7tX}LP(%Nni+=I~=I_p-Gv=G&w zi$&tt|1L4Vl80ZY?7W=v`YI|hfgIYEWK}wu3!Sb+rZ*hP4kmB@?$UO7*&lS0YXdk&`P6*-5}%S3nOZOYFA)Ec>J$Zz{kpZ)SUWU4H|W@+Nexf3K7H_VpbC z!t1LSVr7~G5Kjm1yR*{nvwxRCF+*}8t2r9LE+eO-O$cCPosq%n_{mfWTR;ATBccQb zk;+{0dy;`WBwPvFeDmq=Z?EI9F}k9~@B)a6=xIobKIs;wBjmbUPl~p(_6*VU%}zd_ z3hd|lP=GUKA{cNb$-Y_o5K+)zTE2;v{!)0HYy(T>Vo?+1R7oq@YQ&*FB{k|Gq^MO5 zw?iz?Vk|k7E)~}Qm>w;P zO-Vrtze6eGzy&f4$aH%es2J4yA|7W(E9SA%^C;@ZhKh!ES_6rO!r4ug)tDR8#=EgM zljDOo?-s(iPE4+)6naty_dnNHy8d99h%w5axct(WILYBE)*evsc#G@xwuA$Q+fS@J zu4}zYtO`kqZGUM zRXG{FclEThot&%C^2VZH<|G?s)v*i8dY>m2^fG^ISEp$ka@iea+6cWosz38Dk48wd!{gKCSwtjr7-b{G*+F*<0qxD z0|j0R4&==7fObX>kf1`=rk^fTuX#$6*GUWs6gg(~l#Y@<(@qTr?{2Fk)s*RrTOP0d zj^9r@)X>rCeBlbY={T@jSwS`TaQ)Kue&r&$BqLk}|H>R5{s3kDIrP?DC-DI+u zVWZ`I85^2mDXWx#__FuLn_%Rv#@{}CBGh|)noqswkf-U>f^e!H1IuP_$xIT+Gq4Vi zJ{*+_Ew_Riaw1QgtXbmF{XmT~XSZ8Zt^9+}gm}nTFE`lHJ{Nsk>4Q759`;1ab-}~R zp>kd3AFLDGUW4SpcR&6Gd#RRm??W$B6_T((jMpx z>0-i*1X!6$h*eAEd#v1Eh0HjFIltG@m6a(gpeg1!$%8E>g~g|ZCu{Q8{zOK$_0y+k zfc%%Zee{P6CTUsK__G;-JBFoI=XoW8lmXFs`_Nvenpt~}O41<2RPPCFOXKx&__ zdY$X*+|0AA!Crf`TUDfdI-bDmG{vht_jALpNd-dq*KZb42=abiU|fb zvFxHTRN~6%Cpa5WizynvwpUi;QKu{A3GGo2u^@x}xpiSkJdx4vc`v~RDlFh4s@pnA z*~nz~vfEBR-Gg9EvgUn~vF$`Ft0R@<^f)t2u~^rr8&`!woInyf>U+B`vf558mYVdx zKK7iEkW#F(=Z_Pa2;KtXPiL|GEd>QTb#OFutjvC=a2W3wlZQ}6s{#~+k6Wf&-mw+~ zNaMp_&)yM@6|%oK)3qe%T|pQfy&pk)TQ$nXpXZ!x(+wIWOlAp$m6hcT)}C{6M_IT^ z7-Z)kxC@d{HlQk3uDIY3y}5VM%tR`A+evd$XuWi{`>6(Rt8U$GOc}p%T$KIutL_ur zSo~c~(p7a@|A#QAN|C`|9R8Xa95Ck&dA;Cnv%|=7nj6x6`BNXvUg>WM#d2AXmMgp9 z3$of^mmGHKqRi5gh@AUtAA>sIwZjrKI?c>9Tc*)0!H*l@^_{nWvv?h(@mXGX$8uf0 nHioi*Jwq(M6{Q!shrER4RVP}k3(Tg;6?!3*(*a2TmB9Z4e}1d! diff --git a/CH6/CH6-1/incremental_db/compiled_partitions/BCD_to_decimal_decoder.root_partition.map.kpt b/CH6/CH6-1/incremental_db/compiled_partitions/BCD_to_decimal_decoder.root_partition.map.kpt deleted file mode 100644 index c0bc328b099a4d06eb04fd2a54aa32f8133ef760..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 224 zcmV<603ZLX4*>uG0001ZoSl&|Yr`-Qg?ImoLwjw@hD@=Cv>lSI6p~R$(S-=dk|Lc8 zf&Tc|5hN*1pmaKT_ulsoZr*tkT7i{4Hf-_wCddhmThq(KG@Ztf_tFcc7);(T4AD5- zFrIS;$3X@f;!XWX0xXwMS1-yCtkVj3o%6he#b6E^_^bk67FAtTC9mOg1shcGt__V= z)@A_n4o|{6V=0P=1a$J_fdO|I&~A~z-e4FvzF|cQ6h9NsArz#Isp*dL{U6HCg&y@E aG1r8gx)bYn@PVt%M&9L5ZFU9(m6OTxYiB(G diff --git a/CH6/CH6-1/incremental_db/compiled_partitions/Ten_line_to_four_line_BCD_encoder.db_info b/CH6/CH6-1/incremental_db/compiled_partitions/Ten_line_to_four_line_BCD_encoder.db_info deleted file mode 100644 index c0bc0f15..00000000 --- a/CH6/CH6-1/incremental_db/compiled_partitions/Ten_line_to_four_line_BCD_encoder.db_info +++ /dev/null @@ -1,3 +0,0 @@ -Quartus_Version = Version 15.0.0 Build 145 04/22/2015 SJ Web Edition -Version_Index = 369135872 -Creation_Time = Thu Oct 3 19:21:34 2019 diff --git a/CH6/CH6-1/incremental_db/compiled_partitions/Ten_line_to_four_line_BCD_encoder.root_partition.cmp.dfp b/CH6/CH6-1/incremental_db/compiled_partitions/Ten_line_to_four_line_BCD_encoder.root_partition.cmp.dfp deleted file mode 100644 index b1c67d625638bb473b681fa4acb00be38889ece3..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 33 mcmZ3(&&U7*6?3%pJax1>&--+q_tkmeb=JGlkg@C*Cl3Idx(b*8 diff --git a/CH6/CH6-1/incremental_db/compiled_partitions/Ten_line_to_four_line_BCD_encoder.root_partition.cmp.logdb b/CH6/CH6-1/incremental_db/compiled_partitions/Ten_line_to_four_line_BCD_encoder.root_partition.cmp.logdb deleted file mode 100644 index 626799f0..00000000 --- a/CH6/CH6-1/incremental_db/compiled_partitions/Ten_line_to_four_line_BCD_encoder.root_partition.cmp.logdb +++ /dev/null @@ -1 +0,0 @@ -v1 diff --git a/CH6/CH6-1/incremental_db/compiled_partitions/Ten_line_to_four_line_BCD_encoder.root_partition.map.dpi b/CH6/CH6-1/incremental_db/compiled_partitions/Ten_line_to_four_line_BCD_encoder.root_partition.map.dpi deleted file mode 100644 index 948c498ca543d8a6f1b24f313d07197981816338..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 711 zcmV;&0yzDw4*>uG0001ZoaIre($e{cwTElfKthI1xdP6v4Lplx~IrVOk>qa znB%Y^?Z?kJG$l=7^l=LkitoGc&i-;2OE$du6)|m1cuJG_x}{&VTN;V|B%ty0b!*|= zo)20#=nSqf7gR`2iq16odjoP?Vb3h_Fna?O_=8e+mmfXmPfa&2W zpksheTQ{_ad+i(XG&@k)A=r;EXQuHoDO}cUtjU}Zl+bcOj3a`aWCej5m7Pl*QMN(m z!Wu%bGs=vuWMt0_Q}5q)2VJudfr84cG)%Z~!xa`G`VGOp`EEkWNLt1Uyb3E_Tqhr}+UF>yuWE|ZMs#>li>RYAfpykH4IIjBCd*sKVe+moR)ezYM_W<8S=h6P=d z4=te_bixwc*?f9$o6gatU@uKrCU#jtm_!5!8burMnF=r=n8)Xjch&@$cm3`ETn8tv zx170tc1Asp`3C(=_zMKD))8%v{d8Q99}@p1%_7w9^@!mwFAd|W>-GG>z`N|}-M-fk z0uOtg0D^*gU_OqiNYUcKo`2HJ>6h7fVq0?V9Imz;sP#~EFKI4hDO#c1lvK?t;7rBi;NQ_ z+h*UavQ_C1b--)Qj#McxNV`&*f*@bC+}DKM`|4_Z)Vq=|yaq3P^)BUBd+D%lPc6{` z%2ASuRVEtgDC@}T^B^54HXs9Y4}H)p&1!#zW~{{T-;WRU;> diff --git a/CH6/CH6-1/incremental_db/compiled_partitions/Ten_line_to_four_line_BCD_encoder.root_partition.map.kpt b/CH6/CH6-1/incremental_db/compiled_partitions/Ten_line_to_four_line_BCD_encoder.root_partition.map.kpt deleted file mode 100644 index b05e7c9ba3bc771c102e80c8058ac4eee7e1d12b..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 229 zcmVuG0001ZoSl(PPs1<_hVT6qR_?S-D{)$T7;xBb2n5F{A+8o}O)|$t zn#7MMBN871B*f9L{XDkh)jqPa2E3ke5clZS!Fg01)D#vf#ro=6p??Y%sh)LI#t1lL z&q&DyKL}Y%0mnrXFXCk~=1K=YOOUBaY&Dw{r8UjAd5 f0?lausCg&!d3SMr2Y;9vtL%Gw*;u^-$5xnBx4mgo diff --git a/CH6/CH6-1/incremental_db/compiled_partitions/four_line_to_sixteen_line_decimal_decoder.root_partition.cmp.ammdb b/CH6/CH6-1/incremental_db/compiled_partitions/four_line_to_sixteen_line_decimal_decoder.root_partition.cmp.ammdb deleted file mode 100644 index 5a438a18f6c002c89550b58839d39f280667d73d..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 265 zcmWe(U|?9w%?KnJ7_xxS7)Xbu78Pga=P4K(>lx}9C^(g7=Al+yu z8Y={QDTJpcDY&L&mH?IZFo6wVI1Hf}e4#Xm;)EE^P{Ej#n2>ORAxT~#EkPqGA%V$Q zV&6Y@MmK@^tSX1N#r}PtANDE#;J3f6v)40hEz#*7;o1gwAkI%eJWbFoQnpaaK9(#q6J-r!Hz^FVjBE|VU`)cKtaVAsmMz&yBiRkv z6Q;3@tuU66eV?(s?(K*7k9gnbJm-AB=XpNQ_lNTwJ_ZJcGIqw($-v-sTEJ7abwdUq z{CvfffbvT6iekDbg!g?hB{gL+B}D~gpn|fZ5>U*_Sj^7NMNIELBJdR582_DM$o_8- zL))3(`p=|t{Pt+ZUm4J+QY8M4lH{NnNW2a}Li}~Dp;%l5^I){>5V7W_e&D+oS!uQY zLN6ZIK39xmef>pDUb5dsfcbUwo%0gT0z8sW&*VNl|HlVIfz^A)ms;@_x;%q{{dN_r z%XI4ZYJyFFN*Dw|Xekzs9qHw-&?W7A!xD&jdc0q?cOLzm<1t8!8Nawr!~d7p}? zu^;nUzxU6qyt2zl;f`vr2&!7m4{7Ev zwNtc4(CT=|!^Q)hum#{6u{N>EmyyL4fT7N>_RiS0u)(+?3UIqaaAZLxUn)%-_y6GkpW=V*D?*TP6&318 z%nGW&|LE}-VaoypiF)$j^YFb#z9cCJK{~)PUKHjVn zU##hgYU4D2iYl=OyP@iHUHR1cjm#&4#!T;hF~ikfy1dRP+7-v0zMIZ<()E7gWv3_^ zYlD9ajFN&|px4W1_gN|piL+PEp zV1j|f*H{O3XpIpX)bcUoicxLqJF@c z1)DmYf$(g?CV4~upy^L+rG?B-Vf-}l0+*U~@$c~Q4LHHC@50GmP2zt^|HHAq4UbPK zZL-J9e=*F^hQiogQgar5L8n8^+3*_my7fg+u*i(1kSsv>)Kon5z1{X^s;SXb>d{p7B9GQ0K8fi&Yu(Y<75UkuAuFh)*pOnS93@ zYBrVSwbbyJDZ1L67hH8ap=VpVbd>QnC4F5#M><|B=gm~~rQzt3)1Bo3A)Ebt{;K1s z7mTP^GZ2;gvh6`kQZ|hE3U{sdvX9SBJGq-Qn^c~8kG?^T650%Ha2x9Q#u)_Yjx;$` z)?W)2E$Rp3JlS4grn`kOZ$KRzMx3|Sml~E784`Q@RVdAu28&!CW77s8mS&&3UYNZe z2wE1oe%!SgnApoJ4ptyy$l$*v0xb%9A4*WLP|?@>(NG&zNZt?KIB48(BXMk-cf4e+ zV^cz{(IsFY1L;)hQxaqMj%BEoHdAtOk#*Ka#4}7Ih-4aG@Dk7+5~7aW4!6-7NN%Jo z3a2+1_LD4;X8bRTSt8jFuK+lv@yuQ2h*m z-e(v5rwbr{Ulyvuf}sduI9SdAir#{)y#apEP@tkf$)M0*oMm#^+M(Vv&q{0Df&PfW z?^+7gx^tamP4SxZt?Ui&oA*aQk*N#;8GI&ln9sp{FE2^x-KR_1ioi%F8N#G})lg!k zGB9#(Q5Lb^LItt8O5t5%xpqkuwD#!WkeFzl#P(LsHC@Q5`O)TSJsfvpNlb8v^}K+w_dLhTgeXW4@Efw0JS*W?qG0I5EDW3K;Gq245V@E z^%*~6^yA`PHxGJPwhQq0NsDleI@&GYo?r9NX|@V90Kb@ii}V$0e`o&2#-J5Yqd>UmRgzQIe7iuDive_IYy*4B^f^6eg&j~bLl!*+WcD$`&V?^lCwa`kL87V(+_eB z^!!*?zNs8ZHDs4h%7)$Er@;YW!K**Bba;+g7#L1Y(2N*sQ%_zUzN}-!17h~~eG=gdJ{1A&vnvw4XNqLq8pLw_R z!Snka)6*x#@S6$n44Ax+PT7z2rhEijeTmYr`)D<)$Er@xGgVX^U2N0gZs*iEc3r@O z>N8|hQz(QOHVVvb{9*J_yQyeYAFhC`vl8)$c3E}pc$zuiZl)prkz<0&EB@D? zz5=m@0{!bqH#4HyTo3LJES2rc!M-=xE|ye_!`T^s)-8~2e3pijTLt!@j4v{4?i`cz zp2=%eoFt=K-E&~_eE?{`Ue2NK{YXkH^|R_@9?JVWX)>>F<}tc~P_i?}I{pehZ8Nib z)tx%x?D@iT)3q0QV=T^^0CNBabRBjqs)F)NGP6YurO@lp=^DN$wclxrT?iYgYI|D} zs1K)R2&Gy2UbjVFvuE<_T%ZKAfnYJxocH3zNJ;Wh?4Yq=9e#1n>QuqE<-VL<$O=)q znw&i!MNLxbh9;~rjt`Fd8doi%yYho=8hvy&<-RbB+~&KgugQ`eevnFZEOiNuecUOENU&TDrf-LpL6O;D3xQfwv{+G emblE3RzQz2a8vhN`%)ouLs(Y&sC4Jw5B(3}7w`E1 diff --git a/CH6/CH6-1/incremental_db/compiled_partitions/four_line_to_sixteen_line_decimal_decoder.root_partition.cmp.dfp b/CH6/CH6-1/incremental_db/compiled_partitions/four_line_to_sixteen_line_decimal_decoder.root_partition.cmp.dfp deleted file mode 100644 index b1c67d625638bb473b681fa4acb00be38889ece3..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 33 mcmZ3(&&U7*6?3%pJax1>&--+q_tkmeb=JGlkg@C*Cl3Idx(b*8 diff --git a/CH6/CH6-1/incremental_db/compiled_partitions/four_line_to_sixteen_line_decimal_decoder.root_partition.cmp.hdb b/CH6/CH6-1/incremental_db/compiled_partitions/four_line_to_sixteen_line_decimal_decoder.root_partition.cmp.hdb deleted file mode 100644 index 5bedaad0b17f4bec65772985743a8bb9d341ff82..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 10397 zcmb7qWlSAF(=P55cXzjg7I!Gn;_hDD9g4eCT#Gv#+}+(B4p!Xl;PCZ*zvSM3H@VsD z&SW$5%x+em>}IebARwxdA^)`y5Z3<+=Rc`o?(AaaU{B7$$;!dXPA=|dWot&x!2=-Y zU}pnxvH{pRILTGz$TiJP$feAzT>qJxs{Aj48^wQtAm}mvSN^BXq5ogq6Y^XiF-Bx& z{qqy_3N}cRD~^+~;K%Z^wSIMVGV!ff%g$i5C+cx7QOk6Fl#$OGZi!LAR{jy2T4D(2 z$S)1|?et(YI$=h-8?ueyxwlB+JYi4_@a52$^d~&p;d{c&0)R&~IuT9O-e#Js$-|gx zzDg#D@k@3yr4xDIT)BDqyK;pq0*}_!@t_=I50thb5mQ0&>Z%|>NN1Ceqv-^&oK(wd zvvPiJo5G9~&m2vxpgj}FOQ@18M#MR%6Hd_(m(h+s@-o3GIThou=8PlfK%&DH^}QLO zNM6}UK(tw_2|@z7jLFTk9_X39&Yd2Xp+bu*%bcJ^UMFf2I0zL9i-}DA|I?v^te3hTa0KxL96KI% z8E&y#*INhFk9zSBd5J^Bhx!uQJxnh$%EE0VCI3HTEUrMEEHZeiACM#T;RKB(vJ^{A z%flR`xjh|@;;1g9-LNFd)^5^^cQ^L0U>@dCrI&;yJojVJTqlLOXiG5FoluiV#A2tW zNiQ~gd-WI3`bW0HKJUEV6iOZk+&1ss(DP_?9e1Y}5?Uonm0x}BsbEbhfLmfiQ(tFf zb4|Fy7(F}_kzDx7Y6(^?t{+klWlTvj^Tc*u%KCOr`dl}65J_?bOQk01a~4%KUo@YT zR4pm_3o%NeoLyC_XMYQ+^q_rm4=&1UQp^+8pwD~#cs;KtLf9$(ZBwml#Jj<6?56x| zORL0ftLWIk@%$d@382~1=JO%A-^`J_DXav|%b>s6^_-G+9b-Skl$ZUULL{?P*Lb$K zSdS%`cQa)B_+Z;2?$w~9!!4BftwUJ+k^l8v5L4I}$z`}U$1B*!e*S%i(4*w$ifm&m zwJ4|AsG?~bs%hrOLEZM(4^5wvkDtTdfJgTx>3Lz6(dgXFtv$Or+aD%GD>zk&tM|>D zv?Dco`-`W#j;Bio<}?*m@=N@`HJ*oAmJC91uc|2Px--GrkQccL(U88a^Y=W*T9v#$ zh3jqASLGnOiyHII?I> zGfD+UMKv*#jYZM8-LNDar)o*0OlJTR65-vTLvy;kYn(WP2O zG_kiyHL!R8)%|R(U0&^ECg7+G60>s-RJ3ntFXa&faLLCSw3||iiYidjJY%~h{9BHs z{4_@;?_MofB7p%5X2wq)CRQ0SvjCGzhURCa+$>?r9~MS2AGM$*3P1>DEe-9 zb4jOWd5obARw-q1iHmM zzgg9D2?10ISt?9_km{8U)=kx-oA+AiRApLB43-mO*ujD*x=N1JsuC@=sk$;9N@Xul)G|vvy-uxQ!OxuA z2$P3$?l_9|J@lp#3LQK2^0S~s`zsR^zHb;V-*;FBEX+uyF3WFM5~kQlgujF?p33_r z=ib{|xxyH(ZPojkm|6H*W8#$mu2XZOv0zL8R_@(fr~y`R>Mi+Ae}M0h#pNKS!S$`p zvXH#a-{kW-J(TbAt7(}lfQJ#yCi~2%>Rji8IX;9F+Ts4v24n2k96uvTRIHxAs%1%2 zM_X4LR+=kgq-JWQ@npX-WbZS+~PB%mG7=IAW|cW6X=g9F7lWS0s1>4 z2@;Im(gzm_f5PWC4eV$g5Ax8Sy0YK;p4=-0kbDVN$X#Jqrgf=SIK3w(w0;nRY4i<9 zh;$V+swmDm`(R}U-)#pbe9z-hb|>_zsRz4zuUl~(Cg_N;gjFw^NbBANc+~g_p*xQ@ zXe=+ZuFcQ{M%LR)=2ljP2!l4$R$1zFv$0X=rxp4l(VW$fPR9(BOgAz*Wx3gC^)av^ z%$7sXZ{Da7(nPkm*7Et1);maF&?UA_c?%;ci!Czlk~=PNzyu1!QXp&^pop=Hk7vG| z1`v@}BBen=iEVvy)2_5|6j2gOsWLFI0ESCA)f-D}v>MOa6lXMvS4)V4IYUiJ9- zSe8os7D&f_{>fwN)(;iB5s}nsa&nEa^q=lg1GtYSyI?|FlK>7Y)@mt^fPTp1KayJt zCJWve<={v-tu9A|Ew{JyZ#T2}ub%>Fe&iE(sg<9u@zScFZaAHerqymGqAi%(?_O(e z7%a)x?1(}6_1$B?_1y<`5Ivz+t}rtmv)x*b`7#3Xc#XCQ|89^gro@;ITW{X#&2A%l zfBUANo;%RSoud3|U;R4KZaz9fQR@V9-JgS+nO*Cj^aBqPgz>34_7e=9Q-WqqkX-@~ zq@L!m!keMqFpTO|Y`>N*xi8)-e+TZ7HJOngw@YswN)?rPpFQP?3Rjl{cyjDc`?{|w zS>?Hn%Mc|~Dsu76)7!2T3bFgu1gKh@Skqw=FG2H5JS7czpZ!_tuX47MI*{$E(dek1 z2{TnHghUspS%kVWBRXTH!C_&Z)n9w%F!H`-g{6KrV_S}}|5 zrZz7x&kuuDT*~X3>YUt6C9+&f3KnL)%=`)cuS1IJ1s*FlCQDzKT#^T=? z#C|1Rx2$nbvWj_!&cU9@x3}7f)rsXcr#Cb$75a;LPcLa=z5uoAn!=xlEk?-mK3z`G8s-AxGGg}Q$6Q-)l0@Kn}QQFuH}Q@ZySfo|M@+( z3fJGnR7U@^m^u{E&5E_$%JA7H=&+8niEC$v9L=e_?ctF%mD}vOw&hKG zTQKrx%7Uk7rLdZ`ebtlJ?&HR!L0;&so9$Y`_K-~8$1+2Nc_Cjlv|JwDjp4@XYEo}n!6T3E=Cy^xjXO`uWF3oamo_@1SE9$W&6gpJ zGd#k)vKJB6Uki!vwR#4gjDJ4NPz(1xV0VJgvDC=aKWQLe1o8eTs(pwc?YIO{v^ay_ z*&2LrWs6Vm-nMvoZ1-ucbf11Xu5=7c)cOE&)tJ|o#XUei>s|&mJaBe*#s5ZdgXtPO zx8#*!?)yHB|C`u{=iScE>E-a$v#2(%rs~i09lar;X886Cm}&G!%Cp7ph%+(K#EVD# z)l*qs=W(<9$SFa$_xf<%Kd5(?quAs3hPkzMThsYvT+ri&-%+D!v;u${|m z%R2A0E!cJcT)vy9VQ8ltbhutMv6Aa#%8-9YX8I6O+`2z1-BSBH^KW77c(T?GY0@sY zy6=)=ruz>$9J}g2X%Cxz{_TDh>C0m6ge)OVK12{taY!?;a08O;Nzu4JUNzF8Hs?2bT^ZK@|hE!;jN%qf^0O{kbnatgz5W4mpYF5 zk~*x&m6Ca#=As5ZwOcv;qZ9+raV0zxPC=E-pFl9RxQB!`n#4mz)bp_(N}UGgNHc$s zp;|c-{^#_GpObL9IO!M8<}$S#ywM3WCpZc5Xv zuy>!t!nlUvZ5Xq(xLZs$u7Xk$i1Q9?I>h*dom%;r3waG*DBez&>CEz4h%uU3$qCW1wW0`p)?8wc9jbE5KsH@jGgWQ!%YCH@7FgH@*UD5kzTqMQN>>{w7q8Od9v@jnbxI8~S-`g{^k1P9cap*DPL$q-` zY7_PFNtBpLjNkt3SZm<(4>>1%iZ3s9iGK&-zM)WaBn*mTLjOkr3YZ3rP$oaB&~F*g z_Wa++xzUX7PQg&EcJ_MSO8#}crcARwppE`uQ^$$RrRIiDH$$H}`%IdCI|4Q9==#^RnrPA>fy&*LNTCId z*9?v@Bdwi`Il$VNYt=Jz}~G63pYL5@mI^J(Qm+N3`#Qz@H)i5`6&KMv1URT55L{S74%e*+={d4anJ#>5f)<7*zH)apXtFY~u1}sm z$~oEv^uX%>jOb*wQ_dT zo4Ti=xaY|pzEdtjQ!Yw~&TUR_NRG>ne-!>S^Tt1zqxX4*^R5qdwKP=p?@k~Yf33p! z0($o%R1;hH4fk4z0=De!-?|}IS_J9saYepDQYf8cqiGy>h*I$caiXT1#R}l?k#@C8 zbMd*WSsO4cT&a^XH&o<{+kDAgFas_?4j7J)+q8{LIGoPG?QKBp?^~~lQ*7mt=p1!w z7P%HBsKLkW?J$;9Dr1~$g|@@d2hO;U8m+)hEVkES(MMWtU+(fZK!0sfykx7WoHs1! z=Rl4N^Je$ue^}PJb}KUqm+15nGU{7ag#tk)J3@HSXR4jn3gU=&zE5jSZkrn$C{sMF z09H{0wBgc*ueShUypY3mY@UBlpOEToiRWx=RmtMyt})PeW`xz>NfE?WKgIq#>lhR; z9l^Oa!TAgTKUhc*lm>-q#$y*iW*z9JmhHoA^riuAO0ktgR?(Q=aN*SJJpEHv(Y|3f z4*mx&knNE#EJq@Td@u(gyO*aH*xMMFWA@L_u~f?_Q^Eih-*PliuQ`DXC4(YO*HeSK zbUL|DW7NoU%*b-Wh{+tN4p{vP&eW!M@WS852aK|`xFpKh&gL^H2Ez#bW4{$O$9dkPf&!G!W2BrVWf#z-cWSyjh zDAk#ikdEC(C9N2fWRRwJz|I#&!v64O^ZYs4%@!;~8~mOIyR4kpJ^{5b=|qbQFRYhl zrMKkl9lEY3t05a`2`S6FsyI9i7q!iLe1N1ex<*|KgPnue;2bS$Um2sFg#yM4sKb*W zdb!KdzN-&gu3m{)^r;TFww;sB836cwx>2gZD?xR*MW?_2j#(4&0m8k0-LZt&KT`2z zDNDb)ARc}A>m6PnT5zG(U1$C-nt@(&NNJyn&H{S-Kw)h%Yjc{6h zz_WWT9?x(4Mlp}91QWzYz7|a)0(|L)dGct7aJS%Z^`XHfp8Xsydlb&Eic zyC?bm?FPRRza=n70{4KQ(S39xd13S3J24_EWbqk*K`2E5kWy4D_NIzHj#ii>%h*Fr zWPlUJ;6mr(MS~hXdw&MKp)UvJclZ660$pm&`U;xuR?MMJ7&$cda*^=<+ex#?kUn3* z919PqXb)&;#T{_BXI~wvNsfswxl5_44ISzuX1UrBfB|dTreKRdl!_gABNU9o6yEa0 z1&Mr;L}G(00XvDlY$O1#1jEQBV|q(|iH6&sFaSr*a%`C)4vxgb9eGOn)%?YQLRb@R zoXkbO2ukJ=!h_;JC}_(Q0Z5s2Tozb;lU$3q7}o2Q^@aU*!%z#^b^6qI&KRdi(W6l& z|<4jl0$_QD`b+}@ged?>l9D;l56lclLmSXoEs29jJ+$I1wke~cauh_;dJE0C{iz6i51IrJG?<>V zb7K)By|K8!Fxb&pBgrT^Uq`1Tp-~1-NIVQfz(5nrtft=wAb6$=Ctc?lq!vQ`a zBTN07qVbL5(;q%ZAvj+GtU42%EA;YV>C}?eLPTucYpZehRKr+*1y41E+B$f*dH_XM zGOnhN+UJ9xoA?%%>0>i|Q>32bkaoZ38h|tY4D~Byy{xYrgXoAJ0ZaH^@VM)qJ8M+V*1s8SDKDvq1Iq;^DgQY{@m7{MnX{hpM7?ktKb$@h-(3maPFJusp8o4t9Qo*pPw2xGBQHv5t$DQe`ud zN_p^dMkNkW>08Fe%Yi&>et6~y3RA%%P|Tb7pH~0v5{gqHzq7p7LgHW(p%>1ZU^Ki7S`c*DJ;F1y1CmYPVS@M> z73)apRnA5bG+EoazHTN@tg$JPp~S^p0#)0KdlApU{1hEu2s)UJ`h?Y|b*RMM#wFb$ zrGp!HzT{%|CVg2{oi<%LzB7snJHtI`)(Fv|6F&${^1S!OXbD1Cf=(vq_m-M`F`Il! z?G!yEG6O;q*ebyhK`HD78}Ib*`*bPLZ;N8%n+3}rhEgtONtQ*MMRlzOPJ`WFHoNBeheO4qSfqO6 zq$_P)_}FvYSZ+o#4phEYjE=2qm-_2@zDynKl!5D5VN}gg6QVZ- zV-cM9K>kJv`Mhy7E=7+=b`rT;ymOI|F)w+AHG;ICt!aFlH^p@>_+(OS0p9g*kFN^R zm^}*YK)ooP{5*XW)drEyCd?ole2g(_hA!Oo(NCd80~ulO#qI`@jFL+}DMS%1`W^tQ zuz=MSbD%CW`xJ2t)bRfDCefCqd=secti>W(AOMv;M;gqMvE!g?MA&lmj~=W&poVox z@&0y%E#p*&3vD+zF2eYe0eer13ZdQtQA@2n(@-^y)7o`QKtkf~k5G7*4X=`AuffWh zVx$mq?DVAnc&0(fEYM2BM+?qJ63#~r?v=u5w^;}^!Ey0~a*=>?u}KuxOVnlYH7LXC zi)T-0#$f=Ou2JS>)_>^wh?)KSPbP1IMP*cXK3q7+bJt$a6B8lQUAFsbmc7hQuRK-L zSL+=wUdQ+L8gY&WZ@1se7TK@9VkHA;WKU9WON&oP>X-~2x47>DA9P%S zp+D{ib629{2Y>QvPO5g*O3>yRUStrmd`F3M(9Xz7?}KlepRlUpL{)F>7-VEar=eiR@dZj$F638M6RoEJi>zk#_2xk_=oToomA(C zdPw_}#Peq2LcxwZnz=vn!;e4sX3NSC#BnJ7u`itn4?zeIluEOck797u@f~JB?L-BG zd*rJFN(}2dgr+=%rXB>h00g%`2yO`oO_Cw}S|R+BAv#FO>~diQ3r_OhA9ObT^kn(`KbDecS zC6O-CMrJ0V?i3xy{5r*b^^Sg4Hb#C9j-LQRA;vGwfL&Kt`kzm;M>Invb#+SzeEG66 zRl`Y%-YDRe-Gj@$OmV}bXNxM6&FSU&43~5ho#?;B!<@CCO>~iGtd|`_uT$s4S@Ih5 zQXS<3M0;BW4ioK4N=sH|r1Fxbsn}(#E>RilO`14nMSqQp$H{w3^>V>?ZRLZ=NqhHi ze9^QWx2sJPOf!-0T3$aI!F7Uk|6tapqZnu0HPpPC8w$o7fH=iNHajJE^a!Pr#ueVK zlA^zNE~ItJz`Lgfe)68Rs|Wc{U0TDfi%wbcPlzC}G5y!qhTOC_Pxjj8Hpg;1_v8(| zFW(02-W2b&NyjTMs2c4^gX%vBjOgPx6iu^Q0O_feE;I$CRU*g-sH2PK!kn)~^?Q}z zce&6=m9QJ-3u8gI>~#%ubUQ5{bbTeE=My{QSALCpA;T9^G$D})h@{b&XyZwDDjtHB z_-;M{qBOLV(dYx+E@#$G%=MQSzwYyi=Vte}NqC3pEdIQ@Ouwb!XI(oP1}_qcpp}P+ z`I*Lq9?9@l{2B@4MqVU#W5Fb_qrept&x`-RT!_z4Psl1Q8(VC3?C#{-Up?DESq%E< z+cMbkdUJR1C@(mlZ{44}$Q zdVPJ3amF~iz7-vpzDC4KJEGI}>{p*1$k!13BdP}l4J-cq23A`~y{ScW4-O(xBCviI zG<$#i?ajfeTpg5ILnhl6{;}!ntCkF=6Ivh}>tlr%BB>>g+=Y#jJJJJaZra8zFXS-c&7C`ZQ0auDGhFj>*n>Th@)aA zWU`hYj;^X6o|OEKS>X!!R$sLi1B~=PW5ahS&`1BY4pOO4b8S+X9j%$>L;j@7T|>hq zgc!lMUr^#8{Wv5W=Ll63+^)BJ#a&wNZmzL+bJ6{U`qsd`T`yd3A>m@vDjDOT=40d1 z-4$Cj=O}HFykC-%`a`$II|f1i5Wh`j>*oM}w0gL404qiq5pzT&Dsgh|2!bo@@xk&B3XW#&d<)LIi=_QC`hu z-iNnt6~o8rARbiqx;IT?Kxdwxa-<_1G|0I%To* zbhmeetdWyq^KXzdXu|xG*`iV+n}J_dHx+235jxBH8!Oqw(feR6_`KyTxX#f%B4EkM zL(l-bZ7B2qQWHd1)Z5{-*s@mPL6?rW#8;!#&2R^D?5Zy2FosDBW-3EmGSU)r4A{SH z1)n5@2#w}2+MVZ(p8X4I8TkrYWM=Fb5Hd?0AJpobx^|y%-LJKjV4A+KYfRs9Ofw(s zUSS)f8&>3bK=>fp?|K>)G8Kh{=SqWq^GhClC9qePSBjHAf;d;*w z8SMsbr|eaVTcR1AiO zyPKm&m%8AX{bA9L6el5fsqT%i@4Pv+Cq6#5w2#fnPqCjYRX{YXT1U}ZN8hAlQEe$^ zl1I}LWqIGAbN3zhY{Y*jD7#$R7oQh!y&-JY9T$MH$Sx`Yk%$8AvXyAWLg@oJ^1s_*A;jt<1PmhJj+N=So}LY8<9TLV~NX(iC9;? zmGjo|pCzfu&C>0V0ly-K&F1eztQT!1qQ$D`(?_Bm6+%Q)1w1Crx%qD7;JW(r%YTm_ z34b7NK&%b#PdMPNs_dJGyV$vQ{??EW0-v|N^4~e3aR$MqkWb36$&l{fACO85!7D0Y z7PTreLv>G6=`H%Tqqs!PU!4s22#YqI_AO*9gLq@b?8~me$Heyp?Xg?v4b18D_kPRh z0esEFnjxdMa`1&8$RQ}&#Sp=Y_s)UJP@VVOgrBw=HUoghSL(L|4LES6uU%M>qTiPxGq5PGHEZ}q(%y%;Rh~3LxRmXg zw`7Ok>mF`9v~IMZ_40~mTMw-#5Cv{3AE9)*zq|1n7T0HH=_qUPx`}RkZDAv{GiT9q w5H)|^4y71Bu>@*vC!v`?`3DdiDCDG=kC=oelSRKr@#4IwpNu?j|CcBK2RkM+wEzGB diff --git a/CH6/CH6-1/incremental_db/compiled_partitions/four_line_to_sixteen_line_decimal_decoder.root_partition.cmp.logdb b/CH6/CH6-1/incremental_db/compiled_partitions/four_line_to_sixteen_line_decimal_decoder.root_partition.cmp.logdb deleted file mode 100644 index 626799f0..00000000 --- a/CH6/CH6-1/incremental_db/compiled_partitions/four_line_to_sixteen_line_decimal_decoder.root_partition.cmp.logdb +++ /dev/null @@ -1 +0,0 @@ -v1 diff --git a/CH6/CH6-1/incremental_db/compiled_partitions/four_line_to_sixteen_line_decimal_decoder.root_partition.cmp.rcfdb b/CH6/CH6-1/incremental_db/compiled_partitions/four_line_to_sixteen_line_decimal_decoder.root_partition.cmp.rcfdb deleted file mode 100644 index fa3c9bab581d497a9cb4bdb43cfab57e5a73b91d..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2774 zcmV;{3Musz000233jqKC0001L0BQg;00011WpZ4R5F*Y(F zF)%MOGcPhQF*6`jN+4HdVjx9iX>@6CZqqgZ000000H6r~0000009*n90000000000 z0M-fs000000C)lHTTQ54R~6pp+>@V|pXR?dA?m}wwqoVp_n#t?oA(lI4NYiDAyS%> zK_MNy7EA=CT#8~u2NE1OaL|E+3Jyd%Xu&}U9XN0x#esr?1P2NgM2hKO@_PKfwe~uD z@4L@?eedB*Y$t(A<7>kKymy51kvs z{p{S}*-ICnyL9p1@7MJ%FlMKElXDMVSp4S2=X=wG-nH-eaT9*rbA@xIO#IXL+Gb2$ z`L=WSV8Eb%^0DKO_RsatESx&G(0{Do8w@U-zx4Dt6v)a`USk)7_eK*I=1+coFnAV2 zySCN@$Lt&)a}*4WaQ)YDiKUD4$4?Dj{cc?owjqb6-SggoDM~s2*gxP&%h0J8;L1J> zFjweBSH1YbQ1Ph-XwsvKNcL{J$l@pan!%2kAM#50a!1Z|jK#)b)o^KfLtiIe>^ zUpog6@ptBw8gm7J2qx3iFVvBCbSBNf`C#BS%sJbe^afplHL=eTe7|S~d}22ON*5Nm zct@2j)Rdehm+F(HK+Zm{VL>IhNz?yX(j`pDulMh+))uJs+Oa;HbF*t&-gk=&5#? zyR=gBY{o0%6k!<7o8Oo>Ji4gD9jRZ3G)oq3N^V5;@#_JiMcdxmdtw7 zjv8n^ibUIN@i0i%s?pyE#{gl{9Vmp`j$0^tZZo=@@P}&NjXOOFHKnZc-K3YwCKB0X z(wj(l0UyPeM z^Nqw;!A7zm6b)mkmktBOPTEuDCR2shQzcy3*D2A%9re&7jmp#g1>cjcrs0>pZ$+e; zbym@+px~^>i4cSK8%Rc~Scj_EHe4iDNK|Y!GZHIEDz-Wii4`^#TVadD3Z#myHi}qF zT5PQNtj35iu|MWCz=2@{S|}Sa@x+zIkLiqZbm9B~MbmMhQ25oQIby5ydZR zL(e~ve=@RRNFEH0SCz*|wrY5|h=?2Pb1i>-{y8QxBZ+NY^Hr*Cpz&k^H#_$p+lrc5 zDL$p+RvySPrjd7BZulBD1k0*=t1Z!}!rllVmrNv&t#DsbV(7YFE=|?e zH3Ijr&doBAYuhx#m$YYJmDAzYO4!1YYK7P4Aitone?^-e{jE$eBBW{R* zQtOS*|Dsmgeu>{pisp^SEaShKu$m2y901FkF&dkqIf|LaW252_B_T-5K62N#FD$87 z-U0kK^lEp&Xe?3C50s;rZCo5Q%61*?0F8vjVYCZ19v|g6Snj9Dv$wWqfa!^!0mgGf zzcH=udBNY|R$KcQ;JIBaYjLPnFaI(hrJh1=@huJ3U?tXbFF+*|dSyDrObcQ@+$ zFkrO|SCq-CGivcHO3 zzox#}XgM5dIS|=(fJUKp+)};6Yb4!f*CNL8OQmAESv2x=L5Mmtr6>AG>B$3jNt@TC z_lVc&*MVaaWg}0Y^=e)hlHPb@6&Bg(=;2^2DaeJht@>+Jd|1_8?og;7=S_X}l z1CgiuMGMbIy;DB~&*=l{U2X^FDBWfkKdsciT zpiw3?7oHkmIcgX}@XU>U(h3r*G=W3PDo4t-N|17GH>X_NohjFLSjx4Xk#a55Q!eYw zo0)Hxed$=srj%>hk#Y^;lxv7-{c!G}tV}eVyDl^{M(0@OGm}E>J9x7F2qT|K6nB6w zYZs#wS_kiTSHUGFp>?qHcur;}ut0U(SERNRsn9w&NB=U$J{nk{Iym;g3Qqh&>)@&M zOK`stSfDyMI?mcmR72~y``pjLJs()0Iyh_lM{vJ9#MQmRh=$g2bCUavXlNZb<$end zUkxl!9XBpn&Fl`XgF5sZjD0w;JjHzf7bHAYbrV;flhb{@O;A!~J}e%a0KEPzbze*t826bm4eTVDW~S71YmVgY1w6bm4eqgVi$97X=GjlV+g7bFsP zVOe8cL5R6s08 zp#oxgE>%G6McA9>T?NGQT;kh&j!gx`aug~cmgi^%#ByvZ#5d1I29Q~Eh(yv}LhngP z5~iGjIgxQ+#-PQF`x9^<&$zF7z5fLO0RR7Z0pev~WME-nU|7DY&<02`Fafa`5C=KC z#e4dNxCXg7I=jY)2RQ}=xCSvXXfQG`Of+113P=GpGBEH!l|mITqnM=!lvIW)K$sQe z9~$Bs@9ggv91`RR6pr_E4Pjw00IJLX@ybRQNP`TPgzCelniXvAx}?vh-au78K+FkM z?dRz0$_91=Pyh@-X7K`XXwoRL_>f#UvuKv5Nt zDwMFma8YoGYXB#M1W;jA&d*POfi%ccDX2!Q%DGVNIRsRy233wHoSZ%UJ)K>-83cez zPtCCS7XhR}Hfcb$V%X&580_gB4;2P$=3$TlDpom{EtE+}vx{qRh^L=ph^N0_Jj7;R caIjC!DoF?G1=|cV3=}vRHbXQ300030{}8?`9smFU diff --git a/CH6/CH6-1/incremental_db/compiled_partitions/four_line_to_sixteen_line_decimal_decoder.root_partition.map.cdb b/CH6/CH6-1/incremental_db/compiled_partitions/four_line_to_sixteen_line_decimal_decoder.root_partition.map.cdb deleted file mode 100644 index cad4a40b991c9f2412f23a346291785858230596..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2620 zcmeH_`#%$k8^>oMQM3%9){rt*b4h7#kz2If&7$0uTOw^5ayj)a6FWFj92VL+`nuMd zE*oRHRtm+mxkPSr85Se6IXkECAMyR+yq?$Vd7jt%^*o=~=k>fEFaQ9kQIL{k03cEl zCX(tC7Jn@w_6pR<36jy4 zS3ec{w*MmpI4%9N{+%u4fA)z|^F`fP_Su7PcW9+A>3}o!f@M?*&+MFmnS((cQ0?>> zEx(NW0lKcBku(|lw%|77k6%@y0@F_cnU=%e*wrCOQh0Fgr-`ace@oM0+!d1F^c?S@ ze`$9(x`F``onM*j9la;Us-Fm{jtQyY;Hgce-KEDD5(EqmK4snAd2@S7w=Zo^{v5WA z=9MAP{!L9mwkvOhJ#)Tj;FciuNIU1i2t(9cl7!=p){vfQQbz4qQ48MF>ZgCbJd>ta zy)EE?7uH>8RHMahFTt8(9fTf`_Gy;)9a~fwoD9(Mm3Ik>5Wwc&7D z^0a4%B&=CJHK6(EmPU(*#2Cf(U$g&S_W$AyBKYx)W3L$pT1QJ1cqDuJ^cR|PmUUry zY%Al-r_0wpLbhhZU-ZYiGIICLC5mgsTs($0HB~%ylW6=o#w{~$N|#f1Co*7+X)+qyMg ztm~qC(*h=$FB#YUen#&m)qRtAMxwX~Mm%)2U3SbKCmtdvcF{fQq2hUhlFq#$B57ox zfi@r{{&@9v9$6<+R1%_{H-(G%t~sIT<%gIBLtrM_(v7Yk$Di>zJX>jxOq>eM#;tvO zK&kyTKbPF+BIP}sm!|KXxt9umIYAV!tG=!Gy4XrCk4>2_h7@2M6dMGJO0`kfgnA7E zC8Zp}T9bttavi^wUl_a*o-hpQ=%KD^b9)4=pvH^V9zybwT-)?q_8GMVWNLOrKKN=6 z_s?}7{s~=AcKk^ygFJi6ebQkma@Fa`1~-0aK_eMa zYe1x~7Ym%D=T5GV@%-3qEBs6IB|>;H4N{Va=X_A;r5Zi=x8Io0nVPQEOj*3X9^N;z1m()(Y8pOk~4R) zcbnRXt+94YjXk+v3}FSqnUTnQ_P(e)PvvHM>LL?PZC9sz%f2+ zWS1y3m64`613ffwcpwX-M5y#xbW*dxArePEyHoq+sw`u3?0pw*T!SQ)65^4yu!6oup_tV{me7S6M=t>h#8V>Z?OrG62BVR-#n07wWRI z4Y*WnSB3KP^wYFAbhB?cl4w|HdUXC{=>8HBm)ClTa5mVWyW9cgv0M7dR}@I8R2q?? z2a%R(BUO#GW~r5B;1=0gk7C=;JwKQ*w%ko$Yg}x+VNSz*zSAdHmb6BcPDv95ehX{} zi4*SKaS|%PcyS%Q?{+6AucLbZ!1tOh+al9swXhpSwFW%#h(Bqp^8^f01SwOwnfLXT z%|k!Tjqf+V`TO%ept^?4+w*Dq=sC;_bZvBUKGj zc&^Eu1;N4$c?YwqKIjET@AF(?e mU6`(K4oa1A&o6jzsr~RX{;>lP@D)8uG0001Zob6igZ`wE*{=UB=;`6nR0}X6)Gf|)$6}NJfcH>jzByWl} zCt;4$73u!?nS@bN0!xwZPFD{J#qTfM&+7;KB?)=|7;)nPM9Px*yn#>6h5@mk1T4Nk zZ`@8VPdbhF&Kt6zLNcj*Qs<4KK2M55&UN=Z@hE>%w=PbB;=a zFEas-qQkbh>zyy@zLovC76;N_WE$_JeB+?bIdx(xE>|wzLF+;#wK{5#K_TUVfVe8A z+NP3Nz1&C8!2ZpH*USThrS7J5J#R>nLE{(PI8?;t&T9_Tt|eY4Ys`O>H5*&CDL3ae z{>-c@_Dg;N6Hb!JMW&8`Rr`P^gf!#QeV{x8=ZkVLDfS1J>aL6H+fV)OWaf^qC)53D z%>&VXjJ4o*YoTZHi^1dn0r(4@!S{3q-`5%Z3~RmR%`Cm)H}!_!(i{Gn-tcX`;kWgM z-_aZXTfO09z5nXXu;z6i*1Yh;npb{U^U@E$%G&Rk`@>J>((|1{Q_tJp>;9sf&rizD UBdX%1f%U5sTY@tG0RBY=UVVPf6#xJL diff --git a/CH6/CH6-1/incremental_db/compiled_partitions/four_line_to_sixteen_line_decimal_decoder.root_partition.map.hbdb.cdb b/CH6/CH6-1/incremental_db/compiled_partitions/four_line_to_sixteen_line_decimal_decoder.root_partition.map.hbdb.cdb deleted file mode 100644 index 5dcc0de2631a4ecf976b6d8955fcf9445f10c19f..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1441 zcmWe(U|?9w%?KnJ7_xxS7)Xbu78Pga=P4K(>lx}9C^(g7=Al+yu z8Y={QDTJpcDY&L&mH?GX7DG&6WdR$+aE}Q>gUE%f5OxLQn=8J0EP)Ix59A#Gxa#QW z#4jKJ1!iVnN;OCtowpHFCasW&3`e(-$qyAFDJxce-$1|L3goy>i#T z-pH|&lU;v)`qQ_kKURMKFE_XD|B9dO`_KJ zv-_3v&P#v(s{XjD_B`?B`Fw_=iPmwED_`oiw!t9r6u z%Z>!J-AaEqId&$ecErK1f3X`@?^m9-Y0A=_!DXpxYZ6$sf0Z9x_pdMFRM50%emAGi z+|VSt=EZ&1-M_4pG`%BdS*M1l9pVbxu&7@A&8znvOLbB+-%S}cY&6UUPtr(NYrnnT z?a!U)_j`Ji?dsSW82wVZkWdE&e>%+R6G?XF&3O6(ruW8(}^V($Zpv%G0 zGUsl2@g`kZ#_A}*?k>o#?(QhU?s)Lv-HZPhG}pJSe(%TZ)!cY|clG}M@9!qEa4ocO zdhx32BA4U4CW*EauO#Qis&gTB9HT5Nwwpv4JyZK{ zuE5yr-7J?`@V#J0+p(gVhK7!DkHgYf(-0(Iz5Z;-c~kr=P$(%!%S=L)B!eqqf@3{YjyTq`L|B}D&x-e_s_CDxKw1j{N1}NlMMbT mTv}Lk+wO;mj$z+^Zrkt&A1;`l5|Y(>^q={oII!IO{~rM60m)1N diff --git a/CH6/CH6-1/incremental_db/compiled_partitions/four_line_to_sixteen_line_decimal_decoder.root_partition.map.hbdb.hb_info b/CH6/CH6-1/incremental_db/compiled_partitions/four_line_to_sixteen_line_decimal_decoder.root_partition.map.hbdb.hb_info deleted file mode 100644 index 8210c55998f9226aeba57897397a9e541102c45e..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 46 zcmZ3(&&U7*6?443e9xch_SZRizE|HvN9&}{$usA>&--XT^E&H&P>qM-_Bvrj0EsUW AW&i*H diff --git a/CH6/CH6-1/incremental_db/compiled_partitions/four_line_to_sixteen_line_decimal_decoder.root_partition.map.hbdb.hdb b/CH6/CH6-1/incremental_db/compiled_partitions/four_line_to_sixteen_line_decimal_decoder.root_partition.map.hbdb.hdb deleted file mode 100644 index 3ca9fbe25437cf0c1c24ddeed990e3e95213f3e7..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 9818 zcmb7qRZtwjvMBC^5Zo=eFB*btkf6bWEe^qgySux)J1l`L4vV|n;sk;(?h<&Mdp_RZ zt-3YUQd3>sUER|?QiBf%2Um*;|Ayhzdsh@$+$s@~e?&gMSNbtvw7`}&%m-SR81{Pk7{29|#=#tQF zx3I7nHB^G~U$|FM0XR-huuYiR_n#IY60V}U7-gyBlu&*VN${e+5kCr? zN32Bszf3?RFT^d&@TTC;d*Txdod?1199Zlr^-J>b)l|^7aAQ@9>sD4JFJ!Ca{ukXI zgjCg5`sQ=iYUrpgE@%TdT zFLCI9%1g1^Al(jE;rFZ3#qQ2VOdh8*3P(X+Wu(`lP73-iRHD(~EE=cr5hcECz~|NlZIRaH*Dxf&cE22JD|@9 zUtTGdf(2DMpQy>su$g|1Cb1+m*|O8#V#=E-gk}n7S8h5Q23EDcL=5oZUsXun6THOj zUeEkSJq`LQOe@BjO4YDdqxU#Jeq+cs*KRoLGQ}(=g4(q|}Cm!mc(Z@5VmDs`v)Dr`uq z$9jAw6Jl?7#zahI-FXYyIPTu8B92(x%(gB%?#ya3l#^`nXLEUd?wNV17<`a+c~UsO z`Sju6T!h`s4o~-$^NQ(kUxPH0_{Ff zPe}?aMkM|=G0P>^<&@oSXK%ca+x+HK_6seLURuj`1hh#oWx+i~$nEqSX(V7>hxNxt z9F`ySZ@};djBmh%!(w0g9XBbr73>&3tShSZmz|2$DLtOxVQeUhe9v^}UIKqz)JVIpxwzx#2l1TCTH=MX`8oM4!68By;s}r^B_ZrZ_xRo$2s4{R;$(hDgu!@ ztYoYK+rBTIj+0#(zZ|7Jnhx<%A+8+usLr!UL3e3B+ut#`Wq>w*`NP0p<)k5?TGZ^; zkf}rk#Y4UD<}a5KIZ3}9uYdy?RSso#j<|#chPyx1tSN|$`8P5-j&qGB z9s$R{DE?1PJV^3~Cl(nw**nxuxB;+gHi}U7=%sYnBzl3S> zxuStlpsw_Qw5gBWiE)fd#w)FqudKuxemb`U2^0LLMKCsS>*&hr;bFNROVb?6y@HCx zHGzu9{*PlKNQ7|T$uGiRg7jQ!i`dXT7S>V~m7}DXf7WZTRmUqnEH2@JXS_k;JT3bk zx6c4}CNXmF4T-D8&%$Ewoq70k?PbFRjGsR*ZUo3oy8<8a1?wR%jxgP{zA{-}%AX|* zk)CO_#_-`COyV~06Ltghe?gNgVu!N&_NkvNQv5!UU9s)w9_UKzpoc<4s8A6EyvWhk z)*Y##jS5*>^N4qj7elmJFRZd&wym^Ff@u2{?1b8_E|;p4|t?%{xBzPqRB#4h%wp zeionZ)|7h&8P9ZONku~|?}oPS1q7Ab_RY`d3esVp9d)0`&wB(Rg$nbr&C^cwwVuW` zwOjH`S5>UySs1S4^E}b~zgz<82iZ0g3J1OB?26)dU=Q=W7i}WRFn@T;63n{ys1H9i zKY5T(Hu|>C@bih|Lhw%u3@cF?Bx;_D9*5G3GA4aauNzY*+W+wAE=gPN~gSKe~q+y>1N?vW)k>cF5U;H=#ukdFJZ-D5uhGNskStT_;Ex}2U@>4EbK5#lq@1YY9kZXA6KD1o2t@qY{)?9YqGNGs`^PLi= zGHB+4=@r^m)I?hv?F!Y~u`baU7UvK1M4jm$Cl4;@?{W8i^ zT1_sX=I3}5-`em0?McB*c4p-<;OkQBXbkBS6VIFBY$&Wk%V7Rq(Gx6`jSc2+nvq#( zU76NY(Mvk|7RwL5h`EHfv)bxb+V#tu7tQYYao#l2xZv5)V!c;uYb(Zm95q+MozoP% z#{bP;jLcwGNbhO+C~35D#`EO-<5H%Ad~?2>`%c|K+rv%f#O=Dl{;jV0s(W)@Wyk0V z&_*nK%GPC}qB@L&KhN4=*Q4Q~l=P&F^IXYS>r+}1xOO5Rd_}Kkpl)F6!taS$IcXuq zcSM+U@)X3eU{(C)%EQWHxt;66G|%p*?w#Ln(qKEaW8|?|8;&ys+epXmNme8BMxVlq z$m-YRqr-Q;YC`QD=N{4zinPy-tO!)Q`4yKfkDRg7`2ngbq-||29x9HSM?8Ky;UmYwtKm)$T;6Th)A#ClU3Q@ zO?$cdT&(izZ27u*fxcm4?jj;e$m>_p;1nI}tcTEZSCN65UlxS}p&uLLMZ{tMc9QD$`E?@?gWj zQUBM>@{w&D^vG7&uHks^6Rx*U!=XvCc5K^^era_Pq9miF6;K<)ATpX8rX@g#`5xphI z$hHl}{uUJU?30Cr^pyS6#W@B}YXkLFI~NIq`<_12m+j8rxJ{Z1`+!5#QqN%V^{v-}9u zZ{sQ#$tihGgcw>-dOO9NTaFD zc=m65W-TPvFAu#O{UjokqXC|$S0J%*BZ~bbHJiAB<0{LVRNSy-IFF(VkMd;TA_L!cF;k_)Y?XL zG+zXdr$Y-cSy|I)6;6J6lbhxAoKiMi(S$ar6P91~G_eTB9G0+Dy$ZqNHF}W;V}z*| zNpa1_oBtV$>`B><_TfHjMmG449LsLC#cDWP{ETz@{2=A+aL&48-?tnfs(DXhcNmz> zVTv*$h~w0L9vb>{NY30JX=>;cCqJI~!%KgR;BJS#{XDi=a=-EH=P z_cSD`hw88KL63?{`h%2AQfmJRj=7?0gGwkTol0qVP(<^N-lDnJni55g7cux-J$1z) zXJ3|r;2ke?j{~Q z!TOv@HOqO`_J_OpWp<@5RR#oB%FFapn*iw~dYn>!J)()veEHtFz211$dvs*OTZ z*NF<&1g+#4*43#>xDy7&NfE8F{=+~{W8m|mRW~q=&vY|i zRQ~^M)O!Q5-srh%Ad_A{ycehboqeZ^iJI*CceSiaKFZYU^?}^)0=->0c>Dqk9KjFE z>Mv#~cBDC^B*dio1BrnRk+pLr)Xj$zdZ+4&#KWkwHNg6F zt1a{?*R+59j>K*;SZ*~~ZVXTjtEH9S@CzMJ3V}hj0cyA}01xI+z9&kIgUY(e4@j9@ zM<(J(AL>zJl*exFT$iB+bf$Okkn{cNlVE@a-?R}>vKC8=F?eANh#k<1-AP^t2Qq0y zCAD2ckV;j~PK?|xnz@p>kcoBv|(ztKVC0clv*1AT8 zPu}^D4FbJeylt*7^)%DOkPZ{7LymL*{Jox(GCzmO0u%X0I0yCC`^EE-DY>%wOE;nb z_}@GhG;=uGpGFVQss39B5N!5aX78jCP~Qx($TZ(z2=1idayRu+8-yv7zzh?|pQJ0E zWGYYti`o}frB~#Iq&FfI%ECcn7*CURd2#yQ;NR87?bCV3tkC@kMsa##)fcv45$ufi zPkHcBD|+QE{{r4Kx&6YiIctkho|gYCM`fu-ow=h7A7{y#hsVcvXB@{V6DZ!D{s3Ch z~&(>WEnie zvD|7k%gT)yoGDb3(tM-iZ6Ln$*7mG$-FxA4p^?`<@k5F_ z%aJ=MD()%nDY`J?7X2(~_s){IUs*|P8G*khZ|q6>$l!O9iG-as?Lu|Hc{Bck96evD zA^E-)k96;Y3b#}M(0p?cqdBO17^pG=R2c(exw&_6B1&(8rOZI4a68SU#F)#!Lq*rC zTf!I34|RZ_EauG^!6>Y~Ejt0p$gm4hxh1}Nbjh_y2)fUe2?^{Y3CxTHwj1CW0vp+1 z!R(B~bqbByCjme+0Z?54bQu6uAhAuwa*M;#q6=Oa1-b%sIp)ut<?AEIW=MaR*@J2G#w{+otk-&&d zEfEJCh+LddY^GK})+6B$wsfp9C9QrmU1SUHMBw^9)7;QLoz=);)1gqZ;VD{GH?x;` zB~yVJ@ZsLBHey+9B;#KrPIZG3q8|#EA4jN-1Y+pqVxEs&S0m?=D6l=H8@Nc{Jlyn9 zgG{JX-a3VViPlL4ih#W1@}?#w*t!AovOtd2qD>knhuP9{tMXXijDpa35CKNareS&? zN&E`Rx&`tlZY;bnj~`c^MV5nHZ~h6tzvy!oRdZKaYRl7?lPh#K0Jj4>v44s(d0MQk zrXSmm-83QN^P@CjngE@-K{f#dF6~h;!*jovUHu)wAU2uH!j zRHFtFd9`eMIsNSLTeL&24d(Hqcg~o@u|#DZQ18iXl)!}b?x=;9BZj?5gkMHZzf{OH z`XK7-3`O=fUjwt3IL!fCXMkg8_q6UopZ@gH!s?G>OkY6VKLFIm925(H4g^r(>~hb# zzIBkhJM&d93^GCc+T+8cv!-`)^~sSQBEZv`2W;^HN;ght!6B#yI6SFoDXHb-Y*_dJwq$GyO0Lc4C3qc2-A8`lNVjg~j<$Xx1C=@jt} zvuXV?Guk^}l|vrA+rQMF44Hxa6M95Bg4bz-Pf*i!JIcQ5AYc&-ab(=3bAN{8%7Z_? z;$aW*?pM+kdauJ#JHKf5dC`cx27@jgVFYk&Hy#Y!2HkLtQ7k-$yMGS#* z)ny|MVK@$;Pyk0Qy!&>j0<-0NNSQT6`-?()eT!LNx=SnuEgO zob}E@G0XArJ6~sJOTRI*C8EpinZh}T`W)X6L(1%=_zzy;N4Zr*u3->EH1hrQl}F9J zPv~OyyNtJ4Uvf~4r^pl;O{%LuH<#V64K6I(YTFnsW%;Op>;AxO4ZfZn!9ls4h*NP- z4R{mnf@m$sqXx9rIpbBJ_WONIK}%B!dF zC#@5K&u430>Rn7+EYSob76Q%>Lu@wAf!3<_x=tJH#dqFUzP>sX+*Cst!YvP!2_WR9 zzg}0+RCS%)N<`Y#0_o%tB^s&)6nN-Y$WQv;#Q~|7qS}Jf3)cQ?dm7?bk!2hD;olEW z4;+Se5XkgSn!%#jQ4tV{Smk_)!PqvLkjEOdn@3QBj!rqH}~1JVe%^VFUd+Hol6_lew}&_K}_cvMRvj zcfMb^2w7*{pxN8bDz@84uJT8OJy?V_ScEayan1cMCFW+vz!$v{jY)z^hs^|>KUK4M zx=J4)BO9h=>yLf_m-t;wrp0OWWPGPgf1^v>_q`yX%^=-nECBER#D}IG(+uVm(5mMb zi?+amw~RteF&pvO<1HEmJC(mWrs^hNfTQ0N?caLy4ed90Ci!SXrtLxZnRWJQ_!Md( zA(`J}l;qifb|Zv)bORXJ*y>w( zs-5aGL?E70y?~R@^JhK%_t#rUp%XvzryNB>!>-^s+|MsmDLr9kAY+K2`cBiQrRAd* zZvsTUm_Ulyz)oHiHw`QpF-UN33h3H@8Zu_W)k&_ifPRs~>5;B3C_Wh4G9kG}xV4t+ zr4X`mR7^U5Hfn{}OL%@`tWZ;b@0VJ(!XRltljKnv{{uXkxGGao9Z)2rwY&MZvC3|C z?! zC=CllX81}E!ioXVav6Xao#`zy32f60#5o2uO6=)6>LXtg*hC%|*;=Ph_H4#-i`Ojc zs_+sYgms&NUQv{0abJdpS~+O^IQswfYER8zbt8KU# z^M{+X>!S)x>J&LIQ9U)PadH(DA&YGTx((`v=rZ?)L4wX_t>)RP$9u%lWqYszP#FMp z$sD9-4qAoV`DFj{d!w-w{y660=^cf209xBZlcCC3v;kE-PBTn@@}8S z2QR_{h_|9NkCe+x3P|DYw?_-0IO>^U++zRD+Z+0jT zkhLxmg7*SPytqd$ZxJg%Vm}wfZM+-H@YO+S*F|}%!CFLOMGm73i|Qo}Q@fqxCZtp`%H9uNc&^XEU z4SlVml^}(eT@s}&M|l%O$A|T_+CS_NmDn7excPzZ>^)sKyKEO(A`WOre&{Yj^^BlU zbgvk8Hs`C}e{3XO;qb`x)CpeoP5H+@e}*_f-FRDN`O{*{?WmD<-kEm(N?f8b3I|Z2 zQ~uU9W4W? zr}D7juwew9mbSc*}W=VL)Z9Kizul6c-hpRV! zx3$11x+^7_+Z$qpXe@p9PQ3eF3IwnDE&FgW+S$LqPJG4Yi=Fr`aGE+DFxSOAd*)Vf zAEKdR_z8}a$Gy&ubIVn({bCb=go@(m%)ZZCUcKsbk!BIH-y<09#=r>i-wI6Jy{^1| z>oITTPJ-SljtWlJ|NE4YKhw?)9fWpUS}*2bE-ij{-qD_GGn#8Wnrl7UO#<2tnkvG+ z5vDtNWUYm!ovHK5QCnHt-s58%!l_S+4j^-uyq69g|d)!&mqzyDA7$p5tPH!VczK?0tio5niBYHki9fF~?Qw3`3`N z*JI19q3Jfvz{jOC+VXw*l^2!FaaDX#Gz()lF!xw6BK7aS;^t1lJ}R#GhfI0ynC0IQ zUk7jKrl!U}?n((=Zohne@#E-FUpWFl`8=fW*tNWlqdLT8ONbU1ni>pU=sOU)j zNc`{bjblhG3R(bKFr{tTKLtVv@wCOCupJQ;vea?{6EpGh)0G&sEM(+@Lkz;CXB6mBeK26 zbi3K^JI?qqxC0=Ix_8u7?23K{I1ELS2lgI##5iFX*AsL+O6@ovQ|olD+^mF{ zK&L~bv;X?jR#D7@Rw!XYilK>@YUBt4GCtVrI|rhr6bKH{%M4DhsWnYq4N3L=jBnX{ zPuv2pBkSB)y!`Fn=w&*N=HqrNnEi8eWZdKpHumv%A*b4NOYb^6(-Kz=Memm37zb%f zZ^gRC;F=lGr0bs+>%x+`vZ|J{lTI9&p=m8cpHrxzKHAVnVwV~bBnXIjOe^4~vaGR@ z-s_Qln$}{lamOk!pKL0Z3PqK~sU?7L=pO^GeY_aKwVW-u+J#jl_m**t^;CtBY$dbr#Nz8N z4-<_Wdy5}Fv*Yl&I-3(@u179B0#E!}ig!@Sm^*WX_Pp%qJg)~$B1DO6LQO+o8zi~b zlNJ#8UUWEMp9RgIXAwzLy8;8)X_Z9k(bz|bc6R&B*ES&w~@rG%oThqef)s}OHpR<*1r5JxIg#~Uf*zxRG z$A~C;+<(?39#1DDqL}w>5yoM2c}O^)cK&j5Eq8(dk>)VXnAFkw+e+_M4!2Rg(bKW2 znLwnaV^GJH)(^lK%Sa>LbFwsrxM-l=X`}f8ZtU6`5xHY^uS}MEe6Lr12o)* z_gK&``JGV<)iq|$>I~2YDp7en@t~)nN3Kitw+>)eL{$yuwqKq;bO5@aCqsCu&MdMa zLOa{DmVYmBf?ge6`AT*9`qiKVHa<3vL_;?3<`D#Tu4lV!6u)R)D@c;e7F%9>GU|MB zyx-sNp6FLzp$fyN-OLVkztZjJnxJiP_7IklApVO$DNE7X+Wurw8Zy$RgH&uYCW7@V z%D~48hXH;fS+_t+7l8L5EWYOz#@O~SSnb_VSUmZQ_cn~M3Y-xNhu`(>HvuItO^Zra z*bnD)B2G|g)_sf~_3^XdDF&+-xm6r8^)L4mw_S`Ch* zhO5)&YX}I*!W(!UF}27$OE-;sqr^#fiGo9UXWt%0ksf^4iaC=C#NEo)fwHu z&*GmhRA=ga^x^?Elv5mjS6ITs?yVyb_i3CcXF6|WxK_oR{DRFdOwoUTuv2IgeCBW& zP)%Ahlo&$@@QWCPs?LX4Y1w{_lsHmb8uYI1l?0CI3!W+W&)>T3u_lze`9T8Wj{<8asAOs%Zyca(^`$Rt`4(lN?fnF&>XW~p)B!o%79G{OM0 zFQbOrEntg}zB(Fk-XA6EF`7c)t?w#NGai_%={@I?zfs0vdr_-lY`VFhP+nUoi*jh zU^h;e4u>Z`;!m*}dj>dzKf+J**H>oI{Cs7MzpQ&v8xSIN0v1|B)de!?9#q88@cspeNVefWBP{eQPeH(>w( diff --git a/CH6/CH6-1/incremental_db/compiled_partitions/four_line_to_sixteen_line_decimal_decoder.root_partition.map.hbdb.sig b/CH6/CH6-1/incremental_db/compiled_partitions/four_line_to_sixteen_line_decimal_decoder.root_partition.map.hbdb.sig deleted file mode 100644 index ef58eaac..00000000 --- a/CH6/CH6-1/incremental_db/compiled_partitions/four_line_to_sixteen_line_decimal_decoder.root_partition.map.hbdb.sig +++ /dev/null @@ -1 +0,0 @@ -d1187c24d5e18b5b14f48701f0f8928b \ No newline at end of file diff --git a/CH6/CH6-1/incremental_db/compiled_partitions/four_line_to_sixteen_line_decimal_decoder.root_partition.map.hdb b/CH6/CH6-1/incremental_db/compiled_partitions/four_line_to_sixteen_line_decimal_decoder.root_partition.map.hdb deleted file mode 100644 index 1ddda19d230c63323f1bc41d3038ee398ce047f1..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 9869 zcmb7pWl$VI(~bv z@4X-QSwB}dx(&bkZQ1z{~;2R!@tG%PwHBKcC&YJrsm<}4*BOjY!W>CuI1QE)#572BT$pXuis0X`+Y>8Y=ZA_EU-9!|qy&KOb-3&)$1?rw5;Xy1c}7ft2fl zygVvFQ5>Y1^g(K;&K8oTNZu^Tm7Z*jvTOWwr$S&i~26CfpOHo|(2lM=v+0A#f z!K6h0IkTJsaXczk(J2CAunLQJ`FN+zotnlqhjew$jVYI@7R=6Ca3<*Bl;yRC{b3V+hdK!FNk!Q zRKTLRhfTwGuJM#1rNi{EEN(=4KjHU3pe7e+dR6h@{8e#L#pL#@6t(nbT)|UyAEg_R z#zF1!*N$i2sk?E5EtRo~z$BC6Mmccn8>yeZEhFqIFMmyAv3avhQ8c#CQj2dKib+F* zeo527yNQPv$DlQc?1ahqSN@_?=&V_+8h37a2ZRzd&hf)-XWxdcm?$Gy1-KeAK*3I$ zJshyR1A!#e?OvwESRXtu?84~hp@!F=-DKaXD>3+lboRfl-F_w3-miwMp`UC?cjahB zN3k>{ujS9W63HXcz>_enfcQ1~dudpHc4u#a@DG2sTXp!3w1MVjel@p`hVC~IGn)o* zj-2H!y8CbAE2AH;Bj0Dtm5*vsL2jf`As#ZQkeh$oLl)I2j;h*8aA0xSfleRN6=z<< zq4$xeUTgK(NeIhEPVKV|R4v4yA=zB_oIZnC9Ar>P3@{Uy&;fF&s~|-CuA|jcs-pMp z4*t9c|FGI6{NbSTem*6#vV)a%{bD|Imr%zxRUMq8DWOT5ZI~onD1fPHL{Qj5KBE-q zQtr^9a!ZY8S=b+}Lv@JwNMl_)*~f6t;eHmGN&1ZQ^0WbQMvNG!4kf^dcL$|cm#3a8y7)4S?n_Fbj7_vo|wMO7=Y%GdSNv9X*6Zj1uFzM=C*|h4?vEE;2*W z=p%kJ66FW`erVoT(pG9=Pw^ojaxxKUCuWh(h7mY)r~~-uQH9>{FdwQ^Ka-nHfh$gmKm?~5TzeZqJrZgz#b)Qh239Gpc7|Cu~SHi4IR(7@_G4oGbh1_q0AYa}Wrkqf_z$3%x6;cAs{?0;N-_$HjYtFCg zjnB=XaJ&nVEoHY}CYQhBur$gZQ!Oeoy1K7%+6^zQ=}6<)_1|7-ZQ%G=`MS5ZZBM(n z!Okk9(B4nbEOdsW>&VL`n|YRC)YR|iLy|3h7Lsm6$bDyq!9CandI`?{F+0P%%+1?c z0!rda9+;@%;MnDG+AaOu%aCW5?P5plrO`hcW*!ni5@a{-n-wdAThTbV;(I#J-rd;* z4Tv!Bp;t`3J@J7V3rRYfu{cI9!kf-m@cHb`O9B+o>Y6pUjzFD~2K#9c_k20Oi{;o_ z^_m;*pF=CUdR4}_Pxr-0xYy(x)-pi!k5{hA`~7De+w@`c?>O^&-!^jf;-Iuf{X8j zC|bGDa$E0~j+P=Un&J`^-_GMm zUN%3aSP8Ma~!f0^j`LNI{Mk#xisA*m^;R9>GSuS zVRkok;wR;br0!ptw#!V2#Y!OcNI=laX`J}fh(yuKFoXJ(*~FvZ{?ez9)w!l7f1fh- z_D;NGB>MbX^T-~nbNB;)iJIL!Ey(Z9ivz_@eYeLd8U))%a`WJq*N!i)=O{{dH4Cmk zdUB0>rsi6@?HrRAd|J)OAYFS?F2fJw5L?RzchfaWl1?$p?Y$ZJqczQ3!9>4p?mFj! zf2i->%2kK6t)b-Ov$WXd@@zu$E#^zajbDqPp#c?@Fb6@cAjh@UdX}G2l_aa9trsh;wLa#WV+a^>q(U;`1O8sqqWe;NE&cB+;KhIsNM& z=@sl=CbHtn_a4<3V`R^^%pg5g0quv7)U!gsQ(ar?{f1=vvztj!{^OK&kRjK|!QFEU z;z=9w;vScP?i*0^Y_fmda+?QrmNW}`l5hr6WIGWRkAKqmv?fzdpYGeR+su>d~}v%2KndHbtdA0l5s)% z9JwQAKfi)SmWt;OiNVgWb^~sxL5vyoS6zaO94q1i;(RS;Cb$E}#oPC(5v2#<6m*Fj zO&FL?geQ91(Uq-~h7(PjUUS>p^12*9xDKF07Ll+HCc+>cmH8$&YaBUC&i_U+G}ek) zmZh5$+m%FVp6*WlM=a=kspN~wZx4u0sbT$vNCZ}rcF}9{AJs${MqpKRZ(l{CN!kj~ zs@ksv3{@U4WSm#5isYCM#~5+Nov&cV4ESa`n%Juo(*D%&nhJ`7D39cEQ5lL5IQqJP z>ijGJpXG&99VWt-C*b)(4w8K=Rl@h{9M*w!#n_)nlsq==nKiNbjdbPl@3g)u4s;yK z!B_Kn=WTc{I;e?hwqBX~mjaaAiP#i%O0zh&u)A1ksdj0452|UyS{z_+HIuFiUuPju zUtgSnKMWYq>+sOLE@8$=ik^1vL)DU_p9Xhz_)=OKWe!u=GKdWRn5*f;DEZrG3Ogg7 znt5u}sUCKJ{Q;b$1?F-3^9k|j-!G^NZRp;; zKO$l4tXg(iQ+xhC;PiJu_-4|a7*w2fc8dsxeiDlC4k}j*r1pJePy~nFX5dZnOXq`G zOs*T&Swl}u#TWVKbIAq51brMJAErBHev-;zKU1iHx6k|Zb-vntY=m`b3QBPxrh_lgGma0o>(<@>nF_H*e0co!K+D-R3DpeFjW1cykYsZ?%n z-d3!n!Na`4o)K7imD>uI?(B2J+R~Nbo5Oa-rtdhWO{MJkzTQzCgT*J4%#7-$!Ne3` z)XZ`OBN6pm{1}$jD|lM}lC*sIm-Rn;F&r^U3DobT8RM`)U*mBHuw(rqpg$>AuLA!C z9_xs8z`WZM0Y3)#CxeUS@Sgg^s8Pl~H3S)5s(=)tJkTp7{&BhWI|ZTx*s>dR0$H$R z%*9-zt6iaTR$bEyDJ8bTBNO0s20Dj1*4gBB`n2OaCv^P7;Gz(fIm=U#Q4Y9M%u3m* zCwT^*Rbi`p0n(4iPb<8?UCW~ss3pEDmK0O10^J~@gppD(YQlMU#^Pd-O<+nEm&8eK z@bgxyb(40qeo=ayUSZX@e?j;9$!R)XHyvI$SD47<5lZA+6pVQd8|Tu9iEB|6J5f8K z*i$I3NG+qy3idmpT>fShFZ(~6R)2t_ags@rLG=7|0|#mBvH#$0XDlL>Ryd3ejpNxaI^9PpRMZ-!*A0}`<6zpkxV%9^O*g%3e6!c+4Hrp@c()>=TG zRJ3GIZu_?uz$UcWJPVn4^sy`RIFLReY&G0esg~N@5wQq8&8_cuzWS3!V3qxvgm=tw z4_4|^Z-VMZ@xTadf*6cDZ}1EPh1+a|E;4%hLoJj$rESDq&wsi;=Wpzv?BU}CxIAg- zo%5or{4lTe_&tFgxgOD!rHc>-khhueGSHt8Pw*j2#~P^Q7PJ zK&g-yD*JK&FB7(k>va<>Pni29Y*B&?*=@&Rh0aU;9frRjaOo z?X^LOYiSW5M#Ku*)FKv2+;%4DY4Xyd;{lDLt;wg}4MYBgSJ#v+z%*WS%Nm3j!~7lp z7YeeeqbBR+e2II z(A*+?j}i*aost)m11JG1UlD|B$+HE$YL*NQlEV`+$Xl zQlbh!mTKq&Pcxy)&7u{4DN_~?g+Bv%7OtzgoX8FnsGNvKAa> z-Ww(w-vENbMEdtekr-mcEVLy+RIB>F=J4SMSfK!!<&W>9O5oM3u>Chbh`MQ`s$FS6 z2zK-)GOxwme30Q8j>05qa$=t&Qs3bRw8k)8v637*<>2RgssFHMX=-`m;*l!BId4^JN2g<`|TMlP4Dmqup$u1*sM__qkYimWeJs z2Qb^F2egIO+VuCbDKKzCDZTd2s581M`jR!q_xRyoyzW_rPZ!p6BJcgY$6rFwyAsX2 zBZ~f9k_D!iZ`EoJM=v+FdRN}DUNtyiN~ob;bN!A2TRbYraA!SfgAjS%^<_Tww%+xU zSLRcf=61)Yw}GhAWX?vDp*d3ApehTPa91yX`H;fVHWLAfrM#@?qZ-92{lEqJaZEsb z?e1Z6X1g}V$oWJC2w@LG9DoqYnBn-1efs;XZ-nK)r-E{;yzA#d2pTaEX`-_FA1Z`jbHHOPFUg%v0zN8 z`V{?6wNDlUTlw>wrPH|dm9G&51Pcd{E3A17)Xyuf!Ug+g$14iZC46?9F-&NOzc>R_ zzv)_W0LIo&vsAC36D;0|b<7h6^)sxQH3PpMvBXqJdT?p zIQ>W@gT^BIbM7jouYYk&f`I=jqvg`v354+TpUTeFA<)Gg2@<+m0lh6!gc~4dK&U!zeS-qLq?pXcbmp2o82&=l^ucqko#W?o} zv2_2KJC#=1C3lDCTg5_v_BKD9HhCbsFjF;deV+D?x;YD~cr%#R87)<+ORLXLDmz%L zfGp5x>f@~!$R_A)ko>qyTS9^RuNgf;L*B(z>>*|io(q#%D-9joOnZY9ss4Qyg2IZXXA!^zT3c;@|ce`x3Sb1RsYrRCSTho-(( z@kMMy-1hA!Nn18deN~)RwbLx}T(WgjVCjYJ|8-0aW*(;!Ul~l&93@{R3*og)5fU(k z%UWZrYznIR;GFHQi7}RyMvPP!9aH##m;!S3VsX_^vwk&TuYf~|a(FlJlSPm<8QtFO zUc4d~OBQs$sV$=9LxIDjmkIOxVzs~jQt)wL&Gdi<7iv|j8s`;!=++=-c8@vHT9Y+t zHtgyclz0wIV_rpme20DGLvo1CK1YfPKR;;uSKHdSA!VYH0SS|gnID?|^1xO(9|72W zWo4(%f{-LQ$c>x*QaXU>Qgc}HP1tRN>IF1L0qe=07 z;QTa)KRPF@7^|YJKaP8uxqIAe@_`#iumSmNG^HbIi*1O|!`Qfk=2%)aE*(*L7UGZL zkZLI%hV3PERx`f!U%@QH!>LLly`BDBs$%_oT*wWI_^LqgneasQ_;8I|MfGQ=)VmumTQT#Ng1em&uoc7-fL_YZQOKnNs2_ zqi&Ps){tsJz-le(Tr|YuZ=vczjx1#}N&{lOMp*f%d=S)1);kkMIji7Z&jCU(!|IKt z+Zv#`xFf((*7{x6dLt9W?R1yRCyUg0FDN!e<3ubW3531b7veQQQDyGSU?Im;Y(g5% zUK6as?YffDP8r7Met4^qz{2;zbxVzEp8i=@Oo=kbqbvYyX-fMkzR2gt!*2d=z_d@Zf*0t z9hd|pt%B@a1e=dR%dGKVc3&KWSyrFtUuok!VF7M+fX5Jt@eAr(laP)PIF-H?Yxpmx zV3zP-gQ05>9aV`XaXX9B@>>kpIrDX$znl%r$7_lW?P z=70-xz?FHzxp~5+xg>qYN}Da=P@HH4R5Tsh8Lv@_(Hx=r2gSx_)b3dtyXmXmZ%Yv3 zACpME>y0pN3@CKx9?>Z7RRu4N9Cw@?ciNV|uPl8(t54*Zb5MDs;QHGSQx-%ima;w~ z(~}2%5H2|X)!Fqv57NK*A>7aJxbL)cX%DildSRb-9rUrFcem)+WzqRc^OghRg%^#M zo{@^efFoIOfT``Jo+#m`+5BSX53u<6^%4*D&4u~~Ygj=cN0X*NM=E8;wB=RZg$4~} z{qj)#@)Gtr82cPA$sr!ep$5qzH_72Al0ys`9z*zNk|sKX@*@56BA;l=!H=Ho*exMY z(Riq6t_Dt@T0@43%v#!m5#yqMU7r*@XyKTtJ4YBA@BeK5rXyXTZos2s05dnA-Rb~0GDfDd2(MTb)dckfb!YU~;@8orlP4#pz@WYlMD0T4`;>=g%!OeR?^tA* z+%^0!1Z1{?+)j>I-6JQw2d|+;77w_Xf>gm8rRA3|@?qhdy1{`t8nLB5Y=GN0Ar`Y^ zEzPa`0{m?s);^KQq~6|hqy@I&V^P#S#0(%e0~%}t^rCvjt%ROe-iN=@kqyYXPQ>G! zKe0(Q56Cd7eW@f-dHOOeLu^3UZjo zPd^r%+7z5x6r5TYoLUwDZ3=)4M1%K>iAM1yMFkRzt64_bEgvaLf;>8a~ zv&9))Jio=_)`mYips7Z;iP`r)*osutIMSHnrDxm)s%S4?xXS~LI@EM8-Kz*wEDux2 zR+YblaO83s;{xuyF)sa>6QZ<#Cum-hom-2DmXBK=X#P$(zuNEt?z$ACbdFym`|Z;B zj2*Q%4T0S}FA{G!b6M4X?=HPRsuY^Z9EGXZxS3Sxs|7M%i$}Q|Q_UpPb&=yNU+Fqz z&riQ^7?NrJQ{x`UM>+Fw?d$r0YI))~MB=#@4)A{+p&O6#j@PkXYCpYL^@Y=%LMZqf+otoS^s%n84LOwS9{Vn~G2tIvEHc zs>X-b+tlJx>t>Tab>b=xVY%8haE;+tW|Av@TX=ErCKQn2{LTY_=1cE%qo4UfD5fKI zasVGb$$p}%iShoR^!_q_esP<$5bD+JVI|ZoUeB50bmT~;@~T!)TvGFreEUl4TNv^z zj@}H8Gr$6}@rZ5Gm)DP%GLV!@{mo`bwSeikpxE%>oFV}w1WbV~#wx=d!Pc5ZH0EPN zhK*cs9r4g7(~pzxp1CD#I56F@iEE3FtSx4LI~!PEWSmJKpV_K|yL^ylkMtS!=+$92 zRf4lTeW~t-AmHtymOp(J^1wquHZE)!k6D^0o>p#odR5c$4c;Ur_8VCW&EI(Xc1V#k ztK)Wp#LwxH#gomTMd*ATNnPU>yanWbMzC&$zd zvif_SpH@>ev{1{E6=)??p46| zk@$$5kZjoVUJGA{nk9PhVibx{6hmVV6qw~)Qkopl*i#tLxb)Q;w=G*Bbt*puA@cHo zZ=b8e1*d%tlDyl;yFk^w9wf}*yPvRPjOkC0mhD2PL&b0G=T}huYy!h|MK?l4mARuv zxh4MkY}1U{S*tt3E=1<*)wY zHk>L#B`c(0_Wc6yyz-mEfKgwM4}mSIudZMS5+UQZdYj1;UilDO!M;{qc1#LM3$Yj- zyIj*AA9iHLlS>dMtdZK)Hi{ z)yy?}=d3jnW*`VJ{E-Wa}Q@0;~fbzK3o?_Wb6jtC)40QF~U( z#O#TIu6uSXeVfF60~~c}0<@MduyPs!FOPNhbnYcmY;$*~j&y0A25i8|0{#kB8ap}V za?;zW)Hk1Nt;uFog-q8%wW*%ijVxX43LHGxQS;B?(H!+N!5b3x&rnX|EZ1t1L#@=)c^nh diff --git a/CH6/CH6-1/incremental_db/compiled_partitions/four_line_to_sixteen_line_decimal_decoder.root_partition.map.kpt b/CH6/CH6-1/incremental_db/compiled_partitions/four_line_to_sixteen_line_decimal_decoder.root_partition.map.kpt deleted file mode 100644 index 06ad56f3597c68832ed1db6212c0e85fa1b132f0..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 231 zcmVuG0001ZoSl(NYr`-MfbaPg!uKU{0y{N140`BpW3V2LT`SBqu{~KC zEA+=NnPCss!q`dZ@qH3#bBwI40k0?Q#1Ljuqw}aZsN~)l(dXRa!A*KxW3Wi&q@yxM zz>2>jB^P`rWGMx_%$j&kU)6_PfZYz-=EgXNr`!VBma?qjZg!&udE0=jtER1*TDI_b z4|_DQwT_oj(H8)lBc4_nA;>L+ns!lZr5o4#4j h|FH~#CbWOld=h%yon61d3sZfu_O7w=_ZoGJ5ia7q9G diff --git a/CH6/CH6-1/incremental_db/compiled_partitions/ten_line_to_four_line_BCD_priority_encoder.root_partition.cmp.ammdb b/CH6/CH6-1/incremental_db/compiled_partitions/ten_line_to_four_line_BCD_priority_encoder.root_partition.cmp.ammdb deleted file mode 100644 index 9130e3df6778294deb5fb45496a99406256d645c..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 267 zcmWe(U|?9w%?KnJ7_xxS7)Xbu78Pga=P4K(>lx}9C^(g7=Al+yu z8Y={QDTJpcDY&L&mH?IZKn!3w3O0vFEZ}3lZ|HMCs6KKKz|3IUY5>hrKBqUf&Nd3?#=wZybkwL)v*RNyNZ9je= s`~7S8k=+)Ch6N0)i402u1UkGwcNt&)926|_=&|un+a diff --git a/CH6/CH6-1/incremental_db/compiled_partitions/ten_line_to_four_line_BCD_priority_encoder.root_partition.cmp.cdb b/CH6/CH6-1/incremental_db/compiled_partitions/ten_line_to_four_line_BCD_priority_encoder.root_partition.cmp.cdb deleted file mode 100644 index ea845e280274eb3f7c8d8488d4815cc70092368b..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 3635 zcmeH}_ct2~8^`I=a?7<_G}LNq#E6Dg!mFiGL~4&1RTY9zv0_DQRjZA+s`lP$gc7Y? z`>G~3HBy&a5dwJ ztUubs-Xo%{Af~7TdMk=Y)Y0;hS#4B8^(nt^ov~5JPk`LFem4uaY*jNp#0qT#F=v^L z{;18_#$aEL6!JPG-EE>Z`izQ@p)5MZrvjjo!dWj>M%mxUB`|Cw~9-2Bcjb zwg!--p@W*<=?(&0`u6H+@JTipTB}v&mDfnfq#x!{c&P7DaPXwBe?%S7haBivvDDhQ znfc3mq7S}i1v2P2!x+1U&crU1MA=|8GFLY@BF4F_EsY*xDcM)|v&}RzPU}ImDI|QS z`}P$b|D8n{`}6PoC-5F{w%Af1O<4-n{Y0yVcW2^BU4q0Cng6%g{4w`R#1~=L6N>jw zSN`EFTB8_AxPMJ4wz$^R#pcDi%E!Vr(vglIs}FBP71s!*^xlkhRFkhcVg2#>VIXJo z&dS-8PIFr^x=>J0+DC3%PQ{(c)9asdWo)^PUC@;l|APNL#s6C>tW11}sdskS*Vt6R zmo|@Y&C`NGK7IB!?b_PyKEA0odq8%TXZWCZQ03a*p6teduj>}l@Z;0g5l=91d03TW z9Vb?eRB~kJHDKb(D|%8^51-jUa6SmqK8ruL&~Jq^=s`}Hknq5zHnew05t(?0_>H*% zXr;4S%|c0dYVV7#W1o8x)^_dm#SPZ^A-3`N109}CmGu>6!Od-`M-W%(Q-2jVg zVl-x|s_p*lv5w7xZH)1I`F>FzOGVdHKLwbeJqTgD1lA!kXtP{%qpwnDp}onR=U{Ha zXdaLMIvB$~8Uv3#%vg`wGI$j{g?>gC0E()sjrUn~)JL3~GfyIGpymQBLM%0G_;pBr zZgo`PJmbBEKw*3ZL!jE9>}Qh@-##Sfr|fmzAVPQ^8~}8fc}Iv>91&QYkaIBeAvA}Q z+Kl)k=QHMCffr!%N~Ns{@1e z4;O{QvTL&xH$tmI!u)1Q{pGCLF-rf!PFmv}yC~agG@j3HM)ULv1MKZ7F1k^FXVs}` z1a^t^(kqup_wk%*TDJ3|29;)ylo^b^;xycFX^ zV(!NlNiqe~q?0Xq{*|i=kVgxWj8N-o#p!<#pGmCa1>91pTAD|;6 z5aX{6fhcfw>9^(a93Ovr;_JAS$c<4H_x(L+Q?QGGt02dj$hRd_#|fDqwzU(W223 z2n-$FI!i;AmD3Egt$Pu4TJ3jdol(c&=g+>9j7Qa=-V_loHWdA6MgfPZkq_}4pz2QS zjEQW+)_J=YWZ{^cA8-vqX@gY_B-k;d-rgAg+>Ob-AKLdh#|syd_;Th(D|N&0{n)!& zA0K-o6(rJ;&`sYc)Cg&8AxOBD(pH7FRZUEh5#bo68@l2K6p(BGupq5p`r*JNA!%GB z8=)yr8krt`@8q|#R=amnJE&6YiwexyuNb}IP;XrlE_VZCeDJ(~III}ip4Cts-UF0W zg3aB>BX=`W7a_J1>#2prijl>oi(b)7tME43s+@Mo=jPpkYp&%LvMa_DX9m#56W^nk z>gDJ=H?h+NGLNC2l-Y>{iU&3W=Zr9Yy`gAjz58B@FM3y0SsfwFo=%pDP=nw%0hsK@ zAN!55jMJBtbN;Z`g1x=X){Ow}!K{%X4jM7Ly#)YW6&Sn-?bUrkH1O0;%=eRZ$*V3z z_%(}{Sw1SSj;*_XByx@?RHUsjZnKyo>0w%7L*2`in z|NQx#tt-v#c`HPY&3qYN<*BjI{b-Ua7QGCx9*mW~%6CLr1>8iLg&T$eH;KMb=giIg zNRevW#(PRA!gAf}9|rjy{`2WSR_eS~(_&#*UvsKO>RjV0p+b*EHWAb6X-jro58<`ch`YhVwL0hQ;v z>9VV(;e2ZLHbNo*1VRtZab*SETn2 zUX$!(b>|mvW*bzeB{`ZP8f_<+^2_Q!G1WPbU!3@%a#-BIq{_)kFr&HTJyw5$1vz~5 zlFvKDieLS+!~D?xM26Z}$=XbBl5 zHO=C#m^di16jFtZSw3)yDz$g>#xM2IU%L8L>wsllePtPQmbKe)A>uusIyLV`o%0Il z>pfMZH=mRT7f{mGN`2h@EIEfxOwYR}D1dWMCRLIla4tqaMlz!k)VBaeCGS_B zH0WS;hi6!ne}cJI@6Vt7aP1^CJwGEQ2(n(MfA4LtUQ)J)3NA^RkEqyi z`Ab69W^&)f{4{o&+5>m3+ELRB7_Rp$eM8=uOg-9SC)%l;f}Y;KC6^ZKcH=7f3MwHM z8=j4{#gQD&z7?jydzHQYa?PqO)-F6UXCy*X){}m+H0?yaMU39uZwq(;JhK!mBdEl* zrc`q$Uxn>foX3Z(5na~$H?7x-R!m{#4cJW444_~yiSQ-16jP0s5M-B_%v~`r*g~!h> zAKldOyRZ6=hFKN(FjN?^V#U9pJ}G!|Y@DoPw>e(uTsp(K?QDLc=qJfe;VI zszac0ZwGX>AAH7sk$gY1)bPw#{KNwKt-Aop(>&&21RyDixMTL4G`A$;r;wm7XF)Zr zA@QRO>C7;o=6K%;Pvo|H>URodcoaLkx+eV}H@N~q=__P)V&--+q_tkmeb=JGlkg@C*Cl3Idx(b*8 diff --git a/CH6/CH6-1/incremental_db/compiled_partitions/ten_line_to_four_line_BCD_priority_encoder.root_partition.cmp.hdb b/CH6/CH6-1/incremental_db/compiled_partitions/ten_line_to_four_line_BCD_priority_encoder.root_partition.cmp.hdb deleted file mode 100644 index e7729e2fbb049e716233cde02275133d23043f39..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 11051 zcmb7qbx<5Y?lThf`dN7w2$yIo#c~IEPztcPaAveZTi+ z-d}I#&F&_d%uY7D$?PQA#f5`|t3-qU2jSqX|23|ESi{WO#md2+l7kD#0c58XceS!L zrR3n@q~u^{GPMH#o2m%<9|>19{}lwMg8e`EKaiREe`pW* z6Fn3k(s>9)I*xWpQE=;xwa$F)hY#A73wMDmj7&wJjA(Rl@Q34-k}csS5Lz6+5W~lh z?KYEkwf)`wE(084OM;`Co3d%vJ(~e0{_1@vUUK*H=CF6#xz=>Ow^Fk(Y`(5k$928_ z#(e&_6SSu=?UuEF8WepwX{tbTt{J}OEnN?)N zgzxjETaGFR&o7VjZXUE1Cslgokm5IwoLO@8~=WGISNlB)#`Zi zISGQdTA;+x8jYq2RxEd97eHSjzDSLUZcPo}|3lIs+TDi5un-fzliQTJuNSmtVX-NF zn_4zKQ7q&85$zMc49hP~%9=060sRQ!?{NM<7~ML*5u){lvk*5w;s!DA#a8IGSXK;l7P99=W8e31ZJ{2pjs5`uo>YTOCTXCm%f{K`a6ZQtAY zBJsdz0TLJQlwXABMn-$Icx5XW9c$Bh!M|?7ReeHMtf_c-LVi4pnD7k=wQTL(Z^u&# zQe&^4obs;xM06HY`A3ROBlcPI;JRNnmwcW-qy0N_gsnv_F#~3`z zu>`a|`;hf8Dl%Q)ffw3}yKnTP4j zHOwJ;YnSSZjq06&{@*$Y5$>x>Er{sYOMKZ_lFv*RDb+@`1K%4jPyBl9fa5FhP3B06 zWcHhRx=H=Gmv5hS=a*7e8T|_8+W;jeLed(zaI+|aHDoN9MYzGgz_ z5P!tBuDF_pgo=!jj3FPv6j>8U3f)vJsk(-^DZ+}p3%0d0ZvYV^R1d+lIL-!(hGbxv zj-ys9N|#c)@n;;`z_1j~rw%%VnF};Y>-a($IRq(EqB+)MNqu_omOvcW2fg|#MPpiu z2=AZ)a)f?7iS0yxRazcGur>vi?45thF%}0XowYGN47-QSW5OpJo-^J3_(%8`1lD+!t zJ09Ci!f!ro>+;VFM!5h>myp~dB zux~A@{Rvpj99Ko6#lg*zyKgHMslOU`rk6%BW?FOW7T!;Rr3lmrNEy`*xsx9nq3+30 zO2VonAz1JrNWgKc`Z&U+CwV?s8L2Kx2r}2K^NlDe@b!w(TEqLyR25%j&M*_80ORXg zow@J&O2#kO1>YA>4$*Y5!$jVm+Wg{sI($?*9WWAi$zkeYVd&LFc2|$z)BD(+0(SGLf)#H(Y;Nenvu%zo8ogccUcO`yEH=hg^9f&bZ!@uf z^bY+|V`r6R++j(U>DDXO*v6sSW#}~9TLb{+M~2&c?B{Y?^+w$%{X6Qdwr;R_C0w%# zYqJNs{Uv2mvr6PcbIp5$fICKyC0mbgP2q+z*6*XMd#c?rh9{JlF+F$x=!`zjvj_0w z8NWdI9=FsI!|9^96rR8T^j1W1xH6%3(C4>f@}4>66|cTBhms1^M1>1D85y>MpWJ?u z2~Z^v(8^b_zxclUp@hJCUd0)g{ITe826WxRFL^%jOCg&>#SJyR$g}*6NU%x$LY2g} z5QS?wf&iDqCSQ;$OlZuc8NQb96T8Yn5{ySfL!6@eT<#I<1tV86*;!(~Q?79r)w zcb2^Ha#XzU2JU+XO`P|B)aFIuDg`F?>QgypQzM8C;oZnzev)B4!NXkb{`sZkLwgnT zA^6+Ym&tFkEZvw>A;QQNCQ?=O^$n&n zC*E&Bl*AP-K05Vq{NFSQNb+sSzHD2Y1bBWUxkylEm%#q_wUR!1DaOA|5Y)I!s>GtW zM78`iBt|fi8h#zJQ;_b)R!`_~@Qsh8J^6Dgm24ToV8$3dchoF52s|+tyMHZyWsAR7 zL-*fJ*1^v4PCO<22|T?`-iA;gS>9-`V*9fi_Q@R1JY#!TkA02?`h_jzB7=m-SZhldaM}ssJ(Zo9E|itIwfwr zPHG$V$m9A!$=;TqB^NjAx3PL^suKfON!aA(N18gd^Jw`@SaX&%JR9OjL_{1KFV6AK zzSE?$y-#RpifOfBY$i_i7=xB5eb6=n`q)UU*&kVngXsMefF&V$zRYET{hwkIYfZ$x zLS)`;H3tbJ`Q5ma{U<{l(jbNP+{?d*f3OB`m+HD6e3NH`uc0ISb?&++69`lUCC!J4 zy*;C$0ik%ex9DgZpMj*r#8n0@d7d7-7hlE#i&|Up6ws~fX?@Ra6kFh#nD#-8Zy7FB z*_~UcSiGP-IR@O{f*_TFB<9I<_w^%{pGI>6@jNsG>`Z7oL&oO}lHpcl&ay@%y7iVh zRk@v3mL6N17n{9L0eeZTtO}9Hpn3oRXj?%+Hz^;5St}YPx*m}Awn-Tqc{;*YmmSA6 zGx5whDJop;)M$_C_CoGK({5`%ch09CHro1dTduozWk4#h94^%NU~lWW+PtjUg7YN6 zFce)G>XGR&9xZ};oen;crPrLgWKxA-hd=Hfl6 zq?{MgNbfAr0yD>uojmElcMr!ATP1f}dC^R0*VU5dgq7v!%{NP$5ThRyW`nHhvNgmD zZ?(QvoFFn?5%6?KlGG!6nUMX-+~`nM^M^WkSFPb}rXiI0W{=j~gRc{^&U$`xThp@~j_Ot9`J& z4M|jwAxP1)-nwTEurRJ0t-)Pv0(!R&lK#^~PZtdIk}nHPM!4~xUe*INx`rOWw<%S%+Ax-rtndx{J-+2diVB{V(&N43 zW*sTAAA+H`*=?YL%hSKb5x1yysx@7n%uShoRWK22>}n^^C%fC95nip!HRUW-KwxY0 zy{sYW^sflTM94|=6 z3*u0B7jYLwBKJXWmq#N~Gh!u17p&9zrt2E3vsVi#H@G^iDuc1L9O(Wtz`v1I1&iL} z*KmE?o*AI6#y4yD8Q$zQ`KhhHQiAoM5!}{%l#-}U?!ZgX)^CUD^tX^T09ZA_L(tk> z)7CQQKzDd(jmagelme{saGGcP=M4lPh}>@l1p*L3N|uiJl6-BXT*^dY1wO}CnK$d7 zf!&tUTkuGv4t~=aP(YQy7wuZwhbYXZCI5K~o&6=}vxC1HBv&R==wT@fr$_^NWbOT} z6sR~c+?o9O8T3K5-1(XB`-k2#xq4$%F_Gn9daI%k9CLOnNwHWa>|h)6XMLscqrdDy zvNWjDRo^2P)DJz?@&2W{{B^)17yUoEsWpz@bAGOtp+&C!EFAHkZX$7`L-o^Wvyc%dL|bT%!JHb^z+qM%%{PwgM}=+$HFu$= zS4nJ0`p-~j@}uh;x;$l_%EO-BPe-Eksh^TzghZp{i3J1r9?IWkooVC;P+d?I@;o3v z1978&PAbbJ3=z#ujzc|gGgwoc9Y3kcMI`0wj%bDBdkc!RdBPj=8lP2MGg$Cnb*dyU z2DLk+?7C8)@0fY+Q=T8ywtx7eXOPSr)&4taH#4ODc=T%U*L`7a`)u63gPErV<#}jr zJKiuCnUn9W^eQ6DHxEtpKM&4D3;p|P&iz!oM7ZCnLpJzWN1#}XU#VH*M~T4gZm(Pq zH-$PC13`DJR5f%3h`aA2zk&yKBRKRJNdpz)EgA89%Q8ZsMIHFm($e)X%c6z)!J}bT z@?_WnAaV$7Dyh_6BoVP~ge=-ctczoUR!rqstf2ZN&N~}yWo3cYN6u~4#BuXe%(!8c zP>2UTe13OM@^&MMcQ$B@tK#q;thNzBY2&}G0n)=beK1s3JIG4oD2jPzHmcH#wX{4qMLvEf(6lU0nj^e$;ljrj4{z*$ZqjRyG{ zo5)7(6Cl8M3qAVY22h#cwKIEZC)OX1+eTjIweMFPb%iZGhBj6Y;|zTvY_sH#}!)K*0^s+^OI zEyBl?!;CDI!s#;}4VrJ6`940=HwwkA(@vB!maX~RU~4UVXlN_I%u5&!P1TOjD*I}o zDW5O9uKm+2&bQ{oNt-|1wZ%A2({3Y8K#SKz^c!OY_I3^?%1APdGCbfDay+4o@&6`L z*9kX$B6)r=pS)<(b^V_dpM93q@$|&S+*`+m%-V6_IiSH}TgBPcM(VI~!DDXP=(t67 z3>(&k8KjjFE!0ffQ}e5R_IaA#jt`h&I-cP6qnEZR!;36P@~EPwKz?nTF_AkZk(Ghp zhLK-YsRsW5|B$o8Vnpo!DsTLK2q+!7e1=GvNPI+0kO+GGPXZ|EWM=1ln^ruJN)i*@ z->Pq%;YU7H@9kd2m0h~{&Q$zQn9>}77AR0{-U1?x?^HOSsMx62k#J)A1XGieuYK$yfx_hp`95aTd|Q0;tYmD z?vi_A;K@SVSoLkcr?}_@1AyOo$88i@oBS&WG8*9Go3Z#=nD*W1Ibkww0$ODW;%nL! z2Z#Q_r3+=@Lg(V$%`nK>`7zVpvN)SFlhjVO;$?U#xYP&*s*;eh2L-Y-~p!0l%k3mVC^4x z4XLE$7APPQTSS1>P(nzF$6{lm(04hmFk+Py9_Z~Lch70zahKt7BiGjwCd@-9VRZFy zXXw&Q-f`?)@W6z#5#mL151Jn{ zMCa7Z2P}LF;zkVZ`o;`jDYbadmr5kIcvbgsoi=Ion-olsLd*$A`#WO!#4^J zKY7=cf*s1}+X}j9))GefQ#Llx^Ex6W*M#-tyk^FdcLh=k9P`JNdmRY>-4P$fMj%cO z2Ca3%YqR<+H6$uFxC>Br2hMMgjquEtZV-Pny^$XJ7{hdrzME^wW_R z!NLJ`RTlVnKN5~l#_qsn15J|yEwnGfabpg0Vx?7vTt6^&wIkhzM-sVK!SOPZDuM2` z^1))$D%=CL)=5Vd+LEAo+%*T=uT$U9 z4~!~gy)J-T8KU`tM;fB}dtoxD`zEQd9>~wY-Or$`gT5)_Wg$MR>Q%8#kn`wPKkU6k z!aY3xj3CMQI;PtE+8L44&nu^&B3NOTlo->fSQD4R@y7)cPhQS090E6Hb{2#hI_oxkQ{4fgJrm-Qln>S~u(%dzTswQ8xwtYXOQ@t>pH zVk(1$-QZCDR6y5)5viw0xK(lO12Vvu4GhvsanghkJJ!7u(hzQ;b~)U};e{1E&9vfy zWcwVq^1z+5?rpZwL~o?PH8f5a)A2iSA6N-WU4$Bz1!*_tJ}Jb!t?1mfy{P6Ge;x){D}^%IFpz+&i61LEcFGK zeI1uo_Z!iABlR_-e~Wmh8<@Sz+ByVn6lHyBzjSDu21<`ObXnNxlqF2hL5^u!{$U_j zo_<|(8B<4M=MsHlh_|WWyB?&os?k=d%(us>G_>_eig@J5~?x9^9ytO6J?+=62GF{~%)sfcSngc1sV^Vjc|y)883!qGP@Spq3`j zDgR~N*qprM^xN^b(twGED7f-m6Q2-JL?vGU903OVq}y6>n!iIP1p|J+EAdKCnGW@( zh!GE0^y`L?o>sQtnk76jz%We8>g6)59yY8VF{~al^kESa;ConB<@;vPJC4A_!b2%t zyhLrT^KSfTt#OSQIyF2MVfPW$dH|II74m?$dm!*ix;0AG#1)zDtaXoYd$&1!5Yt+) zg3TAOrifc>lT;&zh_7#;9bBpqJUT4dh$tQOc?TnFoBVkyzgqTSv)p!#>60Acl z&We}o{6$vCtM;c(*!uY+TQBy2 zS%}n{$rO}H7eC^MgIBraEyd7@#WMBw0$-88@p!`grjBP(;^CjuAsXZ@x|C%m9aL9z z=}EMLIc$i?N%-cDUrwr$lH^}gJXp`a1}SyCA1#3y;=yv6pwA%V?_`q|2xA;_qwYwW z<2S+;G0oB4Z%%Y(UOdn;>}`I7z8DSvC{(BNkY?^~DPFQyP?P1{GTeN@y2N4w14ZOR zAipQKhELZwRfTZnXH4*)-DD4Ye`}|hxczYDeZ&D`{-k};<$Uei5Z)54N@oclcEkC8 z@yt;%0_2L-V>5zq1-SE9E$YKasij+`m}kE){Us47dvh+^Kfw*?ALEPM7pamC*b<(E!wI|E&_GU@k7w z3t1GdKKMle!_aXyKEu$d>VIlZy(=-(ltPCA2?>#)eegRo1I|Ld8`BM+V!1v4iWK+U z$!vP^IzG)3H zDDQ$5eP{=pTZ|2kUf?+IL;B4gD#k3&hmTqgr)7PdSzYI@a>L`MFS%kH>pAQxH;{}M zfRX_1Ohr*J4L9I#`oOSG|FF)$FhzJ=Q+S*xK25dw1tRGsbZ6+l-m!?naL?>&fP4Am zj(wC2r@mE`f&H!tnc+UN#2tQs(uJo2#KHfQ=g5Y7?wERx`l1ix(JIN9|sgkB}<&}RuQd#h)vLFKO&xlOP@pYj1DU0|iwW=)$ zyKYUYL|C$fpL#Cq%ZHOzKXzwesUyvzWg-d^bQcm8XET9=fdn|kajPs6G+f?491Bmh*5gBgH5AqT%SZ4RLem<&W<5+0agHjC z5Qn9BjrOBw6TmGN@GoI-%l?-$0PcPP?x29X_lE5T?0)kPN4bv)H07XdQNNMlKhH6_ z0pT?*8S1}(v*>l(*}ptD(3BTTqtJaF9NK$Bk2zhc;PCUAquNLn62X8e{-PMaSH-ey zS=xLGjyhH%V-I>s@ON4(tSe`WExulkre^N;Re5*kXSVH!M)=C4@FAK>^wiLt@Jb71 zykybEf7;=hTK8^FzOgOV0NZxVwXFE%!jc-;>I_k9l=zWK>5)F`t2n*+;0@Un9X9k! z?0NkZB#cQ|jj^bxC|{Y8kw(aQ(5B(|xoQkslT zf~MXkQ4JN=Cgo1f4cS-UX)8p2E$`;>^EQ3$n#d_lMn##NA^9QF0 z9x%DEhZui6RhXx165a521B@ zFI(wV=d`{u!9I%lg80%A?&IEC%tmlaHPiNm)^TXfe>!Wjr2XsQF};6Cf4It_w^Yer z$&$ZPB`A_5C{iW={FnaU;%~;OX70AG*GK6(MgoBjrs{Tgq{X~cx$$VTJd_wIdImBJHM)ke}sd26JG0EHI43CX5RpTRW6|ejc z!R^%VeNRN>Q&H8N)a=k+1_43%0gj^VNWH0P#Ce;2qf;l>SyRn@DdQXw~?>k9ZR_ z26@9_PaHDADD*-04`1Xa4KG2tjvaVKwVg8);9Zb)NUIj9u3t>GzEkl5d*Uj6ERMYu ziQ*{}iUP7^KabazxO`;h&jS9q56Id#yaL7%5%i_%T|9-NuO1W9v1J|ebl-KmbnL$? z_pG}1BisD^!zfnZ1UXG&9R{VU%1W~bJ{;^!So!ssm)-A~?m+UGhjOk&%mlzYn#NZ| zK*2>b(%Bm)Zttp7nTsOw`8h7yKWx)fz)%wx+|wikdED3nq2)=Pi0D@eIJmbr5BLgA z8(Um;+)mcQ-4|a`0!D;FOSFcN+WPUy^)tn#Of7SBG`#Hw+<;w`oWx?{&uJyHA3sN2 zqR<6Of9c7A|1c;j{rQ>m_2RORkUPRM>X&A?F@nb=guiahUhbl1WFoO;l}%zLMkXfh*@pKI)cy+6OwjDBkgHDl@n7p~PAeVr%|rM?B3KPVh`QMM;Um&0QxVwm$eQASaa|+fQ$Z8n+|^A0k}J~> zM2U;Og71V>nGY4{ut;|w=ZB=<$p`GK5%#$lDST(6Dw~L`st97tBR({F?jSAH35V~m ze=SZRv&62Y9ND(QeYY^$KKZ z>Tar4xu`PV9?Qp`F!88T8J=_Rs@J}R2I&>?$6#|M%z(qf`}|9@ z_-q*I(9XtWw>7PFQVjJt%^?1yKmjAS_1Ga^ntv~N-FD=)Ju<`Cd8l*?m`h+J@;n1x zWwq^OCOhIY8G-%BkGZ)X(yK1)03kSAljezhq|j79zF5YBJV@h2TVq)!*|D|FV&lnp zeQ)+Dq!E2z;Pwy@(obTKnU+%h3If zG#_1W4)o3uu4sU;24J3TEhHE@J!>DNfz7-ul+5!`_`3R-3%8rthaSa{)ztIrjt@JK z|CQ>tkKH+@zJqpFaVc3Z$$RhZH*Id~rJ79ac%{fso?Kx|-sOs*zsdRZbXcb9`^T|0 z^vzXkdx>h(!;%8`fwPqkG;lN%ODFxTE9ynblCV!4C`Idj73IO6><`WAoyk*aBYC)R zHlx3NL-K+mHGSmA6p@LgV2qNTg(XMcgE7}5ndWn%N|Wye)Jc)6RKx7CX=m04F=!y) zeB&wip7C2;kQ8!5%S`cWNJhq(T4*pCj`6~$9H@3?i>)R-ixA} z;ZCvlVo0Fetpg{>oHErJPNZQVmdJ4MKB|l#^UV9QaxgknpQi@1eSVkGv7N?*0ev*d zQGO!H$VV2B%7iJ8zZR&*o6sBE8zfqvkBw}X%S;bX|E6?PsPz?aaiEnPnB$3@GLcv3 z_Bm^H6e9~MG^c@M<(s$2U{;~f^I9Hh5!)i0kQ%&_Ztvxn+_9qIuq=gjMW59wI2p@H zqX-V>s|+E(A^7$3t{_+UlfxFztQbyDccnL%?b?c1vFT~NRdR%?TF-jVjNA|iKP5`q}BX6@9dWk z3x9z2;#iK8kgU9UCPdDW&=@e^p0}HbRuz`8m)0xV(|?NxnBm7{^j-Ypoa^&h{_EA-F%2qsI5<2Z`aBj#v*bz;lAoq7I7EtIvi)V|>l6PS7jC z`O?8~YQCjlG$Bhd$LxCfwdAVtHA8IKCwHZ@*QiSm;~NXZR#0r@$k|lkF+-Cn9zuem zyb5SYt`en!Hk*}knLR0lBG$hD*XuJ!WZ@+f|FFTwbhPPg6!jqzeVC8 zm2G73P3@haK*R;ofg*ruImzzW6=8m7DUJ7ccToFK7{C_gEr4$k#mT76fr7vS;_;4< zE2T_y;GHFW`O!BT?0Ig%viP*pfr84oOt>71yy@7q^_;ZND^t|U<#T(N)TG!{sd5H< zTeUO*nw4GWVt9NxxYa)H5#W&th2LYH<6&;&alMLtSgOt2; z1VY*^=qF+cFm&v(K%l~gMKru6BOF%$#E_F+U(_RX7ycv%(**eR?XnpM-m(#M^l9Wa zJRTnT{>VPjrdxf2hV%N1t=il-6KxOnNW-0#YLJ3|(^ z*@=JEHqycKR$+EnkMJ)AYNXe1DQ5W4jpo!^ilkpkqtTx4R5F*Y(F zF)%MOGcPhQF*6`jN+4HdVjx9iX>@6CZtfWX0000008$130000009*n90000000000 z0EPzu000000C)lHSiNr?MHHX=NJxwU%Q3dkK*UG*M&OV0ot=Vk_9eo>iX)ufC`G^& zjumWy5ZWR_&{0rOP*Cs(pj4D7qCiwAqCk<5P#O{^LKGBK!1DXOnYS~uyH4yNJ0LN- z-F@@koA-NPGq-p9j2#c-(RYV0>xsWtFw6kvPvg39pE391*=~+C+lQA|-dcNaDw(|W zjxqbdotsXUS60{8E>?}f$IOkt{(hR?6YICyFTHEkJIUGgHK@NB})!W{)l;NB&4t z@pat$IuxutHs4u%c?qhx78eq#N+?Z+QV6|y5uqOlmebo&>+NOD!o&-ROE6m&^O8!Y zGxO~d^X+8(TbO?^FrV{IvO*hTy=kq#fv`F6y7X><4zng{kgTmjBEd~kAInU4sTk#S zGJ07HJP||8ItUg<3{BBcn2(@@iZOdc)6urpp8T+o*>*CKHPximx&Q#?KP$0h`t34* z$Fj9kcI~Q5$xPng7Izy1pQ()D&NlzAUkJg5B!sye55HT@UQBDaT5TcglqTa+JWEex z^ipObiI z&8y5>)R{FxXx$HDh&hr#ok7Y;WB{!R8drM!8H*8BxILpDng0ov=fj z!2u|;Xv^}v7H)V%_)}a&;TJ&exD)w9fe$&kcQizm^R9sEPeBo}fe*P$4g?+ww1SqF zo>t+_p0cyBMl7;#xgm6}3&lE_w9Zk0CbNe(3|i5Z;Zv-ziCJBaI<8QGv?wuv-<%(t zDp6S>8F{uEM|H|C4~|GcM(K*13Jy|=!S8~+xB0nblOZxeyq>|u5&1y}HO+THn70zn z#iCl{^LLk*$Re$&#ZA*6T2bXqt=v|$gA5fTvKmIaGgjG8Va`HYs-P9QrGHztRGPmq z(t3$ck{9~&Ls<>O)lmYmz#QidqaYZu{Gy=LLn@ax5Cz)ka86DD@;EByIZL>iPDYi$ zGZhEOh;`MF>e7Gk_{a^goU-atLD$E2{n8L^J6!L;tu$%hatr0$-L>m| z2y5}rx!dah+HGa=7anhX2^#pB~`C2W0N?>cIOB8mzw`bu%pMV=tI=cH9$Q(NXM7 z`$o_D81%W~_2uv?4v$^yM+JDaT#V#VD6zL_^E#fiY>e#)pT);=S**bx{fSZ7x5X^h z;L+F6<^GEYqhfBjb2jkf(qglM13?837O;E8<2aTYYDHVDj2~6(eby=VlX7PME5UAH zS12~)-Vps;-7{EVMU>>#Eq}Ovf1zpB1Zir3jQ%hAKdq733cp;u`Pl?-v{rX#Js&6yQo#zu$r*`794PKj0*a~tF=|*~xF|TpHGq>r z0;n)5=jW$HAPq873aSySaxN5m!hlNEpvv)tle34vr?V?Jg8)$JsTmgkG=Mb7CJ>D^ zsGJ;wJ)L182-eKQAOlpaaxPm)myl)`*WeIOKgSSHf4_K$&Ai}XpPE&Y4%7>_8Dtn% Mn;{wi00030{}9BJ`~Uy| diff --git a/CH6/CH6-1/incremental_db/compiled_partitions/ten_line_to_four_line_BCD_priority_encoder.root_partition.map.cdb b/CH6/CH6-1/incremental_db/compiled_partitions/ten_line_to_four_line_BCD_priority_encoder.root_partition.map.cdb deleted file mode 100644 index 6f5b970a6cb922577ef1a1fd384febfdec447b4b..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2661 zcmeH}`#%%Jl>DT`~Cjm^LjnskI&=tczoVK5fPDkX@D?`h=d8l zKv+G4V$h*ckq~_YU430Wh&3iO;u=IBeiEXu2Rms1JE^B{0C7JL@d~;EL0k)s6&`iE z|I2~5=N}`HvtqyM|8tPkuO16nDQt`cdjQi=DZB1l9Zr^z6SuL7$Z~7#I{5#&tw_aGK)CW<#?Pk>}^S@O?hgL{~^dC5W4dB_f%Ca zhF4vWnIL1UK9*!ktiI`mc+F+^JSt-}$9 z#TwIO7kVxJTHb{M8TDU2qAYcmjoQ#yNiXV^x&(l(@kQh2DDo##D;f-Wz&M0sfH)~h zar+jab8TFrfaZ4T;4P`nr^g#aJ$uu4x|962!^Io|wN7MOr24rk2=xJoL}V{{$0)g? z0a##5q>-y7h582d9$0|a&x2IAOHvMH&VgQ|VxB@=?i8p2dOI^fONbk;YJi4`LWQ`$ zSkjFA9$TTFHnQN(P&B%uD8&8E6<_H`9uevTLpQYk8~4B4{Wm>^k-y&rhmR76J~8L< zKb@Efmg9_7xJR4&rb%PZQm>Dx*S>cXm*ZBZG@o!u;{(e^9+=@*{MIvYg3tI?DW|N6 zPEwM7m^>5r>14Fxz^8Nf_;#f?k=;EXxl1D#>XB1xHN{4A7m&VvKF7aVqSyT3-)8&0 zTG{ibNu%2Erb=pET&$q^R*0a$4;uUKZ6e_!6wXP;Oi(-LI~>v46v}XQJ8aMpDrjX{ zU<1_XMF#{Ib8ka~&7=Lkh7VpI832BtQcru$d1RrhFm7CZ1&sR4N?23TE@83|8@|C( z3?Q}eW^|g=!t&8#(D&(yV9&Wn_R#Qd@_}QoDz5a4n`DW0GZfE?{W5P(w4@m zyC&PY__?#~j3tim!XDQpLi*Hz=|ml1A+p0Uj^RrrM@<#^zyJD*ptAb8=-X-p%ZXd5 zw)*_XUB^O-XL^J0Q9tkQ3+6sYZ;&Den4_RY^oQ$h5|&5}9bIH@EE)gJr+geU(i)x1 zi{TBbcdZ0QfI&4lnUTK!MNmd_WnOD$#%N*Qnif-W@zo~(&7h5awtERx5Q9itN|r-l;St;W~-hvo%Wl28sa?B z7#5GN`$@cUl{HV_n{bE-UMja49e~4Z+UZ%C_J>+kg%a$9Lo~GzsvM7KlVIz84{$dQ<<21lL%Q;j%axE%sJxOU15+1YWo&EG_7(6B1aO=COb*Ys7IeYk>3yWj7CBj!r4#kGuE=RVgdNKxPNU$Iq2 zO5bpk?U_vb4t_OmefqPG>fn*R3-6mWFsU8J*?Ni2OIkZ&nW}-$Wjm}{_T*|iWlG8x zgPFlT9pX&(=FXcu7^lW#jD@g^j)Gwq;7}l5Rv`SW1p+JpchNCK!3s$D`O=e*;$1D% z++C~rD7ny|{;a_=4-*?4-)Y)-U;P8)d{eb+9#G6m8|(fuMT43FN#A2-#b(wTvu6<1 z)03T>>I=n<3ObT9W?$a&ySZJ)QK&zx{6gdo$FhFrmPq4_8Jdq~KhjKWtpTchGwt}Y z8@-j57CV)pc~3%;8*(;F{Ck~{27BL`zkj@p25&1Vif73CC}~I%mOa|%#*A6ULwyyS zpC9&jUA1VhL!(ue7*VwL5Zm=o9QTctPu3_b;tbkbDPpT}SZ8`yT%g1h_3XyK6 zJvdjxqKvfY))9N90B`3ohwap`3c@FmfqNlz=((m+7lYS&3i?&Fr-r{xmk&>2>0q~Y zUJpYqq98o;T1{M}zVzz8BOvu`PZ;G~L4@59P3NwttWPn@eMTSICb=Z;(%{F#{JV?Adcd0z(9F4<(A frI#ZHNn19yPv&2w?8rFUQ?I~vn=FO9pFr?GhL8UI diff --git a/CH6/CH6-1/incremental_db/compiled_partitions/ten_line_to_four_line_BCD_priority_encoder.root_partition.map.dpi b/CH6/CH6-1/incremental_db/compiled_partitions/ten_line_to_four_line_BCD_priority_encoder.root_partition.map.dpi deleted file mode 100644 index 366308d277acd34392f63414c557f5993c371190..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 845 zcmV-T1G4uG0001ZoaI7LzDEtEeZ{ih@HYa!Gi9R+&yN zj+>Qp;|R_W(eZ>>mWsk^6Y;=LSSflaq%Ir?|&I#FZL=(Qu3j8R*fRiAYgHMEm0Y)r5zP;=X zK=-n~_#dm_trO1dbMin+9?Dp*B#?AM0jjWZ_M!rr91pQ>JQAG7&}#Ovk~Oeu{cW`x~ez+ zJOR@x6C8tx`{L;b0eYU%B-X&j1m4cNFa5sNzrLBY2ZIBdhbYGkfyI^w9uu)&Brzy7 z*?-J5x79*bP1Uj2bUnAJqEn~Q&|9wEKyI_9dPSKgDtIW-Qr(6#1g~2R^ogi0bHwFU z(v>Br9+^#Ami!|LW4>p%mQgqqEpMI%K(Lgy-3l%W^j&IndKVBZZnn)56~_)w7&ZhQ zHpOjkxum;R_GK;hls~Psx>fR(gJ^Z4X$mgqF5X`2OcXUgYKK7}r7pu^UQE7C6fu9f z*ECQ_Y^_c$XBEy8T=@kx_VsV+yyQUfCh|UMQ~jH?S=sVU(k#z+?Ywhd#?2+nh!Q?a z#G}8s-{Xlrlu&LxA(~+0PPk_jy8|=*wlkbw_uAuc)@V4M?5?T|1iK*?Lf_X4!6)IH z(X0Oh`dX>c*GrB5wAASJQloE`8hx|W=o@85-zoKJr(0_Dz4wUzkk`YtIrCbdYJTr! Xa;;o_YDmBTF290r2*Ugms|K)*c{-j1 diff --git a/CH6/CH6-1/incremental_db/compiled_partitions/ten_line_to_four_line_BCD_priority_encoder.root_partition.map.hbdb.cdb b/CH6/CH6-1/incremental_db/compiled_partitions/ten_line_to_four_line_BCD_priority_encoder.root_partition.map.hbdb.cdb deleted file mode 100644 index b45f4f7ad5fd5e9c576fc079f6ed55528fd892fd..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1442 zcmWe(U|?9w%?KnJ7_xxS7)Xbu78Pga=P4K(>lx}9C^(g7=Al+yu z8Y={QDTJpcDY&L&mH?GX7DG&6V*wk)aE}Q>gUCg!5OxLQn=9UWEQt&(59A#8D2a(p zTW2t{V$PwjcaB~CQNOHNM4Ef$(d(YCXPV7inDxp!X4=z~IpUA^NIm~*p?|z`^Zt8% zud8y*-uL@me}4MYuctru{I2gmx4-_%pTqIb>OWsDG|Bt-bbGHJ`o4G!oIw3iv26QamrGivvW6T8z-`AM_k;`UG}y7NYJ!RCgrEr zooNwWli>Pa@5a^pg5Hs*F5S`GZ6qAFp-J@b?u77qcb!yC@8ad7hK+{V;7J-*#+Ltn zWF0x*{Po;xi)W9`ftmCF{|d&MpuOIQ9Ypruy0$*7yGcVSBA{?XQ}LR{EjzDG_71un z94&M1mKSf*g=MUc0_^UB?CS20BJ7R_58l1_e?fD7+v@jz%wEln$9Grn@BjX8A`90- z3#S*asxERlzH5?bJMl_#UaT4`pXBT_dgTjs4?Me{Z&!0GL!ei9vBO~vrW?;TF8Xoj zX2QCY8*;OzJU3~+U=*)jp{%s^>9^On|3}5Vy5hFT{Nm6MlyG8B?L9&AYIjbt-7T)gDO zuL}|(^S@4?bl&UFhMYIWzXFA_(l5RY_PCVE@+oVcme;vWg%g*`ZlBo{ketCNVEU)x z-R|DQv0rp@Zp;zvsuVPKJ7c4scCKqNXTx8A4=;h3@;iI4*?pg7>Uwisg`a32@A0+E zSMQ$c_KIIeYtPLU7QX(AvQ8af(>FS0>a|v9&y|1c)UPt`Tz~&8+k;C*w#(nWyE4h( lpTebuMYrvKi0Byh?dP@)fAHag=_w&uy+{9&--XT^E&H&P>qM-_Bvrj0EsUW AW&i*H diff --git a/CH6/CH6-1/incremental_db/compiled_partitions/ten_line_to_four_line_BCD_priority_encoder.root_partition.map.hbdb.hdb b/CH6/CH6-1/incremental_db/compiled_partitions/ten_line_to_four_line_BCD_priority_encoder.root_partition.map.hbdb.hdb deleted file mode 100644 index 7630a11760f1e248abec8673a2c779100c0cc18f..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 10481 zcmb7qWl$V2w>DCw_);iti#seuifd_ccUjzBixr9#cP+43aofe+T}!dW-QAsjz3=<| zzB6}{Imw*KJefRC=A0xG0t5tvN=(Fm76HNbU*Y-JYFW6t**G~;bMtU;b8t~hdf3>T zQ*-lysJXc~K|GuwE^Z!bbvbHn3sY(ta~t=6L(SFyi_k;!A0Py3z<=BSu@_AL?Rz60 z8@^4Z(98&+KnjsT$GbfN==LQ3ns+A!OuszRfBl`=9wM`|z-qJaZY8)Pj`tmh18W^; ze@q~HE92uJ2_eNzG#L>1)G;bBQ6c~=VL}eCIC$vL_b{F>e>$8qo_&#IoDngsc3gRS z8GZTtcU9lR?f|7!ZHFe%@;~ls9_t235URfGCF8Rn zFjD@EJXDjqeQIB*+1B1wOvJnRw9e~1=7&VI zHF+jvd1pnB)??=HQKYse$iCS+RYxU}1LvCYiKXAe$zUf9cwzybGIZOcGjC?tq493p z&ovK(r9!i3?jO@kBiD*DsxkxogZ-G7{V2k$TY5Iy-r=rja8+~s_N}BNIgP5^FC^oO zd(J9S(NTYTUNk9P3r7@`%1bvSl!D5d{c^shBwvL{HW8l2?$rIU zXmyk+_twZ;J7t#ccjQzyJQY~1@HNy8?#Ocborf3knBiU~_hpqiFjfoV_@f|0s{e9b z@bT-O?$&T>p&F;u>L^O)`y*4k9Q9(Ib=hgdCh8lsYszG2x5TR6DWF3wzDF+W_;mMA z4}E1tL*y_yK2#9hUfRbIb0FbWG}&HwVgJoFkJ*P3h;Y`2?5is;A2a86MNwmE-&J5v z4TW9m?xasKL%_=@&1Lp2>eV;1uxlkY)T_n^**^h`=~{ox(3X0wOT8Trb@^raSYW54 zaB-xc2YqHIcL!?QI`?i=a72x!SeMvvH`1SSA}0i;87|)L({EC)Kvoc^IFJq7DCt}G zioCt%yZ#|TZr4y^UCvKc1hiyypM4bH{MyhC zpP`daNPQD204h0Z3~y7~A7KYt;V(i9)lBKp^yow9oB;jV1?9Y1x%qPBIb@B|ic0ob z8iot(T0%~P(>s0qGJRIS%}m-&H`WHMxKF>uw8;R*?*PU`0Anh^8Xlk%1ndwb{%f-4 z4nx2AEfjGE$r2I}6j&AF7Kop(MG|U&G~{O|AAzT5u=;vod3U8rdlde0JaRXw-^dsw zMTjn4C6|KJf`XCT9~67Z7-W4I!v;U8#y>Jx&ZEGm^_Ejjm!{ZxwHF8F&5dGhacRo& zmDg^)uC9ms;k%I&5tL3bQ*;+@Uth)o&J5FqkOrqmEf~|XC@5l@pnZJ_8+i6YKa1fU zda}hL3B#T<=4DzHRff8m-I`S^gqcH_Xx2BD=)+(jC zn+$akuU3CfE*m4dBiM?K_?KYfAbrCfp!~t;>BlCG^?e3Idoa0tyG)n7F*(g53g!ZOUyE$P< z*MuIZy!Ed}V|RjcC8RPc1T^!Ah;oXbbq3o%Mn}|4!!23te2cA)qf^hPJ)kL&F>6*M zm9e_Yt#~QOEAcCnxr-b)zoHyEuAwDK<#a56oC;^3$ZBry8}BC$=2}*059dbJy|Eai zAMyi`Ik7ko&*(O}ZUz#^CQO>pIu`f}E{2=&>Ht)Rk@>kNYZxfqK42o5_aKZLb?FT$ zN?im)xs@l{6uH@H$C3F9VcO_y?|xA4H+_fLP&P5gv~LF8Y~h6n2F*LrXDiv56W~_# z{5aPkiR>~A(U5yOQAqco)#2>AW!|>2Kmng$u4C8}b?Yq8(JJ$L`81;3R{(PnX0ql}YZHfSxhu?=)^+UcQY4DU({UDZA0wI(O%XO?d zgev^lS!enNwA5Ye!c(4`xzqeAj{OzZLs?6_6b1c_2^Z*nx>GI?j=3~8QT}NK73*4| ziWcF##OZsDqaV@zE1#@R`R-0wUx2L9FQd436o#hXKi_({TQAxYEhut&sLPSv26|va zp>oXkD`L#b{-e9MlK&A_a4MJ&C=P|l=pSse@2O)eR7}?`yO~j6vcx?Te={)J3FW%_ zCSin&#P;`1dPl75pNDq`af5Ruwh`d#n&c(P(_svQnf@u2lrU=UZyyG%33D17s9@-Wuayov1inGzf4t}f4|?p-OxVPlVsjoqFyt63i`#flb&s-<-^cQZdf&xdtA zdxuj|QA!G&$RwsR&)HQz3{Sp7$G@6J4pX-h%>XI#-yOwjos?%3KLsyzJguQuWs;q2 zmGZP}$|)E77arNaMoGy&Y?JhP72UXO*%rCdUo%R4bS!)p_jzO*QpSJfYYkhV|6I-0 zLhb*BsF8z-Z)p0xTSJR$9p~rCj7K?1s`so7k6df;W8!V~FV7Rw#njCv4zMYd2PX#M z;7x_iY3M82c3Q?IgjwXoqSj(rF!PLs`Gcm<1V(;l3~|g-YLmN!19RH=)?qX4bm&c7 z#yxPT6F)( z%XZShctZrM}amGS+?S;LD~P{dbpLI2z}?+IamGYy=d=nH2qJ9{fzUhm5W776&6dsl76;_@4L>dtxli^T@JF$|9O)J)J03NB6g*W|lO2qe*xKu$w;_LeEj4fb ze*8gX)qc%Iv=;tYocLm9DQq)g&NBL}|oN$N+3;aTh@hO(6f0Wihy+2EgC%&gT zjrCES@_8sJf_mAyl7p$F3iIA37Z^pc%gOb5L`}syN~@y$7bV-wKcpa3A0B`aKfyJZ z1}95;%s@Y$9ldJ94e)-dIzNzkMZigaX0L5XirXwkNoJfM&j==mF?>w7gu0sUX)oI!JBLt1$LPr- zXRJ#^7T>N@C<1HN9!78^7PO2PIGB8AwHt&+j4o47LP6EEeB}chvaHdMrXi|OD)~0y zXdKs?oF6WmZZT9axG^}~kRY;2l)!|G-B4WL+E+M6+4y~Z!-t4@$}yq@V#Z(X0n!5M z$gpJ7Hq%gbXj&hb)Cl}xf|^^&7h5{|yEAM0BFp%@hessl{umEn@c1YqjaA^X3XSiL1F@BKGN{=Jv6`qFf5=BX%9he>{6$xn}wn z6bQ!bjOKf^Sv};BpK70chHYER7f>8{YWzTG9C~Og@7ZeYVL> zcwVs6T)!jYMA$Ok_4#7atg1v*`x-qi?$1x3$wA7?oH%Cu*B`R}l-KJG9>FcU&J<#H ztNi~qa7%q5d&5Zq^txi=?}sv8krw-aivd|oElywy>x8iMiSMkT~%z7e{-tVO#9t zpi}Nzd_<$mk7TR>PFyM6*V+`IZ^`GH#E>Pa`u=jbw$YXDi?!ZR)P49jz(&+eciE4r z)JsBd-Wb?2K#IF}0Tq{apeOBHG%-&)>9nv2*h@;FCmuhbl5%3^_e^|^0|w=ttZR(e zT+FNKhf}%Egonw-$O=cDvGa~c-11ccf1wGP$bT%`y{I+B^jk_rPNgn@9~my-35%Bu z8C+pHX3ySHtr9P0%!`3$=;~ORVM$|Q3B7wCx3))!*?W0;@do4+@9k~Rxvsg=N$I%R ziiC;BQ^HKcC_e?|rB4-L;Y&s4m71ta$zhsJFE$0KgmllSjH!sUb%9BhF6Sic!XEpf zgSr9uTdw`o+>aeDhvv)CFT{mRpTdK2%oEvR68Okpu;{qs`^5j-;cDgXx?*3vu7rB& zWjr(d{|jlCL1S0_6-Rp7&q zm+P)?_9QPIx8p>uB|DtsFDO48A#*hE%p4KZsmb!ncpSc0&a0{_ag>=-^`A=ciL4i& z&po<^@XDI%uL+69pOKLqiT&MP9gcuKHwPC4Z?(S*Mt<0sQhX=vV&P!gJK#H8gLMh{ z;Gj@^jtQSSrD$&OE0RMV?u*nIXB5O*pp%U(p+j2nwD!p?9El&l?1yvH{4c=Xj*ASgX9n zu_!k2tEBr=bhIx0HPMGWIn?shZd-GxURMv9kEjA7c=-NY$GZ1lUx!J4Z1kl1G=LwumNGp&IY|gT{3m9R68kPvGUd;DU#Qjj3*7 z%hf#Cu*+U9^K{mlfT%E^s4(oKn82bKAD2KCbF;Q!)lUu^D0=%>z5Si{@DH^!KP;ee z2=7m0oQBg^XoE$l6CZNXZ{pE!&{aNoZO{dU{ow`$$`#4N)^ML1ZYSmjQr(N%>uKlz zz_V+W@h5}jxbmNMQGHRM&R%U81oe#*E<%VjD#xFR=Kchr#^fgP#fmBwh=YbHP{=1K zC}?eMPhZH13dD2QmJD@fYvjlp3oM{!2))K5BX$v^tmelL2w=a@wXj0^l__|8LwRz5 zU=s(T031f@QL1qHp5z!*u26Y`7L@3HE5*Sv4bPaZTP|o~df~-L)^!$~i9AiZn0xF_ zD+rXp+g!m#es3?rzD_GHbJj)Wp^1KRJ7~(?DLL@$WDaFTO!BBIx4^1KjGiRBn9+Q| zfEftf73-=RCs)PDIa8ny^$BXUBZPpNyj4-3YO!t;v2KY-jx9)z2}z>6DJjo<5rAAv z5D*+O@Z!X2K+?yfYU#+!+AtaCO{&=^3m^BS#-2DKbOGHi%41io)^I`Ta3KPLAP1bJ zZk)94*yQe5_0F?HYWCvzVqc8mTKN&;{w1)NzMA$W?!x@9LhUJ7&p+m7??`OI87`w(B@ znrMRw5Ct@?L@e6=$js`fYDcK#AY*)^*A}izg|E>Ei_iqWG-5Sqq6$$aA!{F%K@Gg3 zWv#aSHs3IxOCubhKJ~-Dh+kX@J7jh1)$k3=Bg&ZdR2VQOwn`3E7@_*2f$e4PbSat@ z3Oo$AvVk{B9;&mU^cH#pR0n7Hd0L3{&?Q?y?YC6!Cn6#(n@-ecQt&g7m?-$Nxk16I zfp=+c1)kVwI8vR@oaG*@kXfw{hIsr$V~1+$nX}yBff0jFOm3aIMtYADz{x-6-@WfI zx{(`~@H7CBlkhb%dO-+riO5{UaKYV7yM?EWvnS+s!TpS@N zxHRw1*U+%LIQPq?V6-4)fm?i-XRGRTpka4l!jjBW^9`F5NbZ3Tk@fu3fQ9Y>LuT1H zC{?%1+pewvR?gbUk$F1exqBS^=WvL?PF!Mbd$FZ9+rjpt(!s+~QQ2z$a!F66j1{iX zsL*U+zM~YyvUxoO)B~#5ebOvruL-{$BA`fMUZu#$e@E~=_BRSK(X*RFv*Q@b%84O~ zzVUvl%Sl4_L~{2;V)q2>OA4rsCjU5J*^O5>1XvDLOpe0WWXB0?23%{gR*{OiRx-5DH2lib%idz8_4DeZ3}W zKCM6Csfj5r7IMb#s4MQ}+c(1b^q%M-6Zj2Rr>V1(TJj~>@Ymd}56Ll=1(b6b%s&Jc z>NbC0e!wt>hKeP{k?xhsy@bLADLuU6VGr@`Q&3$b)ZwVq&@9rQhn!Si(h?yIgO1vR zRuC|p22aH|IFD@uZ~?UKOM-16KIPZ`K<8y5 zo#)UDS21mkyu$IkO7OhW@w}Swyh8B2=$!uq zD#~_I{zDQ#x;^RILxA@y4V~;(lTVw=wHAC9{OkgI?2jj5W%T=3$m(js7lM*2b934f06c+`sRO&AkOD`%ybcaY ze`Kbhi~u%M#y6A*k-8uV9w;P?y4@jM8w9$4CKsayZB8EmEELn;tfeM7!Ug0O#` zGA9(&>7}a4z^RP(AjO5jD<~3$m2G5b1DYm2Huf~3QQl|=2DdW=w{rx$EaRRr`<*Zo z7jl}g1ma%@eFa(yHIJ1YH)P}LfX%J@JyQektMz-9?%hIIiq(59+2F*1vQo&jMHG z!d2{KO2}34;~*THb3n;@B>HfifE|J5>=TgXv*UO1-Bt^z5=n(T>Jh5KW-#S?4|a_b zL-N@Q29|5;=iLS1jIVUb-3Wni4yN4!O!UbI2P`brkNjp_gkGstte!1E5j>=-#KUs<=Q3)c018%oVZl7-yq5MSIBQf;5n; zdX%AXyhe%eC3FMtVoIVszUC6W8_E{P&~F@@n7he3>X$DpioDE{hSZ7Pc~NDJ6aT`4 zN@kF0RKEv36-uSc@V z$q|{&ud7v?;YyU`IG|j?U-bqWz3_Jk+=-y@2N1Bc*~38tA=nH!DI?kpvqHf1>OC{C zq#=6j^zy)L<#sT?A%DWE`@9`_ex~_|1&&Ek!w7RcNDfF> zp>;0iNI3@boVxt~&Ws?>(~B@Zh%Yby_w-6kykMi^Y21^~*uAd|+?TSZ+vBF&as5O3 zeM33n3EH&xh&W&27hC_)`^Yf)C8dQk%KN1p{f3rEbF(Om5yR0_^_EEW3?Wj&@RX#89RL z1vujfoH+%~P>R3JH&q7IHb$uU{;Q(|`b?$`)#&v(==GmN7O9wm3~}A8CU|ejnt!xH zJu;$;%So-?$|8gXMDW)w)$kcCS`gJJIJaSW7P9gl^SEdu4u1Xke59!zl|Y3C@(X+r zrB3BRiYs+QQCn|VLck>QZH>}vgVT09FH(RKyhgxY|3dqJVm$5;7V2{P_8 zK}7`{y2}z4MTJA+&md>TG{2o|e;jRrs>JHMgpYF&6hu(|tY!~Re;tRg&~^m?5T80h z7oCV$-wKu9iYUHThGq=LPwIdno-dSKk1@s}qmYbYPxmXbck?A+Iq>a_zwtn{Zz)qN#pJ_TR!t8da zU=oIu@=yQ~K^Ak%qOTy2EslTCU$7Q!hRgI_pfJKiZ0bOCWRq}*ar~fAub;41aMPW1 z3(Ko0x=FN}{~+=Uq6(7KGE?vi9v2RgChMX0jMm&NtIRT0=i30fKWCP?_twruxm43^EAB^a-X>% z@MG_YOmwofnapw=IJ}}4rE!WiDI=t(bH>{G3{O4TZM>AsN$12ew8g^S)N?K))FfUH z3;RHO`toUgC{(^wLs811-Xqk#fn1mPwPLaJV{eXTVb8gh3?VA0b%B0^jr179f1ab7 zi(%0ulzVD%peNm0hVYXRYZ=#~u4?GiL?laek5+Oc|C^mzo@8?T(9-=3c|^tUjbt`e zi$7|k_E?{n`f0SB)$Ytlw=@QYi=9`WOaeIV$zL+|X7QAFJs|JWCTjD>%uI8D*jLrS zN%gPU#{0up1j##`h*Dq2+*o#a5ER;~HWZ{qbOM04+NK2@waqX^pJ~4vm(unD>4hH` zQ#U5U?E=~JPt=RhN9vYb*UPK9PzqmI;4%h6{J}0%5hXqD!?|dYgB} z6T%a6+zo`NV%5y>^;gvNb*RW96xaHFCpRylwz6U)fuDPT@1k*A_F=oof_K&a$j2eE zqOmZ?EcrISFu%c;Sht@OvI}kwvfu9x0fpH%G~Xg{T)49`d#$VS!9Ct|HyfU-s`l4^ z|9!0Z`_~(>LR;USK$BRMy>KU>)0oHxWh9?{=6!0Rh3D<@X>xXkg=K>Z9i==#hXZBN zRWtb0J6@abpSVTAK*#o$2vel{zkkOv+UwtNW@ae} z?Fp?tOx~?cRG83LM00x{$7Gv$L-~leOz83fCPn1qA3`hzmLr*2I4!DExNf`B7m?2c zJ)#wF;>#fTk#hUV$e8xB+!~^Rvk2DelQ(*SfPnlToCB$_S+2(1lgn`crDyt&`i}ry z^ZQL(nHBkS>Kbm!E}m)C3IVT?1txF*I`=Dx&3P7%nYn01XgQ&Dy~Rb!4VR2Yfk<>? z8oLJ1$MAH%XZIR1cFFhVpA3ZwzN032FP(j}LmLBV2BSr~NjcM2M(L-~L6Z_&CbJIj1O}@q#JRnIlP*+!HT{ zr^I7g^m}WL3smXeH_nJ4Q4|x*NGi#d$(s5TkJbCg`F&u-A1cXjC#WEDHBf&;eN&!W zFG)8Ja#4WmEC}oUvNmdjLlJvQu!qmc2ZWD_H(kfiRB=uc+v3C>_8g!h`UfU0w0C4- z4x&i7eAH+JJ57b3$$s+uocQ`G4Hkd^?#MBaaS@iRm5zwRI8w#32J!Hx6b4fbm!!4t z9#yOj^|gyAUXRDz?Xeo2Y>%*Z6}QNyRu)nZPV*NAawj}Ygudj_B^8wk6zz22eu$;# zHTFBb4_HPYG$-DkLqg>`=DoJjr%~Ma^K$Q@nu`>qYx-QyM$V4xei-5vJ9d3~B^B1;?F&sQGSQ%;e zwlZUc1rf^UeapJtD^qh%TvYhP8ws5b_p{Soxx*Lgrft-Ry*BSe=T0w}tP6VVzgGpG zI2`}J)^J75R!-Fx&SB$o2W9HB{~WPWlRP$Z-bxpSU~$sRpf(A5?<2S%5xzL13@A8q zXY}`+7hMi@(4b3%j7g4by))2;N-p=m?|nlf9FI7eg7<3qi=?bdg|Mq-ijLP=8%>?M zZG20IG^BSUJ+im=J`wbNP(~W|)hySAubB!H_w{$IC2?IS%Q0Qnv)GijWhn9XpV69a zp>f`(mdJ_46+hQZ0&fiB?{fp}JSVo%BJxf5t-5$coc!#98Ys#Jz?Yy<4l;ZWADq(c z=O%T8_}`$g;lUcNvB#B__u=hsFVQq`Mm$_Z zI=Q$BQB!rc$zOR+I8J*vZ9cBS$YxlX>X%$1A2DZz#=LM+~UuV=7 zFPd#oQp1z=TD=V2=o4KY7V|#I%j(ZLS*=J3QRNEmS>LP@5z#-TmId_FJSUZ5~@z>JxE+> z9nL)ownG9VM@vY);1Kin^Wn+#S@T0C?|dAiQ?pQwD895jBk%r-Sp2#+zrWbSjPi-Q z^JGftaYi^|u`W@3BZ<=VZ@i)bbo?i2K9+<+Y{lu{lJ0|xKDnW zhp7PIf;slubO8#kP32&@yIpAqBl%T}XY35cmid3rni}L zqGxdoHGJ#oO`a8&kfksT0u^cz_EV}R*pgd@%|`-gC57KICfeuqzQDE)TbbBV!xgsu ztTkMcHAAv5ZhS>6+hhojIq{kMzf^F@LGgay83JnGyzFYTmIv*01}q4@@5QWc`${!* g{*p6*S=wG?y%Z+e*x$oIWPz#wD*LeZpLF^E0GWV6`~Uy| diff --git a/CH6/CH6-1/incremental_db/compiled_partitions/ten_line_to_four_line_BCD_priority_encoder.root_partition.map.hbdb.sig b/CH6/CH6-1/incremental_db/compiled_partitions/ten_line_to_four_line_BCD_priority_encoder.root_partition.map.hbdb.sig deleted file mode 100644 index ef58eaac..00000000 --- a/CH6/CH6-1/incremental_db/compiled_partitions/ten_line_to_four_line_BCD_priority_encoder.root_partition.map.hbdb.sig +++ /dev/null @@ -1 +0,0 @@ -d1187c24d5e18b5b14f48701f0f8928b \ No newline at end of file diff --git a/CH6/CH6-1/incremental_db/compiled_partitions/ten_line_to_four_line_BCD_priority_encoder.root_partition.map.hdb b/CH6/CH6-1/incremental_db/compiled_partitions/ten_line_to_four_line_BCD_priority_encoder.root_partition.map.hdb deleted file mode 100644 index 45fbdb4da1b023562c101e4f272bce5099877e47..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 10669 zcmb7qWlS7Uvo=Dr37q`WIaar6cQfzUzLUAu&@B9Av z{@vu}B+pDHC(k*Vb0#yB3?TvnLNzAhKSV&V{kQo3NnI;fHybBMT3$XbUM?P5X%8EF zOIlt*04*;MH-L{Dz{AT&`$>sb&&r$@XldjAZ|ku3e;NGg|3id8i~nEwKUn?#zq&W# zsR?=p6)-0d9q;T3txQ~vfOhmpCW=AE=!Ehmi0c3?VvJ{0Wu(`VStQdL9TA2sbs_EU zWs)}SwCJG+^jdQQ0wq(F&px?({Td{a5=GRku?*}vt_iq#ggo}#fs-l^j`j2 zzf@Lqw12ivS7CPJ0nA;D?1VD-_scMZ`tKC^SIP^;`miWx89gq4SRIZTfcThGCMET>gMTxT=Q$z^l{&V#Pf~TOwnouME)_Gi`F|u=s$~m0t&1Ys zkA@t1)D?ZsJVdco#U~sUT&RYulLh+0)t$~i6j3n+MrHB_(Ze&RxKg|+JvaM* zZ@E$Uyw|@>+!P3x*Aw)y6<%1L73Lq>V*sQVgqd1r!6Z5?0YaO9KBkQ;6gQVR``vdE z8d9V=yjv&lFJjLY6`(q?e;-vA$Lydimf%Z!BY7M=k6iv%3%8hB;s0VF*a~jEr(Wm! z8fXF93n{p%T)?XNomXHgtm%Zd$rN9k@_qUF=7v;#{#M~3(8_2R%3URPjb$MPsJb?bYPgc!MDuFZ1^rKgve(%o96`;KplAoQ9{ z>O3)^^Ct^#OTK#37EL1P@D>KYeHXURqW{+~1*5Dpbi3oS`COG4&jk;BfV=XZ_9iTo{Pn)0xcSNbSp#nD{@Y!4xtQyoZJ ze@Z&Tq3WdTBlfyNUh|{A#bE6O&X4i<|a}twV$>Z?5#`GmQ2?nao)Mq~JItMSP zC7|a*=`R`E67;Q+wz*CGCQE}ooalieaJ{ofZ>1R$_uHvYFn)ZZ5E=sHn9P7WTC1V@xAt$W_c zu;Dl%cH?&jYjECMytAPVgtpYtk-it_#~BrVhSu?DM5-c%SeRig2dS>}&<`lPP?lSE zm+Bi{WZI}vYigfP$>w4Xj`;F6sKv^@MZfvB5vK5AJ+4?HO(A%4FqcbwE`Vh?ZM*bO z=3>_mF>=8|?uXTagbC`|=5JgpUp>O*-qxz*@Xbh8PY@U*edPPYUCt5)PZIn_}oE zW9Qw`uJA{kgAJKlZWz+GC!{CzI%h!#d`Bm!X&7q9^01LCshtLrmanmnkIbv&C(d3W5r{c+qK5G_U1Pw12-NE znkmAch9l(U6_jz$Ll~F<(=xed)VW-buY}#;^J8jh&61s;EN>sJZbwT-<_1f(r+-_# zQ-5k}2-t58B>pIR8{fAy>=>&=`Sjy$X-sWiXpPI&G8{BlFjm+nOaP5??~%iK+R^xV z=JfMYnoi|J_?7C*_O&ot=S|pK|4Ap4Hg8pJksBZMkM`#QKcgH}=A+tf1(j@-fQgZk`?Ag~qT(D)_-C#h_&bk2#dQ%~xYVEBxxQl*cw#pZc0H-!jbj93*C55~ zqjU)TyawmqAAu=}aQ8GSQ|JQ+mEOBPRR26XaGtmt;h2+GX4Szg-;T=DXGZAA@|46x z#7x-xypi4G3KHOUn}7T4E&axus&CCl@XwFTcwPvKmzjokRL4{MGakkO?@^Hf&Z0|> z$z_&&fBneqk!SH#(@sqH?>W`LYHk$`^~ML|A6BK-ouS7hX*QMJ``dMQ*)f75lfL3B zzN5W1&kMp@fkWK!6R{3z-178prv51HQ+MND`Cl={1~%d@Pk2sMQxr+m1}1=xY}*rt zU{lysel`q7;UXYO-jLwh@TYitD=8d_PYS6%hF%hn^XzpuTbBGp5{ zmY31{7TfwOB3oq!hvwff5~+aw$riz@(Z;*$Ov)XCju7b!fEqe;jiJxQbIc-+Ol+>} zcAIq*#ryCMOc)?-e8R^{F41d*E=IJ@+a*v()nje*7>Hc?mRRR*vDWqr#7MNRp&$^X z>2PDaJu%=-_r&pPxt?jJ-Z-GWy5D89+pN+9wMg-A=Cesw8@v^J)^&x?*ZF^Mpfe?z z?$(QDzA%5f#o_{e>2bU|{A*bNXONaR9Eu^~)AVO^D9cprbZ|%=OZ}OL_9o+_ZRBE< z75G+DOG5E}?#$J`Oi9tVesbp{Um+hn>AJdj5*$k6runLwTRrLUSyX+xJ6s>0d|lO4 z%XnR*U*FvEIJ7@p{5NgDiAk7g)MMGaNcp%&$(wmFvsk@6UbU<^pghH^|eAcJSbK~-gA)9jSn+y!Y;#`#KOhQc|+O?LX_PHe9ph1#J?mMi8AQBG2MISh7& z^%D@a;^xuo{q6_+?qcOE5)+5i!Q zj1ZIsI(gDF>*Kq_nmaW#w*YROmeX_0c5rBDPGz4i-l-uQ4xz?nsjPRsRyh+q_FV&i zKhjze^;usfC}nS%{2`&d)mGwAH`=&tTH`O6J6Dfw21)uZ+oe&Yk)P}BZ*Z6U#(-;FcNE6n}efqY*a(|+yE1x=qTSJ$_Mo0#Uz@@rwY%quw&OFp~z8P*S2k3<{@+VG*%n=`U#QZb$EMcSd4LXymt(|8h4u zMGt&&@%#GfQsuMb;K<=$um+^r=)A4LXy75XGMdRKj0o@=Vd$PaU54-n( z+r`}a1RvNC`^8ppjGF@jq&z zH8_h%xAEUh`-jxajg`laUA>imq{i28UOaW4-amWqIo?O(q?JzaygDb&;x^BVtJn}l z&nxv!-w-NBX(z0H*T@4kE0JMdePA`l=XLZX#jhW%)<6!kt z%NyWU1(E(}pmzz;8VIYZmYfUXXZ;cmq$^?f(H@=OaR8Taku3M&N|dLtGQHDEoZ2r7 ziq4>>u8B-gQ3`7q0w2{rxINMW@{<;6ejatW)kOH)SJhR&CXqI6yg*#)NQWo7GG1dL;J z9y81t7>`fZnd$Gjs`m@JS)Axon!$sKX7w!J*y+v3A(8Q)IHTlKRR&WC7a9Nx%=8Ue zY{gNmGN1N7CJ%p1anO~`7^1Ld`ZznvPtT-P(4Ms6JD>A{{2VCPviYp_^+0`5bEM~LE>$e_hsLJweL0glP?#HoBtE_|%*@4p^#2JS+? z(8tEeYV4Ml2~`ctMymRJkh?7&Sc2}}C~UUJeNrxNLu$);K02a!9{X@L2I3O4%sRha zx(#!Vkm;0AVUZy2e%4}Srgx2}oNkK!4k&3dZ!8>`@|0kU`9i)-A5BXiW4#-lYe-_# z?$o-SZfQ>L^`$DgntJE8MT>FJ|77nrqG3?`bbL!>+TxIo;6m#8jsfVqs?uCtA^%m_ zhp4o4`qL+7Hj?7VQv1wHG$Jh`+Y0lwF&PIL1N@4Es(%LEZ`l!s{Hn83{kauKLyvZ(SKW|TMBi;2c%q)XoR%Kjoc_UP>ltlyrj zD!#P)AK|;pYbZPcRq@ItQYfG$Gn=M&p=!7oM_-l#9eZmw0pLIt*$ZTjI&R4a=+eET-S=u=Bd??`^WYTgLxTxXL1 z@xpwZv){yIe;gVm5n&&-Tdk(!dq<;HagSjekhBIGe0B_@RQ4M@4KZE@D2j9;CB$zh4d`N@h;R$`)wNk29&+c;$=~r z8J1Q4sY)9DWQCkx#LeYirXkSBLTDJ!8XIVRd?$yvX1+GWus*On;V^g6d=fxppVt6W zZrW7pndm=AJQ|$(>i5}Az%HXHPA}&;=gJ9Ri)_#^&eDK|piTCBtis~6H@^=w(q}&; zJ=)lJUwc?13A4@EBMikSi?mI}qwCuNpdL7`gTQnliU$UWX03#Z_3Pn%#EPHD`8#gR zN=+DsUjX8`w}E1LiXO5ySsZDpj#^~E&9$9{8o&Ymo?+On)ROPsCdxQxz{Mb&KTp0` zSE`xKF9)G%cVO{21`6KXx_{9X4PQ3lvba<1{WDzvFSDGe$nWX>W=mEjhgz8mJ4SG$ z@I^F61lfP(km||{cTou;tM6DqnyYfAOoR)$- zC&BJTVo@^VHaE+VpO^c2Ie#FK4zJIyFKnVSe}vRqU;9;unJ}PtHZ39XwO$~jD8d#X zK2`vlx?T*(#9KsV=SaFM3IG^)1QgFW&X48IPiA%ant^tMqH&rE%3*%Hri%Q<()Zo) zi;MmFp{#EXz z^?M@h`x~rRfUc?ASJUqX+l*wVIYDiHe=W%xdywoU4maRAkka=; zhDJm~zN*voRTOnBM`kHl-i@^0j)APlKua`<8Lon$2%dqCMyf8coG!8Dw3=s(De3Wc zVPAN4@_2_8{W@}nUyVPv29tW>L5j@09?neL9^Os5Ow&8rx87n&PSz3E?t2GjHRq!S zA{P0g4KP=2V-uVipS}03rIZFTv}{f;Vl*jdpe_Vfm?$rYIaK!>d2$qGI!rLq(v(%& zgpt6fK63dfX#0F9+t8>nM^$XRgMq+~;r6QwaLU~Ppls}BH@^pX5gY^QF^*rSXa&}y zD7jkw4WL3!b<+9}6gwtkbiqlNbjDCc;dUVWg*L-WybS!SLGz7!FeGo%Slv6xZY(=?ejR=yI>? z6lCmsRm&C*sv2=!#66`6{8t2qO9UdzpUEx25tjE zw1*DMOw{8m`uUA<*>%Rymr$(RH)N;dfF(}Al8|NHD?;pvv{4Py2F3H{w}8P%{S;5h zcxI0Z#8rIKKkl+{H2}B~VMht#wT1N&|HTtEElpd`3Z9ndAajPqSlwuf{u?6!{(UW| zuV<+&J{+SXCgV3E;o@b90;eEw*1@!}Izz4~pQ%Mn(4J>MujNKu7D4tgxo)-kqD+AA~70>G%-kl)b+3#LOWI-dO z+mUy5@~J^$~+2=}$peTHYm@+@Au1Yt#LiA?dt!2{7VO(Bgf?FC!|y z>iCN0!Wx1CarRHA0c59$WT#SOr*veeW@M-My{koMnq-Z`mUY8cbu5;3EQoGKe^O$X z;}Lc^?d!H^u-FOv7*5E@re70bxyZ4v=o61y!QBEBx+^GG%~~}GFBC)aCQGV-Be#0` zX{2%6&P%T<)!PfLO>~6zr#;#HFCHRyU_&>-(JO>7-Wrc$Q%0|XSc88Lr`G!gIBk&g z0Df|R)}y~syU-MX*_B_bd_YhqDj*MjckfUhxhyf3@sf;N+0c`7sndJk<8ToM5FK%W*@q|GYXNLe4p$?PiFPUcc@T5 zSg(|P+VWnd^C3y6zlb4p`K!27lkbe1QUP;>^SjO=7riZ$T~xFWh*(2!Vo-vaEZ>yes>?_jb zU1nOdCkKq3Z>=qtx|0ykpWHgZAIoY$39R_ZYYOsRh4Ql;LmsP#P;>cR$%?O0y@{W0 zqd(GL4AxbuTOIskV*Fz}`uW9wF1z-l2YVT02oz4L-)&|v&Y_LxA?$lD2O4BNTzY%! zqCSOT-O_`!t5;{~&P;fK5#t&O_8vEdEwGQO; zT}+GoQ-@%(RUG74coIvCMKIq2Akc%Clp1q4SF)yeJgS;%{1Go}fojYPVLH`p@G@-BUpqX@_w$YH^MjZrG+`&h0dMl(N7rC$u z5)sIVw-+#6PJ)z4=i}ap4JB!Y6D&SKgl`&cRjEuphW)i2;^h92$~oW_a1knYj>rqh zE{+U^;E=EsVNq}+Mz_nQ3hA31H&);T2&?dp$%g)V`l{<;KmYzTV*INvFU4bA7ISi& zD47m|l^}BwR&$Ml9ND{CmHz?te;|9GSloZ z?w|8yB<#$E22`_^Q8396@*UruSXQK*EC^CZEiH zOb}E10N$e?rijcjjr}RsDowC*9ZciQD&MkJw#zozF5}|BvhAmu`~`(>il7Cmu4)T* z2V)UG1Em)-n+=-~h~2V|&$8}(1k^GLYC#^tyjjg$Kj+78W>_@vLd@P24n2JWz$6l2 zXKAprH?i?MQzI?c9Op5n9b}JdEIZy3peUIM(47q386w@8RHiR3`mz|-kB(_-`~qsC z0%~L>9#-mfSZ)F=HwBiP1j|i>1)yZWTZR#~<*3-DsaT{<5Vf^%`H7|Pc19;+m!AoU z!Ea16r$<0S)9TlCP zSFK?ZGN)(cAQw^mr5GnjKf9&u_DgJhwSD#gt(n}0qurFE-4JWk_1&CJF_YOhDloUK ztm;nT6@a5PL?Sgr{$Z3xqbwu`2r-d`m;fPbvXHglcd~=j^kBbe4ZkQ2zi~pi{D8d# zzHK1>F#`UvWIjp&6#=gZRX_0#=O+^piARLgS72M-z56!LZq`b&?Xh}=(+F53%TG9M zx4q#PruxK!whs4#0`ILWCCaUSU49{o0epcCR8o`0 z^_kqptp@Rq)-{4q#~LipYHopBHxJZ~lc1fjq9?d94H1I`D&ymji_W!!q-A=Q1cDY% z@j2=Q=Pfmj$Gm1Z34M-dt;()HVCe<0gbY{;1uXrm35x(r7JwxJz|tIGDc-Wq8sSrV zAeETEw@uyPLcIh#;!_~tq9+MvngBCJiESk4kxUY4{J6N>A5XwF+EQ8R--#JT;(Ix{ zY+UJUvh-@3bTc@zF@o~?FJtWf}RoyI^;qo8aA zIF+G?=iVQ%V9zS}lS^al`!YUQtYCk{FAup|aV*XN^n!a5p$+my){O!B0g>VQnVE}j zj~9y_8Ds3x@I^uuV>mg5!R2gq{wCJi+6??x)k01BMIsnluJf}s@}4;1Z9#WqbGgBw z6-_txQUtg6MYYMh!(y}>^zR#}W+BI@*CLYWs~*`{wIF;HPoXu)RCE- z{}MTm2aM=kRi02(*j17Ua8%XQRMf`152gOHk(A!2qJ?d18Cw7v2fH__`Tyfe>43)G)INWDub77E?+awl~bFIp$_X{rQTVjBCO1NRAYd?;dKnQ>HL;Xv!hH^f;iy%{V=T&uhOJCgPQiC`|)Fgi}D50jDE(&|h7 z3eB_lLb(Vi<$^e1?Qb9)J$r%ox_>5|i9^C>X^#JnA&}wN00v!}z7@FjQ~m1=OTm|` zdoLilHh=;ppF<^O(;VjYgNJzB>z}uT!xJK{;Ly`mW?|vQ#b4ESMRv7rmpjX$9l_2l01=ik~do! zSfQ&c{RpJQJNgV&;=kN|YhVrkm?@P8OmbVa6=^~JtNOOg1T>OwQVOh^~ zH~l;q-Yv7Z?Nhf-+MYw&dvZ?YW47R^F*Xvs;};mAA+L;T9GZ7dom`>@$a<) zzD(nNqm^dP*oP?Au8%{0muW7nFi!B(<@eE3+ETm5nHu_57?=yuLD(Y*HhE(Q*p*LY= zhWEAH>;kc>1`^57qg(SMUhJOAGIOeQ2aXqIfq^Az)F(Ix{39~DQm(%8CLfKZBm-c;ZSqFA;|d>$S*TpxhvJAaP@m{pD95*Y`zA$Q#F%qY{5T zqD1~%C26wRBI|1(7JXT>r-QH!uvzlJcQ)+AlgYl02M%xsIPs9oU0n(fwJm50!ko6Y zCR9I`vzN;~gj)_?PQ@yA4R z_yHH5*GIQ|vN{b#jaceI`?6()rfpEu={jFgqo@7%846xLBa&WqG=vAJw-^WwBzEF5 zU5OgRqdJCcrEH!mhtUO$t!oPAh>{Yr7WS;R#{BHiQdznih}}+?t{I)aa-PV(lHJG$ zwnI3QA1GzQ_iQeYw^H$!CQlL7^Xf20IWc;A!TMFS0Y1ZF5TakLvbaG~Q~tVh-`8i? zU6)v+dCfFNHab>bwS?!U&-;}+r*)m?vt^6#2vyZ-*6-*l1SnRSkq7(=8Aq|Onc{U# z5d1ZmY{DTn(sLyTX~aR~h(WZ=%9M)>vEOj*FhJ)|JfpyPoOnARLLVH#b?(b>i(DIkx0wR0j~`JdJSR{Bi#uQ%go^`xc{2N*Kh`m0T6g0Nlm Xz``vXF=qB^3cGz+{AJI7^5y>ngUaWG diff --git a/CH6/CH6-1/incremental_db/compiled_partitions/ten_line_to_four_line_BCD_priority_encoder.root_partition.map.kpt b/CH6/CH6-1/incremental_db/compiled_partitions/ten_line_to_four_line_BCD_priority_encoder.root_partition.map.kpt deleted file mode 100644 index 343c8a3015cbcb243ca4e24b3fcb7de471b73d89..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 233 zcmVuG0001ZoSl(POT;h`hVT6qL+;x)EqL1Yulx}9C^(g7=Al+yu z8Y={QDTJpcDY&L&mH?IJKn!3w3O0vCm&$fb`9cB+sAtQzj)q!jTUR{A!S2CLW0GF)DMk<9>$Ct83e3<{W@md_T%@l p-@kSr*==EHSir!V$gm_ppu_ufm+|G#LBS%A9vgr5I^zQ|1prkWQw#tA diff --git a/CH6/CH6-1/incremental_db/compiled_partitions/three_line_to_eight_decimal_decoder.root_partition.cmp.cdb b/CH6/CH6-1/incremental_db/compiled_partitions/three_line_to_eight_decimal_decoder.root_partition.cmp.cdb deleted file mode 100644 index 9546d998249036c1e5fee7496d5ab5b0475681a0..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 3187 zcmeHJ`8O1b7gt&&^vd=M6UvfoV;RfTRMrq0%P^Qx$vTE?W1Y}TwoIh*EMu}o7=|%4 zgoq}4mKj+`wy{njL)Pc}`Uk#$z&rPx&%O6^?)m(1&*z?Vg}Au5h$jynAQzX{L8u>O zn5%z)r>~DBP+b|QtSYG&*VXsDwy8fa7cQ&O>7?CftG0OA9ly%5!3QMxI6NEh6E&m)ImD}`@m~|+F6uz`62Gk zCB>KmWZ{48e|z}P5C897B(yQ^p{5C^Mp&af>+sBxa)>WYv=ld@{E9W`DAwJf#7Rem z(@k|=X_b_$F)SR-jjKBCN-c!t>{U8Aa74ukwF+~5zm3UrE z;!W>a?H$J<`q<=yR$K{(*{DlKXlyhd!f1wz*L_@KLYE)9H?UPByT?*o2bT_C66hC} z$n4s#%o}PK$*l3Vb)}XrEM^HHEGZWwz6i9v*Ry&_;h&L7nhyyYC(f*(Ko7@?O?7NQ zyiba=igl3yAFVuXB#G6%I$eT+`YGuQRl}dkRN1jSPb>KEoDW48{AogH^P>o2BJ4tw zgu0NpLVgE)4I5{NUziCQmUbwdMU5Yy$rWA+7WTP3b9*R14t0SDZAo>h7q?6-=@x@b zqDZu$mFepV_uU5)u9ms1hki7U;OD%{GHG6v>%M5Jq|oJPN1J(^W$Bp1guU$nhchgy za<4w*V7EzDPxE`08PKZ_KV_%!eU6_R1ha^L($-u$Kz6Ma;K zLHDfLoE==J@jIoJ?ad!U42p^cB3PigT98)*M9Nubp=EAwhhDYL`WC=R=J?NKTh(6( zoQj}f4So5Ef~z=)^4Y#f)l__qf>Moq9g(WmLi9p~Eqxv(Dl{(7J8ToXIq*lwp31y~ z3H+d``G(AnT8@kp#Z0(h;|zdw!txVB&*3^FB?jg-97{$s2$}FK9Y24+@>;SP!%>_1 zuIj;LYimKPooF_cR7i9PbUdXz1y{Sk*7S=o`^9+KR(Yq5Wsw6P(VmyH_-ItZH*T5X zunRR|z64+Ge&*B0b|NB%I<0E75vq$p1ROr(Zgq`ApXs!jf~U8JnQmRC<=Er^Q%>>H z;o2W7%ze}8 z(!t{Znnb-GgCFb0ok#8eB@cTrO*Emd%@~Y^x>&IzG*1U32`|9TmM!=}*_rN`_;&$b z2Q>JA&UgXds@Rh$dCMmfrsYcizOL`bdQOx=z=&~D@wnOUz2f-3c6;`mOpccs+d~jH ziv#SJ7{V;z#6DEf+`w4Mm6EbKX|;UgpHpk$1DOb22y-Q>thYVyQADr09)(X0ibr8@ zRdJt@6G0Oa#vhoVmoZBD$S3N(x+p!_=V#|Fs@D->5pTBll#wDo9Wi^_ib)06AhKp{ z%@u4Bg~}HvuykigXiYeZQq+4EtgdXaYhF{MT3C39|ft84?w z9~8FO^8V1Bs(yXZrJ|%aY;iCL2*?YBDYP|vJY8_R8)Ag_%~KE7Z@kF~`?HOa2l_p6+lM^jj`Z}|#^m&$S5%}xjDsg?+yt)l)du#S z9gB9()cN7za{Qss?1!dL0y_hp(HD{=HY?J(Ej2I`_tcMPdo6t^%Q(7AdzL*^d#!SJ zY`%=ML&NLqNNgp)#$1JK_YcH8J6HDuX)Oxzo^IYh__Fr*Lk>mQn0pA`5XuH6RfEvx z6!b9fvEt3NM`?iCPaoWZ<N$)`BbIZQoS9lr4g$+8i-d_$etr*_1kAn4EANdZRat zo){;pa_?wQ*dX!i$*7o>D!$w!;kc5$v{Nq1r12&@i4>t&xigv1|j%ph? z2>?bqJ1DuHpOYFI_idYwWi)A5IGwwWPR^_?_2jK9(P6lKB?q-z*BW}@&dY>Unlol$ z$a;9Ah`Si-uSyPV@*CP#3^iN>Cki#(I3r%gK|2$oG)^m@0GU22FczKsVlP0pmcO|; zY6AFl3}u`)ft_CH$LB_qNS{9@2hG}#etLR_5cHbIfRNrp=B1OLtdg3&^`LP|$AT53 zQg2}=8HqhYt26cp2ZInwmI~~$T4o&l>m(%4sc-;z zr*NDZqR}hET8|_vhor06CIl2%lcCAXq+4-U>~$IyZtLMPV$%I}yfyUUmfVT&B5%Jx zD|}~VWt%EE@dZipAw^DMZiyS&@|edW#RcVLHtF@R27W>i?otR>RHnfwB$$si=Bi(YBA;>*I z?}A*~*jn+mK6IUjYHo5OnKQ_q1Vm|iBeT}I&h#~Fy=sg~_0F3xWNK80KF|L)(qn(c za6Rz-8(EXTRi-K`Dh!So=1A|)cb5D8IQO?MKnHU8{9Da9jQ$Sm+3Inbe1R#R&g7kw n)D-&BC{vlq@{3`8eB{9W&!o|=k?<81?;np_9}~!X|9bQv+&--+q_tkmeb=JGlkg@C*Cl3Idx(b*8 diff --git a/CH6/CH6-1/incremental_db/compiled_partitions/three_line_to_eight_decimal_decoder.root_partition.cmp.hdb b/CH6/CH6-1/incremental_db/compiled_partitions/three_line_to_eight_decimal_decoder.root_partition.cmp.hdb deleted file mode 100644 index a82945d243c78959b62d01bc2618fe92948fd348..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 10925 zcmb8VWlSBw5;hE_xD_bwP~5e}Demri(Be|u55?Wx-Qg5>=ipGJaBz1H?)vuLoA1|` zyvdtQW;c_`vpbu~KD#^f83qQX1{L<7gn_a7*SP-mS{6TDtQ{RFIJj6jSlKDW+^p@) zDL8mJDLB~KIJww3**Ul<)a59&ElepS&8=PknJVi355blCzd$gmSpVz)BWoD{H}-@* zHAGA%8Om^o03=3GrGEp$V12QlmFv%5nmMiJwruKHr}$+L?pRJ}1buJOOF;Vohk^KQ z$H_x@>cHbZ_k8JFk4rz0V`g`PFV7DQup3wcD$cIoYkEx1D=2Ee&n@H5&fYdRcT{zY zEEsF9{WWpF6g;OeFD*)-TZq9&dE6?P5%V`?HYU*PaG(;=(GlXF6`6oS046V!z!FpQ zcu&XgxgoyTSDGV=BLZ|QgP5`;UtR$y;6R$qzE0{Z23*3hb-Uf1c>J$_zp~m7#X3z; zH%6xhrN*cJdF|wrqdTo^Bz|i!IO@Y@>=L+Yf4l5^>Aip)yXeY|7?}5!E3`IeKPzO> z(b0_;t5maufQX9Yk>{c)ir6v^vxE{xBfX?gd!kv!r>p2}Os&j!#q5HXEEg!;b;q-% z7OY9#L(O(?^PMdwMeI%rYpRRmNn+vbk)UW#r94#GtsVq< zmw9KtwF>tZgNf7gC3JJ<62g%hZ~sE`e+6F&MZ~zc8)jm2{Kct5NdP5hm{4QG`fTjF zwL)+Z7n3*sl`EL7#tOSzxE~+8G!Y--)dg#Owa*!M>S@TD0)Qy z8mNkN#D2(C3D2j3fU^DDZanJxFvunuyVH)z3oJ0NYHy68%QKZenoG*di?mG&iU(2o z{=CZCq-K4K7R@x%lK0*VkM&K6Gtj(D-%$7GrMePh-aP1}$qhTy;^lz#Bx9_?bGM98 zEnumZ{lGCi3t!{(Vnn&rkNI*Zzx}oP-nO~pX}l54#_)Wr>h(M)jDfH$N2dKGz2bP+ zAs(1@ySf{GP$869A))t#<44-jmup<|P)~lMy6dS~8|T}Wxh}%En9->BHJ~a^uF*3O zBVuo_F&ZugqlhI2aj$WXVbRCoCmRbiBHUf2R1-49sn{d55P&5&aJs!JMeJF8wNRp%a#Wc1Va(r?5aez*`E|yb;qsmgQ}mlz9_R2Lt!Lp2XW%#^F$G$B z0t}yYt}dO_LR12}sd*7WM4dqLuo2H(ie%KF%ql9*l>xIPZzQuRkek;5`%$4boi>MMzoP5vR2~|Rii@N;|+WTR%o-n?f=Fzy{!QbKIHFcTU*R3a`x?1Y$>amu6oX??6NZX_XS2PNGxXSjauoO(35%Pw?TF+{Q$u!>{VK zwXG4eEq&!q*nDM-;Eh3M*|(5ZC3!KROZ>r$rC;Wt&iuS-kYT3y{8_cw&-gPxm)kQU1U%jRLJ(MKmU3rv&rysT^!kV{PE8#4Ocx54Qr+a);ZC1}k=EFM9O3#a zzLIGuXuHiH>p*#0IjA*Q2$Hi)ii&UHS;O(Oyt7JdJilpXdlr&5&%qd0I$|9f)tt`q zi%~+8`Nl~SEjXta={_UC4-L$*(>5#ZZmY4DRYzE?Eg9q?+0OWOE)-!l<(ERuc>eiP z3hnmJU0hNniCPk70Nt z2z5f8a(Q|%iQI^qeI~?N36mVfIv3%BR)qFyp9Z>cMVIx;aj3b3jwedDz@bW4JExGM z)Pr<6@H_r!qxfgoQC-!c&u1&IAK4L4SHaemH-CL|(Po~VMl7>9RNYZ@=Q>qeqH{L1 zt;>S8n1nKBv^(=5YUHoTKSvrkLp_3+m5>#Z-FU82$K(!oV*DaDuzQ9wxLVR$y|Bza zg=UQ&aDV~Yesp)*5I#7#>2=7JaB)vDbQqR{Vb@T!;p3xO-;ouOg$;;GRJoUVM|eE- z5?DltdF=V=%UJ{SfEoG&k#5mV!^r9nzH_G3PrFq(_qZQC8mT_D#^DC`u2ms@Txl-1 z))aCoWBgDsb=lOU>9tv#d~jr0P!$l;N!G@6fq0IZN)+;JN##ghP?FxXnwNnD{q1DC zltbv0Vr}_kMmF)8nSqUfz+k{d`F6|a&RYO7ZAy^HWqSN z2AUR7YI5+>k|awWU~vr3&^J`_OK)8xeY6uKAMoRaYB=;MU2?VTcQ1&isix(L9#qVK zUojsmB!3uW-s2S7a!juE7NPfE<85cDfXS3D(T1}p5Ygk9V>P5*N{s#8$8s$^(urb5 zNIvQPfpgogN%&bzmUC_xpsT=~7352C#o4smYk`u*6*Yl+Q$M?ECO0%%}7-_Fg*DWoN2@r;S zM|y<1I9djmrc}N0ageMNcRk=?d-zS32z*w1((~r9(7;6+#taz!4w}q@M`5kvI7#kT zGIa5CnG-c}GZZ)5$$TnNjLJkj&RV#^2UR}F{KP(j!Wqjzori28I zw*iJuwu6^*%uiCNdMxms2a46`)7CvwhyKK-5gs=RZ`f4@ee z1-dw?e#bMlz~Q*!v0=OAwi4<`$3R?pvc00+HRZT+?B;xUNOy&{(@}EkykjH3CwF*~ zPr~Jce#!5gmtp^TK?(bfwMXiaMxlYUXsz*%Zkxuk*HvBRYH0y57BpTKcW*)Rp{sr@=<^FTG-44p1hF^iR)#>$#2 zYk2GF{Aj_y>_>Z{;HxE+y4_qeo>$8^tckCUkDnvI-mGy@Z^D1vOtbRi7wg=N)Lh@( zo~?GC`(MSdT;%Br(mKO8*QT0)wGGo6%12Z=0ljILhrI?{=8c7i`O5bw8+1Lkdgqu) z<>`=Qa1Pa1iltdJIE?cN)88T6nd32PKQ0~kVREnV;?Ffa)AMF^J6dC(RktIo z>2~u)c4hO=ytho-p|aY6Dn$Vkt<5SyIe-=AFQ-A+GMxA91SnER6b4M261&w z-Rs(F27qHqf@{6Wvto53SK8rnulv#9w&HMA6*wUNYe~lYx&byYx)00#HrLZ zNsX7U^U-$VS5mh*EF90cEKpz30Oz46Zka ze~xLe`TJ{9N3vF{q7d4W&15p}zqG)sJ;=IAl8*(EC)8sF+bTva9RDgjZU^SQGh7ph zTxw|n5)|aW&*0VQC~FGolw(N>iILuTQ%zXXADdJdX|dyihd!NrQSIizdT~ml5LVEi zUu+u@=P-*=ve?&0Qm_6=IlB5qAJK%-620$5DSzeraRzCU^zB1Bihg0qH>_&r21&@1 zQt98CH7%LKE(e>j4Phpic#Bm*s&v=QD=zm)3BEK$1zPo}u*@L^iOVRrOzYoJxVd$3Dp0MSs}C61o*9i{xBqRa zJ~S@zxkN`n991J^bjOa-5>H1VDu#4yo{En%u|m=mx4cvkCAtx!e<`oL2jCixsDcNM(de`}o0NXm)=2!>uuxzqt_E%}vM_-@ElN@`CmzGwnXmm( z-XczQ_>G78)Dk_&|9Dy_p(9v`y00F+l-z%`@FgPEl#+ReIoK`ImeTaIL{yBWO-{c~ zQ1j3LHnAb#GClIwVNy*+e4%H0-*nx3>JTK60cn+CF4?}I!@9rGmpyzd&ibf@<0kyO z>FpSSU^7Mp&#pq$aZlZ8sk9cJPT8`$NjL8Ig$P&U5;Ap4-Yu+|`!X7^W#VE@Zw#-J zqToK-XXvi7Lr-5_w9iCq>I1GlAE5((n1Br58`moqwErs}6~A!h^MD|^59rEQA>o3K z_SWkUoPG=8JdgCB3-yI3JoPG3ef>2veG!zZ>Eo9Q^_rtqYg>FS;pN|Is%Bgg8*~lX zb~Tu~NaN%SC23btODZo%Nt}NJ6eF%Om2_0DsHe@debRfC&7bvW?4Ud)ElhvqZ)mp+_XhD+(F z71sSN%FxTyQ)^c0GfPQW)ge653iut}Q8_#MqFKXPr%%?PXZ$)?MOY?%?V&{<8KRI1 zp*5_;(jPWcryQ!cU`+2;60}TYsY^mN3vU9)ef8ujCyy+|EOlc~N1>CRc3Bur;n4#l z5!jZ{dh<3F>v-!Zup|%P=#fmZmDRFhCQM764!RO>Gz~ zE65B195J+BW|Ue|%~5-CTuidnlXeFYWzoKH#1D#M z!~Jj2J3j<7-E=tpwSS?7^D*!LdwQ<~A=c(}IXAaZFBTvm;@vsw2=I@qw`e7w*~Cag zNgFbVn@9qo9qa{vGjWMucKVqP#IKaD^MrLmU$?(YkvpY8C)(?ap6aP@X%W2W&CPUk z+IQ5e^)<8>4cr@b$d6bmVnvM>MTFDI3CQ&Q&PHE}>A+XEs6`={ZxQ_fe=FC4kE#X= zSp>T80!`(ie$+Fa(P#A;Yjmq^oI9iwV29v8VUY9M1X9N(# zse=Qc3?-_}iSmP~0*B314`8JC*-Ic0r}WX9gJOV^#AEl#{b-UYN@)PP%q3h=}&4x|+M)-OP|X7%N7skTF0Nh_Uy_ocOh5WW+4R zKlyIC%Mj?lk$0o06^XZFxkkZep(aMS?SzQ=ds;Qd4@c|$|Gt?FT=o|>^CQ#viwOs2 zaQ`jrO^)#N6)5?2;H$;NS!&-I{;02Lu(wLEHvxTn$G5au)#djSmC+ld=4X?YnWZky zwcIh++S9S7G9MRBOT>`-_g6xVU#xe((C)ASGn1E`D)Z}nZBUqC(cjb`bbJnuLNz51 z4(mSy5|^Mdo1Tn^0;=jRQ7F^4TOuN)As+($r4d0-@W*z#>P!w#Fe`1G5Ds9%{HU!_ zZ`E?Xnd{*F2kv|qZCZ1E+#NWz_@U7E?=NLQ8Jm{I0@O0KGBa|==md1MN z9==tfUBLEmTi@oh0Y>&u)Ttn?!e{enepFxhUbJ7~3tiYCr*muAb3{2vpguRc5iN#B zxD^x=Z2Crtc%6u{E;0hphTT6jKp3z!N2`O6#;+Cpp=lqY!PFA z$fxlNkJP7f(TVmS#|zQ!vmG<-ChVmUHwIvB8Jf;uftRoLV6jS; zMg7X(1Dm1%KFj$Msjsb0h_H01kd}`mMYXvYc-t7TN}E~Yr0fHr0TNIu_8Ai5gjM_w z%ns60j$+G!HpAXFvw9b1492&+{QY!mzN zU_*Os3$pAycNbeCbx+6lSOzgg|qbB(FZyM^fK%x zZ!74Q8`lZ)h3X{9z1G9nBVkTi>Xix6{k7rCrHD06_Y7IY{1E70G!2j0)7NN%geZev zSO57ZyLJjBJo`qL1ebPn{9DOGA!0dKMk)S-^2hke&29n#WO{#vvLG%Up9LB(;e2-5 zvGFL0pIH_Yc;f&^_-L)0+@+N9VkkWNKy?pJ#eFl(-+TvLtDm?>|6)O1vK%k}qrWW< z$Fm1v!#fhd?aa3K1a{}XqZK82+RePZfvJJC>*%iYM6J7IH?VG`@v#!l@+J_GgkAhF z2pICQx$m^VDY@@t%SmHY?i@MHG<0OCUj1*91*qi%o@r^pG6Sb&03xKD;^btsbXKV1 z?DVSp&eenQz`!GbIQ)TT9#~%0;o{}ty*FkseD(QEpHS`rUx=k7QT_WU*G}%btF&!< zN0WvbrWK2-&cHyYBK=+mn$Gu@@sG?WzjoD{G{Kyx1bIzoa`!j8S{CX;OJ~_WDmGOa zrhN1e-nQ6vJC86u-xI5Gmh+QX1Al#3IXC#MBRBd*wJUL@((D-pNBjtS*$btl2zL5q z+fp6fDm7A`sKe@v!Ya#1k9ma|^hi)FfZGKVU~n|;w(HG-f8%~c%*z z>Kv0kVzt@CLk}tZJJ9gORF{Ux5lMnaVHjbLFFzV%!6gudm=4WyU~2+xJ{*bX?9-Ck zHt!aYFedOIt$%{~@@fjFV9Fz$JKd1Nlo#fJ9F;LzI%Ou0Uudy|%FUbCPp=Y{ZtMEF z9qnwv>n|=~*NVzdeK!v_%He!EqzH}lZHPsUx-O~jO%vhy7u-Ujr1!cu2|G2bJ8+!D zXzs=RBLW&VYr01w#|j~PZpHC67fZ1Ipn|S94#+^SqE5cXz&TY{Gh!89CYA3T{S-x| zk+cIgq^G>7`$~R6n)bZC_3|610>ZU z|E?l%r@MEXZ7k&({?{5Rr;GW-oyWk9j1vsNF1>cVrF?goI%! zGIcG~c$V#jRj!@vj9H2W)ci<=43`vYS<>tkZ+BC7?2F8N{&jDvO>7BWc&u@NHkytnRqtPkMpkS6(=C!1u()470mLYLR z--AEA?AhbP`~%DRgX7QK>;|%i83SWnc}x=??ycl4kJx{F3oGcl)M{MoPHl&GKK!s; zZE5aCi;VC69;38qMn`mui*;N@HNObNZaoU4vTXU5-jvTO(_%(UJ7?9G2ZINV&g1{D zst2q^as3q|-7*B4G-3nN{R8N^1l$Cd0nHxxrzvw0fbOi$>&U*eqJLL9qlIt6oIcyQ zK2f_LMu+leWq<+CEihV820^&HM6|m|w7W_)@IhZuP>>@>NYM?=1ap4BDV(WmCW~pa zcyIMObcGZaH3qE)qEwKNSA9{CX#K;k$o_?}KSkX^8t>~~{TckRVPC=wshezzfYXPb z97gj+Dzh{M=2au_VCxK|l!OR7_-G8>U;)oapNlwjt5Un(+Jdnl283G0;+3CRHX%qU zaB{PSWf84SQ7TM<*b>rV;ksqfx@l;47=+dO2qy`B4;Bcr#5b_`q#IS{V$<<`Q=dl# z<%7M;kcPCq;b$(-U>4R7eD7}UdObrU5s~zsIa}|OphniN-%hxN+035F2&;_|h~xXT z;J^t)Ws^s-3Mn1hp(a5*08}2p;yln$A1>7;b-tMr;9Poc;x-5kcCi6?vw|vdw)u<) z;?`Y1P(?} zCCX6)3ni8I9$kKN|2FC}u2ZaZ9rS_IQY7lNr#ajPrucN7Vq-=2_~NfEq(!QvMX0<$ zyR9O~aSMcL#r#O;M9G`N42e$+`5%)I0uVTsN%Imoo~zOBED|P8EGD}DG337; zvL`$h;DmU<=?vaZ+5;t9`h++$?#BT4d=|$iC1K_h{{PId*=2$6%D|}h;D@G9_gSJ{ zHj{tUh>mg*n2QOcQ&Kk&C#YC&@{nDl+F5&C#Jok9Q#WPgrqP zqjAjxp&=39VFVD^Sq-cEsb8Quyiy#mJD9j3|>|YUM8jgu5n6fdS{7cql{(4mSO4>l@4VRgVKpjVinvW%55%+2W7Z; zr4YACl?gHfYsSo@)lQhVoO=JTU52_#FNDa$pHihF$ENkwq7-Yr3TGF9|Mof?VLW=L z1a?YAzp%&8z)jr4IA>!KBs#*Y{jqC-#bdd@Y` zVT|A-ir}My;Df}z&Zg7qU{?%s`cLAxKV&?N7OxrqX;}K;y4u^sQj+WXYTI|DYJ5V2~xNqw*ZNZ0;b0R)3D&G0d$-lVr^wR5;g*F zeU_KV=z}Uwh%G0?ve!LK%WzHC7w(s1a)(BCGRNK~6G6Zacu*u#;3%x0z@UW^ymq*AajSO!R=H-}Ux>X}e@p3RPc^9RBK; z*>lVM2P-EJEk036Q6G#AWGCWx@%YP(mF`-t&Ob~g?KB271Bv7jDlPCthv$mB9kXj) zZRMB=>#&U9T~?6^UXP;3I?Ox$(R5_838Xey#MY?A)|kZB=)~68#Du8Cgb>qj63d0 z3Ue5fw9gX|ftw)ZN*c~U{RSzn?PfK}ScBH&E21>sC3I`-P~KwLL~e8E2N#?7C$s>i zl*oZR98HpuRfn|T1}hNLoncGocKTr`?yy8z%n-*+1Y@lGKdeTV!pNeWe}*AB^wdV; z9)G!#Nw7mIPBY|T6@PgMSnJunrzP|>-*u>v_@pdUB>7<$$E{^RtT5Qf%h(TTQ@j9CP0 zr7FH+T*Gp?xM9C4uF9ULG}Znyxgq(`+~AR47kW`lC1w#S6P-u{>oH_d?ygL3BPjpi zo6a*)&d!q8GAu0d z%0Jb?e@vAQYzF2_Mbp}d{gUE%>y`L}L{Gp?(f2SM9%>9G7xpJ8lER9?!cL&41s-H) zaCNM#Vf)(s zk_G2y7eIa@Lv==ZF_dC-ZS)H<0sR)XJEPW;u6RWqEp$f3XppsJ)41~2Z>ugTrgmfniw9EwK8!)MH}38Q@X8}VAv>S`i2m(V;2!k*Qboha25 zETN%-UpYRxL?7)J&|h&O)+0B-A8T^wzM+9%%*-X9G#Kz@os><_pLFFJNOl*wgy{@0 zc|WJ)_=pRF-~@9`w3f^wcZg(Yaa`=<04e4|2XN3S)2Vs%l`Bi?y?{u8`P*SnJ?H|q76@5= zU9Jv#PA#O9!ZF`_TfF~G*IK)_AFc8GkbwU_Titd;3PvikVM$i6xk`a02K3vMKYV?| zqY3{SU|&=@Yb53aj$nkT#>jg_sY3ede9gfkKzc*pA4_+|l5*XR`3On4DBk2Xd%s>L z{7x4x-s^U?$xyaF*P=BkKr6?Ule6n5Zs<&<6?M>kX|`~~4T0jmm=m8GRp!U>8z z9DZ{Cfcxr%xUXQsmBCDPQF?rl+l}=7qjtg8p=`ziNPLwB4+#7uJQ94sDHFi7{LxVR zhzQVSXG-qbF+k|lNsR*`N@m(h&t#&0NX(|iVXWk5q#+46u^MVOA?(qJyV< zSH98olD@e+Y-54{evMX}EB6Cx#s52nR1gpCyDd(0%B^oSm%P_Qha|yZ8qon+Hm34w z|7EXKnldt`P)ujeQ>!9u{GaTB&t_*MbMh}aA3b#g5TDN=b6j$Qq-IxQ%I_}Q$DMHn zMMX@Wf*%e3a>+g}J38iwy_;P+Q6sUN@V^`_*pmNBwsM*r951C74{=sz<=fB^by!LAAei;oGs659d{?32Y-~Z#=i{Iu(xuG&q z#?qkbx1k@;v)c@@izJ8e4hsxi-L`TvsmU}2C`a5}?VUIi1%fZvQ5&?^+!oTiKN_wa zgk9iMb-#Gy4X7sve`f)(?3}GmE%ytgs^GZ2O`=doh7AKtjwkiq2Q^=zn_g7=5dfI zN57#d+#fqrE|Io-lCISB&P4S#CaG}$y;M7aJ&^^K{~QQLh7nD=L_$&+(fM&f^_wVo zV_qp^if`~fA$^dHj6oKt*IVQ@q#NwmNopAC$D!)BGNT$%JNU;;Wv2E;$MF+HD7VJv znp<$hUDzBT@PL`=V)hBY`T5S-IW=4x$l>w(PJI<9yj%OPmTky&GPa`8(gxYu)|?pT zFw9DURxz$>FVo&iwOo_v+7l}_^3zEDL0pF&0-e!JzV!@Ppfj2F0L;umhj2YS1?wkaTNKeKSRe(NaI7t{ zWq%k8Isnm^z-2}|aySJ=bk0|0UAa~{8H2sN>JR-Quqsp9JQWBjPfrun*d3O}`>f ziB}$rDb@P3^#<|ZGkjCq5@QVob8G9%LL!WN@c&#jRVDeSOjtS8w-xsu_hnzfr_vqP ycc0-jm^{}R8RlUmu&;PbqMeaCYIO;utyxmo-*HQP~q1Z%zEzJL8MasD3%*G={S diff --git a/CH6/CH6-1/incremental_db/compiled_partitions/three_line_to_eight_decimal_decoder.root_partition.cmp.logdb b/CH6/CH6-1/incremental_db/compiled_partitions/three_line_to_eight_decimal_decoder.root_partition.cmp.logdb deleted file mode 100644 index 626799f0..00000000 --- a/CH6/CH6-1/incremental_db/compiled_partitions/three_line_to_eight_decimal_decoder.root_partition.cmp.logdb +++ /dev/null @@ -1 +0,0 @@ -v1 diff --git a/CH6/CH6-1/incremental_db/compiled_partitions/three_line_to_eight_decimal_decoder.root_partition.cmp.rcfdb b/CH6/CH6-1/incremental_db/compiled_partitions/three_line_to_eight_decimal_decoder.root_partition.cmp.rcfdb deleted file mode 100644 index 7570efd9fa34f7697f0e3894dced2e06f263f7f5..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1787 zcmV4R5F*Y(F zF)%MOGcPhQF*6`jN+4HdVjx9iX>@6CZY3K4000000LBFX0000009*n90000000000 z0Q&|2000000C)lHSxavmMG)>`CniqfBsh+-orFV(5JEimdwbT}L_ADz z%j4;;s{X3#tM1;J-Q7yJBe)&>2QTBcxFu+60>k@pT{)l>{#3hJYdm&xW%bpyHye#! z>&hESB@lN$_#@9p(OGKrF0HS&Y=D>Vg!UN(RJ)VXXqTkI|@ zceC?Zt=C(5Vg1Fk02uf5-Ol_|z1}5gNQ(Gs8ehAupl$?bFn6qfE~iOEP1;MZegi$< zaJ6%4p||{2S-_1A1bip#JH3@vB=`vs?#9r@e|kP!JhKb{T#E}m`XDpev!&_RzXaML zZ#pxt>de0ZGN;a!Ppv(A+2r5u=eqq;sk0_SKk7N_i&gk$z)UaG>5+aRxn-P8K_`N$ z5o|)>7f8610$qSc_-%2L^s42#v@NM~+Uv{KYt1-$4g#MhZ}XgY<)Y%>`%HWo_;OoM}Pq$gTkS1c>AeDdjH z(%GzQMpP_SMX^V^W`Pv5mNX}#v`f(`HAkYfCyrBU&O~X?LZ{RmiqallPN_K+rCqU3 zsW}#<-H}eIITxip6GX{%Y!|z?b3`{?u9LGs40vYS$$F z#q+`X=sT1|e{8V6+^ZZykym##iHghVM9C$mZVCmN#L~^TG=z=pBHo8K9CUpM zo|t(+1rJMJRPb~4X1s1?D-rR;8x#%YKbJ-H`@b0x&l0wPBR`@*LCcH$hZZSjv^-W= zx}zDTbP(kp0|jQJP-Y0|2W>aF->Q@m=~lHJ+?~r?#dTml!tLzs|CjOYT+Q;_T#fzR zvVEn4_OZ<_+?&?g=En0HGHdM8q{tZW*lJoV4<1SA`cliT8tMeMq;Fjze%cOOp&i?> zHhQ#Ep^%5|uu8%@`4w`Szf+pv`@(B^q8@^~JfBflvBfsT^%3<0zJ27kS4y#eI5-{k zliGWDV&h|-M%k;T`S2$wyF8Q;4+UQg+U+jL{5Of~dVwpp0|D-cIbT!+<9`08~-W=^Tie2#gVd94t{lC46I7FpnPNXer!&2` zFVhMlB3{UH1)0VXRgh`Sas?5^nO2Zf9A^c*#`*J;=2OHFvRwg#F|W+&I&8Uf!uC9d z??V$xU&HX7NXqy2k0R+gNZ*a5myPsK00030|9Ao7Wng4rVPIfbzN*j$NHH)0u^12s zIlIMs`h~a#xj8z!#)k(v1_ZbUF)?T`GB8XuTzLve0W~r(@IaM96)>ZiB?FXHhAKdq z733cp;u`Pl?-v{roI!={=QZ0)+F&!!+&gap15f}AKxXj*acN$0W_n&~ib7^y2|L&Zkn{qeG)M(25GQ9O7IC1sKOZQn z0>r3cf#IUy5Z3@s1__|TsGOgl@_;nRNGYgBtjf7i?1=&@Rf8(W6Hd+^{+`aR+zbLh zrKe_C{L=)|Ae%IxS}|;Lat!u#j)w|^HS;il;zZ?Kwh$=f@M?B(4G!`2a}4qH_lt+v d%nJ_osaYlIK)qniAj3d`gJCm70{{R3|Nqp%M9csH diff --git a/CH6/CH6-1/incremental_db/compiled_partitions/three_line_to_eight_decimal_decoder.root_partition.map.cdb b/CH6/CH6-1/incremental_db/compiled_partitions/three_line_to_eight_decimal_decoder.root_partition.map.cdb deleted file mode 100644 index af5e0a5f3da711223d33be3d0fa68f7f0e58863a..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2551 zcmeH|`!^GeAIA|zd%hlQB-iDZYeI!`w18nJ}>yS;~?wcwASMdYFwA z%G{cA%}6wtP|94AOXZmv+jrlmf8zVYb3W&MKA-bCpYuNN^Esb$J|GDR2|7ShoFyc% z;;^=VoNjOUXs{hM8xnDg&a=CB-rvV3%yI-a&=wyPA%j#Y8uk%z;!NhbUoTMhR z&q^Du5_TsM$b?;bT9Qct^&bOtRE+(>ucQu0XQnm_CgxroqiToS7=*yBrhKZJiC;e) zoq0nbS`-CQF6nM1yp3}v9ocg4-0%&0N~b3#pPU!;enGzTbQZNTqdo22u9F^5-Dseh zKgasD7QGd~U7phQ4K4euK)Y9t5RwK%rwaWg6N1367caPvM%n4kR%7i$AxY%A&O zSLGKvU~3x=^%OP!d)ccjukC;xIcBv%os@6KUQ$ShsYvamxBp&u+Q~4#QE4&r|FjAi zn+wquv#^*A$Z~bDm`NIK6D673vC{5g5M#O>IT!^Lvruw7H1tnWPAJ5fTsiuPYx@TLUB$S7kT~-#hT@DsnWmMLI3ViRe7}auOR>zvzSJ&U}EM~0X zC7&^rRl2g+*rq@#iO-QOV0rro68HXV_TSC^Kb(Qv-GhP$Z(G}xYA4-)@-QnY7kNX3 z7fM7Ep@$Z{-yv9#+{9!G(Ti=`ttDE14X58~ce#zH**7z^;r6r`_Gnawx7UZoMz^5X zZ08T?>)ioaGsQl~9(4|`iJY9bCAr!uq5v@1vS(5*}pvmKhy!@7;zHoq}H@yVp8BPOO$lfdQOH4QUr9R~1 z=w^skegyIKTI_mMY62;N7b<#$Ym)zrTMZSRkK+l2pM>41t4r>9fqD?lkMb~|{|(K< z(p<3Z1waT$wW{pG3;!~^+>?{PZxogf*qqOJ^N@otn#U; zsh!Z+#?#L#b}CC?Cfcq>qN37kdNN$j%53769(xl%zc`yP8$lJeFpaZG%F&B$cg{`t z#Mhquk!lNFz*d3bB>%6d!9T3b+WZyk&D;4mZdW2a(t$1+zSnr?}b>FQRwU8 zw@Da{D2i8#@%JBAx*s%i%{ClHoqO6*QJLS!I%gWGJMKUIvRW)yT!QqDOmblr1@D!) z>Z0>z3{K%5$fy@VbP%Y9H(~3+5nAsW{L}Uw8qA%aAlDbjLz7cCM&&B+r}Ga;rqUIVv3@m`^$-{iZ@5kdwq`+KS+^?AenZ`;|$ONL=`&GJV@U39Q)Aw z+1U`nVq^|LU>V>Noz%nxmKa*(&<|78tXv%w9%fj>{~#!}$W{;(Kp+z1A`pt+tK5>x zK}!=YlPW690l9J9)>Y!_z~uNqg=wC%>=fzz;RUJX-RhDFL8ooHT@59k3_ftPE>0hN z_1IXY6@lh#|Z|Cx#nuG$`W#HH+;)2?8 zcU;RD6oBaOvH5L$RuX8(&3lyw=*!?$3aV=Y9BDPOv25SGT{HBNHn>FP;k`C<$WFuE ziZ@dZR5g7Mjj}%~rTBE7uSstN{9PYqqbcWUsAA47-K2sqnIj$?Q+S_y0&+#px+=u~ zxn40H)@kE+&fsa?S-lND>nweur&i8A_l#AwE3K*`iW+8s@g&1zK6bc1Xcv~k?sGRt zPb9~TM@o9rcD94$C$ybR30lyQfZN97H>u>)zsYDkbK(yjRZbCsQ&dMo*XIOtPbHRu zu3Q#%X+F2P68N#$wcXBSCU1np3v5-iHRLmtQBJB(Y0|Cfo121}hEJ#!&yL1YAH0c! z=83BFQc-cSGvuj`JC4CVLF|jROS_V}e=}<(syC(YgMQXQ&(6MV^21ahOlpTr!+}~x zq)Yf=4LGdlFVo=dM1?hlLakivy$%;v5_rFW|6o19y8bEuG0001ZoaIl%|tQl?z={WlfeQUGsztIK`@g z%$Tl`_T%S3Nz?X*oRks>%(`#b*d!NBt;pGuFIMI;Q)8p@H}kZ|CHE(z|>8q>+e zVXJX&9KZ!)oN(b283&U1Z4{}T=-@%TdX*IQNQ@+PVnSU>(VLPY zgQg0avZ6{iSJGE(OQxG-hhRHCpP2;nFf;W=eM#hmAg9d-1jxt6BwRp{+~tjPoWbWIx&Te z+>-!ND@n&ahOtFSfGr+cnB05Za?ou$UuOkg=wrZ%?=Qh8!odI|798GQ_6DGP zsjvQr3aC8eeD31$DX|%1OXGXU9w2y@O3+QryK+R1hntqHuIZeRL+tABknX%7Z(q#U9gGXz$<8~7HBts#y;rOEzd zrfJAN)^ztom7BOV$1UB)Sh01xWuMBp<>*zJCMx(=qNTbmX9!+)6X+39UFL|(i=>&Q zpdPvXI4Su@5=6XYV@{%QAiCQ!4S--R?YdQ56zI9s==3fiSl#TJ6I2{KJZ9Jsbl4Vm zy^|%~x3bS`QBwZ2(#lTBGY8StM1K@qE?m4)>p~Q@IBJhUAf+zDK~YSxO%$VB zNMvO%C}$1MV%+!zHOg9b;=JZSh3@e_`6B;KzGSvyQ({iu;bwBt%UsUSCCmt8zKF#` zzq&8+#B&r=ZapFzW8+S^=M?(`bDg#`oL=|Zlx}9C^(g7=Al+yu z8Y={QDTJpcDY&L&mH?GX7DG&6WdR$+aE}Q>gUE%f5OxLQn=8J0EP)Ix59A#Gxa#QW z$TAuVPA{Un%>3B-<@#}h3v~}XyPt1Yb1OrjS9r0*VGX7m&o(alapz{j zx|17nv!*;ZX}(|-uU?_7wDsw?*SG&i#k{)Ww)C6R-u+*;t~{{MG0L)HyGex6GqwNb z3XIL(&2pIq-wS569V?n?Xy_RCI4q4dJyPGQ>GzeBmwPf4k~|)4Na>AaGdEnkt%>(7RqH^sjKg|gBwz6|!bl*#fbYo3uIYcXfTUw;oTftd0;d#~AjpJnQLb6tg>XdmzKwaZuU zp6d3BUq@@t%@r2D{)@6s9bnTpI%Vp$R%g$Zf9uq*GVWY||18^sOGUQJ-@Uss$>5*D lrG-Ve?S6>p820Vwwhe#q;ezQYAz8gg|Cv9E1Ix|-{{al?w=Mtx diff --git a/CH6/CH6-1/incremental_db/compiled_partitions/three_line_to_eight_decimal_decoder.root_partition.map.hbdb.hb_info b/CH6/CH6-1/incremental_db/compiled_partitions/three_line_to_eight_decimal_decoder.root_partition.map.hbdb.hb_info deleted file mode 100644 index 8210c55998f9226aeba57897397a9e541102c45e..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 46 zcmZ3(&&U7*6?443e9xch_SZRizE|HvN9&}{$usA>&--XT^E&H&P>qM-_Bvrj0EsUW AW&i*H diff --git a/CH6/CH6-1/incremental_db/compiled_partitions/three_line_to_eight_decimal_decoder.root_partition.map.hbdb.hdb b/CH6/CH6-1/incremental_db/compiled_partitions/three_line_to_eight_decimal_decoder.root_partition.map.hbdb.hdb deleted file mode 100644 index 869447d6814ab751325e51897b0b12bb493117d5..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 10315 zcmb7qbx<6@&nSA>;S_f(#W{*wp}14r_0YrJ9g4dZEfgv4?poaS&{F(x*M9wezj^P^ zH}ht*NoFUTnceIrSs{RfgR8=T|A*n=Z2uMRe^d+X;%ejQK+VO?&c)72E#Ynhv7qMS z1yOTxa)7uwK%89M)avro+F&zkDGM97f1(JQ|J6W7^B+ZU)VTkp|BDrv{>yv8!wgYU z$%nEi(coz_nL?rvQE|lQ_R~0rV=ZOodKn#YP@%DW6Y>~jZWZrF>B&m*`ORV1kA9+? z8@}CEyD#Ki!JXh5S3-OTd^)7GtVO*D0ESCi|VAN{Op($ z13zl8ZzVInkGI>IHGditGyae3Zc*FIc0}B6+ne9kw$n7uebMV<9UpvpY;7?8r6uIL zHaFIurCAWtG5EZK?My!SYC<|3{BFC?9==)Kp9~BCy6!Z#s;;`-1B?&q=SrBN)x*-0 z(37}VVf`XVQ!#h;Fzr%|qEL22xx#xFdwbv$Dg8WU^?@d)4ow=|-?TTuZJ(c+$&@Tn zS3=M~mMFof$Pp6TZBgiW*DtNLPDuS1{f@P#;9J>c-_A}<-ExDHSbgQ*@am`1xL-i2 z72aS=8T*Cvi^1XtmD4Q#QU*dsyk)cdRo!~EJ^mreuOc|U)OVj?!7GS$C}!A$|9=f7 zD9Z9VVFz#zVUmI8SACQIWY+^C*9+$4$7t}vgqQCYq&5`2o#pYCX*yJM-#U zGzQT7WT%}1@_eESF20~|<+M7v!Th?VX#DylQ!X^IyAwHcJA2t@_pTTm+0Dy}fiQQo zw(24*(g7j~`4Vr*^{@6V{uk5J)nCK>vZfG^T`!_s|GboLT;q9`|4rF5@cR%DV_ENT z6^?mT>~w8UTzKRcd95t$rruZ6{^`6a*`k%IxzN+Wn(|Sgb8MEx~V-mJG&f z7o!0^lZCDl*cM>T9ytq(NE_}U?f20_dbK$#fBOXApVdR7X+fFhJL>k={y}5wmIi^Q zoAJAOyk(G2CZ`v{8~2D$i5WAcfDQX%kH#Ti@##G8^Xtf!T=Z|cnm|j$SBx=~l%
    QGI>EV~((u9}%ybWYo zU&66r8dEIjpMK{=$kXR}{1j*d#zQDeuZ;F5u9dVHtQ#9MNNdF;@t9du5l7WvC`$Vz9*q_HaMg>)LQ-k%qzJh_+1hasJ#G#~cb=O` zy?NH{9kSRWX4I6uGpH=1_*WUcn&toQvy+pL-|t0UBs+Rtv`Q|jeYGvbS(K(JkCD)z z3NNEUS1bDZ^)(~v;Qpk7ooWNrZ4*Pvrup-ETB!NRMM~9>U0kURsc8>yAx%Nmm|gsH z8&Vt?hczs4J_9=*RX_Mb!}~(B@8bU1a#d=3vjN$-ay5}^G~qV(;Mvs&wD(YL^=zI< zWJC+EOKq-kNe^$H)z1H|!q>pRtcKShC|D)Izf*DHY}Y#D{Cw1&l6(`iz%9xz7!oq5 zl@ng;e#GFQP&cWGJ^8VNzxi@bwFRf1`4UQo((2tj%CKVj?W|7J)%Rl*w59%ZJYX7E zx0Eh1%iuw{_>bG6b(qzH#_wNFsLgFlUm9aJXKhq0K&MSd#}SL}EXQ-LZkdXmVT^fE z*PH76`|Af(YHbe_O@?M|&ThGE0c64A#gidYf?@ZSz(`mc#t-Zd?lFwOQR}DQWn(Xu?2H70g)_K?=Ek?yw*B1jZdsp$%Rt-Ja zK93!J&jt7=nPu}1D}`eh^Y)k*0}P2rmA=q{n<9prF1W_d)nQ40@BTj-LW{d9fI|_$ zDukPHOxY~EymD1}dZn#eQYfxh+Xqxs<0a0esV{~i@aHID$CV~V9RVL~7-wHzZ}v2n z2OE5gdnE%q_;>B@`^1V9J22*r5QkPiN$xo&3XmaAi>_SrCN_N8YvkaPgJ6g^AV5bp z=4T1U7EGjq@`7}Sg~zongNjJ7%e}T4_jS|v>i~Yam1m>p5HMwG`E4D{;zUTR*oroKWyEh)MQYqFNV-4$5 z#`L@z`#k=X@=^JqBR>n+oNXV1s-fjYilTsffiP9IZ~&DYDzE z;_Tec4Ghf_Ac)tnmYIg}xzsp2DJ4RnKPG9ffp6?f+l?CW6n7NEv=By^(HK3}v5(Km z3F#A=U4`ux3*d@{)aUx~cr#-2T^CEm70XjJA)VYfG4(V3-yQDVKv%LPnIZT6P>B>b z?jDD_`Wlb!c<=e{=N9DUN#Juj6Pf(U?-lL#DI4gVyV~L5`zzpYp~a^%XCBQqyr-1! zJRx%4mg0hreciAJVw;!nx!wuY*Z#8S3>hIHZV;$65jE_4%1u+3L8V(?n~<9*WF z+=ooi%rr5N^Ir0 zaI9fg;Pjq00|CRP{L~@Es-j_)vT2I(Tw|Qyx~O4s^(i#Yfqg;h){4Q8kjDt(mS$a4 zc2zjH3MrEmTKS{dAK{co){;Sb0`ZZqYB;JdI)U)6toh5Fkc_kV8#&mCWl#U>Q&thi z&YS0Kc;r?0Sd4cnO5`;x%0i)_23^`$f##T3#FWRxO))w$F8;6D4aItY_QNkxyyZwL z*){h5Yg*c9BIbGXLPpRR8$11j_P=iCn4`L?dM3itJE_wg4Spq;AGH4xEs{1GS?rQ# zr{rNWiP83oK@l&+-_V#jwEu>~KXu|2sIE{Z1!5eTg>bz`{p5^^@UKt)5|AXI!H8yTw)2c%m5XR=;nurPAMSV`txcHrmI> zKc_FuUYgH5v>D5hj`Rew*=Q%`Gmd2QxokbQB)=BnU6Q7i&TA*I{4A}af*uzhn-#_Q z!o8dUVX2EPs<&DYXV#Lw}<@l@}x8i3thNt{Es|CT4?lgwoiG7v#Q{9GhR?WmY zC+_jn-{haTZCQu@iRmzyeXtd)pPjtD`;VpexuLCpW(?I`4C-fBk0I?V#}HxrI~Zhnc%GC7ae5OQhOz+s!KM5LdqG)#cTDxaLrFecWGT6M%0#zN5z;z&)fpv4|~y4fxugP0OJwyD5o5uO^oJM~WD^ zk`>R6dV$ZhOBkp$@*<3NLqtrE@`xM3wiEpU>CyUndPWh0ht*{6YX~Kn7Ij(pp)Q9u z;hpHWa$IDh^bg^&JPA%p?_A%qLkI#;4QElVwq+`nkaqOuLz>zP3fkGX4+5iwhie+& zy(6Z7_kn4p@ZAaPPZSKE!UCCsP$QMF77}zH;&P&QijmH^gL-nQj$Nn$tqM3)M*0iO zZNENqna3$h?USL_=C7Y6Pk0fsY1rDtw zpJHO4vgtTqT%G{RW5jzS$v(ZRBej6!lo#ZP6;$LgDvQb?LN6v3-J%qVou;8orFR@0 zh15ePq&fx6ox)SaW}671Hs9+Ivq!a+Q;u?UN)GNDO?zAORxN5Ec({dbU4 z`1<>bqFGFb;F42t;6p&ku-sHr0Me`BV5&qkI^iP*aF9p>7a(_pz+3NdSbB*$PAejx z;^jZ)ha2U5wYn=4#?6DH@L`xmi+{sM4p_2-9N`Rza*np6rX>iA!L+i?=_d|t?i;`% zGcvizj1Rd;sX9t5^zsS~q?Ar5B-ZD`3>LEgR?p_f7nend4ZwX-O9~(pPe7%Yu8Hk6 zA4w$stSqJRH>D?=a*u;kxv6x(k1iw@|Hz?-a-N2FA9wDa1<%}d^hA0)f~=I0@*GHT z_D5xMb6a~jH~75b=<$xPanA#Cz!jRhCsrfYZ}>1Q5jG-Y6F9TGc7pvlJwkvMNc@G4 za=mV{pju!(BENZwFOw8`ZEcPcda0)4qji9tYO6$~U1`W9u3$4PFO@Zk6OcdQR9`1* zK@AKf&M=ZUcl><0{3TlBuSk|xoX`)83t#Vl#?DW^qSc`H#sDTe1v2S(M*BZ%<}VY? ze>NIKd5J+a{(+?>0vux2-d_CJ?;?XV6g$l8Ma)Im{bD|t*|(6nzlv~Yi7G?hzTG$3>f4d_S!3XC^Hc5w)iCo_`wmyp?IL!A&+ZNJ+Z4J~UE(N?eyIYF z*@zcJ@CR|TBFg-7)QCa-p1X@FQr+;wCBPAI`&sQqTnZ)h$jRkF*eb_>qzD`q#HD51 zZ>9b@`g>&G0(I0j*J8*XPx1H6?~!UIOqMrHp@WHL*0v7|iF4$FHn)+qz>)~Fh~ph( zz`Rp3AECr+Ic*m`mFd!R_FSt0mx1+-|L($QE6FpByjm;{%IU8`eOM?z;5YGCbL#lu z|1u^|W<=Q<>R6aLSsb9Fn|?!YlCU#Hi->}_*TB%3dGLZM8bMc%V%xX5M1 z;Xs*9#w3uJvC)NZ2=KS{V(c-oQ~jkBTZ_h+U-ksCy1uH0S+Ja4@Qb5aVx+_p;o?sr z(eU=C!uE*5B5D60_df*jpu>4}ZwBWx)?1IIHxLr4N&8<3e@1+UuV35xk|uX0ow@A& zyym-X835iY-){2NAG~3Q$9E7r_96|iK$cF@7!a*qPT+HhL2(X~M6`Fwo^0JsEODD2 ztAADI0m%}tAROk%uu4<8yO7{D@;%D?tmUIm_`}SBF;w)Sxu}BZ_WE6V7)(R<3?>Gi zmAYE_23Cj;fW&eFzc10y(@(X&O-*Y$xrkpsH7UTG8_T(SHm$B0GMe9`^6 z0i&5mS}X~b0qQc$mZZTRL~LA*p3(M;LPR1WJO$2tOMhdd(t(wxBKbXN!I{UYQ#+%( z)zR9GK7Yb;aXtrYg`z`wUM5PiO6rNti*-OLE{IJ!z;9PaG@p!=eDr{`Xdi_SLOy+a z@C=CbjcBDholda9J446en7BisaTC3AJi0DM2uUyI`& z)8HQC795`S8_3HXZ6C@o%onYkC-~dx%P5o!WI5Q`O!Jnu*Waz~I2PdDEE8GOX24@O z#%l)^-Yt#Vzo$lura0>V2AwLzcbl7<9g!oDwQMO0+WlFuE2%WumA^a7gmdT_i&0@z zGL_CFBi1qmTB!?4S{Sp78fUSX@y0gsn*c4Y=Rj24t4y= zjByif9kAdN1D-YlgU#xFd#hVHU6D>E=&mFl5 zlPekwH$5Vkq>iXf1S~ZeWO#j9URpzgQ;=cVhViD_Dv_wpkqGZECQU&rJD`;$(8^_y za~SN`k8cgRG<1LPNT%^EYVpCfDHgRU;M!u)3Wx;K2Gn8*)f_kB0qIl$wcdv=B1ZHi zG6Z3fG$@n6L};_LqgBt}eSecHS;+v`I*yub0b&u=6-quptMaVp2QmXupE;#*gYa*c z)Q79s%a@|t^}?Qjst+t}3*Vat-`GiDXe6)<(255M3`r5oprm40aHEbgH|{zLzqmIA z&VqY|V^|pwO*L<`2VBajlQTkRibcD2>5_E_jnypz-Vyd%OD#X$K?K2uGhP+4UGoMS zaqXG~UB44c^~%)#{@q3)b!4Yb8O%w$s{#$8J}>7F*hkQ;Q{C4%?Hqm zJ{$(;Jl(YhNka=lGu5wlA?{HPt9rVIk`95zqzS#{4HZP(p0H!QCeOyIBiW`#3;kt! z=dH1#FfQ3H%v53SGnjl6Z?WJv$jbb9?-lR&)N^JNrJFT_ou`&`i24vFR|Fo9a=cYr9WY%ij=)3w4n?}4+C`?Ec-|;!CZ>;ni3^Os>*97OAyJr}7*VsaH z*+Q!_`ljGvld6<-hWeh`zMk6kKj}K+cbC4!H=k*pINe}nef2~j6cy~jD65a$a4o{w zE5zv%LRV8EC^W)hbJ3%RjscF2f3Xk2X42|-r2{|K`w_fDDa5h=TCzRNR1Xj*uoo1? zPTlGA5mgOwHj^EM=!B7`M3HCoUA!dsPGCruVSs5l@VHLGuM=1(UPl}14ee5_z+bEw zq0V^SjU5}jhepWK>5OxHQg0-+7k6)+sJ9#*NqSI@UnYN?%@HBqh$enCVxs~G+XS23!>6CwG|F$a0OOzGV|qBX&(6`C zIexJwa|!ig&C~S-2i2MOJK&Mi4^prWQqT@kFb`5N4pOiWQqT-ipyhltNdcQAFeCc9 zk*t^p4H@e&U9m{+d>*U=S&odFjEtKo{M&$VyRz1XBBHp|-)!5+?@!o{Y8QLp%R zVZOUP>6Tc>wf+$>#^_c(Q9%TUE&s3e-fh)Qzy99qulvQlS6^}}+U>qUwMG{oixk2Lk(#j7fGNDwV3E1qmZahfVPO(FhP^nf7$F2z@lHx_}%wzMhSlkrr_)VS~HKB z*ws_eN(yb3*gyAC1-ug?fqm#*Flne9_S@~_W)i_9A6WLmx|D%iIb^ByMEDCUELSqh z!@9~aA+UAx0g&+eD5Z@mn%4%7hKCD>-`4~0%7J&^fWyvWouwE4r6#G}VOc1t1=tfr zT+mUlmi_%HLN5Z{XO`v$q{bN8Dr0H`fxTu+Cv|pnsVZmtO~Pi6+#2%)~HAZmoc<)hV(v1#K_80U@%I zXjE7x%qJ4{RyoV;+{JWqW68>R8adXg%qytir%;@)SXMltzRcw4O1D1XB&p8_Tr1kk z|7EvPVDTGPo3AE-*BIbY0E9(=YRSfXu@GXoUy-zAV9NY8z>)*R3PGB@>n7x1i8y?$ zgZ2pQo$3ius@aAbxlbbW_Tho-zn_1n>gZp+GAlJnh5c^%Gdj+GDM7SoolD1o_njRO zO{kmJGYHnK+N@b-e5AOf%+SmQIGv*y9@p2`WEe6^7_v(k(nuJ7knq0CWzZG{VUU73-BB*yN?O7+)C~1rVI7g20Z5h@BE1fUHo0i zOm+}AyFgj@qbB$I;NzI`fAKqjA@r4$Q%>f=k%-6x?NXoS%(L2uX7WO&w?BJFg}osW z<5jGqJ=~D!O>_86m!{({BKvy8K~Qp+$VH***;bW{JAW5xtf0Tka8@MR`(D6w$VI=& z0)55c*(WsVK6lWHE@%ZCTuTP7&4$|*aM*_&tbR#wA71dosD-b-PJGp1e9$6+@shx> zdcDSXD@-`=Ky++~faEt_qNHf18rP za7kclBrq-#m@^5?Dk%KfgNu5n%v`E$SF(&H2(PhsL~=ru-Tcmm1Qr8YS+=MJ=zQRG zb5sN({L7Y{kIX12cN`t`QwF|udgsq`&ro0sp98A(p$j<`Ms4*=>Q=G2TZu(AQdb;uxBT2#1U_SmlMt9uSrz!AitnT zc7or9eD6}AM+VR%0q9W!ybA)}6#(xNfp?rH zoyN6(yN6Bzj}O}y7enLAz<3VFsr%SC);-IY>y* z`QxihNrebe`Qg zCVrF8#q&{o3k}a2NeXQ274~=~;cO=BLCp{l%C$NFt&OA&)?b_t@cM{LWVq@Eny(a9 z-0q&V5iLF3c_7N#wz8=Go7VTn94dlAT!Y1zkYImAwgpPRKeTVWr~u{FjQ&e?YEOes z;j7k!Ko0<P2 zwy|MMS6yxTjoU+ZFEn3xLsfHwxp$qt*NOjk??4L!?;l$ffEMBJFpS86y4VFo)owy# zsnIH12Zel_;bT{ZZVJbE)3IngJpKqZ7rT;}tuYLLvu;kepIjfm+I?56D(i>i<18R? zKKDeBVKFY$YCW!zp%F?DQ@c9IacYY<@KMH?XbY+Jg-|96oX8 z8Rbl!_~Y2?_~RI=3OstB^v8GU$OW|Dh!Fe4?}X{oax+J8bv!jip;3&ir(}4l_;!xG zJr(Sh;=DYE+#TBE|GPY$cT+Izy19g`8iN#W^Vr^U<$PSuE)y8$zf&FHaOA^p)m85r zlw9w@+Dhp9d~caXrhX*$NJf?sPmP*3YGZJk3jcm`5}STU^(yx7$HL#gUhtLLb`Szh zf^N3rz1ILSq7Mk;g=`CiV{;%OUr*1msRZ!D2Sj{v zx&o7#Af#O?I?@1=z=sFZSW>Bv(z2D3T=2pN6YHzm3Kw;wla2R&_d*AGzLfXXV1~+A zE)Q5-uIWRq2l1{ceIc%C3Hjv5FiZaR=nu>s;F>hfyWY&>*Nb5HSf$&<@;tm~`NLF1 z42TSuhG>u`ytV7}t!)r)Kw%`uaC#jNwf>6F<31|3(8R!6&Q}yrPOF~UHJ;yBNG!_5 zgc||NRIUpzdPzdM!)j==QYaUQYH4B-z0lU!1&sLht8HWCrQ50mPfF-ln_ZRn9ka9+ z;+8itkrFc|E}M7HTWj#3!t(1+&JL&!KiqK@m@l2C>YsdaXmqW$Wwb5TM?RAwWW}FF z(EQleo4-z>U)D)bsM`ftbCXMPxALTlpk8y_yab>p6c9w*AnkmlvqTqhz@(d(ms$5i zz_D24e<-9uYG^b2iB^}~0{6?&k_Diasi#b2f4KA#qDASy*x08v*Go()9N-qLmB)z%jWP?V#<;>HKhDo~+hrdfZ>k#ibT_fg0|GrP1$o1v zye%FPSA+a??Lzw88;11us7D4w%_#)(Ae-`NbG!E}A^UqTJi~|McY))_y zL;TGMKU8>fbLnQe9YP6lxz|8>1TS-5XD?1cV~L-U^$70C=39A!kv}m8%D$q;cCwvX zPr7|+1Z(e|KmK)I8rOp~$&sz%kunCroVlF@Wz+e)?jk*N1mparLeVb88MZl$rKG}OHS=cSJ@h(i z_F1T*ZL#vFA0xxySvX((=;`RimoFAUCn(qy)XD{gRMowiqil=~I>eUD2{4Kf9LZm- z3lN?@cVw19LkJow>P|55p*rM(k4{O|J-i%lDUR_7(bJs?Iljf4Tp`qnAENH;Mg0B) zotJ?mK{g)}spb5o`A#3z+ALD2Mp?gd|I}Z6fAA7+sDY1e`paimOsuKzT^@Y7>x>k_ z$Ut$s-SjLE|5y=))`t#V)WHlgA}aKLqKseHy%HIiXhb3zow+Zq>TroOpopVbuvDJ* zpL3+%=bM(Evv?7ao?r87a(O35fy(3)PsGfE?Gnu>`7EtLsV)A#CylU_dYp$$9Q!xL zktXcmVC&k+9r)Ho1Nx?~#X4-X#nwv_=?JD*+d}yYwTiMZO4>2?^`P=H+x71Ec6lQ# z*W)LOt+ZYoP~cn^QHCg8LLlc$!rEe3dO27<=zS}K}b6AsifnvH5Gp{+Zh9hB;4p&h?%ui3 z-s^+M$^!l9F9ZDD;3G?Mmm1440+QlwY_Z%M|m+ zmW@R79SgJDkm~PsJ#kz3Phyhh5GGs2k1XjWlGL|lcDr3#rtpcy963%u_$C*GJHQ5`M_|Zsautlt$At>OPP7lfoc(eS-OZLWU!fYNS<) z3@lfO7eZ=|G^+Fr&eI)2Nmo~V5^3U>nxB`s4-B$Ag;yDebIxZ%bNOsOYQ6~Vqx>k> zPGk0M#_g!B__1IQ?o%R+q1oIBwAFyIL(C zz{imx%Yh(QRA@;$&>v2Ml)d5&=5io!vj}3Bd3m_`___Ibc=>5H6=`)W&1hvUpzi;s;%ohvp^5JQ5+Tsy{a617_gMei zh9MpszICS@%B0SG?8fF@G{IU5u#8pBX5wLh+5_YnWW)RZfEn##h#1G&$0^^U;3ugd zG17U#9nvPpSFe~DvnRVJWzk*w{jwQCCX+apanak}OGv7v#Z7lHjFUn!W;!Z45IexQO}C~3Hq zDW$$!gvc{S3ahV0|6V3^Dp-yJ39#Of9?Jplu9F~xkxIayhiAv894 zMl2uBsgRCC^R}=*u}W`b&40P>k?=R|J}Lr!UAr%~%1B}b5#GDYENxMaJxClD?bdPp zeiulmA8S&T_c*6<%&Z8(S5r1nq1=)UA%9wxNW2rE`VjA)iRg}gxu0{g@|sX1C$BU8 z46VqO=vi|cW%=-fIzk-RhZg?vIB*HM4$TZO_`ey*{2e$oINAt6c;pQWPm8rTYANIq z(q(9lji5qmgzm88K~e=SNdskv82=gOflNOiM7{Ze^*c+7#o?$uu~Krrbr7$AZMX{ z<%wE6ZMnKtH6%R3wv1Sel=!QBIYh>3#`NLxZ$)v={OCqU8EbIJNlAEe<4M+qbWE3INV|3akFo`qFE!yMT|@FJS1bU^ebVF zav54?J<0sHV-g>k3;l^t+%$&tJoSW85&RKy=TIR6g$eg==?7O_L}9myvDqv?D=Y^j z@n&J!_>yK9awgKqV?3>q^bchk6(da^s^RIaCXD6$s`^dH`fa^JIJkS&DyfxPpS8_Y z#j{!3%IB{Nxoj;MvX@4zgzASvQknu$XJ^ihDwXf5NFmu4wb4xZVXJ2YnHYZuc|S5kg{xK|@1SM?o?O^*GNGA7XvOg0YK%%xgD|2-bzi8+pO$3)=3r#) z6?a~+_rg5xjlQUFnyEm~AOyJ1_0n!_b2 zREkwMR9u>u2#?;kpq;gTsnYD(zXfT$-rhb-sBcPW96XYuSw&C(4Ue(zVaO(C6*5)6 zT1D~aKtCV;7MYgzMFxTDSHV+b^Hs9c_2)R)xFF~GkF1nfou)C+uj(Yt;QmfuClGWQgvqW*2kXpN%MrZj%}HYZ;_GUCAwU zDj#c0LE;N&^K(L%M70QbTkHl`T9x|zqljv+q|K=o+loYp^^PUF71bFZR+dN}IpO*# z@7MU**+A*-4qR!k*Bxa2a$psKIY2HPqd)>Gwqh)t#?>)D2s8v zG2klAD1q@03CPBn=_Yt;d^E{fmkAOE%aD7MMIpUI6agg!Xy*iwAOunIvC_tN3gyW6 zQkASsraXE_$zw9vxHLu}7?ULsqwC=}(S}rLDAEGvyURedLB!&m=yf8dDN>j@k%MMY zF+=q$h1)l+&A9mzgKtI5lLDo;LLO zVp7&i7t@ZISq=|MexoSr-QKtpjNzS z`Gy)pYzlc&%m$F;vO#+Q>AqL1Tpv$~ z%1$pk1SdN%^@hMbBsl2OlKK#USUW#!@^ZT6x32mguio%(GzyJJV`Y#9yH## z4dF?rM)qHG^wCrns=M3G!uJo5PBPos0&ALIIV(vU`kCH8^k423O3?|<0~>v9WDQO4 z+mk%JX9`pT{P17#+40LECAf;?IaIo;qsQ#}jK_8vXxSF5O=pEv+m`$T@S*-N6GO^J zBVrF?`%StHe$o`BRjPI!EO#_J_X1fTmz9`WwXeH8{4p&R)f#P-4-E>4GS}o=mLJLS zJP5VbC||ydMV)}zl(|#h1OGxri#e1x6~~l?L>yFaY5ru69mC&TxgXoTZ4_6YRy>N5 zcCuqHb=67Rex_#6!`fIP)Rw~3H~QhYY!dpF z&m;GvUD82}UlY0UOlWL$bN$%LAzfhmlJ_Z*Jc-atKdNS?Lf&XTbNl!DS<(5`wwg8V z;eSiHv8jA52dcQof>{e!jU)Y-y%%ah`_LrocluoRJ=QGE^kV^^hbuIR*II7>@Rhxy zJ~G*6YJzsJ+#eKqawE$sCM<#@xok6`FTAO;sb#aKmRKi-&G&Ay5+WXX2JSlJ^IzDn zm~ty7692|uqn4akT3`NIZkKGKyZrMMMASBme#CR{dUCZZmxGmq6Ekm^ zvVDth!4ssHSXQB2qc34N&zB4=bJAW6J2;OX4aUu?e9wdOAGYNb#8X)s*(EM9S}@0)V74fpL0=aq}|z?nwI_Mk8T zsC)1pyr;5c7FW4(T-;6knE&3rqW8t*FWK>tc;*zupSdSvzqHt1)SdStW_;|7eNO$% zEwF4ZO&cZ7I?m6BVodpVqR*qz$Jc6>A9li9nOE8k&2m$={Kxw<^Uq`nUo!Tbx=^xx zK4!Zzh)M-bE%}>@-wH&C>a+F_H{$`_56M4{G7F=-9F6fQ7@v=XQWt(sd>3yvU0ev} zhud$GxyL(_r{{=%HvQ2eIUHt=eB(YA^ldt){`W2GbyKuC@>x@H^Ln{mF|%mdaa*s; zBAKx&dSl-Q_!CD$nfc?~2E}Om^qA8k{I9vXH|ku)H0P6fRmDWz^V-K%D*p}Yeg3js zY_!?;mR=P5r`XjJ;ap4I%iu6Usk@1b)i+sAU>tw34~r);<7Jx?HXv2~hsa+awqMQe zRcm6?($E%Z9|?7e2~z@j{b;b*wdK7uFfIe)M8vxAq0Wt#MH7tvP#Nsm{^iiE??PM(obg}=3!-`8jB=GEy% z;~v#DqN|P?|0(z9=!b)8)@=#>$A)ENsJD8L&5hk$&xpjzlNOub*N5dl(>IryrxMv; z8oGSjSJNjY<~VyaUOM#sv!4{l*>B=wyURBb&BWgr+XGX&Go&>&%?Mw46h_1K%&1B` zu_SF7@o2v2;OU`aC|%Fs{_ZG#2T+-ND-nPHf))EmS3;^`s5T?46+szKCNh~rP<3CO z4vA)%?Si!XQ7ehgfR*2cP|}Ugm3?NO;RZj+R3SMjQzWY3&?PC0ZH}pVYZXo(qWF_M zMtk{gP3^7b(~Fcsm>eG`SwHc@lTjWuerPfJyLelHipq-_!UpSJ+Jer4#Dc+?8Tqks z+zBoGiwiEnfep&R#n$7j6}&86vC&3`MmpW6pwQBatib7?vIk~KSRgz|>4axxA}K#u zw+I@t($W&28Joa`OP$W*N-FU)zi_#JDZbbGQU-TQON~)8He4t=l6$^Fkmc}A=bbW5 zx-*-0mAwk!LcvbUzAHccE}}gO_g5uZ*`31FrL~P2g9S>9CfnP8R)#_d|Ip82zLUyU zd7~jKGXsGc?_S`HX!8q{_WdAJP_5%zD6t_cdPjoKux#>0M&kDsWiEG#)BPxL#5?Wx zL3gJ$t5YEN*Q{Re6)%BfLVSRs^yp|bmJxnG!lvmkrvva|M6)jA=TcHfZ_otzfOp=H9@z^Ue> zNUTi9%?vIc-?7<=a+8u$4P1~qOV=mtM=?1WJbKGk9uLTKHL_e5rtPA*vUK@QV674w z)qXAzOT=DLj;@-Q_Ju++9-UF|TTHL{VuFqIRAYG@dtcI?bCiU1$D7Kqdp*oqN9Tv< z&k$+*iQ>k3L4Rc>in)@B-&i%ifXQzx9h z7jW)V`Rk=pLOJ>g_4^EHNR-A`_nCf~%v1+|j#6kPCmIyp;c~uqztAJH^e!+ZDaJS` z(snKABMXH%^OqiZ*(Im0;}_iK@+iM$|G#bM)Eam+Yo}G|+)`{>ypy3FJkkr--ZoJP zyPXBls3fv@T$M^G6 z`~RAz)1MYGnN!NLszsF*q$4C>!j}AOTP(Tg@>13d2g?rces0lVHeEmxDi@rCdyF>z zOwSN&HIm6cU8h$LS2UVo3T+psSO=viI4>tryg??2Z-cb(4-tP8?F{KLvWhD8NuxIM zfJL?%4Iw@d8#SZ{_soD^-4I5-eXn! zBbeJ6fZfBjCb*NK>zqFJ##S%ZN2-I<(|<{@N$DahEj^)h{BzyacLv692Q~T~!~gNH zyyDJ~O>_ABF|HxU==CzV=ixu%r)KvNYTT&rt9%A;sPkal+l%w3yd9+rA7L1u-}aO) zB;a4MdJWmYVbu*7&qIzUdR2sVa@-W(WYXJIt@CeB*rUwmiqzu)3MYyWIp>JjnCrFt zdzX04L%eXwHPqiixGjOL*nv9J*dx(hcclM6<5i|^g>DR8;ro~iy2EUixAv5T ze=!c$5=v?s@Mg3Skk$V&YcJ$t3BozT+Dh+}@SatKo|UNNnZ&{z3`ajz{!#86#-c`A z25T@k_rNSwm@FrmtT`{SKr1l*P_5a~I+dPFZ6)>t~hgxig$2485|2|v~f z96kTtnRv@2@8lFGqevL{uHG;s!hoV)t2Hdt;>v!9VR^Q}{*Pkw^@4pKiH!$J{HQb5 zd$QELQ6EhIZ(yH~Us+C_y)4vSyK#`EdJ~)n>VQj`GH8W{>^h2yl+SD0U{q@B`9&e7 z#f*ULx9DcmEC`Qn>#}6~0*2lxV_P6YDcmqy9Re)7jLesAu2Bq-M28*h_=6U*w zDSdJJCF2c*aX>KTJAX$W7|Uv@3u^7j5WkE=jm51QGNMd?CQNw}N1bN6%@I_1R&#uI zPAwf2yzisfB}}^XRdOqY2sNW0+ZYf1%2Dr9k@15`0Finm0H0%!E^5N*JY#)2Se%ke zlXol8Ii={em}A~NM5+eXKrS+3OI|7!GJF6dq`EQ`&&`ap(ATl{s%4l<$0RMA>lNEp zlOfWk!j~S_!QvVE`3;YwM3m6#8v(}3(VTL7L>4H5XNWM;hs;FQDl*wn7qlp=Si2+5 z#Gt@4I#r)OH=VlXmy1|dnSBqFIvV%SX!kp(V6QIIPV7tPYsEmK6o(H_}@ zmhD~)s2hX{j^$^2dW5qlu93@V9~V{oz=KMW=bSTL0cnQwCqOlDO)$ zgz>*K=BSa~A;>uyGjHY{Ky@&mm)yy8*UFsloAHIJ+t?o(+c=Ka3J9Sp-*SgtnflJr zTgB#9v-Oiz;8s4aWPVa$#u|DIm}vUhIQ-9$ZnANv{=y~!aD_FcDiU8Go!`L^sNPne z+EHMDN0P=_RBRtL<(fK{&RJAtA9Y&KwxJ;;3sC3D^5E{nA?AFyDEx7{pN3M@VLG={e~RRqwb@p zRH}GHHv`7ckAy{Da&+V|QUWqEOXf;~N#n&*51(C?pw(yNg(X^5&BRYpMQR|-T1Wyk*foS zx5RdczRh+;a;<*M)#MF|+)<-Ju77|Dy!1s*aGCd4hcil4RKS*!*0B{Td{2c&p zO?}J_T(Sd_l6Q1<(a7M2t>WzU?Ga$zLUeglYbS2lZi)qi&EH1z7IU?^G`#hq+=gMp zRk%Q_t~W@`r?7rrUpFLxCq2NE58#Q~$0G%|;HPRc8b@!-7|A zRl9v@7bYG+-T&vS)YXwD*Vf*9vjgV8#)Gl(j@^^UoE7prSr=PGz14->OzX3Wo73T5 z+3hbr5qQs^QhMS-KO2j@Go0W4EEVeEy-R-Ng#DeqVQ@2a90E2rDSXLexg@4Orh$Fu zzA!P~>+2p1_6tUPR0}dS+Mj6t`yfW-Z_7Vv1SvL6t(-YgA^i=7Iy|0doG9^3gt!u^ zCKeyG(3qMkRB|o1J>V0#`b*6oJ9XoW27L4s!(k+Re)GW(0uhNAIyR9q9jXbFfE%Zn5Xj1)_Z6pM@$%XD5t&E`P%Q6yUmwKP#<;&@)pqVGnrrlD8e z;T6k86AMPup9topBbAfdk#6LFi^4ytL#FgL)cDQM#Ss2`3;*sGvDGyF%<*OxAGfM~ zZw$IF1nhb6xaOD4s&sEgo8P>4+kI(QCN!Q}c6_36RFVY~ne`DVzqqoce+TgyxP%N` z3I;Ao1D6I+8gAP`unFqjzUB*)_S)^a#=nW>-shJlFz)8;w@7e+sdU^mI6G}VCxe~Vz0N=)4(g9=C6B+!ZzxMYlnrF~sdG%O4sp+@)PgHrQ!$q!|0?SJ zCtKAR2TJ{DpG1B6UV1a0Hq#<7DJj*mE@=T`Igjf>*l6H(e|utGpE~7mI3|HC@2Qzj zdqzWC5*-dl5dJ_4j$Lz<6UM(F;Se%Qx`e6YNS;sY5W92K0Rs*j=285@j&aIdYkWfi z>DoH0I+xFQPmL$8RxCg*Zmu?48CR>l!akRMa#XyI@L(6UM{(;pqhOuYQ==a{B9-s#)e z>TA9-xr5hqm7XgqizsqA%;UbRc1a*5+pEhs+2vEp^y?7r<5@z{4uII_gTd{095fPm z#AXal_M?XgQl{l^eT!t*EJPxlO}oLOW2XN$;{+CDDt@^JoU|Wf+?(RU7??x%MyFex zsU3`~)k2;Zhy_5WX@OQ@f>oxbtZghe<<0vUOp7e3s^h4 z9X5zaGEyj5en|WTCHRd>BMqeRmsM2tcX2+{R;M}M_FL6~TWY89pI%Mn0##fh3h-x!W|wA=T9POOI(HUFhQ zw9)BJQy2>&w}04sneFB@rYn5n!y1nHRajKldZ@=W#Vu`TunB38jo4=&e~J_F3Ap>a zJt&n&z%V=)xI_yO8Vs&sASHgc&nJ}Z)Nq`~fvnGp+_4d+Lek|^fnDVe#}=|rbTA9~ zu4`E6q2EBTp@lj(j?OMhchUm3fMQ#uL{gal7Q*8y(JYJptQ=BI$l~a!9{U?a%GBil zE7x5e`vyO-n5HQ-v^|IE0)u3sXgcwr2uCNZn2_QdJ)!$Ts*Y~gs%0wvw`e82ewok( z9|P@J{D9(3fKEp>lJ@1CY`a~*x-9s2*EXwcjopBH)ZkJ7KZp-4CXA#3{PPq?tHKYG ztWy?n1?E%DZ4DwP-!QOgL#Elj^*|4`;8f;ud%N*aOakd4rC5!&*q1YeoTplLFu(05}Q&&Io{;2QB+`M9_N9 zLpkOTIOdx|FscIG>khsEb29m|HtkKc|D@hw0pPOK$9BLa2NeElZ1zLH_}I?e*ryK^ z-e?r}$U?5{6!+3X^_hAqx&!Jt-UOx*WtL8tpikxTb>Ms^!aQBCy?tryJJr#TIvY<$ zi=14>S0AGMEfrpci4PyS#-7|ya7ZjSsy&4ZZJgigz6V!smkEfQ9!^;w1e1KaI{N*M z;Fpo5(|7Rdr*f(gdUpG4PtQveO^!@m+Xnql15%Ubg>bV%xK$zCv=DAt2>;i$F2pe@ z#IY#Ep(iZXqMR`EM91`C#q=Oms~h+<5ob{tXI2&TldbZJioECRc3C#o)H9A%XUzTB zGHoMOg=B><8%bcel+`R0s;ha$7}V!+U+eoTM5+BaNL!7toe8*vT|3`9vS<@N>|~?p(DFVhkllH0}8QlKM;FsMdFhlt=9^^2-1dnUCC?=1h0<=|0nb4 z4HlmW7Vi%h9}5=$5iC9${G5U26J_%I@>`WtfDm?b_B*(>rO9hN%ZmH) zE#89LaoqEEyDFrnt+REdtSh0hkYzD#!ZX6J|MYKC3CF4P1TL0X zypWoz{U_lz%OaD?4q+Iu<;p}1_B|IxETZx7baXb&-nV|TrxD`g#{h!oTvoJG-28!3EFRd1}c%(<=xKUMNgvFqx4`|5|m%k>fMZP|!49*FBrIH4fxG)8E=wy}(B5}u7 zXzuMW8mUf~(i z#izJ&3EXGp-KBK!wP=eii%N!iV-+{0hW`xR4bIoI64R;6aTmN6k8>ZC!UGvWuvVs`Q-Mm9M58Eb$sp6dv0xKBtz0KH$Zip@`WWviE&+!i;~=L`lS`7f1X+k4$A{4)~jsDb}&Nj_+&l%73zU z_T}%I+w}cZ?m~4)D({cD&N^`vfK^`x0t5A1O#TC#QaXWwZEc9UH=9pQNZsSG_E{)e zwYhy(IOL`T43T1?(lrr_D!fFj#`s9#^Y4`sbDj+JrE899>R;<`RuY!`ytGaIYflE4 zupP}FY-<#RmKb}3ke3~2Hq@#)B{y(1@HN}B&}q&zW-PqP*#lSI!N}E{N*Ql~6tJ^g zA8N~tN-+13ALmsJ8VQ;pB>{vM&=GcqpZeHQOyFtdqD8q#J2QNZwvmbqbxm7X+@Z+A zJl)?Pv)_j~8`94GT<1x_GI03vrT!23Ul%sd2?V>aVjI+!usXD@XH4;){9XpuP&x)l zk;#ZGsrXL=qfN7k!g&ZNZ9>#}`{;f4wYs(j)msO690&woCFWljFf2qYMhI7bnT1VZ zTQiEGXRNTfr2_(3R#Fwrve}e&w{r6Yus_C`iSu-94Hswg)}Z#E5A4ww>jlMI3G&o^ zxhs`EH<4$P#EWB=9h=GFZJcfxMq+S|w{auIT0_YAnRBfxY6O#&$QdTYPgEY>qtchv zxov-VXmT*j^t@}}pR1#r@2;!i&k&AvlJTzoL3#CC#Fh7s|C%;o5u_=F2-bd6gP@tP9%16twLPXA> z$5`97tPvz07JvC?^q5Xg2fJ~1jb=-o-Lv~wOoakde4^RnE4$lbwSB-t?1w7cZX(ji zQ55Kjw_kotNVOeH?jL&mJgG+`_xfiClr4pE`{td}U3BT6ctWX@!4&}b4NekJch#m6 ztYkAOpp6(H*f(cv3Uo;%q#0;*H!emO%N=P+S@4aAMl410>^sku``6~+xuc4qU$Nk< zF_KC-ZHJe#5b#6&HH=*RHSBGygU7 z)NVr-LYRHoQPLgZ^eA}sy#o5NZibSft-+juZ>3>t1*SMu5*UD&nRqKw3n?N`B+r-pefIi5(&JgB zPnUp6k5*SM%%E+h*l2(W(;g~mD!b1Qt|!$fWv{D?nutMOBGgk(tIP_dJ43>gL6%%3 zFiQG+Wor&1ZzIXh9RzN2C;xQ^(C06bB@9VG;n~-mRz3=IuzO6XJ>Y}RE)w6y%gJQ2 zMr#S~T?Vbg3uJg9J|NKM`=qJ7p&-dyPJ1?OJw~EQy^$oI%%#^U5_}F!{EqO5b4KBh zL|U<{Ue@bZFiSu0+_yTAjRB$l|g8+ojc2hlr8eN6efQ z!xBFok^})Frb3K^IsS((0*<1_=c|6FhB^f^P-@@^+-iHnmzbZU0epu=t!9M(&=e4^ zF3r*L*(psaV}1xoYN&r|3D!D(8tCvCEb^#O6dg$-n@q#zTjT@&R1r2>5AHV0`6@sx zjj2^-j)?GvTiZSmjr060xwKGkZTlWIEuqB!_RrKb6SY^&#kHZ=xEI?!RVxg8H!TGa z*iJ<-zi^>(gmlDaH9jUZ(OXbB-uedR2dsn}My8LPyg5IW$>Juz0ivfA1VR!JngT$# zmksEft?Uot@Ld_L`mPQvuYhft*O_nj`c5Y0)YHGZyfLW%bgY$}i46h<+Y-Bw0w#u5 zre~ZOQ|Q|im1H18QRs%eDWok~BOD0(;WiW4vawObL$fJ7DbyYgor~`{5Zo%d`X&G@P2Fj~2YzkAHc|3CQnYVyb-D$l9lnJ}BVKj}6i)6g<0RO?U4Js z-I<+?mi^)s#w6TEQYLxFW$vrBcfjwIya%`QW+^IaG#ig}?V&{M1cT2qk(#9BO4L=| z2rmUnd|0M)A*D+B`dI*5B!nOf?m8+ZelzOosyl3mI)?)f`6=A%yYNS_DEf!Rq0E+G54$WGv2>l;J0-;Db|*L&q$3!EX7b-!xD d=$dp$GHTdui9=Pm#xV3>K=+&fJ|Dim{vRUy`y>DW diff --git a/CH6/CH6-1/incremental_db/compiled_partitions/three_line_to_eight_decimal_decoder.root_partition.map.kpt b/CH6/CH6-1/incremental_db/compiled_partitions/three_line_to_eight_decimal_decoder.root_partition.map.kpt deleted file mode 100644 index c39ca54d09341d094b34d752533729e7f355ea94..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 229 zcmVuG0001ZoSl(POT;h`hVT6qL+-Xs3!b(;2p-m(2zm_3X4VedCNY@` z3;uXh1Ad@_cp8{@o@WMTcM7bm0jC!n1WyrB&E!zAS4fXj4)H*Xp10~P#(L2~86#i= zpD`x#ZVfz? fqmK*PKWg3yz3ncp@8AzpW0iexPaCUO+!vXTe5_`C diff --git a/CH6/CH6-1/output_files/BCD_to_decimal_decoder.asm.rpt b/CH6/CH6-1/output_files/BCD_to_decimal_decoder.asm.rpt deleted file mode 100644 index 33c49daa..00000000 --- a/CH6/CH6-1/output_files/BCD_to_decimal_decoder.asm.rpt +++ /dev/null @@ -1,116 +0,0 @@ -Assembler report for BCD_to_decimal_decoder -Thu Oct 17 23:24:57 2019 -Quartus II 32-bit Version 13.1.0 Build 162 10/23/2013 SJ Web Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. Assembler Summary - 3. Assembler Settings - 4. Assembler Generated Files - 5. Assembler Device Options: BCD_to_decimal_decoder.sof - 6. Assembler Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 1991-2013 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. - - - -+---------------------------------------------------------------+ -; Assembler Summary ; -+-----------------------+---------------------------------------+ -; Assembler Status ; Successful - Thu Oct 17 23:24:57 2019 ; -; Revision Name ; BCD_to_decimal_decoder ; -; Top-level Entity Name ; BCD_to_decimal_decoder ; -; Family ; Cyclone III ; -; Device ; EP3C16F484C6 ; -+-----------------------+---------------------------------------+ - - -+--------------------------------------------------------------------------------------------------------+ -; Assembler Settings ; -+-----------------------------------------------------------------------------+----------+---------------+ -; Option ; Setting ; Default Value ; -+-----------------------------------------------------------------------------+----------+---------------+ -; Use smart compilation ; Off ; Off ; -; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; -; Enable compact report table ; Off ; Off ; -; Generate compressed bitstreams ; On ; On ; -; Compression mode ; Off ; Off ; -; Clock source for configuration device ; Internal ; Internal ; -; Clock frequency of the configuration device ; 10 MHZ ; 10 MHz ; -; Divide clock frequency by ; 1 ; 1 ; -; Auto user code ; On ; On ; -; Use configuration device ; Off ; Off ; -; Configuration device ; Auto ; Auto ; -; Configuration device auto user code ; Off ; Off ; -; Generate Tabular Text File (.ttf) For Target Device ; Off ; Off ; -; Generate Raw Binary File (.rbf) For Target Device ; Off ; Off ; -; Generate Hexadecimal (Intel-Format) Output File (.hexout) for Target Device ; Off ; Off ; -; Hexadecimal Output File start address ; 0 ; 0 ; -; Hexadecimal Output File count direction ; Up ; Up ; -; Release clears before tri-states ; Off ; Off ; -; Auto-restart configuration after error ; On ; On ; -; Enable OCT_DONE ; Off ; Off ; -; Generate Serial Vector Format File (.svf) for Target Device ; Off ; Off ; -; Generate a JEDEC STAPL Format File (.jam) for Target Device ; Off ; Off ; -; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; Off ; Off ; -; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; On ; On ; -+-----------------------------------------------------------------------------+----------+---------------+ - - -+----------------------------+ -; Assembler Generated Files ; -+----------------------------+ -; File Name ; -+----------------------------+ -; BCD_to_decimal_decoder.sof ; -+----------------------------+ - - -+------------------------------------------------------+ -; Assembler Device Options: BCD_to_decimal_decoder.sof ; -+----------------+-------------------------------------+ -; Option ; Setting ; -+----------------+-------------------------------------+ -; Device ; EP3C16F484C6 ; -; JTAG usercode ; 0x000C94FB ; -; Checksum ; 0x000C94FB ; -+----------------+-------------------------------------+ - - -+--------------------+ -; Assembler Messages ; -+--------------------+ -Info: ******************************************************************* -Info: Running Quartus II 32-bit Assembler - Info: Version 13.1.0 Build 162 10/23/2013 SJ Web Edition - Info: Processing started: Thu Oct 17 23:24:55 2019 -Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off BCD_to_decimal_decoder -c BCD_to_decimal_decoder -Info (115031): Writing out detailed assembly data for power analysis -Info (115030): Assembler is generating device programming files -Info: Quartus II 32-bit Assembler was successful. 0 errors, 0 warnings - Info: Peak virtual memory: 382 megabytes - Info: Processing ended: Thu Oct 17 23:24:57 2019 - Info: Elapsed time: 00:00:02 - Info: Total CPU time (on all processors): 00:00:02 - - diff --git a/CH6/CH6-1/output_files/BCD_to_decimal_decoder.done b/CH6/CH6-1/output_files/BCD_to_decimal_decoder.done deleted file mode 100644 index 8a47d817..00000000 --- a/CH6/CH6-1/output_files/BCD_to_decimal_decoder.done +++ /dev/null @@ -1 +0,0 @@ -Thu Oct 17 23:25:09 2019 diff --git a/CH6/CH6-1/output_files/BCD_to_decimal_decoder.eda.rpt b/CH6/CH6-1/output_files/BCD_to_decimal_decoder.eda.rpt deleted file mode 100644 index 0f41223f..00000000 --- a/CH6/CH6-1/output_files/BCD_to_decimal_decoder.eda.rpt +++ /dev/null @@ -1,92 +0,0 @@ -EDA Netlist Writer report for BCD_to_decimal_decoder -Thu Oct 17 23:25:08 2019 -Quartus II 32-bit Version 13.1.0 Build 162 10/23/2013 SJ Web Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. EDA Netlist Writer Summary - 3. Simulation Settings - 4. Simulation Generated Files - 5. EDA Netlist Writer Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 1991-2013 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. - - - -+-------------------------------------------------------------------+ -; EDA Netlist Writer Summary ; -+---------------------------+---------------------------------------+ -; EDA Netlist Writer Status ; Successful - Thu Oct 17 23:25:08 2019 ; -; Revision Name ; BCD_to_decimal_decoder ; -; Top-level Entity Name ; BCD_to_decimal_decoder ; -; Family ; Cyclone III ; -; Simulation Files Creation ; Successful ; -+---------------------------+---------------------------------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------+ -; Simulation Settings ; -+---------------------------------------------------------------------------------------------------+---------------------------+ -; Option ; Setting ; -+---------------------------------------------------------------------------------------------------+---------------------------+ -; Tool Name ; ModelSim-Altera (Verilog) ; -; Generate netlist for functional simulation only ; On ; -; Truncate long hierarchy paths ; Off ; -; Map illegal HDL characters ; Off ; -; Flatten buses into individual nodes ; Off ; -; Maintain hierarchy ; Off ; -; Bring out device-wide set/reset signals as ports ; Off ; -; Enable glitch filtering ; Off ; -; Do not write top level VHDL entity ; Off ; -; Disable detection of setup and hold time violations in the input registers of bi-directional pins ; Off ; -; Architecture name in VHDL output netlist ; structure ; -; Generate third-party EDA tool command script for RTL functional simulation ; Off ; -; Generate third-party EDA tool command script for gate-level simulation ; Off ; -+---------------------------------------------------------------------------------------------------+---------------------------+ - - -+---------------------------------------------------------------------------------+ -; Simulation Generated Files ; -+---------------------------------------------------------------------------------+ -; Generated Files ; -+---------------------------------------------------------------------------------+ -; /home/timmy/Git/Learn-VHDL/CH6/CH6-1/simulation/qsim//BCD_to_decimal_decoder.vo ; -+---------------------------------------------------------------------------------+ - - -+-----------------------------+ -; EDA Netlist Writer Messages ; -+-----------------------------+ -Info: ******************************************************************* -Info: Running Quartus II 32-bit EDA Netlist Writer - Info: Version 13.1.0 Build 162 10/23/2013 SJ Web Edition - Info: Processing started: Thu Oct 17 23:25:07 2019 -Info: Command: quartus_eda --read_settings_files=off --write_settings_files=off BCD_to_decimal_decoder -c BCD_to_decimal_decoder -Info (204019): Generated file BCD_to_decimal_decoder.vo in folder "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/simulation/qsim//" for EDA simulation tool -Info: Quartus II 32-bit EDA Netlist Writer was successful. 0 errors, 0 warnings - Info: Peak virtual memory: 348 megabytes - Info: Processing ended: Thu Oct 17 23:25:08 2019 - Info: Elapsed time: 00:00:01 - Info: Total CPU time (on all processors): 00:00:01 - - diff --git a/CH6/CH6-1/output_files/BCD_to_decimal_decoder.fit.rpt b/CH6/CH6-1/output_files/BCD_to_decimal_decoder.fit.rpt deleted file mode 100644 index 67368fa6..00000000 --- a/CH6/CH6-1/output_files/BCD_to_decimal_decoder.fit.rpt +++ /dev/null @@ -1,1303 +0,0 @@ -Fitter report for BCD_to_decimal_decoder -Thu Oct 17 23:24:50 2019 -Quartus II 32-bit Version 13.1.0 Build 162 10/23/2013 SJ Web Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. Fitter Summary - 3. Fitter Settings - 4. Parallel Compilation - 5. I/O Assignment Warnings - 6. Incremental Compilation Preservation Summary - 7. Incremental Compilation Partition Settings - 8. Incremental Compilation Placement Preservation - 9. Pin-Out File - 10. Fitter Resource Usage Summary - 11. Fitter Partition Statistics - 12. Input Pins - 13. Output Pins - 14. Dual Purpose and Dedicated Pins - 15. I/O Bank Usage - 16. All Package Pins - 17. Fitter Resource Utilization by Entity - 18. Delay Chain Summary - 19. Pad To Core Delay Chain Fanout - 20. Non-Global High Fan-Out Signals - 21. Routing Usage Summary - 22. LAB Logic Elements - 23. LAB Signals Sourced - 24. LAB Signals Sourced Out - 25. LAB Distinct Inputs - 26. I/O Rules Summary - 27. I/O Rules Details - 28. I/O Rules Matrix - 29. Fitter Device Options - 30. Operating Settings and Conditions - 31. Fitter Messages - 32. Fitter Suppressed Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 1991-2013 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. - - - -+---------------------------------------------------------------------------------+ -; Fitter Summary ; -+------------------------------------+--------------------------------------------+ -; Fitter Status ; Successful - Thu Oct 17 23:24:50 2019 ; -; Quartus II 32-bit Version ; 13.1.0 Build 162 10/23/2013 SJ Web Edition ; -; Revision Name ; BCD_to_decimal_decoder ; -; Top-level Entity Name ; BCD_to_decimal_decoder ; -; Family ; Cyclone III ; -; Device ; EP3C16F484C6 ; -; Timing Models ; Final ; -; Total logic elements ; 10 / 15,408 ( < 1 % ) ; -; Total combinational functions ; 10 / 15,408 ( < 1 % ) ; -; Dedicated logic registers ; 0 / 15,408 ( 0 % ) ; -; Total registers ; 0 ; -; Total pins ; 14 / 347 ( 4 % ) ; -; Total virtual pins ; 0 ; -; Total memory bits ; 0 / 516,096 ( 0 % ) ; -; Embedded Multiplier 9-bit elements ; 0 / 112 ( 0 % ) ; -; Total PLLs ; 0 / 4 ( 0 % ) ; -+------------------------------------+--------------------------------------------+ - - -+------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fitter Settings ; -+----------------------------------------------------------------------------+---------------------------------------+---------------------------------------+ -; Option ; Setting ; Default Value ; -+----------------------------------------------------------------------------+---------------------------------------+---------------------------------------+ -; Device ; EP3C16F484C6 ; ; -; Nominal Core Supply Voltage ; 1.2V ; ; -; Minimum Core Junction Temperature ; 0 ; ; -; Maximum Core Junction Temperature ; 85 ; ; -; Fit Attempts to Skip ; 0 ; 0.0 ; -; Use smart compilation ; Off ; Off ; -; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; -; Enable compact report table ; Off ; Off ; -; Auto Merge PLLs ; On ; On ; -; Router Timing Optimization Level ; Normal ; Normal ; -; Perform Clocking Topology Analysis During Routing ; Off ; Off ; -; Placement Effort Multiplier ; 1.0 ; 1.0 ; -; Router Effort Multiplier ; 1.0 ; 1.0 ; -; Optimize Hold Timing ; All Paths ; All Paths ; -; Optimize Multi-Corner Timing ; On ; On ; -; PowerPlay Power Optimization ; Normal compilation ; Normal compilation ; -; SSN Optimization ; Off ; Off ; -; Optimize Timing ; Normal compilation ; Normal compilation ; -; Optimize Timing for ECOs ; Off ; Off ; -; Regenerate full fit report during ECO compiles ; Off ; Off ; -; Optimize IOC Register Placement for Timing ; Normal ; Normal ; -; Limit to One Fitting Attempt ; Off ; Off ; -; Final Placement Optimizations ; Automatically ; Automatically ; -; Fitter Aggressive Routability Optimizations ; Automatically ; Automatically ; -; Fitter Initial Placement Seed ; 1 ; 1 ; -; PCI I/O ; Off ; Off ; -; Weak Pull-Up Resistor ; Off ; Off ; -; Enable Bus-Hold Circuitry ; Off ; Off ; -; Auto Packed Registers ; Auto ; Auto ; -; Auto Delay Chains ; On ; On ; -; Auto Delay Chains for High Fanout Input Pins ; Off ; Off ; -; Allow Single-ended Buffer for Differential-XSTL Input ; Off ; Off ; -; Treat Bidirectional Pin as Output Pin ; Off ; Off ; -; Perform Physical Synthesis for Combinational Logic for Fitting ; Off ; Off ; -; Perform Physical Synthesis for Combinational Logic for Performance ; Off ; Off ; -; Perform Register Duplication for Performance ; Off ; Off ; -; Perform Logic to Memory Mapping for Fitting ; Off ; Off ; -; Perform Register Retiming for Performance ; Off ; Off ; -; Perform Asynchronous Signal Pipelining ; Off ; Off ; -; Fitter Effort ; Auto Fit ; Auto Fit ; -; Physical Synthesis Effort Level ; Normal ; Normal ; -; Logic Cell Insertion - Logic Duplication ; Auto ; Auto ; -; Auto Register Duplication ; Auto ; Auto ; -; Auto Global Clock ; On ; On ; -; Auto Global Register Control Signals ; On ; On ; -; Reserve all unused pins ; As input tri-stated with weak pull-up ; As input tri-stated with weak pull-up ; -; Synchronizer Identification ; Off ; Off ; -; Enable Beneficial Skew Optimization ; On ; On ; -; Optimize Design for Metastability ; On ; On ; -; Force Fitter to Avoid Periphery Placement Warnings ; Off ; Off ; -; RAM Bit Reservation (Cyclone III) ; Off ; Off ; -; Enable input tri-state on active configuration pins in user mode ; Off ; Off ; -+----------------------------------------------------------------------------+---------------------------------------+---------------------------------------+ - - -Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. -+-------------------------------------+ -; Parallel Compilation ; -+----------------------------+--------+ -; Processors ; Number ; -+----------------------------+--------+ -; Number detected on machine ; 4 ; -; Maximum allowed ; 1 ; -+----------------------------+--------+ - - -+------------------------------------------+ -; I/O Assignment Warnings ; -+----------+-------------------------------+ -; Pin Name ; Reason ; -+----------+-------------------------------+ -; Y0 ; Incomplete set of assignments ; -; Y1 ; Incomplete set of assignments ; -; Y2 ; Incomplete set of assignments ; -; Y3 ; Incomplete set of assignments ; -; Y4 ; Incomplete set of assignments ; -; Y5 ; Incomplete set of assignments ; -; Y6 ; Incomplete set of assignments ; -; Y7 ; Incomplete set of assignments ; -; Y8 ; Incomplete set of assignments ; -; Y9 ; Incomplete set of assignments ; -; A ; Incomplete set of assignments ; -; D ; Incomplete set of assignments ; -; B ; Incomplete set of assignments ; -; C ; Incomplete set of assignments ; -+----------+-------------------------------+ - - -+-------------------------------------------------------------------------------------------------+ -; Incremental Compilation Preservation Summary ; -+---------------------+-------------------+----------------------------+--------------------------+ -; Type ; Total [A + B] ; From Design Partitions [A] ; From Rapid Recompile [B] ; -+---------------------+-------------------+----------------------------+--------------------------+ -; Placement (by node) ; ; ; ; -; -- Requested ; 0.00 % ( 0 / 49 ) ; 0.00 % ( 0 / 49 ) ; 0.00 % ( 0 / 49 ) ; -; -- Achieved ; 0.00 % ( 0 / 49 ) ; 0.00 % ( 0 / 49 ) ; 0.00 % ( 0 / 49 ) ; -; ; ; ; ; -; Routing (by net) ; ; ; ; -; -- Requested ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; -; -- Achieved ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; -+---------------------+-------------------+----------------------------+--------------------------+ - - -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Incremental Compilation Partition Settings ; -+--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ -; Partition Name ; Partition Type ; Netlist Type Used ; Preservation Level Used ; Netlist Type Requested ; Preservation Level Requested ; Contents ; -+--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ -; Top ; User-created ; Source File ; N/A ; Source File ; N/A ; ; -; hard_block:auto_generated_inst ; Auto-generated ; Source File ; N/A ; Source File ; N/A ; hard_block:auto_generated_inst ; -+--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ - - -+------------------------------------------------------------------------------------------------------------------------------------+ -; Incremental Compilation Placement Preservation ; -+--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ -; Partition Name ; Preservation Achieved ; Preservation Level Used ; Netlist Type Used ; Preservation Method ; Notes ; -+--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ -; Top ; 0.00 % ( 0 / 39 ) ; N/A ; Source File ; N/A ; ; -; hard_block:auto_generated_inst ; 0.00 % ( 0 / 10 ) ; N/A ; Source File ; N/A ; ; -+--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ - - -+--------------+ -; Pin-Out File ; -+--------------+ -The pin-out file can be found in /home/timmy/Git/Learn-VHDL/CH6/CH6-1/output_files/BCD_to_decimal_decoder.pin. - - -+---------------------------------------------------------------------+ -; Fitter Resource Usage Summary ; -+---------------------------------------------+-----------------------+ -; Resource ; Usage ; -+---------------------------------------------+-----------------------+ -; Total logic elements ; 10 / 15,408 ( < 1 % ) ; -; -- Combinational with no register ; 10 ; -; -- Register only ; 0 ; -; -- Combinational with a register ; 0 ; -; ; ; -; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 10 ; -; -- 3 input functions ; 0 ; -; -- <=2 input functions ; 0 ; -; -- Register only ; 0 ; -; ; ; -; Logic elements by mode ; ; -; -- normal mode ; 10 ; -; -- arithmetic mode ; 0 ; -; ; ; -; Total registers* ; 0 / 17,068 ( 0 % ) ; -; -- Dedicated logic registers ; 0 / 15,408 ( 0 % ) ; -; -- I/O registers ; 0 / 1,660 ( 0 % ) ; -; ; ; -; Total LABs: partially or completely used ; 1 / 963 ( < 1 % ) ; -; Virtual pins ; 0 ; -; I/O pins ; 14 / 347 ( 4 % ) ; -; -- Clock pins ; 0 / 8 ( 0 % ) ; -; -- Dedicated input pins ; 0 / 9 ( 0 % ) ; -; ; ; -; Global signals ; 0 ; -; M9Ks ; 0 / 56 ( 0 % ) ; -; Total block memory bits ; 0 / 516,096 ( 0 % ) ; -; Total block memory implementation bits ; 0 / 516,096 ( 0 % ) ; -; Embedded Multiplier 9-bit elements ; 0 / 112 ( 0 % ) ; -; PLLs ; 0 / 4 ( 0 % ) ; -; Global clocks ; 0 / 20 ( 0 % ) ; -; JTAGs ; 0 / 1 ( 0 % ) ; -; CRC blocks ; 0 / 1 ( 0 % ) ; -; ASMI blocks ; 0 / 1 ( 0 % ) ; -; Impedance control blocks ; 0 / 4 ( 0 % ) ; -; Average interconnect usage (total/H/V) ; 0% / 0% / 0% ; -; Peak interconnect usage (total/H/V) ; 0% / 0% / 0% ; -; Maximum fan-out ; 10 ; -; Highest non-global fan-out ; 10 ; -; Total fan-out ; 69 ; -; Average fan-out ; 1.44 ; -+---------------------------------------------+-----------------------+ -* Register count does not include registers inside RAM blocks or DSP blocks. - - - -+-----------------------------------------------------------------------------------------------------+ -; Fitter Partition Statistics ; -+---------------------------------------------+----------------------+--------------------------------+ -; Statistic ; Top ; hard_block:auto_generated_inst ; -+---------------------------------------------+----------------------+--------------------------------+ -; Difficulty Clustering Region ; Low ; Low ; -; ; ; ; -; Total logic elements ; 10 / 15408 ( < 1 % ) ; 0 / 15408 ( 0 % ) ; -; -- Combinational with no register ; 10 ; 0 ; -; -- Register only ; 0 ; 0 ; -; -- Combinational with a register ; 0 ; 0 ; -; ; ; ; -; Logic element usage by number of LUT inputs ; ; ; -; -- 4 input functions ; 10 ; 0 ; -; -- 3 input functions ; 0 ; 0 ; -; -- <=2 input functions ; 0 ; 0 ; -; -- Register only ; 0 ; 0 ; -; ; ; ; -; Logic elements by mode ; ; ; -; -- normal mode ; 10 ; 0 ; -; -- arithmetic mode ; 0 ; 0 ; -; ; ; ; -; Total registers ; 0 ; 0 ; -; -- Dedicated logic registers ; 0 / 15408 ( 0 % ) ; 0 / 15408 ( 0 % ) ; -; -- I/O registers ; 0 ; 0 ; -; ; ; ; -; Total LABs: partially or completely used ; 1 / 963 ( < 1 % ) ; 0 / 963 ( 0 % ) ; -; ; ; ; -; Virtual pins ; 0 ; 0 ; -; I/O pins ; 14 ; 0 ; -; Embedded Multiplier 9-bit elements ; 0 / 112 ( 0 % ) ; 0 / 112 ( 0 % ) ; -; Total memory bits ; 0 ; 0 ; -; Total RAM block bits ; 0 ; 0 ; -; ; ; ; -; Connections ; ; ; -; -- Input Connections ; 0 ; 0 ; -; -- Registered Input Connections ; 0 ; 0 ; -; -- Output Connections ; 0 ; 0 ; -; -- Registered Output Connections ; 0 ; 0 ; -; ; ; ; -; Internal Connections ; ; ; -; -- Total Connections ; 64 ; 5 ; -; -- Registered Connections ; 0 ; 0 ; -; ; ; ; -; External Connections ; ; ; -; -- Top ; 0 ; 0 ; -; -- hard_block:auto_generated_inst ; 0 ; 0 ; -; ; ; ; -; Partition Interface ; ; ; -; -- Input Ports ; 4 ; 0 ; -; -- Output Ports ; 10 ; 0 ; -; -- Bidir Ports ; 0 ; 0 ; -; ; ; ; -; Registered Ports ; ; ; -; -- Registered Input Ports ; 0 ; 0 ; -; -- Registered Output Ports ; 0 ; 0 ; -; ; ; ; -; Port Connectivity ; ; ; -; -- Input Ports driven by GND ; 0 ; 0 ; -; -- Output Ports driven by GND ; 0 ; 0 ; -; -- Input Ports driven by VCC ; 0 ; 0 ; -; -- Output Ports driven by VCC ; 0 ; 0 ; -; -- Input Ports with no Source ; 0 ; 0 ; -; -- Output Ports with no Source ; 0 ; 0 ; -; -- Input Ports with no Fanout ; 0 ; 0 ; -; -- Output Ports with no Fanout ; 0 ; 0 ; -+---------------------------------------------+----------------------+--------------------------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Input Pins ; -+------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+ -; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Power Up High ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Termination Control Block ; Location assigned by ; -+------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+ -; A ; Y1 ; 2 ; 0 ; 6 ; 7 ; 10 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ; -; B ; T8 ; 3 ; 1 ; 0 ; 21 ; 10 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ; -; C ; T4 ; 2 ; 0 ; 4 ; 21 ; 10 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ; -; D ; N5 ; 2 ; 0 ; 10 ; 14 ; 10 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ; -+------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Output Pins ; -+------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ -; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Output Register ; Output Enable Register ; Power Up High ; Slew Rate ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Termination ; Termination Control Block ; Output Buffer Pre-emphasis ; Voltage Output Differential ; Location assigned by ; Output Enable Source ; Output Enable Group ; -+------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ -; Y0 ; N8 ; 2 ; 0 ; 7 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ; -; Y1 ; U1 ; 2 ; 0 ; 9 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ; -; Y2 ; M3 ; 2 ; 0 ; 12 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ; -; Y3 ; V6 ; 3 ; 1 ; 0 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ; -; Y4 ; R10 ; 3 ; 1 ; 0 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ; -; Y5 ; P5 ; 2 ; 0 ; 8 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ; -; Y6 ; P3 ; 2 ; 0 ; 9 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ; -; Y7 ; V3 ; 2 ; 0 ; 4 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ; -; Y8 ; T9 ; 3 ; 1 ; 0 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ; -; Y9 ; T3 ; 2 ; 0 ; 6 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ; -+------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ - - -+-------------------------------------------------------------------------------------------------------------------------+ -; Dual Purpose and Dedicated Pins ; -+----------+-----------------------------+--------------------------+-------------------------+---------------------------+ -; Location ; Pin Name ; Reserved As ; User Signal Name ; Pin Type ; -+----------+-----------------------------+--------------------------+-------------------------+---------------------------+ -; D1 ; DIFFIO_L4n, DATA1, ASDO ; As input tri-stated ; ~ALTERA_ASDO_DATA1~ ; Dual Purpose Pin ; -; E2 ; DIFFIO_L6p, FLASH_nCE, nCSO ; As input tri-stated ; ~ALTERA_FLASH_nCE_nCSO~ ; Dual Purpose Pin ; -; K6 ; nSTATUS ; - ; - ; Dedicated Programming Pin ; -; K2 ; DCLK ; As output driving ground ; ~ALTERA_DCLK~ ; Dual Purpose Pin ; -; K1 ; DATA0 ; As input tri-stated ; ~ALTERA_DATA0~ ; Dual Purpose Pin ; -; K5 ; nCONFIG ; - ; - ; Dedicated Programming Pin ; -; L3 ; nCE ; - ; - ; Dedicated Programming Pin ; -; M18 ; CONF_DONE ; - ; - ; Dedicated Programming Pin ; -; M17 ; MSEL0 ; - ; - ; Dedicated Programming Pin ; -; L18 ; MSEL1 ; - ; - ; Dedicated Programming Pin ; -; L17 ; MSEL2 ; - ; - ; Dedicated Programming Pin ; -; K20 ; MSEL3 ; - ; - ; Dedicated Programming Pin ; -; K22 ; DIFFIO_R16n, nCEO ; Use as programming pin ; ~ALTERA_nCEO~ ; Dual Purpose Pin ; -+----------+-----------------------------+--------------------------+-------------------------+---------------------------+ - - -+------------------------------------------------------------+ -; I/O Bank Usage ; -+----------+------------------+---------------+--------------+ -; I/O Bank ; Usage ; VCCIO Voltage ; VREF Voltage ; -+----------+------------------+---------------+--------------+ -; 1 ; 4 / 33 ( 12 % ) ; 2.5V ; -- ; -; 2 ; 10 / 48 ( 21 % ) ; 2.5V ; -- ; -; 3 ; 4 / 46 ( 9 % ) ; 2.5V ; -- ; -; 4 ; 0 / 41 ( 0 % ) ; 2.5V ; -- ; -; 5 ; 0 / 46 ( 0 % ) ; 2.5V ; -- ; -; 6 ; 1 / 43 ( 2 % ) ; 2.5V ; -- ; -; 7 ; 0 / 47 ( 0 % ) ; 2.5V ; -- ; -; 8 ; 0 / 43 ( 0 % ) ; 2.5V ; -- ; -+----------+------------------+---------------+--------------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; All Package Pins ; -+----------+------------+----------+-----------------------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ -; Location ; Pad Number ; I/O Bank ; Pin Name/Usage ; Dir. ; I/O Standard ; Voltage ; I/O Type ; User Assignment ; Bus Hold ; Weak Pull Up ; -+----------+------------+----------+-----------------------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ -; A1 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; A2 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; A3 ; 354 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; A4 ; 350 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; A5 ; 345 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; A6 ; 336 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; A7 ; 334 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; A8 ; 332 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; A9 ; 328 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; A10 ; 326 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; A11 ; 321 ; 8 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; -; A12 ; 319 ; 7 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; -; A13 ; 314 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; A14 ; 312 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; A15 ; 307 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; A16 ; 298 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; A17 ; 296 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; A18 ; 291 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; A19 ; 290 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; A20 ; 284 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; A21 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; A22 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; AA1 ; 76 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; AA2 ; 75 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; AA3 ; 102 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AA4 ; 106 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AA5 ; 108 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AA6 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; AA7 ; 115 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AA8 ; 123 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AA9 ; 126 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AA10 ; 132 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AA11 ; 134 ; 3 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; -; AA12 ; 136 ; 4 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; -; AA13 ; 138 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AA14 ; 140 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AA15 ; 145 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AA16 ; 149 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AA17 ; 151 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AA18 ; 163 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AA19 ; 164 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AA20 ; 169 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AA21 ; 179 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; AA22 ; 178 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; AB1 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; AB2 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; AB3 ; 103 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AB4 ; 107 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AB5 ; 109 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AB6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; AB7 ; 116 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AB8 ; 124 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AB9 ; 127 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AB10 ; 133 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AB11 ; 135 ; 3 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; -; AB12 ; 137 ; 4 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; -; AB13 ; 139 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AB14 ; 141 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AB15 ; 146 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AB16 ; 150 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AB17 ; 152 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AB18 ; 162 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AB19 ; 165 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AB20 ; 170 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AB21 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; AB22 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; B1 ; 2 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; B2 ; 1 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; B3 ; 355 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; B4 ; 351 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; B5 ; 346 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; B6 ; 337 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; B7 ; 335 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; B8 ; 333 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; B9 ; 329 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; B10 ; 327 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; B11 ; 322 ; 8 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; -; B12 ; 320 ; 7 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; -; B13 ; 315 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; B14 ; 313 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; B15 ; 308 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; B16 ; 299 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; B17 ; 297 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; B18 ; 292 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; B19 ; 289 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; B20 ; 285 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; B21 ; 269 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; B22 ; 268 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; C1 ; 7 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; C2 ; 6 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; C3 ; 358 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; C4 ; 359 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; C5 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; C6 ; 349 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; C7 ; 340 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; C8 ; 339 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; C9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; C10 ; 330 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; C11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; C12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; C13 ; 309 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; C14 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; C15 ; 300 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; -; C16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; C17 ; 286 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; C18 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; C19 ; 282 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; C20 ; 270 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; C21 ; 267 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; C22 ; 266 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; D1 ; 9 ; 1 ; ~ALTERA_ASDO_DATA1~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 2.5 V ; ; Row I/O ; N ; no ; On ; -; D2 ; 8 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; D3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; D4 ; ; 1 ; VCCIO1 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; D5 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; D6 ; 356 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; -; D7 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; D8 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; D9 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; D10 ; 324 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; D11 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; D12 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; D13 ; 310 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; D14 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; D15 ; 293 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; D16 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; D17 ; 281 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; -; D18 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; D19 ; 283 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; D20 ; 271 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; D21 ; 261 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; D22 ; 260 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; E1 ; 14 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; E2 ; 13 ; 1 ; ~ALTERA_FLASH_nCE_nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 2.5 V ; ; Row I/O ; N ; no ; On ; -; E3 ; 5 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; E4 ; 4 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; E5 ; 363 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; E6 ; 362 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; E7 ; 357 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; E8 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; E9 ; 338 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; -; E10 ; 325 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; E11 ; 317 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; E12 ; 316 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; E13 ; 311 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; E14 ; 301 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; E15 ; 294 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; E16 ; 275 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; E17 ; ; ; VCCD_PLL2 ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; E18 ; ; ; GNDA2 ; gnd ; ; ; -- ; ; -- ; -- ; -; E19 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; E20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; E21 ; 256 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; E22 ; 255 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; F1 ; 16 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; F2 ; 15 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; F3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; F4 ; ; 1 ; VCCIO1 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; F5 ; ; ; GNDA3 ; gnd ; ; ; -- ; ; -- ; -- ; -; F6 ; ; ; VCCD_PLL3 ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; F7 ; 360 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; F8 ; 352 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; F9 ; 347 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; F10 ; 348 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; F11 ; 318 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; F12 ; 302 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; F13 ; 306 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; F14 ; 279 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; F15 ; 276 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; F16 ; 274 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; F17 ; 272 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; F18 ; ; -- ; VCCA2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; F19 ; 263 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; F20 ; 262 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; F21 ; 251 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; F22 ; 250 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; G1 ; 39 ; 1 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; -; G2 ; 38 ; 1 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; -; G3 ; 18 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; G4 ; 17 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; G5 ; 3 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; G6 ; ; -- ; VCCA3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; G7 ; 361 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; G8 ; 353 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; G9 ; 342 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; G10 ; 341 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; G11 ; 331 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; G12 ; 305 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; G13 ; 295 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; G14 ; 280 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; G15 ; 278 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; G16 ; 277 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; G17 ; 273 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; G18 ; 264 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; G19 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; G20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; G21 ; 226 ; 6 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; -; G22 ; 225 ; 6 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; -; H1 ; 26 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; H2 ; 25 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; H3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; H4 ; ; 1 ; VCCIO1 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; H5 ; 0 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; H6 ; 11 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; H7 ; 10 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; -; H8 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; H9 ; 344 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; H10 ; 343 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; H11 ; 323 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; H12 ; 304 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; H13 ; 303 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; H14 ; 288 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; H15 ; 287 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; H16 ; 259 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; H17 ; 265 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; H18 ; 257 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; -; H19 ; 254 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; H20 ; 253 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; H21 ; 246 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; H22 ; 245 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; J1 ; 29 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; J2 ; 28 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; J3 ; 27 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; -; J4 ; 24 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; J5 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; J6 ; 12 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; J7 ; 22 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; J8 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; J9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; J10 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; J11 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; J12 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; J13 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; J14 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; J15 ; 238 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; J16 ; 243 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; J17 ; 258 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; J18 ; 249 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; J19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; J20 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; J21 ; 242 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; J22 ; 241 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; K1 ; 31 ; 1 ; ~ALTERA_DATA0~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 2.5 V ; ; Row I/O ; N ; no ; On ; -; K2 ; 30 ; 1 ; ~ALTERA_DCLK~ ; output ; 2.5 V ; ; Row I/O ; N ; no ; On ; -; K3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; K4 ; ; 1 ; VCCIO1 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; K5 ; 32 ; 1 ; ^nCONFIG ; ; ; ; -- ; ; -- ; -- ; -; K6 ; 19 ; 1 ; ^nSTATUS ; ; ; ; -- ; ; -- ; -- ; -; K7 ; 23 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; K8 ; 21 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; K9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; K10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; K11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; K12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; K13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; K14 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; K15 ; 236 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; K16 ; 244 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; K17 ; 247 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; K18 ; 248 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; K19 ; 237 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; -; K20 ; 231 ; 6 ; ^MSEL3 ; ; ; ; -- ; ; -- ; -- ; -; K21 ; 240 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; K22 ; 239 ; 6 ; ~ALTERA_nCEO~ / RESERVED_OUTPUT_OPEN_DRAIN ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ; -; L1 ; 35 ; 1 ; #TMS ; input ; ; ; -- ; ; -- ; -- ; -; L2 ; 34 ; 1 ; #TCK ; input ; ; ; -- ; ; -- ; -- ; -; L3 ; 37 ; 1 ; ^nCE ; ; ; ; -- ; ; -- ; -- ; -; L4 ; 36 ; 1 ; #TDO ; output ; ; ; -- ; ; -- ; -- ; -; L5 ; 33 ; 1 ; #TDI ; input ; ; ; -- ; ; -- ; -- ; -; L6 ; 42 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; L7 ; 50 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; L8 ; 20 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; L9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; L10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; L11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; L12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; L13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; L14 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; L15 ; 233 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; L16 ; 232 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; L17 ; 230 ; 6 ; ^MSEL2 ; ; ; ; -- ; ; -- ; -- ; -; L18 ; 229 ; 6 ; ^MSEL1 ; ; ; ; -- ; ; -- ; -- ; -; L19 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; L20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; L21 ; 235 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; L22 ; 234 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; M1 ; 45 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; M2 ; 44 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; M3 ; 47 ; 2 ; Y2 ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ; -; M4 ; 46 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; M5 ; 51 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; -; M6 ; 43 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; M7 ; 65 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; M8 ; 66 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; M9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; M10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; M11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; M12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; M13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; M14 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; M15 ; 195 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; M16 ; 222 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; M17 ; 228 ; 6 ; ^MSEL0 ; ; ; ; -- ; ; -- ; -- ; -; M18 ; 227 ; 6 ; ^CONF_DONE ; ; ; ; -- ; ; -- ; -- ; -; M19 ; 221 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; M20 ; 220 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; M21 ; 219 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; M22 ; 218 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; N1 ; 49 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; N2 ; 48 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; N3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; N4 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; N5 ; 56 ; 2 ; D ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ; -; N6 ; 64 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; N7 ; 73 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; N8 ; 67 ; 2 ; Y0 ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ; -; N9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; N10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; N11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; N12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; N13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; N14 ; 189 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; N15 ; 196 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; N16 ; 205 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; N17 ; 214 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; N18 ; 215 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; N19 ; 213 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; N20 ; 212 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; N21 ; 217 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; N22 ; 216 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; P1 ; 53 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; P2 ; 52 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; P3 ; 58 ; 2 ; Y6 ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ; -; P4 ; 57 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; P5 ; 63 ; 2 ; Y5 ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ; -; P6 ; 79 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; P7 ; 74 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; P8 ; 86 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; P9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; P10 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; P11 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; P12 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; P13 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; P14 ; 180 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; P15 ; 192 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; P16 ; 193 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; P17 ; 197 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; P18 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; P19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; P20 ; 208 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; -; P21 ; 211 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; P22 ; 210 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; R1 ; 55 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; R2 ; 54 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; R3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; R4 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; R5 ; 80 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; R6 ; 83 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; R7 ; 84 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; R8 ; 87 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; R9 ; 88 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; R10 ; 90 ; 3 ; Y4 ; output ; 2.5 V ; ; Column I/O ; N ; no ; Off ; -; R11 ; 97 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; R12 ; 98 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; R13 ; 153 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; R14 ; 175 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; R15 ; 176 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; R16 ; 172 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; R17 ; 194 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; -; R18 ; 203 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; R19 ; 204 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; R20 ; 200 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; R21 ; 207 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; R22 ; 206 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; T1 ; 41 ; 2 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; -; T2 ; 40 ; 2 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; -; T3 ; 72 ; 2 ; Y9 ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ; -; T4 ; 81 ; 2 ; C ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ; -; T5 ; 82 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; T6 ; ; -- ; VCCA1 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; T7 ; 85 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; T8 ; 89 ; 3 ; B ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ; -; T9 ; 91 ; 3 ; Y8 ; output ; 2.5 V ; ; Column I/O ; N ; no ; Off ; -; T10 ; 121 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; T11 ; 125 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; T12 ; 148 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; T13 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; T14 ; 160 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; T15 ; 161 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; T16 ; 171 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; T17 ; 181 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; T18 ; 182 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; T19 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; T20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; T21 ; 224 ; 5 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; -; T22 ; 223 ; 5 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; -; U1 ; 60 ; 2 ; Y1 ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ; -; U2 ; 59 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; U3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; U4 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; U5 ; ; ; GNDA1 ; gnd ; ; ; -- ; ; -- ; -- ; -; U6 ; ; ; VCCD_PLL1 ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; U7 ; 94 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; U8 ; 95 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; U9 ; 112 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; U10 ; 122 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; U11 ; 128 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; U12 ; 147 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; U13 ; 156 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; U14 ; 174 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; U15 ; 173 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; U16 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; U17 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; U18 ; ; -- ; VCCA4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; U19 ; 188 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; U20 ; 187 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; U21 ; 202 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; U22 ; 201 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; V1 ; 62 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; V2 ; 61 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; V3 ; 78 ; 2 ; Y7 ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ; -; V4 ; 77 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; V5 ; 93 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; V6 ; 92 ; 3 ; Y3 ; output ; 2.5 V ; ; Column I/O ; N ; no ; Off ; -; V7 ; 105 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; V8 ; 113 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; V9 ; 119 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; -; V10 ; 120 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; V11 ; 129 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; V12 ; 142 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; V13 ; 154 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; V14 ; 157 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; V15 ; 158 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; V16 ; 168 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; -; V17 ; ; ; VCCD_PLL4 ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; V18 ; ; ; GNDA4 ; gnd ; ; ; -- ; ; -- ; -- ; -; V19 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; V20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; V21 ; 199 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; V22 ; 198 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; W1 ; 69 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; W2 ; 68 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; W3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; W4 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; W5 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; W6 ; 104 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; W7 ; 110 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; W8 ; 114 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; W9 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; W10 ; 130 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; W11 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; W12 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; W13 ; 143 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; W14 ; 155 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; -; W15 ; 159 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; W16 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; W17 ; 166 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; W18 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; W19 ; 184 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; W20 ; 183 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; W21 ; 191 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; W22 ; 190 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; Y1 ; 71 ; 2 ; A ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ; -; Y2 ; 70 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; Y3 ; 99 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; Y4 ; 96 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; -; Y5 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; Y6 ; 101 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; Y7 ; 111 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; Y8 ; 117 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; Y9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; Y10 ; 131 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; Y11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; Y12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; Y13 ; 144 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; Y14 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; Y15 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; Y16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; Y17 ; 167 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; Y18 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; Y19 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; Y20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; Y21 ; 186 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; Y22 ; 185 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -+----------+------------+----------+-----------------------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ -Note: Pin directions (input, output or bidir) are based on device operating in user mode. - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fitter Resource Utilization by Entity ; -+----------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+-------------------------+--------------+ -; Compilation Hierarchy Node ; Logic Cells ; Dedicated Logic Registers ; I/O Registers ; Memory Bits ; M9Ks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Full Hierarchy Name ; Library Name ; -+----------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+-------------------------+--------------+ -; |BCD_to_decimal_decoder ; 10 (10) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 14 ; 0 ; 10 (10) ; 0 (0) ; 0 (0) ; |BCD_to_decimal_decoder ; work ; -+----------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+-------------------------+--------------+ -Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. - - -+--------------------------------------------------------------------------------------+ -; Delay Chain Summary ; -+------+----------+---------------+---------------+-----------------------+-----+------+ -; Name ; Pin Type ; Pad to Core 0 ; Pad to Core 1 ; Pad to Input Register ; TCO ; TCOE ; -+------+----------+---------------+---------------+-----------------------+-----+------+ -; Y0 ; Output ; -- ; -- ; -- ; -- ; -- ; -; Y1 ; Output ; -- ; -- ; -- ; -- ; -- ; -; Y2 ; Output ; -- ; -- ; -- ; -- ; -- ; -; Y3 ; Output ; -- ; -- ; -- ; -- ; -- ; -; Y4 ; Output ; -- ; -- ; -- ; -- ; -- ; -; Y5 ; Output ; -- ; -- ; -- ; -- ; -- ; -; Y6 ; Output ; -- ; -- ; -- ; -- ; -- ; -; Y7 ; Output ; -- ; -- ; -- ; -- ; -- ; -; Y8 ; Output ; -- ; -- ; -- ; -- ; -- ; -; Y9 ; Output ; -- ; -- ; -- ; -- ; -- ; -; A ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; -; D ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; -; B ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; -; C ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ; -+------+----------+---------------+---------------+-----------------------+-----+------+ - - -+---------------------------------------------------+ -; Pad To Core Delay Chain Fanout ; -+---------------------+-------------------+---------+ -; Source Pin / Fanout ; Pad To Core Index ; Setting ; -+---------------------+-------------------+---------+ -; A ; ; ; -; - inst ; 0 ; 6 ; -; - inst1 ; 0 ; 6 ; -; - inst2 ; 0 ; 6 ; -; - inst3 ; 0 ; 6 ; -; - inst4 ; 0 ; 6 ; -; - inst5 ; 0 ; 6 ; -; - inst6 ; 0 ; 6 ; -; - inst7 ; 0 ; 6 ; -; - inst8 ; 0 ; 6 ; -; - inst9 ; 0 ; 6 ; -; D ; ; ; -; - inst ; 0 ; 6 ; -; - inst1 ; 0 ; 6 ; -; - inst2 ; 0 ; 6 ; -; - inst3 ; 0 ; 6 ; -; - inst4 ; 0 ; 6 ; -; - inst5 ; 0 ; 6 ; -; - inst6 ; 0 ; 6 ; -; - inst7 ; 0 ; 6 ; -; - inst8 ; 0 ; 6 ; -; - inst9 ; 0 ; 6 ; -; B ; ; ; -; - inst ; 0 ; 6 ; -; - inst1 ; 0 ; 6 ; -; - inst2 ; 0 ; 6 ; -; - inst3 ; 0 ; 6 ; -; - inst4 ; 0 ; 6 ; -; - inst5 ; 0 ; 6 ; -; - inst6 ; 0 ; 6 ; -; - inst7 ; 0 ; 6 ; -; - inst8 ; 0 ; 6 ; -; - inst9 ; 0 ; 6 ; -; C ; ; ; -; - inst ; 1 ; 6 ; -; - inst1 ; 1 ; 6 ; -; - inst2 ; 1 ; 6 ; -; - inst3 ; 1 ; 6 ; -; - inst4 ; 1 ; 6 ; -; - inst5 ; 1 ; 6 ; -; - inst6 ; 1 ; 6 ; -; - inst7 ; 1 ; 6 ; -; - inst8 ; 1 ; 6 ; -; - inst9 ; 1 ; 6 ; -+---------------------+-------------------+---------+ - - -+---------------------------------+ -; Non-Global High Fan-Out Signals ; -+---------+-----------------------+ -; Name ; Fan-Out ; -+---------+-----------------------+ -; C~input ; 10 ; -; B~input ; 10 ; -; D~input ; 10 ; -; A~input ; 10 ; -; inst9 ; 1 ; -; inst8 ; 1 ; -; inst7 ; 1 ; -; inst6 ; 1 ; -; inst5 ; 1 ; -; inst4 ; 1 ; -; inst3 ; 1 ; -; inst2 ; 1 ; -; inst1 ; 1 ; -; inst ; 1 ; -+---------+-----------------------+ - - -+-----------------------------------------------+ -; Routing Usage Summary ; -+-----------------------+-----------------------+ -; Routing Resource Type ; Usage ; -+-----------------------+-----------------------+ -; Block interconnects ; 14 / 47,787 ( < 1 % ) ; -; C16 interconnects ; 0 / 1,804 ( 0 % ) ; -; C4 interconnects ; 16 / 31,272 ( < 1 % ) ; -; Direct links ; 1 / 47,787 ( < 1 % ) ; -; Global clocks ; 0 / 20 ( 0 % ) ; -; Local interconnects ; 0 / 15,408 ( 0 % ) ; -; R24 interconnects ; 0 / 1,775 ( 0 % ) ; -; R4 interconnects ; 0 / 41,310 ( 0 % ) ; -+-----------------------+-----------------------+ - - -+---------------------------------------------------------------------------+ -; LAB Logic Elements ; -+---------------------------------------------+-----------------------------+ -; Number of Logic Elements (Average = 10.00) ; Number of LABs (Total = 1) ; -+---------------------------------------------+-----------------------------+ -; 1 ; 0 ; -; 2 ; 0 ; -; 3 ; 0 ; -; 4 ; 0 ; -; 5 ; 0 ; -; 6 ; 0 ; -; 7 ; 0 ; -; 8 ; 0 ; -; 9 ; 0 ; -; 10 ; 1 ; -; 11 ; 0 ; -; 12 ; 0 ; -; 13 ; 0 ; -; 14 ; 0 ; -; 15 ; 0 ; -; 16 ; 0 ; -+---------------------------------------------+-----------------------------+ - - -+----------------------------------------------------------------------------+ -; LAB Signals Sourced ; -+----------------------------------------------+-----------------------------+ -; Number of Signals Sourced (Average = 10.00) ; Number of LABs (Total = 1) ; -+----------------------------------------------+-----------------------------+ -; 0 ; 0 ; -; 1 ; 0 ; -; 2 ; 0 ; -; 3 ; 0 ; -; 4 ; 0 ; -; 5 ; 0 ; -; 6 ; 0 ; -; 7 ; 0 ; -; 8 ; 0 ; -; 9 ; 0 ; -; 10 ; 1 ; -+----------------------------------------------+-----------------------------+ - - -+--------------------------------------------------------------------------------+ -; LAB Signals Sourced Out ; -+--------------------------------------------------+-----------------------------+ -; Number of Signals Sourced Out (Average = 10.00) ; Number of LABs (Total = 1) ; -+--------------------------------------------------+-----------------------------+ -; 0 ; 0 ; -; 1 ; 0 ; -; 2 ; 0 ; -; 3 ; 0 ; -; 4 ; 0 ; -; 5 ; 0 ; -; 6 ; 0 ; -; 7 ; 0 ; -; 8 ; 0 ; -; 9 ; 0 ; -; 10 ; 1 ; -+--------------------------------------------------+-----------------------------+ - - -+---------------------------------------------------------------------------+ -; LAB Distinct Inputs ; -+---------------------------------------------+-----------------------------+ -; Number of Distinct Inputs (Average = 4.00) ; Number of LABs (Total = 1) ; -+---------------------------------------------+-----------------------------+ -; 0 ; 0 ; -; 1 ; 0 ; -; 2 ; 0 ; -; 3 ; 0 ; -; 4 ; 1 ; -+---------------------------------------------+-----------------------------+ - - -+------------------------------------------+ -; I/O Rules Summary ; -+----------------------------------+-------+ -; I/O Rules Statistic ; Total ; -+----------------------------------+-------+ -; Total I/O Rules ; 30 ; -; Number of I/O Rules Passed ; 9 ; -; Number of I/O Rules Failed ; 0 ; -; Number of I/O Rules Unchecked ; 0 ; -; Number of I/O Rules Inapplicable ; 21 ; -+----------------------------------+-------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; I/O Rules Details ; -+--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ -; Status ; ID ; Category ; Rule Description ; Severity ; Information ; Area ; Extra Information ; -+--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ -; Inapplicable ; IO_000001 ; Capacity Checks ; Number of pins in an I/O bank should not exceed the number of locations available. ; Critical ; No Location assignments found. ; I/O ; ; -; Inapplicable ; IO_000002 ; Capacity Checks ; Number of clocks in an I/O bank should not exceed the number of clocks available. ; Critical ; No Global Signal assignments found. ; I/O ; ; -; Inapplicable ; IO_000003 ; Capacity Checks ; Number of pins in a Vrefgroup should not exceed the number of locations available. ; Critical ; No Location assignments found. ; I/O ; ; -; Inapplicable ; IO_000004 ; Voltage Compatibility Checks ; The I/O bank should support the requested VCCIO. ; Critical ; No IOBANK_VCCIO assignments found. ; I/O ; ; -; Inapplicable ; IO_000005 ; Voltage Compatibility Checks ; The I/O bank should not have competing VREF values. ; Critical ; No VREF I/O Standard assignments found. ; I/O ; ; -; Pass ; IO_000006 ; Voltage Compatibility Checks ; The I/O bank should not have competing VCCIO values. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000007 ; Valid Location Checks ; Checks for unavailable locations. ; Critical ; No Location assignments found. ; I/O ; ; -; Inapplicable ; IO_000008 ; Valid Location Checks ; Checks for reserved locations. ; Critical ; No reserved LogicLock region found. ; I/O ; ; -; Pass ; IO_000009 ; I/O Properties Checks for One I/O ; The location should support the requested I/O standard. ; Critical ; 0 such failures found. ; I/O ; ; -; Pass ; IO_000010 ; I/O Properties Checks for One I/O ; The location should support the requested I/O direction. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000011 ; I/O Properties Checks for One I/O ; The location should support the requested Current Strength. ; Critical ; No Current Strength assignments found. ; I/O ; ; -; Pass ; IO_000012 ; I/O Properties Checks for One I/O ; The location should support the requested On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000013 ; I/O Properties Checks for One I/O ; The location should support the requested Bus Hold value. ; Critical ; No Enable Bus-Hold Circuitry assignments found. ; I/O ; ; -; Inapplicable ; IO_000014 ; I/O Properties Checks for One I/O ; The location should support the requested Weak Pull Up value. ; Critical ; No Weak Pull-Up Resistor assignments found. ; I/O ; ; -; Pass ; IO_000015 ; I/O Properties Checks for One I/O ; The location should support the requested PCI Clamp Diode. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000018 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Current Strength. ; Critical ; No Current Strength assignments found. ; I/O ; ; -; Pass ; IO_000019 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; -; Pass ; IO_000020 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested PCI Clamp Diode. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000021 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Weak Pull Up value. ; Critical ; No Weak Pull-Up Resistor assignments found. ; I/O ; ; -; Inapplicable ; IO_000022 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Bus Hold value. ; Critical ; No Enable Bus-Hold Circuitry assignments found. ; I/O ; ; -; Inapplicable ; IO_000023 ; I/O Properties Checks for One I/O ; The I/O standard should support the Open Drain value. ; Critical ; No open drain assignments found. ; I/O ; ; -; Pass ; IO_000024 ; I/O Properties Checks for One I/O ; The I/O direction should support the On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000026 ; I/O Properties Checks for One I/O ; On Chip Termination and Current Strength should not be used at the same time. ; Critical ; No Current Strength assignments found. ; I/O ; ; -; Inapplicable ; IO_000027 ; I/O Properties Checks for One I/O ; Weak Pull Up and Bus Hold should not be used at the same time. ; Critical ; No Enable Bus-Hold Circuitry or Weak Pull-Up Resistor assignments found. ; I/O ; ; -; Inapplicable ; IO_000045 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Slew Rate value. ; Critical ; No Slew Rate assignments found. ; I/O ; ; -; Inapplicable ; IO_000046 ; I/O Properties Checks for One I/O ; The location should support the requested Slew Rate value. ; Critical ; No Slew Rate assignments found. ; I/O ; ; -; Inapplicable ; IO_000047 ; I/O Properties Checks for One I/O ; On Chip Termination and Slew Rate should not be used at the same time. ; Critical ; No Slew Rate assignments found. ; I/O ; ; -; Pass ; IO_000033 ; Electromigration Checks ; Current density for consecutive I/Os should not exceed 240mA for row I/Os and 240mA for column I/Os. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000034 ; SI Related Distance Checks ; Single-ended outputs should be 5 LAB row(s) away from a differential I/O. ; High ; No Differential I/O Standard assignments found. ; I/O ; ; -; Inapplicable ; IO_000042 ; SI Related SSO Limit Checks ; No more than 20 outputs are allowed in a VREF group when VREF is being read from. ; High ; No VREF I/O Standard assignments found. ; I/O ; ; -; ---- ; ---- ; Disclaimer ; OCT rules are checked but not reported. ; None ; ---- ; On Chip Termination ; ; -+--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; I/O Rules Matrix ; -+--------------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+ -; Pin/Rules ; IO_000001 ; IO_000002 ; IO_000003 ; IO_000004 ; IO_000005 ; IO_000006 ; IO_000007 ; IO_000008 ; IO_000009 ; IO_000010 ; IO_000011 ; IO_000012 ; IO_000013 ; IO_000014 ; IO_000015 ; IO_000018 ; IO_000019 ; IO_000020 ; IO_000021 ; IO_000022 ; IO_000023 ; IO_000024 ; IO_000026 ; IO_000027 ; IO_000045 ; IO_000046 ; IO_000047 ; IO_000033 ; IO_000034 ; IO_000042 ; -+--------------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+ -; Total Pass ; 0 ; 0 ; 0 ; 0 ; 0 ; 14 ; 0 ; 0 ; 14 ; 14 ; 0 ; 10 ; 0 ; 0 ; 4 ; 0 ; 10 ; 4 ; 0 ; 0 ; 0 ; 10 ; 0 ; 0 ; 0 ; 0 ; 0 ; 14 ; 0 ; 0 ; -; Total Unchecked ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; Total Inapplicable ; 14 ; 14 ; 14 ; 14 ; 14 ; 0 ; 14 ; 14 ; 0 ; 0 ; 14 ; 4 ; 14 ; 14 ; 10 ; 14 ; 4 ; 10 ; 14 ; 14 ; 14 ; 4 ; 14 ; 14 ; 14 ; 14 ; 14 ; 0 ; 14 ; 14 ; -; Total Fail ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; Y0 ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; Y1 ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; Y2 ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; Y3 ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; Y4 ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; Y5 ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; Y6 ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; Y7 ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; Y8 ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; Y9 ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; A ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; D ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; B ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; C ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -+--------------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+ - - -+---------------------------------------------------------------------------------------------+ -; Fitter Device Options ; -+------------------------------------------------------------------+--------------------------+ -; Option ; Setting ; -+------------------------------------------------------------------+--------------------------+ -; Enable user-supplied start-up clock (CLKUSR) ; Off ; -; Enable device-wide reset (DEV_CLRn) ; Off ; -; Enable device-wide output enable (DEV_OE) ; Off ; -; Enable INIT_DONE output ; Off ; -; Configuration scheme ; Active Serial ; -; Error detection CRC ; Off ; -; Enable open drain on CRC_ERROR pin ; Off ; -; Enable input tri-state on active configuration pins in user mode ; Off ; -; Configuration Voltage Level ; Auto ; -; Force Configuration Voltage Level ; Off ; -; nCEO ; As output driving ground ; -; Data[0] ; As input tri-stated ; -; Data[1]/ASDO ; As input tri-stated ; -; Data[7..2] ; Unreserved ; -; FLASH_nCE/nCSO ; As input tri-stated ; -; Other Active Parallel pins ; Unreserved ; -; DCLK ; As output driving ground ; -; Base pin-out file on sameframe device ; Off ; -+------------------------------------------------------------------+--------------------------+ - - -+------------------------------------+ -; Operating Settings and Conditions ; -+---------------------------+--------+ -; Setting ; Value ; -+---------------------------+--------+ -; Nominal Core Voltage ; 1.20 V ; -; Low Junction Temperature ; 0 °C ; -; High Junction Temperature ; 85 °C ; -+---------------------------+--------+ - - -+-----------------+ -; Fitter Messages ; -+-----------------+ -Warning (20028): Parallel compilation is not licensed and has been disabled -Info (119006): Selected device EP3C16F484C6 for design "BCD_to_decimal_decoder" -Info (21077): Core supply voltage is 1.2V -Info (21077): Low junction temperature is 0 degrees C -Info (21077): High junction temperature is 85 degrees C -Info (171003): Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time -Warning (292013): Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature. -Info (176444): Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices - Info (176445): Device EP3C40F484C6 is compatible - Info (176445): Device EP3C55F484C6 is compatible - Info (176445): Device EP3C80F484C6 is compatible -Info (169124): Fitter converted 5 user pins into dedicated programming pins - Info (169125): Pin ~ALTERA_ASDO_DATA1~ is reserved at location D1 - Info (169125): Pin ~ALTERA_FLASH_nCE_nCSO~ is reserved at location E2 - Info (169125): Pin ~ALTERA_DCLK~ is reserved at location K2 - Info (169125): Pin ~ALTERA_DATA0~ is reserved at location K1 - Info (169125): Pin ~ALTERA_nCEO~ is reserved at location K22 -Warning (15714): Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details -Critical Warning (169085): No exact pin location assignment(s) for 14 pins of 14 total pins - Info (169086): Pin Y0 not assigned to an exact location on the device - Info (169086): Pin Y1 not assigned to an exact location on the device - Info (169086): Pin Y2 not assigned to an exact location on the device - Info (169086): Pin Y3 not assigned to an exact location on the device - Info (169086): Pin Y4 not assigned to an exact location on the device - Info (169086): Pin Y5 not assigned to an exact location on the device - Info (169086): Pin Y6 not assigned to an exact location on the device - Info (169086): Pin Y7 not assigned to an exact location on the device - Info (169086): Pin Y8 not assigned to an exact location on the device - Info (169086): Pin Y9 not assigned to an exact location on the device - Info (169086): Pin A not assigned to an exact location on the device - Info (169086): Pin D not assigned to an exact location on the device - Info (169086): Pin B not assigned to an exact location on the device - Info (169086): Pin C not assigned to an exact location on the device -Critical Warning (332012): Synopsys Design Constraints File file not found: 'BCD_to_decimal_decoder.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. -Info (332144): No user constrained base clocks found in the design -Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. -Warning (332068): No clocks defined in design. -Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty" -Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. -Info (332130): Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time. -Info (176233): Starting register packing -Info (176235): Finished register packing - Extra Info (176219): No registers were packed into other blocks -Info (176214): Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement - Info (176211): Number of I/O pins in group: 14 (unused VREF, 2.5V VCCIO, 4 input, 10 output, 0 bidirectional) - Info (176212): I/O standards used: 2.5 V. -Info (176215): I/O bank details before I/O pin placement - Info (176214): Statistics of I/O banks - Info (176213): I/O bank number 1 does not use VREF pins and has undetermined VCCIO pins. 4 total pin(s) used -- 29 pins available - Info (176213): I/O bank number 2 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 48 pins available - Info (176213): I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 46 pins available - Info (176213): I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 41 pins available - Info (176213): I/O bank number 5 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 46 pins available - Info (176213): I/O bank number 6 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used -- 42 pins available - Info (176213): I/O bank number 7 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 47 pins available - Info (176213): I/O bank number 8 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 43 pins available -Info (171121): Fitter preparation operations ending: elapsed time is 00:00:02 -Info (170189): Fitter placement preparation operations beginning -Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:00 -Info (170191): Fitter placement operations beginning -Info (170137): Fitter placement was successful -Info (170192): Fitter placement operations ending: elapsed time is 00:00:00 -Info (170193): Fitter routing operations beginning -Info (170195): Router estimated average interconnect usage is 0% of the available device resources - Info (170196): Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X0_Y0 to location X9_Y9 -Info (170194): Fitter routing operations ending: elapsed time is 00:00:00 -Info (170199): The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time. - Info (170201): Optimizations that may affect the design's routability were skipped - Info (170200): Optimizations that may affect the design's timing were skipped -Info (11888): Total time spent on timing analysis during the Fitter is 0.18 seconds. -Info (334003): Started post-fitting delay annotation -Info (334004): Delay annotation completed successfully -Info (334003): Started post-fitting delay annotation -Info (334004): Delay annotation completed successfully -Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:02 -Info (144001): Generated suppressed messages file /home/timmy/Git/Learn-VHDL/CH6/CH6-1/output_files/BCD_to_decimal_decoder.fit.smsg -Info: Quartus II 32-bit Fitter was successful. 0 errors, 6 warnings - Info: Peak virtual memory: 533 megabytes - Info: Processing ended: Thu Oct 17 23:24:50 2019 - Info: Elapsed time: 00:00:12 - Info: Total CPU time (on all processors): 00:00:12 - - -+----------------------------+ -; Fitter Suppressed Messages ; -+----------------------------+ -The suppressed messages can be found in /home/timmy/Git/Learn-VHDL/CH6/CH6-1/output_files/BCD_to_decimal_decoder.fit.smsg. - - diff --git a/CH6/CH6-1/output_files/BCD_to_decimal_decoder.fit.smsg b/CH6/CH6-1/output_files/BCD_to_decimal_decoder.fit.smsg deleted file mode 100644 index 7121cbb1..00000000 --- a/CH6/CH6-1/output_files/BCD_to_decimal_decoder.fit.smsg +++ /dev/null @@ -1,8 +0,0 @@ -Extra Info (176273): Performing register packing on registers with non-logic cell location assignments -Extra Info (176274): Completed register packing on registers with non-logic cell location assignments -Extra Info (176236): Started Fast Input/Output/OE register processing -Extra Info (176237): Finished Fast Input/Output/OE register processing -Extra Info (176238): Start inferring scan chains for DSP blocks -Extra Info (176239): Inferring scan chains for DSP blocks is complete -Extra Info (176248): Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density -Extra Info (176249): Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks diff --git a/CH6/CH6-1/output_files/BCD_to_decimal_decoder.fit.summary b/CH6/CH6-1/output_files/BCD_to_decimal_decoder.fit.summary deleted file mode 100644 index f73947af..00000000 --- a/CH6/CH6-1/output_files/BCD_to_decimal_decoder.fit.summary +++ /dev/null @@ -1,16 +0,0 @@ -Fitter Status : Successful - Thu Oct 17 23:24:50 2019 -Quartus II 32-bit Version : 13.1.0 Build 162 10/23/2013 SJ Web Edition -Revision Name : BCD_to_decimal_decoder -Top-level Entity Name : BCD_to_decimal_decoder -Family : Cyclone III -Device : EP3C16F484C6 -Timing Models : Final -Total logic elements : 10 / 15,408 ( < 1 % ) - Total combinational functions : 10 / 15,408 ( < 1 % ) - Dedicated logic registers : 0 / 15,408 ( 0 % ) -Total registers : 0 -Total pins : 14 / 347 ( 4 % ) -Total virtual pins : 0 -Total memory bits : 0 / 516,096 ( 0 % ) -Embedded Multiplier 9-bit elements : 0 / 112 ( 0 % ) -Total PLLs : 0 / 4 ( 0 % ) diff --git a/CH6/CH6-1/output_files/BCD_to_decimal_decoder.flow.rpt b/CH6/CH6-1/output_files/BCD_to_decimal_decoder.flow.rpt deleted file mode 100644 index fbc9b6d1..00000000 --- a/CH6/CH6-1/output_files/BCD_to_decimal_decoder.flow.rpt +++ /dev/null @@ -1,130 +0,0 @@ -Flow report for BCD_to_decimal_decoder -Thu Oct 17 23:25:08 2019 -Quartus II 32-bit Version 13.1.0 Build 162 10/23/2013 SJ Web Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. Flow Summary - 3. Flow Settings - 4. Flow Non-Default Global Settings - 5. Flow Elapsed Time - 6. Flow OS Summary - 7. Flow Log - 8. Flow Messages - 9. Flow Suppressed Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 1991-2013 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. - - - -+---------------------------------------------------------------------------------+ -; Flow Summary ; -+------------------------------------+--------------------------------------------+ -; Flow Status ; Successful - Thu Oct 17 23:25:08 2019 ; -; Quartus II 32-bit Version ; 13.1.0 Build 162 10/23/2013 SJ Web Edition ; -; Revision Name ; BCD_to_decimal_decoder ; -; Top-level Entity Name ; BCD_to_decimal_decoder ; -; Family ; Cyclone III ; -; Device ; EP3C16F484C6 ; -; Timing Models ; Final ; -; Total logic elements ; 10 / 15,408 ( < 1 % ) ; -; Total combinational functions ; 10 / 15,408 ( < 1 % ) ; -; Dedicated logic registers ; 0 / 15,408 ( 0 % ) ; -; Total registers ; 0 ; -; Total pins ; 14 / 347 ( 4 % ) ; -; Total virtual pins ; 0 ; -; Total memory bits ; 0 / 516,096 ( 0 % ) ; -; Embedded Multiplier 9-bit elements ; 0 / 112 ( 0 % ) ; -; Total PLLs ; 0 / 4 ( 0 % ) ; -+------------------------------------+--------------------------------------------+ - - -+--------------------------------------------+ -; Flow Settings ; -+-------------------+------------------------+ -; Option ; Setting ; -+-------------------+------------------------+ -; Start date & time ; 10/17/2019 23:24:34 ; -; Main task ; Compilation ; -; Revision Name ; BCD_to_decimal_decoder ; -+-------------------+------------------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------------+ -; Flow Non-Default Global Settings ; -+-------------------------------------+-------------------------------------------------------+---------------+-------------+----------------+ -; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; -+-------------------------------------+-------------------------------------------------------+---------------+-------------+----------------+ -; COMPILER_SIGNATURE_ID ; 0.157132587415700 ; -- ; -- ; -- ; -; EDA_GENERATE_FUNCTIONAL_NETLIST ; On ; -- ; -- ; eda_simulation ; -; EDA_NETLIST_WRITER_OUTPUT_DIR ; /home/timmy/Git/Learn-VHDL/CH6/CH6-1/simulation/qsim/ ; -- ; -- ; eda_simulation ; -; EDA_OUTPUT_DATA_FORMAT ; Verilog Hdl ; -- ; -- ; eda_simulation ; -; EDA_SIMULATION_TOOL ; ModelSim-Altera (Verilog) ; ; -- ; -- ; -; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; -; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; -; NOMINAL_CORE_SUPPLY_VOLTAGE ; 1.2V ; -- ; -- ; -- ; -; PARTITION_COLOR ; 16764057 ; -- ; -- ; Top ; -; PARTITION_FITTER_PRESERVATION_LEVEL ; PLACEMENT_AND_ROUTING ; -- ; -- ; Top ; -; PARTITION_NETLIST_TYPE ; SOURCE ; -- ; -- ; Top ; -; PROJECT_OUTPUT_DIRECTORY ; output_files ; -- ; -- ; -- ; -+-------------------------------------+-------------------------------------------------------+---------------+-------------+----------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------+ -; Flow Elapsed Time ; -+---------------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; -+---------------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Analysis & Synthesis ; 00:00:03 ; 1.0 ; 372 MB ; 00:00:02 ; -; Fitter ; 00:00:12 ; 1.0 ; 533 MB ; 00:00:12 ; -; Assembler ; 00:00:02 ; 1.0 ; 382 MB ; 00:00:02 ; -; TimeQuest Timing Analyzer ; 00:00:03 ; 1.0 ; 373 MB ; 00:00:03 ; -; EDA Netlist Writer ; 00:00:01 ; 1.0 ; 338 MB ; 00:00:01 ; -; Total ; 00:00:21 ; -- ; -- ; 00:00:20 ; -+---------------------------+--------------+-------------------------+---------------------+------------------------------------+ - - -+----------------------------------------------------------------------------------------------+ -; Flow OS Summary ; -+---------------------------+-------------------+----------------+------------+----------------+ -; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; -+---------------------------+-------------------+----------------+------------+----------------+ -; Analysis & Synthesis ; timmy-Aspire-4750 ; Ubuntu 16.04.6 ; 16 ; x86_64 ; -; Fitter ; timmy-Aspire-4750 ; Ubuntu 16.04.6 ; 16 ; x86_64 ; -; Assembler ; timmy-Aspire-4750 ; Ubuntu 16.04.6 ; 16 ; x86_64 ; -; TimeQuest Timing Analyzer ; timmy-Aspire-4750 ; Ubuntu 16.04.6 ; 16 ; x86_64 ; -; EDA Netlist Writer ; timmy-Aspire-4750 ; Ubuntu 16.04.6 ; 16 ; x86_64 ; -+---------------------------+-------------------+----------------+------------+----------------+ - - ------------- -; Flow Log ; ------------- -quartus_map --read_settings_files=on --write_settings_files=off BCD_to_decimal_decoder -c BCD_to_decimal_decoder -quartus_fit --read_settings_files=off --write_settings_files=off BCD_to_decimal_decoder -c BCD_to_decimal_decoder -quartus_asm --read_settings_files=off --write_settings_files=off BCD_to_decimal_decoder -c BCD_to_decimal_decoder -quartus_sta BCD_to_decimal_decoder -c BCD_to_decimal_decoder -quartus_eda --read_settings_files=off --write_settings_files=off BCD_to_decimal_decoder -c BCD_to_decimal_decoder - - - diff --git a/CH6/CH6-1/output_files/BCD_to_decimal_decoder.jdi b/CH6/CH6-1/output_files/BCD_to_decimal_decoder.jdi deleted file mode 100644 index 9c02bf15..00000000 --- a/CH6/CH6-1/output_files/BCD_to_decimal_decoder.jdi +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/CH6/CH6-1/output_files/BCD_to_decimal_decoder.map.rpt b/CH6/CH6-1/output_files/BCD_to_decimal_decoder.map.rpt deleted file mode 100644 index df41d1b1..00000000 --- a/CH6/CH6-1/output_files/BCD_to_decimal_decoder.map.rpt +++ /dev/null @@ -1,257 +0,0 @@ -Analysis & Synthesis report for BCD_to_decimal_decoder -Thu Oct 17 23:24:36 2019 -Quartus II 32-bit Version 13.1.0 Build 162 10/23/2013 SJ Web Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. Analysis & Synthesis Summary - 3. Analysis & Synthesis Settings - 4. Parallel Compilation - 5. Analysis & Synthesis Source Files Read - 6. Analysis & Synthesis Resource Usage Summary - 7. Analysis & Synthesis Resource Utilization by Entity - 8. General Register Statistics - 9. Elapsed Time Per Partition - 10. Analysis & Synthesis Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 1991-2013 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. - - - -+---------------------------------------------------------------------------------+ -; Analysis & Synthesis Summary ; -+------------------------------------+--------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Thu Oct 17 23:24:36 2019 ; -; Quartus II 32-bit Version ; 13.1.0 Build 162 10/23/2013 SJ Web Edition ; -; Revision Name ; BCD_to_decimal_decoder ; -; Top-level Entity Name ; BCD_to_decimal_decoder ; -; Family ; Cyclone III ; -; Total logic elements ; 10 ; -; Total combinational functions ; 10 ; -; Dedicated logic registers ; 0 ; -; Total registers ; 0 ; -; Total pins ; 14 ; -; Total virtual pins ; 0 ; -; Total memory bits ; 0 ; -; Embedded Multiplier 9-bit elements ; 0 ; -; Total PLLs ; 0 ; -+------------------------------------+--------------------------------------------+ - - -+------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Settings ; -+----------------------------------------------------------------------------+------------------------+------------------------+ -; Option ; Setting ; Default Value ; -+----------------------------------------------------------------------------+------------------------+------------------------+ -; Device ; EP3C16F484C6 ; ; -; Top-level entity name ; BCD_to_decimal_decoder ; BCD_to_decimal_decoder ; -; Family name ; Cyclone III ; Cyclone IV GX ; -; Use smart compilation ; Off ; Off ; -; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; -; Enable compact report table ; Off ; Off ; -; Restructure Multiplexers ; Auto ; Auto ; -; Create Debugging Nodes for IP Cores ; Off ; Off ; -; Preserve fewer node names ; On ; On ; -; Disable OpenCore Plus hardware evaluation ; Off ; Off ; -; Verilog Version ; Verilog_2001 ; Verilog_2001 ; -; VHDL Version ; VHDL_1993 ; VHDL_1993 ; -; State Machine Processing ; Auto ; Auto ; -; Safe State Machine ; Off ; Off ; -; Extract Verilog State Machines ; On ; On ; -; Extract VHDL State Machines ; On ; On ; -; Ignore Verilog initial constructs ; Off ; Off ; -; Iteration limit for constant Verilog loops ; 5000 ; 5000 ; -; Iteration limit for non-constant Verilog loops ; 250 ; 250 ; -; Add Pass-Through Logic to Inferred RAMs ; On ; On ; -; Infer RAMs from Raw Logic ; On ; On ; -; Parallel Synthesis ; On ; On ; -; DSP Block Balancing ; Auto ; Auto ; -; NOT Gate Push-Back ; On ; On ; -; Power-Up Don't Care ; On ; On ; -; Remove Redundant Logic Cells ; Off ; Off ; -; Remove Duplicate Registers ; On ; On ; -; Ignore CARRY Buffers ; Off ; Off ; -; Ignore CASCADE Buffers ; Off ; Off ; -; Ignore GLOBAL Buffers ; Off ; Off ; -; Ignore ROW GLOBAL Buffers ; Off ; Off ; -; Ignore LCELL Buffers ; Off ; Off ; -; Ignore SOFT Buffers ; On ; On ; -; Limit AHDL Integers to 32 Bits ; Off ; Off ; -; Optimization Technique ; Balanced ; Balanced ; -; Carry Chain Length ; 70 ; 70 ; -; Auto Carry Chains ; On ; On ; -; Auto Open-Drain Pins ; On ; On ; -; Perform WYSIWYG Primitive Resynthesis ; Off ; Off ; -; Auto ROM Replacement ; On ; On ; -; Auto RAM Replacement ; On ; On ; -; Auto DSP Block Replacement ; On ; On ; -; Auto Shift Register Replacement ; Auto ; Auto ; -; Allow Shift Register Merging across Hierarchies ; Auto ; Auto ; -; Auto Clock Enable Replacement ; On ; On ; -; Strict RAM Replacement ; Off ; Off ; -; Allow Synchronous Control Signals ; On ; On ; -; Force Use of Synchronous Clear Signals ; Off ; Off ; -; Auto RAM Block Balancing ; On ; On ; -; Auto RAM to Logic Cell Conversion ; Off ; Off ; -; Auto Resource Sharing ; Off ; Off ; -; Allow Any RAM Size For Recognition ; Off ; Off ; -; Allow Any ROM Size For Recognition ; Off ; Off ; -; Allow Any Shift Register Size For Recognition ; Off ; Off ; -; Use LogicLock Constraints during Resource Balancing ; On ; On ; -; Ignore translate_off and synthesis_off directives ; Off ; Off ; -; Timing-Driven Synthesis ; On ; On ; -; Report Parameter Settings ; On ; On ; -; Report Source Assignments ; On ; On ; -; Report Connectivity Checks ; On ; On ; -; Ignore Maximum Fan-Out Assignments ; Off ; Off ; -; Synchronization Register Chain Length ; 2 ; 2 ; -; PowerPlay Power Optimization ; Normal compilation ; Normal compilation ; -; HDL message level ; Level2 ; Level2 ; -; Suppress Register Optimization Related Messages ; Off ; Off ; -; Number of Removed Registers Reported in Synthesis Report ; 5000 ; 5000 ; -; Number of Swept Nodes Reported in Synthesis Report ; 5000 ; 5000 ; -; Number of Inverted Registers Reported in Synthesis Report ; 100 ; 100 ; -; Clock MUX Protection ; On ; On ; -; Auto Gated Clock Conversion ; Off ; Off ; -; Block Design Naming ; Auto ; Auto ; -; SDC constraint protection ; Off ; Off ; -; Synthesis Effort ; Auto ; Auto ; -; Shift Register Replacement - Allow Asynchronous Clear Signal ; On ; On ; -; Pre-Mapping Resynthesis Optimization ; Off ; Off ; -; Analysis & Synthesis Message Level ; Medium ; Medium ; -; Disable Register Merging Across Hierarchies ; Auto ; Auto ; -; Resource Aware Inference For Block RAM ; On ; On ; -; Synthesis Seed ; 1 ; 1 ; -+----------------------------------------------------------------------------+------------------------+------------------------+ - - -Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. -+-------------------------------------+ -; Parallel Compilation ; -+----------------------------+--------+ -; Processors ; Number ; -+----------------------------+--------+ -; Number detected on machine ; 4 ; -; Maximum allowed ; 1 ; -+----------------------------+--------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Source Files Read ; -+----------------------------------+-----------------+------------------------------------+-----------------------------------------------------------------+---------+ -; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; -+----------------------------------+-----------------+------------------------------------+-----------------------------------------------------------------+---------+ -; BCD_to_decimal_decoder.bdf ; yes ; User Block Diagram/Schematic File ; /home/timmy/Git/Learn-VHDL/CH6/CH6-1/BCD_to_decimal_decoder.bdf ; ; -+----------------------------------+-----------------+------------------------------------+-----------------------------------------------------------------+---------+ - - -+-------------------------------------------------------+ -; Analysis & Synthesis Resource Usage Summary ; -+---------------------------------------------+---------+ -; Resource ; Usage ; -+---------------------------------------------+---------+ -; Estimated Total logic elements ; 10 ; -; ; ; -; Total combinational functions ; 10 ; -; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 10 ; -; -- 3 input functions ; 0 ; -; -- <=2 input functions ; 0 ; -; ; ; -; Logic elements by mode ; ; -; -- normal mode ; 10 ; -; -- arithmetic mode ; 0 ; -; ; ; -; Total registers ; 0 ; -; -- Dedicated logic registers ; 0 ; -; -- I/O registers ; 0 ; -; ; ; -; I/O pins ; 14 ; -; Embedded Multiplier 9-bit elements ; 0 ; -; Maximum fan-out node ; A~input ; -; Maximum fan-out ; 10 ; -; Total fan-out ; 64 ; -; Average fan-out ; 1.68 ; -+---------------------------------------------+---------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Resource Utilization by Entity ; -+----------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+-------------------------+--------------+ -; Compilation Hierarchy Node ; LC Combinationals ; LC Registers ; Memory Bits ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; Full Hierarchy Name ; Library Name ; -+----------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+-------------------------+--------------+ -; |BCD_to_decimal_decoder ; 10 (10) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 14 ; 0 ; |BCD_to_decimal_decoder ; work ; -+----------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+-------------------------+--------------+ -Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. - - -+------------------------------------------------------+ -; General Register Statistics ; -+----------------------------------------------+-------+ -; Statistic ; Value ; -+----------------------------------------------+-------+ -; Total registers ; 0 ; -; Number of registers using Synchronous Clear ; 0 ; -; Number of registers using Synchronous Load ; 0 ; -; Number of registers using Asynchronous Clear ; 0 ; -; Number of registers using Asynchronous Load ; 0 ; -; Number of registers using Clock Enable ; 0 ; -; Number of registers using Preset ; 0 ; -+----------------------------------------------+-------+ - - -+-------------------------------+ -; Elapsed Time Per Partition ; -+----------------+--------------+ -; Partition Name ; Elapsed Time ; -+----------------+--------------+ -; Top ; 00:00:01 ; -+----------------+--------------+ - - -+-------------------------------+ -; Analysis & Synthesis Messages ; -+-------------------------------+ -Info: ******************************************************************* -Info: Running Quartus II 32-bit Analysis & Synthesis - Info: Version 13.1.0 Build 162 10/23/2013 SJ Web Edition - Info: Processing started: Thu Oct 17 23:24:33 2019 -Info: Command: quartus_map --read_settings_files=on --write_settings_files=off BCD_to_decimal_decoder -c BCD_to_decimal_decoder -Warning (20028): Parallel compilation is not licensed and has been disabled -Info (12021): Found 1 design units, including 1 entities, in source file BCD_to_decimal_decoder.bdf - Info (12023): Found entity 1: BCD_to_decimal_decoder -Info (12127): Elaborating entity "BCD_to_decimal_decoder" for the top level hierarchy -Info (286030): Timing-Driven Synthesis is running -Info (16010): Generating hard_block partition "hard_block:auto_generated_inst" - Info (16011): Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL -Info (21057): Implemented 24 device resources after synthesis - the final resource count might be different - Info (21058): Implemented 4 input pins - Info (21059): Implemented 10 output pins - Info (21061): Implemented 10 logic cells -Info: Quartus II 32-bit Analysis & Synthesis was successful. 0 errors, 1 warning - Info: Peak virtual memory: 383 megabytes - Info: Processing ended: Thu Oct 17 23:24:36 2019 - Info: Elapsed time: 00:00:03 - Info: Total CPU time (on all processors): 00:00:03 - - diff --git a/CH6/CH6-1/output_files/BCD_to_decimal_decoder.map.summary b/CH6/CH6-1/output_files/BCD_to_decimal_decoder.map.summary deleted file mode 100644 index 8eae5858..00000000 --- a/CH6/CH6-1/output_files/BCD_to_decimal_decoder.map.summary +++ /dev/null @@ -1,14 +0,0 @@ -Analysis & Synthesis Status : Successful - Thu Oct 17 23:24:36 2019 -Quartus II 32-bit Version : 13.1.0 Build 162 10/23/2013 SJ Web Edition -Revision Name : BCD_to_decimal_decoder -Top-level Entity Name : BCD_to_decimal_decoder -Family : Cyclone III -Total logic elements : 10 - Total combinational functions : 10 - Dedicated logic registers : 0 -Total registers : 0 -Total pins : 14 -Total virtual pins : 0 -Total memory bits : 0 -Embedded Multiplier 9-bit elements : 0 -Total PLLs : 0 diff --git a/CH6/CH6-1/output_files/BCD_to_decimal_decoder.pin b/CH6/CH6-1/output_files/BCD_to_decimal_decoder.pin deleted file mode 100644 index b67e2161..00000000 --- a/CH6/CH6-1/output_files/BCD_to_decimal_decoder.pin +++ /dev/null @@ -1,554 +0,0 @@ - -- Copyright (C) 1991-2013 Altera Corporation - -- Your use of Altera Corporation's design tools, logic functions - -- and other software and tools, and its AMPP partner logic - -- functions, and any output files from any of the foregoing - -- (including device programming or simulation files), and any - -- associated documentation or information are expressly subject - -- to the terms and conditions of the Altera Program License - -- Subscription Agreement, Altera MegaCore Function License - -- Agreement, or other applicable license agreement, including, - -- without limitation, that your use is for the sole purpose of - -- programming logic devices manufactured by Altera and sold by - -- Altera or its authorized distributors. Please refer to the - -- applicable agreement for further details. - -- - -- This is a Quartus II output file. It is for reporting purposes only, and is - -- not intended for use as a Quartus II input file. This file cannot be used - -- to make Quartus II pin assignments - for instructions on how to make pin - -- assignments, please see Quartus II help. - --------------------------------------------------------------------------------- - - - - --------------------------------------------------------------------------------- - -- NC : No Connect. This pin has no internal connection to the device. - -- DNU : Do Not Use. This pin MUST NOT be connected. - -- VCCINT : Dedicated power pin, which MUST be connected to VCC (1.2V). - -- VCCIO : Dedicated power pin, which MUST be connected to VCC - -- of its bank. - -- Bank 1: 2.5V - -- Bank 2: 2.5V - -- Bank 3: 2.5V - -- Bank 4: 2.5V - -- Bank 5: 2.5V - -- Bank 6: 2.5V - -- Bank 7: 2.5V - -- Bank 8: 2.5V - -- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND. - -- It can also be used to report unused dedicated pins. The connection - -- on the board for unused dedicated pins depends on whether this will - -- be used in a future design. One example is device migration. When - -- using device migration, refer to the device pin-tables. If it is a - -- GND pin in the pin table or if it will not be used in a future design - -- for another purpose the it MUST be connected to GND. If it is an unused - -- dedicated pin, then it can be connected to a valid signal on the board - -- (low, high, or toggling) if that signal is required for a different - -- revision of the design. - -- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins. - -- This pin should be connected to GND. It may also be connected to a - -- valid signal on the board (low, high, or toggling) if that signal - -- is required for a different revision of the design. - -- GND* : Unused I/O pin. Connect each pin marked GND* directly to GND - -- or leave it unconnected. - -- RESERVED : Unused I/O pin, which MUST be left unconnected. - -- RESERVED_INPUT : Pin is tri-stated and should be connected to the board. - -- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor. - -- RESERVED_INPUT_WITH_BUS_HOLD : Pin is tri-stated with bus-hold circuitry. - -- RESERVED_OUTPUT_DRIVEN_HIGH : Pin is output driven high. - --------------------------------------------------------------------------------- - - - - --------------------------------------------------------------------------------- - -- Pin directions (input, output or bidir) are based on device operating in user mode. - --------------------------------------------------------------------------------- - -Quartus II 32-bit Version 13.1.0 Build 162 10/23/2013 SJ Web Edition -CHIP "BCD_to_decimal_decoder" ASSIGNED TO AN: EP3C16F484C6 - -Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment -------------------------------------------------------------------------------------------------------------- -GND : A1 : gnd : : : : -VCCIO8 : A2 : power : : 2.5V : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A3 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A4 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A5 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A6 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A7 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A8 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A9 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A10 : : : : 8 : -GND+ : A11 : : : : 8 : -GND+ : A12 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A13 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A14 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A15 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A16 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A17 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A18 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A19 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A20 : : : : 7 : -VCCIO7 : A21 : power : : 2.5V : 7 : -GND : A22 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA1 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA2 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA3 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA4 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA5 : : : : 3 : -VCCIO3 : AA6 : power : : 2.5V : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA7 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA8 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA9 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA10 : : : : 3 : -GND+ : AA11 : : : : 3 : -GND+ : AA12 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA13 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA14 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA15 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA16 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA17 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA18 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA19 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA20 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA21 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA22 : : : : 5 : -GND : AB1 : gnd : : : : -VCCIO3 : AB2 : power : : 2.5V : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB3 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB4 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB5 : : : : 3 : -GND : AB6 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB7 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB8 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB9 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB10 : : : : 3 : -GND+ : AB11 : : : : 3 : -GND+ : AB12 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB13 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB14 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB15 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB16 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB17 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB18 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB19 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB20 : : : : 4 : -VCCIO4 : AB21 : power : : 2.5V : 4 : -GND : AB22 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : B1 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B2 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B3 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B4 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B5 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B6 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B7 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B8 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B9 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B10 : : : : 8 : -GND+ : B11 : : : : 8 : -GND+ : B12 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B13 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B14 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B15 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B16 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B17 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B18 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B19 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B20 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B21 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B22 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : C1 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : C2 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : C3 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : C4 : : : : 8 : -GND : C5 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : C6 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : C7 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : C8 : : : : 8 : -GND : C9 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : C10 : : : : 8 : -GND : C11 : gnd : : : : -GND : C12 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : C13 : : : : 7 : -GND : C14 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : C15 : : : : 7 : -GND : C16 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : C17 : : : : 7 : -GND : C18 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : C19 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : C20 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : C21 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : C22 : : : : 6 : -~ALTERA_ASDO_DATA1~ / RESERVED_INPUT_WITH_WEAK_PULLUP : D1 : input : 2.5 V : : 1 : N -RESERVED_INPUT_WITH_WEAK_PULLUP : D2 : : : : 1 : -GND : D3 : gnd : : : : -VCCIO1 : D4 : power : : 2.5V : 1 : -VCCIO8 : D5 : power : : 2.5V : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : D6 : : : : 8 : -GND : D7 : gnd : : : : -GND : D8 : gnd : : : : -VCCIO8 : D9 : power : : 2.5V : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : D10 : : : : 8 : -VCCIO8 : D11 : power : : 2.5V : 8 : -VCCIO7 : D12 : power : : 2.5V : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : D13 : : : : 7 : -VCCIO7 : D14 : power : : 2.5V : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : D15 : : : : 7 : -VCCIO7 : D16 : power : : 2.5V : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : D17 : : : : 7 : -VCCIO7 : D18 : power : : 2.5V : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : D19 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : D20 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : D21 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : D22 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : E1 : : : : 1 : -~ALTERA_FLASH_nCE_nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP : E2 : input : 2.5 V : : 1 : N -RESERVED_INPUT_WITH_WEAK_PULLUP : E3 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : E4 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : E5 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : E6 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : E7 : : : : 8 : -VCCIO8 : E8 : power : : 2.5V : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : E9 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : E10 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : E11 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : E12 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : E13 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : E14 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : E15 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : E16 : : : : 7 : -VCCD_PLL2 : E17 : power : : 1.2V : : -GNDA2 : E18 : gnd : : : : -VCCIO6 : E19 : power : : 2.5V : 6 : -GND : E20 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : E21 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : E22 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F1 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F2 : : : : 1 : -GND : F3 : gnd : : : : -VCCIO1 : F4 : power : : 2.5V : 1 : -GNDA3 : F5 : gnd : : : : -VCCD_PLL3 : F6 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : F7 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F8 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F9 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F10 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F11 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F12 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F13 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F14 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F15 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F16 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F17 : : : : 6 : -VCCA2 : F18 : power : : 2.5V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : F19 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F20 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F21 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F22 : : : : 6 : -GND+ : G1 : : : : 1 : -GND+ : G2 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : G3 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : G4 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : G5 : : : : 1 : -VCCA3 : G6 : power : : 2.5V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : G7 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : G8 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : G9 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : G10 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : G11 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : G12 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : G13 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : G14 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : G15 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : G16 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : G17 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : G18 : : : : 6 : -VCCIO6 : G19 : power : : 2.5V : 6 : -GND : G20 : gnd : : : : -GND+ : G21 : : : : 6 : -GND+ : G22 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H1 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H2 : : : : 1 : -GND : H3 : gnd : : : : -VCCIO1 : H4 : power : : 2.5V : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H5 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H6 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H7 : : : : 1 : -GND : H8 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : H9 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H10 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H11 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H12 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H13 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H14 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H15 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H16 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H17 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H18 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H19 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H20 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H21 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H22 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : J1 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : J2 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : J3 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : J4 : : : : 1 : -GND : J5 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : J6 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : J7 : : : : 1 : -VCCINT : J8 : power : : 1.2V : : -GND : J9 : gnd : : : : -VCCINT : J10 : power : : 1.2V : : -VCCINT : J11 : power : : 1.2V : : -VCCINT : J12 : power : : 1.2V : : -VCCINT : J13 : power : : 1.2V : : -VCCINT : J14 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : J15 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : J16 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : J17 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : J18 : : : : 6 : -GND : J19 : gnd : : : : -VCCIO6 : J20 : power : : 2.5V : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : J21 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : J22 : : : : 6 : -~ALTERA_DATA0~ / RESERVED_INPUT_WITH_WEAK_PULLUP : K1 : input : 2.5 V : : 1 : N -~ALTERA_DCLK~ : K2 : output : 2.5 V : : 1 : N -GND : K3 : gnd : : : : -VCCIO1 : K4 : power : : 2.5V : 1 : -nCONFIG : K5 : : : : 1 : -nSTATUS : K6 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : K7 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : K8 : : : : 1 : -VCCINT : K9 : power : : 1.2V : : -GND : K10 : gnd : : : : -GND : K11 : gnd : : : : -GND : K12 : gnd : : : : -GND : K13 : gnd : : : : -VCCINT : K14 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : K15 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : K16 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : K17 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : K18 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : K19 : : : : 6 : -MSEL3 : K20 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : K21 : : : : 6 : -~ALTERA_nCEO~ / RESERVED_OUTPUT_OPEN_DRAIN : K22 : output : 2.5 V : : 6 : N -TMS : L1 : input : : : 1 : -TCK : L2 : input : : : 1 : -nCE : L3 : : : : 1 : -TDO : L4 : output : : : 1 : -TDI : L5 : input : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : L6 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : L7 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : L8 : : : : 1 : -VCCINT : L9 : power : : 1.2V : : -GND : L10 : gnd : : : : -GND : L11 : gnd : : : : -GND : L12 : gnd : : : : -GND : L13 : gnd : : : : -VCCINT : L14 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : L15 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : L16 : : : : 6 : -MSEL2 : L17 : : : : 6 : -MSEL1 : L18 : : : : 6 : -VCCIO6 : L19 : power : : 2.5V : 6 : -GND : L20 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : L21 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : L22 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : M1 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : M2 : : : : 2 : -Y2 : M3 : output : 2.5 V : : 2 : N -RESERVED_INPUT_WITH_WEAK_PULLUP : M4 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : M5 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : M6 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : M7 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : M8 : : : : 2 : -VCCINT : M9 : power : : 1.2V : : -GND : M10 : gnd : : : : -GND : M11 : gnd : : : : -GND : M12 : gnd : : : : -GND : M13 : gnd : : : : -VCCINT : M14 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : M15 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : M16 : : : : 5 : -MSEL0 : M17 : : : : 6 : -CONF_DONE : M18 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : M19 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : M20 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : M21 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : M22 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : N1 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : N2 : : : : 2 : -GND : N3 : gnd : : : : -VCCIO2 : N4 : power : : 2.5V : 2 : -D : N5 : input : 2.5 V : : 2 : N -RESERVED_INPUT_WITH_WEAK_PULLUP : N6 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : N7 : : : : 2 : -Y0 : N8 : output : 2.5 V : : 2 : N -VCCINT : N9 : power : : 1.2V : : -GND : N10 : gnd : : : : -GND : N11 : gnd : : : : -GND : N12 : gnd : : : : -GND : N13 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : N14 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : N15 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : N16 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : N17 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : N18 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : N19 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : N20 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : N21 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : N22 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : P1 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : P2 : : : : 2 : -Y6 : P3 : output : 2.5 V : : 2 : N -RESERVED_INPUT_WITH_WEAK_PULLUP : P4 : : : : 2 : -Y5 : P5 : output : 2.5 V : : 2 : N -RESERVED_INPUT_WITH_WEAK_PULLUP : P6 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : P7 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : P8 : : : : 2 : -VCCINT : P9 : power : : 1.2V : : -VCCINT : P10 : power : : 1.2V : : -VCCINT : P11 : power : : 1.2V : : -VCCINT : P12 : power : : 1.2V : : -VCCINT : P13 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : P14 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : P15 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : P16 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : P17 : : : : 5 : -VCCIO5 : P18 : power : : 2.5V : 5 : -GND : P19 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : P20 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : P21 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : P22 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R1 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R2 : : : : 2 : -GND : R3 : gnd : : : : -VCCIO2 : R4 : power : : 2.5V : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R5 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R6 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R7 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R8 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R9 : : : : 3 : -Y4 : R10 : output : 2.5 V : : 3 : N -RESERVED_INPUT_WITH_WEAK_PULLUP : R11 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R12 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R13 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R14 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R15 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R16 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R17 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R18 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R19 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R20 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R21 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R22 : : : : 5 : -GND+ : T1 : : : : 2 : -GND+ : T2 : : : : 2 : -Y9 : T3 : output : 2.5 V : : 2 : N -C : T4 : input : 2.5 V : : 2 : N -RESERVED_INPUT_WITH_WEAK_PULLUP : T5 : : : : 2 : -VCCA1 : T6 : power : : 2.5V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : T7 : : : : 2 : -B : T8 : input : 2.5 V : : 3 : N -Y8 : T9 : output : 2.5 V : : 3 : N -RESERVED_INPUT_WITH_WEAK_PULLUP : T10 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : T11 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : T12 : : : : 4 : -VCCINT : T13 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : T14 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : T15 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : T16 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : T17 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : T18 : : : : 5 : -VCCIO5 : T19 : power : : 2.5V : 5 : -GND : T20 : gnd : : : : -GND+ : T21 : : : : 5 : -GND+ : T22 : : : : 5 : -Y1 : U1 : output : 2.5 V : : 2 : N -RESERVED_INPUT_WITH_WEAK_PULLUP : U2 : : : : 2 : -GND : U3 : gnd : : : : -VCCIO2 : U4 : power : : 2.5V : 2 : -GNDA1 : U5 : gnd : : : : -VCCD_PLL1 : U6 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : U7 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : U8 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : U9 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : U10 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : U11 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : U12 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : U13 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : U14 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : U15 : : : : 4 : -VCCINT : U16 : power : : 1.2V : : -VCCINT : U17 : power : : 1.2V : : -VCCA4 : U18 : power : : 2.5V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : U19 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : U20 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : U21 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : U22 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V1 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V2 : : : : 2 : -Y7 : V3 : output : 2.5 V : : 2 : N -RESERVED_INPUT_WITH_WEAK_PULLUP : V4 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V5 : : : : 3 : -Y3 : V6 : output : 2.5 V : : 3 : N -RESERVED_INPUT_WITH_WEAK_PULLUP : V7 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V8 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V9 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V10 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V11 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V12 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V13 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V14 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V15 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V16 : : : : 4 : -VCCD_PLL4 : V17 : power : : 1.2V : : -GNDA4 : V18 : gnd : : : : -VCCIO5 : V19 : power : : 2.5V : 5 : -GND : V20 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : V21 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V22 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : W1 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : W2 : : : : 2 : -GND : W3 : gnd : : : : -VCCIO2 : W4 : power : : 2.5V : 2 : -VCCIO3 : W5 : power : : 2.5V : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : W6 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : W7 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : W8 : : : : 3 : -VCCIO3 : W9 : power : : 2.5V : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : W10 : : : : 3 : -VCCIO3 : W11 : power : : 2.5V : 3 : -VCCIO4 : W12 : power : : 2.5V : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : W13 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : W14 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : W15 : : : : 4 : -VCCIO4 : W16 : power : : 2.5V : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : W17 : : : : 4 : -VCCIO4 : W18 : power : : 2.5V : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : W19 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : W20 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : W21 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : W22 : : : : 5 : -A : Y1 : input : 2.5 V : : 2 : N -RESERVED_INPUT_WITH_WEAK_PULLUP : Y2 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : Y3 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : Y4 : : : : 3 : -GND : Y5 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : Y6 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : Y7 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : Y8 : : : : 3 : -GND : Y9 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : Y10 : : : : 3 : -GND : Y11 : gnd : : : : -GND : Y12 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : Y13 : : : : 4 : -VCCIO4 : Y14 : power : : 2.5V : 4 : -GND : Y15 : gnd : : : : -GND : Y16 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : Y17 : : : : 4 : -GND : Y18 : gnd : : : : -VCCIO5 : Y19 : power : : 2.5V : 5 : -GND : Y20 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : Y21 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : Y22 : : : : 5 : diff --git a/CH6/CH6-1/output_files/BCD_to_decimal_decoder.sof b/CH6/CH6-1/output_files/BCD_to_decimal_decoder.sof deleted file mode 100644 index 9ebb340208d512f210aef56a929bb6c4005ee2b7..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 496874 zcmeIb->)rOcHgzmJvY}E<3uMmLYTgh{#*ai@9eODLH@kj{fBb=n?L@&AAb4cABDg1 zH^Mi*{%?Kni!Z}(|KN`vzWDy7eDyp@U?IJ>eqhtF8tPyzxe)V;cLI~ z_3*X3uYUa-U;X;s*S-<{*1sSAlh3~w{`zNMd@0b~N4vi$oPYi8H-7tTzwtZ2_RU}W z?cdnF9yi53*V`=$c|Lk}F zoB!#5`EUP+fAlLL|IdH$PyVyr(ED!p|H$-+lPu5B}cgzyIZTzxd-n_`$#Zvmt!@ zseyC3qSNq4pZ)rGKl|eEe*U8`zx&O*@BQXizV`>;`n6yG^>2RT_kR6*-}>h7f9vbt z{NC4p<11esqQ80&{%tbI#6re(`0Vrl{EOfJ{5QXHsO49}!|#3hhrjuiO0qxt!5@4j z{P;(o|B&2&^DB4%#qT`X*y%w>-~Or{)nDHISO4f|P)h6{{-@s-u|J^QfAq_*{?7eJ z|G|e!;p5$_C||C^hh!9XpYA?Nhaa}(n8XV`q+Q&-3OnieMGw;7QC8rr4keH8iTTr4 zzm?vEj|(3Hh@Zas=udWk_2A>+^vQ8dhPH-PolvkzzQ*UNtTDz@JfhF$CxnG~Wq>q&(p&P5dSmdA_wgpJjB*O%t zT1kwfNrw|56DKA#(yB665WH9uVc73X%bulk4ET$cwdkO+XZHa_ku%{@gUz=VkDDvt z3b+EUz%?t7mvmFWWK@xnDTi$V(z67hT1kwfM>qHrM-v)pRT(P?UaX0*wb{1pY1+qt zyI5I^4jQ`_KomI>9yQo}Yw@_b0;__A%ftR@S0}#;yerMb3mr4L09eJZ`SQ z?JJPK@@9g|*dyaVaNL;|0BsBM4>Ji!b*33dn^3&(cK0pLgN$EvyJt>(m|i2TV#ZNu z*&`dr@4tHfr%RKL#6@D2gNCL({*{A&4ppHh;|S3R8A%^m1pku!d5}NpwH$s){=Anz zm~j+Z_Q=NZlLJnEGW47BCwD97@8!=n~5#1`lKNZLl2P)jxvH9ysul*STv z!tzLGbYgynEy{Sr)SN)R1LW%EM<}}4dLL=eIK||N-oPk(V zVE6CV5#KIws2~as3njj3^rpt7G#2Lx)tZFsoOL~#iO%X=V0(+VvnJ?duE048>=%)9 z7;G%l+HHLbEO1}W{rV(SQk5jH`Xz^j65lj3%$%m!h%*wd95ghoSZEU=<)Mu11F1j) zCq?2NzwdbJq3Pch;qLfGe;m1^CI$b(s9Pr<*I#RUm;- zl_anFC5MF)-?hwXij9Ou!j*%DuGKjMv8Lo6{w+isVL~k#J#OPAA{PM zeYF2-ZEMtNTf^0(9R{yvT3#gt_|oy(v}m@{)jMg-oMwWHGZLj7G_*{1;rOJ%8HhDY z(!pvrF`WqCqy%@ey+tDGrGWdvmK>nlCcAJX5M@r4pMs36^^GS4@gCH;-NYl}*%ly8 zyFb_<<3W%|?90*Q!zqttLptpZGAyJ^JR2a+#`H|Y4P3^SV?M|?9-LqBG|*Rd;v0vB zc5}TGM02K{@Q}hQP@S{6R)ucDw+G-hx@|~-<_w4kp=OV50n)PsK$XqhZOH+;YY9Y| zQ)R3mBWn|3R~g$f616@9PO~n;g&D`bxpNHoO{mRJK{}l7-ns&hs}Uga#dSMcfpIlC zI^g<&VZZEO|3D^zn58@GTIx%=CY|uFqKs-a!?N20;Flel>p3Gt)tK-`BULk8LMuI!I}tL`)tde zrhbf4Q3LK`Wi2{rY#O_81Q12eghvfF-&#CwuE4Ac@Ku}H;>>(bH;)2K+?TVyK4%3V ztH}bLoFSwv=CbVPCEXM-8P&k99JWPZT}vPsec(hD8@V)ZmV^n zrF-M$kt6_8k0xWcMF)*d;|)K6C~_t|>d_?MT09rAw+r;Lz%CpMWa*UKP=Kj7voWpR z)~CP{_vPHLPhQf;N|Mm+>A50ut{k=nNYf<41fW_;jH5}16Co2PCN$EjGFA}0v4=41 zSO0FEHu+5+Jp# zp&Z z8&8xR7CI8uKV^H1iTiTYxt=`t@>%D65U<{sW8C%QDf|*2d_88iI5Xcf-Ez#&ee5UK z;q`^i)r3;BRuY)yAw{;g2n?n=(~JXj(|836AWW#uSV1I&0dLF@#ce|hB*v;HbFQo< zhlLW~wajVO5DAThD+di-t8)fo)np#oN76RJgj%wZsQEeenm{Ry<=QSkpt@G)tn1Mw zb->0IxcVQ=-0b~ht=gDvc<0f?tyYyJulgm2g%aPj%xQ{^ghs-ZgNClvIRmk#ug)R>gU66)2utDgg00au`@Kx%qR&c{;Y2Wd+uK54L$ZqyRvR+S`I!IHy5 ziEmmIZ{{=wOq>8FR%;Tja|Q@a$-Qi~W_t@W*qoR+r&sI9km{UuJtWM{6_{6nI4|A6 zw&8Vn0X65NT;RUMbFw=t_}Gq@=;U-E(@-(wxNxIUxbSwm#_u4w|KZj-e>g@&x$SwpFXY2eBSB`xB`2dB=A`zDLStH-CFct;Jb%bYc}q>n=9Z7xB|DKKw=o>5?69q zDDhpJyIRv>oipIB)?ywE+6WVB$>^bL<1<16sWg^r`+NbaYjw`L9{bL^)DCb3Hl+Z+ zVR;=UKkn(~3Un1nAXFvEtA5F0p~QDBbDCl!p^2bJ;6E)NFDp7E}wou}m_D;N+(@b!2M#7bYhL*`L z9G^5e1F>dFI#|snrW4_tl;BRbw@5@Ctt{Y<$u1lTNSRaRrywJ3ed7s1yazRIH}Qyg zwgpJj?svN(bi%$IO+K9RSk~ZF8LMu)#Iph7Y)sEY+`wgQIp%|W)vV--O!y6r{uH?yW2ExEcWxUtG7N6&P2O zqXVuV81~El^$%py2q>77U-cs~s@BoavjjkuO|RCfo}%bc7C@O$uhvJ2=O9<$#uV5u z;!_57^?RAcDWknHY%ZIs1XEeXA_wKLEkK$kTnWI8)jA4Yl0Owrm9grU7_5n~wa>Qf zY3j!)6*b^4R@S0}#-_0gM*vaeOnB5_^R30><_gTJ0AID4EzZp6bn_^%#CvLA{ zv6?K<$r(b*VlK;mUeZkglTi)q%3)gs*0ltJ(Faacv5{-z0MxYv!h}XzRmKXk_q7rB ze+s(#UxbS&m#~KgI^mz=*KK^mX0|vppVPSluD~<});6t^q`$Rly}t*odTy(Ap{0A{ z<&h)+QjaEMw?zkyP2&wefGBb%JnGRT-&#Buv9}BKvcN7J3uNh(+fab1H?uLV-PWhT z68GiYuTNgm$4ZjW?diE9a;_Y<1xV8*!vvsONsOaOhZ7+aCnhw~sxnp(ys?Kc>{tJ8 zoi_PnxIu2Tn=9Z7xB@q#!1C2vgi45|%4Y7il=aw0;CFKcT!AMA?pCA3{c1AtL{_apE}N!+N!pRrmBY3G=~)6$ zt0dOZqZ|B*W0_NBtRO?A9GZw5tkzq7x8~+=%$U%dwMnblU15FeDJR=o>=GcgtmJM> z4$w_|x4Um~HrFa4PLNMX$3Tg1n{029hiKA~&`7v)(9ks5g`>e4h%LU9jHHiv)vgkG z4~>$;LPw(dr)+PLIn8Dk684@@<)EQy_u{Q{K8QE5<$!@m+og=J$IKRI=6j}Fj`_Kd z{p32lzRn~5#F~Nx04#AT%ZSvelaHEzDqZV&a@$ts_IKbJq2cFgI6VUIpU3 zbOYOl*Wm@!oR4yW`x4K|?yTTrJ6@ub(}he!#gOB|)tU~Pl_Y{tRfvYJ)j0#Pm1;fs zb}d99^y+^RE`nUb7TDh6;SzbD)ki!lx*UA^v@Y{`t1I9NOj00DLLUb{Yg$XeOLPNC z;6#-;xi*eM3voTGS5}FPL|>{}51kbTJN8d5N(CH+7Ft`ztMka204gcvdiuNdkVT!d zsWBN`z!uov;_U^}_AXq3;}l@l&TT9=ZtGKEf%|gq*C(Npsw8>UFF7of_@)VU$Z; z$zh?ycWv%!O^0>PfV*0Yc`#@rOsFNJhpvs!2nnRpSg!5!1*opoIqQ1tJL^(Az!lh( z0{pn+I!u1t)6Es=Dv&^^N|IOolEXrY?^@sSW|Kj{}!T+Frk)= z9=fK+q%@Y$i&kstk3ntBHvFR=8@tova1$qLrsY+l;COAJ#5e7ocr&M&;NpygD+diN zlU+DIX>bN&&60GmnoUe6!Z#_woosKBh&ozXz#WraI1-RDr^-)3Mq0D0#3LH9hlbdD z4r((C+XAF%_q$!@d=RAG%t9K>h8<11!6}br4NjG@V(&B0g@|(z9q@1im$BuT5Aux% z=NCK;^i`es#$ln|T<-+YoM|UKr0@z<=WMQ3p_}mS0l1BB8&aS-10q7G*<)LP^eh2T zWixkMa)9ny0#W8v87s)h+C1H&PRn*t`I8rYS?wg{|i2?V1LoTy?W*Tw;;YYBu2jkKza z6$CHVM3~xq^}h&rKj`I;4-0g$z%Cp!XUR8gW{WfPIh`xu3QSXAZPPkQ`dh2k`+G30 zTA%Vi^~xhj;M<`dO~!7E4jP-rQ$zq!JNN68m-MlcBr<$@u85o~hiw7UG|4als8$l=Xwuvauf`t=eB;>@f(>n7gSHKl;1r}65%G$(g{T;GV z)$o|~5+GGJbGIc2=&t<)M440Nry#^og_;OctqV^F5cMno;AtukcI>w3ps{NKM3FP$ zQG?Ch?j!KKxdN`hlLB|EQR4oBnOyxt_yx6ET~~QYA1g^BMOJ;W$U!-53y_{A0JTbD z9X-0ipE#B|RmKW3M9QIwxWQ_D%DeTb)zyB6{5`(fA)Jsn6MC}o{I?EcW}a@7RnPh>WKNTg1V)0D zgNCNbE*uTcKy2})WF&pWt9F&hduWs#7CI8uKV^H1%xN~Wkg)fJDhCZsyBBYr^Fh3N zUyhNzNjk5SugA<5XXbmRTaNj;j}KNw)Pz#AR+4-9kn+Z~9FCxJF56oKT-BLo92KJH z<~v~wT$<3A8NYx?2m{`jA&T3E6iAF!P3Bx#OAZSqzH6D&tRWH_30Dppx>n~5#1`lK zNZLl2P)jxvH9ysul*STfm!Brr=&WvDPZCRFtJ*baGf(iXiDzkiquA!P)kM+O=EitGuS}L(|2@wwT?vA zIqQ1R>k0Oh=n0W?hcIZjbtw=RNN2Jh0v@2MYw2i3FBYNXSRo# z`R1pK^HUBF#S73nr7PeH9H&4$4mJsOdbO5rq~IlbGbK3EDrOvomOZk4tXEcvi^Pug zG;wj1)j0$4m1-UFO|90JY+z{}cy(4|Qc{X@`n&azMV+&*M=Q^HeG6=F@pj$}oy`>( z6<|tEG-mDGCMy+l*KK7AP(fz4bH6@`d{iaLtA5F0p~N?h3^S)GHsXwgD+ditE0*F! zNO>qD`#>s?z)6v$=(zfKYteuDcW-(G;w@p^z7y=kuoHUn5Ldtza0QlDAkjg&#FZRZ z|2N?>C|SgVd`zg;7D{~A#%F{CQfVyLJj5jV^X%ZFYjw`n6VbWPbltQZ;0j!w0{n*M zb(s9P=f&*mAHpxD)cQI~q@*fIUiC{33njj5nbQ;-35|p+2Mt}Ta|UAhQW^*jEO4kI zjKe~S@0uEu(pW+-TCJr&2DLFewo{6}D%h+W>^9!B^`l?R+myTB!+RgP&5Y3r(!b8eyVXSjD*Q(G>`1SzYMz;+q(3}AgA=K=# zEkJsf0I0H=yDd3DcP)V^bE=FLWMpk3>?&hhMxxed!1;1r9A31F4jQ`_KomI>9yQoQ zjd4yi2E7go>qz5vvv^$1(2^IRN%vwslXOzr=YYe0nVUwz!nh7&RX)M?VR=b61xzR- zw8~*yfb=W@s8$l==+O=S#L;_`Z3D-7jPFVYtcbt)7XV0fGBb%JZiA{*5a9N40;_F z){%y1ai{=awV5r>%;$9TD6qtRIqU0lR`9W!EYQgrLds$;%YI(cO#zcp4eZMC9mc9! zXy{o2z~}=fs@TZ2aRBPtPe7Q^NUO?ELH52j!v0S|SO1G}5#6W-J=YDN z!mwZcyLH-2CIjD+g)I%%$Lb2W0=KTf^3_@tOsJ^JX70A+0Nu3&qRiQ@) z5+Jp#p&jt`d0P#~Z&`skND1b1bHe&^m5C*(43mY5n z=7*b5KuzXcSxXKJCBAE!)2ty98VOep8oE~J48*F*JhG3ZZG;K6WFt}YbL=&NQX0#( zU4B4ytv~9-n=3G{0&!ltfo;R<@B(ViN4da#iRWZ@R`9VMFVV^ALZ+c&$Z_FnO$W_N5<#dc zL_^o=oPpR%wH|!C7NQV(^}h%gK`vnnY;W;!iM-G1Bc2sq4nBQam-)Qa6>tS6DG(>2 zj{~1It)<{4x`8BcqDq`x8%LpqxSrK3t3*bk&#cx^Ot1h}4R-9m=&(>=P2<&hyX>}6ji-9#S8I+qV;0m|`uE3QlkjQ({ zYE1`oS8Kyb!?7k01_7)o%GGLZp-1(lo96cn(W}BYl^drc7QA33e*(f#~s&U z^5dRvu0U6T1R@s%0WZRWEYN4G-nHKeG}7(Fic8tC)-;j zqK;M;aK~g9jszrgcJMFVW><+vRyg+15PQ!-ZDwIxfHduXx67Olg4CN?NQ2q1qe(Y7 z<*`h0_9HHhhzoScJzmC^V?M|?9-LqBG|<3MS0Kg7DBN5D zS71950n)PsKo!N@ZOH+;YY9Z= z?7}rbjVY5ntrWI4+ZIh%z6vytl{IoPcFVbO(Ac#AVhrt~9pDPM0=KLH>*zG|z09WR zwJLNjn`0$Or1$jj5;<26+XAF%!j%B1qL{laIY2ipiZ_ACoLo#WHKt55W3`UL6-a2T ztdWbcTh5Jx#-_0gM*uN~a_;5|d=LegH8WeBna}CwQDBMta@N=9tl(ocS)h~igp_4J zm(8(~Bu4X;>A@Cu6aRT!da=$*)ywTfh7FUAO`$IE}_d z2aQeRDI$OvIXPdIyr>vXr4u^R zk0xWcMF)*t3n0eFoi5q|u7E30Q{b-VxOn^h#e4J)uTp_rHpfbm7zPDQEOJl|+XAF# z34kh!x!aNhbk`Dy%-Mx&fErUKx#eoT&3Egk@3Fr5es!}7(9#zAA#cm)a|#!$|WGkKrh!H!k1=&(>=O=EkDku!l*8q4+cck4*PiW6QpSKvb^5Etl_IpVfH1s1q3 z@tjef6?|;ROLTIUkWw)c$wLx2Q3Xt{jib;)T+dWxRdq@tBhk~Vkm7`5f(5W@uw(y4 z$1VIKTn4tdEwH`C!{SMw+d({+pb&r7v@Y;@lPll~Oj00DLLUb{Yg$XeOLSw3a->zv zI0`L$Wc#Qp>-AM@TiDyf6)%Gvp8yhQC8b;|6#|H^t^eJ6f$c5cc4cvZD{v_Vn6+~o z)7ou)3M_D6&i(o%R8o~BSJsllLWyr08D>sXz{D8|R}LDQW?qY%=od~IZJ^1Jj9MDU zExcPZC^uKY6>tSyfh$!Yk@uq2nhxf!)`pXYV@)0m0$5X&tJT^TlV)$yN<&~%4cx|D?H|?ExGpCv0;*5kV2MsNg zT{u3`oGrBVO-v`kFe$;EY;Tc>I$Bx49g|%+5|GTAm zCOd2kkfz-qa6Sk!@pPBFm2S`jiy zb(J|`-I4wReNI>~f%hx`7&&`j_qzf|De!m{>Jp&zGzTxym-pccT$uv7Y?=Zl1Bnbq zIcy7%o+SXPDCTZU4$xgoATnnct^sOHndE7uu(jE?Xu9%Mpn0sUk&Cfg&W(e{t_2Wd zXcz4OSHKl;1r8PPz03~PxU%zHHpfbm7yt!KEOJl|+XAF%!j%B1qL{laIY2ipiZ_AC zoLo#WHKt55W3`UL6-a2TtdWbcTh5Jx#-_0gM*uN~a_;5|d=LegH8WeBna}CwQDBMt zvcT78p22381u{Ha$XqtZN|H$TDbs;nIcy8?o+SWq22RFe6S)vGj(v0InDFP&4)~>8 zjVY6mn3#E!zJ*_eyC2%Z$A<;@7ubbk<}CUC%xrOHKBsd9T!Co{tZ`a6F`0fT=gLF|FO!r@#{T<=n52 zsU!*Ao}Mcr=juJwwg73GWS9WJ88{*3+^w7&2cV`QWCD>xxtL&TOqt|KOT+H())S<4 zs4L(KxB{-g0t)1^X$qKh7U@tqYzvT{B><`@=59+4&|OO)GG`aA0cuQ{q*kp@dAFAS z0)47Sld;>PgT}4}5F=+7?EqK66{sn2S94sv{r=)TdWTo3KrWkOB}oi}0wxwYD2HtU z(z66W6~)|b$pN}+2}I`X!ZkpRDU*1$)++gxD{x&3UyqWi2@@l=!Y?&T%p)LY|iP$hmUR&^5)`MQcFda$FH27i0gN8%Lo9T+c?%1W;)# z*LJ}K)iuT0MLWP1I7R{g!OUY+a*QkRVHHSpuPRBdf+dHA65qAVsfvwPYlO?F?H&gcT>eZmz(GP#`YQDRabaeF`jaU*h^k`68nwGCWtv zM2@PG0#O=^bNajW zkcHxGCGbIf3v6%k_Ja(>J9Pz03NUNuHkKQ=DGG!s;FGZn+?TVyKJt2+7>ueU`JJ`o zuu$TgMuwSF6&t!0iyV}LhNjti+JtMTbQ@?fB%_wbaSQL(49aa23NYKYXHToi_6F`F z-i!i?kuF-T>0s_^Z8&L|U;(Tt%GGLZp-1(lo96cn(W}BYl^dr-Z~&`T>u|{ zLjiun@;Xd@-19{9hwu}HyUMUc*12G)0#I$Bx49g|%+5|GT6WKUxiw+9~*0ekEj+_Z(o{V%WcEUpnlSFY2M1F7uTmclA z`0x;knLM8oQED>hZc7f(T}vP`XBVykY6O|2y2_le?nr-uJ|`@gzq$q`Ehq^CJJoj*(u@rWx`fE9atCQ~DqO;f-`j))}6VOxOoECEnOF?U;XfbLoX zkvY3?4NzmsBu^`at z6`d!^HJ8n?lF&F*LHUTBD~D|X(ljZC34kh!x!aNhbkm}E6Nt>o#gP^Sr^b{?W~|mx zxacTX*2u-!E$3s&ja=a98oO`=P-7_PZmz&f1^9j}Zp@mQEzZoh0Xp6z7Pq)S4-0&K zX3lbf49^xam(8(~BnJJI>A@Cu6aRT!n%*V<`F7qSX@LJ-T19g%#)Ksm-eZvwU-wHaFs}4>&LBrhthu5p|TqwgBl_ z0-(xf?zZFr-L(Xw%&9U~5MqM-X(H^ifNg=OX8{0DQ+cpsw?zkyT?-(JoC%K_Z0;71 z+eQ@F;A7c|clPeYxcS5G=cl|~#&Eks>y9ih>0>2Hgs|$1MGnegTY&T|0jO3I1 z{>0IQMp{+I3W67FB5ZB8Eqj{wG2kv%)}n*Pt_2W9&V)w|Hs4x2+g!xa!)-eXEZV-U%XrDsm<~ zW=V>)hW*;ishcZss|q9rR-OSRhlLW~wYjS`9o9Jm?&5sMfdvj#gmG9X@m-s^V0QTc z)H&;V97XJ)4J&Z(eZp7Uoe$DRBCIsnW-)r|bA=cSdlRJp-2L|kTRdDY`xASLC&snH zE_>TrnYhmt*rWn+fl;3|t)<{4a)KmqqUw}f8%LpqxSrK3t3*bkRf(aYYjw^*tQyM5 z{?u7vu+NA>s3q%W$E)+mnLsNk<$C(N^^irKv#tl-U&a>L-s0_LGWT9wfg==P*3NCL zRBr22V1fH`?$;-wlBy(m)h{_Ll=!BRVdgZ&Mx2pw<)EQy#ZsIIDGz02A4mlfI4P18 z9asNuE&4C;-9xK28+YB!6>tSyf!k0ZF^qDFD>*Eb_^!=et?97N8E{u?F%Jf9gbB4| z^w72O86kmG8p|~gF-iVBJGkgtowKfo|GRZpRtIcQ0e-{sI!u0>xtlA{RUm;-l_anF zC5MF)-?hwXij9Ou!j*%DuGKjMv8Lo6{w+isVL~k#J#OPAA{PMUFd&D zS(mD5UFlpln_=*3rsY*a5_#!(ZCd)-z7t61G!tB$5f0^`p=GiQ$0rTWK&)Ak4py^? z=|uP@CAgFAEfP^L1>6s|n*cV>a-3k5^@^Ab2lhae;2Qfy>x(%m?|#gYyfX2KuT_eB-dtZmxHNXwI|~ z9#VJ(s&h8is?bgN_5j>Qw+$)KoBKzfz{sIr;6Ejd7UErBR=s*Dw6WNjks zDq~wlqSj}?Y1T!!Fyq)aca8zS3AOntNQcwiTUX$5H3B5QxNb))Fs>#?2V6fe?3exP zAIPK;P%tOI>PKQ!t)ro534kh_UaeIeHI90}~TVk*#!qz_9vZtvZqg2#@yI5I^ z4jP-rE*t?wku%{@gUz=VkDDtns{(x0X0|vppVQ5wz!LZ6tgp{m!N+Q{KqqGiDT}!* z`*}$>1x!XYuq%gc5m?s}2u2?`QN>2CjRR2E5(pC-X;m33$lljR*#9Z$>VFX~qFllr z7U+b3j$gO&4V&5G%zRGg3b+E(6jqipaTg*cKp7lMEApY9%p_CLKN!mwZcyLH;+kKqQn(QdAQ zE8q&;hyu%3YY{3TmMWXM+mZux*Aj>_XS-SliEAQEwJtmz(0R`SfZ}^_(LrO^0*E4K z!c*1*kDDvt3Md8c)YXT)xc`uyd*9cf!2Yc~mrYZ^WDJpUD2HtU(z67hT1kwfM>qHr zM-v)pRT&>b(olC>2*Pi&S~IAPS=fI+Ha2P|^k!|+YIavx-+Ic)_7=MY=x|wi{^!J! z19a2g?e1Hg)z$2YH1Rnh9Rq#hX?)1`7L$~1P>n9xg`>e4fE8a#ay(wOt3=*IqvWvA zk*NMD+goH#vzdj2y(d&TXlUBKcL+%}{@VyvbFM_R>RO$%u1A;D0UKA~>VGhEv-gj+ zYGbzHoktV5T2+#~>X#fAN_^KcrztiP8VOep8oE~J48)p}d-x#QMwn1bMh{(6V^SJR zs8{Q*ehzR2T!E$nsp%~_A4`oNq%EEJq`^wMQA>@1=lhcJvL&cEe!qu7%nw2DiP*sSAuGKjMv6X5)_;xKsA@u5h5iWvU!WP)x z;^7i`pVdb^E4mzf`m`?dd8;en3QSTUPC_3CK5JS_!Ao=lN#I14IJq{CLJM&{t5;Tu zj6`3mS`VES20QjoE=mO)g%(;{#;fzlnE)y&<$C(N^^irKv#Bu|T)-CC-s0^A()KP~ zf#Vcl*3NA#H*V`wV1fH`?$;-wlBy(m)h{_Ll=!BRVdgZ&Mx2pw<)EQyrk>JCBBVT& zksSn(z-N)9=(zfKYtes!?;cvM*|_U&u7E4x3fzDKp;_W&fTs2pT8`0S?rKe+bk9XM_Y&Nh#O%`2tke>YQ~w_MLU99pDOVN&$Y{aUCW#CI)onqniNk#Oapp=)){K&&abhkpyvMwn1bMh{(6V^SJR=tZlw^v9q! zW*h!dkB!~wakz;SHPiAcQE3=SwENvIb3O=C zZ)PD4X2Xsq-QbkRvIeKhSh4q+=R(A}hz@wTfy>x(%m?|#gYyfX2KuT_eB-dtZmxHN zXwI|~9#VJ(s&h8is&2kF2;erlZAgLU42TG!W{+(F(z66WmCf93$pN}+2}GGwWvn10 zYZGBt8QU@vwLSw*vo6Ag8OOf4a}4-RsLfA7I-Ks_x&n`@5g_r!b#n#k3WU1rhCLL_ z$*=m67**?N=ve}w%BELqRZmg$C<~xWs8{Qw#B-1@wmAHvns$>ZDxxz^Eur-3M_G77Wn$iGuX_sK!#@sxy^TL>h#oVef7TxcNp^p z4i5|TvA`}IGiSN3X+87coX!>4rUGl5)=6$degQMDo8pY}N56;K79c%K02qDXM7}r>qB_i`d%* zdRbr>js>!G%55mX)SKCu)^6)lV2S&3?$>AeYAqQi?nSkd7)STcA!Gt&LL;qXQA}JY z2n|vGG!cgV>ff!?CVvb!$c=V$1zZ7F;6@Z!zFLb=39(e!%-xn8pu3hplsTKVco!tD zi7?f=@U~6oJqrMMn#zM6yDd6s>{@-Zt5M>9HJNxKt5(2N zl0>?!`eKp8SYi?vk%MZXp=Sv|t&&(rk8bcMj%7}jpMngLa%duMuv%~R-TLW!Jl^&# zd@`XoYm-*9yAxJ3+1_H8kXJ1$x!aNhbkpAL?pvJAwMvK+?3I#VL~n0NYwlsdrhE}#&T_!A5dMZbJq3fk~(1H3S9jUW^VTWu~u!&HoWs_;#R9l zl2`qb!$OJgTIMvxMnWUu%0WZd>YRaCQ*tSfqXkZ?2;;EOk*N8p#-ucsFt654?cC`K zxB^`TQWx!X5UL8%(6u^eAhuGi2j8xRD1=`9FTzETOBnxBKC?Z{%r`$> zoS$-dC_aI9iBwKVi+ zrK&Z3l?FTZk5{RHqtHTY%XoDjITJu7rCd*cw;r;nb2c?5gA3RK+grT7K-%7gD{!0w z%-Xq)<;HD&3M_D6PXGE0WoVaBNmY`(>X#fAN_^AEFmsw>BhE;;a?sE;Q%~t65mFw? z$iDYauGUxoZjJuiGw!6V^t^x^!sw8>U zFF7of_^xG6Q*0zO60RIHbgj-Ah&3hm@NXg72oq|_=%H(BOiE)3y=b-Wrh1bA^xvGN z&5ihAz{B9xOv|f;pk6v&o0bEr%IQ04&zxq0i!&0a95l2{cH#J>!5N4(OVYt=HZh$D z-=qY0vb{wj>ZO4D!Im7L+a|kkBoJjzm7ju)to4m21o0l!xZT7f;@K7;O}pRihR_N7 zay0pH%41oBQ)R5W?Gn!hh_f+06LABVvE`T#@{I@Q7d#F0Rh{_8VWHhz?*!4DX(v3S z@CsDtY_3(Io3I01fh$*_IRhetQ?tjm0O?r*pvq?Mw&VcawFIKfsWMiOk+q4itBh?K ziCUilr&$-_!i;0z+&KpPCe-G42)QT5%@wFB@L2U++L8F;x*e^+xSAXtaQ(orU-qwm zAd^Nw!JPc6ABj=5j)tBk0IF<$sA_#rCND;y5)-GbeYRy_=^O(7!?Kx$D>`WGS^!bx zOnB5#4mHN<<_fq1x23>-5uY-qtKZ8kP8scuVe^u%N-(RwSmdA_wgpJjgew84RubcA z(&3EqC&`}*r^;Bd6N5Dowl>?AJx%=>rJ@Gh#mZWA(AYF~;Rqm#oC%K_Y`(R4++2ZK z72vBjv&EVDoNgWkmbfoxeSOXfK30o&e&Gh3XQ&*@wNS74d~ zYn#?d(%)LO-rs{(J-5}m(9*r}@<9`$IFZ!MmS*xLnq zSzs5A1+sL?>r)_}kTO$mW|L{1W~Owy85Cf-o!id+`s5{jtR#sHpPnls=gMJQfHX}q zOaQ8t#5kICI1w^&VnQRWDq{t~8+!=De)aFx>69PCUEO?JjxYt z1zdq;6_{A9ze6^v8Xl8g0;I}j?zZFr-L;>9D08a(6oi-{f0_tWtqV^F5cMnokY^S9 z_ZLM6ja>^Miku0L8tkFQINe+USKwKJyXVsne0G1q{;&Qa{DNAorz;AUSYFai0TX8g zp&YgaNY4_0Y9%p_9^K$i98GAXRb_k#$$zU_MG$_I)p|XX6p1flVgLQONMGyegb6*_ zc>Y_5F*8rMNvql2F4B`)3wmA4_7=MY=x|wiUPMa{&`o={yKix-{8YDl*2ITu&nlE0 z7D{~EWP6LuY0{Cp&jt`d0PU#0g+xwI<;@XMoU@+`|>A zjWD5>j2@cC_7-Nafsm)~==5qGiL7(h^`O@i>?zR`BIgca&~EEeATE&3$?LFxK^C|h z&y*+MmuHHXXYpmjlm;d zGY~)K7r7YOpK{kDc!4N{Ui~k^MT1M&0^3_WTq5tY`iN&mmxE8A)@8n%ZoR+h(fQy$ zi~@0iZcm=py!=VQOXOrqaHLhtI0`L$WcygJtP&TA9qVc0;wYmiFeXI+n0p7Z+h|A;*w?c4dNoXHh9RDdZt(U`S!o2*pKUAL7f zKn0oEPW<|WF^$BDsw8>UFF7of_@>0{X_W0lv-a$ ziIh|&$*X?JVWGr#EpwV;BcYLS<)EQ!bpjRQV~$NNaYL zctj)i&=7miL2YJXTYxm}ez(h<4}#R2SxAG~u%k&gIOVad!KpGk*M_} zM-BE+W1JI>L9fHYI?}k^EFM=gwB!Y7(!E&EB%PG@IpDBg=B81wFs{Q`l~1sJSYFai z0Tapyt#a5FAU#U}s+GhzdUS(7aWtWkR+X`W;KiB2|aN)7Sp1-^(l>Eza!$^O9}~n2dE0MmcOtR}!fp2~f3? z7)O^f3L=3rp^;XVv4Y^mnh0B)ZOfjfevGpI1>D8TT6ECZG=2~(fGBb%JZiA{*5a9N z40;_F){%y1ai{=awV5r>%;$9TD6qtRIqU0lR`9W!EYQgrLds$;%YI(cO#zcp4eZMC z9mc9!Xy{o2z~}=fs@TZ2aRBPtPe7Q^NUO?ELH52j!v0S|SO1G}5#nFmOzv_RelPxk9aYH z7YJLe3oYIB@Ljk7V z%(kAt!ff!?UNRZ@mMm;(us&8-z!kVr1%7@U*Yed`dP?-B%4Y7i@CSpZOc4=y@r>{QaOI$(Yjw^*teVUt`$*bG zm{3bL5;Z@^UK1##v0U5b2UOSUoOM0Aqz>4)0$2ZonVY?TtW_JcP3}DUC&Nun991RB ztA5F0p~QDBbDCl!p^eafdp95S0 zSD>jtYI;k~$5P`5X-g+QX|R%R)Dq)Xl_XcelEXrYZ(0;@<}?LNoB$?PYZ9(=1_-OQ z7-!&6MHq*L65lkow=jbZgupH~y;?^i>zs8xhW`BE3e2fMoRjQ{Ys2gC0&31jxxjsi z=VW(Q@Ua~)(aGsTrlDfUap7uB2hBmiFeXH#P`xPUFNy~W!L zr0rd}0>>%9tex9fZrs+VzykN>+^t8>=%*mu^Yc7Q9eDFx(5&{ksdX#fAN_^KcrztiP8VOep8oE~J48)p}d-%5yZG;K6Wc1KAH72F8gkH2- zOMeV%W46g3^++4L$!fU6;MGjatAyZQI$oO=MOM6cC#{*&OmJ~V!jyxCmdP$0pENiF zv1Um+Sj{G;6XBbb;7+!;NJPC9a6j0R19aPD7mfs?%&GEIkdd{%@q{4WgBrJ+ctkwg z0;Fm8yWJ2vVPB3WA5M8JYjCQJRkvN@*#L1ire`8<;4-!x^FhAx;QWH8fxfB}-#9F^ zo9mq*nltT$hZJ6c>YUBBDs&URJpi}SZ9@t)XFx;uBg%0-(yKS8G*IQS>MapiHP&>!ZYTkSlOw3hWp0 zDTBKDz0Bg2(cTy~mrYfIsjOm=gL2pwAWajl1YpK$9fdB*p9-hSSanMb)-IV<>B zO%~|n3?XGPmt{XM>860ms0McBuq^`XS^~l711GB3$hC0*>RJL}LL;pzV+Gm!+6enU z1zr6w!bOxz*uw&y@Xzt26H{ULdw-zi@xKF|TD>-#rc$bC+c38>-fy zKI`AA=eAlGTDo5vc_ayd)T7DRZP7tv)0m(EM3FP$VT6H`40)4(3-pYJuac}DPNyAkGp?m z9QN|nS~5)ZW-G~44#x4+UjIPIgxiEhTF0W8xX6&dPqlu#{|kdM;z>)xe)aFxBE%Bk zJ>*@ng%#)Ksks8KfGaRjf#s{UB$P-hmraUd?zZFr-L(Xw%-O8PyC88*gsIl2yjx2r zboBg^%f;Aj(LrO^0thAG$eHjI^cdc)iP(_EkNn+wJGYxJ{V8^LO3)%P_tRN7`1CCz zUGm*}`aM}D;?4hl$#-iRLt-3-NuavV~@L69}UmJ+Zi$={dkxV!9f2G;?o11S zw#oJuy9C6}w5LGhcxtbIyxZNkI1e&@(e0ix@nL$6w2B!=p=FP39A$fpNy;{;Mwjfu z(clcgipyM%$E$Xg$a`p%92Pnf)jwr>i_B>@vyia&genIOO}iIwo%2Dwi7f{VMA|N8 zd|_s`I5Xcf-Ez#&eeCDZ;q`^in-iaUo=7B5aNB~rY4TkQ0ID<1IGS`g@8nP5#Dv=X z6oi;<8N)}E4cVLB9i!fwz52O)pA%!xbN1y7Fb)enO1rtMHJ#Qu18y}Gmaacdk@mp? zM}rteF%AnISbB$v3ucdQ@Ygx(dK^W3L!f_rLu0wjP_F(5GcP04s(N9*Z_lJ6u>@|; z(lFxTXo_+ie1$T8#npPO#SATQFhzMp7>9)t-?e&Wl~6iMP-OAB=vtlAt94gA2e<;a zq5zB3DfW0u&>}Hu`VfY9YZlBc*+t*2qbS>~BmfToje*OKal`7IfoM~5-~9bZ`(S~i zMGU@z!$O~s^$)hUs8?2TPOsLHgzKC|JwE!gFnvzcM|B;ZAb+L0y-~}(`ME#6+_2*U z--XlG;UzLzU~pGVdD?wx4tV`HZHWv|7cvcM4o64=mrVA&>dU!t6k3SuS>`mwMj|88 z%0WZd>YRaCHI$KEhWQp};7~yn9M}Ada0A1qR&*Y|1@6naU!TOtR3*u)e#v2>#5au$Gp8vw;*5kV2MtXt z7TQEec_<_MKq`>HNs*-JxaN0j288|#eD? z?rKekbgJ@%b-sU6@7Y)S!s z+;JTyKkn(~3Un1nAXFvEtA5F0p~QDBbDCl!p^bN&&60GmnoUe6!Z#_woosKBh``s>cJ_u58W+4q`!;U81;FQO*2B*qcvGW>^9!B^`l?QRR*;dkiLkZLwv0rr&wx{v&9U2}gT}4}5Jk>}M-BE+W1McTfGcoY3NXi~neSyb zO|Mm<^O8PRl0tW%+RPSb=5xAv6j{uD!)CTPGoRDB0d|EEw&}M?IS4TZ`u+_I80@7TASjfh?VJ8wxP>W;Uj^+xiq(;=Y{w z^~p>6SVg{BEv*EAXVi-D;G$Uri>S$f^~{Wz!TeNjs9da@ZCiJxc&;mBc!F zbb~)}EOV-i6=aB%Llbd>)q1P%*4+G!854T5Hfc4xE39ul_+*mE3K~0lI1L zcK0pL=2|7h3GxZ)7%1^=lkF|?5KTG~8VOep8k#1%a5OjrvBj5?k@OL-+EpU&p;2;J z=txxmlAX(99y42TVU8{4}_2`m1 zVB-q-4`yEL7l5^DW47U)M-#VNRg%2wmmC&KeAhCkDK-)s30Dppx>n~5#F~Nx04#AT%ZSvelaHEzDqZV&a@$ts_IKbJq2cFgI6VUIpU3bOYOl z*Wm@!oR4yW`x4K|?yTTrJ6@ub(}he!#gOB|)tU~Pl_Y{tRfvYJ)j0#Pm1;fsb}d99 z^y+^RE`nUb_?PmT?O|rV`RU^Pl*2>u0<=!)3b+EtDG-l?O+uYst@qs|J|GF4s1hgF z#!+Y?u4nbiDv^=sOI2(7Dh+n*AFomYN1=t*mhtL5awdREO1Yl?ZarjC=WJ?B1{bge zwzqhDfwa8~SKv4Wn6+~o%Z=Om6j3|1r9}$qQl>7Q1DvjmZK3{<9TAj15N0-zAuD}Kr;5RI;Xx;Eu^I90^F7Q{|^1BW-=-2|>IEHEuWYhNpXW9u5DZB#JIa_Ph!IIX^ z{B{6n<+dFKS~Fl5(Lv1~+XAF#34kh_x!aNhbk`DyGN;N|K}Oal!mcv5Wh82S2ApPH z9G4a2Xi;Tf;LL>D{1hZd`-v-1QsA-F_(9qdUtBj=z!fMdkjthiV1kZBD2HtU(z66W zmCf93$pN}+2}GGwWvn10YZGB>pKTe5TAu-@Dw|`sMF)*t3m}S|36C1=p~g7fTme^L z>k9Z@W>@?T$V>WINfLu$)fbB#l*6_FX_{~)0M$xj98Ef$QT`LhGoRDVqrejPWr45H zJcG?F3uJh}C{Fg3vOu7E3W!wM|$ zYk7C6TUUU8Pg{rmwMl7&kJj_j zG%0Zhy8^C2O@ZKlO(_3F^zo;!ek;8R_5<1eYW(!gM}Kngad7(NsHuC<{@g=u%>7A= z?%~Z(QbsjT%2_`R<&7GV{2=?sJBIm07Fje z@4lV?eeA#d*8czFsg3i^?tl9q|BXZE&&$QXD1ZJ<`SU-^pGW>xbkz;~e}Q*oDt~so zbY4&D#Y~4WFqV>i93TG4&mK6^$2)nxOtR1MNB7+Qzq6Am{8!}UtfrlCCAfBQeG1p^ z{{Q>w&uIE0st_nmpMLzeA716W2rQ;bhb5Dr&E6$q?(zO2hx5kx&wl!^6_Th7=tZg; zRTEmHo+LaJX9#?m1Y@k(N8y`)`*1(&$jlUFe(|S2eTAUIT|yS_+bSElarg3PxRu<~ zb2I%ve)?aZ&5;$8?{`zeu=~@0{U7Y&fA>ot?Y{LxnI3zQ<)dtA_vv5y-NXC&r@JI~ z>`rPT)1Ec;<6&`?Rra}Q_`g?PvG5M4SLv6&H7voo>CLbh6ZmHLPyhShmQXRp_3`e9 z|Cess(exbnmnHBu^lvgfMdCS$oYy{y9{qFRehp?Z-u)a0R^z4x@xJ0D3Mhiik>_J3I3md_x}epjXbab diff --git a/CH6/CH6-1/output_files/BCD_to_decimal_decoder.sta.rpt b/CH6/CH6-1/output_files/BCD_to_decimal_decoder.sta.rpt deleted file mode 100644 index 0f7e2775..00000000 --- a/CH6/CH6-1/output_files/BCD_to_decimal_decoder.sta.rpt +++ /dev/null @@ -1,790 +0,0 @@ -TimeQuest Timing Analyzer report for BCD_to_decimal_decoder -Thu Oct 17 23:25:03 2019 -Quartus II 32-bit Version 13.1.0 Build 162 10/23/2013 SJ Web Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. TimeQuest Timing Analyzer Summary - 3. Parallel Compilation - 4. Clocks - 5. Slow 1200mV 85C Model Fmax Summary - 6. Timing Closure Recommendations - 7. Slow 1200mV 85C Model Setup Summary - 8. Slow 1200mV 85C Model Hold Summary - 9. Slow 1200mV 85C Model Recovery Summary - 10. Slow 1200mV 85C Model Removal Summary - 11. Slow 1200mV 85C Model Minimum Pulse Width Summary - 12. Propagation Delay - 13. Minimum Propagation Delay - 14. Slow 1200mV 85C Model Metastability Report - 15. Slow 1200mV 0C Model Fmax Summary - 16. Slow 1200mV 0C Model Setup Summary - 17. Slow 1200mV 0C Model Hold Summary - 18. Slow 1200mV 0C Model Recovery Summary - 19. Slow 1200mV 0C Model Removal Summary - 20. Slow 1200mV 0C Model Minimum Pulse Width Summary - 21. Propagation Delay - 22. Minimum Propagation Delay - 23. Slow 1200mV 0C Model Metastability Report - 24. Fast 1200mV 0C Model Setup Summary - 25. Fast 1200mV 0C Model Hold Summary - 26. Fast 1200mV 0C Model Recovery Summary - 27. Fast 1200mV 0C Model Removal Summary - 28. Fast 1200mV 0C Model Minimum Pulse Width Summary - 29. Propagation Delay - 30. Minimum Propagation Delay - 31. Fast 1200mV 0C Model Metastability Report - 32. Multicorner Timing Analysis Summary - 33. Propagation Delay - 34. Minimum Propagation Delay - 35. Board Trace Model Assignments - 36. Input Transition Times - 37. Slow Corner Signal Integrity Metrics - 38. Fast Corner Signal Integrity Metrics - 39. Clock Transfers - 40. Report TCCS - 41. Report RSKM - 42. Unconstrained Paths - 43. TimeQuest Timing Analyzer Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 1991-2013 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. - - - -+-------------------------------------------------------------------------+ -; TimeQuest Timing Analyzer Summary ; -+--------------------+----------------------------------------------------+ -; Quartus II Version ; Version 13.1.0 Build 162 10/23/2013 SJ Web Edition ; -; Revision Name ; BCD_to_decimal_decoder ; -; Device Family ; Cyclone III ; -; Device Name ; EP3C16F484C6 ; -; Timing Models ; Final ; -; Delay Model ; Combined ; -; Rise/Fall Delays ; Enabled ; -+--------------------+----------------------------------------------------+ - - -Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. -+-------------------------------------+ -; Parallel Compilation ; -+----------------------------+--------+ -; Processors ; Number ; -+----------------------------+--------+ -; Number detected on machine ; 4 ; -; Maximum allowed ; 1 ; -+----------------------------+--------+ - - ----------- -; Clocks ; ----------- -No clocks to report. - - --------------------------------------- -; Slow 1200mV 85C Model Fmax Summary ; --------------------------------------- -No paths to report. - - ----------------------------------- -; Timing Closure Recommendations ; ----------------------------------- -HTML report is unavailable in plain text report export. - - ---------------------------------------- -; Slow 1200mV 85C Model Setup Summary ; ---------------------------------------- -No paths to report. - - --------------------------------------- -; Slow 1200mV 85C Model Hold Summary ; --------------------------------------- -No paths to report. - - ------------------------------------------- -; Slow 1200mV 85C Model Recovery Summary ; ------------------------------------------- -No paths to report. - - ------------------------------------------ -; Slow 1200mV 85C Model Removal Summary ; ------------------------------------------ -No paths to report. - - ------------------------------------------------------ -; Slow 1200mV 85C Model Minimum Pulse Width Summary ; ------------------------------------------------------ -No paths to report. - - -+----------------------------------------------------------+ -; Propagation Delay ; -+------------+-------------+-------+-------+-------+-------+ -; Input Port ; Output Port ; RR ; RF ; FR ; FF ; -+------------+-------------+-------+-------+-------+-------+ -; A ; Y0 ; ; 6.660 ; 7.071 ; ; -; A ; Y1 ; 6.976 ; ; ; 7.419 ; -; A ; Y2 ; ; 6.987 ; 7.368 ; ; -; A ; Y3 ; 6.441 ; ; ; 6.840 ; -; A ; Y4 ; ; 6.443 ; 6.898 ; ; -; A ; Y5 ; 6.619 ; ; ; 7.038 ; -; A ; Y6 ; ; 6.960 ; 7.363 ; ; -; A ; Y7 ; 6.309 ; ; ; 6.706 ; -; A ; Y8 ; ; 6.412 ; 6.865 ; ; -; A ; Y9 ; 7.888 ; ; ; 8.430 ; -; B ; Y0 ; ; 6.481 ; 6.905 ; ; -; B ; Y1 ; ; 6.797 ; 7.220 ; ; -; B ; Y2 ; 6.774 ; ; ; 7.223 ; -; B ; Y3 ; 6.261 ; ; ; 6.641 ; -; B ; Y4 ; ; 6.270 ; 6.733 ; ; -; B ; Y5 ; ; 6.421 ; 6.866 ; ; -; B ; Y6 ; 6.771 ; ; ; 7.197 ; -; B ; Y7 ; 6.129 ; ; ; 6.506 ; -; B ; Y8 ; ; 6.236 ; 6.700 ; ; -; B ; Y9 ; ; 7.810 ; 8.128 ; ; -; C ; Y0 ; ; 6.518 ; 6.939 ; ; -; C ; Y1 ; ; 6.801 ; 7.244 ; ; -; C ; Y2 ; ; 6.779 ; 7.189 ; ; -; C ; Y3 ; ; 6.200 ; 6.677 ; ; -; C ; Y4 ; 6.323 ; ; ; 6.744 ; -; C ; Y5 ; 6.444 ; ; ; 6.865 ; -; C ; Y6 ; 6.735 ; ; ; 7.180 ; -; C ; Y7 ; 6.090 ; ; ; 6.490 ; -; C ; Y8 ; ; 6.287 ; 6.761 ; ; -; C ; Y9 ; ; 7.849 ; 8.169 ; ; -; D ; Y0 ; ; 7.073 ; 7.495 ; ; -; D ; Y1 ; ; 7.371 ; 7.792 ; ; -; D ; Y2 ; ; 7.340 ; 7.737 ; ; -; D ; Y3 ; ; 6.741 ; 7.211 ; ; -; D ; Y4 ; ; 6.868 ; 7.347 ; ; -; D ; Y5 ; ; 7.005 ; 7.451 ; ; -; D ; Y6 ; ; 7.327 ; 7.774 ; ; -; D ; Y7 ; ; 6.639 ; 7.121 ; ; -; D ; Y8 ; 6.830 ; ; ; 7.252 ; -; D ; Y9 ; 8.260 ; ; ; 8.802 ; -+------------+-------------+-------+-------+-------+-------+ - - -+----------------------------------------------------------+ -; Minimum Propagation Delay ; -+------------+-------------+-------+-------+-------+-------+ -; Input Port ; Output Port ; RR ; RF ; FR ; FF ; -+------------+-------------+-------+-------+-------+-------+ -; A ; Y0 ; ; 6.509 ; 6.908 ; ; -; A ; Y1 ; 6.812 ; ; ; 7.242 ; -; A ; Y2 ; ; 6.822 ; 7.193 ; ; -; A ; Y3 ; 6.291 ; ; ; 6.677 ; -; A ; Y4 ; ; 6.292 ; 6.736 ; ; -; A ; Y5 ; 6.465 ; ; ; 6.871 ; -; A ; Y6 ; ; 6.796 ; 7.188 ; ; -; A ; Y7 ; 6.169 ; ; ; 6.552 ; -; A ; Y8 ; ; 6.261 ; 6.703 ; ; -; A ; Y9 ; 7.735 ; ; ; 8.264 ; -; B ; Y0 ; ; 6.330 ; 6.744 ; ; -; B ; Y1 ; ; 6.633 ; 7.047 ; ; -; B ; Y2 ; 6.611 ; ; ; 7.049 ; -; B ; Y3 ; 6.112 ; ; ; 6.481 ; -; B ; Y4 ; ; 6.120 ; 6.574 ; ; -; B ; Y5 ; ; 6.268 ; 6.703 ; ; -; B ; Y6 ; 6.609 ; ; ; 7.024 ; -; B ; Y7 ; 5.989 ; ; ; 6.355 ; -; B ; Y8 ; ; 6.085 ; 6.541 ; ; -; B ; Y9 ; ; 7.657 ; 7.967 ; ; -; C ; Y0 ; ; 6.371 ; 6.780 ; ; -; C ; Y1 ; ; 6.641 ; 7.072 ; ; -; C ; Y2 ; ; 6.619 ; 7.020 ; ; -; C ; Y3 ; ; 6.057 ; 6.523 ; ; -; C ; Y4 ; 6.177 ; ; ; 6.585 ; -; C ; Y5 ; 6.295 ; ; ; 6.704 ; -; C ; Y6 ; 6.579 ; ; ; 7.010 ; -; C ; Y7 ; 5.956 ; ; ; 6.343 ; -; C ; Y8 ; ; 6.139 ; 6.601 ; ; -; C ; Y9 ; ; 7.701 ; 8.008 ; ; -; D ; Y0 ; ; 6.903 ; 7.312 ; ; -; D ; Y1 ; ; 7.188 ; 7.598 ; ; -; D ; Y2 ; ; 7.158 ; 7.546 ; ; -; D ; Y3 ; ; 6.574 ; 7.034 ; ; -; D ; Y4 ; ; 6.698 ; 7.165 ; ; -; D ; Y5 ; ; 6.832 ; 7.268 ; ; -; D ; Y6 ; ; 7.146 ; 7.581 ; ; -; D ; Y7 ; ; 6.481 ; 6.951 ; ; -; D ; Y8 ; 6.663 ; ; ; 7.070 ; -; D ; Y9 ; 8.090 ; ; ; 8.619 ; -+------------+-------------+-------+-------+-------+-------+ - - ----------------------------------------------- -; Slow 1200mV 85C Model Metastability Report ; ----------------------------------------------- -No synchronizer chains to report. - - -------------------------------------- -; Slow 1200mV 0C Model Fmax Summary ; -------------------------------------- -No paths to report. - - --------------------------------------- -; Slow 1200mV 0C Model Setup Summary ; --------------------------------------- -No paths to report. - - -------------------------------------- -; Slow 1200mV 0C Model Hold Summary ; -------------------------------------- -No paths to report. - - ------------------------------------------ -; Slow 1200mV 0C Model Recovery Summary ; ------------------------------------------ -No paths to report. - - ----------------------------------------- -; Slow 1200mV 0C Model Removal Summary ; ----------------------------------------- -No paths to report. - - ----------------------------------------------------- -; Slow 1200mV 0C Model Minimum Pulse Width Summary ; ----------------------------------------------------- -No paths to report. - - -+----------------------------------------------------------+ -; Propagation Delay ; -+------------+-------------+-------+-------+-------+-------+ -; Input Port ; Output Port ; RR ; RF ; FR ; FF ; -+------------+-------------+-------+-------+-------+-------+ -; A ; Y0 ; ; 6.160 ; 6.546 ; ; -; A ; Y1 ; 6.479 ; ; ; 6.847 ; -; A ; Y2 ; ; 6.451 ; 6.817 ; ; -; A ; Y3 ; 5.971 ; ; ; 6.293 ; -; A ; Y4 ; ; 5.955 ; 6.378 ; ; -; A ; Y5 ; 6.140 ; ; ; 6.478 ; -; A ; Y6 ; ; 6.421 ; 6.808 ; ; -; A ; Y7 ; 5.860 ; ; ; 6.186 ; -; A ; Y8 ; ; 5.917 ; 6.343 ; ; -; A ; Y9 ; 7.410 ; ; ; 7.890 ; -; B ; Y0 ; ; 5.996 ; 6.385 ; ; -; B ; Y1 ; ; 6.293 ; 6.675 ; ; -; B ; Y2 ; 6.289 ; ; ; 6.641 ; -; B ; Y3 ; 5.807 ; ; ; 6.101 ; -; B ; Y4 ; ; 5.793 ; 6.219 ; ; -; B ; Y5 ; ; 5.930 ; 6.341 ; ; -; B ; Y6 ; 6.285 ; ; ; 6.611 ; -; B ; Y7 ; 5.693 ; ; ; 5.996 ; -; B ; Y8 ; ; 5.757 ; 6.183 ; ; -; B ; Y9 ; ; 7.341 ; 7.603 ; ; -; C ; Y0 ; ; 6.027 ; 6.421 ; ; -; C ; Y1 ; ; 6.301 ; 6.698 ; ; -; C ; Y2 ; ; 6.259 ; 6.644 ; ; -; C ; Y3 ; ; 5.726 ; 6.170 ; ; -; C ; Y4 ; 5.866 ; ; ; 6.205 ; -; C ; Y5 ; 5.981 ; ; ; 6.318 ; -; C ; Y6 ; 6.250 ; ; ; 6.603 ; -; C ; Y7 ; 5.657 ; ; ; 5.979 ; -; C ; Y8 ; ; 5.800 ; 6.246 ; ; -; C ; Y9 ; ; 7.374 ; 7.649 ; ; -; D ; Y0 ; ; 6.517 ; 6.913 ; ; -; D ; Y1 ; ; 6.804 ; 7.190 ; ; -; D ; Y2 ; ; 6.754 ; 7.135 ; ; -; D ; Y3 ; ; 6.202 ; 6.642 ; ; -; D ; Y4 ; ; 6.318 ; 6.767 ; ; -; D ; Y5 ; ; 6.445 ; 6.867 ; ; -; D ; Y6 ; ; 6.734 ; 7.165 ; ; -; D ; Y7 ; ; 6.123 ; 6.571 ; ; -; D ; Y8 ; 6.310 ; ; ; 6.651 ; -; D ; Y9 ; 7.731 ; ; ; 8.216 ; -+------------+-------------+-------+-------+-------+-------+ - - -+----------------------------------------------------------+ -; Minimum Propagation Delay ; -+------------+-------------+-------+-------+-------+-------+ -; Input Port ; Output Port ; RR ; RF ; FR ; FF ; -+------------+-------------+-------+-------+-------+-------+ -; A ; Y0 ; ; 6.031 ; 6.407 ; ; -; A ; Y1 ; 6.338 ; ; ; 6.695 ; -; A ; Y2 ; ; 6.309 ; 6.666 ; ; -; A ; Y3 ; 5.844 ; ; ; 6.155 ; -; A ; Y4 ; ; 5.825 ; 6.239 ; ; -; A ; Y5 ; 6.009 ; ; ; 6.336 ; -; A ; Y6 ; ; 6.281 ; 6.660 ; ; -; A ; Y7 ; 5.740 ; ; ; 6.057 ; -; A ; Y8 ; ; 5.790 ; 6.205 ; ; -; A ; Y9 ; 7.279 ; ; ; 7.749 ; -; B ; Y0 ; ; 5.868 ; 6.247 ; ; -; B ; Y1 ; ; 6.152 ; 6.526 ; ; -; B ; Y2 ; 6.148 ; ; ; 6.493 ; -; B ; Y3 ; 5.680 ; ; ; 5.967 ; -; B ; Y4 ; ; 5.664 ; 6.082 ; ; -; B ; Y5 ; ; 5.799 ; 6.201 ; ; -; B ; Y6 ; 6.146 ; ; ; 6.466 ; -; B ; Y7 ; 5.574 ; ; ; 5.871 ; -; B ; Y8 ; ; 5.630 ; 6.046 ; ; -; B ; Y9 ; ; 7.211 ; 7.465 ; ; -; C ; Y0 ; ; 5.903 ; 6.284 ; ; -; C ; Y1 ; ; 6.163 ; 6.552 ; ; -; C ; Y2 ; ; 6.123 ; 6.498 ; ; -; C ; Y3 ; ; 5.604 ; 6.038 ; ; -; C ; Y4 ; 5.742 ; ; ; 6.068 ; -; C ; Y5 ; 5.853 ; ; ; 6.181 ; -; C ; Y6 ; 6.116 ; ; ; 6.459 ; -; C ; Y7 ; 5.543 ; ; ; 5.856 ; -; C ; Y8 ; ; 5.676 ; 6.110 ; ; -; C ; Y9 ; ; 7.247 ; 7.511 ; ; -; D ; Y0 ; ; 6.373 ; 6.756 ; ; -; D ; Y1 ; ; 6.646 ; 7.024 ; ; -; D ; Y2 ; ; 6.598 ; 6.969 ; ; -; D ; Y3 ; ; 6.061 ; 6.491 ; ; -; D ; Y4 ; ; 6.172 ; 6.611 ; ; -; D ; Y5 ; ; 6.298 ; 6.709 ; ; -; D ; Y6 ; ; 6.580 ; 6.999 ; ; -; D ; Y7 ; ; 5.991 ; 6.426 ; ; -; D ; Y8 ; 6.166 ; ; ; 6.497 ; -; D ; Y9 ; 7.584 ; ; ; 8.060 ; -+------------+-------------+-------+-------+-------+-------+ - - ---------------------------------------------- -; Slow 1200mV 0C Model Metastability Report ; ---------------------------------------------- -No synchronizer chains to report. - - --------------------------------------- -; Fast 1200mV 0C Model Setup Summary ; --------------------------------------- -No paths to report. - - -------------------------------------- -; Fast 1200mV 0C Model Hold Summary ; -------------------------------------- -No paths to report. - - ------------------------------------------ -; Fast 1200mV 0C Model Recovery Summary ; ------------------------------------------ -No paths to report. - - ----------------------------------------- -; Fast 1200mV 0C Model Removal Summary ; ----------------------------------------- -No paths to report. - - ----------------------------------------------------- -; Fast 1200mV 0C Model Minimum Pulse Width Summary ; ----------------------------------------------------- -No paths to report. - - -+----------------------------------------------------------+ -; Propagation Delay ; -+------------+-------------+-------+-------+-------+-------+ -; Input Port ; Output Port ; RR ; RF ; FR ; FF ; -+------------+-------------+-------+-------+-------+-------+ -; A ; Y0 ; ; 4.030 ; 4.577 ; ; -; A ; Y1 ; 4.208 ; ; ; 4.826 ; -; A ; Y2 ; ; 4.227 ; 4.745 ; ; -; A ; Y3 ; 3.806 ; ; ; 4.411 ; -; A ; Y4 ; ; 3.865 ; 4.402 ; ; -; A ; Y5 ; 3.923 ; ; ; 4.561 ; -; A ; Y6 ; ; 4.220 ; 4.731 ; ; -; A ; Y7 ; 3.739 ; ; ; 4.352 ; -; A ; Y8 ; ; 3.843 ; 4.382 ; ; -; A ; Y9 ; 4.830 ; ; ; 5.583 ; -; B ; Y0 ; ; 3.907 ; 4.465 ; ; -; B ; Y1 ; ; 4.123 ; 4.660 ; ; -; B ; Y2 ; 4.060 ; ; ; 4.679 ; -; B ; Y3 ; 3.682 ; ; ; 4.283 ; -; B ; Y4 ; ; 3.745 ; 4.296 ; ; -; B ; Y5 ; ; 3.860 ; 4.381 ; ; -; B ; Y6 ; 4.044 ; ; ; 4.669 ; -; B ; Y7 ; 3.611 ; ; ; 4.225 ; -; B ; Y8 ; ; 3.722 ; 4.273 ; ; -; B ; Y9 ; ; 4.878 ; 5.283 ; ; -; C ; Y0 ; ; 3.914 ; 4.461 ; ; -; C ; Y1 ; ; 4.119 ; 4.647 ; ; -; C ; Y2 ; ; 4.081 ; 4.603 ; ; -; C ; Y3 ; ; 3.688 ; 4.231 ; ; -; C ; Y4 ; 3.721 ; ; ; 4.319 ; -; C ; Y5 ; 3.801 ; ; ; 4.417 ; -; C ; Y6 ; 4.015 ; ; ; 4.629 ; -; C ; Y7 ; 3.585 ; ; ; 4.180 ; -; C ; Y8 ; ; 3.745 ; 4.285 ; ; -; C ; Y9 ; ; 4.892 ; 5.285 ; ; -; D ; Y0 ; ; 4.240 ; 4.819 ; ; -; D ; Y1 ; ; 4.446 ; 5.009 ; ; -; D ; Y2 ; ; 4.406 ; 4.964 ; ; -; D ; Y3 ; ; 3.999 ; 4.574 ; ; -; D ; Y4 ; ; 4.087 ; 4.668 ; ; -; D ; Y5 ; ; 4.187 ; 4.732 ; ; -; D ; Y6 ; ; 4.409 ; 4.975 ; ; -; D ; Y7 ; ; 3.969 ; 4.541 ; ; -; D ; Y8 ; 4.018 ; ; ; 4.645 ; -; D ; Y9 ; 5.026 ; ; ; 5.793 ; -+------------+-------------+-------+-------+-------+-------+ - - -+----------------------------------------------------------+ -; Minimum Propagation Delay ; -+------------+-------------+-------+-------+-------+-------+ -; Input Port ; Output Port ; RR ; RF ; FR ; FF ; -+------------+-------------+-------+-------+-------+-------+ -; A ; Y0 ; ; 3.939 ; 4.482 ; ; -; A ; Y1 ; 4.111 ; ; ; 4.721 ; -; A ; Y2 ; ; 4.127 ; 4.642 ; ; -; A ; Y3 ; 3.718 ; ; ; 4.315 ; -; A ; Y4 ; ; 3.774 ; 4.308 ; ; -; A ; Y5 ; 3.832 ; ; ; 4.463 ; -; A ; Y6 ; ; 4.121 ; 4.629 ; ; -; A ; Y7 ; 3.656 ; ; ; 4.263 ; -; A ; Y8 ; ; 3.753 ; 4.287 ; ; -; A ; Y9 ; 4.740 ; ; ; 5.486 ; -; B ; Y0 ; ; 3.821 ; 4.372 ; ; -; B ; Y1 ; ; 4.028 ; 4.559 ; ; -; B ; Y2 ; 3.967 ; ; ; 4.576 ; -; B ; Y3 ; 3.598 ; ; ; 4.190 ; -; B ; Y4 ; ; 3.658 ; 4.204 ; ; -; B ; Y5 ; ; 3.773 ; 4.286 ; ; -; B ; Y6 ; 3.951 ; ; ; 4.567 ; -; B ; Y7 ; 3.532 ; ; ; 4.138 ; -; B ; Y8 ; ; 3.636 ; 4.180 ; ; -; B ; Y9 ; ; 4.791 ; 5.188 ; ; -; C ; Y0 ; ; 3.827 ; 4.369 ; ; -; C ; Y1 ; ; 4.023 ; 4.548 ; ; -; C ; Y2 ; ; 3.985 ; 4.503 ; ; -; C ; Y3 ; ; 3.602 ; 4.141 ; ; -; C ; Y4 ; 3.637 ; ; ; 4.225 ; -; C ; Y5 ; 3.713 ; ; ; 4.323 ; -; C ; Y6 ; 3.924 ; ; ; 4.529 ; -; C ; Y7 ; 3.507 ; ; ; 4.096 ; -; C ; Y8 ; ; 3.656 ; 4.192 ; ; -; C ; Y9 ; ; 4.802 ; 5.191 ; ; -; D ; Y0 ; ; 4.139 ; 4.713 ; ; -; D ; Y1 ; ; 4.338 ; 4.895 ; ; -; D ; Y2 ; ; 4.298 ; 4.850 ; ; -; D ; Y3 ; ; 3.900 ; 4.469 ; ; -; D ; Y4 ; ; 3.984 ; 4.561 ; ; -; D ; Y5 ; ; 4.084 ; 4.623 ; ; -; D ; Y6 ; ; 4.300 ; 4.862 ; ; -; D ; Y7 ; ; 3.876 ; 4.441 ; ; -; D ; Y8 ; 3.919 ; ; ; 4.538 ; -; D ; Y9 ; 4.926 ; ; ; 5.685 ; -+------------+-------------+-------+-------+-------+-------+ - - ---------------------------------------------- -; Fast 1200mV 0C Model Metastability Report ; ---------------------------------------------- -No synchronizer chains to report. - - -+----------------------------------------------------------------------------+ -; Multicorner Timing Analysis Summary ; -+------------------+-------+------+----------+---------+---------------------+ -; Clock ; Setup ; Hold ; Recovery ; Removal ; Minimum Pulse Width ; -+------------------+-------+------+----------+---------+---------------------+ -; Worst-case Slack ; N/A ; N/A ; N/A ; N/A ; N/A ; -; Design-wide TNS ; 0.0 ; 0.0 ; 0.0 ; 0.0 ; 0.0 ; -+------------------+-------+------+----------+---------+---------------------+ - - -+----------------------------------------------------------+ -; Propagation Delay ; -+------------+-------------+-------+-------+-------+-------+ -; Input Port ; Output Port ; RR ; RF ; FR ; FF ; -+------------+-------------+-------+-------+-------+-------+ -; A ; Y0 ; ; 6.660 ; 7.071 ; ; -; A ; Y1 ; 6.976 ; ; ; 7.419 ; -; A ; Y2 ; ; 6.987 ; 7.368 ; ; -; A ; Y3 ; 6.441 ; ; ; 6.840 ; -; A ; Y4 ; ; 6.443 ; 6.898 ; ; -; A ; Y5 ; 6.619 ; ; ; 7.038 ; -; A ; Y6 ; ; 6.960 ; 7.363 ; ; -; A ; Y7 ; 6.309 ; ; ; 6.706 ; -; A ; Y8 ; ; 6.412 ; 6.865 ; ; -; A ; Y9 ; 7.888 ; ; ; 8.430 ; -; B ; Y0 ; ; 6.481 ; 6.905 ; ; -; B ; Y1 ; ; 6.797 ; 7.220 ; ; -; B ; Y2 ; 6.774 ; ; ; 7.223 ; -; B ; Y3 ; 6.261 ; ; ; 6.641 ; -; B ; Y4 ; ; 6.270 ; 6.733 ; ; -; B ; Y5 ; ; 6.421 ; 6.866 ; ; -; B ; Y6 ; 6.771 ; ; ; 7.197 ; -; B ; Y7 ; 6.129 ; ; ; 6.506 ; -; B ; Y8 ; ; 6.236 ; 6.700 ; ; -; B ; Y9 ; ; 7.810 ; 8.128 ; ; -; C ; Y0 ; ; 6.518 ; 6.939 ; ; -; C ; Y1 ; ; 6.801 ; 7.244 ; ; -; C ; Y2 ; ; 6.779 ; 7.189 ; ; -; C ; Y3 ; ; 6.200 ; 6.677 ; ; -; C ; Y4 ; 6.323 ; ; ; 6.744 ; -; C ; Y5 ; 6.444 ; ; ; 6.865 ; -; C ; Y6 ; 6.735 ; ; ; 7.180 ; -; C ; Y7 ; 6.090 ; ; ; 6.490 ; -; C ; Y8 ; ; 6.287 ; 6.761 ; ; -; C ; Y9 ; ; 7.849 ; 8.169 ; ; -; D ; Y0 ; ; 7.073 ; 7.495 ; ; -; D ; Y1 ; ; 7.371 ; 7.792 ; ; -; D ; Y2 ; ; 7.340 ; 7.737 ; ; -; D ; Y3 ; ; 6.741 ; 7.211 ; ; -; D ; Y4 ; ; 6.868 ; 7.347 ; ; -; D ; Y5 ; ; 7.005 ; 7.451 ; ; -; D ; Y6 ; ; 7.327 ; 7.774 ; ; -; D ; Y7 ; ; 6.639 ; 7.121 ; ; -; D ; Y8 ; 6.830 ; ; ; 7.252 ; -; D ; Y9 ; 8.260 ; ; ; 8.802 ; -+------------+-------------+-------+-------+-------+-------+ - - -+----------------------------------------------------------+ -; Minimum Propagation Delay ; -+------------+-------------+-------+-------+-------+-------+ -; Input Port ; Output Port ; RR ; RF ; FR ; FF ; -+------------+-------------+-------+-------+-------+-------+ -; A ; Y0 ; ; 3.939 ; 4.482 ; ; -; A ; Y1 ; 4.111 ; ; ; 4.721 ; -; A ; Y2 ; ; 4.127 ; 4.642 ; ; -; A ; Y3 ; 3.718 ; ; ; 4.315 ; -; A ; Y4 ; ; 3.774 ; 4.308 ; ; -; A ; Y5 ; 3.832 ; ; ; 4.463 ; -; A ; Y6 ; ; 4.121 ; 4.629 ; ; -; A ; Y7 ; 3.656 ; ; ; 4.263 ; -; A ; Y8 ; ; 3.753 ; 4.287 ; ; -; A ; Y9 ; 4.740 ; ; ; 5.486 ; -; B ; Y0 ; ; 3.821 ; 4.372 ; ; -; B ; Y1 ; ; 4.028 ; 4.559 ; ; -; B ; Y2 ; 3.967 ; ; ; 4.576 ; -; B ; Y3 ; 3.598 ; ; ; 4.190 ; -; B ; Y4 ; ; 3.658 ; 4.204 ; ; -; B ; Y5 ; ; 3.773 ; 4.286 ; ; -; B ; Y6 ; 3.951 ; ; ; 4.567 ; -; B ; Y7 ; 3.532 ; ; ; 4.138 ; -; B ; Y8 ; ; 3.636 ; 4.180 ; ; -; B ; Y9 ; ; 4.791 ; 5.188 ; ; -; C ; Y0 ; ; 3.827 ; 4.369 ; ; -; C ; Y1 ; ; 4.023 ; 4.548 ; ; -; C ; Y2 ; ; 3.985 ; 4.503 ; ; -; C ; Y3 ; ; 3.602 ; 4.141 ; ; -; C ; Y4 ; 3.637 ; ; ; 4.225 ; -; C ; Y5 ; 3.713 ; ; ; 4.323 ; -; C ; Y6 ; 3.924 ; ; ; 4.529 ; -; C ; Y7 ; 3.507 ; ; ; 4.096 ; -; C ; Y8 ; ; 3.656 ; 4.192 ; ; -; C ; Y9 ; ; 4.802 ; 5.191 ; ; -; D ; Y0 ; ; 4.139 ; 4.713 ; ; -; D ; Y1 ; ; 4.338 ; 4.895 ; ; -; D ; Y2 ; ; 4.298 ; 4.850 ; ; -; D ; Y3 ; ; 3.900 ; 4.469 ; ; -; D ; Y4 ; ; 3.984 ; 4.561 ; ; -; D ; Y5 ; ; 4.084 ; 4.623 ; ; -; D ; Y6 ; ; 4.300 ; 4.862 ; ; -; D ; Y7 ; ; 3.876 ; 4.441 ; ; -; D ; Y8 ; 3.919 ; ; ; 4.538 ; -; D ; Y9 ; 4.926 ; ; ; 5.685 ; -+------------+-------------+-------+-------+-------+-------+ - - -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Board Trace Model Assignments ; -+---------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+ -; Pin ; I/O Standard ; Near Tline Length ; Near Tline L per Length ; Near Tline C per Length ; Near Series R ; Near Differential R ; Near Pull-up R ; Near Pull-down R ; Near C ; Far Tline Length ; Far Tline L per Length ; Far Tline C per Length ; Far Series R ; Far Pull-up R ; Far Pull-down R ; Far C ; Termination Voltage ; Far Differential R ; EBD File Name ; EBD Signal Name ; EBD Far-end ; -+---------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+ -; Y0 ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; -; Y1 ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; -; Y2 ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; -; Y3 ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; -; Y4 ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; -; Y5 ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; -; Y6 ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; -; Y7 ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; -; Y8 ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; -; Y9 ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; -; ~ALTERA_DCLK~ ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; -; ~ALTERA_nCEO~ ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; -+---------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+ - - -+----------------------------------------------------------------------------+ -; Input Transition Times ; -+-------------------------+--------------+-----------------+-----------------+ -; Pin ; I/O Standard ; 10-90 Rise Time ; 90-10 Fall Time ; -+-------------------------+--------------+-----------------+-----------------+ -; A ; 2.5 V ; 2000 ps ; 2000 ps ; -; D ; 2.5 V ; 2000 ps ; 2000 ps ; -; B ; 2.5 V ; 2000 ps ; 2000 ps ; -; C ; 2.5 V ; 2000 ps ; 2000 ps ; -; ~ALTERA_ASDO_DATA1~ ; 2.5 V ; 2000 ps ; 2000 ps ; -; ~ALTERA_FLASH_nCE_nCSO~ ; 2.5 V ; 2000 ps ; 2000 ps ; -; ~ALTERA_DATA0~ ; 2.5 V ; 2000 ps ; 2000 ps ; -+-------------------------+--------------+-----------------+-----------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow Corner Signal Integrity Metrics ; -+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ -; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ; -+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ -; Y0 ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ; -; Y1 ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ; -; Y2 ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ; -; Y3 ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.08e-06 V ; 2.36 V ; -0.0113 V ; 0.122 V ; 0.022 V ; 4.5e-10 s ; 4.45e-10 s ; Yes ; Yes ; 2.32 V ; 1.08e-06 V ; 2.36 V ; -0.0113 V ; 0.122 V ; 0.022 V ; 4.5e-10 s ; 4.45e-10 s ; Yes ; Yes ; -; Y4 ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.08e-06 V ; 2.36 V ; -0.0113 V ; 0.122 V ; 0.022 V ; 4.5e-10 s ; 4.45e-10 s ; Yes ; Yes ; 2.32 V ; 1.08e-06 V ; 2.36 V ; -0.0113 V ; 0.122 V ; 0.022 V ; 4.5e-10 s ; 4.45e-10 s ; Yes ; Yes ; -; Y5 ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 7.25e-07 V ; 2.36 V ; -0.00946 V ; 0.111 V ; 0.027 V ; 6.46e-10 s ; 6.2e-10 s ; Yes ; Yes ; 2.32 V ; 7.25e-07 V ; 2.36 V ; -0.00946 V ; 0.111 V ; 0.027 V ; 6.46e-10 s ; 6.2e-10 s ; Yes ; Yes ; -; Y6 ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ; -; Y7 ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 7.25e-07 V ; 2.36 V ; -0.00946 V ; 0.111 V ; 0.027 V ; 6.46e-10 s ; 6.2e-10 s ; Yes ; Yes ; 2.32 V ; 7.25e-07 V ; 2.36 V ; -0.00946 V ; 0.111 V ; 0.027 V ; 6.46e-10 s ; 6.2e-10 s ; Yes ; Yes ; -; Y8 ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.08e-06 V ; 2.36 V ; -0.0113 V ; 0.122 V ; 0.022 V ; 4.5e-10 s ; 4.45e-10 s ; Yes ; Yes ; 2.32 V ; 1.08e-06 V ; 2.36 V ; -0.0113 V ; 0.122 V ; 0.022 V ; 4.5e-10 s ; 4.45e-10 s ; Yes ; Yes ; -; Y9 ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 7.25e-07 V ; 2.33 V ; -0.00317 V ; 0.162 V ; 0.063 V ; 3.54e-09 s ; 3.41e-09 s ; Yes ; Yes ; 2.32 V ; 7.25e-07 V ; 2.33 V ; -0.00317 V ; 0.162 V ; 0.063 V ; 3.54e-09 s ; 3.41e-09 s ; Yes ; Yes ; -; ~ALTERA_DCLK~ ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 5.68e-07 V ; 2.35 V ; -0.0132 V ; 0.2 V ; 0.027 V ; 5.26e-10 s ; 4.81e-10 s ; Yes ; Yes ; 2.32 V ; 5.68e-07 V ; 2.35 V ; -0.0132 V ; 0.2 V ; 0.027 V ; 5.26e-10 s ; 4.81e-10 s ; Yes ; Yes ; -; ~ALTERA_nCEO~ ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 9.36e-07 V ; 2.35 V ; -0.00444 V ; 0.18 V ; 0.019 V ; 7.23e-10 s ; 9.82e-10 s ; Yes ; Yes ; 2.32 V ; 9.36e-07 V ; 2.35 V ; -0.00444 V ; 0.18 V ; 0.019 V ; 7.23e-10 s ; 9.82e-10 s ; Yes ; Yes ; -+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fast Corner Signal Integrity Metrics ; -+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ -; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ; -+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ -; Y0 ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ; -; Y1 ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ; -; Y2 ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ; -; Y3 ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 4.11e-08 V ; 2.73 V ; -0.0566 V ; 0.191 V ; 0.12 V ; 2.69e-10 s ; 2.76e-10 s ; Yes ; Yes ; 2.62 V ; 4.11e-08 V ; 2.73 V ; -0.0566 V ; 0.191 V ; 0.12 V ; 2.69e-10 s ; 2.76e-10 s ; Yes ; Yes ; -; Y4 ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 4.11e-08 V ; 2.73 V ; -0.0566 V ; 0.191 V ; 0.12 V ; 2.69e-10 s ; 2.76e-10 s ; Yes ; Yes ; 2.62 V ; 4.11e-08 V ; 2.73 V ; -0.0566 V ; 0.191 V ; 0.12 V ; 2.69e-10 s ; 2.76e-10 s ; Yes ; Yes ; -; Y5 ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.78e-08 V ; 2.72 V ; -0.0408 V ; 0.163 V ; 0.075 V ; 4.51e-10 s ; 4.33e-10 s ; No ; Yes ; 2.62 V ; 2.78e-08 V ; 2.72 V ; -0.0408 V ; 0.163 V ; 0.075 V ; 4.51e-10 s ; 4.33e-10 s ; No ; Yes ; -; Y6 ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ; -; Y7 ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.78e-08 V ; 2.72 V ; -0.0408 V ; 0.163 V ; 0.075 V ; 4.51e-10 s ; 4.33e-10 s ; No ; Yes ; 2.62 V ; 2.78e-08 V ; 2.72 V ; -0.0408 V ; 0.163 V ; 0.075 V ; 4.51e-10 s ; 4.33e-10 s ; No ; Yes ; -; Y8 ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 4.11e-08 V ; 2.73 V ; -0.0566 V ; 0.191 V ; 0.12 V ; 2.69e-10 s ; 2.76e-10 s ; Yes ; Yes ; 2.62 V ; 4.11e-08 V ; 2.73 V ; -0.0566 V ; 0.191 V ; 0.12 V ; 2.69e-10 s ; 2.76e-10 s ; Yes ; Yes ; -; Y9 ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.78e-08 V ; 2.64 V ; -0.0109 V ; 0.244 V ; 0.16 V ; 2.42e-09 s ; 2.37e-09 s ; No ; Yes ; 2.62 V ; 2.78e-08 V ; 2.64 V ; -0.0109 V ; 0.244 V ; 0.16 V ; 2.42e-09 s ; 2.37e-09 s ; No ; Yes ; -; ~ALTERA_DCLK~ ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.22e-08 V ; 2.72 V ; -0.0747 V ; 0.28 V ; 0.169 V ; 3.1e-10 s ; 3.01e-10 s ; No ; Yes ; 2.62 V ; 2.22e-08 V ; 2.72 V ; -0.0747 V ; 0.28 V ; 0.169 V ; 3.1e-10 s ; 3.01e-10 s ; No ; Yes ; -; ~ALTERA_nCEO~ ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 3.53e-08 V ; 2.7 V ; -0.0212 V ; 0.204 V ; 0.049 V ; 4.85e-10 s ; 6.73e-10 s ; No ; Yes ; 2.62 V ; 3.53e-08 V ; 2.7 V ; -0.0212 V ; 0.204 V ; 0.049 V ; 4.85e-10 s ; 6.73e-10 s ; No ; Yes ; -+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ - - -------------------- -; Clock Transfers ; -------------------- -Nothing to report. - - ---------------- -; Report TCCS ; ---------------- -No dedicated SERDES Transmitter circuitry present in device or used in design - - ---------------- -; Report RSKM ; ---------------- -No non-DPA dedicated SERDES Receiver circuitry present in device or used in design - - -+------------------------------------------------+ -; Unconstrained Paths ; -+---------------------------------+-------+------+ -; Property ; Setup ; Hold ; -+---------------------------------+-------+------+ -; Illegal Clocks ; 0 ; 0 ; -; Unconstrained Clocks ; 0 ; 0 ; -; Unconstrained Input Ports ; 4 ; 4 ; -; Unconstrained Input Port Paths ; 40 ; 40 ; -; Unconstrained Output Ports ; 10 ; 10 ; -; Unconstrained Output Port Paths ; 40 ; 40 ; -+---------------------------------+-------+------+ - - -+------------------------------------+ -; TimeQuest Timing Analyzer Messages ; -+------------------------------------+ -Info: ******************************************************************* -Info: Running Quartus II 32-bit TimeQuest Timing Analyzer - Info: Version 13.1.0 Build 162 10/23/2013 SJ Web Edition - Info: Processing started: Thu Oct 17 23:25:00 2019 -Info: Command: quartus_sta BCD_to_decimal_decoder -c BCD_to_decimal_decoder -Info: qsta_default_script.tcl version: #1 -Warning (20028): Parallel compilation is not licensed and has been disabled -Info (21077): Core supply voltage is 1.2V -Info (21077): Low junction temperature is 0 degrees C -Info (21077): High junction temperature is 85 degrees C -Critical Warning (332012): Synopsys Design Constraints File file not found: 'BCD_to_decimal_decoder.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. -Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" -Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. -Warning (332068): No clocks defined in design. -Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty" -Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. -Info: Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON -Info (332159): No clocks to report -Info: Analyzing Slow 1200mV 85C Model -Info (332140): No fmax paths to report -Info (332140): No Setup paths to report -Info (332140): No Hold paths to report -Info (332140): No Recovery paths to report -Info (332140): No Removal paths to report -Info (332140): No Minimum Pulse Width paths to report -Info: Analyzing Slow 1200mV 0C Model -Info (334003): Started post-fitting delay annotation -Info (334004): Delay annotation completed successfully -Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" -Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. -Warning (332068): No clocks defined in design. -Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. -Info (332140): No fmax paths to report -Info (332140): No Setup paths to report -Info (332140): No Hold paths to report -Info (332140): No Recovery paths to report -Info (332140): No Removal paths to report -Info (332140): No Minimum Pulse Width paths to report -Info: Analyzing Fast 1200mV 0C Model -Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" -Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. -Warning (332068): No clocks defined in design. -Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. -Info (332140): No Setup paths to report -Info (332140): No Hold paths to report -Info (332140): No Recovery paths to report -Info (332140): No Removal paths to report -Info (332140): No Minimum Pulse Width paths to report -Info (332102): Design is not fully constrained for setup requirements -Info (332102): Design is not fully constrained for hold requirements -Info: Quartus II 32-bit TimeQuest Timing Analyzer was successful. 0 errors, 5 warnings - Info: Peak virtual memory: 373 megabytes - Info: Processing ended: Thu Oct 17 23:25:03 2019 - Info: Elapsed time: 00:00:03 - Info: Total CPU time (on all processors): 00:00:03 - - diff --git a/CH6/CH6-1/output_files/BCD_to_decimal_decoder.sta.summary b/CH6/CH6-1/output_files/BCD_to_decimal_decoder.sta.summary deleted file mode 100644 index 33f74363..00000000 --- a/CH6/CH6-1/output_files/BCD_to_decimal_decoder.sta.summary +++ /dev/null @@ -1,5 +0,0 @@ ------------------------------------------------------------- -TimeQuest Timing Analyzer Summary ------------------------------------------------------------- - ------------------------------------------------------------- diff --git a/CH6/CH6-1/output_files/Chain1.cdf b/CH6/CH6-1/output_files/Chain1.cdf deleted file mode 100644 index 75a34fa7..00000000 --- a/CH6/CH6-1/output_files/Chain1.cdf +++ /dev/null @@ -1,13 +0,0 @@ -/* Quartus II 32-bit Version 13.1.0 Build 162 10/23/2013 SJ Web Edition */ -JedecChain; - FileRevision(JESD32A); - DefaultMfr(6E); - - P ActionCode(Cfg) - Device PartName(EP3C16F484) Path("/home/timmy/Git/Learn-VHDL/CH6/CH6-1/output_files/") File("Ten_line_to_four_line_BCD_encoder.sof") MfrSpec(OpMask(1)); - -ChainEnd; - -AlteraBegin; - ChainType(JTAG); -AlteraEnd; diff --git a/CH6/CH6-1/output_files/Ten_line_to_four_line_BCD_encoder.asm.rpt b/CH6/CH6-1/output_files/Ten_line_to_four_line_BCD_encoder.asm.rpt deleted file mode 100644 index 1be30bb2..00000000 --- a/CH6/CH6-1/output_files/Ten_line_to_four_line_BCD_encoder.asm.rpt +++ /dev/null @@ -1,116 +0,0 @@ -Assembler report for Ten_line_to_four_line_BCD_encoder -Tue Oct 1 00:13:00 2019 -Quartus II 32-bit Version 13.1.0 Build 162 10/23/2013 SJ Web Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. Assembler Summary - 3. Assembler Settings - 4. Assembler Generated Files - 5. Assembler Device Options: Ten_line_to_four_line_BCD_encoder.sof - 6. Assembler Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 1991-2013 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. - - - -+---------------------------------------------------------------+ -; Assembler Summary ; -+-----------------------+---------------------------------------+ -; Assembler Status ; Successful - Tue Oct 1 00:13:00 2019 ; -; Revision Name ; Ten_line_to_four_line_BCD_encoder ; -; Top-level Entity Name ; Ten_line_to_four_line_BCD_encoder ; -; Family ; Cyclone III ; -; Device ; EP3C16F484C6 ; -+-----------------------+---------------------------------------+ - - -+--------------------------------------------------------------------------------------------------------+ -; Assembler Settings ; -+-----------------------------------------------------------------------------+----------+---------------+ -; Option ; Setting ; Default Value ; -+-----------------------------------------------------------------------------+----------+---------------+ -; Use smart compilation ; Off ; Off ; -; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; -; Enable compact report table ; Off ; Off ; -; Generate compressed bitstreams ; On ; On ; -; Compression mode ; Off ; Off ; -; Clock source for configuration device ; Internal ; Internal ; -; Clock frequency of the configuration device ; 10 MHZ ; 10 MHz ; -; Divide clock frequency by ; 1 ; 1 ; -; Auto user code ; On ; On ; -; Use configuration device ; Off ; Off ; -; Configuration device ; Auto ; Auto ; -; Configuration device auto user code ; Off ; Off ; -; Generate Tabular Text File (.ttf) For Target Device ; Off ; Off ; -; Generate Raw Binary File (.rbf) For Target Device ; Off ; Off ; -; Generate Hexadecimal (Intel-Format) Output File (.hexout) for Target Device ; Off ; Off ; -; Hexadecimal Output File start address ; 0 ; 0 ; -; Hexadecimal Output File count direction ; Up ; Up ; -; Release clears before tri-states ; Off ; Off ; -; Auto-restart configuration after error ; On ; On ; -; Enable OCT_DONE ; Off ; Off ; -; Generate Serial Vector Format File (.svf) for Target Device ; Off ; Off ; -; Generate a JEDEC STAPL Format File (.jam) for Target Device ; Off ; Off ; -; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; Off ; Off ; -; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; On ; On ; -+-----------------------------------------------------------------------------+----------+---------------+ - - -+---------------------------------------+ -; Assembler Generated Files ; -+---------------------------------------+ -; File Name ; -+---------------------------------------+ -; Ten_line_to_four_line_BCD_encoder.sof ; -+---------------------------------------+ - - -+-----------------------------------------------------------------+ -; Assembler Device Options: Ten_line_to_four_line_BCD_encoder.sof ; -+----------------+------------------------------------------------+ -; Option ; Setting ; -+----------------+------------------------------------------------+ -; Device ; EP3C16F484C6 ; -; JTAG usercode ; 0x000C8F4C ; -; Checksum ; 0x000C8F4C ; -+----------------+------------------------------------------------+ - - -+--------------------+ -; Assembler Messages ; -+--------------------+ -Info: ******************************************************************* -Info: Running Quartus II 32-bit Assembler - Info: Version 13.1.0 Build 162 10/23/2013 SJ Web Edition - Info: Processing started: Tue Oct 1 00:12:57 2019 -Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off Ten_line_to_four_line_BCD_encoder -c Ten_line_to_four_line_BCD_encoder -Info (115031): Writing out detailed assembly data for power analysis -Info (115030): Assembler is generating device programming files -Info: Quartus II 32-bit Assembler was successful. 0 errors, 0 warnings - Info: Peak virtual memory: 382 megabytes - Info: Processing ended: Tue Oct 1 00:13:00 2019 - Info: Elapsed time: 00:00:03 - Info: Total CPU time (on all processors): 00:00:03 - - diff --git a/CH6/CH6-1/output_files/Ten_line_to_four_line_BCD_encoder.done b/CH6/CH6-1/output_files/Ten_line_to_four_line_BCD_encoder.done deleted file mode 100644 index ee0befce..00000000 --- a/CH6/CH6-1/output_files/Ten_line_to_four_line_BCD_encoder.done +++ /dev/null @@ -1 +0,0 @@ -Tue Oct 1 00:13:13 2019 diff --git a/CH6/CH6-1/output_files/Ten_line_to_four_line_BCD_encoder.eda.rpt b/CH6/CH6-1/output_files/Ten_line_to_four_line_BCD_encoder.eda.rpt deleted file mode 100644 index 4ec873de..00000000 --- a/CH6/CH6-1/output_files/Ten_line_to_four_line_BCD_encoder.eda.rpt +++ /dev/null @@ -1,107 +0,0 @@ -EDA Netlist Writer report for Ten_line_to_four_line_BCD_encoder -Tue Oct 1 00:13:13 2019 -Quartus II 32-bit Version 13.1.0 Build 162 10/23/2013 SJ Web Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. EDA Netlist Writer Summary - 3. Simulation Settings - 4. Simulation Generated Files - 5. EDA Netlist Writer Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 1991-2013 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. - - - -+-------------------------------------------------------------------+ -; EDA Netlist Writer Summary ; -+---------------------------+---------------------------------------+ -; EDA Netlist Writer Status ; Successful - Tue Oct 1 00:13:13 2019 ; -; Revision Name ; Ten_line_to_four_line_BCD_encoder ; -; Top-level Entity Name ; Ten_line_to_four_line_BCD_encoder ; -; Family ; Cyclone III ; -; Simulation Files Creation ; Successful ; -+---------------------------+---------------------------------------+ - - -+----------------------------------------------------------------------------------------------------------------------------+ -; Simulation Settings ; -+---------------------------------------------------------------------------------------------------+------------------------+ -; Option ; Setting ; -+---------------------------------------------------------------------------------------------------+------------------------+ -; Tool Name ; ModelSim-Altera (VHDL) ; -; Generate netlist for functional simulation only ; Off ; -; Time scale ; 1 ps ; -; Truncate long hierarchy paths ; Off ; -; Map illegal HDL characters ; Off ; -; Flatten buses into individual nodes ; Off ; -; Maintain hierarchy ; Off ; -; Bring out device-wide set/reset signals as ports ; Off ; -; Enable glitch filtering ; Off ; -; Do not write top level VHDL entity ; Off ; -; Disable detection of setup and hold time violations in the input registers of bi-directional pins ; Off ; -; Architecture name in VHDL output netlist ; structure ; -; Generate third-party EDA tool command script for RTL functional simulation ; Off ; -; Generate third-party EDA tool command script for gate-level simulation ; Off ; -+---------------------------------------------------------------------------------------------------+------------------------+ - - -+-----------------------------------------------------------------------------------------------------------------------+ -; Simulation Generated Files ; -+-----------------------------------------------------------------------------------------------------------------------+ -; Generated Files ; -+-----------------------------------------------------------------------------------------------------------------------+ -; /home/timmy/Git/Learn-VHDL/CH6/CH6-1/simulation/modelsim/Ten_line_to_four_line_BCD_encoder_6_1200mv_85c_slow.vho ; -; /home/timmy/Git/Learn-VHDL/CH6/CH6-1/simulation/modelsim/Ten_line_to_four_line_BCD_encoder_6_1200mv_0c_slow.vho ; -; /home/timmy/Git/Learn-VHDL/CH6/CH6-1/simulation/modelsim/Ten_line_to_four_line_BCD_encoder_min_1200mv_0c_fast.vho ; -; /home/timmy/Git/Learn-VHDL/CH6/CH6-1/simulation/modelsim/Ten_line_to_four_line_BCD_encoder.vho ; -; /home/timmy/Git/Learn-VHDL/CH6/CH6-1/simulation/modelsim/Ten_line_to_four_line_BCD_encoder_6_1200mv_85c_vhd_slow.sdo ; -; /home/timmy/Git/Learn-VHDL/CH6/CH6-1/simulation/modelsim/Ten_line_to_four_line_BCD_encoder_6_1200mv_0c_vhd_slow.sdo ; -; /home/timmy/Git/Learn-VHDL/CH6/CH6-1/simulation/modelsim/Ten_line_to_four_line_BCD_encoder_min_1200mv_0c_vhd_fast.sdo ; -; /home/timmy/Git/Learn-VHDL/CH6/CH6-1/simulation/modelsim/Ten_line_to_four_line_BCD_encoder_vhd.sdo ; -+-----------------------------------------------------------------------------------------------------------------------+ - - -+-----------------------------+ -; EDA Netlist Writer Messages ; -+-----------------------------+ -Info: ******************************************************************* -Info: Running Quartus II 32-bit EDA Netlist Writer - Info: Version 13.1.0 Build 162 10/23/2013 SJ Web Edition - Info: Processing started: Tue Oct 1 00:13:11 2019 -Info: Command: quartus_eda --read_settings_files=off --write_settings_files=off Ten_line_to_four_line_BCD_encoder -c Ten_line_to_four_line_BCD_encoder -Info (204019): Generated file Ten_line_to_four_line_BCD_encoder_6_1200mv_85c_slow.vho in folder "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/simulation/modelsim/" for EDA simulation tool -Info (204019): Generated file Ten_line_to_four_line_BCD_encoder_6_1200mv_0c_slow.vho in folder "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/simulation/modelsim/" for EDA simulation tool -Info (204019): Generated file Ten_line_to_four_line_BCD_encoder_min_1200mv_0c_fast.vho in folder "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/simulation/modelsim/" for EDA simulation tool -Info (204019): Generated file Ten_line_to_four_line_BCD_encoder.vho in folder "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/simulation/modelsim/" for EDA simulation tool -Info (204019): Generated file Ten_line_to_four_line_BCD_encoder_6_1200mv_85c_vhd_slow.sdo in folder "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/simulation/modelsim/" for EDA simulation tool -Info (204019): Generated file Ten_line_to_four_line_BCD_encoder_6_1200mv_0c_vhd_slow.sdo in folder "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/simulation/modelsim/" for EDA simulation tool -Info (204019): Generated file Ten_line_to_four_line_BCD_encoder_min_1200mv_0c_vhd_fast.sdo in folder "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/simulation/modelsim/" for EDA simulation tool -Info (204019): Generated file Ten_line_to_four_line_BCD_encoder_vhd.sdo in folder "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/simulation/modelsim/" for EDA simulation tool -Info: Quartus II 32-bit EDA Netlist Writer was successful. 0 errors, 0 warnings - Info: Peak virtual memory: 348 megabytes - Info: Processing ended: Tue Oct 1 00:13:13 2019 - Info: Elapsed time: 00:00:02 - Info: Total CPU time (on all processors): 00:00:01 - - diff --git a/CH6/CH6-1/output_files/Ten_line_to_four_line_BCD_encoder.fit.rpt b/CH6/CH6-1/output_files/Ten_line_to_four_line_BCD_encoder.fit.rpt deleted file mode 100644 index 9b67336e..00000000 --- a/CH6/CH6-1/output_files/Ten_line_to_four_line_BCD_encoder.fit.rpt +++ /dev/null @@ -1,1242 +0,0 @@ -Fitter report for Ten_line_to_four_line_BCD_encoder -Tue Oct 1 00:12:53 2019 -Quartus II 32-bit Version 13.1.0 Build 162 10/23/2013 SJ Web Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. Fitter Summary - 3. Fitter Settings - 4. Parallel Compilation - 5. I/O Assignment Warnings - 6. Incremental Compilation Preservation Summary - 7. Incremental Compilation Partition Settings - 8. Incremental Compilation Placement Preservation - 9. Pin-Out File - 10. Fitter Resource Usage Summary - 11. Fitter Partition Statistics - 12. Input Pins - 13. Output Pins - 14. Dual Purpose and Dedicated Pins - 15. I/O Bank Usage - 16. All Package Pins - 17. Fitter Resource Utilization by Entity - 18. Delay Chain Summary - 19. Pad To Core Delay Chain Fanout - 20. Non-Global High Fan-Out Signals - 21. Routing Usage Summary - 22. LAB Logic Elements - 23. LAB Signals Sourced - 24. LAB Signals Sourced Out - 25. LAB Distinct Inputs - 26. I/O Rules Summary - 27. I/O Rules Details - 28. I/O Rules Matrix - 29. Fitter Device Options - 30. Operating Settings and Conditions - 31. Fitter Messages - 32. Fitter Suppressed Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 1991-2013 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. - - - -+---------------------------------------------------------------------------------+ -; Fitter Summary ; -+------------------------------------+--------------------------------------------+ -; Fitter Status ; Successful - Tue Oct 1 00:12:53 2019 ; -; Quartus II 32-bit Version ; 13.1.0 Build 162 10/23/2013 SJ Web Edition ; -; Revision Name ; Ten_line_to_four_line_BCD_encoder ; -; Top-level Entity Name ; Ten_line_to_four_line_BCD_encoder ; -; Family ; Cyclone III ; -; Device ; EP3C16F484C6 ; -; Timing Models ; Final ; -; Total logic elements ; 5 / 15,408 ( < 1 % ) ; -; Total combinational functions ; 5 / 15,408 ( < 1 % ) ; -; Dedicated logic registers ; 0 / 15,408 ( 0 % ) ; -; Total registers ; 0 ; -; Total pins ; 14 / 347 ( 4 % ) ; -; Total virtual pins ; 0 ; -; Total memory bits ; 0 / 516,096 ( 0 % ) ; -; Embedded Multiplier 9-bit elements ; 0 / 112 ( 0 % ) ; -; Total PLLs ; 0 / 4 ( 0 % ) ; -+------------------------------------+--------------------------------------------+ - - -+------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fitter Settings ; -+----------------------------------------------------------------------------+---------------------------------------+---------------------------------------+ -; Option ; Setting ; Default Value ; -+----------------------------------------------------------------------------+---------------------------------------+---------------------------------------+ -; Device ; EP3C16F484C6 ; ; -; Nominal Core Supply Voltage ; 1.2V ; ; -; Minimum Core Junction Temperature ; 0 ; ; -; Maximum Core Junction Temperature ; 85 ; ; -; Fit Attempts to Skip ; 0 ; 0.0 ; -; Device I/O Standard ; 2.5 V ; ; -; Use smart compilation ; Off ; Off ; -; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; -; Enable compact report table ; Off ; Off ; -; Auto Merge PLLs ; On ; On ; -; Router Timing Optimization Level ; Normal ; Normal ; -; Perform Clocking Topology Analysis During Routing ; Off ; Off ; -; Placement Effort Multiplier ; 1.0 ; 1.0 ; -; Router Effort Multiplier ; 1.0 ; 1.0 ; -; Optimize Hold Timing ; All Paths ; All Paths ; -; Optimize Multi-Corner Timing ; On ; On ; -; PowerPlay Power Optimization ; Normal compilation ; Normal compilation ; -; SSN Optimization ; Off ; Off ; -; Optimize Timing ; Normal compilation ; Normal compilation ; -; Optimize Timing for ECOs ; Off ; Off ; -; Regenerate full fit report during ECO compiles ; Off ; Off ; -; Optimize IOC Register Placement for Timing ; Normal ; Normal ; -; Limit to One Fitting Attempt ; Off ; Off ; -; Final Placement Optimizations ; Automatically ; Automatically ; -; Fitter Aggressive Routability Optimizations ; Automatically ; Automatically ; -; Fitter Initial Placement Seed ; 1 ; 1 ; -; PCI I/O ; Off ; Off ; -; Weak Pull-Up Resistor ; Off ; Off ; -; Enable Bus-Hold Circuitry ; Off ; Off ; -; Auto Packed Registers ; Auto ; Auto ; -; Auto Delay Chains ; On ; On ; -; Auto Delay Chains for High Fanout Input Pins ; Off ; Off ; -; Allow Single-ended Buffer for Differential-XSTL Input ; Off ; Off ; -; Treat Bidirectional Pin as Output Pin ; Off ; Off ; -; Perform Physical Synthesis for Combinational Logic for Fitting ; Off ; Off ; -; Perform Physical Synthesis for Combinational Logic for Performance ; Off ; Off ; -; Perform Register Duplication for Performance ; Off ; Off ; -; Perform Logic to Memory Mapping for Fitting ; Off ; Off ; -; Perform Register Retiming for Performance ; Off ; Off ; -; Perform Asynchronous Signal Pipelining ; Off ; Off ; -; Fitter Effort ; Auto Fit ; Auto Fit ; -; Physical Synthesis Effort Level ; Normal ; Normal ; -; Logic Cell Insertion - Logic Duplication ; Auto ; Auto ; -; Auto Register Duplication ; Auto ; Auto ; -; Auto Global Clock ; On ; On ; -; Auto Global Register Control Signals ; On ; On ; -; Reserve all unused pins ; As input tri-stated with weak pull-up ; As input tri-stated with weak pull-up ; -; Synchronizer Identification ; Off ; Off ; -; Enable Beneficial Skew Optimization ; On ; On ; -; Optimize Design for Metastability ; On ; On ; -; Force Fitter to Avoid Periphery Placement Warnings ; Off ; Off ; -; RAM Bit Reservation (Cyclone III) ; Off ; Off ; -; Enable input tri-state on active configuration pins in user mode ; Off ; Off ; -+----------------------------------------------------------------------------+---------------------------------------+---------------------------------------+ - - -Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. -+-------------------------------------+ -; Parallel Compilation ; -+----------------------------+--------+ -; Processors ; Number ; -+----------------------------+--------+ -; Number detected on machine ; 4 ; -; Maximum allowed ; 1 ; -+----------------------------+--------+ - - -+-------------------------------------------------+ -; I/O Assignment Warnings ; -+----------+--------------------------------------+ -; Pin Name ; Reason ; -+----------+--------------------------------------+ -; A ; Missing drive strength and slew rate ; -; B ; Missing drive strength and slew rate ; -; C ; Missing drive strength and slew rate ; -; D ; Missing drive strength and slew rate ; -+----------+--------------------------------------+ - - -+-------------------------------------------------------------------------------------------------+ -; Incremental Compilation Preservation Summary ; -+---------------------+-------------------+----------------------------+--------------------------+ -; Type ; Total [A + B] ; From Design Partitions [A] ; From Rapid Recompile [B] ; -+---------------------+-------------------+----------------------------+--------------------------+ -; Placement (by node) ; ; ; ; -; -- Requested ; 0.00 % ( 0 / 44 ) ; 0.00 % ( 0 / 44 ) ; 0.00 % ( 0 / 44 ) ; -; -- Achieved ; 0.00 % ( 0 / 44 ) ; 0.00 % ( 0 / 44 ) ; 0.00 % ( 0 / 44 ) ; -; ; ; ; ; -; Routing (by net) ; ; ; ; -; -- Requested ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; -; -- Achieved ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; -+---------------------+-------------------+----------------------------+--------------------------+ - - -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Incremental Compilation Partition Settings ; -+--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ -; Partition Name ; Partition Type ; Netlist Type Used ; Preservation Level Used ; Netlist Type Requested ; Preservation Level Requested ; Contents ; -+--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ -; Top ; User-created ; Source File ; N/A ; Source File ; N/A ; ; -; hard_block:auto_generated_inst ; Auto-generated ; Source File ; N/A ; Source File ; N/A ; hard_block:auto_generated_inst ; -+--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ - - -+------------------------------------------------------------------------------------------------------------------------------------+ -; Incremental Compilation Placement Preservation ; -+--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ -; Partition Name ; Preservation Achieved ; Preservation Level Used ; Netlist Type Used ; Preservation Method ; Notes ; -+--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ -; Top ; 0.00 % ( 0 / 34 ) ; N/A ; Source File ; N/A ; ; -; hard_block:auto_generated_inst ; 0.00 % ( 0 / 10 ) ; N/A ; Source File ; N/A ; ; -+--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ - - -+--------------+ -; Pin-Out File ; -+--------------+ -The pin-out file can be found in /home/timmy/Git/Learn-VHDL/CH6/CH6-1/output_files/Ten_line_to_four_line_BCD_encoder.pin. - - -+--------------------------------------------------------------------+ -; Fitter Resource Usage Summary ; -+---------------------------------------------+----------------------+ -; Resource ; Usage ; -+---------------------------------------------+----------------------+ -; Total logic elements ; 5 / 15,408 ( < 1 % ) ; -; -- Combinational with no register ; 5 ; -; -- Register only ; 0 ; -; -- Combinational with a register ; 0 ; -; ; ; -; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 3 ; -; -- 3 input functions ; 0 ; -; -- <=2 input functions ; 2 ; -; -- Register only ; 0 ; -; ; ; -; Logic elements by mode ; ; -; -- normal mode ; 5 ; -; -- arithmetic mode ; 0 ; -; ; ; -; Total registers* ; 0 / 17,068 ( 0 % ) ; -; -- Dedicated logic registers ; 0 / 15,408 ( 0 % ) ; -; -- I/O registers ; 0 / 1,660 ( 0 % ) ; -; ; ; -; Total LABs: partially or completely used ; 1 / 963 ( < 1 % ) ; -; Virtual pins ; 0 ; -; I/O pins ; 14 / 347 ( 4 % ) ; -; -- Clock pins ; 0 / 8 ( 0 % ) ; -; -- Dedicated input pins ; 0 / 9 ( 0 % ) ; -; ; ; -; Global signals ; 0 ; -; M9Ks ; 0 / 56 ( 0 % ) ; -; Total block memory bits ; 0 / 516,096 ( 0 % ) ; -; Total block memory implementation bits ; 0 / 516,096 ( 0 % ) ; -; Embedded Multiplier 9-bit elements ; 0 / 112 ( 0 % ) ; -; PLLs ; 0 / 4 ( 0 % ) ; -; Global clocks ; 0 / 20 ( 0 % ) ; -; JTAGs ; 0 / 1 ( 0 % ) ; -; CRC blocks ; 0 / 1 ( 0 % ) ; -; ASMI blocks ; 0 / 1 ( 0 % ) ; -; Impedance control blocks ; 0 / 4 ( 0 % ) ; -; Average interconnect usage (total/H/V) ; 0% / 0% / 0% ; -; Peak interconnect usage (total/H/V) ; 0% / 0% / 0% ; -; Maximum fan-out ; 3 ; -; Highest non-global fan-out ; 3 ; -; Total fan-out ; 39 ; -; Average fan-out ; 0.91 ; -+---------------------------------------------+----------------------+ -* Register count does not include registers inside RAM blocks or DSP blocks. - - - -+----------------------------------------------------------------------------------------------------+ -; Fitter Partition Statistics ; -+---------------------------------------------+---------------------+--------------------------------+ -; Statistic ; Top ; hard_block:auto_generated_inst ; -+---------------------------------------------+---------------------+--------------------------------+ -; Difficulty Clustering Region ; Low ; Low ; -; ; ; ; -; Total logic elements ; 5 / 15408 ( < 1 % ) ; 0 / 15408 ( 0 % ) ; -; -- Combinational with no register ; 5 ; 0 ; -; -- Register only ; 0 ; 0 ; -; -- Combinational with a register ; 0 ; 0 ; -; ; ; ; -; Logic element usage by number of LUT inputs ; ; ; -; -- 4 input functions ; 3 ; 0 ; -; -- 3 input functions ; 0 ; 0 ; -; -- <=2 input functions ; 2 ; 0 ; -; -- Register only ; 0 ; 0 ; -; ; ; ; -; Logic elements by mode ; ; ; -; -- normal mode ; 5 ; 0 ; -; -- arithmetic mode ; 0 ; 0 ; -; ; ; ; -; Total registers ; 0 ; 0 ; -; -- Dedicated logic registers ; 0 / 15408 ( 0 % ) ; 0 / 15408 ( 0 % ) ; -; -- I/O registers ; 0 ; 0 ; -; ; ; ; -; Total LABs: partially or completely used ; 1 / 963 ( < 1 % ) ; 0 / 963 ( 0 % ) ; -; ; ; ; -; Virtual pins ; 0 ; 0 ; -; I/O pins ; 14 ; 0 ; -; Embedded Multiplier 9-bit elements ; 0 / 112 ( 0 % ) ; 0 / 112 ( 0 % ) ; -; Total memory bits ; 0 ; 0 ; -; Total RAM block bits ; 0 ; 0 ; -; ; ; ; -; Connections ; ; ; -; -- Input Connections ; 0 ; 0 ; -; -- Registered Input Connections ; 0 ; 0 ; -; -- Output Connections ; 0 ; 0 ; -; -- Registered Output Connections ; 0 ; 0 ; -; ; ; ; -; Internal Connections ; ; ; -; -- Total Connections ; 34 ; 5 ; -; -- Registered Connections ; 0 ; 0 ; -; ; ; ; -; External Connections ; ; ; -; -- Top ; 0 ; 0 ; -; -- hard_block:auto_generated_inst ; 0 ; 0 ; -; ; ; ; -; Partition Interface ; ; ; -; -- Input Ports ; 10 ; 0 ; -; -- Output Ports ; 4 ; 0 ; -; -- Bidir Ports ; 0 ; 0 ; -; ; ; ; -; Registered Ports ; ; ; -; -- Registered Input Ports ; 0 ; 0 ; -; -- Registered Output Ports ; 0 ; 0 ; -; ; ; ; -; Port Connectivity ; ; ; -; -- Input Ports driven by GND ; 0 ; 0 ; -; -- Output Ports driven by GND ; 0 ; 0 ; -; -- Input Ports driven by VCC ; 0 ; 0 ; -; -- Output Ports driven by VCC ; 0 ; 0 ; -; -- Input Ports with no Source ; 0 ; 0 ; -; -- Output Ports with no Source ; 0 ; 0 ; -; -- Input Ports with no Fanout ; 0 ; 0 ; -; -- Output Ports with no Fanout ; 0 ; 0 ; -+---------------------------------------------+---------------------+--------------------------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Input Pins ; -+------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+ -; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Power Up High ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Termination Control Block ; Location assigned by ; -+------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+ -; I0 ; D2 ; 1 ; 0 ; 25 ; 0 ; 0 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; -; I1 ; E4 ; 1 ; 0 ; 26 ; 0 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; -; I2 ; E3 ; 1 ; 0 ; 26 ; 7 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; -; I3 ; H7 ; 1 ; 0 ; 25 ; 14 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; -; I4 ; J7 ; 1 ; 0 ; 22 ; 14 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; -; I5 ; G5 ; 1 ; 0 ; 27 ; 21 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; -; I6 ; G4 ; 1 ; 0 ; 23 ; 7 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; -; I7 ; H6 ; 1 ; 0 ; 25 ; 21 ; 3 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; -; I8 ; H5 ; 1 ; 0 ; 27 ; 0 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; -; I9 ; J6 ; 1 ; 0 ; 24 ; 0 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; -+------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Output Pins ; -+------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ -; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Output Register ; Output Enable Register ; Power Up High ; Slew Rate ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Termination ; Termination Control Block ; Output Buffer Pre-emphasis ; Voltage Output Differential ; Location assigned by ; Output Enable Source ; Output Enable Group ; -+------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ -; A ; C1 ; 1 ; 0 ; 26 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; -; B ; C2 ; 1 ; 0 ; 26 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; -; C ; B2 ; 1 ; 0 ; 27 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; -; D ; B1 ; 1 ; 0 ; 27 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; -+------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ - - -+-------------------------------------------------------------------------------------------------------------------------+ -; Dual Purpose and Dedicated Pins ; -+----------+-----------------------------+--------------------------+-------------------------+---------------------------+ -; Location ; Pin Name ; Reserved As ; User Signal Name ; Pin Type ; -+----------+-----------------------------+--------------------------+-------------------------+---------------------------+ -; E4 ; DIFFIO_L2p, nRESET ; Use as regular IO ; I1 ; Dual Purpose Pin ; -; D1 ; DIFFIO_L4n, DATA1, ASDO ; As input tri-stated ; ~ALTERA_ASDO_DATA1~ ; Dual Purpose Pin ; -; E2 ; DIFFIO_L6p, FLASH_nCE, nCSO ; As input tri-stated ; ~ALTERA_FLASH_nCE_nCSO~ ; Dual Purpose Pin ; -; K6 ; nSTATUS ; - ; - ; Dedicated Programming Pin ; -; K2 ; DCLK ; As output driving ground ; ~ALTERA_DCLK~ ; Dual Purpose Pin ; -; K1 ; DATA0 ; As input tri-stated ; ~ALTERA_DATA0~ ; Dual Purpose Pin ; -; K5 ; nCONFIG ; - ; - ; Dedicated Programming Pin ; -; L3 ; nCE ; - ; - ; Dedicated Programming Pin ; -; M18 ; CONF_DONE ; - ; - ; Dedicated Programming Pin ; -; M17 ; MSEL0 ; - ; - ; Dedicated Programming Pin ; -; L18 ; MSEL1 ; - ; - ; Dedicated Programming Pin ; -; L17 ; MSEL2 ; - ; - ; Dedicated Programming Pin ; -; K20 ; MSEL3 ; - ; - ; Dedicated Programming Pin ; -; K22 ; DIFFIO_R16n, nCEO ; Use as programming pin ; ~ALTERA_nCEO~ ; Dual Purpose Pin ; -+----------+-----------------------------+--------------------------+-------------------------+---------------------------+ - - -+------------------------------------------------------------+ -; I/O Bank Usage ; -+----------+------------------+---------------+--------------+ -; I/O Bank ; Usage ; VCCIO Voltage ; VREF Voltage ; -+----------+------------------+---------------+--------------+ -; 1 ; 18 / 33 ( 55 % ) ; 2.5V ; -- ; -; 2 ; 0 / 48 ( 0 % ) ; 2.5V ; -- ; -; 3 ; 0 / 46 ( 0 % ) ; 2.5V ; -- ; -; 4 ; 0 / 41 ( 0 % ) ; 2.5V ; -- ; -; 5 ; 0 / 46 ( 0 % ) ; 2.5V ; -- ; -; 6 ; 1 / 43 ( 2 % ) ; 2.5V ; -- ; -; 7 ; 0 / 47 ( 0 % ) ; 2.5V ; -- ; -; 8 ; 0 / 43 ( 0 % ) ; 2.5V ; -- ; -+----------+------------------+---------------+--------------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; All Package Pins ; -+----------+------------+----------+-----------------------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ -; Location ; Pad Number ; I/O Bank ; Pin Name/Usage ; Dir. ; I/O Standard ; Voltage ; I/O Type ; User Assignment ; Bus Hold ; Weak Pull Up ; -+----------+------------+----------+-----------------------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ -; A1 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; A2 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; A3 ; 354 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; A4 ; 350 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; A5 ; 345 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; A6 ; 336 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; A7 ; 334 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; A8 ; 332 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; A9 ; 328 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; A10 ; 326 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; A11 ; 321 ; 8 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; -; A12 ; 319 ; 7 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; -; A13 ; 314 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; A14 ; 312 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; A15 ; 307 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; A16 ; 298 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; A17 ; 296 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; A18 ; 291 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; A19 ; 290 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; A20 ; 284 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; A21 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; A22 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; AA1 ; 76 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; AA2 ; 75 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; AA3 ; 102 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AA4 ; 106 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AA5 ; 108 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AA6 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; AA7 ; 115 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AA8 ; 123 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AA9 ; 126 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AA10 ; 132 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AA11 ; 134 ; 3 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; -; AA12 ; 136 ; 4 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; -; AA13 ; 138 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AA14 ; 140 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AA15 ; 145 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AA16 ; 149 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AA17 ; 151 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AA18 ; 163 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AA19 ; 164 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AA20 ; 169 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AA21 ; 179 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; AA22 ; 178 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; AB1 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; AB2 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; AB3 ; 103 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AB4 ; 107 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AB5 ; 109 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AB6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; AB7 ; 116 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AB8 ; 124 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AB9 ; 127 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AB10 ; 133 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AB11 ; 135 ; 3 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; -; AB12 ; 137 ; 4 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; -; AB13 ; 139 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AB14 ; 141 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AB15 ; 146 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AB16 ; 150 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AB17 ; 152 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AB18 ; 162 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AB19 ; 165 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AB20 ; 170 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AB21 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; AB22 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; B1 ; 2 ; 1 ; D ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; -; B2 ; 1 ; 1 ; C ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; -; B3 ; 355 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; B4 ; 351 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; B5 ; 346 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; B6 ; 337 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; B7 ; 335 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; B8 ; 333 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; B9 ; 329 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; B10 ; 327 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; B11 ; 322 ; 8 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; -; B12 ; 320 ; 7 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; -; B13 ; 315 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; B14 ; 313 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; B15 ; 308 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; B16 ; 299 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; B17 ; 297 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; B18 ; 292 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; B19 ; 289 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; B20 ; 285 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; B21 ; 269 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; B22 ; 268 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; C1 ; 7 ; 1 ; A ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; -; C2 ; 6 ; 1 ; B ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; -; C3 ; 358 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; C4 ; 359 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; C5 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; C6 ; 349 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; C7 ; 340 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; C8 ; 339 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; C9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; C10 ; 330 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; C11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; C12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; C13 ; 309 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; C14 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; C15 ; 300 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; -; C16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; C17 ; 286 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; C18 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; C19 ; 282 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; C20 ; 270 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; C21 ; 267 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; C22 ; 266 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; D1 ; 9 ; 1 ; ~ALTERA_ASDO_DATA1~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 2.5 V ; ; Row I/O ; N ; no ; On ; -; D2 ; 8 ; 1 ; I0 ; input ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; -; D3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; D4 ; ; 1 ; VCCIO1 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; D5 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; D6 ; 356 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; -; D7 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; D8 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; D9 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; D10 ; 324 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; D11 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; D12 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; D13 ; 310 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; D14 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; D15 ; 293 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; D16 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; D17 ; 281 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; -; D18 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; D19 ; 283 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; D20 ; 271 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; D21 ; 261 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; D22 ; 260 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; E1 ; 14 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; E2 ; 13 ; 1 ; ~ALTERA_FLASH_nCE_nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 2.5 V ; ; Row I/O ; N ; no ; On ; -; E3 ; 5 ; 1 ; I2 ; input ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; -; E4 ; 4 ; 1 ; I1 ; input ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; -; E5 ; 363 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; E6 ; 362 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; E7 ; 357 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; E8 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; E9 ; 338 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; -; E10 ; 325 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; E11 ; 317 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; E12 ; 316 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; E13 ; 311 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; E14 ; 301 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; E15 ; 294 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; E16 ; 275 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; E17 ; ; ; VCCD_PLL2 ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; E18 ; ; ; GNDA2 ; gnd ; ; ; -- ; ; -- ; -- ; -; E19 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; E20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; E21 ; 256 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; E22 ; 255 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; F1 ; 16 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; F2 ; 15 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; F3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; F4 ; ; 1 ; VCCIO1 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; F5 ; ; ; GNDA3 ; gnd ; ; ; -- ; ; -- ; -- ; -; F6 ; ; ; VCCD_PLL3 ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; F7 ; 360 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; F8 ; 352 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; F9 ; 347 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; F10 ; 348 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; F11 ; 318 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; F12 ; 302 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; F13 ; 306 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; F14 ; 279 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; F15 ; 276 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; F16 ; 274 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; F17 ; 272 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; F18 ; ; -- ; VCCA2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; F19 ; 263 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; F20 ; 262 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; F21 ; 251 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; F22 ; 250 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; G1 ; 39 ; 1 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; -; G2 ; 38 ; 1 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; -; G3 ; 18 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; G4 ; 17 ; 1 ; I6 ; input ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; -; G5 ; 3 ; 1 ; I5 ; input ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; -; G6 ; ; -- ; VCCA3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; G7 ; 361 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; G8 ; 353 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; G9 ; 342 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; G10 ; 341 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; G11 ; 331 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; G12 ; 305 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; G13 ; 295 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; G14 ; 280 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; G15 ; 278 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; G16 ; 277 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; G17 ; 273 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; G18 ; 264 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; G19 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; G20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; G21 ; 226 ; 6 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; -; G22 ; 225 ; 6 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; -; H1 ; 26 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; H2 ; 25 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; H3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; H4 ; ; 1 ; VCCIO1 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; H5 ; 0 ; 1 ; I8 ; input ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; -; H6 ; 11 ; 1 ; I7 ; input ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; -; H7 ; 10 ; 1 ; I3 ; input ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; -; H8 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; H9 ; 344 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; H10 ; 343 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; H11 ; 323 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; H12 ; 304 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; H13 ; 303 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; H14 ; 288 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; H15 ; 287 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; H16 ; 259 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; H17 ; 265 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; H18 ; 257 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; -; H19 ; 254 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; H20 ; 253 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; H21 ; 246 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; H22 ; 245 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; J1 ; 29 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; J2 ; 28 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; J3 ; 27 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; -; J4 ; 24 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; J5 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; J6 ; 12 ; 1 ; I9 ; input ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; -; J7 ; 22 ; 1 ; I4 ; input ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; -; J8 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; J9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; J10 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; J11 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; J12 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; J13 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; J14 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; J15 ; 238 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; J16 ; 243 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; J17 ; 258 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; J18 ; 249 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; J19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; J20 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; J21 ; 242 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; J22 ; 241 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; K1 ; 31 ; 1 ; ~ALTERA_DATA0~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 2.5 V ; ; Row I/O ; N ; no ; On ; -; K2 ; 30 ; 1 ; ~ALTERA_DCLK~ ; output ; 2.5 V ; ; Row I/O ; N ; no ; On ; -; K3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; K4 ; ; 1 ; VCCIO1 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; K5 ; 32 ; 1 ; ^nCONFIG ; ; ; ; -- ; ; -- ; -- ; -; K6 ; 19 ; 1 ; ^nSTATUS ; ; ; ; -- ; ; -- ; -- ; -; K7 ; 23 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; K8 ; 21 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; K9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; K10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; K11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; K12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; K13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; K14 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; K15 ; 236 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; K16 ; 244 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; K17 ; 247 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; K18 ; 248 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; K19 ; 237 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; -; K20 ; 231 ; 6 ; ^MSEL3 ; ; ; ; -- ; ; -- ; -- ; -; K21 ; 240 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; K22 ; 239 ; 6 ; ~ALTERA_nCEO~ / RESERVED_OUTPUT_OPEN_DRAIN ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ; -; L1 ; 35 ; 1 ; #TMS ; input ; ; ; -- ; ; -- ; -- ; -; L2 ; 34 ; 1 ; #TCK ; input ; ; ; -- ; ; -- ; -- ; -; L3 ; 37 ; 1 ; ^nCE ; ; ; ; -- ; ; -- ; -- ; -; L4 ; 36 ; 1 ; #TDO ; output ; ; ; -- ; ; -- ; -- ; -; L5 ; 33 ; 1 ; #TDI ; input ; ; ; -- ; ; -- ; -- ; -; L6 ; 42 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; L7 ; 50 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; L8 ; 20 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; L9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; L10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; L11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; L12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; L13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; L14 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; L15 ; 233 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; L16 ; 232 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; L17 ; 230 ; 6 ; ^MSEL2 ; ; ; ; -- ; ; -- ; -- ; -; L18 ; 229 ; 6 ; ^MSEL1 ; ; ; ; -- ; ; -- ; -- ; -; L19 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; L20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; L21 ; 235 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; L22 ; 234 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; M1 ; 45 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; M2 ; 44 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; M3 ; 47 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; M4 ; 46 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; M5 ; 51 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; -; M6 ; 43 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; M7 ; 65 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; M8 ; 66 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; M9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; M10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; M11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; M12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; M13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; M14 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; M15 ; 195 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; M16 ; 222 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; M17 ; 228 ; 6 ; ^MSEL0 ; ; ; ; -- ; ; -- ; -- ; -; M18 ; 227 ; 6 ; ^CONF_DONE ; ; ; ; -- ; ; -- ; -- ; -; M19 ; 221 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; M20 ; 220 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; M21 ; 219 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; M22 ; 218 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; N1 ; 49 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; N2 ; 48 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; N3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; N4 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; N5 ; 56 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; N6 ; 64 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; N7 ; 73 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; N8 ; 67 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; N9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; N10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; N11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; N12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; N13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; N14 ; 189 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; N15 ; 196 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; N16 ; 205 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; N17 ; 214 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; N18 ; 215 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; N19 ; 213 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; N20 ; 212 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; N21 ; 217 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; N22 ; 216 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; P1 ; 53 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; P2 ; 52 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; P3 ; 58 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; P4 ; 57 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; P5 ; 63 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; P6 ; 79 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; P7 ; 74 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; P8 ; 86 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; P9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; P10 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; P11 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; P12 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; P13 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; P14 ; 180 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; P15 ; 192 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; P16 ; 193 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; P17 ; 197 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; P18 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; P19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; P20 ; 208 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; -; P21 ; 211 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; P22 ; 210 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; R1 ; 55 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; R2 ; 54 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; R3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; R4 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; R5 ; 80 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; R6 ; 83 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; R7 ; 84 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; R8 ; 87 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; R9 ; 88 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; R10 ; 90 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; R11 ; 97 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; R12 ; 98 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; R13 ; 153 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; R14 ; 175 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; R15 ; 176 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; R16 ; 172 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; R17 ; 194 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; -; R18 ; 203 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; R19 ; 204 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; R20 ; 200 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; R21 ; 207 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; R22 ; 206 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; T1 ; 41 ; 2 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; -; T2 ; 40 ; 2 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; -; T3 ; 72 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; -; T4 ; 81 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; T5 ; 82 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; T6 ; ; -- ; VCCA1 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; T7 ; 85 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; T8 ; 89 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; T9 ; 91 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; T10 ; 121 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; T11 ; 125 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; T12 ; 148 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; T13 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; T14 ; 160 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; T15 ; 161 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; T16 ; 171 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; T17 ; 181 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; T18 ; 182 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; T19 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; T20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; T21 ; 224 ; 5 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; -; T22 ; 223 ; 5 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; -; U1 ; 60 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; U2 ; 59 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; U3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; U4 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; U5 ; ; ; GNDA1 ; gnd ; ; ; -- ; ; -- ; -- ; -; U6 ; ; ; VCCD_PLL1 ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; U7 ; 94 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; U8 ; 95 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; U9 ; 112 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; U10 ; 122 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; U11 ; 128 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; U12 ; 147 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; U13 ; 156 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; U14 ; 174 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; U15 ; 173 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; U16 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; U17 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; U18 ; ; -- ; VCCA4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; U19 ; 188 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; U20 ; 187 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; U21 ; 202 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; U22 ; 201 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; V1 ; 62 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; V2 ; 61 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; V3 ; 78 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; V4 ; 77 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; V5 ; 93 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; V6 ; 92 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; V7 ; 105 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; V8 ; 113 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; V9 ; 119 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; -; V10 ; 120 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; V11 ; 129 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; V12 ; 142 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; V13 ; 154 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; V14 ; 157 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; V15 ; 158 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; V16 ; 168 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; -; V17 ; ; ; VCCD_PLL4 ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; V18 ; ; ; GNDA4 ; gnd ; ; ; -- ; ; -- ; -- ; -; V19 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; V20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; V21 ; 199 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; V22 ; 198 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; W1 ; 69 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; W2 ; 68 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; W3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; W4 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; W5 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; W6 ; 104 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; W7 ; 110 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; W8 ; 114 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; W9 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; W10 ; 130 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; W11 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; W12 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; W13 ; 143 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; W14 ; 155 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; -; W15 ; 159 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; W16 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; W17 ; 166 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; W18 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; W19 ; 184 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; W20 ; 183 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; W21 ; 191 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; W22 ; 190 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; Y1 ; 71 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; Y2 ; 70 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; Y3 ; 99 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; Y4 ; 96 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; -; Y5 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; Y6 ; 101 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; Y7 ; 111 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; Y8 ; 117 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; Y9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; Y10 ; 131 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; Y11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; Y12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; Y13 ; 144 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; Y14 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; Y15 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; Y16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; Y17 ; 167 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; Y18 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; Y19 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; Y20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; Y21 ; 186 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; Y22 ; 185 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -+----------+------------+----------+-----------------------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ -Note: Pin directions (input, output or bidir) are based on device operating in user mode. - - -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fitter Resource Utilization by Entity ; -+------------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+------------------------------------+--------------+ -; Compilation Hierarchy Node ; Logic Cells ; Dedicated Logic Registers ; I/O Registers ; Memory Bits ; M9Ks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Full Hierarchy Name ; Library Name ; -+------------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+------------------------------------+--------------+ -; |Ten_line_to_four_line_BCD_encoder ; 5 (5) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 14 ; 0 ; 5 (5) ; 0 (0) ; 0 (0) ; |Ten_line_to_four_line_BCD_encoder ; work ; -+------------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+------------------------------------+--------------+ -Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. - - -+--------------------------------------------------------------------------------------+ -; Delay Chain Summary ; -+------+----------+---------------+---------------+-----------------------+-----+------+ -; Name ; Pin Type ; Pad to Core 0 ; Pad to Core 1 ; Pad to Input Register ; TCO ; TCOE ; -+------+----------+---------------+---------------+-----------------------+-----+------+ -; A ; Output ; -- ; -- ; -- ; -- ; -- ; -; B ; Output ; -- ; -- ; -- ; -- ; -- ; -; C ; Output ; -- ; -- ; -- ; -- ; -- ; -; D ; Output ; -- ; -- ; -- ; -- ; -- ; -; I0 ; Input ; -- ; -- ; -- ; -- ; -- ; -; I9 ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ; -; I5 ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; -; I7 ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; -; I1 ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; -; I3 ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ; -; I2 ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; -; I6 ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ; -; I4 ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ; -; I8 ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; -+------+----------+---------------+---------------+-----------------------+-----+------+ - - -+---------------------------------------------------+ -; Pad To Core Delay Chain Fanout ; -+---------------------+-------------------+---------+ -; Source Pin / Fanout ; Pad To Core Index ; Setting ; -+---------------------+-------------------+---------+ -; I0 ; ; ; -; I9 ; ; ; -; - or1 ; 1 ; 6 ; -; - or4 ; 1 ; 6 ; -; I5 ; ; ; -; - or1~0 ; 0 ; 6 ; -; - or3~0 ; 0 ; 6 ; -; I7 ; ; ; -; - or1~0 ; 0 ; 6 ; -; - or2~0 ; 0 ; 6 ; -; - or3~0 ; 0 ; 6 ; -; I1 ; ; ; -; - or1~0 ; 0 ; 6 ; -; I3 ; ; ; -; - or1~0 ; 1 ; 6 ; -; - or2~0 ; 1 ; 6 ; -; I2 ; ; ; -; - or2~0 ; 0 ; 6 ; -; I6 ; ; ; -; - or2~0 ; 1 ; 6 ; -; - or3~0 ; 1 ; 6 ; -; I4 ; ; ; -; - or3~0 ; 1 ; 6 ; -; I8 ; ; ; -; - or4 ; 0 ; 6 ; -+---------------------+-------------------+---------+ - - -+---------------------------------+ -; Non-Global High Fan-Out Signals ; -+----------+----------------------+ -; Name ; Fan-Out ; -+----------+----------------------+ -; I7~input ; 3 ; -; I6~input ; 2 ; -; I3~input ; 2 ; -; I5~input ; 2 ; -; I9~input ; 2 ; -; I8~input ; 1 ; -; I4~input ; 1 ; -; I2~input ; 1 ; -; I1~input ; 1 ; -; or4 ; 1 ; -; or3~0 ; 1 ; -; or2~0 ; 1 ; -; or1 ; 1 ; -; or1~0 ; 1 ; -+----------+----------------------+ - - -+-----------------------------------------------+ -; Routing Usage Summary ; -+-----------------------+-----------------------+ -; Routing Resource Type ; Usage ; -+-----------------------+-----------------------+ -; Block interconnects ; 13 / 47,787 ( < 1 % ) ; -; C16 interconnects ; 0 / 1,804 ( 0 % ) ; -; C4 interconnects ; 10 / 31,272 ( < 1 % ) ; -; Direct links ; 2 / 47,787 ( < 1 % ) ; -; Global clocks ; 0 / 20 ( 0 % ) ; -; Local interconnects ; 1 / 15,408 ( < 1 % ) ; -; R24 interconnects ; 0 / 1,775 ( 0 % ) ; -; R4 interconnects ; 0 / 41,310 ( 0 % ) ; -+-----------------------+-----------------------+ - - -+--------------------------------------------------------------------------+ -; LAB Logic Elements ; -+--------------------------------------------+-----------------------------+ -; Number of Logic Elements (Average = 5.00) ; Number of LABs (Total = 1) ; -+--------------------------------------------+-----------------------------+ -; 1 ; 0 ; -; 2 ; 0 ; -; 3 ; 0 ; -; 4 ; 0 ; -; 5 ; 1 ; -; 6 ; 0 ; -; 7 ; 0 ; -; 8 ; 0 ; -; 9 ; 0 ; -; 10 ; 0 ; -; 11 ; 0 ; -; 12 ; 0 ; -; 13 ; 0 ; -; 14 ; 0 ; -; 15 ; 0 ; -; 16 ; 0 ; -+--------------------------------------------+-----------------------------+ - - -+---------------------------------------------------------------------------+ -; LAB Signals Sourced ; -+---------------------------------------------+-----------------------------+ -; Number of Signals Sourced (Average = 5.00) ; Number of LABs (Total = 1) ; -+---------------------------------------------+-----------------------------+ -; 0 ; 0 ; -; 1 ; 0 ; -; 2 ; 0 ; -; 3 ; 0 ; -; 4 ; 0 ; -; 5 ; 1 ; -+---------------------------------------------+-----------------------------+ - - -+-------------------------------------------------------------------------------+ -; LAB Signals Sourced Out ; -+-------------------------------------------------+-----------------------------+ -; Number of Signals Sourced Out (Average = 4.00) ; Number of LABs (Total = 1) ; -+-------------------------------------------------+-----------------------------+ -; 0 ; 0 ; -; 1 ; 0 ; -; 2 ; 0 ; -; 3 ; 0 ; -; 4 ; 1 ; -+-------------------------------------------------+-----------------------------+ - - -+---------------------------------------------------------------------------+ -; LAB Distinct Inputs ; -+---------------------------------------------+-----------------------------+ -; Number of Distinct Inputs (Average = 9.00) ; Number of LABs (Total = 1) ; -+---------------------------------------------+-----------------------------+ -; 0 ; 0 ; -; 1 ; 0 ; -; 2 ; 0 ; -; 3 ; 0 ; -; 4 ; 0 ; -; 5 ; 0 ; -; 6 ; 0 ; -; 7 ; 0 ; -; 8 ; 0 ; -; 9 ; 1 ; -+---------------------------------------------+-----------------------------+ - - -+------------------------------------------+ -; I/O Rules Summary ; -+----------------------------------+-------+ -; I/O Rules Statistic ; Total ; -+----------------------------------+-------+ -; Total I/O Rules ; 30 ; -; Number of I/O Rules Passed ; 12 ; -; Number of I/O Rules Failed ; 0 ; -; Number of I/O Rules Unchecked ; 0 ; -; Number of I/O Rules Inapplicable ; 18 ; -+----------------------------------+-------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; I/O Rules Details ; -+--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ -; Status ; ID ; Category ; Rule Description ; Severity ; Information ; Area ; Extra Information ; -+--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ -; Pass ; IO_000001 ; Capacity Checks ; Number of pins in an I/O bank should not exceed the number of locations available. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000002 ; Capacity Checks ; Number of clocks in an I/O bank should not exceed the number of clocks available. ; Critical ; No Global Signal assignments found. ; I/O ; ; -; Pass ; IO_000003 ; Capacity Checks ; Number of pins in a Vrefgroup should not exceed the number of locations available. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000004 ; Voltage Compatibility Checks ; The I/O bank should support the requested VCCIO. ; Critical ; No IOBANK_VCCIO assignments found. ; I/O ; ; -; Inapplicable ; IO_000005 ; Voltage Compatibility Checks ; The I/O bank should not have competing VREF values. ; Critical ; No VREF I/O Standard assignments found. ; I/O ; ; -; Pass ; IO_000006 ; Voltage Compatibility Checks ; The I/O bank should not have competing VCCIO values. ; Critical ; 0 such failures found. ; I/O ; ; -; Pass ; IO_000007 ; Valid Location Checks ; Checks for unavailable locations. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000008 ; Valid Location Checks ; Checks for reserved locations. ; Critical ; No reserved LogicLock region found. ; I/O ; ; -; Pass ; IO_000009 ; I/O Properties Checks for One I/O ; The location should support the requested I/O standard. ; Critical ; 0 such failures found. ; I/O ; ; -; Pass ; IO_000010 ; I/O Properties Checks for One I/O ; The location should support the requested I/O direction. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000011 ; I/O Properties Checks for One I/O ; The location should support the requested Current Strength. ; Critical ; No Current Strength assignments found. ; I/O ; ; -; Pass ; IO_000012 ; I/O Properties Checks for One I/O ; The location should support the requested On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000013 ; I/O Properties Checks for One I/O ; The location should support the requested Bus Hold value. ; Critical ; No Enable Bus-Hold Circuitry assignments found. ; I/O ; ; -; Inapplicable ; IO_000014 ; I/O Properties Checks for One I/O ; The location should support the requested Weak Pull Up value. ; Critical ; No Weak Pull-Up Resistor assignments found. ; I/O ; ; -; Pass ; IO_000015 ; I/O Properties Checks for One I/O ; The location should support the requested PCI Clamp Diode. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000018 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Current Strength. ; Critical ; No Current Strength assignments found. ; I/O ; ; -; Pass ; IO_000019 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; -; Pass ; IO_000020 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested PCI Clamp Diode. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000021 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Weak Pull Up value. ; Critical ; No Weak Pull-Up Resistor assignments found. ; I/O ; ; -; Inapplicable ; IO_000022 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Bus Hold value. ; Critical ; No Enable Bus-Hold Circuitry assignments found. ; I/O ; ; -; Inapplicable ; IO_000023 ; I/O Properties Checks for One I/O ; The I/O standard should support the Open Drain value. ; Critical ; No open drain assignments found. ; I/O ; ; -; Pass ; IO_000024 ; I/O Properties Checks for One I/O ; The I/O direction should support the On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000026 ; I/O Properties Checks for One I/O ; On Chip Termination and Current Strength should not be used at the same time. ; Critical ; No Current Strength assignments found. ; I/O ; ; -; Inapplicable ; IO_000027 ; I/O Properties Checks for One I/O ; Weak Pull Up and Bus Hold should not be used at the same time. ; Critical ; No Enable Bus-Hold Circuitry or Weak Pull-Up Resistor assignments found. ; I/O ; ; -; Inapplicable ; IO_000045 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Slew Rate value. ; Critical ; No Slew Rate assignments found. ; I/O ; ; -; Inapplicable ; IO_000046 ; I/O Properties Checks for One I/O ; The location should support the requested Slew Rate value. ; Critical ; No Slew Rate assignments found. ; I/O ; ; -; Inapplicable ; IO_000047 ; I/O Properties Checks for One I/O ; On Chip Termination and Slew Rate should not be used at the same time. ; Critical ; No Slew Rate assignments found. ; I/O ; ; -; Pass ; IO_000033 ; Electromigration Checks ; Current density for consecutive I/Os should not exceed 240mA for row I/Os and 240mA for column I/Os. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000034 ; SI Related Distance Checks ; Single-ended outputs should be 5 LAB row(s) away from a differential I/O. ; High ; No Differential I/O Standard assignments found. ; I/O ; ; -; Inapplicable ; IO_000042 ; SI Related SSO Limit Checks ; No more than 20 outputs are allowed in a VREF group when VREF is being read from. ; High ; No VREF I/O Standard assignments found. ; I/O ; ; -; ---- ; ---- ; Disclaimer ; OCT rules are checked but not reported. ; None ; ---- ; On Chip Termination ; ; -+--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; I/O Rules Matrix ; -+--------------------+-----------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+ -; Pin/Rules ; IO_000001 ; IO_000002 ; IO_000003 ; IO_000004 ; IO_000005 ; IO_000006 ; IO_000007 ; IO_000008 ; IO_000009 ; IO_000010 ; IO_000011 ; IO_000012 ; IO_000013 ; IO_000014 ; IO_000015 ; IO_000018 ; IO_000019 ; IO_000020 ; IO_000021 ; IO_000022 ; IO_000023 ; IO_000024 ; IO_000026 ; IO_000027 ; IO_000045 ; IO_000046 ; IO_000047 ; IO_000033 ; IO_000034 ; IO_000042 ; -+--------------------+-----------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+ -; Total Pass ; 14 ; 0 ; 14 ; 0 ; 0 ; 14 ; 14 ; 0 ; 14 ; 14 ; 0 ; 4 ; 0 ; 0 ; 10 ; 0 ; 4 ; 10 ; 0 ; 0 ; 0 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 14 ; 0 ; 0 ; -; Total Unchecked ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; Total Inapplicable ; 0 ; 14 ; 0 ; 14 ; 14 ; 0 ; 0 ; 14 ; 0 ; 0 ; 14 ; 10 ; 14 ; 14 ; 4 ; 14 ; 10 ; 4 ; 14 ; 14 ; 14 ; 10 ; 14 ; 14 ; 14 ; 14 ; 14 ; 0 ; 14 ; 14 ; -; Total Fail ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; A ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; B ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; C ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; D ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; I0 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; I9 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; I5 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; I7 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; I1 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; I3 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; I2 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; I6 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; I4 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; I8 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -+--------------------+-----------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+ - - -+---------------------------------------------------------------------------------------------+ -; Fitter Device Options ; -+------------------------------------------------------------------+--------------------------+ -; Option ; Setting ; -+------------------------------------------------------------------+--------------------------+ -; Enable user-supplied start-up clock (CLKUSR) ; Off ; -; Enable device-wide reset (DEV_CLRn) ; Off ; -; Enable device-wide output enable (DEV_OE) ; Off ; -; Enable INIT_DONE output ; Off ; -; Configuration scheme ; Active Serial ; -; Error detection CRC ; Off ; -; Enable open drain on CRC_ERROR pin ; Off ; -; Enable input tri-state on active configuration pins in user mode ; Off ; -; Configuration Voltage Level ; Auto ; -; Force Configuration Voltage Level ; Off ; -; nCEO ; As output driving ground ; -; Data[0] ; As input tri-stated ; -; Data[1]/ASDO ; As input tri-stated ; -; Data[7..2] ; Unreserved ; -; FLASH_nCE/nCSO ; As input tri-stated ; -; Other Active Parallel pins ; Unreserved ; -; DCLK ; As output driving ground ; -; Base pin-out file on sameframe device ; Off ; -+------------------------------------------------------------------+--------------------------+ - - -+------------------------------------+ -; Operating Settings and Conditions ; -+---------------------------+--------+ -; Setting ; Value ; -+---------------------------+--------+ -; Nominal Core Voltage ; 1.20 V ; -; Low Junction Temperature ; 0 °C ; -; High Junction Temperature ; 85 °C ; -+---------------------------+--------+ - - -+-----------------+ -; Fitter Messages ; -+-----------------+ -Warning (20028): Parallel compilation is not licensed and has been disabled -Info (119006): Selected device EP3C16F484C6 for design "Ten_line_to_four_line_BCD_encoder" -Info (21077): Core supply voltage is 1.2V -Info (21077): Low junction temperature is 0 degrees C -Info (21077): High junction temperature is 85 degrees C -Info (171003): Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time -Warning (292013): Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature. -Info (176444): Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices - Info (176445): Device EP3C40F484C6 is compatible - Info (176445): Device EP3C55F484C6 is compatible - Info (176445): Device EP3C80F484C6 is compatible -Info (169124): Fitter converted 5 user pins into dedicated programming pins - Info (169125): Pin ~ALTERA_ASDO_DATA1~ is reserved at location D1 - Info (169125): Pin ~ALTERA_FLASH_nCE_nCSO~ is reserved at location E2 - Info (169125): Pin ~ALTERA_DCLK~ is reserved at location K2 - Info (169125): Pin ~ALTERA_DATA0~ is reserved at location K1 - Info (169125): Pin ~ALTERA_nCEO~ is reserved at location K22 -Warning (15714): Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details -Critical Warning (332012): Synopsys Design Constraints File file not found: 'Ten_line_to_four_line_BCD_encoder.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. -Info (332144): No user constrained base clocks found in the design -Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. -Warning (332068): No clocks defined in design. -Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty" -Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. -Info (332130): Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time. -Info (176233): Starting register packing -Info (176235): Finished register packing - Extra Info (176219): No registers were packed into other blocks -Info (171121): Fitter preparation operations ending: elapsed time is 00:00:02 -Info (170189): Fitter placement preparation operations beginning -Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:00 -Info (170191): Fitter placement operations beginning -Info (170137): Fitter placement was successful -Info (170192): Fitter placement operations ending: elapsed time is 00:00:00 -Info (170193): Fitter routing operations beginning -Info (170195): Router estimated average interconnect usage is 0% of the available device resources - Info (170196): Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X0_Y20 to location X9_Y29 -Info (170194): Fitter routing operations ending: elapsed time is 00:00:00 -Info (170199): The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time. - Info (170201): Optimizations that may affect the design's routability were skipped - Info (170200): Optimizations that may affect the design's timing were skipped -Info (11888): Total time spent on timing analysis during the Fitter is 0.20 seconds. -Info (334003): Started post-fitting delay annotation -Info (334004): Delay annotation completed successfully -Info (334003): Started post-fitting delay annotation -Info (334004): Delay annotation completed successfully -Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:02 -Info (144001): Generated suppressed messages file /home/timmy/Git/Learn-VHDL/CH6/CH6-1/output_files/Ten_line_to_four_line_BCD_encoder.fit.smsg -Info: Quartus II 32-bit Fitter was successful. 0 errors, 5 warnings - Info: Peak virtual memory: 535 megabytes - Info: Processing ended: Tue Oct 1 00:12:53 2019 - Info: Elapsed time: 00:00:12 - Info: Total CPU time (on all processors): 00:00:12 - - -+----------------------------+ -; Fitter Suppressed Messages ; -+----------------------------+ -The suppressed messages can be found in /home/timmy/Git/Learn-VHDL/CH6/CH6-1/output_files/Ten_line_to_four_line_BCD_encoder.fit.smsg. - - diff --git a/CH6/CH6-1/output_files/Ten_line_to_four_line_BCD_encoder.fit.smsg b/CH6/CH6-1/output_files/Ten_line_to_four_line_BCD_encoder.fit.smsg deleted file mode 100644 index 7121cbb1..00000000 --- a/CH6/CH6-1/output_files/Ten_line_to_four_line_BCD_encoder.fit.smsg +++ /dev/null @@ -1,8 +0,0 @@ -Extra Info (176273): Performing register packing on registers with non-logic cell location assignments -Extra Info (176274): Completed register packing on registers with non-logic cell location assignments -Extra Info (176236): Started Fast Input/Output/OE register processing -Extra Info (176237): Finished Fast Input/Output/OE register processing -Extra Info (176238): Start inferring scan chains for DSP blocks -Extra Info (176239): Inferring scan chains for DSP blocks is complete -Extra Info (176248): Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density -Extra Info (176249): Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks diff --git a/CH6/CH6-1/output_files/Ten_line_to_four_line_BCD_encoder.fit.summary b/CH6/CH6-1/output_files/Ten_line_to_four_line_BCD_encoder.fit.summary deleted file mode 100644 index 0f593a3d..00000000 --- a/CH6/CH6-1/output_files/Ten_line_to_four_line_BCD_encoder.fit.summary +++ /dev/null @@ -1,16 +0,0 @@ -Fitter Status : Successful - Tue Oct 1 00:12:53 2019 -Quartus II 32-bit Version : 13.1.0 Build 162 10/23/2013 SJ Web Edition -Revision Name : Ten_line_to_four_line_BCD_encoder -Top-level Entity Name : Ten_line_to_four_line_BCD_encoder -Family : Cyclone III -Device : EP3C16F484C6 -Timing Models : Final -Total logic elements : 5 / 15,408 ( < 1 % ) - Total combinational functions : 5 / 15,408 ( < 1 % ) - Dedicated logic registers : 0 / 15,408 ( 0 % ) -Total registers : 0 -Total pins : 14 / 347 ( 4 % ) -Total virtual pins : 0 -Total memory bits : 0 / 516,096 ( 0 % ) -Embedded Multiplier 9-bit elements : 0 / 112 ( 0 % ) -Total PLLs : 0 / 4 ( 0 % ) diff --git a/CH6/CH6-1/output_files/Ten_line_to_four_line_BCD_encoder.flow.rpt b/CH6/CH6-1/output_files/Ten_line_to_four_line_BCD_encoder.flow.rpt deleted file mode 100644 index d3156c42..00000000 --- a/CH6/CH6-1/output_files/Ten_line_to_four_line_BCD_encoder.flow.rpt +++ /dev/null @@ -1,128 +0,0 @@ -Flow report for Ten_line_to_four_line_BCD_encoder -Tue Oct 1 00:13:13 2019 -Quartus II 32-bit Version 13.1.0 Build 162 10/23/2013 SJ Web Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. Flow Summary - 3. Flow Settings - 4. Flow Non-Default Global Settings - 5. Flow Elapsed Time - 6. Flow OS Summary - 7. Flow Log - 8. Flow Messages - 9. Flow Suppressed Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 1991-2013 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. - - - -+---------------------------------------------------------------------------------+ -; Flow Summary ; -+------------------------------------+--------------------------------------------+ -; Flow Status ; Successful - Tue Oct 1 00:13:13 2019 ; -; Quartus II 32-bit Version ; 13.1.0 Build 162 10/23/2013 SJ Web Edition ; -; Revision Name ; Ten_line_to_four_line_BCD_encoder ; -; Top-level Entity Name ; Ten_line_to_four_line_BCD_encoder ; -; Family ; Cyclone III ; -; Device ; EP3C16F484C6 ; -; Timing Models ; Final ; -; Total logic elements ; 5 / 15,408 ( < 1 % ) ; -; Total combinational functions ; 5 / 15,408 ( < 1 % ) ; -; Dedicated logic registers ; 0 / 15,408 ( 0 % ) ; -; Total registers ; 0 ; -; Total pins ; 14 / 347 ( 4 % ) ; -; Total virtual pins ; 0 ; -; Total memory bits ; 0 / 516,096 ( 0 % ) ; -; Embedded Multiplier 9-bit elements ; 0 / 112 ( 0 % ) ; -; Total PLLs ; 0 / 4 ( 0 % ) ; -+------------------------------------+--------------------------------------------+ - - -+-------------------------------------------------------+ -; Flow Settings ; -+-------------------+-----------------------------------+ -; Option ; Setting ; -+-------------------+-----------------------------------+ -; Start date & time ; 10/01/2019 00:12:36 ; -; Main task ; Compilation ; -; Revision Name ; Ten_line_to_four_line_BCD_encoder ; -+-------------------+-----------------------------------+ - - -+-------------------------------------------------------------------------------------------------------------+ -; Flow Non-Default Global Settings ; -+-------------------------------------+------------------------+---------------+-------------+----------------+ -; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; -+-------------------------------------+------------------------+---------------+-------------+----------------+ -; COMPILER_SIGNATURE_ID ; 0.156985995612465 ; -- ; -- ; -- ; -; EDA_OUTPUT_DATA_FORMAT ; Vhdl ; -- ; -- ; eda_simulation ; -; EDA_SIMULATION_TOOL ; ModelSim-Altera (VHDL) ; ; -- ; -- ; -; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; -; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; -; NOMINAL_CORE_SUPPLY_VOLTAGE ; 1.2V ; -- ; -- ; -- ; -; PARTITION_COLOR ; 16764057 ; -- ; -- ; Top ; -; PARTITION_FITTER_PRESERVATION_LEVEL ; PLACEMENT_AND_ROUTING ; -- ; -- ; Top ; -; PARTITION_NETLIST_TYPE ; SOURCE ; -- ; -- ; Top ; -; PROJECT_OUTPUT_DIRECTORY ; output_files ; -- ; -- ; -- ; -+-------------------------------------+------------------------+---------------+-------------+----------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------+ -; Flow Elapsed Time ; -+---------------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; -+---------------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Analysis & Synthesis ; 00:00:02 ; 1.0 ; 360 MB ; 00:00:02 ; -; Fitter ; 00:00:12 ; 1.0 ; 535 MB ; 00:00:12 ; -; Assembler ; 00:00:03 ; 1.0 ; 382 MB ; 00:00:03 ; -; TimeQuest Timing Analyzer ; 00:00:03 ; 1.0 ; 383 MB ; 00:00:03 ; -; EDA Netlist Writer ; 00:00:02 ; 1.0 ; 338 MB ; 00:00:01 ; -; Total ; 00:00:22 ; -- ; -- ; 00:00:21 ; -+---------------------------+--------------+-------------------------+---------------------+------------------------------------+ - - -+----------------------------------------------------------------------------------------------+ -; Flow OS Summary ; -+---------------------------+-------------------+----------------+------------+----------------+ -; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; -+---------------------------+-------------------+----------------+------------+----------------+ -; Analysis & Synthesis ; timmy-Aspire-4750 ; Ubuntu 16.04.6 ; 16 ; x86_64 ; -; Fitter ; timmy-Aspire-4750 ; Ubuntu 16.04.6 ; 16 ; x86_64 ; -; Assembler ; timmy-Aspire-4750 ; Ubuntu 16.04.6 ; 16 ; x86_64 ; -; TimeQuest Timing Analyzer ; timmy-Aspire-4750 ; Ubuntu 16.04.6 ; 16 ; x86_64 ; -; EDA Netlist Writer ; timmy-Aspire-4750 ; Ubuntu 16.04.6 ; 16 ; x86_64 ; -+---------------------------+-------------------+----------------+------------+----------------+ - - ------------- -; Flow Log ; ------------- -quartus_map --read_settings_files=on --write_settings_files=off Ten_line_to_four_line_BCD_encoder -c Ten_line_to_four_line_BCD_encoder -quartus_fit --read_settings_files=off --write_settings_files=off Ten_line_to_four_line_BCD_encoder -c Ten_line_to_four_line_BCD_encoder -quartus_asm --read_settings_files=off --write_settings_files=off Ten_line_to_four_line_BCD_encoder -c Ten_line_to_four_line_BCD_encoder -quartus_sta Ten_line_to_four_line_BCD_encoder -c Ten_line_to_four_line_BCD_encoder -quartus_eda --read_settings_files=off --write_settings_files=off Ten_line_to_four_line_BCD_encoder -c Ten_line_to_four_line_BCD_encoder - - - diff --git a/CH6/CH6-1/output_files/Ten_line_to_four_line_BCD_encoder.jdi b/CH6/CH6-1/output_files/Ten_line_to_four_line_BCD_encoder.jdi deleted file mode 100644 index 61bb85cb..00000000 --- a/CH6/CH6-1/output_files/Ten_line_to_four_line_BCD_encoder.jdi +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/CH6/CH6-1/output_files/Ten_line_to_four_line_BCD_encoder.map.rpt b/CH6/CH6-1/output_files/Ten_line_to_four_line_BCD_encoder.map.rpt deleted file mode 100644 index b1e5f025..00000000 --- a/CH6/CH6-1/output_files/Ten_line_to_four_line_BCD_encoder.map.rpt +++ /dev/null @@ -1,260 +0,0 @@ -Analysis & Synthesis report for Ten_line_to_four_line_BCD_encoder -Tue Oct 1 00:12:38 2019 -Quartus II 32-bit Version 13.1.0 Build 162 10/23/2013 SJ Web Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. Analysis & Synthesis Summary - 3. Analysis & Synthesis Settings - 4. Parallel Compilation - 5. Analysis & Synthesis Source Files Read - 6. Analysis & Synthesis Resource Usage Summary - 7. Analysis & Synthesis Resource Utilization by Entity - 8. General Register Statistics - 9. Elapsed Time Per Partition - 10. Analysis & Synthesis Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 1991-2013 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. - - - -+---------------------------------------------------------------------------------+ -; Analysis & Synthesis Summary ; -+------------------------------------+--------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Tue Oct 1 00:12:38 2019 ; -; Quartus II 32-bit Version ; 13.1.0 Build 162 10/23/2013 SJ Web Edition ; -; Revision Name ; Ten_line_to_four_line_BCD_encoder ; -; Top-level Entity Name ; Ten_line_to_four_line_BCD_encoder ; -; Family ; Cyclone III ; -; Total logic elements ; 5 ; -; Total combinational functions ; 5 ; -; Dedicated logic registers ; 0 ; -; Total registers ; 0 ; -; Total pins ; 14 ; -; Total virtual pins ; 0 ; -; Total memory bits ; 0 ; -; Embedded Multiplier 9-bit elements ; 0 ; -; Total PLLs ; 0 ; -+------------------------------------+--------------------------------------------+ - - -+----------------------------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Settings ; -+----------------------------------------------------------------------------+-----------------------------------+-----------------------------------+ -; Option ; Setting ; Default Value ; -+----------------------------------------------------------------------------+-----------------------------------+-----------------------------------+ -; Device ; EP3C16F484C6 ; ; -; Top-level entity name ; Ten_line_to_four_line_BCD_encoder ; Ten_line_to_four_line_BCD_encoder ; -; Family name ; Cyclone III ; Cyclone IV GX ; -; Use smart compilation ; Off ; Off ; -; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; -; Enable compact report table ; Off ; Off ; -; Restructure Multiplexers ; Auto ; Auto ; -; Create Debugging Nodes for IP Cores ; Off ; Off ; -; Preserve fewer node names ; On ; On ; -; Disable OpenCore Plus hardware evaluation ; Off ; Off ; -; Verilog Version ; Verilog_2001 ; Verilog_2001 ; -; VHDL Version ; VHDL_1993 ; VHDL_1993 ; -; State Machine Processing ; Auto ; Auto ; -; Safe State Machine ; Off ; Off ; -; Extract Verilog State Machines ; On ; On ; -; Extract VHDL State Machines ; On ; On ; -; Ignore Verilog initial constructs ; Off ; Off ; -; Iteration limit for constant Verilog loops ; 5000 ; 5000 ; -; Iteration limit for non-constant Verilog loops ; 250 ; 250 ; -; Add Pass-Through Logic to Inferred RAMs ; On ; On ; -; Infer RAMs from Raw Logic ; On ; On ; -; Parallel Synthesis ; On ; On ; -; DSP Block Balancing ; Auto ; Auto ; -; NOT Gate Push-Back ; On ; On ; -; Power-Up Don't Care ; On ; On ; -; Remove Redundant Logic Cells ; Off ; Off ; -; Remove Duplicate Registers ; On ; On ; -; Ignore CARRY Buffers ; Off ; Off ; -; Ignore CASCADE Buffers ; Off ; Off ; -; Ignore GLOBAL Buffers ; Off ; Off ; -; Ignore ROW GLOBAL Buffers ; Off ; Off ; -; Ignore LCELL Buffers ; Off ; Off ; -; Ignore SOFT Buffers ; On ; On ; -; Limit AHDL Integers to 32 Bits ; Off ; Off ; -; Optimization Technique ; Balanced ; Balanced ; -; Carry Chain Length ; 70 ; 70 ; -; Auto Carry Chains ; On ; On ; -; Auto Open-Drain Pins ; On ; On ; -; Perform WYSIWYG Primitive Resynthesis ; Off ; Off ; -; Auto ROM Replacement ; On ; On ; -; Auto RAM Replacement ; On ; On ; -; Auto DSP Block Replacement ; On ; On ; -; Auto Shift Register Replacement ; Auto ; Auto ; -; Allow Shift Register Merging across Hierarchies ; Auto ; Auto ; -; Auto Clock Enable Replacement ; On ; On ; -; Strict RAM Replacement ; Off ; Off ; -; Allow Synchronous Control Signals ; On ; On ; -; Force Use of Synchronous Clear Signals ; Off ; Off ; -; Auto RAM Block Balancing ; On ; On ; -; Auto RAM to Logic Cell Conversion ; Off ; Off ; -; Auto Resource Sharing ; Off ; Off ; -; Allow Any RAM Size For Recognition ; Off ; Off ; -; Allow Any ROM Size For Recognition ; Off ; Off ; -; Allow Any Shift Register Size For Recognition ; Off ; Off ; -; Use LogicLock Constraints during Resource Balancing ; On ; On ; -; Ignore translate_off and synthesis_off directives ; Off ; Off ; -; Timing-Driven Synthesis ; On ; On ; -; Report Parameter Settings ; On ; On ; -; Report Source Assignments ; On ; On ; -; Report Connectivity Checks ; On ; On ; -; Ignore Maximum Fan-Out Assignments ; Off ; Off ; -; Synchronization Register Chain Length ; 2 ; 2 ; -; PowerPlay Power Optimization ; Normal compilation ; Normal compilation ; -; HDL message level ; Level2 ; Level2 ; -; Suppress Register Optimization Related Messages ; Off ; Off ; -; Number of Removed Registers Reported in Synthesis Report ; 5000 ; 5000 ; -; Number of Swept Nodes Reported in Synthesis Report ; 5000 ; 5000 ; -; Number of Inverted Registers Reported in Synthesis Report ; 100 ; 100 ; -; Clock MUX Protection ; On ; On ; -; Auto Gated Clock Conversion ; Off ; Off ; -; Block Design Naming ; Auto ; Auto ; -; SDC constraint protection ; Off ; Off ; -; Synthesis Effort ; Auto ; Auto ; -; Shift Register Replacement - Allow Asynchronous Clear Signal ; On ; On ; -; Pre-Mapping Resynthesis Optimization ; Off ; Off ; -; Analysis & Synthesis Message Level ; Medium ; Medium ; -; Disable Register Merging Across Hierarchies ; Auto ; Auto ; -; Resource Aware Inference For Block RAM ; On ; On ; -; Synthesis Seed ; 1 ; 1 ; -+----------------------------------------------------------------------------+-----------------------------------+-----------------------------------+ - - -Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. -+-------------------------------------+ -; Parallel Compilation ; -+----------------------------+--------+ -; Processors ; Number ; -+----------------------------+--------+ -; Number detected on machine ; 4 ; -; Maximum allowed ; 1 ; -+----------------------------+--------+ - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Source Files Read ; -+---------------------------------------+-----------------+------------------------------------+----------------------------------------------------------------------------+---------+ -; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; -+---------------------------------------+-----------------+------------------------------------+----------------------------------------------------------------------------+---------+ -; Ten_line_to_four_line_BCD_encoder.bdf ; yes ; User Block Diagram/Schematic File ; /home/timmy/Git/Learn-VHDL/CH6/CH6-1/Ten_line_to_four_line_BCD_encoder.bdf ; ; -+---------------------------------------+-----------------+------------------------------------+----------------------------------------------------------------------------+---------+ - - -+--------------------------------------------------------+ -; Analysis & Synthesis Resource Usage Summary ; -+---------------------------------------------+----------+ -; Resource ; Usage ; -+---------------------------------------------+----------+ -; Estimated Total logic elements ; 5 ; -; ; ; -; Total combinational functions ; 5 ; -; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 3 ; -; -- 3 input functions ; 0 ; -; -- <=2 input functions ; 2 ; -; ; ; -; Logic elements by mode ; ; -; -- normal mode ; 5 ; -; -- arithmetic mode ; 0 ; -; ; ; -; Total registers ; 0 ; -; -- Dedicated logic registers ; 0 ; -; -- I/O registers ; 0 ; -; ; ; -; I/O pins ; 14 ; -; Embedded Multiplier 9-bit elements ; 0 ; -; Maximum fan-out node ; I7~input ; -; Maximum fan-out ; 3 ; -; Total fan-out ; 34 ; -; Average fan-out ; 1.03 ; -+---------------------------------------------+----------+ - - -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Resource Utilization by Entity ; -+------------------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+------------------------------------+--------------+ -; Compilation Hierarchy Node ; LC Combinationals ; LC Registers ; Memory Bits ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; Full Hierarchy Name ; Library Name ; -+------------------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+------------------------------------+--------------+ -; |Ten_line_to_four_line_BCD_encoder ; 5 (5) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 14 ; 0 ; |Ten_line_to_four_line_BCD_encoder ; work ; -+------------------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+------------------------------------+--------------+ -Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. - - -+------------------------------------------------------+ -; General Register Statistics ; -+----------------------------------------------+-------+ -; Statistic ; Value ; -+----------------------------------------------+-------+ -; Total registers ; 0 ; -; Number of registers using Synchronous Clear ; 0 ; -; Number of registers using Synchronous Load ; 0 ; -; Number of registers using Asynchronous Clear ; 0 ; -; Number of registers using Asynchronous Load ; 0 ; -; Number of registers using Clock Enable ; 0 ; -; Number of registers using Preset ; 0 ; -+----------------------------------------------+-------+ - - -+-------------------------------+ -; Elapsed Time Per Partition ; -+----------------+--------------+ -; Partition Name ; Elapsed Time ; -+----------------+--------------+ -; Top ; 00:00:01 ; -+----------------+--------------+ - - -+-------------------------------+ -; Analysis & Synthesis Messages ; -+-------------------------------+ -Info: ******************************************************************* -Info: Running Quartus II 32-bit Analysis & Synthesis - Info: Version 13.1.0 Build 162 10/23/2013 SJ Web Edition - Info: Processing started: Tue Oct 1 00:12:36 2019 -Info: Command: quartus_map --read_settings_files=on --write_settings_files=off Ten_line_to_four_line_BCD_encoder -c Ten_line_to_four_line_BCD_encoder -Warning (20028): Parallel compilation is not licensed and has been disabled -Info (12021): Found 1 design units, including 1 entities, in source file Ten_line_to_four_line_BCD_encoder.bdf - Info (12023): Found entity 1: Ten_line_to_four_line_BCD_encoder -Info (12127): Elaborating entity "Ten_line_to_four_line_BCD_encoder" for the top level hierarchy -Warning (275009): Pin "I0" not connected -Info (286030): Timing-Driven Synthesis is running -Info (16010): Generating hard_block partition "hard_block:auto_generated_inst" - Info (16011): Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL -Warning (21074): Design contains 1 input pin(s) that do not drive logic - Warning (15610): No output dependent on input pin "I0" -Info (21057): Implemented 19 device resources after synthesis - the final resource count might be different - Info (21058): Implemented 10 input pins - Info (21059): Implemented 4 output pins - Info (21061): Implemented 5 logic cells -Info: Quartus II 32-bit Analysis & Synthesis was successful. 0 errors, 4 warnings - Info: Peak virtual memory: 371 megabytes - Info: Processing ended: Tue Oct 1 00:12:38 2019 - Info: Elapsed time: 00:00:02 - Info: Total CPU time (on all processors): 00:00:03 - - diff --git a/CH6/CH6-1/output_files/Ten_line_to_four_line_BCD_encoder.map.summary b/CH6/CH6-1/output_files/Ten_line_to_four_line_BCD_encoder.map.summary deleted file mode 100644 index 4c870d23..00000000 --- a/CH6/CH6-1/output_files/Ten_line_to_four_line_BCD_encoder.map.summary +++ /dev/null @@ -1,14 +0,0 @@ -Analysis & Synthesis Status : Successful - Tue Oct 1 00:12:38 2019 -Quartus II 32-bit Version : 13.1.0 Build 162 10/23/2013 SJ Web Edition -Revision Name : Ten_line_to_four_line_BCD_encoder -Top-level Entity Name : Ten_line_to_four_line_BCD_encoder -Family : Cyclone III -Total logic elements : 5 - Total combinational functions : 5 - Dedicated logic registers : 0 -Total registers : 0 -Total pins : 14 -Total virtual pins : 0 -Total memory bits : 0 -Embedded Multiplier 9-bit elements : 0 -Total PLLs : 0 diff --git a/CH6/CH6-1/output_files/Ten_line_to_four_line_BCD_encoder.pin b/CH6/CH6-1/output_files/Ten_line_to_four_line_BCD_encoder.pin deleted file mode 100644 index 5dc29714..00000000 --- a/CH6/CH6-1/output_files/Ten_line_to_four_line_BCD_encoder.pin +++ /dev/null @@ -1,554 +0,0 @@ - -- Copyright (C) 1991-2013 Altera Corporation - -- Your use of Altera Corporation's design tools, logic functions - -- and other software and tools, and its AMPP partner logic - -- functions, and any output files from any of the foregoing - -- (including device programming or simulation files), and any - -- associated documentation or information are expressly subject - -- to the terms and conditions of the Altera Program License - -- Subscription Agreement, Altera MegaCore Function License - -- Agreement, or other applicable license agreement, including, - -- without limitation, that your use is for the sole purpose of - -- programming logic devices manufactured by Altera and sold by - -- Altera or its authorized distributors. Please refer to the - -- applicable agreement for further details. - -- - -- This is a Quartus II output file. It is for reporting purposes only, and is - -- not intended for use as a Quartus II input file. This file cannot be used - -- to make Quartus II pin assignments - for instructions on how to make pin - -- assignments, please see Quartus II help. - --------------------------------------------------------------------------------- - - - - --------------------------------------------------------------------------------- - -- NC : No Connect. This pin has no internal connection to the device. - -- DNU : Do Not Use. This pin MUST NOT be connected. - -- VCCINT : Dedicated power pin, which MUST be connected to VCC (1.2V). - -- VCCIO : Dedicated power pin, which MUST be connected to VCC - -- of its bank. - -- Bank 1: 2.5V - -- Bank 2: 2.5V - -- Bank 3: 2.5V - -- Bank 4: 2.5V - -- Bank 5: 2.5V - -- Bank 6: 2.5V - -- Bank 7: 2.5V - -- Bank 8: 2.5V - -- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND. - -- It can also be used to report unused dedicated pins. The connection - -- on the board for unused dedicated pins depends on whether this will - -- be used in a future design. One example is device migration. When - -- using device migration, refer to the device pin-tables. If it is a - -- GND pin in the pin table or if it will not be used in a future design - -- for another purpose the it MUST be connected to GND. If it is an unused - -- dedicated pin, then it can be connected to a valid signal on the board - -- (low, high, or toggling) if that signal is required for a different - -- revision of the design. - -- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins. - -- This pin should be connected to GND. It may also be connected to a - -- valid signal on the board (low, high, or toggling) if that signal - -- is required for a different revision of the design. - -- GND* : Unused I/O pin. Connect each pin marked GND* directly to GND - -- or leave it unconnected. - -- RESERVED : Unused I/O pin, which MUST be left unconnected. - -- RESERVED_INPUT : Pin is tri-stated and should be connected to the board. - -- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor. - -- RESERVED_INPUT_WITH_BUS_HOLD : Pin is tri-stated with bus-hold circuitry. - -- RESERVED_OUTPUT_DRIVEN_HIGH : Pin is output driven high. - --------------------------------------------------------------------------------- - - - - --------------------------------------------------------------------------------- - -- Pin directions (input, output or bidir) are based on device operating in user mode. - --------------------------------------------------------------------------------- - -Quartus II 32-bit Version 13.1.0 Build 162 10/23/2013 SJ Web Edition -CHIP "Ten_line_to_four_line_BCD_encoder" ASSIGNED TO AN: EP3C16F484C6 - -Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment -------------------------------------------------------------------------------------------------------------- -GND : A1 : gnd : : : : -VCCIO8 : A2 : power : : 2.5V : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A3 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A4 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A5 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A6 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A7 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A8 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A9 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A10 : : : : 8 : -GND+ : A11 : : : : 8 : -GND+ : A12 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A13 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A14 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A15 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A16 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A17 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A18 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A19 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A20 : : : : 7 : -VCCIO7 : A21 : power : : 2.5V : 7 : -GND : A22 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA1 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA2 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA3 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA4 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA5 : : : : 3 : -VCCIO3 : AA6 : power : : 2.5V : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA7 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA8 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA9 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA10 : : : : 3 : -GND+ : AA11 : : : : 3 : -GND+ : AA12 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA13 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA14 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA15 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA16 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA17 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA18 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA19 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA20 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA21 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA22 : : : : 5 : -GND : AB1 : gnd : : : : -VCCIO3 : AB2 : power : : 2.5V : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB3 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB4 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB5 : : : : 3 : -GND : AB6 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB7 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB8 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB9 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB10 : : : : 3 : -GND+ : AB11 : : : : 3 : -GND+ : AB12 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB13 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB14 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB15 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB16 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB17 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB18 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB19 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB20 : : : : 4 : -VCCIO4 : AB21 : power : : 2.5V : 4 : -GND : AB22 : gnd : : : : -D : B1 : output : 2.5 V : : 1 : Y -C : B2 : output : 2.5 V : : 1 : Y -RESERVED_INPUT_WITH_WEAK_PULLUP : B3 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B4 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B5 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B6 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B7 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B8 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B9 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B10 : : : : 8 : -GND+ : B11 : : : : 8 : -GND+ : B12 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B13 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B14 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B15 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B16 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B17 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B18 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B19 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B20 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B21 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B22 : : : : 6 : -A : C1 : output : 2.5 V : : 1 : Y -B : C2 : output : 2.5 V : : 1 : Y -RESERVED_INPUT_WITH_WEAK_PULLUP : C3 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : C4 : : : : 8 : -GND : C5 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : C6 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : C7 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : C8 : : : : 8 : -GND : C9 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : C10 : : : : 8 : -GND : C11 : gnd : : : : -GND : C12 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : C13 : : : : 7 : -GND : C14 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : C15 : : : : 7 : -GND : C16 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : C17 : : : : 7 : -GND : C18 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : C19 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : C20 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : C21 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : C22 : : : : 6 : -~ALTERA_ASDO_DATA1~ / RESERVED_INPUT_WITH_WEAK_PULLUP : D1 : input : 2.5 V : : 1 : N -I0 : D2 : input : 2.5 V : : 1 : Y -GND : D3 : gnd : : : : -VCCIO1 : D4 : power : : 2.5V : 1 : -VCCIO8 : D5 : power : : 2.5V : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : D6 : : : : 8 : -GND : D7 : gnd : : : : -GND : D8 : gnd : : : : -VCCIO8 : D9 : power : : 2.5V : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : D10 : : : : 8 : -VCCIO8 : D11 : power : : 2.5V : 8 : -VCCIO7 : D12 : power : : 2.5V : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : D13 : : : : 7 : -VCCIO7 : D14 : power : : 2.5V : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : D15 : : : : 7 : -VCCIO7 : D16 : power : : 2.5V : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : D17 : : : : 7 : -VCCIO7 : D18 : power : : 2.5V : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : D19 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : D20 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : D21 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : D22 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : E1 : : : : 1 : -~ALTERA_FLASH_nCE_nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP : E2 : input : 2.5 V : : 1 : N -I2 : E3 : input : 2.5 V : : 1 : Y -I1 : E4 : input : 2.5 V : : 1 : Y -RESERVED_INPUT_WITH_WEAK_PULLUP : E5 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : E6 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : E7 : : : : 8 : -VCCIO8 : E8 : power : : 2.5V : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : E9 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : E10 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : E11 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : E12 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : E13 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : E14 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : E15 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : E16 : : : : 7 : -VCCD_PLL2 : E17 : power : : 1.2V : : -GNDA2 : E18 : gnd : : : : -VCCIO6 : E19 : power : : 2.5V : 6 : -GND : E20 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : E21 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : E22 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F1 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F2 : : : : 1 : -GND : F3 : gnd : : : : -VCCIO1 : F4 : power : : 2.5V : 1 : -GNDA3 : F5 : gnd : : : : -VCCD_PLL3 : F6 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : F7 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F8 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F9 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F10 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F11 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F12 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F13 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F14 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F15 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F16 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F17 : : : : 6 : -VCCA2 : F18 : power : : 2.5V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : F19 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F20 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F21 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F22 : : : : 6 : -GND+ : G1 : : : : 1 : -GND+ : G2 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : G3 : : : : 1 : -I6 : G4 : input : 2.5 V : : 1 : Y -I5 : G5 : input : 2.5 V : : 1 : Y -VCCA3 : G6 : power : : 2.5V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : G7 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : G8 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : G9 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : G10 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : G11 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : G12 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : G13 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : G14 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : G15 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : G16 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : G17 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : G18 : : : : 6 : -VCCIO6 : G19 : power : : 2.5V : 6 : -GND : G20 : gnd : : : : -GND+ : G21 : : : : 6 : -GND+ : G22 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H1 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H2 : : : : 1 : -GND : H3 : gnd : : : : -VCCIO1 : H4 : power : : 2.5V : 1 : -I8 : H5 : input : 2.5 V : : 1 : Y -I7 : H6 : input : 2.5 V : : 1 : Y -I3 : H7 : input : 2.5 V : : 1 : Y -GND : H8 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : H9 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H10 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H11 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H12 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H13 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H14 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H15 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H16 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H17 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H18 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H19 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H20 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H21 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H22 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : J1 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : J2 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : J3 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : J4 : : : : 1 : -GND : J5 : gnd : : : : -I9 : J6 : input : 2.5 V : : 1 : Y -I4 : J7 : input : 2.5 V : : 1 : Y -VCCINT : J8 : power : : 1.2V : : -GND : J9 : gnd : : : : -VCCINT : J10 : power : : 1.2V : : -VCCINT : J11 : power : : 1.2V : : -VCCINT : J12 : power : : 1.2V : : -VCCINT : J13 : power : : 1.2V : : -VCCINT : J14 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : J15 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : J16 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : J17 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : J18 : : : : 6 : -GND : J19 : gnd : : : : -VCCIO6 : J20 : power : : 2.5V : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : J21 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : J22 : : : : 6 : -~ALTERA_DATA0~ / RESERVED_INPUT_WITH_WEAK_PULLUP : K1 : input : 2.5 V : : 1 : N -~ALTERA_DCLK~ : K2 : output : 2.5 V : : 1 : N -GND : K3 : gnd : : : : -VCCIO1 : K4 : power : : 2.5V : 1 : -nCONFIG : K5 : : : : 1 : -nSTATUS : K6 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : K7 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : K8 : : : : 1 : -VCCINT : K9 : power : : 1.2V : : -GND : K10 : gnd : : : : -GND : K11 : gnd : : : : -GND : K12 : gnd : : : : -GND : K13 : gnd : : : : -VCCINT : K14 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : K15 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : K16 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : K17 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : K18 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : K19 : : : : 6 : -MSEL3 : K20 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : K21 : : : : 6 : -~ALTERA_nCEO~ / RESERVED_OUTPUT_OPEN_DRAIN : K22 : output : 2.5 V : : 6 : N -TMS : L1 : input : : : 1 : -TCK : L2 : input : : : 1 : -nCE : L3 : : : : 1 : -TDO : L4 : output : : : 1 : -TDI : L5 : input : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : L6 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : L7 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : L8 : : : : 1 : -VCCINT : L9 : power : : 1.2V : : -GND : L10 : gnd : : : : -GND : L11 : gnd : : : : -GND : L12 : gnd : : : : -GND : L13 : gnd : : : : -VCCINT : L14 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : L15 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : L16 : : : : 6 : -MSEL2 : L17 : : : : 6 : -MSEL1 : L18 : : : : 6 : -VCCIO6 : L19 : power : : 2.5V : 6 : -GND : L20 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : L21 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : L22 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : M1 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : M2 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : M3 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : M4 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : M5 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : M6 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : M7 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : M8 : : : : 2 : -VCCINT : M9 : power : : 1.2V : : -GND : M10 : gnd : : : : -GND : M11 : gnd : : : : -GND : M12 : gnd : : : : -GND : M13 : gnd : : : : -VCCINT : M14 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : M15 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : M16 : : : : 5 : -MSEL0 : M17 : : : : 6 : -CONF_DONE : M18 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : M19 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : M20 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : M21 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : M22 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : N1 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : N2 : : : : 2 : -GND : N3 : gnd : : : : -VCCIO2 : N4 : power : : 2.5V : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : N5 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : N6 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : N7 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : N8 : : : : 2 : -VCCINT : N9 : power : : 1.2V : : -GND : N10 : gnd : : : : -GND : N11 : gnd : : : : -GND : N12 : gnd : : : : -GND : N13 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : N14 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : N15 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : N16 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : N17 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : N18 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : N19 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : N20 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : N21 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : N22 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : P1 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : P2 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : P3 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : P4 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : P5 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : P6 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : P7 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : P8 : : : : 2 : -VCCINT : P9 : power : : 1.2V : : -VCCINT : P10 : power : : 1.2V : : -VCCINT : P11 : power : : 1.2V : : -VCCINT : P12 : power : : 1.2V : : -VCCINT : P13 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : P14 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : P15 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : P16 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : P17 : : : : 5 : -VCCIO5 : P18 : power : : 2.5V : 5 : -GND : P19 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : P20 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : P21 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : P22 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R1 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R2 : : : : 2 : -GND : R3 : gnd : : : : -VCCIO2 : R4 : power : : 2.5V : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R5 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R6 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R7 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R8 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R9 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R10 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R11 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R12 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R13 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R14 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R15 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R16 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R17 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R18 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R19 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R20 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R21 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R22 : : : : 5 : -GND+ : T1 : : : : 2 : -GND+ : T2 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : T3 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : T4 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : T5 : : : : 2 : -VCCA1 : T6 : power : : 2.5V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : T7 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : T8 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : T9 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : T10 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : T11 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : T12 : : : : 4 : -VCCINT : T13 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : T14 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : T15 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : T16 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : T17 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : T18 : : : : 5 : -VCCIO5 : T19 : power : : 2.5V : 5 : -GND : T20 : gnd : : : : -GND+ : T21 : : : : 5 : -GND+ : T22 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : U1 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : U2 : : : : 2 : -GND : U3 : gnd : : : : -VCCIO2 : U4 : power : : 2.5V : 2 : -GNDA1 : U5 : gnd : : : : -VCCD_PLL1 : U6 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : U7 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : U8 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : U9 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : U10 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : U11 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : U12 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : U13 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : U14 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : U15 : : : : 4 : -VCCINT : U16 : power : : 1.2V : : -VCCINT : U17 : power : : 1.2V : : -VCCA4 : U18 : power : : 2.5V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : U19 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : U20 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : U21 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : U22 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V1 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V2 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V3 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V4 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V5 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V6 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V7 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V8 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V9 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V10 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V11 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V12 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V13 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V14 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V15 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V16 : : : : 4 : -VCCD_PLL4 : V17 : power : : 1.2V : : -GNDA4 : V18 : gnd : : : : -VCCIO5 : V19 : power : : 2.5V : 5 : -GND : V20 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : V21 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V22 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : W1 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : W2 : : : : 2 : -GND : W3 : gnd : : : : -VCCIO2 : W4 : power : : 2.5V : 2 : -VCCIO3 : W5 : power : : 2.5V : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : W6 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : W7 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : W8 : : : : 3 : -VCCIO3 : W9 : power : : 2.5V : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : W10 : : : : 3 : -VCCIO3 : W11 : power : : 2.5V : 3 : -VCCIO4 : W12 : power : : 2.5V : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : W13 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : W14 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : W15 : : : : 4 : -VCCIO4 : W16 : power : : 2.5V : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : W17 : : : : 4 : -VCCIO4 : W18 : power : : 2.5V : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : W19 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : W20 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : W21 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : W22 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : Y1 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : Y2 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : Y3 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : Y4 : : : : 3 : -GND : Y5 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : Y6 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : Y7 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : Y8 : : : : 3 : -GND : Y9 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : Y10 : : : : 3 : -GND : Y11 : gnd : : : : -GND : Y12 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : Y13 : : : : 4 : -VCCIO4 : Y14 : power : : 2.5V : 4 : -GND : Y15 : gnd : : : : -GND : Y16 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : Y17 : : : : 4 : -GND : Y18 : gnd : : : : -VCCIO5 : Y19 : power : : 2.5V : 5 : -GND : Y20 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : Y21 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : Y22 : : : : 5 : diff --git a/CH6/CH6-1/output_files/Ten_line_to_four_line_BCD_encoder.sof b/CH6/CH6-1/output_files/Ten_line_to_four_line_BCD_encoder.sof deleted file mode 100644 index d96ac0c7dd24f55cd553e86bc35136a8b7707a8e..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 496896 zcmeIb&#x>=cHdXsuhneP`q83nfiMI(G!O*YusOFmGBpDX?U-hJ}5@b~}I@LzrY-SBrl`{GN1?%v=1dExv!ufO&ipZwZy{;jY7 zt>5^y-K(LqfAE7ZzxeX|pMSRdrQP2Y#LxfypZ>z1{(P|icmKih7yk6`if^||f69@T zzr6dHQ?Vfbk@MgCpZ?tLukU{EJ=(CnpW|nr|M-jF`@yHb|D7NI{;z!ZiyuhK|NTdQ z{D1yG|Lgz$|NZPQf&8cc?*IJX>~>${boVdh=Z8P}^5G|6e)_vI)*pZMcRv5Yr{DkL z2cLiXtsNBb||{*C|S)6akKy&rz|`Hz0(AAR=4?wddU{%4;){OE`O==1M= z`RNxw_}w4=N52@tH=i0fmv8hR{_$u3;isQ{@q3^D_{&efe)rv9|H}8i@!h-cee&IJ zeDCw`{O#|2_w&!caren5U-{|~{ndl;Z<0(V7Baqv&p!Wezxdwgzy6g&S-%n6=2z_i|I+S%_@}=xh_>7PlmGgg zatYp{-M|0W-}{I6@Bh7bmBI(R_oCc#g%8On>^|DPpAJ84%Q1-;dPuvtdoS#y;}<tS^T!BBcn(_VOYBKReTme_$3Ke*#?<-2L_tiK5;tI^7fZyP= z$mk@lfGhBh6^N@@%#v5@cYH5+_pX2|;0oNT0`hk`b6Y%@@FO7@NT`1NrD~EN{?-YL z9F)Vh0O?r*0B7KYil4icbK?NiwFJV1Mp{+I3W67FB9saNxNV`eX)?$FKn-^6w&AWf7V%3)i8G)*#00IHS5IGS`g5i)UNLL;pzV+FyBH4%pW&a~`VI>&&&SXqk>8hdsh zKomI>9yQo}Y4NzZ0WSmmIhX^(&9;IBhfsL41&G(twwM;5`q zAU_ZClU~W;ugcF``N52%(6UE1jvpRy@|&Swm!I6NoWGTyopR97w0rU9JXNU4_$@>u zg!2m-^3QB>X1-^-<(QxQ*w3NE?-x2BZ}~)jYF5a1`$%G2h-;cmn*gBrKv8ti*t9$G z1`tKgghve}uh{zt{F}0{snPmi6B5*BfOQmFj?pmBDzk=2bc8`UXy{sbYzvQq` z;=7hPO|g;CNVsy)(6u^eAl8&z%HwE(lPbbEEOaDleyTAkjU~*hbyGWcx&p4i0t!4X zzJCBBVT&ksSn(z-N)9 z=(z58Yxy5{ME?cuJ+xZ0an;>if!kCd-R7`-R1F1&YhEsK5@j5PmIirt&0Vb_u5$+5 z)mqGhK^tL0Eg3y@ZG1*ZAeEHz-9BG{>RO$%u1A;D0k@*S2A|2EX7dSGkl)-~hsm#d zy14>f1ri8VN%E>+a#$$wUCW%N*hpw3TsdgyTAecxYfA3^Pa*byR@*`pLM<6RbWM#( zX)K`^s@8up{z>?z*71Mwx9>#a_xHC}_d4AS;J4lnyL2<7IesAfsV(?n@M@;zRYJTk z9j{DFzsolQ$(&|_i!;KZ95l2{cH#K2!5N6HtY!o4#B?G-lM>v?_7;h#mjdnwTXKMI zo9x1oK$JOEehM;KOs->dj0Nu3&qRgo>&X9lp z7oYzj@G_#h%ABz7NOFM;)nLbNiw+vQ7C;m^6P`R+1;OLC1qJ@_v_U?e7PdfC;>LA5 zS^-w3E!pc~S!J2qmW4k%jCVWL`fwc>%Vt6YRpR8kt0>2HggrgHM9!7Nwg73Ga3uiM zN@5&MI-F5{lKfOSRmO^)7_5n~wb{1pY3j!)6*b^4R@S0}#-_0gM*vaeOnB5_^QFbJ zHT$it4fxXI7;>>(EO&2aVy0}1Br`}CqJ4f2%d}B>jio_aTksyQdwYhPI+Al zFt27drnTGp6jA50ut}2^t0n#+dFafAm660vn;Y7&9i3yFgs*DdI zgO@`UAwJ7~_3zecKPU#bAqyKCs}I!`a0PB&f#s{UNSKiEuxviRZk8ONyOuzdIh(b3 z7bLEUu+IXvh0c2x0Pr+rG%h-5>{$fGhBn8#tJe-%Atv{wb{0eM6J(&vshV+ z4jQ`_KomI>9yQo}Y4I?GjakU|@sE>P$tyoryvr-fZbeyTUH=3I8&4(tzyPeXxSs% z$GNLDz12AbZZ(<5r3(iZI8+hFVWGr#ZR|CHR8q=!yZnIaTAj15N0-zA8&}}!e=&2j z*N?SoW45`SN4dT~8!mFzo0?YAwbYI8+hFVWGq~jqNSWU;`nri%qZAk;pn{U5}wZKez&O zDiG%+d*WhRyRA=w1+GgxXOw3JAKUQ~ot!SDyjx4fNF)zQ;6&9a`EDGA7UFu=tR#_W zRfT$VgTKxh@T;MW?7KMl3WLpvQs{O6L%4&sNV2>wu)W2@sabOaT*Tr6y_`O+7f8k_ zU4iW>5EmHoS<_kyULq$`lq0QT#!+b5BiqM%WtF%{>{u-mUyiamXCS^@t@oWxt=5*T zn<1~xYD`K>aRNy4^QqoO}BuBv+Lrulgm2g%aO1GR&N&*oZR{t{gNp4I%5Cf!HDh2SW&aRuRT=E;S_6-Xd*!BBOo)-`BuqJIXqoK7@nM5A5NnpC{O&P1oe1Bg1b4E% zMI!2@fcwFg9H84KyKp2BWloi!f{d*7jVA>07Sy=i#3SO_79dT#-|dFb3Hx$1`Ebf( zS%Xt$th((I&jyIIF+CG;0hh7mm=E%e2j>?&4fIu=_{L$O-CXYk(VS@~Jf!dnROf6i zouQlX?E$!qZW~gdIRhd>sM%v%fb=W@P-QcBTXKNzS^`n#R2eJC$l65MRmQfAM6J(& z)2xedVaBmSt6KeBQkPfH2x30kBY6M8!xNb))Fs>#?2V6fe?3exPAIPK;e8lgG zHeSoc89}JZW?O*tECEnu)2p?rr)YeX1yClmU9C5{01iSSmYXYZy9%(5PP41u%PjtE z+JJ8WJydGOk;|qk%2Zad$U!-53y`J>R{}6&wT?oURJL}LL;pzV+Gm!+6enU1zr6= zgo`Mbu!jXY;jiOQu-`f%=EM;0u$e8+%;$8jfGaRffwfKRBdkCSYq#|& zu*7va@%G6N4tYr*D@j7PCwJsrIcy7%rb&hgK(&$>N0SaGLMBd3XrxtTd@A_nK||B- z#arim5N~430Rxe?OBr|4%ob zmhX1?0oAoSXI+mjsRK5yz}5d^=4P)SYt_bV!#j^AF14y8dDSmDER^`JWlmFUBs3DP z95i&T&KZa`CHL?_w2d&KmW&>{rpBZ+mQb(OUHu&33b+DI1ya*naz2(C-$`3K@kxV~ zbfcCSx2hz$3YHueN_^9zcr&LdVB!QYv09UGoijjaO73N=HQQU5!RExoIlWp(hE(UQ z>mgxouE4ws#Chokwhgbt3#d6CUnTCoX$Azmk9W*OR1fi-B z4PC2q24XAKdhqR9h(hSq|3kP4atS+edy7_T4=v{^d~ty+PM_8bB;%B>!1fe~3k>@4^*0P61}^+{SX_wmtD=@AmM+aO#FzlE8>mSIZ5l}EEzv@R~RIQ_-X9<8Rn_jI|Jw?%@EPyhh zUagN3&q1!hjVZ8S#HS3(_cEKJ*2=KCY^oAWWfhAYl*6_FX_{~)05ewWD0E4FDx4}~ z)h#ht6JcwgZQ0Y*k5MXWz+J4YMF)*dV;7D9qR5%>sKMq-i^pvX3UG^D$hg&Jwm37N z)6Jv664&Ld+vlv{V>MZzlkWj`S75C#u-UcjExmwFJV1 zMp{+I3W67FB1~<*`hN&_Kj`I;4-0g$z%Cp!XUQElv&EVDoX!<+1*R#mwrQOt{jF8& z{WX|Ytxx%%dgYNMaCfLjld;>PgT|)u6cIoaITIfBXp%22o{QM)1$tRv7mfw8bjs^f zAfAviQ*UOIX`N=Kbh;T7V7Z;!&b@u|l0H_FM21h#6_Io0uq{BECK)CG)kck6V@ui-9nw~F*9SU4d@LQV`jtuv2u1zZ7FU_k|>tWB)e z-y$1T4Ub7L0a9f%cUy9R?%Gd5lsQ#?3PKE3sEIJuy6|)WQO^PZo~H6($8L)b8oL%i z6gd+fHQ3zkJ_5g+E8q$|DR8$MCGIbn$<;rEUr?*nb(NR&v63WGWYrgo9F)Vh0O?r* zP^%=?(W4vuiDQ{lWvn1Wq#T-v8?4r+yjzc2UF~Pc_wm&Z;e^DQ(36elzjYWh^K_fE zn%(UpJ*l;z*R^bKu}gr|vXZ+kIY2k<&F;R%sq$0Z?pYHbs=b|#f$nKqN7>#YbDDG{ zFcPdBG&D_i;b?FMVv8>&Bk3bvHpqC8AHDHJ$zh>_>X>YAF>zmx4&hENbc@ptW!&|n zOFSQd+hb;nGxI&uEyw)a$2+ScYC@@5E6KflNcq^b9FCxJF56oKT-BLo92KJH<~v~w zd^MpjGkyV)5C*(4Lln0SDUcYen#{SfmK+vJeAhCkSwkc=60RIHbgj-Ah%L_dk+h94 zp_XhUYJRFQDUBt}EoLYo{6vOz7=w0OPyzqN%mu|=lzKgrbkSiRlAp5k zXgnl%r|0Rk-c#gC55cOE{%YMF->L!r{})fVJcn~*Lf8V(umI-`Q>Mvddz>7xR6siMNrRPiqm~%A zswBAzmK+vJeAA+MGp8wF;sh|UT9a^{GeBrc?%|5mMwn1bMh{J6?*cQ}K*-ZQI=xy) zBI}%WJ?QlWdrI_#$hkupwA;EAhzq2%;dOWcHRq#T;JTc3`ikuM|rQ|@{MFA#;$tN({^(cluc!1fjo zm&p69KH^!?<>1q&b(zmwT>)2Mk^*rO`Z(}e(^?8%q8n3!Bdub_QE1sC+sArkmAFXk zSWgpQjaZZ1?9xN%E>+a#$$wO(Vn1X^M?FBjL(HL(__- zI1y4F%E&&D3M6n+Bq=(s{@q&ipMLL6k3hU7jLUa|ofvjPPafh5xB{-g(h4LxD3`dB z5oBe%ue}LkE@p`oic*;Jk5nu($6u8Gd0ul5>RlwvQXli z_C~y!(@b!2M#7bYhL*`L93M6~1F>dFI=EsJ(~0m+N^mFJTO^{6Ru*u_WEYMEq|B-E zQ;?B%;${|ai5oYdcsyz`yx;8x;DmiS=%i`#Sk~ZF`KfN3q_aBXtZaKSF5oh@9P>fG z@!BJ3(-TSlVRXTbS#T^wGtiVhmP7C;m^6CO3# zLyd7xGzPs63+qVZcC&a~&Crq;ph?$aJ(F}&+UJ17ewmv_!NRx>V^u!E_F;KRHw8>6 zBecq4TY&T|0jO3I1{>0IQMp{+I3W67FB5ZB8Eqj{wG2kv%)}n*Pt_2W9&V)w| zHeXsiZmvLGf%Vi#W~STG3QS-7uYNDHc(gdT2h2;lDPS_zK^Wz*EnP{Zf+RrIN@5&c z$|#5g%7jK*RmKW}7i%JHZMH3Yn))%y`WJ8)D{Ij~W7F7$BY-G!COm4e`O@N!3%_~ z)`gaCdh$pT0I5flF|ne9#-{PI8$c8}6CO2`Lyd7RZ?6~VVu4*a7Rb^mx1j)2Z)RK1 zpJB~Buq&rq;<}uB`{X5ktRxAMS@p#t2j#FWK$<2QCIHn+VjN96oCujXF`tS^U4iARwJ4ZSQI*ZyZOH+;YY9Y|vt6xED7 zS{I%U=)7kEK=D1e=%BG{0Ys5A;VJ8}kHGKd3b+DK3f!$miTl-L;)$$Ufm}9C0h6>N zsVj$V0n)PspjJt&qenOR6UQ>A%2+{$NI5hSH(0H=`fkm|-H*1qtv%A9j)>BTl zx7Z~>YFWwMmK>m)_GWkA;%u%}LYyF8-!|FaA`j7|BcYLS<)EQyvI|FpGZ0&R zDH%y0@v2=V@*Wx`hlP$r^-tN}B6FI}EF|nLp~^u+)9%Gv=X?-vV%IeTk+w@2x5vyD zXXbmRTaNj;kNxC2{C=TxHKEk3l>}yaNRfLjFqrC0GY-&A;}s}?FrhYM1(6U2yfF(K z8}H_an@~Va=3H4z4hto|YnjumArcx1R}LDwR_6@Fs>wXEkECsc3AJP+QS)=`HGxtZ z%Xho{fa+SEv#v*%)Bzh;;Oc)dbF9+9M9oh%CZ(~2d9`k8=T29^73eCEx`>y6$CnN7?0Y)# zNrRPiqm~%AswBAzmK+vJeAA+MGp8wF;sh|UT9a^{GeBrc?q#br+gq5y=ETG~y;?_x zROhVgAz^N=z`P2?dFckW4X?uss5u|y0@o#;ligXt$9B9#C#MUUhKeD_g{w6kG%HC2 zp{fuKU8{2jVk^~p@a~1L%0ZX30q)$i-$|(eO4dwtmty^>C?K*=dG@QD=1J@4_PQqcvoc$Y;W;;RRi>7wyglOc5Y)Ga$BDQ3tX3TZ=Zxps*>c&T5?z@ z@l7Mc%&CeE-HJsH%0WZZOrdR}UpS@PK$9UEwKR^kzFWT-So14`a&rY-0aw5kxKafY zc`sV6>0s_^Z8&K-*5tt;fHg(ATCFYgsJ_$_h|*ZX=`2B$9enAU;_RXw;0m|`H3j%} z$90(ex~H2f&{ZIT$OS_cu#&?{g#zo^$eBPYjph5Lt2LwD zoW;$Jc-sR`3}4N(yz&$ruPl`Kro9nw<}?#roRM(lprK{53&)3=vxT<4iRnZbCMCF& z?JW{fM=J}sW3mfJ0+Km9_?K?8tHdKK9D8Vpz2%@bv#>2dns&e2WzGjd>dh>q!ED&k zq#K;_Sf)7p5tl~91v=y!FJsFwALJVk&M$Zx=xfo3lsoA_tps^8K0Pg*@Q}hQkm6(% zZmxhUFetEmwU%xYU8%{OyDd3DcP)X)oL#sEs1+fTR9Bf3)*b0D(C35&6L`-8fRVEY zcE2ldlmd@Op)LVRPjm1ReceJItW4W8R_sk$E}N!+i5wA0l*6_F=~)7xiem1z51o3p9_FHS%TbmUH8vv1Zdn1=(P`#; znN8DcRp?wc$4Zh&@9E(sa;_Y<1xV9`D*;eNF?U;XfNok8Zvv4y`7*)Om@>(X)jA4S zAfd6cM!t;Qa&8ry0!v(%vu>ZWf{)c?flkg7 zQkMN(Hpfbm7|m0r1G{qA7T`Tg0N@OqjKwDMMa($%&7EVypF=y~mu@wtOhRH}=1qF7 z{}Apz-4z`l7RY6RT{vdWlKW?7i!<{%oh#r9OjBTO(>h7|Uag-?eyv*D`rW_o!WBTl zX*4c6Xlxo!5dp-=$@!}6^#a)}unWfmSvuu56kzJjY)osn^(nB#bvgI;F_k2t+tYJJ zm}~JBL#Pu zE8q&a03gohB3Ybtw=#|5^0O?r*po(Jdw&VcawFDw_cHz%}Ag5|fnWR>&PkFbN zPUuKKnvC5R9W-_=fEXWlx@ZTu0skSV}0@c+s!JFmlZR?Wyq0XA2{wz z3xKxC_7=MYL@gS*+mZux)86dvUvM_zFP({o3F#Qf-t-vE8%0WZZ zWET$2*+g6CBa5}!v*PU&&^RpAQod}F?JXwm%kh%Ie7~0##c2@lyn)+eW{WfPJ<~16 z{M<*r%MY6_ajqtmnzhoq`3rzTOE*c`-XZ`RU;iUt#%?(`4jP-rD^LJ2hH`$S(X*Q? zaLWoL2B#)-uB;`8g%aPj%sEcxM99<99ywPI8oH)9yJ!svd>vPW$d|Ey&W)qc0R9Yj3wQ6$~H#g#^0Vh6HCCOE==M@2Zu6>tS^RDm>kT#u6@mI_Fle9|~e%|vuA80xLE@*0h#_m*~b6 z`qN=o^zR0trtw*GhP1-7?%-Ic`wuE3=f zVAjrUOl!CGDX_qGIrsKSsH7@MuB;`8g%aO1GR&N&fQd5_t{gNp&Ab*j(J!1b+CY;b z8MQQyTX?r-P;Rb(E8q&a0#~X)BJV}3H66@dtqms)$C^AC1hA$kSF5#!9@Uq60#O=E zIGrVEvV$*OQ=DD216%=Dpr!!-!ty#ye%;f}73eCEK;(j<3RuZup~QD>Rn=Ou4P>ka zJ66G>!$N^|ZRAWKmB#Y@($$*LZqDN7M!f9-Cx)+PT3&eyj#m~+eAC{DH*=Z^F3w1} za?sE+*@fdn&Dla*-^6qx43iSv$@UhBsH2qy+%egOBLT^r9sEnT+IZsY;(cU=W2+3Y zw;cGNXtKk$0BPF&0q27t6Hj-!TRFG;a!6y_51o3p9_FHS%TbmUH8v zv1T!BLcd@r*@HLmPDm(8(~BnChM6N?;_!?plvns6lmswn1eOAgRY zi{ecnGACaqm>N?inXy_&;R+-)R@TUuv0KiKgT|(@3r7GkhH~!a3cM2qm^Cw7oSDz* z=22jY>$1S@GtXc%%K{mmEo3g6Vv#XU3s(RIr_s3Rps{H@MFbEdC+DlO*9&B`z%CpMWa*UKP=Kj7voWpR)~CP{ z*X7*X$5fJpZcon@k#qGPYFmIbO)^XX;0&CQa_&~njRR2A5Hf+tp?sNOYD}5rNlU}- z@75Efb*L-g3b+EUzyb>7vS|vKbQbAQIcy7%o+SXPDCTZU4$xgoATnnct^sOHnWR>& zPkFbN{sMifN0YJJqJze+1rQ@=7wrI7z!j(|a949&y#4;-J$i>%sX#89VccukE z+hlu-T>_#OjofX?0lH~#cK0thoA8&;M8kx14D=UU!iSAV;$yKoAuu$T=mN`|i@oJ55 zS^Tbw0F;17ML5V6a0Og}Cj}l?qeSAZ$Zd)O32-hL>aDWmuu$Tg7R5VvwI*T3+04K? z15IkMV-+kqEEHJN*xq8~OdyrU@_qWdbtGZM39p+g@GcaH3v|jHaa*4P3tX4DzEQr& zXo(EZ6*7^dswBAzmK+vJeAhCkDmG8KN5Yk(%LhPl9u@Hn3Y;Eat#9EU!hIh6f@ceC zZ}G5Ty7N%Sd1w^Nxzk#a`4?Bf6_~0(oEmTh{@iJu=)1|6OXIkOcWVaawh0B8ZQHY_ z)nt1E_YrSKfy77`t=4ofceOU0G)%An))eJxwYJcs`ch9IN@EG9vjk0c@TF^tvy0w3 zAZ%R#AAdsu{)OdrnEbluiRKUCCkl6!VTr7B!B7RP5WT{seu%-O*o zx`TXC8&7;)8XhTL)y*v4igTy-y`Z6K_XnI0f=oQ!ZWRmJCbHchK{RR4*uwVl3SpYC{ z_Q3AnqylO3s2?XsEESNR=HPVxFg?U0u2cb5?CqIMja)WO0TVeQk|>950n)PsKo!N@ zZOH+;YY9Z=?7}rbjVY5ntrWI4+ZIh%{uXE+D{JJ-*e&PAL1Whfh%vN_c7QA33b+D? z3iw`ThiY8Wd6Han*&HhgjYAcbkI1=l*cKp7lVX?vsG^v=Ejd6pEs8gR$eesR(t_aB zm@>(X)jA3n9p%az`7(CP`B-uzUvPAdT{r@$F_d#RSKy@r+#ib@vu0+CGxKeLj`xVg zEiTZ*0=Li1SuT*_*+S;BIaZRypr0}w*p0B7K2EH;rZV#cv=?i>^T9NGcD zbgMCClBZS9yh-~%g!@vz%J+v#`#H@E?80$QI)zy7pP4Pr%;$8jfGaRffwfKRBr?)J8A&M6RWY%mgT|(jR{&AuOnB6TN4~UpE@H12=w*RjI2Op# zDYu~jQ*UNtTDz@JfhDfX>9@~5o4ll(0w(%JG*k}T0;Fk@VFFOCB*xLC!%6Ci6B8O~ zRT(P?5kOi9%f8lkYev!-O1`vcwZy$g_baxr;@mv7c@nFmOzv_RmKWJOpu=@!afVw7KnNl0Pr-G2Rn9KbkNwf0HVm5 z@TkG&Zt=KnM1c)HmYsNI?@o-HKkR;f%G+fOw>z}%$nugtR+2;rtG-y|pd7XZNY4_0 zY9%p_9^K$i98GAXRb{Loc(EqJ)@IwXr)eJp?qX#vI%w=#08!*jc+_C?rNy(&MI1fc zwxdA4<;?^a4I(-mIPOdffVRo@7P|zbI@64!O(@#InR~j7dgF;BHnTWwdyBk5hT3eSx-Z8#xa#M6T-D?qffP4!0P*p_advb{wBRGDc7N0;(W z5CK$?GvP5yQlvHP*Je)LT!C9vAThA=3@AA)l=!aAU9IV`&KYnQ=Q|E8aHt}T!$OJg z+QbF3%Lky&S=ZwzVh3$lfvf+;%(%&mCy!XHRhzT8xe@QuFy!I5p=uq5Q4dE`lzG3v zjHA%9N4Agk$|`Xuyv)#Boztsz`)at?6}UMC(&Q06PL5bAAZ_wV<1953(Ti5=C>=B_ z2>@M%XlNP<*Es{RrsVExam2R^94d%{!$OH~8rxgcE2}uCSL;Z^b_yXi|w!rol50}gS z#Gc}bajme+Uba>y?sEk;sX$y{)Mrg=DR_yTAPJnPIwjwYqtHTJ&+3&`A|uhN#L&>S zI%gnO4P|71>Z~x>XG9^?l6AA=)p_Jhpp}&JefqogkVT!dt_R&;#unJ#;`L=R_g-9q zBNSlP&TXtzZtGKEf$MVa?UPVRRg%2wmmC&KeACD)j4hto|Yjam?I;?XB+|^pl zgFzc%LM<6RbZvY_NFbHQ@|}m6BtOp%zI3h5S=Yn=-nuKR12(7t|HAS*O#U@vm zKmwsENnZ6!4hto|Ynjs&8wrhsD+di-t8)foP02m{Q;0UggjzCs=$aan(pW+-TCJr& z2DLG}(EpCIE>+XI(z$Fl!{F6S%d3PW^3w6jwDhxmBaqB#Cb&2w9LhmM%VZah4;!3; zShFM@tY#C_iSSKIa3|YaB%)plxF2lE0lICn3r7M`=2ZD9$jDmXctQ|wL5$fOZaFekt2M`Bd1qoHRBfGV3_tyMim(W5MYGNE3rj}p&8uE32cuwTTd z49fR1o1)gru(@oi5=>skWA=mRIJ*vNO|0MxYv!h}XzRmKXk_q7rBe+s(# ze+U;*E@2M~bi!Z9uiLo8X0|vppVPSluD~<});6t^q`$Rly}t&ndTy(Ap{0A_<&h)+ zQjaEMw?zkyP2&wefGBb%JnGRTUs^mDvDXXqvcN7J3uNh(+fab1H?uLV-PWhT64&M2 z+b1vSVylM$$*TYFCN8hepX^ zp(9cKQ?|FroMtl%342SZa?sGUd-2veAH&IHPG28IYqlrtcDoI}TOAZSqzH6D&6dMVRgewOPU8{2jVok|Cd=PCT zOsFNJhpwqHDUBu6t94gD2e<;RKvRL#^p>2DrN(#CmQH-qU?ttCCC05PNv?t=hlLW~ zv?$)pX$qJ)0ZgpcBwXhV5So&E*=o)97G|(HF>y|>){!CAIqP~zn42pwuL5yix`A!O z>+k|<&PTbxb&2O>cUJJR9WT+z=|ZNVV#smfYE1{tN)kb+Dnvuq>YRbtO0^z*yB4Al zdiDPhE`nUb7TDh6;SzbD)ki!lx*UA^v@Y{`t1I9NOj00DLLUb{Yg$XeOLPNC;6#-; z`EDGA7UFtVudEUoiM~{|9y%)wcI=;AlnOWsEwr|bSLcy40aQ}T_v!D}Ll$+;rp9D& z0b5{ui`N%O+q-ZDj#GeHJGZgixUElt1+L4vw@*SPRY~%yUvgL|@l7Mc%xQ{^I3wZ8 zK||9_J*AUGNO>qDI|v|w&mu|DarN)kqW=Q-9$Ky0xaw}MfGgk%+<*e1S>j}XruG$D zj?rQ6YE7SY&VajGi}AD(Ce)JAL)XSsSW|Kj{}iH)Frk)=9=fK+ zq%@Y$i&kstk3ntBHvFp|8@tlua1$qLrsY+l;CN-B#5e7Ycr&M&;NpygD+diNlU+DI zY;Xo*&60GmnoUe6!Z#_woosKBh&ozXz#WraI1-RDr^-)3Mq0D0#3LH9hlbc&4r((C z+XAF%_q$!@d=RAG%t9K>h8<11!6}br4NjG@V(&B0g@|(z9q@1gm$BuT5Aux%=NCK; z^i`es#$ln|T<-+YoM|UKr0@z<=WMQ3-F$Blz-4sXkOIva5D`Mn9@_$>X9<8Ro4MPP z19aCCh%%?jSV2bCCc>^Vwq+!0eFmInU4#oWj(v0I81S1=o1cPoINiN<1s+!;K;p)A za|P-Ogu3g7JrvBzulkV~RqJTzSpuNSrdMlKPf_$J3!qG>SL>t1bC4^rc?GV1FSEGe zOPI^1D#27%vB*I=YzvU430DFzW3`S#m*l6ysWMjG5`#4nw)WYUJx%=>rJ@Gh#mZWA z(AYF~;Rqm#oC%K_Y`(O3++2ZK72sBz+2YK6PB)JNOI())Zl8Gun^_jf@C+fh`EE^} zo?5N1{vW~}#(aUp!vcLQunWh`S*~kZ&pbG%a|O1kz}lvDlFN`^z|8BWIHUaN_fXpc zq-O~LqYs>@S3~)39Dur(K$y@-s~Jk5m2USDZA9UJ;hp|&O>cqz)L_TNiVhl^Miv1? zku%{b>w)JY_IiO{7TASjfh?VJ8wxP>W;Uj^+xiq(;<}uB`z&9rCBwwEs8$l==w3O5 zOrT6?q;)Kci7yI5LzJH;!mwZcyLH;+ui*x{&~C1PE8q&;hyu%3YY{3TmMWXM+mZux z*Aj>_XR{XXg2Xiurdk)?w&}cQ0RT@^d9Y)*MF)*t3m}S|2~Sy%eFT0tSHKl`Qs8bi zO5Cp|6HjE-3YbcgNS9S#EOHo2OyW!Apjv3?Sprb2B-YWR8~ll5nN#JbAVZ`anur^$ z)?0nIe!7px%f5wACiG@)(rR{h!fGblTkI0@s%0g2TXKMI+MC^di?g{_32}maLOKRY zeA{Gui#$Y=j)X?Sm4k++$u1lX&OmJOrDP<1#H)6d$a`p%92Pnf)jwr>i_B>@vyia2 zgenIOO}iIwo%2DwdS8x_y-7N+liOovi!<{*(=EsR+{b=$9e%&ixtdUF)=C1iJfz6> z7JQaOI$( zYjw^*teVUt`$*bGm{3bL5;Z@^UK1##v3$4752&uyIqQ0KNgc3p1+M-VGdFwvSgST> z8{T;|aj8`$$*X?JVWGr#EpwV;BcYLS<)EQ!bzn~XQ*tj`t=Znf3^pew&gs=UGNd|ZT@ML!a|PyAAkIrSux)r9UO>(HC>OXc@to|= z3O=^uB|14>$TU<8IWAnS>7ZFjA_!H5Xy{s9SKv4W;&HG^sMD+UzMI4cB!LrE;^ez=6k3SuS-rAKWF-1h z)q3cxFxatwa#1SaD74VpGG3iW&IC|NDc`5RTMt>(Ihz`j!3AuA?JZtkAZ_o$6*x`- zX6@X@a^to>1s1q2=iWXEl~g6ktA5F0p~N?h3^S)GHsXwgD+ditGxd~C5+UWGjO-w= zz@bP|bX@(rHTrMQxaw}MfGgk%+=c>)VU$Z;$zh?ycWv%!O^0>PfV*0YaRv@mgmG9X z@m(9A5fVtHv3$4B7ofUU=dA0o@2pGh09RmB3h*y1ufycmJ>6V^t^x^!sw8>UFF7of z_^xG6Q*0zO60RIHbgj-Ah&3hm@J}Jy2oq|_=%H(BOiE)3y=b-Wrh1bA^xvGN&5ihA zz{B9xOv|f;pk6v&nU({p%IOZO4D!Im7L+a|kkBoJjzm7ju)to4m21o0NsxZT7f;@K7;O}pRihR_N7ay0pH z%41oBQ)R5W?Gn!hh_f+06LA5TvE`T#@{I@Q7d#F0Rh{_8VWHhz?*!4DX(v3S@CsDt zY_3(IoAB)cxQuQaQlL2lB0{LyV_SgqECEnuGk05ZfbLoXQRY+`E6B*&MA%ivwv0rr z&w$gci*RAav2X4i1AY@~^HY!xr@ObVz~gEJNZhz?M=LO{CPxQcKQQc<{p%mdq!Cas zC%@`PVpOf8p=SwzDw|%dRXs(~qbz_jpO)eU&N;j>gxA0i&I8>W7u3a zRSBlDibW2}VOxMSO}G+(8LM>^x+FgpPL;9hmKdywu(i*&>}l%9C>1r}E>_l}gT|(@ z3r7G^kTfpsl`VDy0#Rcz$DaRBOC0%1ZUttw*$+56fE`#%L;{Xc|@D3`E@1v=rc z19#-{Ox zA3zj26CU+wk}oZui`eT0dRbr>js>!G%Ii}go{%zAZ)THeoo1$Vx)~H;xt-h2y?yeM zK30-MhELBGk#ps+EkK$k872VLN@5&MI-CfZI5DA-R+X`W;Eg?mVZZu!>vYPm;VyBv ziu5N~I3Y$tP7FJ(GmmlwTme^LSp_Cm>u-^bs)om;mjJ185~5s*!Y+!?pnFSprb2B-YWR8~ll5nNww~AVZ`anur^$)~CE%k6K;r zXV~8#SDXK7i8G-m+j^enn3<>Bq}A+h7wJi@1--6idy8EHq?VQ3ZOH+;X>WG-El!o6 z>UPhX_)zWbbPRM)(>lub7MateBY}}%<)EQyvI|FpGZ0&RDH%y0@v2=V@*Wx`hlP$r z^-tN}B6FI}EF|nLp~^u+)9%Gv=X?-vV#@&ok+w@2x5vyDXXbmRTaNj;k9Sr@)Pz#A zR+4-9kn*u7uO{?m#xEce!hknsh~l;(1rlRblQ~z` zlEXrY?^@!x1MB)ynU@9*N|zNSY^?&8RRbR_NtY#%lpRVB%*zTl0c z(DH(|_%(ByVk7WKh;q=-wK``Y)|A}C2hlddgjzCs=$aanl2Ss~U#+|2bHJ@Aa5y*K z?U=&5?f%+&Pm>dVoE))KKsxbBgOzlnmKe9HB)JNf92QD^)1r7Yrzv3K1Te8$lW?6g zKxj(t;fmBom{3bb4^3lx3p3b2$kRPKy;?^i>zs8x==B79O7w)txkDJV+qx8p3#7B* zb$9_a=c8QUx}0_UoE3bmEK789x{zsjzmwyXYW*?&1!7psDG*0lvywz8R24dgGt@Z) z@ne3GFC+U??s^0-5QWgI|A%nV;1agL_7)G9$os55;#tw<;M1pdna^8Y0asv>0&x=h zIPh81S_)pG8&iTKtzyPeXxSs%$9iRzxJc|+PZM8`vN~rVzEZ6tzNyvPk_{}a1Fz0% zOiD^|PJg!^vZ!;`^=RceuWy0vEnd%?p|iOHqXJCHiN>s*+hnC;?z*i^0V>GMcJA$y z$VXL@yy}-67D{~6$S`x7Vk6E-xN^|Yv|=evgp`LevJa#J37iy3ijJ#)w-)`U-+R*| z5N`?N@||EOhMmxphqwZ+fGe=H0*MaFC9dSS`acPmLCGQ>o0GJrSMzOxI1z0j|K+DZsBguEXTlJuhZg{}6sLrPkL`A|+Kx@~U5Q zSSayb%bcdzNN6NnIcVrwoih;2O=%!Fu)v{;Fb)ePzH4esN@EGVXtkF97}Un>lwb9@ zdWq60BUsPVTsS5D9FsUxGc7Lx1;;B3CBA8I#G5(I1Q%x{TsdfHne4*xVS_UeYnG&g zD>gBm2;Zaxce1@jBI;;m0e4Jx;YdKroGL#B8EMU~5|3!a9vWhAIjGGnYzvU4-S2jp z^Ffe$GYe@j8+J752B$ohH8@qqioMS~7b4C@bil&}T*j7TKFBv7oL}%X&{uWhzjVB3 zq1{~X1ks#ntTG9jJO%mEv{%Ad=WMQ3p-Ft3PA;R{h7@SdfQS%k_ShC6Jxc)coK;Ij z$pN}+2}GGwWvn10YZGBt8QU@vwLSw*HQ2G+qJze+1rSBfghvfFUs^oVjX|%&!aCBp z-7FqgGqmIdXwtP<&m^6c_Br6NU*@J!urRK}Sd~w(eOO-7$4Zi*dKz5hTsdqDke(#~ z)k1+Sh?`0N`7U%YWc}X_~OvXA0qa3!SD~VK)1gKg`jH62#1(86R&`7Jw zSV8b&O@yt@wq;LKKSo*q0`6jEEjnmy8oO`=5Jk>}M-4V#T0GN@L9fHYI@0hg4i(^5 zo7v*bd`>ry0!v(%vu>ZWf{)c?flkg4QWkSr_Vbc%3Yd&)U{{WBF;>+=L(dWbMjtp) z#YVmx2cWL~6od(lw5t3RWbbPu?Ee&W_5TnqqFllr7U*PwT{vdWk~?f>i!<{%oh#r9 zOjBTO(>h7|6RUM(#Ht$7(6azVG+uTCh$3ggqlR**G0x@f^#WZiunWfmSvuu56kzJjZ0q?mteFRP<#bD2 zmve8QyrhqnBq1`ZzF6d-9JU2W(=gsIkrrvpfO7626A zgNqItyB0tcITN0;9{ULVZmxhU@T9=qYLvKNO(vemsujp((-bgCJCeF`*cKo?O8{z> z#5#I(gFkUBbE=FLWQdeQ6LEvpdK~wrKEtL)I}Vl!y;+;In%x!Fx1Mscy~Qp8Qp-y2 zw&Vcav^Tr^7H4y<65<5;gmes)__oRR7I}y!9SMzuD+ditlU+C(oPpTlOUX$3h*#|@ zk@wIjIV^M}s(;G%7Mas*W+7p32~`dnnszVVI_HCU^}ZYsST&hP_K~!WFrk)gBx-(+y(UmfWBG2EA5dMZ zbJq3fk~(1H3S9j!W^VTSu~u!&HoWs_;!>+hl2`qb!$OJgTIMvxMnWUu%0WZd>YRaC zQ*sXWiZ^qb0wzuX6RR}|*Es`(rsQ6>TC=@{8Ej5WoYSjyWJq<+x*ihd<_gTK zK%AFuVB7FIynveXQ7&*@;yKx!6?|;ROLTI&kZGtGa$LAt(?PS6L=dV9(a^OzXCSsx ztq0$(g(!qx{Xc|@AeS)yrhI05n3->Wx;Q`O@KC$}ty8)JuE22$#N%L-P^VXG=|&1( zqBlqaC#uBBcjG9u5ZAMMWtGTC^rfox&{<)yWB=r$RKQVap|xeaI**(QppsI)Pk*-_ zvZ!-5H70`#*aF*IyuLu%-i0f0oC3_+xsBz!JS7D)j4hto|Yjam?I;?XB+|^plgFzc%LM<6RbZvY_NFbHQ^4&gPfa+SEv#!U!vo5s* zT!Bp~AisjP5|dx|baMr|3M3G!lH^stI9^#O@lAUp-ppwxxHu!> z%0WZRWEYMP8=Qeyvm_m?W)sti@J&i^C)-;jqK;M;aK~g9js&F4sq#~hk+#0^gdpC6 z8n>HxL_FI9q-poN-4HrqUyddpPI)YAaH@<|w_W1d0C6^^XCf}(GPWG^LB8?e{DP-} zzN!=7I4rcA>zyE)GwpNdu$7ko+SXPZ02rD z4$xgoAj+I7V+9#mn+Utg*p`u~^%-!QbrCMiIQGq*W591hZGH;U;dJ-b6?j~Y0Ervd z?PvwY)#T`a>j#GYvVZ*pnKS|l=HyrXNQ|m=H1sS1P-WArwW_BmdXxoFCe*9-QQ|qs z6}T}4_KWzGL0$b`W^u}BZw#BurYgZyRry0!v(% zvu>ZWf{)c?flkg4QWkSr_Vbc%3Yd&)U{?;?BCxI{5R5)>qKb`tHx58uOCU^Wq*Z0C zAbVdMVgIL~tN({^5#Z(AYHI@B@ePPq*Qn0hlC z)7ou)3M_G5&b@u|l0H_Fglck8stU&9S@q1{{oSHKmx5e1g7)*@6wELAphwJ_5g+E8q$|DR8$MCGJ;~i6^pZ1#;Om1x(V8q^=yc z1xU{lfLbN7jvn3MPaMmfDq{s1BIVFT++eld>bo@;e`Cgk-mFbp&F%{8TTeOJ-eQ*k zsbwX1TXKMI+MC^di?g{_32}maLOKRYeA{Gui#$Y=j)X?Sm4k++$u1lX&OmJOrDP<1 z#H)6d$a`p%92Pnf)jwr>i_B>@vyia2genIOO}iIwo%2Dwi7f{VMA|N8+#WMqoSE;L zZaL=XKK7IA@cV_%)r3;BRuY)yAw}-Bz+kE~%{V|ejaQ%m!i3t46@-}W8KSstNP)yy z)nv|I$6gaCrLlas%MYlo z)j8{WbV(hsaRsja7c)10{WdjxmcY$f8b&-ERVB%*zW9x!&@#|;4w=&w8wrdAD+di- zt8)foP02lc5N#t&s3oI^uBkC8DJ9gabyq(JxB{-g%nCft%y&9nVx2v~SV=c(iE*n+ zlB;0JVWGq~Es8gDngS+H028Y<3D-FTgr?+Pwpz2jg&Ay4Oq|oJb!13&&bl5F=H?2_ zt3aHWZeZK+I=q0I^HDBvUE+M%EyT1$hNlaehEWbjNCIC~iIeZfQD`BqXU$3yiB?sp zM>qKEoB_WY%Ew2{EoY%L&_7<<_&CuCgfio0f*3NCLRBr22V1es$?(LIM zNmY`(>X#fAN_^AEFmsw>BhE;;a?sGUVku69l!r3152OMKoD@llj;nvS7X25v_t0w1 z##MK71zZ7F;5HOU45M7)N)8JpzH4(=YdWlR2He$J%!5H2VL~k#J#=k+Mo1u)#`2wq zm?S^X4!(4)&RN%E-&vR10j|KN6yVn#*J1MOo^Gx{SAhgVRg%2wmmC&KeAhCkDK-)s z30Dppx>n~5#F~v?_7;h# zmjdnwTXKMIo9x1oK$JOEehMclq=3+?85Cy3@uJK-UP zSD-p)bFB*9gdN}tT)6_x84wwqnmx7!NY4@gRW@_CB?suPB@ks!m9c`1tWAVnWo*kx z)cOoK&AJE|W*qzG&N1LOp*BAS>2SJx>k2%sMu5bP>vpsP<7#qrz)b)z@|e9wrE=Lc z1x&JyWUL&v1xU{l097_~w;<}u5`Z(AYGdA_9maXTqZ%P4cD1a}j&JKrajI z!m&V>PPq*Qn0hlC)7ou)3M_G5&b@u|l0H_Fglck8stU&9S@q1{{oSHKmx5e1g7)*@6wELAphwJ_5g+E8q$|DR8$MCGJ;~i6^pZ z1#;Om1x(V8q^=yc1xU{lfLbN7jvn3MPaMmfDq{s1BIVFT++eld>bo@;e`Cgk-mFbp z&F%{8TTeOJ-eQ*ksbwX1TXKMI+MC^di?g{_32}maLOKRYeA{Gui#$Y=j)X?Sm4k++ z$u1lX&OmJOrDP<1#H)6d$a`p%92Pnf)jwr>i_B>@vyia2genIOO}iIwo%2DwdS8x_ zy-7N+liOovi!<{*(=EsR+{b=$9e%&ixtdUF)=C1iJfz6>7JQaOI$(Yjw^*teVUt`$*bGm{3bL z5;Z@^UK1##v3$4752&uyIqQ0KNgc3p1^gE?uk{9Ct=gDvc<0f?rB;ug)R>gU66)2utDgg00au`@Kx%qR&c{;Y zJ84TNK54L$ZqyRvR+S`I!IHy5iEmmIZ{{=wOq>8FR%;Tja|Q@a$-Qi~W_t@W*qoR+ zr&sI9km{UuJtWM{6_{6nI4|A6w&8Vn0X65NT;RIIbFw=t_}Gq@=;U-E(@-(wxNxIe+U;rE@Avl`ONk(GvEAlaem6-p?CpWr*s8e zf#Vd2$H69{POsMcZW14m1Wr_mlkdh+Xd$j=^~x%dk?2cRYx*h;cI+RoQUOPyh1Qnw z>O68LfJ#dFKKs3w%@uG3Tme_$ zohgv$xm@B(4hto|Yjam?I;?XB+|^o)GjOOPjKe~S@7nl`kU%Pp<-2{p0M)fRXI+mj zsRLYr4JyFDu)GeFU-xu#1-c3(5UP^oRlnr0P~y9mIZd&V&`7v)(9pFyXCT&;+`~VG zXd_IhC8LL~sWB;yCDf~RS3d{10@D;oz|~C4t3<)^%0h{6+8gm^PBX#983|Vo8d@g1 zaD3R{48)ox>0mXRm`;RmQi40#-Xalow6cIZCcAJXAZ1RKpMs3E^^GS4@fOs$-NYl} z*%ly8yWj1G&;<_ww`^+=g%(6g+XA7B^bW^}2?SWl6Y>U9UmOxZ1iE(uK z03;43G}5XvRuH^c6Jcue)&E1d`#~>%d|03h{yKi$#vL}Z#hLk>&J}P4T!Aep@Om|a z{KM5`;)%EduD}&4u)wqBi7N1-=;jK{tU#QZ?{vC!dZ!~W(v((o)? z0aw5kc!vsn@WDs#eLH;|><3BxwfND;@BhKU$HD27!+c7TpCg0udcATdoRi_w|`Lj5cfyB_mljOAI~2Lr%#T7rhPcXWQ6hB@ z=_BzTW0kD;LwT<_qIdL?{5Rq+r9bmG9^X;#gO>l+?wk4V$Nu|o?EgQWn#dpT{`i0S z_YR%EBwzjw`T6(d=a1y)k-rsPbp!uj;2oLD&yL@5yq?sHnGR!MEG7FOKKyULc;HAM z?Bw|}$v(&L-}C=#{llG1;lCm$XEp7FE5Wsc>m#^!_y6+ezo6-hs6wDLee}WaJiM3l zBCwb$9hOXfH+z?exySp<9L^i#|NrxUr;tQtKrd3&sG86k^(5h;I78seBp74O-Va~@ zox}aCBQsN!`Q@Mg{5=F6t`f3v-B#Jag}axZ;Zkx<&&~Az`uYEOHb+)W?(Y*Mlu?u| z?LPVo|KRX?{%n`zirq;~WZJW)elRSqvdTU;4eRZDEW8<|n?4>EV;flD%1r~;b6}=_ zZepo_PC^IPQq8F6!2KG`V!UHj-mw}tEr|EMfAVj?%3Lo}J;>|h1HA^$efB%4v@Zs< z6Pe$W{}_@Ofi%$=KiK`~Pj>I6zxs_22&8F1eN0o_g}*CXJ4ll}14;YC|M9N@FIYgs diff --git a/CH6/CH6-1/output_files/Ten_line_to_four_line_BCD_encoder.sta.rpt b/CH6/CH6-1/output_files/Ten_line_to_four_line_BCD_encoder.sta.rpt deleted file mode 100644 index a6a1bfff..00000000 --- a/CH6/CH6-1/output_files/Ten_line_to_four_line_BCD_encoder.sta.rpt +++ /dev/null @@ -1,578 +0,0 @@ -TimeQuest Timing Analyzer report for Ten_line_to_four_line_BCD_encoder -Tue Oct 1 00:13:07 2019 -Quartus II 32-bit Version 13.1.0 Build 162 10/23/2013 SJ Web Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. TimeQuest Timing Analyzer Summary - 3. Parallel Compilation - 4. Clocks - 5. Slow 1200mV 85C Model Fmax Summary - 6. Timing Closure Recommendations - 7. Slow 1200mV 85C Model Setup Summary - 8. Slow 1200mV 85C Model Hold Summary - 9. Slow 1200mV 85C Model Recovery Summary - 10. Slow 1200mV 85C Model Removal Summary - 11. Slow 1200mV 85C Model Minimum Pulse Width Summary - 12. Propagation Delay - 13. Minimum Propagation Delay - 14. Slow 1200mV 85C Model Metastability Report - 15. Slow 1200mV 0C Model Fmax Summary - 16. Slow 1200mV 0C Model Setup Summary - 17. Slow 1200mV 0C Model Hold Summary - 18. Slow 1200mV 0C Model Recovery Summary - 19. Slow 1200mV 0C Model Removal Summary - 20. Slow 1200mV 0C Model Minimum Pulse Width Summary - 21. Propagation Delay - 22. Minimum Propagation Delay - 23. Slow 1200mV 0C Model Metastability Report - 24. Fast 1200mV 0C Model Setup Summary - 25. Fast 1200mV 0C Model Hold Summary - 26. Fast 1200mV 0C Model Recovery Summary - 27. Fast 1200mV 0C Model Removal Summary - 28. Fast 1200mV 0C Model Minimum Pulse Width Summary - 29. Propagation Delay - 30. Minimum Propagation Delay - 31. Fast 1200mV 0C Model Metastability Report - 32. Multicorner Timing Analysis Summary - 33. Propagation Delay - 34. Minimum Propagation Delay - 35. Board Trace Model Assignments - 36. Input Transition Times - 37. Slow Corner Signal Integrity Metrics - 38. Fast Corner Signal Integrity Metrics - 39. Clock Transfers - 40. Report TCCS - 41. Report RSKM - 42. Unconstrained Paths - 43. TimeQuest Timing Analyzer Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 1991-2013 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. - - - -+-------------------------------------------------------------------------+ -; TimeQuest Timing Analyzer Summary ; -+--------------------+----------------------------------------------------+ -; Quartus II Version ; Version 13.1.0 Build 162 10/23/2013 SJ Web Edition ; -; Revision Name ; Ten_line_to_four_line_BCD_encoder ; -; Device Family ; Cyclone III ; -; Device Name ; EP3C16F484C6 ; -; Timing Models ; Final ; -; Delay Model ; Combined ; -; Rise/Fall Delays ; Enabled ; -+--------------------+----------------------------------------------------+ - - -Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. -+-------------------------------------+ -; Parallel Compilation ; -+----------------------------+--------+ -; Processors ; Number ; -+----------------------------+--------+ -; Number detected on machine ; 4 ; -; Maximum allowed ; 1 ; -+----------------------------+--------+ - - ----------- -; Clocks ; ----------- -No clocks to report. - - --------------------------------------- -; Slow 1200mV 85C Model Fmax Summary ; --------------------------------------- -No paths to report. - - ----------------------------------- -; Timing Closure Recommendations ; ----------------------------------- -HTML report is unavailable in plain text report export. - - ---------------------------------------- -; Slow 1200mV 85C Model Setup Summary ; ---------------------------------------- -No paths to report. - - --------------------------------------- -; Slow 1200mV 85C Model Hold Summary ; --------------------------------------- -No paths to report. - - ------------------------------------------- -; Slow 1200mV 85C Model Recovery Summary ; ------------------------------------------- -No paths to report. - - ------------------------------------------ -; Slow 1200mV 85C Model Removal Summary ; ------------------------------------------ -No paths to report. - - ------------------------------------------------------ -; Slow 1200mV 85C Model Minimum Pulse Width Summary ; ------------------------------------------------------ -No paths to report. - - -+----------------------------------------------------+ -; Propagation Delay ; -+------------+-------------+-------+----+----+-------+ -; Input Port ; Output Port ; RR ; RF ; FR ; FF ; -+------------+-------------+-------+----+----+-------+ -; I1 ; A ; 6.961 ; ; ; 7.373 ; -; I2 ; B ; 6.458 ; ; ; 6.834 ; -; I3 ; A ; 7.016 ; ; ; 7.439 ; -; I3 ; B ; 6.724 ; ; ; 7.141 ; -; I4 ; C ; 6.571 ; ; ; 6.993 ; -; I5 ; A ; 6.510 ; ; ; 6.903 ; -; I5 ; C ; 5.937 ; ; ; 6.320 ; -; I6 ; B ; 6.790 ; ; ; 7.227 ; -; I6 ; C ; 6.508 ; ; ; 6.942 ; -; I7 ; A ; 6.916 ; ; ; 7.329 ; -; I7 ; B ; 6.633 ; ; ; 7.042 ; -; I7 ; C ; 6.489 ; ; ; 6.893 ; -; I8 ; D ; 5.907 ; ; ; 6.288 ; -; I9 ; A ; 6.571 ; ; ; 6.998 ; -; I9 ; D ; 6.301 ; ; ; 6.725 ; -+------------+-------------+-------+----+----+-------+ - - -+----------------------------------------------------+ -; Minimum Propagation Delay ; -+------------+-------------+-------+----+----+-------+ -; Input Port ; Output Port ; RR ; RF ; FR ; FF ; -+------------+-------------+-------+----+----+-------+ -; I1 ; A ; 6.797 ; ; ; 7.196 ; -; I2 ; B ; 6.314 ; ; ; 6.679 ; -; I3 ; A ; 6.850 ; ; ; 7.260 ; -; I3 ; B ; 6.570 ; ; ; 6.974 ; -; I4 ; C ; 6.422 ; ; ; 6.831 ; -; I5 ; A ; 6.363 ; ; ; 6.744 ; -; I5 ; C ; 5.814 ; ; ; 6.186 ; -; I6 ; B ; 6.633 ; ; ; 7.057 ; -; I6 ; C ; 6.363 ; ; ; 6.784 ; -; I7 ; A ; 6.753 ; ; ; 7.154 ; -; I7 ; B ; 6.482 ; ; ; 6.879 ; -; I7 ; C ; 6.344 ; ; ; 6.736 ; -; I8 ; D ; 5.785 ; ; ; 6.154 ; -; I9 ; A ; 6.421 ; ; ; 6.836 ; -; I9 ; D ; 6.163 ; ; ; 6.575 ; -+------------+-------------+-------+----+----+-------+ - - ----------------------------------------------- -; Slow 1200mV 85C Model Metastability Report ; ----------------------------------------------- -No synchronizer chains to report. - - -------------------------------------- -; Slow 1200mV 0C Model Fmax Summary ; -------------------------------------- -No paths to report. - - --------------------------------------- -; Slow 1200mV 0C Model Setup Summary ; --------------------------------------- -No paths to report. - - -------------------------------------- -; Slow 1200mV 0C Model Hold Summary ; -------------------------------------- -No paths to report. - - ------------------------------------------ -; Slow 1200mV 0C Model Recovery Summary ; ------------------------------------------ -No paths to report. - - ----------------------------------------- -; Slow 1200mV 0C Model Removal Summary ; ----------------------------------------- -No paths to report. - - ----------------------------------------------------- -; Slow 1200mV 0C Model Minimum Pulse Width Summary ; ----------------------------------------------------- -No paths to report. - - -+----------------------------------------------------+ -; Propagation Delay ; -+------------+-------------+-------+----+----+-------+ -; Input Port ; Output Port ; RR ; RF ; FR ; FF ; -+------------+-------------+-------+----+----+-------+ -; I1 ; A ; 6.469 ; ; ; 6.785 ; -; I2 ; B ; 6.010 ; ; ; 6.311 ; -; I3 ; A ; 6.513 ; ; ; 6.857 ; -; I3 ; B ; 6.247 ; ; ; 6.588 ; -; I4 ; C ; 6.114 ; ; ; 6.458 ; -; I5 ; A ; 6.054 ; ; ; 6.368 ; -; I5 ; C ; 5.530 ; ; ; 5.847 ; -; I6 ; B ; 6.307 ; ; ; 6.651 ; -; I6 ; C ; 6.047 ; ; ; 6.399 ; -; I7 ; A ; 6.420 ; ; ; 6.762 ; -; I7 ; B ; 6.163 ; ; ; 6.505 ; -; I7 ; C ; 6.027 ; ; ; 6.367 ; -; I8 ; D ; 5.503 ; ; ; 5.817 ; -; I9 ; A ; 6.111 ; ; ; 6.449 ; -; I9 ; D ; 5.866 ; ; ; 6.205 ; -+------------+-------------+-------+----+----+-------+ - - -+----------------------------------------------------+ -; Minimum Propagation Delay ; -+------------+-------------+-------+----+----+-------+ -; Input Port ; Output Port ; RR ; RF ; FR ; FF ; -+------------+-------------+-------+----+----+-------+ -; I1 ; A ; 6.327 ; ; ; 6.634 ; -; I2 ; B ; 5.887 ; ; ; 6.181 ; -; I3 ; A ; 6.368 ; ; ; 6.702 ; -; I3 ; B ; 6.114 ; ; ; 6.445 ; -; I4 ; C ; 5.987 ; ; ; 6.320 ; -; I5 ; A ; 5.928 ; ; ; 6.235 ; -; I5 ; C ; 5.425 ; ; ; 5.735 ; -; I6 ; B ; 6.172 ; ; ; 6.506 ; -; I6 ; C ; 5.923 ; ; ; 6.263 ; -; I7 ; A ; 6.279 ; ; ; 6.611 ; -; I7 ; B ; 6.034 ; ; ; 6.366 ; -; I7 ; C ; 5.903 ; ; ; 6.233 ; -; I8 ; D ; 5.400 ; ; ; 5.706 ; -; I9 ; A ; 5.983 ; ; ; 6.312 ; -; I9 ; D ; 5.749 ; ; ; 6.077 ; -+------------+-------------+-------+----+----+-------+ - - ---------------------------------------------- -; Slow 1200mV 0C Model Metastability Report ; ---------------------------------------------- -No synchronizer chains to report. - - --------------------------------------- -; Fast 1200mV 0C Model Setup Summary ; --------------------------------------- -No paths to report. - - -------------------------------------- -; Fast 1200mV 0C Model Hold Summary ; -------------------------------------- -No paths to report. - - ------------------------------------------ -; Fast 1200mV 0C Model Recovery Summary ; ------------------------------------------ -No paths to report. - - ----------------------------------------- -; Fast 1200mV 0C Model Removal Summary ; ----------------------------------------- -No paths to report. - - ----------------------------------------------------- -; Fast 1200mV 0C Model Minimum Pulse Width Summary ; ----------------------------------------------------- -No paths to report. - - -+----------------------------------------------------+ -; Propagation Delay ; -+------------+-------------+-------+----+----+-------+ -; Input Port ; Output Port ; RR ; RF ; FR ; FF ; -+------------+-------------+-------+----+----+-------+ -; I1 ; A ; 4.132 ; ; ; 4.731 ; -; I2 ; B ; 3.863 ; ; ; 4.445 ; -; I3 ; A ; 4.176 ; ; ; 4.782 ; -; I3 ; B ; 4.028 ; ; ; 4.622 ; -; I4 ; C ; 3.952 ; ; ; 4.534 ; -; I5 ; A ; 3.877 ; ; ; 4.454 ; -; I5 ; C ; 3.569 ; ; ; 4.113 ; -; I6 ; B ; 4.073 ; ; ; 4.667 ; -; I6 ; C ; 3.912 ; ; ; 4.487 ; -; I7 ; A ; 4.110 ; ; ; 4.711 ; -; I7 ; B ; 3.971 ; ; ; 4.562 ; -; I7 ; C ; 3.888 ; ; ; 4.458 ; -; I8 ; D ; 3.554 ; ; ; 4.093 ; -; I9 ; A ; 3.937 ; ; ; 4.524 ; -; I9 ; D ; 3.790 ; ; ; 4.355 ; -+------------+-------------+-------+----+----+-------+ - - -+----------------------------------------------------+ -; Minimum Propagation Delay ; -+------------+-------------+-------+----+----+-------+ -; Input Port ; Output Port ; RR ; RF ; FR ; FF ; -+------------+-------------+-------+----+----+-------+ -; I1 ; A ; 4.037 ; ; ; 4.630 ; -; I2 ; B ; 3.779 ; ; ; 4.356 ; -; I3 ; A ; 4.079 ; ; ; 4.679 ; -; I3 ; B ; 3.938 ; ; ; 4.525 ; -; I4 ; C ; 3.864 ; ; ; 4.439 ; -; I5 ; A ; 3.790 ; ; ; 4.364 ; -; I5 ; C ; 3.496 ; ; ; 4.035 ; -; I6 ; B ; 3.981 ; ; ; 4.568 ; -; I6 ; C ; 3.827 ; ; ; 4.394 ; -; I7 ; A ; 4.015 ; ; ; 4.610 ; -; I7 ; B ; 3.883 ; ; ; 4.467 ; -; I7 ; C ; 3.803 ; ; ; 4.366 ; -; I8 ; D ; 3.483 ; ; ; 4.017 ; -; I9 ; A ; 3.850 ; ; ; 4.430 ; -; I9 ; D ; 3.709 ; ; ; 4.268 ; -+------------+-------------+-------+----+----+-------+ - - ---------------------------------------------- -; Fast 1200mV 0C Model Metastability Report ; ---------------------------------------------- -No synchronizer chains to report. - - -+----------------------------------------------------------------------------+ -; Multicorner Timing Analysis Summary ; -+------------------+-------+------+----------+---------+---------------------+ -; Clock ; Setup ; Hold ; Recovery ; Removal ; Minimum Pulse Width ; -+------------------+-------+------+----------+---------+---------------------+ -; Worst-case Slack ; N/A ; N/A ; N/A ; N/A ; N/A ; -; Design-wide TNS ; 0.0 ; 0.0 ; 0.0 ; 0.0 ; 0.0 ; -+------------------+-------+------+----------+---------+---------------------+ - - -+----------------------------------------------------+ -; Propagation Delay ; -+------------+-------------+-------+----+----+-------+ -; Input Port ; Output Port ; RR ; RF ; FR ; FF ; -+------------+-------------+-------+----+----+-------+ -; I1 ; A ; 6.961 ; ; ; 7.373 ; -; I2 ; B ; 6.458 ; ; ; 6.834 ; -; I3 ; A ; 7.016 ; ; ; 7.439 ; -; I3 ; B ; 6.724 ; ; ; 7.141 ; -; I4 ; C ; 6.571 ; ; ; 6.993 ; -; I5 ; A ; 6.510 ; ; ; 6.903 ; -; I5 ; C ; 5.937 ; ; ; 6.320 ; -; I6 ; B ; 6.790 ; ; ; 7.227 ; -; I6 ; C ; 6.508 ; ; ; 6.942 ; -; I7 ; A ; 6.916 ; ; ; 7.329 ; -; I7 ; B ; 6.633 ; ; ; 7.042 ; -; I7 ; C ; 6.489 ; ; ; 6.893 ; -; I8 ; D ; 5.907 ; ; ; 6.288 ; -; I9 ; A ; 6.571 ; ; ; 6.998 ; -; I9 ; D ; 6.301 ; ; ; 6.725 ; -+------------+-------------+-------+----+----+-------+ - - -+----------------------------------------------------+ -; Minimum Propagation Delay ; -+------------+-------------+-------+----+----+-------+ -; Input Port ; Output Port ; RR ; RF ; FR ; FF ; -+------------+-------------+-------+----+----+-------+ -; I1 ; A ; 4.037 ; ; ; 4.630 ; -; I2 ; B ; 3.779 ; ; ; 4.356 ; -; I3 ; A ; 4.079 ; ; ; 4.679 ; -; I3 ; B ; 3.938 ; ; ; 4.525 ; -; I4 ; C ; 3.864 ; ; ; 4.439 ; -; I5 ; A ; 3.790 ; ; ; 4.364 ; -; I5 ; C ; 3.496 ; ; ; 4.035 ; -; I6 ; B ; 3.981 ; ; ; 4.568 ; -; I6 ; C ; 3.827 ; ; ; 4.394 ; -; I7 ; A ; 4.015 ; ; ; 4.610 ; -; I7 ; B ; 3.883 ; ; ; 4.467 ; -; I7 ; C ; 3.803 ; ; ; 4.366 ; -; I8 ; D ; 3.483 ; ; ; 4.017 ; -; I9 ; A ; 3.850 ; ; ; 4.430 ; -; I9 ; D ; 3.709 ; ; ; 4.268 ; -+------------+-------------+-------+----+----+-------+ - - -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Board Trace Model Assignments ; -+---------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+ -; Pin ; I/O Standard ; Near Tline Length ; Near Tline L per Length ; Near Tline C per Length ; Near Series R ; Near Differential R ; Near Pull-up R ; Near Pull-down R ; Near C ; Far Tline Length ; Far Tline L per Length ; Far Tline C per Length ; Far Series R ; Far Pull-up R ; Far Pull-down R ; Far C ; Termination Voltage ; Far Differential R ; EBD File Name ; EBD Signal Name ; EBD Far-end ; -+---------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+ -; A ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; -; B ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; -; C ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; -; D ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; -; ~ALTERA_DCLK~ ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; -; ~ALTERA_nCEO~ ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; -+---------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+ - - -+----------------------------------------------------------------------------+ -; Input Transition Times ; -+-------------------------+--------------+-----------------+-----------------+ -; Pin ; I/O Standard ; 10-90 Rise Time ; 90-10 Fall Time ; -+-------------------------+--------------+-----------------+-----------------+ -; I0 ; 2.5 V ; 2000 ps ; 2000 ps ; -; I9 ; 2.5 V ; 2000 ps ; 2000 ps ; -; I5 ; 2.5 V ; 2000 ps ; 2000 ps ; -; I7 ; 2.5 V ; 2000 ps ; 2000 ps ; -; I1 ; 2.5 V ; 2000 ps ; 2000 ps ; -; I3 ; 2.5 V ; 2000 ps ; 2000 ps ; -; I2 ; 2.5 V ; 2000 ps ; 2000 ps ; -; I6 ; 2.5 V ; 2000 ps ; 2000 ps ; -; I4 ; 2.5 V ; 2000 ps ; 2000 ps ; -; I8 ; 2.5 V ; 2000 ps ; 2000 ps ; -; ~ALTERA_ASDO_DATA1~ ; 2.5 V ; 2000 ps ; 2000 ps ; -; ~ALTERA_FLASH_nCE_nCSO~ ; 2.5 V ; 2000 ps ; 2000 ps ; -; ~ALTERA_DATA0~ ; 2.5 V ; 2000 ps ; 2000 ps ; -+-------------------------+--------------+-----------------+-----------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow Corner Signal Integrity Metrics ; -+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ -; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ; -+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ -; A ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ; -; B ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ; -; C ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ; -; D ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ; -; ~ALTERA_DCLK~ ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 5.68e-07 V ; 2.35 V ; -0.0132 V ; 0.2 V ; 0.027 V ; 5.26e-10 s ; 4.81e-10 s ; Yes ; Yes ; 2.32 V ; 5.68e-07 V ; 2.35 V ; -0.0132 V ; 0.2 V ; 0.027 V ; 5.26e-10 s ; 4.81e-10 s ; Yes ; Yes ; -; ~ALTERA_nCEO~ ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 9.36e-07 V ; 2.35 V ; -0.00444 V ; 0.18 V ; 0.019 V ; 7.23e-10 s ; 9.82e-10 s ; Yes ; Yes ; 2.32 V ; 9.36e-07 V ; 2.35 V ; -0.00444 V ; 0.18 V ; 0.019 V ; 7.23e-10 s ; 9.82e-10 s ; Yes ; Yes ; -+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fast Corner Signal Integrity Metrics ; -+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ -; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ; -+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ -; A ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ; -; B ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ; -; C ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ; -; D ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ; -; ~ALTERA_DCLK~ ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.22e-08 V ; 2.72 V ; -0.0747 V ; 0.28 V ; 0.169 V ; 3.1e-10 s ; 3.01e-10 s ; No ; Yes ; 2.62 V ; 2.22e-08 V ; 2.72 V ; -0.0747 V ; 0.28 V ; 0.169 V ; 3.1e-10 s ; 3.01e-10 s ; No ; Yes ; -; ~ALTERA_nCEO~ ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 3.53e-08 V ; 2.7 V ; -0.0212 V ; 0.204 V ; 0.049 V ; 4.85e-10 s ; 6.73e-10 s ; No ; Yes ; 2.62 V ; 3.53e-08 V ; 2.7 V ; -0.0212 V ; 0.204 V ; 0.049 V ; 4.85e-10 s ; 6.73e-10 s ; No ; Yes ; -+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ - - -------------------- -; Clock Transfers ; -------------------- -Nothing to report. - - ---------------- -; Report TCCS ; ---------------- -No dedicated SERDES Transmitter circuitry present in device or used in design - - ---------------- -; Report RSKM ; ---------------- -No non-DPA dedicated SERDES Receiver circuitry present in device or used in design - - -+------------------------------------------------+ -; Unconstrained Paths ; -+---------------------------------+-------+------+ -; Property ; Setup ; Hold ; -+---------------------------------+-------+------+ -; Illegal Clocks ; 0 ; 0 ; -; Unconstrained Clocks ; 0 ; 0 ; -; Unconstrained Input Ports ; 9 ; 9 ; -; Unconstrained Input Port Paths ; 15 ; 15 ; -; Unconstrained Output Ports ; 4 ; 4 ; -; Unconstrained Output Port Paths ; 15 ; 15 ; -+---------------------------------+-------+------+ - - -+------------------------------------+ -; TimeQuest Timing Analyzer Messages ; -+------------------------------------+ -Info: ******************************************************************* -Info: Running Quartus II 32-bit TimeQuest Timing Analyzer - Info: Version 13.1.0 Build 162 10/23/2013 SJ Web Edition - Info: Processing started: Tue Oct 1 00:13:04 2019 -Info: Command: quartus_sta Ten_line_to_four_line_BCD_encoder -c Ten_line_to_four_line_BCD_encoder -Info: qsta_default_script.tcl version: #1 -Warning (20028): Parallel compilation is not licensed and has been disabled -Info (21077): Core supply voltage is 1.2V -Info (21077): Low junction temperature is 0 degrees C -Info (21077): High junction temperature is 85 degrees C -Critical Warning (332012): Synopsys Design Constraints File file not found: 'Ten_line_to_four_line_BCD_encoder.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. -Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" -Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. -Warning (332068): No clocks defined in design. -Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty" -Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. -Info: Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON -Info (332159): No clocks to report -Info: Analyzing Slow 1200mV 85C Model -Info (332140): No fmax paths to report -Info (332140): No Setup paths to report -Info (332140): No Hold paths to report -Info (332140): No Recovery paths to report -Info (332140): No Removal paths to report -Info (332140): No Minimum Pulse Width paths to report -Info: Analyzing Slow 1200mV 0C Model -Info (334003): Started post-fitting delay annotation -Info (334004): Delay annotation completed successfully -Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" -Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. -Warning (332068): No clocks defined in design. -Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. -Info (332140): No fmax paths to report -Info (332140): No Setup paths to report -Info (332140): No Hold paths to report -Info (332140): No Recovery paths to report -Info (332140): No Removal paths to report -Info (332140): No Minimum Pulse Width paths to report -Info: Analyzing Fast 1200mV 0C Model -Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" -Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. -Warning (332068): No clocks defined in design. -Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. -Info (332140): No Setup paths to report -Info (332140): No Hold paths to report -Info (332140): No Recovery paths to report -Info (332140): No Removal paths to report -Info (332140): No Minimum Pulse Width paths to report -Info (332102): Design is not fully constrained for setup requirements -Info (332102): Design is not fully constrained for hold requirements -Info: Quartus II 32-bit TimeQuest Timing Analyzer was successful. 0 errors, 5 warnings - Info: Peak virtual memory: 383 megabytes - Info: Processing ended: Tue Oct 1 00:13:07 2019 - Info: Elapsed time: 00:00:03 - Info: Total CPU time (on all processors): 00:00:03 - - diff --git a/CH6/CH6-1/output_files/Ten_line_to_four_line_BCD_encoder.sta.summary b/CH6/CH6-1/output_files/Ten_line_to_four_line_BCD_encoder.sta.summary deleted file mode 100644 index 33f74363..00000000 --- a/CH6/CH6-1/output_files/Ten_line_to_four_line_BCD_encoder.sta.summary +++ /dev/null @@ -1,5 +0,0 @@ ------------------------------------------------------------- -TimeQuest Timing Analyzer Summary ------------------------------------------------------------- - ------------------------------------------------------------- diff --git a/CH6/CH6-1/output_files/four_line_to_sixteen_line_decimal_decoder.asm.rpt b/CH6/CH6-1/output_files/four_line_to_sixteen_line_decimal_decoder.asm.rpt deleted file mode 100644 index 7dff6a96..00000000 --- a/CH6/CH6-1/output_files/four_line_to_sixteen_line_decimal_decoder.asm.rpt +++ /dev/null @@ -1,116 +0,0 @@ -Assembler report for four_line_to_sixteen_line_decimal_decoder -Thu Oct 24 22:11:33 2019 -Quartus II 32-bit Version 13.1.0 Build 162 10/23/2013 SJ Web Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. Assembler Summary - 3. Assembler Settings - 4. Assembler Generated Files - 5. Assembler Device Options: four_line_to_sixteen_line_decimal_decoder.sof - 6. Assembler Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 1991-2013 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. - - - -+-------------------------------------------------------------------+ -; Assembler Summary ; -+-----------------------+-------------------------------------------+ -; Assembler Status ; Successful - Thu Oct 24 22:11:33 2019 ; -; Revision Name ; four_line_to_sixteen_line_decimal_decoder ; -; Top-level Entity Name ; four_line_to_sixteen_line_decimal_decoder ; -; Family ; Cyclone III ; -; Device ; EP3C16F484C6 ; -+-----------------------+-------------------------------------------+ - - -+--------------------------------------------------------------------------------------------------------+ -; Assembler Settings ; -+-----------------------------------------------------------------------------+----------+---------------+ -; Option ; Setting ; Default Value ; -+-----------------------------------------------------------------------------+----------+---------------+ -; Use smart compilation ; Off ; Off ; -; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; -; Enable compact report table ; Off ; Off ; -; Generate compressed bitstreams ; On ; On ; -; Compression mode ; Off ; Off ; -; Clock source for configuration device ; Internal ; Internal ; -; Clock frequency of the configuration device ; 10 MHZ ; 10 MHz ; -; Divide clock frequency by ; 1 ; 1 ; -; Auto user code ; On ; On ; -; Use configuration device ; Off ; Off ; -; Configuration device ; Auto ; Auto ; -; Configuration device auto user code ; Off ; Off ; -; Generate Tabular Text File (.ttf) For Target Device ; Off ; Off ; -; Generate Raw Binary File (.rbf) For Target Device ; Off ; Off ; -; Generate Hexadecimal (Intel-Format) Output File (.hexout) for Target Device ; Off ; Off ; -; Hexadecimal Output File start address ; 0 ; 0 ; -; Hexadecimal Output File count direction ; Up ; Up ; -; Release clears before tri-states ; Off ; Off ; -; Auto-restart configuration after error ; On ; On ; -; Enable OCT_DONE ; Off ; Off ; -; Generate Serial Vector Format File (.svf) for Target Device ; Off ; Off ; -; Generate a JEDEC STAPL Format File (.jam) for Target Device ; Off ; Off ; -; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; Off ; Off ; -; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; On ; On ; -+-----------------------------------------------------------------------------+----------+---------------+ - - -+-----------------------------------------------+ -; Assembler Generated Files ; -+-----------------------------------------------+ -; File Name ; -+-----------------------------------------------+ -; four_line_to_sixteen_line_decimal_decoder.sof ; -+-----------------------------------------------+ - - -+-------------------------------------------------------------------------+ -; Assembler Device Options: four_line_to_sixteen_line_decimal_decoder.sof ; -+----------------+--------------------------------------------------------+ -; Option ; Setting ; -+----------------+--------------------------------------------------------+ -; Device ; EP3C16F484C6 ; -; JTAG usercode ; 0x000C9ECE ; -; Checksum ; 0x000C9ECE ; -+----------------+--------------------------------------------------------+ - - -+--------------------+ -; Assembler Messages ; -+--------------------+ -Info: ******************************************************************* -Info: Running Quartus II 32-bit Assembler - Info: Version 13.1.0 Build 162 10/23/2013 SJ Web Edition - Info: Processing started: Thu Oct 24 22:11:31 2019 -Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off four_line_to_sixteen_line_decimal_decoder -c four_line_to_sixteen_line_decimal_decoder -Info (115031): Writing out detailed assembly data for power analysis -Info (115030): Assembler is generating device programming files -Info: Quartus II 32-bit Assembler was successful. 0 errors, 0 warnings - Info: Peak virtual memory: 382 megabytes - Info: Processing ended: Thu Oct 24 22:11:33 2019 - Info: Elapsed time: 00:00:02 - Info: Total CPU time (on all processors): 00:00:03 - - diff --git a/CH6/CH6-1/output_files/four_line_to_sixteen_line_decimal_decoder.done b/CH6/CH6-1/output_files/four_line_to_sixteen_line_decimal_decoder.done deleted file mode 100644 index 1f5a20b8..00000000 --- a/CH6/CH6-1/output_files/four_line_to_sixteen_line_decimal_decoder.done +++ /dev/null @@ -1 +0,0 @@ -Thu Oct 24 22:11:46 2019 diff --git a/CH6/CH6-1/output_files/four_line_to_sixteen_line_decimal_decoder.eda.rpt b/CH6/CH6-1/output_files/four_line_to_sixteen_line_decimal_decoder.eda.rpt deleted file mode 100644 index a2a31add..00000000 --- a/CH6/CH6-1/output_files/four_line_to_sixteen_line_decimal_decoder.eda.rpt +++ /dev/null @@ -1,105 +0,0 @@ -EDA Netlist Writer report for four_line_to_sixteen_line_decimal_decoder -Thu Oct 24 22:24:53 2019 -Quartus II 32-bit Version 13.1.0 Build 162 10/23/2013 SJ Web Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. EDA Netlist Writer Summary - 3. Simulation Settings - 4. Simulation Generated Files - 5. EDA Netlist Writer Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 1991-2013 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. - - - -+-----------------------------------------------------------------------+ -; EDA Netlist Writer Summary ; -+---------------------------+-------------------------------------------+ -; EDA Netlist Writer Status ; Successful - Thu Oct 24 22:24:53 2019 ; -; Revision Name ; four_line_to_sixteen_line_decimal_decoder ; -; Top-level Entity Name ; four_line_to_sixteen_line_decimal_decoder ; -; Family ; Cyclone III ; -; Simulation Files Creation ; Successful ; -+---------------------------+-------------------------------------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------+ -; Simulation Settings ; -+---------------------------------------------------------------------------------------------------+---------------------------+ -; Option ; Setting ; -+---------------------------------------------------------------------------------------------------+---------------------------+ -; Tool Name ; ModelSim-Altera (Verilog) ; -; Generate netlist for functional simulation only ; On ; -; Truncate long hierarchy paths ; Off ; -; Map illegal HDL characters ; Off ; -; Flatten buses into individual nodes ; Off ; -; Maintain hierarchy ; Off ; -; Bring out device-wide set/reset signals as ports ; Off ; -; Enable glitch filtering ; Off ; -; Do not write top level VHDL entity ; Off ; -; Disable detection of setup and hold time violations in the input registers of bi-directional pins ; Off ; -; Architecture name in VHDL output netlist ; structure ; -; Generate third-party EDA tool command script for RTL functional simulation ; Off ; -; Generate third-party EDA tool command script for gate-level simulation ; Off ; -+---------------------------------------------------------------------------------------------------+---------------------------+ - - -+----------------------------------------------------------------------------------------------------+ -; Simulation Generated Files ; -+----------------------------------------------------------------------------------------------------+ -; Generated Files ; -+----------------------------------------------------------------------------------------------------+ -; /home/timmy/Git/Learn-VHDL/CH6/CH6-1/simulation/qsim//four_line_to_sixteen_line_decimal_decoder.vo ; -+----------------------------------------------------------------------------------------------------+ - - -+-----------------------------+ -; EDA Netlist Writer Messages ; -+-----------------------------+ -Info: ******************************************************************* -Info: Running Quartus II 32-bit EDA Netlist Writer - Info: Version 13.1.0 Build 162 10/23/2013 SJ Web Edition - Info: Copyright (C) 1991-2013 Altera Corporation. All rights reserved. - Info: Your use of Altera Corporation's design tools, logic functions - Info: and other software and tools, and its AMPP partner logic - Info: functions, and any output files from any of the foregoing - Info: (including device programming or simulation files), and any - Info: associated documentation or information are expressly subject - Info: to the terms and conditions of the Altera Program License - Info: Subscription Agreement, Altera MegaCore Function License - Info: Agreement, or other applicable license agreement, including, - Info: without limitation, that your use is for the sole purpose of - Info: programming logic devices manufactured by Altera and sold by - Info: Altera or its authorized distributors. Please refer to the - Info: applicable agreement for further details. - Info: Processing started: Thu Oct 24 22:24:52 2019 -Info: Command: quartus_eda --functional=on --flatten_buses=off --simulation=on --tool=modelsim_oem --format=verilog --output_directory=/home/timmy/Git/Learn-VHDL/CH6/CH6-1/simulation/qsim/ four_line_to_sixteen_line_decimal_decoder -c four_line_to_sixteen_line_decimal_decoder -Info (204019): Generated file four_line_to_sixteen_line_decimal_decoder.vo in folder "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/simulation/qsim//" for EDA simulation tool -Info: Quartus II 32-bit EDA Netlist Writer was successful. 0 errors, 0 warnings - Info: Peak virtual memory: 348 megabytes - Info: Processing ended: Thu Oct 24 22:24:53 2019 - Info: Elapsed time: 00:00:01 - Info: Total CPU time (on all processors): 00:00:01 - - diff --git a/CH6/CH6-1/output_files/four_line_to_sixteen_line_decimal_decoder.fit.rpt b/CH6/CH6-1/output_files/four_line_to_sixteen_line_decimal_decoder.fit.rpt deleted file mode 100644 index 9fd983ed..00000000 --- a/CH6/CH6-1/output_files/four_line_to_sixteen_line_decimal_decoder.fit.rpt +++ /dev/null @@ -1,1376 +0,0 @@ -Fitter report for four_line_to_sixteen_line_decimal_decoder -Thu Oct 24 22:11:27 2019 -Quartus II 32-bit Version 13.1.0 Build 162 10/23/2013 SJ Web Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. Fitter Summary - 3. Fitter Settings - 4. Parallel Compilation - 5. I/O Assignment Warnings - 6. Incremental Compilation Preservation Summary - 7. Incremental Compilation Partition Settings - 8. Incremental Compilation Placement Preservation - 9. Pin-Out File - 10. Fitter Resource Usage Summary - 11. Fitter Partition Statistics - 12. Input Pins - 13. Output Pins - 14. Dual Purpose and Dedicated Pins - 15. I/O Bank Usage - 16. All Package Pins - 17. Fitter Resource Utilization by Entity - 18. Delay Chain Summary - 19. Pad To Core Delay Chain Fanout - 20. Non-Global High Fan-Out Signals - 21. Routing Usage Summary - 22. LAB Logic Elements - 23. LAB Signals Sourced - 24. LAB Signals Sourced Out - 25. LAB Distinct Inputs - 26. I/O Rules Summary - 27. I/O Rules Details - 28. I/O Rules Matrix - 29. Fitter Device Options - 30. Operating Settings and Conditions - 31. Fitter Messages - 32. Fitter Suppressed Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 1991-2013 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. - - - -+---------------------------------------------------------------------------------+ -; Fitter Summary ; -+------------------------------------+--------------------------------------------+ -; Fitter Status ; Successful - Thu Oct 24 22:11:27 2019 ; -; Quartus II 32-bit Version ; 13.1.0 Build 162 10/23/2013 SJ Web Edition ; -; Revision Name ; four_line_to_sixteen_line_decimal_decoder ; -; Top-level Entity Name ; four_line_to_sixteen_line_decimal_decoder ; -; Family ; Cyclone III ; -; Device ; EP3C16F484C6 ; -; Timing Models ; Final ; -; Total logic elements ; 16 / 15,408 ( < 1 % ) ; -; Total combinational functions ; 16 / 15,408 ( < 1 % ) ; -; Dedicated logic registers ; 0 / 15,408 ( 0 % ) ; -; Total registers ; 0 ; -; Total pins ; 20 / 347 ( 6 % ) ; -; Total virtual pins ; 0 ; -; Total memory bits ; 0 / 516,096 ( 0 % ) ; -; Embedded Multiplier 9-bit elements ; 0 / 112 ( 0 % ) ; -; Total PLLs ; 0 / 4 ( 0 % ) ; -+------------------------------------+--------------------------------------------+ - - -+------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fitter Settings ; -+----------------------------------------------------------------------------+---------------------------------------+---------------------------------------+ -; Option ; Setting ; Default Value ; -+----------------------------------------------------------------------------+---------------------------------------+---------------------------------------+ -; Device ; EP3C16F484C6 ; ; -; Nominal Core Supply Voltage ; 1.2V ; ; -; Minimum Core Junction Temperature ; 0 ; ; -; Maximum Core Junction Temperature ; 85 ; ; -; Fit Attempts to Skip ; 0 ; 0.0 ; -; Use smart compilation ; Off ; Off ; -; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; -; Enable compact report table ; Off ; Off ; -; Auto Merge PLLs ; On ; On ; -; Router Timing Optimization Level ; Normal ; Normal ; -; Perform Clocking Topology Analysis During Routing ; Off ; Off ; -; Placement Effort Multiplier ; 1.0 ; 1.0 ; -; Router Effort Multiplier ; 1.0 ; 1.0 ; -; Optimize Hold Timing ; All Paths ; All Paths ; -; Optimize Multi-Corner Timing ; On ; On ; -; PowerPlay Power Optimization ; Normal compilation ; Normal compilation ; -; SSN Optimization ; Off ; Off ; -; Optimize Timing ; Normal compilation ; Normal compilation ; -; Optimize Timing for ECOs ; Off ; Off ; -; Regenerate full fit report during ECO compiles ; Off ; Off ; -; Optimize IOC Register Placement for Timing ; Normal ; Normal ; -; Limit to One Fitting Attempt ; Off ; Off ; -; Final Placement Optimizations ; Automatically ; Automatically ; -; Fitter Aggressive Routability Optimizations ; Automatically ; Automatically ; -; Fitter Initial Placement Seed ; 1 ; 1 ; -; PCI I/O ; Off ; Off ; -; Weak Pull-Up Resistor ; Off ; Off ; -; Enable Bus-Hold Circuitry ; Off ; Off ; -; Auto Packed Registers ; Auto ; Auto ; -; Auto Delay Chains ; On ; On ; -; Auto Delay Chains for High Fanout Input Pins ; Off ; Off ; -; Allow Single-ended Buffer for Differential-XSTL Input ; Off ; Off ; -; Treat Bidirectional Pin as Output Pin ; Off ; Off ; -; Perform Physical Synthesis for Combinational Logic for Fitting ; Off ; Off ; -; Perform Physical Synthesis for Combinational Logic for Performance ; Off ; Off ; -; Perform Register Duplication for Performance ; Off ; Off ; -; Perform Logic to Memory Mapping for Fitting ; Off ; Off ; -; Perform Register Retiming for Performance ; Off ; Off ; -; Perform Asynchronous Signal Pipelining ; Off ; Off ; -; Fitter Effort ; Auto Fit ; Auto Fit ; -; Physical Synthesis Effort Level ; Normal ; Normal ; -; Logic Cell Insertion - Logic Duplication ; Auto ; Auto ; -; Auto Register Duplication ; Auto ; Auto ; -; Auto Global Clock ; On ; On ; -; Auto Global Register Control Signals ; On ; On ; -; Reserve all unused pins ; As input tri-stated with weak pull-up ; As input tri-stated with weak pull-up ; -; Synchronizer Identification ; Off ; Off ; -; Enable Beneficial Skew Optimization ; On ; On ; -; Optimize Design for Metastability ; On ; On ; -; Force Fitter to Avoid Periphery Placement Warnings ; Off ; Off ; -; RAM Bit Reservation (Cyclone III) ; Off ; Off ; -; Enable input tri-state on active configuration pins in user mode ; Off ; Off ; -+----------------------------------------------------------------------------+---------------------------------------+---------------------------------------+ - - -Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. -+-------------------------------------+ -; Parallel Compilation ; -+----------------------------+--------+ -; Processors ; Number ; -+----------------------------+--------+ -; Number detected on machine ; 4 ; -; Maximum allowed ; 1 ; -+----------------------------+--------+ - - -+------------------------------------------+ -; I/O Assignment Warnings ; -+----------+-------------------------------+ -; Pin Name ; Reason ; -+----------+-------------------------------+ -; Y0 ; Incomplete set of assignments ; -; Y1 ; Incomplete set of assignments ; -; Y2 ; Incomplete set of assignments ; -; Y3 ; Incomplete set of assignments ; -; Y4 ; Incomplete set of assignments ; -; Y5 ; Incomplete set of assignments ; -; Y6 ; Incomplete set of assignments ; -; Y7 ; Incomplete set of assignments ; -; Y8 ; Incomplete set of assignments ; -; Y9 ; Incomplete set of assignments ; -; Y10 ; Incomplete set of assignments ; -; Y11 ; Incomplete set of assignments ; -; Y12 ; Incomplete set of assignments ; -; Y13 ; Incomplete set of assignments ; -; Y14 ; Incomplete set of assignments ; -; Y15 ; Incomplete set of assignments ; -; D ; Incomplete set of assignments ; -; C ; Incomplete set of assignments ; -; B ; Incomplete set of assignments ; -; A ; Incomplete set of assignments ; -+----------+-------------------------------+ - - -+-------------------------------------------------------------------------------------------------+ -; Incremental Compilation Preservation Summary ; -+---------------------+-------------------+----------------------------+--------------------------+ -; Type ; Total [A + B] ; From Design Partitions [A] ; From Rapid Recompile [B] ; -+---------------------+-------------------+----------------------------+--------------------------+ -; Placement (by node) ; ; ; ; -; -- Requested ; 0.00 % ( 0 / 67 ) ; 0.00 % ( 0 / 67 ) ; 0.00 % ( 0 / 67 ) ; -; -- Achieved ; 0.00 % ( 0 / 67 ) ; 0.00 % ( 0 / 67 ) ; 0.00 % ( 0 / 67 ) ; -; ; ; ; ; -; Routing (by net) ; ; ; ; -; -- Requested ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; -; -- Achieved ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; -+---------------------+-------------------+----------------------------+--------------------------+ - - -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Incremental Compilation Partition Settings ; -+--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ -; Partition Name ; Partition Type ; Netlist Type Used ; Preservation Level Used ; Netlist Type Requested ; Preservation Level Requested ; Contents ; -+--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ -; Top ; User-created ; Source File ; N/A ; Source File ; N/A ; ; -; hard_block:auto_generated_inst ; Auto-generated ; Source File ; N/A ; Source File ; N/A ; hard_block:auto_generated_inst ; -+--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ - - -+------------------------------------------------------------------------------------------------------------------------------------+ -; Incremental Compilation Placement Preservation ; -+--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ -; Partition Name ; Preservation Achieved ; Preservation Level Used ; Netlist Type Used ; Preservation Method ; Notes ; -+--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ -; Top ; 0.00 % ( 0 / 57 ) ; N/A ; Source File ; N/A ; ; -; hard_block:auto_generated_inst ; 0.00 % ( 0 / 10 ) ; N/A ; Source File ; N/A ; ; -+--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ - - -+--------------+ -; Pin-Out File ; -+--------------+ -The pin-out file can be found in /home/timmy/Git/Learn-VHDL/CH6/CH6-1/output_files/four_line_to_sixteen_line_decimal_decoder.pin. - - -+---------------------------------------------------------------------+ -; Fitter Resource Usage Summary ; -+---------------------------------------------+-----------------------+ -; Resource ; Usage ; -+---------------------------------------------+-----------------------+ -; Total logic elements ; 16 / 15,408 ( < 1 % ) ; -; -- Combinational with no register ; 16 ; -; -- Register only ; 0 ; -; -- Combinational with a register ; 0 ; -; ; ; -; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 16 ; -; -- 3 input functions ; 0 ; -; -- <=2 input functions ; 0 ; -; -- Register only ; 0 ; -; ; ; -; Logic elements by mode ; ; -; -- normal mode ; 16 ; -; -- arithmetic mode ; 0 ; -; ; ; -; Total registers* ; 0 / 17,068 ( 0 % ) ; -; -- Dedicated logic registers ; 0 / 15,408 ( 0 % ) ; -; -- I/O registers ; 0 / 1,660 ( 0 % ) ; -; ; ; -; Total LABs: partially or completely used ; 1 / 963 ( < 1 % ) ; -; Virtual pins ; 0 ; -; I/O pins ; 20 / 347 ( 6 % ) ; -; -- Clock pins ; 0 / 8 ( 0 % ) ; -; -- Dedicated input pins ; 0 / 9 ( 0 % ) ; -; ; ; -; Global signals ; 0 ; -; M9Ks ; 0 / 56 ( 0 % ) ; -; Total block memory bits ; 0 / 516,096 ( 0 % ) ; -; Total block memory implementation bits ; 0 / 516,096 ( 0 % ) ; -; Embedded Multiplier 9-bit elements ; 0 / 112 ( 0 % ) ; -; PLLs ; 0 / 4 ( 0 % ) ; -; Global clocks ; 0 / 20 ( 0 % ) ; -; JTAGs ; 0 / 1 ( 0 % ) ; -; CRC blocks ; 0 / 1 ( 0 % ) ; -; ASMI blocks ; 0 / 1 ( 0 % ) ; -; Impedance control blocks ; 0 / 4 ( 0 % ) ; -; Average interconnect usage (total/H/V) ; 0% / 0% / 0% ; -; Peak interconnect usage (total/H/V) ; 0% / 0% / 0% ; -; Maximum fan-out ; 16 ; -; Highest non-global fan-out ; 16 ; -; Total fan-out ; 105 ; -; Average fan-out ; 1.59 ; -+---------------------------------------------+-----------------------+ -* Register count does not include registers inside RAM blocks or DSP blocks. - - - -+-----------------------------------------------------------------------------------------------------+ -; Fitter Partition Statistics ; -+---------------------------------------------+----------------------+--------------------------------+ -; Statistic ; Top ; hard_block:auto_generated_inst ; -+---------------------------------------------+----------------------+--------------------------------+ -; Difficulty Clustering Region ; Low ; Low ; -; ; ; ; -; Total logic elements ; 16 / 15408 ( < 1 % ) ; 0 / 15408 ( 0 % ) ; -; -- Combinational with no register ; 16 ; 0 ; -; -- Register only ; 0 ; 0 ; -; -- Combinational with a register ; 0 ; 0 ; -; ; ; ; -; Logic element usage by number of LUT inputs ; ; ; -; -- 4 input functions ; 16 ; 0 ; -; -- 3 input functions ; 0 ; 0 ; -; -- <=2 input functions ; 0 ; 0 ; -; -- Register only ; 0 ; 0 ; -; ; ; ; -; Logic elements by mode ; ; ; -; -- normal mode ; 16 ; 0 ; -; -- arithmetic mode ; 0 ; 0 ; -; ; ; ; -; Total registers ; 0 ; 0 ; -; -- Dedicated logic registers ; 0 / 15408 ( 0 % ) ; 0 / 15408 ( 0 % ) ; -; -- I/O registers ; 0 ; 0 ; -; ; ; ; -; Total LABs: partially or completely used ; 1 / 963 ( < 1 % ) ; 0 / 963 ( 0 % ) ; -; ; ; ; -; Virtual pins ; 0 ; 0 ; -; I/O pins ; 20 ; 0 ; -; Embedded Multiplier 9-bit elements ; 0 / 112 ( 0 % ) ; 0 / 112 ( 0 % ) ; -; Total memory bits ; 0 ; 0 ; -; Total RAM block bits ; 0 ; 0 ; -; ; ; ; -; Connections ; ; ; -; -- Input Connections ; 0 ; 0 ; -; -- Registered Input Connections ; 0 ; 0 ; -; -- Output Connections ; 0 ; 0 ; -; -- Registered Output Connections ; 0 ; 0 ; -; ; ; ; -; Internal Connections ; ; ; -; -- Total Connections ; 100 ; 5 ; -; -- Registered Connections ; 0 ; 0 ; -; ; ; ; -; External Connections ; ; ; -; -- Top ; 0 ; 0 ; -; -- hard_block:auto_generated_inst ; 0 ; 0 ; -; ; ; ; -; Partition Interface ; ; ; -; -- Input Ports ; 4 ; 0 ; -; -- Output Ports ; 16 ; 0 ; -; -- Bidir Ports ; 0 ; 0 ; -; ; ; ; -; Registered Ports ; ; ; -; -- Registered Input Ports ; 0 ; 0 ; -; -- Registered Output Ports ; 0 ; 0 ; -; ; ; ; -; Port Connectivity ; ; ; -; -- Input Ports driven by GND ; 0 ; 0 ; -; -- Output Ports driven by GND ; 0 ; 0 ; -; -- Input Ports driven by VCC ; 0 ; 0 ; -; -- Output Ports driven by VCC ; 0 ; 0 ; -; -- Input Ports with no Source ; 0 ; 0 ; -; -- Output Ports with no Source ; 0 ; 0 ; -; -- Input Ports with no Fanout ; 0 ; 0 ; -; -- Output Ports with no Fanout ; 0 ; 0 ; -+---------------------------------------------+----------------------+--------------------------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Input Pins ; -+------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+ -; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Power Up High ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Termination Control Block ; Location assigned by ; -+------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+ -; A ; AB3 ; 3 ; 7 ; 0 ; 28 ; 16 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ; -; B ; N7 ; 2 ; 0 ; 6 ; 21 ; 16 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ; -; C ; Y1 ; 2 ; 0 ; 6 ; 7 ; 16 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ; -; D ; T5 ; 2 ; 0 ; 3 ; 0 ; 16 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ; -+------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Output Pins ; -+------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ -; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Output Register ; Output Enable Register ; Power Up High ; Slew Rate ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Termination ; Termination Control Block ; Output Buffer Pre-emphasis ; Voltage Output Differential ; Location assigned by ; Output Enable Source ; Output Enable Group ; -+------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ -; Y0 ; L7 ; 2 ; 0 ; 11 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ; -; Y1 ; J4 ; 1 ; 0 ; 21 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ; -; Y10 ; P5 ; 2 ; 0 ; 8 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ; -; Y11 ; Y2 ; 2 ; 0 ; 6 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ; -; Y12 ; M7 ; 2 ; 0 ; 8 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ; -; Y13 ; W2 ; 2 ; 0 ; 7 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ; -; Y14 ; M3 ; 2 ; 0 ; 12 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ; -; Y15 ; P6 ; 2 ; 0 ; 4 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ; -; Y2 ; P7 ; 2 ; 0 ; 5 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ; -; Y3 ; W1 ; 2 ; 0 ; 7 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ; -; Y4 ; T7 ; 2 ; 0 ; 2 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ; -; Y5 ; V1 ; 2 ; 0 ; 8 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ; -; Y6 ; R6 ; 2 ; 0 ; 3 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ; -; Y7 ; R9 ; 3 ; 1 ; 0 ; 28 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ; -; Y8 ; M5 ; 2 ; 0 ; 11 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ; -; Y9 ; M4 ; 2 ; 0 ; 12 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ; -+------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ - - -+-------------------------------------------------------------------------------------------------------------------------+ -; Dual Purpose and Dedicated Pins ; -+----------+-----------------------------+--------------------------+-------------------------+---------------------------+ -; Location ; Pin Name ; Reserved As ; User Signal Name ; Pin Type ; -+----------+-----------------------------+--------------------------+-------------------------+---------------------------+ -; D1 ; DIFFIO_L4n, DATA1, ASDO ; As input tri-stated ; ~ALTERA_ASDO_DATA1~ ; Dual Purpose Pin ; -; E2 ; DIFFIO_L6p, FLASH_nCE, nCSO ; As input tri-stated ; ~ALTERA_FLASH_nCE_nCSO~ ; Dual Purpose Pin ; -; K6 ; nSTATUS ; - ; - ; Dedicated Programming Pin ; -; K2 ; DCLK ; As output driving ground ; ~ALTERA_DCLK~ ; Dual Purpose Pin ; -; K1 ; DATA0 ; As input tri-stated ; ~ALTERA_DATA0~ ; Dual Purpose Pin ; -; K5 ; nCONFIG ; - ; - ; Dedicated Programming Pin ; -; L3 ; nCE ; - ; - ; Dedicated Programming Pin ; -; M18 ; CONF_DONE ; - ; - ; Dedicated Programming Pin ; -; M17 ; MSEL0 ; - ; - ; Dedicated Programming Pin ; -; L18 ; MSEL1 ; - ; - ; Dedicated Programming Pin ; -; L17 ; MSEL2 ; - ; - ; Dedicated Programming Pin ; -; K20 ; MSEL3 ; - ; - ; Dedicated Programming Pin ; -; K22 ; DIFFIO_R16n, nCEO ; Use as programming pin ; ~ALTERA_nCEO~ ; Dual Purpose Pin ; -+----------+-----------------------------+--------------------------+-------------------------+---------------------------+ - - -+------------------------------------------------------------+ -; I/O Bank Usage ; -+----------+------------------+---------------+--------------+ -; I/O Bank ; Usage ; VCCIO Voltage ; VREF Voltage ; -+----------+------------------+---------------+--------------+ -; 1 ; 5 / 33 ( 15 % ) ; 2.5V ; -- ; -; 2 ; 17 / 48 ( 35 % ) ; 2.5V ; -- ; -; 3 ; 2 / 46 ( 4 % ) ; 2.5V ; -- ; -; 4 ; 0 / 41 ( 0 % ) ; 2.5V ; -- ; -; 5 ; 0 / 46 ( 0 % ) ; 2.5V ; -- ; -; 6 ; 1 / 43 ( 2 % ) ; 2.5V ; -- ; -; 7 ; 0 / 47 ( 0 % ) ; 2.5V ; -- ; -; 8 ; 0 / 43 ( 0 % ) ; 2.5V ; -- ; -+----------+------------------+---------------+--------------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; All Package Pins ; -+----------+------------+----------+-----------------------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ -; Location ; Pad Number ; I/O Bank ; Pin Name/Usage ; Dir. ; I/O Standard ; Voltage ; I/O Type ; User Assignment ; Bus Hold ; Weak Pull Up ; -+----------+------------+----------+-----------------------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ -; A1 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; A2 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; A3 ; 354 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; A4 ; 350 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; A5 ; 345 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; A6 ; 336 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; A7 ; 334 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; A8 ; 332 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; A9 ; 328 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; A10 ; 326 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; A11 ; 321 ; 8 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; -; A12 ; 319 ; 7 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; -; A13 ; 314 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; A14 ; 312 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; A15 ; 307 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; A16 ; 298 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; A17 ; 296 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; A18 ; 291 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; A19 ; 290 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; A20 ; 284 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; A21 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; A22 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; AA1 ; 76 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; AA2 ; 75 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; AA3 ; 102 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AA4 ; 106 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AA5 ; 108 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AA6 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; AA7 ; 115 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AA8 ; 123 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AA9 ; 126 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AA10 ; 132 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AA11 ; 134 ; 3 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; -; AA12 ; 136 ; 4 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; -; AA13 ; 138 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AA14 ; 140 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AA15 ; 145 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AA16 ; 149 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AA17 ; 151 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AA18 ; 163 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AA19 ; 164 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AA20 ; 169 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AA21 ; 179 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; AA22 ; 178 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; AB1 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; AB2 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; AB3 ; 103 ; 3 ; A ; input ; 2.5 V ; ; Column I/O ; N ; no ; Off ; -; AB4 ; 107 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AB5 ; 109 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AB6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; AB7 ; 116 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AB8 ; 124 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AB9 ; 127 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AB10 ; 133 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AB11 ; 135 ; 3 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; -; AB12 ; 137 ; 4 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; -; AB13 ; 139 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AB14 ; 141 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AB15 ; 146 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AB16 ; 150 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AB17 ; 152 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AB18 ; 162 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AB19 ; 165 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AB20 ; 170 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AB21 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; AB22 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; B1 ; 2 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; B2 ; 1 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; B3 ; 355 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; B4 ; 351 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; B5 ; 346 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; B6 ; 337 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; B7 ; 335 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; B8 ; 333 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; B9 ; 329 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; B10 ; 327 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; B11 ; 322 ; 8 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; -; B12 ; 320 ; 7 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; -; B13 ; 315 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; B14 ; 313 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; B15 ; 308 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; B16 ; 299 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; B17 ; 297 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; B18 ; 292 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; B19 ; 289 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; B20 ; 285 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; B21 ; 269 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; B22 ; 268 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; C1 ; 7 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; C2 ; 6 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; C3 ; 358 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; C4 ; 359 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; C5 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; C6 ; 349 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; C7 ; 340 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; C8 ; 339 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; C9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; C10 ; 330 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; C11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; C12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; C13 ; 309 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; C14 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; C15 ; 300 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; -; C16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; C17 ; 286 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; C18 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; C19 ; 282 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; C20 ; 270 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; C21 ; 267 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; C22 ; 266 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; D1 ; 9 ; 1 ; ~ALTERA_ASDO_DATA1~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 2.5 V ; ; Row I/O ; N ; no ; On ; -; D2 ; 8 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; D3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; D4 ; ; 1 ; VCCIO1 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; D5 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; D6 ; 356 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; -; D7 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; D8 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; D9 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; D10 ; 324 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; D11 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; D12 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; D13 ; 310 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; D14 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; D15 ; 293 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; D16 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; D17 ; 281 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; -; D18 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; D19 ; 283 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; D20 ; 271 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; D21 ; 261 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; D22 ; 260 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; E1 ; 14 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; E2 ; 13 ; 1 ; ~ALTERA_FLASH_nCE_nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 2.5 V ; ; Row I/O ; N ; no ; On ; -; E3 ; 5 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; E4 ; 4 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; E5 ; 363 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; E6 ; 362 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; E7 ; 357 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; E8 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; E9 ; 338 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; -; E10 ; 325 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; E11 ; 317 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; E12 ; 316 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; E13 ; 311 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; E14 ; 301 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; E15 ; 294 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; E16 ; 275 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; E17 ; ; ; VCCD_PLL2 ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; E18 ; ; ; GNDA2 ; gnd ; ; ; -- ; ; -- ; -- ; -; E19 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; E20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; E21 ; 256 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; E22 ; 255 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; F1 ; 16 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; F2 ; 15 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; F3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; F4 ; ; 1 ; VCCIO1 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; F5 ; ; ; GNDA3 ; gnd ; ; ; -- ; ; -- ; -- ; -; F6 ; ; ; VCCD_PLL3 ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; F7 ; 360 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; F8 ; 352 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; F9 ; 347 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; F10 ; 348 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; F11 ; 318 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; F12 ; 302 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; F13 ; 306 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; F14 ; 279 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; F15 ; 276 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; F16 ; 274 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; F17 ; 272 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; F18 ; ; -- ; VCCA2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; F19 ; 263 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; F20 ; 262 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; F21 ; 251 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; F22 ; 250 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; G1 ; 39 ; 1 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; -; G2 ; 38 ; 1 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; -; G3 ; 18 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; G4 ; 17 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; G5 ; 3 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; G6 ; ; -- ; VCCA3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; G7 ; 361 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; G8 ; 353 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; G9 ; 342 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; G10 ; 341 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; G11 ; 331 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; G12 ; 305 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; G13 ; 295 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; G14 ; 280 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; G15 ; 278 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; G16 ; 277 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; G17 ; 273 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; G18 ; 264 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; G19 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; G20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; G21 ; 226 ; 6 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; -; G22 ; 225 ; 6 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; -; H1 ; 26 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; H2 ; 25 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; H3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; H4 ; ; 1 ; VCCIO1 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; H5 ; 0 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; H6 ; 11 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; H7 ; 10 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; -; H8 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; H9 ; 344 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; H10 ; 343 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; H11 ; 323 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; H12 ; 304 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; H13 ; 303 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; H14 ; 288 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; H15 ; 287 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; H16 ; 259 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; H17 ; 265 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; H18 ; 257 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; -; H19 ; 254 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; H20 ; 253 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; H21 ; 246 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; H22 ; 245 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; J1 ; 29 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; J2 ; 28 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; J3 ; 27 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; -; J4 ; 24 ; 1 ; Y1 ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ; -; J5 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; J6 ; 12 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; J7 ; 22 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; J8 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; J9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; J10 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; J11 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; J12 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; J13 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; J14 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; J15 ; 238 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; J16 ; 243 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; J17 ; 258 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; J18 ; 249 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; J19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; J20 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; J21 ; 242 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; J22 ; 241 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; K1 ; 31 ; 1 ; ~ALTERA_DATA0~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 2.5 V ; ; Row I/O ; N ; no ; On ; -; K2 ; 30 ; 1 ; ~ALTERA_DCLK~ ; output ; 2.5 V ; ; Row I/O ; N ; no ; On ; -; K3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; K4 ; ; 1 ; VCCIO1 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; K5 ; 32 ; 1 ; ^nCONFIG ; ; ; ; -- ; ; -- ; -- ; -; K6 ; 19 ; 1 ; ^nSTATUS ; ; ; ; -- ; ; -- ; -- ; -; K7 ; 23 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; K8 ; 21 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; K9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; K10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; K11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; K12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; K13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; K14 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; K15 ; 236 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; K16 ; 244 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; K17 ; 247 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; K18 ; 248 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; K19 ; 237 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; -; K20 ; 231 ; 6 ; ^MSEL3 ; ; ; ; -- ; ; -- ; -- ; -; K21 ; 240 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; K22 ; 239 ; 6 ; ~ALTERA_nCEO~ / RESERVED_OUTPUT_OPEN_DRAIN ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ; -; L1 ; 35 ; 1 ; #TMS ; input ; ; ; -- ; ; -- ; -- ; -; L2 ; 34 ; 1 ; #TCK ; input ; ; ; -- ; ; -- ; -- ; -; L3 ; 37 ; 1 ; ^nCE ; ; ; ; -- ; ; -- ; -- ; -; L4 ; 36 ; 1 ; #TDO ; output ; ; ; -- ; ; -- ; -- ; -; L5 ; 33 ; 1 ; #TDI ; input ; ; ; -- ; ; -- ; -- ; -; L6 ; 42 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; L7 ; 50 ; 2 ; Y0 ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ; -; L8 ; 20 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; L9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; L10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; L11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; L12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; L13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; L14 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; L15 ; 233 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; L16 ; 232 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; L17 ; 230 ; 6 ; ^MSEL2 ; ; ; ; -- ; ; -- ; -- ; -; L18 ; 229 ; 6 ; ^MSEL1 ; ; ; ; -- ; ; -- ; -- ; -; L19 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; L20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; L21 ; 235 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; L22 ; 234 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; M1 ; 45 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; M2 ; 44 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; M3 ; 47 ; 2 ; Y14 ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ; -; M4 ; 46 ; 2 ; Y9 ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ; -; M5 ; 51 ; 2 ; Y8 ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ; -; M6 ; 43 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; M7 ; 65 ; 2 ; Y12 ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ; -; M8 ; 66 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; M9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; M10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; M11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; M12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; M13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; M14 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; M15 ; 195 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; M16 ; 222 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; M17 ; 228 ; 6 ; ^MSEL0 ; ; ; ; -- ; ; -- ; -- ; -; M18 ; 227 ; 6 ; ^CONF_DONE ; ; ; ; -- ; ; -- ; -- ; -; M19 ; 221 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; M20 ; 220 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; M21 ; 219 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; M22 ; 218 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; N1 ; 49 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; N2 ; 48 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; N3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; N4 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; N5 ; 56 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; N6 ; 64 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; N7 ; 73 ; 2 ; B ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ; -; N8 ; 67 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; N9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; N10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; N11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; N12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; N13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; N14 ; 189 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; N15 ; 196 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; N16 ; 205 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; N17 ; 214 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; N18 ; 215 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; N19 ; 213 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; N20 ; 212 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; N21 ; 217 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; N22 ; 216 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; P1 ; 53 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; P2 ; 52 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; P3 ; 58 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; P4 ; 57 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; P5 ; 63 ; 2 ; Y10 ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ; -; P6 ; 79 ; 2 ; Y15 ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ; -; P7 ; 74 ; 2 ; Y2 ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ; -; P8 ; 86 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; P9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; P10 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; P11 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; P12 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; P13 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; P14 ; 180 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; P15 ; 192 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; P16 ; 193 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; P17 ; 197 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; P18 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; P19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; P20 ; 208 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; -; P21 ; 211 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; P22 ; 210 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; R1 ; 55 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; R2 ; 54 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; R3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; R4 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; R5 ; 80 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; R6 ; 83 ; 2 ; Y6 ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ; -; R7 ; 84 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; R8 ; 87 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; R9 ; 88 ; 3 ; Y7 ; output ; 2.5 V ; ; Column I/O ; N ; no ; Off ; -; R10 ; 90 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; R11 ; 97 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; R12 ; 98 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; R13 ; 153 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; R14 ; 175 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; R15 ; 176 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; R16 ; 172 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; R17 ; 194 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; -; R18 ; 203 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; R19 ; 204 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; R20 ; 200 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; R21 ; 207 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; R22 ; 206 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; T1 ; 41 ; 2 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; -; T2 ; 40 ; 2 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; -; T3 ; 72 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; -; T4 ; 81 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; T5 ; 82 ; 2 ; D ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ; -; T6 ; ; -- ; VCCA1 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; T7 ; 85 ; 2 ; Y4 ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ; -; T8 ; 89 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; T9 ; 91 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; T10 ; 121 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; T11 ; 125 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; T12 ; 148 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; T13 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; T14 ; 160 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; T15 ; 161 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; T16 ; 171 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; T17 ; 181 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; T18 ; 182 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; T19 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; T20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; T21 ; 224 ; 5 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; -; T22 ; 223 ; 5 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; -; U1 ; 60 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; U2 ; 59 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; U3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; U4 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; U5 ; ; ; GNDA1 ; gnd ; ; ; -- ; ; -- ; -- ; -; U6 ; ; ; VCCD_PLL1 ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; U7 ; 94 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; U8 ; 95 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; U9 ; 112 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; U10 ; 122 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; U11 ; 128 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; U12 ; 147 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; U13 ; 156 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; U14 ; 174 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; U15 ; 173 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; U16 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; U17 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; U18 ; ; -- ; VCCA4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; U19 ; 188 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; U20 ; 187 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; U21 ; 202 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; U22 ; 201 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; V1 ; 62 ; 2 ; Y5 ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ; -; V2 ; 61 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; V3 ; 78 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; V4 ; 77 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; V5 ; 93 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; V6 ; 92 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; V7 ; 105 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; V8 ; 113 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; V9 ; 119 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; -; V10 ; 120 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; V11 ; 129 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; V12 ; 142 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; V13 ; 154 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; V14 ; 157 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; V15 ; 158 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; V16 ; 168 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; -; V17 ; ; ; VCCD_PLL4 ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; V18 ; ; ; GNDA4 ; gnd ; ; ; -- ; ; -- ; -- ; -; V19 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; V20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; V21 ; 199 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; V22 ; 198 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; W1 ; 69 ; 2 ; Y3 ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ; -; W2 ; 68 ; 2 ; Y13 ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ; -; W3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; W4 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; W5 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; W6 ; 104 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; W7 ; 110 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; W8 ; 114 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; W9 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; W10 ; 130 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; W11 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; W12 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; W13 ; 143 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; W14 ; 155 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; -; W15 ; 159 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; W16 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; W17 ; 166 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; W18 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; W19 ; 184 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; W20 ; 183 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; W21 ; 191 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; W22 ; 190 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; Y1 ; 71 ; 2 ; C ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ; -; Y2 ; 70 ; 2 ; Y11 ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ; -; Y3 ; 99 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; Y4 ; 96 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; -; Y5 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; Y6 ; 101 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; Y7 ; 111 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; Y8 ; 117 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; Y9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; Y10 ; 131 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; Y11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; Y12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; Y13 ; 144 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; Y14 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; Y15 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; Y16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; Y17 ; 167 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; Y18 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; Y19 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; Y20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; Y21 ; 186 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; Y22 ; 185 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -+----------+------------+----------+-----------------------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ -Note: Pin directions (input, output or bidir) are based on device operating in user mode. - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fitter Resource Utilization by Entity ; -+--------------------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+-------------------------------------------------------+--------------+ -; Compilation Hierarchy Node ; Logic Cells ; Dedicated Logic Registers ; I/O Registers ; Memory Bits ; M9Ks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Full Hierarchy Name ; Library Name ; -+--------------------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+-------------------------------------------------------+--------------+ -; |four_line_to_sixteen_line_decimal_decoder ; 16 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 20 ; 0 ; 16 (0) ; 0 (0) ; 0 (0) ; |four_line_to_sixteen_line_decimal_decoder ; work ; -; |74138:inst| ; 16 (16) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 16 (16) ; 0 (0) ; 0 (0) ; |four_line_to_sixteen_line_decimal_decoder|74138:inst ; work ; -+--------------------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+-------------------------------------------------------+--------------+ -Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. - - -+--------------------------------------------------------------------------------------+ -; Delay Chain Summary ; -+------+----------+---------------+---------------+-----------------------+-----+------+ -; Name ; Pin Type ; Pad to Core 0 ; Pad to Core 1 ; Pad to Input Register ; TCO ; TCOE ; -+------+----------+---------------+---------------+-----------------------+-----+------+ -; Y0 ; Output ; -- ; -- ; -- ; -- ; -- ; -; Y1 ; Output ; -- ; -- ; -- ; -- ; -- ; -; Y2 ; Output ; -- ; -- ; -- ; -- ; -- ; -; Y3 ; Output ; -- ; -- ; -- ; -- ; -- ; -; Y4 ; Output ; -- ; -- ; -- ; -- ; -- ; -; Y5 ; Output ; -- ; -- ; -- ; -- ; -- ; -; Y6 ; Output ; -- ; -- ; -- ; -- ; -- ; -; Y7 ; Output ; -- ; -- ; -- ; -- ; -- ; -; Y8 ; Output ; -- ; -- ; -- ; -- ; -- ; -; Y9 ; Output ; -- ; -- ; -- ; -- ; -- ; -; Y10 ; Output ; -- ; -- ; -- ; -- ; -- ; -; Y11 ; Output ; -- ; -- ; -- ; -- ; -- ; -; Y12 ; Output ; -- ; -- ; -- ; -- ; -- ; -; Y13 ; Output ; -- ; -- ; -- ; -- ; -- ; -; Y14 ; Output ; -- ; -- ; -- ; -- ; -- ; -; Y15 ; Output ; -- ; -- ; -- ; -- ; -- ; -; D ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; -; C ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ; -; B ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; -; A ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ; -+------+----------+---------------+---------------+-----------------------+-----+------+ - - -+-------------------------------------------------------+ -; Pad To Core Delay Chain Fanout ; -+-------------------------+-------------------+---------+ -; Source Pin / Fanout ; Pad To Core Index ; Setting ; -+-------------------------+-------------------+---------+ -; D ; ; ; -; - 74138:inst|15~0 ; 0 ; 6 ; -; - 74138:inst|15~1 ; 0 ; 6 ; -; - 74138:inst|15~2 ; 0 ; 6 ; -; - 74138:inst|15~3 ; 0 ; 6 ; -; - 74138:inst|15~4 ; 0 ; 6 ; -; - 74138:inst|15~5 ; 0 ; 6 ; -; - 74138:inst|15~6 ; 0 ; 6 ; -; - 74138:inst|15~7 ; 0 ; 6 ; -; - 74138:inst|15~8 ; 0 ; 6 ; -; - 74138:inst|15~9 ; 0 ; 6 ; -; - 74138:inst|15~10 ; 0 ; 6 ; -; - 74138:inst|15~11 ; 0 ; 6 ; -; - 74138:inst|15~12 ; 0 ; 6 ; -; - 74138:inst|15~13 ; 0 ; 6 ; -; - 74138:inst|15~14 ; 0 ; 6 ; -; - 74138:inst|15~15 ; 0 ; 6 ; -; C ; ; ; -; - 74138:inst|15~0 ; 1 ; 6 ; -; - 74138:inst|15~1 ; 1 ; 6 ; -; - 74138:inst|15~2 ; 1 ; 6 ; -; - 74138:inst|15~3 ; 1 ; 6 ; -; - 74138:inst|15~4 ; 1 ; 6 ; -; - 74138:inst|15~5 ; 1 ; 6 ; -; - 74138:inst|15~6 ; 1 ; 6 ; -; - 74138:inst|15~7 ; 1 ; 6 ; -; - 74138:inst|15~8 ; 1 ; 6 ; -; - 74138:inst|15~9 ; 1 ; 6 ; -; - 74138:inst|15~10 ; 1 ; 6 ; -; - 74138:inst|15~11 ; 1 ; 6 ; -; - 74138:inst|15~12 ; 1 ; 6 ; -; - 74138:inst|15~13 ; 1 ; 6 ; -; - 74138:inst|15~14 ; 1 ; 6 ; -; - 74138:inst|15~15 ; 1 ; 6 ; -; B ; ; ; -; - 74138:inst|15~0 ; 0 ; 6 ; -; - 74138:inst|15~1 ; 0 ; 6 ; -; - 74138:inst|15~2 ; 0 ; 6 ; -; - 74138:inst|15~3 ; 0 ; 6 ; -; - 74138:inst|15~4 ; 0 ; 6 ; -; - 74138:inst|15~5 ; 0 ; 6 ; -; - 74138:inst|15~6 ; 0 ; 6 ; -; - 74138:inst|15~7 ; 0 ; 6 ; -; - 74138:inst|15~8 ; 0 ; 6 ; -; - 74138:inst|15~9 ; 0 ; 6 ; -; - 74138:inst|15~10 ; 0 ; 6 ; -; - 74138:inst|15~11 ; 0 ; 6 ; -; - 74138:inst|15~12 ; 0 ; 6 ; -; - 74138:inst|15~13 ; 0 ; 6 ; -; - 74138:inst|15~14 ; 0 ; 6 ; -; - 74138:inst|15~15 ; 0 ; 6 ; -; A ; ; ; -; - 74138:inst|15~0 ; 1 ; 6 ; -; - 74138:inst|15~1 ; 1 ; 6 ; -; - 74138:inst|15~2 ; 1 ; 6 ; -; - 74138:inst|15~3 ; 1 ; 6 ; -; - 74138:inst|15~4 ; 1 ; 6 ; -; - 74138:inst|15~5 ; 1 ; 6 ; -; - 74138:inst|15~6 ; 1 ; 6 ; -; - 74138:inst|15~7 ; 1 ; 6 ; -; - 74138:inst|15~8 ; 1 ; 6 ; -; - 74138:inst|15~9 ; 1 ; 6 ; -; - 74138:inst|15~10 ; 1 ; 6 ; -; - 74138:inst|15~11 ; 1 ; 6 ; -; - 74138:inst|15~12 ; 1 ; 6 ; -; - 74138:inst|15~13 ; 1 ; 6 ; -; - 74138:inst|15~14 ; 1 ; 6 ; -; - 74138:inst|15~15 ; 1 ; 6 ; -+-------------------------+-------------------+---------+ - - -+---------------------------------+ -; Non-Global High Fan-Out Signals ; -+------------------+--------------+ -; Name ; Fan-Out ; -+------------------+--------------+ -; A~input ; 16 ; -; B~input ; 16 ; -; C~input ; 16 ; -; D~input ; 16 ; -; 74138:inst|15~15 ; 1 ; -; 74138:inst|15~14 ; 1 ; -; 74138:inst|15~13 ; 1 ; -; 74138:inst|15~12 ; 1 ; -; 74138:inst|15~11 ; 1 ; -; 74138:inst|15~10 ; 1 ; -; 74138:inst|15~9 ; 1 ; -; 74138:inst|15~8 ; 1 ; -; 74138:inst|15~7 ; 1 ; -; 74138:inst|15~6 ; 1 ; -; 74138:inst|15~5 ; 1 ; -; 74138:inst|15~4 ; 1 ; -; 74138:inst|15~3 ; 1 ; -; 74138:inst|15~2 ; 1 ; -; 74138:inst|15~1 ; 1 ; -; 74138:inst|15~0 ; 1 ; -+------------------+--------------+ - - -+-----------------------------------------------+ -; Routing Usage Summary ; -+-----------------------+-----------------------+ -; Routing Resource Type ; Usage ; -+-----------------------+-----------------------+ -; Block interconnects ; 20 / 47,787 ( < 1 % ) ; -; C16 interconnects ; 1 / 1,804 ( < 1 % ) ; -; C4 interconnects ; 26 / 31,272 ( < 1 % ) ; -; Direct links ; 0 / 47,787 ( 0 % ) ; -; Global clocks ; 0 / 20 ( 0 % ) ; -; Local interconnects ; 0 / 15,408 ( 0 % ) ; -; R24 interconnects ; 0 / 1,775 ( 0 % ) ; -; R4 interconnects ; 5 / 41,310 ( < 1 % ) ; -+-----------------------+-----------------------+ - - -+---------------------------------------------------------------------------+ -; LAB Logic Elements ; -+---------------------------------------------+-----------------------------+ -; Number of Logic Elements (Average = 16.00) ; Number of LABs (Total = 1) ; -+---------------------------------------------+-----------------------------+ -; 1 ; 0 ; -; 2 ; 0 ; -; 3 ; 0 ; -; 4 ; 0 ; -; 5 ; 0 ; -; 6 ; 0 ; -; 7 ; 0 ; -; 8 ; 0 ; -; 9 ; 0 ; -; 10 ; 0 ; -; 11 ; 0 ; -; 12 ; 0 ; -; 13 ; 0 ; -; 14 ; 0 ; -; 15 ; 0 ; -; 16 ; 1 ; -+---------------------------------------------+-----------------------------+ - - -+----------------------------------------------------------------------------+ -; LAB Signals Sourced ; -+----------------------------------------------+-----------------------------+ -; Number of Signals Sourced (Average = 16.00) ; Number of LABs (Total = 1) ; -+----------------------------------------------+-----------------------------+ -; 0 ; 0 ; -; 1 ; 0 ; -; 2 ; 0 ; -; 3 ; 0 ; -; 4 ; 0 ; -; 5 ; 0 ; -; 6 ; 0 ; -; 7 ; 0 ; -; 8 ; 0 ; -; 9 ; 0 ; -; 10 ; 0 ; -; 11 ; 0 ; -; 12 ; 0 ; -; 13 ; 0 ; -; 14 ; 0 ; -; 15 ; 0 ; -; 16 ; 1 ; -+----------------------------------------------+-----------------------------+ - - -+--------------------------------------------------------------------------------+ -; LAB Signals Sourced Out ; -+--------------------------------------------------+-----------------------------+ -; Number of Signals Sourced Out (Average = 16.00) ; Number of LABs (Total = 1) ; -+--------------------------------------------------+-----------------------------+ -; 0 ; 0 ; -; 1 ; 0 ; -; 2 ; 0 ; -; 3 ; 0 ; -; 4 ; 0 ; -; 5 ; 0 ; -; 6 ; 0 ; -; 7 ; 0 ; -; 8 ; 0 ; -; 9 ; 0 ; -; 10 ; 0 ; -; 11 ; 0 ; -; 12 ; 0 ; -; 13 ; 0 ; -; 14 ; 0 ; -; 15 ; 0 ; -; 16 ; 1 ; -+--------------------------------------------------+-----------------------------+ - - -+---------------------------------------------------------------------------+ -; LAB Distinct Inputs ; -+---------------------------------------------+-----------------------------+ -; Number of Distinct Inputs (Average = 4.00) ; Number of LABs (Total = 1) ; -+---------------------------------------------+-----------------------------+ -; 0 ; 0 ; -; 1 ; 0 ; -; 2 ; 0 ; -; 3 ; 0 ; -; 4 ; 1 ; -+---------------------------------------------+-----------------------------+ - - -+------------------------------------------+ -; I/O Rules Summary ; -+----------------------------------+-------+ -; I/O Rules Statistic ; Total ; -+----------------------------------+-------+ -; Total I/O Rules ; 30 ; -; Number of I/O Rules Passed ; 9 ; -; Number of I/O Rules Failed ; 0 ; -; Number of I/O Rules Unchecked ; 0 ; -; Number of I/O Rules Inapplicable ; 21 ; -+----------------------------------+-------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; I/O Rules Details ; -+--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ -; Status ; ID ; Category ; Rule Description ; Severity ; Information ; Area ; Extra Information ; -+--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ -; Inapplicable ; IO_000001 ; Capacity Checks ; Number of pins in an I/O bank should not exceed the number of locations available. ; Critical ; No Location assignments found. ; I/O ; ; -; Inapplicable ; IO_000002 ; Capacity Checks ; Number of clocks in an I/O bank should not exceed the number of clocks available. ; Critical ; No Global Signal assignments found. ; I/O ; ; -; Inapplicable ; IO_000003 ; Capacity Checks ; Number of pins in a Vrefgroup should not exceed the number of locations available. ; Critical ; No Location assignments found. ; I/O ; ; -; Inapplicable ; IO_000004 ; Voltage Compatibility Checks ; The I/O bank should support the requested VCCIO. ; Critical ; No IOBANK_VCCIO assignments found. ; I/O ; ; -; Inapplicable ; IO_000005 ; Voltage Compatibility Checks ; The I/O bank should not have competing VREF values. ; Critical ; No VREF I/O Standard assignments found. ; I/O ; ; -; Pass ; IO_000006 ; Voltage Compatibility Checks ; The I/O bank should not have competing VCCIO values. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000007 ; Valid Location Checks ; Checks for unavailable locations. ; Critical ; No Location assignments found. ; I/O ; ; -; Inapplicable ; IO_000008 ; Valid Location Checks ; Checks for reserved locations. ; Critical ; No reserved LogicLock region found. ; I/O ; ; -; Pass ; IO_000009 ; I/O Properties Checks for One I/O ; The location should support the requested I/O standard. ; Critical ; 0 such failures found. ; I/O ; ; -; Pass ; IO_000010 ; I/O Properties Checks for One I/O ; The location should support the requested I/O direction. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000011 ; I/O Properties Checks for One I/O ; The location should support the requested Current Strength. ; Critical ; No Current Strength assignments found. ; I/O ; ; -; Pass ; IO_000012 ; I/O Properties Checks for One I/O ; The location should support the requested On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000013 ; I/O Properties Checks for One I/O ; The location should support the requested Bus Hold value. ; Critical ; No Enable Bus-Hold Circuitry assignments found. ; I/O ; ; -; Inapplicable ; IO_000014 ; I/O Properties Checks for One I/O ; The location should support the requested Weak Pull Up value. ; Critical ; No Weak Pull-Up Resistor assignments found. ; I/O ; ; -; Pass ; IO_000015 ; I/O Properties Checks for One I/O ; The location should support the requested PCI Clamp Diode. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000018 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Current Strength. ; Critical ; No Current Strength assignments found. ; I/O ; ; -; Pass ; IO_000019 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; -; Pass ; IO_000020 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested PCI Clamp Diode. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000021 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Weak Pull Up value. ; Critical ; No Weak Pull-Up Resistor assignments found. ; I/O ; ; -; Inapplicable ; IO_000022 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Bus Hold value. ; Critical ; No Enable Bus-Hold Circuitry assignments found. ; I/O ; ; -; Inapplicable ; IO_000023 ; I/O Properties Checks for One I/O ; The I/O standard should support the Open Drain value. ; Critical ; No open drain assignments found. ; I/O ; ; -; Pass ; IO_000024 ; I/O Properties Checks for One I/O ; The I/O direction should support the On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000026 ; I/O Properties Checks for One I/O ; On Chip Termination and Current Strength should not be used at the same time. ; Critical ; No Current Strength assignments found. ; I/O ; ; -; Inapplicable ; IO_000027 ; I/O Properties Checks for One I/O ; Weak Pull Up and Bus Hold should not be used at the same time. ; Critical ; No Enable Bus-Hold Circuitry or Weak Pull-Up Resistor assignments found. ; I/O ; ; -; Inapplicable ; IO_000045 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Slew Rate value. ; Critical ; No Slew Rate assignments found. ; I/O ; ; -; Inapplicable ; IO_000046 ; I/O Properties Checks for One I/O ; The location should support the requested Slew Rate value. ; Critical ; No Slew Rate assignments found. ; I/O ; ; -; Inapplicable ; IO_000047 ; I/O Properties Checks for One I/O ; On Chip Termination and Slew Rate should not be used at the same time. ; Critical ; No Slew Rate assignments found. ; I/O ; ; -; Pass ; IO_000033 ; Electromigration Checks ; Current density for consecutive I/Os should not exceed 240mA for row I/Os and 240mA for column I/Os. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000034 ; SI Related Distance Checks ; Single-ended outputs should be 5 LAB row(s) away from a differential I/O. ; High ; No Differential I/O Standard assignments found. ; I/O ; ; -; Inapplicable ; IO_000042 ; SI Related SSO Limit Checks ; No more than 20 outputs are allowed in a VREF group when VREF is being read from. ; High ; No VREF I/O Standard assignments found. ; I/O ; ; -; ---- ; ---- ; Disclaimer ; OCT rules are checked but not reported. ; None ; ---- ; On Chip Termination ; ; -+--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; I/O Rules Matrix ; -+--------------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+ -; Pin/Rules ; IO_000001 ; IO_000002 ; IO_000003 ; IO_000004 ; IO_000005 ; IO_000006 ; IO_000007 ; IO_000008 ; IO_000009 ; IO_000010 ; IO_000011 ; IO_000012 ; IO_000013 ; IO_000014 ; IO_000015 ; IO_000018 ; IO_000019 ; IO_000020 ; IO_000021 ; IO_000022 ; IO_000023 ; IO_000024 ; IO_000026 ; IO_000027 ; IO_000045 ; IO_000046 ; IO_000047 ; IO_000033 ; IO_000034 ; IO_000042 ; -+--------------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+ -; Total Pass ; 0 ; 0 ; 0 ; 0 ; 0 ; 20 ; 0 ; 0 ; 20 ; 20 ; 0 ; 16 ; 0 ; 0 ; 4 ; 0 ; 16 ; 4 ; 0 ; 0 ; 0 ; 16 ; 0 ; 0 ; 0 ; 0 ; 0 ; 20 ; 0 ; 0 ; -; Total Unchecked ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; Total Inapplicable ; 20 ; 20 ; 20 ; 20 ; 20 ; 0 ; 20 ; 20 ; 0 ; 0 ; 20 ; 4 ; 20 ; 20 ; 16 ; 20 ; 4 ; 16 ; 20 ; 20 ; 20 ; 4 ; 20 ; 20 ; 20 ; 20 ; 20 ; 0 ; 20 ; 20 ; -; Total Fail ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; Y0 ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; Y1 ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; Y2 ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; Y3 ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; Y4 ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; Y5 ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; Y6 ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; Y7 ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; Y8 ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; Y9 ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; Y10 ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; Y11 ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; Y12 ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; Y13 ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; Y14 ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; Y15 ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; D ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; C ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; B ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; A ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -+--------------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+ - - -+---------------------------------------------------------------------------------------------+ -; Fitter Device Options ; -+------------------------------------------------------------------+--------------------------+ -; Option ; Setting ; -+------------------------------------------------------------------+--------------------------+ -; Enable user-supplied start-up clock (CLKUSR) ; Off ; -; Enable device-wide reset (DEV_CLRn) ; Off ; -; Enable device-wide output enable (DEV_OE) ; Off ; -; Enable INIT_DONE output ; Off ; -; Configuration scheme ; Active Serial ; -; Error detection CRC ; Off ; -; Enable open drain on CRC_ERROR pin ; Off ; -; Enable input tri-state on active configuration pins in user mode ; Off ; -; Configuration Voltage Level ; Auto ; -; Force Configuration Voltage Level ; Off ; -; nCEO ; As output driving ground ; -; Data[0] ; As input tri-stated ; -; Data[1]/ASDO ; As input tri-stated ; -; Data[7..2] ; Unreserved ; -; FLASH_nCE/nCSO ; As input tri-stated ; -; Other Active Parallel pins ; Unreserved ; -; DCLK ; As output driving ground ; -; Base pin-out file on sameframe device ; Off ; -+------------------------------------------------------------------+--------------------------+ - - -+------------------------------------+ -; Operating Settings and Conditions ; -+---------------------------+--------+ -; Setting ; Value ; -+---------------------------+--------+ -; Nominal Core Voltage ; 1.20 V ; -; Low Junction Temperature ; 0 °C ; -; High Junction Temperature ; 85 °C ; -+---------------------------+--------+ - - -+-----------------+ -; Fitter Messages ; -+-----------------+ -Warning (20028): Parallel compilation is not licensed and has been disabled -Info (119006): Selected device EP3C16F484C6 for design "four_line_to_sixteen_line_decimal_decoder" -Info (21077): Core supply voltage is 1.2V -Info (21077): Low junction temperature is 0 degrees C -Info (21077): High junction temperature is 85 degrees C -Info (171003): Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time -Warning (292013): Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature. -Info (176444): Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices - Info (176445): Device EP3C40F484C6 is compatible - Info (176445): Device EP3C55F484C6 is compatible - Info (176445): Device EP3C80F484C6 is compatible -Info (169124): Fitter converted 5 user pins into dedicated programming pins - Info (169125): Pin ~ALTERA_ASDO_DATA1~ is reserved at location D1 - Info (169125): Pin ~ALTERA_FLASH_nCE_nCSO~ is reserved at location E2 - Info (169125): Pin ~ALTERA_DCLK~ is reserved at location K2 - Info (169125): Pin ~ALTERA_DATA0~ is reserved at location K1 - Info (169125): Pin ~ALTERA_nCEO~ is reserved at location K22 -Warning (15714): Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details -Critical Warning (169085): No exact pin location assignment(s) for 20 pins of 20 total pins - Info (169086): Pin Y0 not assigned to an exact location on the device - Info (169086): Pin Y1 not assigned to an exact location on the device - Info (169086): Pin Y2 not assigned to an exact location on the device - Info (169086): Pin Y3 not assigned to an exact location on the device - Info (169086): Pin Y4 not assigned to an exact location on the device - Info (169086): Pin Y5 not assigned to an exact location on the device - Info (169086): Pin Y6 not assigned to an exact location on the device - Info (169086): Pin Y7 not assigned to an exact location on the device - Info (169086): Pin Y8 not assigned to an exact location on the device - Info (169086): Pin Y9 not assigned to an exact location on the device - Info (169086): Pin Y10 not assigned to an exact location on the device - Info (169086): Pin Y11 not assigned to an exact location on the device - Info (169086): Pin Y12 not assigned to an exact location on the device - Info (169086): Pin Y13 not assigned to an exact location on the device - Info (169086): Pin Y14 not assigned to an exact location on the device - Info (169086): Pin Y15 not assigned to an exact location on the device - Info (169086): Pin D not assigned to an exact location on the device - Info (169086): Pin C not assigned to an exact location on the device - Info (169086): Pin B not assigned to an exact location on the device - Info (169086): Pin A not assigned to an exact location on the device -Critical Warning (332012): Synopsys Design Constraints File file not found: 'four_line_to_sixteen_line_decimal_decoder.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. -Info (332144): No user constrained base clocks found in the design -Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. -Warning (332068): No clocks defined in design. -Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty" -Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. -Info (332130): Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time. -Info (176233): Starting register packing -Info (176235): Finished register packing - Extra Info (176219): No registers were packed into other blocks -Info (176214): Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement - Info (176211): Number of I/O pins in group: 20 (unused VREF, 2.5V VCCIO, 4 input, 16 output, 0 bidirectional) - Info (176212): I/O standards used: 2.5 V. -Info (176215): I/O bank details before I/O pin placement - Info (176214): Statistics of I/O banks - Info (176213): I/O bank number 1 does not use VREF pins and has undetermined VCCIO pins. 4 total pin(s) used -- 29 pins available - Info (176213): I/O bank number 2 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 48 pins available - Info (176213): I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 46 pins available - Info (176213): I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 41 pins available - Info (176213): I/O bank number 5 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 46 pins available - Info (176213): I/O bank number 6 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used -- 42 pins available - Info (176213): I/O bank number 7 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 47 pins available - Info (176213): I/O bank number 8 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 43 pins available -Info (171121): Fitter preparation operations ending: elapsed time is 00:00:03 -Info (170189): Fitter placement preparation operations beginning -Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:00 -Info (170191): Fitter placement operations beginning -Info (170137): Fitter placement was successful -Info (170192): Fitter placement operations ending: elapsed time is 00:00:00 -Info (170193): Fitter routing operations beginning -Info (170195): Router estimated average interconnect usage is 0% of the available device resources - Info (170196): Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X0_Y0 to location X9_Y9 -Info (170194): Fitter routing operations ending: elapsed time is 00:00:00 -Info (170199): The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time. - Info (170201): Optimizations that may affect the design's routability were skipped - Info (170200): Optimizations that may affect the design's timing were skipped -Info (11888): Total time spent on timing analysis during the Fitter is 0.22 seconds. -Info (334003): Started post-fitting delay annotation -Info (334004): Delay annotation completed successfully -Info (334003): Started post-fitting delay annotation -Info (334004): Delay annotation completed successfully -Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:02 -Info (144001): Generated suppressed messages file /home/timmy/Git/Learn-VHDL/CH6/CH6-1/output_files/four_line_to_sixteen_line_decimal_decoder.fit.smsg -Info: Quartus II 32-bit Fitter was successful. 0 errors, 6 warnings - Info: Peak virtual memory: 533 megabytes - Info: Processing ended: Thu Oct 24 22:11:27 2019 - Info: Elapsed time: 00:00:12 - Info: Total CPU time (on all processors): 00:00:12 - - -+----------------------------+ -; Fitter Suppressed Messages ; -+----------------------------+ -The suppressed messages can be found in /home/timmy/Git/Learn-VHDL/CH6/CH6-1/output_files/four_line_to_sixteen_line_decimal_decoder.fit.smsg. - - diff --git a/CH6/CH6-1/output_files/four_line_to_sixteen_line_decimal_decoder.fit.smsg b/CH6/CH6-1/output_files/four_line_to_sixteen_line_decimal_decoder.fit.smsg deleted file mode 100644 index 7121cbb1..00000000 --- a/CH6/CH6-1/output_files/four_line_to_sixteen_line_decimal_decoder.fit.smsg +++ /dev/null @@ -1,8 +0,0 @@ -Extra Info (176273): Performing register packing on registers with non-logic cell location assignments -Extra Info (176274): Completed register packing on registers with non-logic cell location assignments -Extra Info (176236): Started Fast Input/Output/OE register processing -Extra Info (176237): Finished Fast Input/Output/OE register processing -Extra Info (176238): Start inferring scan chains for DSP blocks -Extra Info (176239): Inferring scan chains for DSP blocks is complete -Extra Info (176248): Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density -Extra Info (176249): Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks diff --git a/CH6/CH6-1/output_files/four_line_to_sixteen_line_decimal_decoder.fit.summary b/CH6/CH6-1/output_files/four_line_to_sixteen_line_decimal_decoder.fit.summary deleted file mode 100644 index a9b222c0..00000000 --- a/CH6/CH6-1/output_files/four_line_to_sixteen_line_decimal_decoder.fit.summary +++ /dev/null @@ -1,16 +0,0 @@ -Fitter Status : Successful - Thu Oct 24 22:11:27 2019 -Quartus II 32-bit Version : 13.1.0 Build 162 10/23/2013 SJ Web Edition -Revision Name : four_line_to_sixteen_line_decimal_decoder -Top-level Entity Name : four_line_to_sixteen_line_decimal_decoder -Family : Cyclone III -Device : EP3C16F484C6 -Timing Models : Final -Total logic elements : 16 / 15,408 ( < 1 % ) - Total combinational functions : 16 / 15,408 ( < 1 % ) - Dedicated logic registers : 0 / 15,408 ( 0 % ) -Total registers : 0 -Total pins : 20 / 347 ( 6 % ) -Total virtual pins : 0 -Total memory bits : 0 / 516,096 ( 0 % ) -Embedded Multiplier 9-bit elements : 0 / 112 ( 0 % ) -Total PLLs : 0 / 4 ( 0 % ) diff --git a/CH6/CH6-1/output_files/four_line_to_sixteen_line_decimal_decoder.flow.rpt b/CH6/CH6-1/output_files/four_line_to_sixteen_line_decimal_decoder.flow.rpt deleted file mode 100644 index ee025e46..00000000 --- a/CH6/CH6-1/output_files/four_line_to_sixteen_line_decimal_decoder.flow.rpt +++ /dev/null @@ -1,140 +0,0 @@ -Flow report for four_line_to_sixteen_line_decimal_decoder -Thu Oct 24 22:24:53 2019 -Quartus II 32-bit Version 13.1.0 Build 162 10/23/2013 SJ Web Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. Flow Summary - 3. Flow Settings - 4. Flow Non-Default Global Settings - 5. Flow Elapsed Time - 6. Flow OS Summary - 7. Flow Log - 8. Flow Messages - 9. Flow Suppressed Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 1991-2013 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. - - - -+---------------------------------------------------------------------------------+ -; Flow Summary ; -+------------------------------------+--------------------------------------------+ -; Flow Status ; Successful - Thu Oct 24 22:24:53 2019 ; -; Quartus II 32-bit Version ; 13.1.0 Build 162 10/23/2013 SJ Web Edition ; -; Revision Name ; four_line_to_sixteen_line_decimal_decoder ; -; Top-level Entity Name ; four_line_to_sixteen_line_decimal_decoder ; -; Family ; Cyclone III ; -; Device ; EP3C16F484C6 ; -; Timing Models ; Final ; -; Total logic elements ; 16 / 15,408 ( < 1 % ) ; -; Total combinational functions ; 16 / 15,408 ( < 1 % ) ; -; Dedicated logic registers ; 0 / 15,408 ( 0 % ) ; -; Total registers ; 0 ; -; Total pins ; 20 / 347 ( 6 % ) ; -; Total virtual pins ; 0 ; -; Total memory bits ; 0 / 516,096 ( 0 % ) ; -; Embedded Multiplier 9-bit elements ; 0 / 112 ( 0 % ) ; -; Total PLLs ; 0 / 4 ( 0 % ) ; -+------------------------------------+--------------------------------------------+ - - -+---------------------------------------------------------------+ -; Flow Settings ; -+-------------------+-------------------------------------------+ -; Option ; Setting ; -+-------------------+-------------------------------------------+ -; Start date & time ; 10/24/2019 22:11:11 ; -; Main task ; Compilation ; -; Revision Name ; four_line_to_sixteen_line_decimal_decoder ; -+-------------------+-------------------------------------------+ - - -+-------------------------------------------------------------------------------------------------------------+ -; Flow Non-Default Global Settings ; -+-------------------------------------+------------------------+---------------+-------------+----------------+ -; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; -+-------------------------------------+------------------------+---------------+-------------+----------------+ -; COMPILER_SIGNATURE_ID ; 0.157192627132650 ; -- ; -- ; -- ; -; EDA_OUTPUT_DATA_FORMAT ; Vhdl ; -- ; -- ; eda_simulation ; -; EDA_SIMULATION_TOOL ; ModelSim-Altera (VHDL) ; ; -- ; -- ; -; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; -; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; -; NOMINAL_CORE_SUPPLY_VOLTAGE ; 1.2V ; -- ; -- ; -- ; -; PARTITION_COLOR ; 16764057 ; -- ; -- ; Top ; -; PARTITION_FITTER_PRESERVATION_LEVEL ; PLACEMENT_AND_ROUTING ; -- ; -- ; Top ; -; PARTITION_NETLIST_TYPE ; SOURCE ; -- ; -- ; Top ; -; PROJECT_OUTPUT_DIRECTORY ; output_files ; -- ; -- ; -- ; -+-------------------------------------+------------------------+---------------+-------------+----------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------+ -; Flow Elapsed Time ; -+---------------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; -+---------------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Analysis & Synthesis ; 00:00:02 ; 1.0 ; 360 MB ; 00:00:02 ; -; Fitter ; 00:00:12 ; 1.0 ; 533 MB ; 00:00:12 ; -; Assembler ; 00:00:02 ; 1.0 ; 382 MB ; 00:00:02 ; -; TimeQuest Timing Analyzer ; 00:00:03 ; 1.0 ; 383 MB ; 00:00:03 ; -; EDA Netlist Writer ; 00:00:01 ; 1.0 ; 334 MB ; 00:00:01 ; -; EDA Netlist Writer ; 00:00:01 ; 1.0 ; 348 MB ; 00:00:01 ; -; EDA Netlist Writer ; 00:00:01 ; 1.0 ; 348 MB ; 00:00:01 ; -; EDA Netlist Writer ; 00:00:01 ; 1.0 ; 348 MB ; 00:00:01 ; -; EDA Netlist Writer ; 00:00:01 ; 1.0 ; 348 MB ; 00:00:01 ; -; Total ; 00:00:24 ; -- ; -- ; 00:00:24 ; -+---------------------------+--------------+-------------------------+---------------------+------------------------------------+ - - -+----------------------------------------------------------------------------------------------+ -; Flow OS Summary ; -+---------------------------+-------------------+----------------+------------+----------------+ -; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; -+---------------------------+-------------------+----------------+------------+----------------+ -; Analysis & Synthesis ; timmy-Aspire-4750 ; Ubuntu 16.04.6 ; 16 ; x86_64 ; -; Fitter ; timmy-Aspire-4750 ; Ubuntu 16.04.6 ; 16 ; x86_64 ; -; Assembler ; timmy-Aspire-4750 ; Ubuntu 16.04.6 ; 16 ; x86_64 ; -; TimeQuest Timing Analyzer ; timmy-Aspire-4750 ; Ubuntu 16.04.6 ; 16 ; x86_64 ; -; EDA Netlist Writer ; timmy-Aspire-4750 ; Ubuntu 16.04.6 ; 16 ; x86_64 ; -; EDA Netlist Writer ; timmy-Aspire-4750 ; Ubuntu 16.04.6 ; 16 ; x86_64 ; -; EDA Netlist Writer ; timmy-Aspire-4750 ; Ubuntu 16.04.6 ; 16 ; x86_64 ; -; EDA Netlist Writer ; timmy-Aspire-4750 ; Ubuntu 16.04.6 ; 16 ; x86_64 ; -; EDA Netlist Writer ; timmy-Aspire-4750 ; Ubuntu 16.04.6 ; 16 ; x86_64 ; -+---------------------------+-------------------+----------------+------------+----------------+ - - ------------- -; Flow Log ; ------------- -quartus_map --read_settings_files=on --write_settings_files=off four_line_to_sixteen_line_decimal_decoder -c four_line_to_sixteen_line_decimal_decoder -quartus_fit --read_settings_files=off --write_settings_files=off four_line_to_sixteen_line_decimal_decoder -c four_line_to_sixteen_line_decimal_decoder -quartus_asm --read_settings_files=off --write_settings_files=off four_line_to_sixteen_line_decimal_decoder -c four_line_to_sixteen_line_decimal_decoder -quartus_sta four_line_to_sixteen_line_decimal_decoder -c four_line_to_sixteen_line_decimal_decoder -quartus_eda --read_settings_files=off --write_settings_files=off four_line_to_sixteen_line_decimal_decoder -c four_line_to_sixteen_line_decimal_decoder -quartus_eda --gen_testbench --check_outputs=on --tool=modelsim_oem --format=verilog four_line_to_sixteen_line_decimal_decoder -c four_line_to_sixteen_line_decimal_decoder --vector_source=/home/timmy/Git/Learn-VHDL/CH6/CH6-1/four_line_to_sixteen_line_decimal_decoder.vwf --testbench_file=/home/timmy/Git/Learn-VHDL/CH6/CH6-1/simulation/qsim/four_line_to_sixteen_line_decimal_decoder.vwf.vt -quartus_eda --functional=on --flatten_buses=off --simulation=on --tool=modelsim_oem --format=verilog --output_directory=/home/timmy/Git/Learn-VHDL/CH6/CH6-1/simulation/qsim/ four_line_to_sixteen_line_decimal_decoder -c four_line_to_sixteen_line_decimal_decoder -quartus_eda --gen_testbench --check_outputs=on --tool=modelsim_oem --format=verilog four_line_to_sixteen_line_decimal_decoder -c four_line_to_sixteen_line_decimal_decoder --vector_source=/home/timmy/Git/Learn-VHDL/CH6/CH6-1/four_line_to_sixteen_line_decimal_decoder.vwf --testbench_file=/home/timmy/Git/Learn-VHDL/CH6/CH6-1/simulation/qsim/four_line_to_sixteen_line_decimal_decoder.vwf.vt -quartus_eda --functional=on --flatten_buses=off --simulation=on --tool=modelsim_oem --format=verilog --output_directory=/home/timmy/Git/Learn-VHDL/CH6/CH6-1/simulation/qsim/ four_line_to_sixteen_line_decimal_decoder -c four_line_to_sixteen_line_decimal_decoder - - - diff --git a/CH6/CH6-1/output_files/four_line_to_sixteen_line_decimal_decoder.jdi b/CH6/CH6-1/output_files/four_line_to_sixteen_line_decimal_decoder.jdi deleted file mode 100644 index c17103cf..00000000 --- a/CH6/CH6-1/output_files/four_line_to_sixteen_line_decimal_decoder.jdi +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/CH6/CH6-1/output_files/four_line_to_sixteen_line_decimal_decoder.map.rpt b/CH6/CH6-1/output_files/four_line_to_sixteen_line_decimal_decoder.map.rpt deleted file mode 100644 index c04e07f9..00000000 --- a/CH6/CH6-1/output_files/four_line_to_sixteen_line_decimal_decoder.map.rpt +++ /dev/null @@ -1,261 +0,0 @@ -Analysis & Synthesis report for four_line_to_sixteen_line_decimal_decoder -Thu Oct 24 22:11:13 2019 -Quartus II 32-bit Version 13.1.0 Build 162 10/23/2013 SJ Web Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. Analysis & Synthesis Summary - 3. Analysis & Synthesis Settings - 4. Parallel Compilation - 5. Analysis & Synthesis Source Files Read - 6. Analysis & Synthesis Resource Usage Summary - 7. Analysis & Synthesis Resource Utilization by Entity - 8. General Register Statistics - 9. Elapsed Time Per Partition - 10. Analysis & Synthesis Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 1991-2013 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. - - - -+---------------------------------------------------------------------------------+ -; Analysis & Synthesis Summary ; -+------------------------------------+--------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Thu Oct 24 22:11:13 2019 ; -; Quartus II 32-bit Version ; 13.1.0 Build 162 10/23/2013 SJ Web Edition ; -; Revision Name ; four_line_to_sixteen_line_decimal_decoder ; -; Top-level Entity Name ; four_line_to_sixteen_line_decimal_decoder ; -; Family ; Cyclone III ; -; Total logic elements ; 16 ; -; Total combinational functions ; 16 ; -; Dedicated logic registers ; 0 ; -; Total registers ; 0 ; -; Total pins ; 20 ; -; Total virtual pins ; 0 ; -; Total memory bits ; 0 ; -; Embedded Multiplier 9-bit elements ; 0 ; -; Total PLLs ; 0 ; -+------------------------------------+--------------------------------------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Settings ; -+----------------------------------------------------------------------------+-------------------------------------------+-------------------------------------------+ -; Option ; Setting ; Default Value ; -+----------------------------------------------------------------------------+-------------------------------------------+-------------------------------------------+ -; Device ; EP3C16F484C6 ; ; -; Top-level entity name ; four_line_to_sixteen_line_decimal_decoder ; four_line_to_sixteen_line_decimal_decoder ; -; Family name ; Cyclone III ; Cyclone IV GX ; -; Use smart compilation ; Off ; Off ; -; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; -; Enable compact report table ; Off ; Off ; -; Restructure Multiplexers ; Auto ; Auto ; -; Create Debugging Nodes for IP Cores ; Off ; Off ; -; Preserve fewer node names ; On ; On ; -; Disable OpenCore Plus hardware evaluation ; Off ; Off ; -; Verilog Version ; Verilog_2001 ; Verilog_2001 ; -; VHDL Version ; VHDL_1993 ; VHDL_1993 ; -; State Machine Processing ; Auto ; Auto ; -; Safe State Machine ; Off ; Off ; -; Extract Verilog State Machines ; On ; On ; -; Extract VHDL State Machines ; On ; On ; -; Ignore Verilog initial constructs ; Off ; Off ; -; Iteration limit for constant Verilog loops ; 5000 ; 5000 ; -; Iteration limit for non-constant Verilog loops ; 250 ; 250 ; -; Add Pass-Through Logic to Inferred RAMs ; On ; On ; -; Infer RAMs from Raw Logic ; On ; On ; -; Parallel Synthesis ; On ; On ; -; DSP Block Balancing ; Auto ; Auto ; -; NOT Gate Push-Back ; On ; On ; -; Power-Up Don't Care ; On ; On ; -; Remove Redundant Logic Cells ; Off ; Off ; -; Remove Duplicate Registers ; On ; On ; -; Ignore CARRY Buffers ; Off ; Off ; -; Ignore CASCADE Buffers ; Off ; Off ; -; Ignore GLOBAL Buffers ; Off ; Off ; -; Ignore ROW GLOBAL Buffers ; Off ; Off ; -; Ignore LCELL Buffers ; Off ; Off ; -; Ignore SOFT Buffers ; On ; On ; -; Limit AHDL Integers to 32 Bits ; Off ; Off ; -; Optimization Technique ; Balanced ; Balanced ; -; Carry Chain Length ; 70 ; 70 ; -; Auto Carry Chains ; On ; On ; -; Auto Open-Drain Pins ; On ; On ; -; Perform WYSIWYG Primitive Resynthesis ; Off ; Off ; -; Auto ROM Replacement ; On ; On ; -; Auto RAM Replacement ; On ; On ; -; Auto DSP Block Replacement ; On ; On ; -; Auto Shift Register Replacement ; Auto ; Auto ; -; Allow Shift Register Merging across Hierarchies ; Auto ; Auto ; -; Auto Clock Enable Replacement ; On ; On ; -; Strict RAM Replacement ; Off ; Off ; -; Allow Synchronous Control Signals ; On ; On ; -; Force Use of Synchronous Clear Signals ; Off ; Off ; -; Auto RAM Block Balancing ; On ; On ; -; Auto RAM to Logic Cell Conversion ; Off ; Off ; -; Auto Resource Sharing ; Off ; Off ; -; Allow Any RAM Size For Recognition ; Off ; Off ; -; Allow Any ROM Size For Recognition ; Off ; Off ; -; Allow Any Shift Register Size For Recognition ; Off ; Off ; -; Use LogicLock Constraints during Resource Balancing ; On ; On ; -; Ignore translate_off and synthesis_off directives ; Off ; Off ; -; Timing-Driven Synthesis ; On ; On ; -; Report Parameter Settings ; On ; On ; -; Report Source Assignments ; On ; On ; -; Report Connectivity Checks ; On ; On ; -; Ignore Maximum Fan-Out Assignments ; Off ; Off ; -; Synchronization Register Chain Length ; 2 ; 2 ; -; PowerPlay Power Optimization ; Normal compilation ; Normal compilation ; -; HDL message level ; Level2 ; Level2 ; -; Suppress Register Optimization Related Messages ; Off ; Off ; -; Number of Removed Registers Reported in Synthesis Report ; 5000 ; 5000 ; -; Number of Swept Nodes Reported in Synthesis Report ; 5000 ; 5000 ; -; Number of Inverted Registers Reported in Synthesis Report ; 100 ; 100 ; -; Clock MUX Protection ; On ; On ; -; Auto Gated Clock Conversion ; Off ; Off ; -; Block Design Naming ; Auto ; Auto ; -; SDC constraint protection ; Off ; Off ; -; Synthesis Effort ; Auto ; Auto ; -; Shift Register Replacement - Allow Asynchronous Clear Signal ; On ; On ; -; Pre-Mapping Resynthesis Optimization ; Off ; Off ; -; Analysis & Synthesis Message Level ; Medium ; Medium ; -; Disable Register Merging Across Hierarchies ; Auto ; Auto ; -; Resource Aware Inference For Block RAM ; On ; On ; -; Synthesis Seed ; 1 ; 1 ; -+----------------------------------------------------------------------------+-------------------------------------------+-------------------------------------------+ - - -Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. -+-------------------------------------+ -; Parallel Compilation ; -+----------------------------+--------+ -; Processors ; Number ; -+----------------------------+--------+ -; Number detected on machine ; 4 ; -; Maximum allowed ; 1 ; -+----------------------------+--------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Source Files Read ; -+-----------------------------------------------+-----------------+------------------------------------+------------------------------------------------------------------------------------+---------+ -; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; -+-----------------------------------------------+-----------------+------------------------------------+------------------------------------------------------------------------------------+---------+ -; four_line_to_sixteen_line_decimal_decoder.bdf ; yes ; User Block Diagram/Schematic File ; /home/timmy/Git/Learn-VHDL/CH6/CH6-1/four_line_to_sixteen_line_decimal_decoder.bdf ; ; -; 74138.bdf ; yes ; Megafunction ; /home/timmy/altera/13.1/quartus/libraries/others/maxplus2/74138.bdf ; ; -+-----------------------------------------------+-----------------+------------------------------------+------------------------------------------------------------------------------------+---------+ - - -+-------------------------------------------------------+ -; Analysis & Synthesis Resource Usage Summary ; -+---------------------------------------------+---------+ -; Resource ; Usage ; -+---------------------------------------------+---------+ -; Estimated Total logic elements ; 16 ; -; ; ; -; Total combinational functions ; 16 ; -; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 16 ; -; -- 3 input functions ; 0 ; -; -- <=2 input functions ; 0 ; -; ; ; -; Logic elements by mode ; ; -; -- normal mode ; 16 ; -; -- arithmetic mode ; 0 ; -; ; ; -; Total registers ; 0 ; -; -- Dedicated logic registers ; 0 ; -; -- I/O registers ; 0 ; -; ; ; -; I/O pins ; 20 ; -; Embedded Multiplier 9-bit elements ; 0 ; -; Maximum fan-out node ; D~input ; -; Maximum fan-out ; 16 ; -; Total fan-out ; 100 ; -; Average fan-out ; 1.79 ; -+---------------------------------------------+---------+ - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Resource Utilization by Entity ; -+--------------------------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+-------------------------------------------------------+--------------+ -; Compilation Hierarchy Node ; LC Combinationals ; LC Registers ; Memory Bits ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; Full Hierarchy Name ; Library Name ; -+--------------------------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+-------------------------------------------------------+--------------+ -; |four_line_to_sixteen_line_decimal_decoder ; 16 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 20 ; 0 ; |four_line_to_sixteen_line_decimal_decoder ; work ; -; |74138:inst| ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |four_line_to_sixteen_line_decimal_decoder|74138:inst ; work ; -+--------------------------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+-------------------------------------------------------+--------------+ -Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. - - -+------------------------------------------------------+ -; General Register Statistics ; -+----------------------------------------------+-------+ -; Statistic ; Value ; -+----------------------------------------------+-------+ -; Total registers ; 0 ; -; Number of registers using Synchronous Clear ; 0 ; -; Number of registers using Synchronous Load ; 0 ; -; Number of registers using Asynchronous Clear ; 0 ; -; Number of registers using Asynchronous Load ; 0 ; -; Number of registers using Clock Enable ; 0 ; -; Number of registers using Preset ; 0 ; -+----------------------------------------------+-------+ - - -+-------------------------------+ -; Elapsed Time Per Partition ; -+----------------+--------------+ -; Partition Name ; Elapsed Time ; -+----------------+--------------+ -; Top ; 00:00:00 ; -+----------------+--------------+ - - -+-------------------------------+ -; Analysis & Synthesis Messages ; -+-------------------------------+ -Info: ******************************************************************* -Info: Running Quartus II 32-bit Analysis & Synthesis - Info: Version 13.1.0 Build 162 10/23/2013 SJ Web Edition - Info: Processing started: Thu Oct 24 22:11:11 2019 -Info: Command: quartus_map --read_settings_files=on --write_settings_files=off four_line_to_sixteen_line_decimal_decoder -c four_line_to_sixteen_line_decimal_decoder -Warning (20028): Parallel compilation is not licensed and has been disabled -Info (12021): Found 1 design units, including 1 entities, in source file four_line_to_sixteen_line_decimal_decoder.bdf - Info (12023): Found entity 1: four_line_to_sixteen_line_decimal_decoder -Info (12127): Elaborating entity "four_line_to_sixteen_line_decimal_decoder" for the top level hierarchy -Info (12128): Elaborating entity "74138" for hierarchy "74138:inst" -Info (12130): Elaborated megafunction instantiation "74138:inst" -Info (286030): Timing-Driven Synthesis is running -Info (16010): Generating hard_block partition "hard_block:auto_generated_inst" - Info (16011): Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL -Info (21057): Implemented 36 device resources after synthesis - the final resource count might be different - Info (21058): Implemented 4 input pins - Info (21059): Implemented 16 output pins - Info (21061): Implemented 16 logic cells -Info: Quartus II 32-bit Analysis & Synthesis was successful. 0 errors, 1 warning - Info: Peak virtual memory: 371 megabytes - Info: Processing ended: Thu Oct 24 22:11:13 2019 - Info: Elapsed time: 00:00:02 - Info: Total CPU time (on all processors): 00:00:02 - - diff --git a/CH6/CH6-1/output_files/four_line_to_sixteen_line_decimal_decoder.map.summary b/CH6/CH6-1/output_files/four_line_to_sixteen_line_decimal_decoder.map.summary deleted file mode 100644 index 27ad28c4..00000000 --- a/CH6/CH6-1/output_files/four_line_to_sixteen_line_decimal_decoder.map.summary +++ /dev/null @@ -1,14 +0,0 @@ -Analysis & Synthesis Status : Successful - Thu Oct 24 22:11:13 2019 -Quartus II 32-bit Version : 13.1.0 Build 162 10/23/2013 SJ Web Edition -Revision Name : four_line_to_sixteen_line_decimal_decoder -Top-level Entity Name : four_line_to_sixteen_line_decimal_decoder -Family : Cyclone III -Total logic elements : 16 - Total combinational functions : 16 - Dedicated logic registers : 0 -Total registers : 0 -Total pins : 20 -Total virtual pins : 0 -Total memory bits : 0 -Embedded Multiplier 9-bit elements : 0 -Total PLLs : 0 diff --git a/CH6/CH6-1/output_files/four_line_to_sixteen_line_decimal_decoder.pin b/CH6/CH6-1/output_files/four_line_to_sixteen_line_decimal_decoder.pin deleted file mode 100644 index 05e6752b..00000000 --- a/CH6/CH6-1/output_files/four_line_to_sixteen_line_decimal_decoder.pin +++ /dev/null @@ -1,554 +0,0 @@ - -- Copyright (C) 1991-2013 Altera Corporation - -- Your use of Altera Corporation's design tools, logic functions - -- and other software and tools, and its AMPP partner logic - -- functions, and any output files from any of the foregoing - -- (including device programming or simulation files), and any - -- associated documentation or information are expressly subject - -- to the terms and conditions of the Altera Program License - -- Subscription Agreement, Altera MegaCore Function License - -- Agreement, or other applicable license agreement, including, - -- without limitation, that your use is for the sole purpose of - -- programming logic devices manufactured by Altera and sold by - -- Altera or its authorized distributors. Please refer to the - -- applicable agreement for further details. - -- - -- This is a Quartus II output file. It is for reporting purposes only, and is - -- not intended for use as a Quartus II input file. This file cannot be used - -- to make Quartus II pin assignments - for instructions on how to make pin - -- assignments, please see Quartus II help. - --------------------------------------------------------------------------------- - - - - --------------------------------------------------------------------------------- - -- NC : No Connect. This pin has no internal connection to the device. - -- DNU : Do Not Use. This pin MUST NOT be connected. - -- VCCINT : Dedicated power pin, which MUST be connected to VCC (1.2V). - -- VCCIO : Dedicated power pin, which MUST be connected to VCC - -- of its bank. - -- Bank 1: 2.5V - -- Bank 2: 2.5V - -- Bank 3: 2.5V - -- Bank 4: 2.5V - -- Bank 5: 2.5V - -- Bank 6: 2.5V - -- Bank 7: 2.5V - -- Bank 8: 2.5V - -- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND. - -- It can also be used to report unused dedicated pins. The connection - -- on the board for unused dedicated pins depends on whether this will - -- be used in a future design. One example is device migration. When - -- using device migration, refer to the device pin-tables. If it is a - -- GND pin in the pin table or if it will not be used in a future design - -- for another purpose the it MUST be connected to GND. If it is an unused - -- dedicated pin, then it can be connected to a valid signal on the board - -- (low, high, or toggling) if that signal is required for a different - -- revision of the design. - -- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins. - -- This pin should be connected to GND. It may also be connected to a - -- valid signal on the board (low, high, or toggling) if that signal - -- is required for a different revision of the design. - -- GND* : Unused I/O pin. Connect each pin marked GND* directly to GND - -- or leave it unconnected. - -- RESERVED : Unused I/O pin, which MUST be left unconnected. - -- RESERVED_INPUT : Pin is tri-stated and should be connected to the board. - -- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor. - -- RESERVED_INPUT_WITH_BUS_HOLD : Pin is tri-stated with bus-hold circuitry. - -- RESERVED_OUTPUT_DRIVEN_HIGH : Pin is output driven high. - --------------------------------------------------------------------------------- - - - - --------------------------------------------------------------------------------- - -- Pin directions (input, output or bidir) are based on device operating in user mode. - --------------------------------------------------------------------------------- - -Quartus II 32-bit Version 13.1.0 Build 162 10/23/2013 SJ Web Edition -CHIP "four_line_to_sixteen_line_decimal_decoder" ASSIGNED TO AN: EP3C16F484C6 - -Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment -------------------------------------------------------------------------------------------------------------- -GND : A1 : gnd : : : : -VCCIO8 : A2 : power : : 2.5V : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A3 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A4 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A5 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A6 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A7 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A8 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A9 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A10 : : : : 8 : -GND+ : A11 : : : : 8 : -GND+ : A12 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A13 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A14 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A15 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A16 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A17 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A18 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A19 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A20 : : : : 7 : -VCCIO7 : A21 : power : : 2.5V : 7 : -GND : A22 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA1 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA2 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA3 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA4 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA5 : : : : 3 : -VCCIO3 : AA6 : power : : 2.5V : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA7 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA8 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA9 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA10 : : : : 3 : -GND+ : AA11 : : : : 3 : -GND+ : AA12 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA13 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA14 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA15 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA16 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA17 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA18 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA19 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA20 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA21 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA22 : : : : 5 : -GND : AB1 : gnd : : : : -VCCIO3 : AB2 : power : : 2.5V : 3 : -A : AB3 : input : 2.5 V : : 3 : N -RESERVED_INPUT_WITH_WEAK_PULLUP : AB4 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB5 : : : : 3 : -GND : AB6 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB7 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB8 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB9 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB10 : : : : 3 : -GND+ : AB11 : : : : 3 : -GND+ : AB12 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB13 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB14 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB15 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB16 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB17 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB18 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB19 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB20 : : : : 4 : -VCCIO4 : AB21 : power : : 2.5V : 4 : -GND : AB22 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : B1 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B2 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B3 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B4 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B5 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B6 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B7 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B8 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B9 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B10 : : : : 8 : -GND+ : B11 : : : : 8 : -GND+ : B12 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B13 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B14 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B15 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B16 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B17 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B18 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B19 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B20 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B21 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B22 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : C1 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : C2 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : C3 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : C4 : : : : 8 : -GND : C5 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : C6 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : C7 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : C8 : : : : 8 : -GND : C9 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : C10 : : : : 8 : -GND : C11 : gnd : : : : -GND : C12 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : C13 : : : : 7 : -GND : C14 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : C15 : : : : 7 : -GND : C16 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : C17 : : : : 7 : -GND : C18 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : C19 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : C20 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : C21 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : C22 : : : : 6 : -~ALTERA_ASDO_DATA1~ / RESERVED_INPUT_WITH_WEAK_PULLUP : D1 : input : 2.5 V : : 1 : N -RESERVED_INPUT_WITH_WEAK_PULLUP : D2 : : : : 1 : -GND : D3 : gnd : : : : -VCCIO1 : D4 : power : : 2.5V : 1 : -VCCIO8 : D5 : power : : 2.5V : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : D6 : : : : 8 : -GND : D7 : gnd : : : : -GND : D8 : gnd : : : : -VCCIO8 : D9 : power : : 2.5V : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : D10 : : : : 8 : -VCCIO8 : D11 : power : : 2.5V : 8 : -VCCIO7 : D12 : power : : 2.5V : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : D13 : : : : 7 : -VCCIO7 : D14 : power : : 2.5V : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : D15 : : : : 7 : -VCCIO7 : D16 : power : : 2.5V : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : D17 : : : : 7 : -VCCIO7 : D18 : power : : 2.5V : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : D19 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : D20 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : D21 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : D22 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : E1 : : : : 1 : -~ALTERA_FLASH_nCE_nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP : E2 : input : 2.5 V : : 1 : N -RESERVED_INPUT_WITH_WEAK_PULLUP : E3 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : E4 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : E5 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : E6 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : E7 : : : : 8 : -VCCIO8 : E8 : power : : 2.5V : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : E9 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : E10 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : E11 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : E12 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : E13 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : E14 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : E15 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : E16 : : : : 7 : -VCCD_PLL2 : E17 : power : : 1.2V : : -GNDA2 : E18 : gnd : : : : -VCCIO6 : E19 : power : : 2.5V : 6 : -GND : E20 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : E21 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : E22 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F1 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F2 : : : : 1 : -GND : F3 : gnd : : : : -VCCIO1 : F4 : power : : 2.5V : 1 : -GNDA3 : F5 : gnd : : : : -VCCD_PLL3 : F6 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : F7 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F8 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F9 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F10 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F11 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F12 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F13 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F14 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F15 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F16 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F17 : : : : 6 : -VCCA2 : F18 : power : : 2.5V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : F19 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F20 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F21 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F22 : : : : 6 : -GND+ : G1 : : : : 1 : -GND+ : G2 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : G3 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : G4 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : G5 : : : : 1 : -VCCA3 : G6 : power : : 2.5V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : G7 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : G8 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : G9 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : G10 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : G11 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : G12 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : G13 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : G14 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : G15 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : G16 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : G17 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : G18 : : : : 6 : -VCCIO6 : G19 : power : : 2.5V : 6 : -GND : G20 : gnd : : : : -GND+ : G21 : : : : 6 : -GND+ : G22 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H1 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H2 : : : : 1 : -GND : H3 : gnd : : : : -VCCIO1 : H4 : power : : 2.5V : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H5 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H6 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H7 : : : : 1 : -GND : H8 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : H9 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H10 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H11 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H12 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H13 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H14 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H15 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H16 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H17 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H18 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H19 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H20 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H21 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H22 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : J1 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : J2 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : J3 : : : : 1 : -Y1 : J4 : output : 2.5 V : : 1 : N -GND : J5 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : J6 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : J7 : : : : 1 : -VCCINT : J8 : power : : 1.2V : : -GND : J9 : gnd : : : : -VCCINT : J10 : power : : 1.2V : : -VCCINT : J11 : power : : 1.2V : : -VCCINT : J12 : power : : 1.2V : : -VCCINT : J13 : power : : 1.2V : : -VCCINT : J14 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : J15 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : J16 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : J17 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : J18 : : : : 6 : -GND : J19 : gnd : : : : -VCCIO6 : J20 : power : : 2.5V : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : J21 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : J22 : : : : 6 : -~ALTERA_DATA0~ / RESERVED_INPUT_WITH_WEAK_PULLUP : K1 : input : 2.5 V : : 1 : N -~ALTERA_DCLK~ : K2 : output : 2.5 V : : 1 : N -GND : K3 : gnd : : : : -VCCIO1 : K4 : power : : 2.5V : 1 : -nCONFIG : K5 : : : : 1 : -nSTATUS : K6 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : K7 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : K8 : : : : 1 : -VCCINT : K9 : power : : 1.2V : : -GND : K10 : gnd : : : : -GND : K11 : gnd : : : : -GND : K12 : gnd : : : : -GND : K13 : gnd : : : : -VCCINT : K14 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : K15 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : K16 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : K17 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : K18 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : K19 : : : : 6 : -MSEL3 : K20 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : K21 : : : : 6 : -~ALTERA_nCEO~ / RESERVED_OUTPUT_OPEN_DRAIN : K22 : output : 2.5 V : : 6 : N -TMS : L1 : input : : : 1 : -TCK : L2 : input : : : 1 : -nCE : L3 : : : : 1 : -TDO : L4 : output : : : 1 : -TDI : L5 : input : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : L6 : : : : 2 : -Y0 : L7 : output : 2.5 V : : 2 : N -RESERVED_INPUT_WITH_WEAK_PULLUP : L8 : : : : 1 : -VCCINT : L9 : power : : 1.2V : : -GND : L10 : gnd : : : : -GND : L11 : gnd : : : : -GND : L12 : gnd : : : : -GND : L13 : gnd : : : : -VCCINT : L14 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : L15 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : L16 : : : : 6 : -MSEL2 : L17 : : : : 6 : -MSEL1 : L18 : : : : 6 : -VCCIO6 : L19 : power : : 2.5V : 6 : -GND : L20 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : L21 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : L22 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : M1 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : M2 : : : : 2 : -Y14 : M3 : output : 2.5 V : : 2 : N -Y9 : M4 : output : 2.5 V : : 2 : N -Y8 : M5 : output : 2.5 V : : 2 : N -RESERVED_INPUT_WITH_WEAK_PULLUP : M6 : : : : 2 : -Y12 : M7 : output : 2.5 V : : 2 : N -RESERVED_INPUT_WITH_WEAK_PULLUP : M8 : : : : 2 : -VCCINT : M9 : power : : 1.2V : : -GND : M10 : gnd : : : : -GND : M11 : gnd : : : : -GND : M12 : gnd : : : : -GND : M13 : gnd : : : : -VCCINT : M14 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : M15 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : M16 : : : : 5 : -MSEL0 : M17 : : : : 6 : -CONF_DONE : M18 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : M19 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : M20 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : M21 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : M22 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : N1 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : N2 : : : : 2 : -GND : N3 : gnd : : : : -VCCIO2 : N4 : power : : 2.5V : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : N5 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : N6 : : : : 2 : -B : N7 : input : 2.5 V : : 2 : N -RESERVED_INPUT_WITH_WEAK_PULLUP : N8 : : : : 2 : -VCCINT : N9 : power : : 1.2V : : -GND : N10 : gnd : : : : -GND : N11 : gnd : : : : -GND : N12 : gnd : : : : -GND : N13 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : N14 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : N15 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : N16 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : N17 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : N18 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : N19 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : N20 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : N21 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : N22 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : P1 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : P2 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : P3 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : P4 : : : : 2 : -Y10 : P5 : output : 2.5 V : : 2 : N -Y15 : P6 : output : 2.5 V : : 2 : N -Y2 : P7 : output : 2.5 V : : 2 : N -RESERVED_INPUT_WITH_WEAK_PULLUP : P8 : : : : 2 : -VCCINT : P9 : power : : 1.2V : : -VCCINT : P10 : power : : 1.2V : : -VCCINT : P11 : power : : 1.2V : : -VCCINT : P12 : power : : 1.2V : : -VCCINT : P13 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : P14 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : P15 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : P16 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : P17 : : : : 5 : -VCCIO5 : P18 : power : : 2.5V : 5 : -GND : P19 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : P20 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : P21 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : P22 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R1 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R2 : : : : 2 : -GND : R3 : gnd : : : : -VCCIO2 : R4 : power : : 2.5V : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R5 : : : : 2 : -Y6 : R6 : output : 2.5 V : : 2 : N -RESERVED_INPUT_WITH_WEAK_PULLUP : R7 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R8 : : : : 2 : -Y7 : R9 : output : 2.5 V : : 3 : N -RESERVED_INPUT_WITH_WEAK_PULLUP : R10 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R11 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R12 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R13 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R14 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R15 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R16 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R17 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R18 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R19 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R20 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R21 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R22 : : : : 5 : -GND+ : T1 : : : : 2 : -GND+ : T2 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : T3 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : T4 : : : : 2 : -D : T5 : input : 2.5 V : : 2 : N -VCCA1 : T6 : power : : 2.5V : : -Y4 : T7 : output : 2.5 V : : 2 : N -RESERVED_INPUT_WITH_WEAK_PULLUP : T8 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : T9 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : T10 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : T11 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : T12 : : : : 4 : -VCCINT : T13 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : T14 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : T15 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : T16 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : T17 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : T18 : : : : 5 : -VCCIO5 : T19 : power : : 2.5V : 5 : -GND : T20 : gnd : : : : -GND+ : T21 : : : : 5 : -GND+ : T22 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : U1 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : U2 : : : : 2 : -GND : U3 : gnd : : : : -VCCIO2 : U4 : power : : 2.5V : 2 : -GNDA1 : U5 : gnd : : : : -VCCD_PLL1 : U6 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : U7 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : U8 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : U9 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : U10 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : U11 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : U12 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : U13 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : U14 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : U15 : : : : 4 : -VCCINT : U16 : power : : 1.2V : : -VCCINT : U17 : power : : 1.2V : : -VCCA4 : U18 : power : : 2.5V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : U19 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : U20 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : U21 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : U22 : : : : 5 : -Y5 : V1 : output : 2.5 V : : 2 : N -RESERVED_INPUT_WITH_WEAK_PULLUP : V2 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V3 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V4 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V5 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V6 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V7 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V8 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V9 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V10 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V11 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V12 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V13 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V14 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V15 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V16 : : : : 4 : -VCCD_PLL4 : V17 : power : : 1.2V : : -GNDA4 : V18 : gnd : : : : -VCCIO5 : V19 : power : : 2.5V : 5 : -GND : V20 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : V21 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V22 : : : : 5 : -Y3 : W1 : output : 2.5 V : : 2 : N -Y13 : W2 : output : 2.5 V : : 2 : N -GND : W3 : gnd : : : : -VCCIO2 : W4 : power : : 2.5V : 2 : -VCCIO3 : W5 : power : : 2.5V : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : W6 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : W7 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : W8 : : : : 3 : -VCCIO3 : W9 : power : : 2.5V : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : W10 : : : : 3 : -VCCIO3 : W11 : power : : 2.5V : 3 : -VCCIO4 : W12 : power : : 2.5V : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : W13 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : W14 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : W15 : : : : 4 : -VCCIO4 : W16 : power : : 2.5V : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : W17 : : : : 4 : -VCCIO4 : W18 : power : : 2.5V : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : W19 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : W20 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : W21 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : W22 : : : : 5 : -C : Y1 : input : 2.5 V : : 2 : N -Y11 : Y2 : output : 2.5 V : : 2 : N -RESERVED_INPUT_WITH_WEAK_PULLUP : Y3 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : Y4 : : : : 3 : -GND : Y5 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : Y6 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : Y7 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : Y8 : : : : 3 : -GND : Y9 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : Y10 : : : : 3 : -GND : Y11 : gnd : : : : -GND : Y12 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : Y13 : : : : 4 : -VCCIO4 : Y14 : power : : 2.5V : 4 : -GND : Y15 : gnd : : : : -GND : Y16 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : Y17 : : : : 4 : -GND : Y18 : gnd : : : : -VCCIO5 : Y19 : power : : 2.5V : 5 : -GND : Y20 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : Y21 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : Y22 : : : : 5 : diff --git a/CH6/CH6-1/output_files/four_line_to_sixteen_line_decimal_decoder.sof b/CH6/CH6-1/output_files/four_line_to_sixteen_line_decimal_decoder.sof deleted file mode 100644 index c4bf94251f6d0e13c35645a6f12b812fcaf6b065..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 496912 zcmeIbO|LD@4Ou)S)dU4oMo?0#-3`OOzWw0_41%Kl$wYpMxAQIQ-pDKKop#yZ3g#Dw==y_1FI3D_{Sk-}%Px{K40E z@9#VN$3OV|v(LZ(=_k7{?EaQ8e*UX}`HO$~^F98b;RnZG{L8;5w%soMB}ZER%I;%M z1wsCgnE%cH@+-T)vHNdd(FO)P$4@@}@n`?+2jBja@BH{re*2F<`+>Cl*8lvczx8ka z-{1e{5C1Qif9+rY>;HPU`;R!?{ag9-!=HTq@RQHK{XH4$kH7rAAO7S=-~Rq*Klt?9 zpa1aNKmP2WfBxyGKNzk*`SiP={n>ZE|84pC!%sf_(Qp6hC!g)U`Qz_@^6iHo{qRpe z{qE=A{_F?e`{955%OQO8(7?G|5l8s5PyWugKl$vRefs0izx|E7KmNThef7J)`**(n zov(iPyPtmgyT9|*uYLFH-~03{U;6Ui`^!h}-z2CkEMi=TPd@!`KKt&czxSo%^nNKk zeCP8&`Mocl9{-Pj_`NTMpZxgKA2FQY`_kP%|DyxOIF9=M=9le2e|`7g|I1(8Cvms? z7ys2a<(9ld+`soXUj5Vi_x{s&6~YI*S5Y3p!Vifk>^|DPmyUnfmQw;R^pJLO_bTk9 z<7Yicew|NFU1D=5wo`GLj&G>$KHIaBAo&nFm4QAk-ey^x}y{E4H!ZR>S z2K)h^C5rEN028^*eqRynD}pXTUS?QZpcbmoqowrGg)cz+Qyv$6vB0 z`NQ8jfyhA_Yzveg5(G2@Csh30t(+SJq%IN+;~HsI5i1H-&}67A1n9Pf)+S_-0fHLr z*lp23V-rLXU=%eI7B$%89AjJ_uNUZIJ^$160)unO8_NJwZ)Py99qY@$68GiO$0sl8 zV^Uui7_sNc!L~r@Awj5C5@YDe?d^%9 zagDU9h!q7ZXfkYVwk?B9`xr16D{Ij}V;2ENQ8QstgUz=Vi^DVE8BiIxQ&;cu;{IKB z?tR}*2J(__3Yd%`G7e?1El_$$5UQ2L7~C4CF`NOmG={Wc){lJJSN8jUaz9lb}>*nlZEm#rkG< z-_ksa_*u7ua^i>SHPR|DhC<80Y#hJ;>glg8O*rBg@l^&Int1%{M}HlvTusCgp^-9* zKC%e@n*4c?Kk0or{HFYQD}R776j}ymU=4+qQ*xMRm03f?JEEWrG;~qd4EPr3 z`zYFG7*{Jc3N?SKF{vDj+ZoFvoz*q#`Dg~|M$f?RS5}jQ-&jo~9*AdPZ5c=eq$){X z^-Bgz#lMTJX^M@wM%O}BsY;Sp{gS~_ z@$VvQnqnia5qD*vp^Lg^z}FPm;oCZ5+XW64M!{gI_%}gsYD_A}VmzZ-6L4L#o{wgr zvl;zDwM!UQKV?N`EP5<{{qh*xmq*0>kiL=XTUS?GBS`DM!Ce5 z43>(27jsu@I;?93%+*@Vi$R-VT&);AbTPgoB$z74a?MLjl0Q!dF1n~|*7GrR)|GaG zXJAtq;5Rqd0r_=Lhi9OhfdoTUlDz7d43>(27g^I38*z=eD+3K()HMUXrsN*~uMlm9 zakXOf(1jY4%CWd!wOUJm3~FO|Z+Nt}HR`mj;p)-u2d`#YUL}P1-0;3>(Q2iuH`16j z%>)-?#7h}yXhC-2_^_cF@HI=)(P}m^oQU3}0C%#zMZ)WO0*Aqt46xgfT{seqvZjhp zQAXAJ#uLJL3v1kN;*sRp7AQ^JA8nBFAj}i?@VkVzw;U{3zjkNBusM?((@f-0L{tyMiG zqbDK2GOk{&PfDJXJOeK*1H&RdWl%T2msy-L+84&nWmA=4DytxJPzKuqr3ukW5N52_ zQRI^Rsc5Q*Rk!$nCd1Y~+cL=1k5MXWz+9}XMFWjZunR|kQPfOW)L`?i#p3V`%$fln zwV4rT)^oXeGO)ybx#;n^$obTqEYQgXiIl}$mi@e>n*t`I+N&#rZ4p`*2?nDNoTy?W z*Tw*;iv+{CMp{+GiZa;R4ErAi`9Fk9R!_jg37n~v1$N<>8bXK96E-vA%z7^88So5D z%fQ;Eb&~M6R;`D7(5mOQS{GWnH(p*z0wncnGIm=u(AWfD_yI;yGhtD$Ci&K4xe8t{ z(8~h5a4Zm|OKu|rOud=Gw05j7154bOOCO)Sq>q&(k+;KpMdVx=YzveoB*FxtT1kwd zDTk3nCQgiNq*X<%C|F~UVbpK_+d6IX*KmW}XoqLOGvFC`AsJY{T1%o5$x>xAcUv;R z?jpe`YqqO(5WgnFRO`aq0iE{{AQZoYiv}9I2r!D82}?O2g9mnpXTURX$iUrdGI76} zNIZ~LXCRkNQ@|wbNa)I7TcGriAk->}HT2~6_QbKQsUlXCy{8wliITxmN1^&p+1_H}z8rO}gXLa+)-@l*s`up>cl|iH zU*iXl$IOT`>pjUWr~K5%Fu9JeuXL^^l$x~?z$`B*vb{xMFx8o646vKv6DYtit~O#t z5f=u$F_09;hBA;CtD4NYvX%^%ihmbb)2t!l8gW+!8oH=!27J|I9@R(DHp94Du~De` zbL=(2QaP4uyZpfFqOMubM_1Gd8_&Sa|6=B5?;mT`#<1a?M-#VNRg%2wmkgGQe-~NP z6dQ4kxGMt(26H%;L(-bfs3AnBq5HuzCy49NPEzDqZU}BtJ zts_IKYu582ZVu1Dycvk|%I(!Qybdpr&G{r3xG(XN=q_?Twc{l^IX{tUUoqskaJ8m` zW+jO*R28D3i@IjOw^FV5wq1)+aJ~6|2$zIh0}E_#@oceSR&x@N#!t;M_;v>C?LiqS(C<2yovsd6mW z_Wc4@7j?~gK8DV^(oXOUY$^l%3(M<({JN*ZGtkXIf}tu&UiC``OU1v7tZ9mkxJKNS zfrc*XngL%^a*zL4h&IExS}}U)LXAn~SX{4Kt))K(wJ~h?S3Nd%r^n$YPSi}xt3<)@ zzNO;d#2c|@O*6s87;#qy8d{KDI6iD>27JwubhMgH3@4&DDZrg_^X#-sBqo(B4=PJCmq)NZVI!f4L4GhR~o1gdK`*Q(GB_~j9}jgAdvpg98~ ziBPl0wm|72K~QBgcUv;R?jpe`YpRG9WmIi4>?&hhMxoZvfYGdrXaQpwnmfmU-MHHL z6s4o-=B;PoaWx4@Jh+aNGcc|uCp+9eF$~N8?GI$q2q>77KlLL%s@BoaLxP~nrdMlK zPs!*>2(XN+SL>6K=OoX-3(LT;h))^R&F^Ivr;PT6adX*JC78-8h#Zu`wm@k@v=W3F zt92B)B!4QJDq__wKA_34wa>N;GWBDWiW)E%D{Ij}V-xJc5nvQG6Baetd~2~dJOi_4 zfJbd+#F_P6Zk`M*abGTad@gc6H75&nazP?xF_&dOFX^U$$*A_~%3xcB)55M?p9L58;xOYv5slPWbEib%Q5tX2hBGT+TD#8JL!V zwN2|J;cu;45BH!|&uz6Xv~+L0ypjY+>eXcIwrHTS3BK?HjG|`3qFzn%t;KQ`yk4M} z1$NG9NSL+~tO@^t~g|`Db?;$`aeg_u~G<-U>XW)>5yVYdkel?MJ zAgj(mE}N!+N!XFlmBF?^=^;U=RT69H$?fflV_8#0tSEa=IW!qBuv%~R+nSrdF)*Pw zi%F~5U15FeWlpxY*d<76S;^g&46vJcv%7C;HrFZ?Ee2X6?qv#`6wW~zlL!)G{)KRGZQ?|Frnr1T#aeIraGSJY(y;$p-4`NMh zIbbN#b}jID%#1j*-jm#N%1?a^lk52UO6O`qsaY!l%<_^V&stzG)tP1tu$$l$D8MkT zHey8)7Y4jBkQB#;GLRUnn#{SfmJF7Pe-~NPtRdnWaaRT!x~OXgeAQ$g)ko1b!?;?p zQK@~qsIhJd?{J`p>u367VSJVj`&%n+9V&-P=A8Xadu;HCY6SrDblDz7d43>(2 z7g^I38*z=eD+3K()HMUXrsN*Kh_)HV)r!$W7ivr@$KvYMx|=^Icm_NJ%?zZbwPbuO zHNKO!bmp4|E9pj&7`LhLXs{U3NZyT9@^_ z)idB3n3RDyiG3RRqG>G!FVPJmffH5Yi+eD`0``EnZ(CZ12J|a9ReKwR3~z#<9K(EO1{g zeS8vGQk5jH`Xz&<;@<=jW=&IU#29f`1{#_$^^{H$F6E(&>M)=LeilWFhMWJkmi#a9 z?2)TAgS+nV40r}S11}>3iD8sWT*+Xm_;)dPwWh5oBe3>*GckB!~wakz;SHPiAc zQ82u3srWbXMyy%WOmHzq+?9cb7GxKW4;z{RU$Z0~t!5L$iReuVa3|YaB)m?}EaVPk z7mfs_tf}Htlu_2~D)C5;*h53`mV?^N!nQzZ;(oWwnh(O%n^{PM*|4K2w>QdbSwmAr ztknC;b1~vvLPt8>z-6$U@=?6;==_SOfxfB}-xw^l8|$4gnltT;mlQsM>YB~9Ds%&W zc?52wV?!Be&VWcF)aP1eun>lt`lO#%`RuH)nkjH}7X4!2JX!?J(-1DP}e3g+Zb{fLjM zbu{#lAgHqG)mqh4GI|mMEaU3c`lRGJ$usc6GB7OSQwHUGnN7*o%DA~~suE0P6+{lo zU|XOxAzBH-jMX}dT#`Q(O%<`~79Y@L*xF}X2ATRXN<|Hri< zY`(Qv9G-z$Gr*%ZGvds8E;mmGmbfn$Jw6vXpPG{eI=L*7vh3$2-4rkx)m~j0Y>Uvk zNH7?E;6xQ0xi$t!T_hOBHPWggRurtD$uPC~=KmqwVbIGT9~S6hfn7Lej*=&AX2hBG zT+TD#8JL!VwN2|J;cu;45BFeNwLa%R>XlcLz|)~#O~!7E1{#~-Eh4}uY9=h|)g<3q zELXwn1$tRv7mfv@bjjPxKs+O4rrykuX`N=4bh#Naz;Zh`E`5CRl0H_FM1+U;ipaS# z*cK>FNQ4POwUQV^Qw}4EOq>|kNUMriQLx4y!>Hf%!Xs zLOlcsyiMi7j@=dwG#YYnpJxG2*NYG&CW*a5OXnzQvD{QS=e3+EpU&p;0ne>L^tIDcf6Q zO|zMWxV^icUDEzgi^Csf_wgw z^08?-9zo??wzmkFsx!?PDnd`qccK`$G_KDhent@&2D~wl6vu`#kQl3)%(=3b43>(2 z7g^J+A>tZwR|XoosA~p%i}QUHZ8MCk6&r<`Kh>C2j>XL`KTWOCSl6uQWAvT)lQgUY z4BD|^2K*N@7fkM=((9R|iw^Tx{G6RfbYUu2D;&~i%eS<@67 z@s21c0}Wl&H3Pn;wi(9NiqS(CYD_AX;=2B7-5sA3UP=az=f=AoQ+T)C-&*f! za=?$1J(hAvXTE8$l5P}zV;UQ*w`2q&CC2 zS}}TPg6%ELU;{3PXLNeCj(FBJ>-nJ9GjIs>49~e;7_?(u8Hfvnv*C4kfo#qvxxjt7 z=<&J8`P8&5(aHIVO#AOUIZmn8AJbpJhqasnaT1!9BqE`z&?%ast{LzjWA3% z2%a$tt~dV=;gW-EfWIl984ok-%`X?{m)yS;FCgoZo&nFmX&H#8(I&CZuh!Cy6ud-l zrUXY?1;$Wl8JO*3y|Rj5#CNQxiHnm^*9`bqs&&FPwOU)Ty-MrAr?VQ9N~IX5e_QXP zsB6~q(Ta0k+XCBLyq-5gSMv;v8DL6I1haN-$V$cBb*wA{RFIi*{^K)vCgM?*B(M4< zgQeo%1pQ=9Q*6W-aaRT!nkbgygiCoSqxwhmBa{HOC{i@s{I|8_fBLgG-Gk&U0dC(J zIMeNnp4`PV;2H1?ES-Tw2jvo1GTi+C5-x+1MLful3Dw$C@$Z6a!MjR=sd6mW)&Q%E zx@PN*Xm~U0iaWtGu%Qg_FD$PE^6Q>g!_B{hUoBJX>nIVDsw8>UFBvQq|1PqoDK_F7 zaaRT!x~OXge0eAh1p^2istjYWRQ$V8V^TR5*Q-`*>5oBe4Cnl+$IYiGoil>Dk z!p}a5Gd0ul5>PO_Z>jh<@kXp!(@bzNM%XqrXEIlEo*41 zh*h^;;n@grHl-&aZs0OlPWdR_cyxZn(?DO<>~W4UP6UHq2f{kSc)3wLu4ZV-3(%x{v7SjfDeOzgVOZv- zNw6@k1FXttU~tPzx+!2H8Ie^6+XAJB1fg0v9cBoG&aF5 z905jAGhtDK&9@fIbTH_3Agm({kK#B3JZdu|&aCHh^JHL&`*P9abCL6@Ia#2S3lb@d zxh(s6NjC*dMzvR0hHo)e)j~rL2?C=JoTy?W*Tw*;i=V`)47LSI6B1#9P^~1! z(3HbSA`>UZHPWggRurtU$1v(Q|81Ryl*z!igs`Q-`dB>!o`IL1f#s{UWH6CMRW@_C zB?Ig(5{$BDyIKeFYcfo=F1#Jkc@F_X@jJL^ps|Ypqo|p%l=CroV0U;1JOhUe+^r@P z_p6D-16g$ja@jNmOu~+Yt_-#XN)HJ_t&&(nPi}8d9Lt(2Vnx||%Av`4fz^7e-`3px zje!ZhSxj2Z?h5N$FLScJ#V$co%S!IHWPshoo85g&v$<2A#7~Cn;&i>18Oqo%33m5D*jz$O|yoGYs6g{Xy~G@8Sqt;c~l=o z+YIAs#YUm#&#~78OXXOu?eYVwi@Ih#A6-!=Y&-)u|BIQMy??A#8^eZo9!=b8RY~%y zUou!K{#|5EQ*6XF;;sxdbWzs~_?nVSc^nZqsWObgQb(cYPc&^c|xFqBnSYUgLhik-rQ6KRl z@3Qmx)4HtZt)2nTz@!YsN$k_e7fov^c!_Qh37n`BC)dVMXu+?CdSw;Qi1&rn8i@%A zaMfVP{)+}nh1LY0&ZB06sZuG|)4#3vQ7BDxSA_+(w|Kp(0eUdo&H%G^ZmYl$`xu^Q}H1&ant zh1SKWnP93M%k{OZHKW}e;^s!Y?Exo-uVz|ac?yR2EfxPJ-iS48nh7q(h`Tb-(1Ps3 z@uAjiv8`=lI1zh8<10y-{AvlxE-K+KjkBhuq_3u$=Nyyz%J#il>3T7JW&%lMd8M zkSF8eZQ+cU6h48JCZlk820R1%3@l%*rJF=oYBJ|;O9t3oBp6wYdj?L*z~f1*Ymm}m4ql_Lm(T|*)AqoMy-DP(9#z12i0mkUs zWjnz$;2C(y8DJfq2H(qUTE14r&Si6~B#H12FE5dEWw0$!nh>o7K^4W^ZOH(;i73_t zBWrRo0cuQHWX5V8MJo`{SXm<%W4D|e1C33v3rB!4x^nLD47`&JFl%N;oLSH1=E=Yk z_vNC;=OX7*bFx4umnBk`{aiN3N|G4OA=16NGT0XAJtPQd22RFe6S)u=!_eG0ChXa@ zLw;^nW6B}~CNN*pYyF3Chj3SPd{`is1$N<>IZB?NnGt8!b2-m|XJA?e);6t^gzwe* zspQwHwXNU%`z~4m7MdoqZjGCOU3a=N4W`SKe7KqX%w~+y+-ppWHJJy$h zCGN|mkB_M&iM$=&DunaB&85*)#=Aq$BdmU|XQ{kRYg{n7b_*V0V#VWX&%9 zi4f#ejVX)Ns`WYF*3tXfp9o}RH za@ia!Nn#ijFhS&?47LSI4+(-Qin-g80d^M&M%L`oH9?Iji@fA&z0Ggy!*i@}zF)oA z4CH0SOmG=;WY|ZBJJSN84cXpem!POcBX?Uez;5Er?*5r(lm6V8XqXU=p*|P1*Rs7u z9^z2~hi-))aZv^unvh*Mv}TiSjgKtWX3vVZ2cj`ps+D}+BHLR`+?V6Ig1Nqz7Nuzz z@4SJ>V`jve^`7LGQ-10rKjp_w*Em-bO3hm7-TVbWp`{z7Y;O@Dji3LKi?LhIje*7{ z_yh_tMpw>H1U)-E11~uPiNUGKoGWX|V5#_bku}H3oNzfT?U8e3prH$;*=1`&;Bs6M zA{S%-oEt--1zr!MW`d}4EZ26ygw=)8?6RHU88{^a{)?HXWND2Y)~d}RZf?Yf0VjT{ zN|LK!$zZAYcab$!vGHn6;&Sr4S%jbxc#?;cJOiGA7n*@Id0dZ^J(hAvn|#x_D4PlI zTrkvEWyxTv_%{*7I(M}uV5QlNz#0QhYOrG!EE+5oS`%z+Dp2shXj&KayvZ}*8JLuT zIEj54`J!np1uxN!Daw&nfiV*0W z^#a>lyzZvO37&y#Wq?^bH<;Fr^<`jz`*P{ylgN^)B)PJd43>(26GWIbO#u^Q#9bL^ zXu^CJH`&jOGTK0s5gBu73@_o^nn5`{1D*lTfM?)FGmwaT(P~Wxb60DlNyDj-7lQ!T z6y<8Qw$zjQQg0wC$Kp-w^8~D;!&82;OqwKcdM7+XAJD`yY44D2(oe6^Nt5?!gu zoVzU$b!D(E(0fP_&{nWKQklFtmkr` z0nfm+46Jcld$oQl`L$|o>v#XYi&lVzrpa;9Kw}fUMFbe5Cg-cd>jk1&U>A-BqIAh^ zWPqtRGnm$n^<`j*`*P{yV=74^Z-@7a$hrCswJlJZkO&h5Gy^AuoV%5CV}R5IiA*rE zD;E=>#*{@4xisqjZ9O5iPW23U20R0vfdw*<%cdz{(pjWKWw0$!dPopdQOw1fWgssr zW`fH&B4ar++?f^#ZOHZ(y97lo8oAq&0d^B_cK6RToAl?#M8kw|4E4F7y_W4Q@(_;_ zICLxYh>J4N(1h&5p*5RqYkXv}HhWgQJrIq-Qmy3k7TMk+Z;+w3->B}(@m#@N-%E?q zG>muNz~eD9;>>zaa?2?{^^u?QuDXSHY6O zQt|I1YpP=7)tbcRpQnkzs7jK*SxW{>#lHz6%$lm$(5)bHPzD;Bu=TV_*GB0!&|*Z!TpGhm z__k(Hj!k5M*|t3#R+H@w+(*2b3?xRnXtkz;xvRC&q+tRATvL>*)!I@|>Px+Ws2qzs zoh4+lfr~DbW|zHnLfCo&eEf|J@GmT{1M=&hXTo2?&rIA+x+S8{1w$3ElEG5(@4~CK zPMjpnt9AFOae`-HS_TsIlUK9%Ew$U-8)3}6n#nF4A8O5R6q%5whZAw0_R=rgTO_4dt|q7G6QMys2?YLEai|6b8xzTnC{{pH<|%f?Cn9OMlPGCfJr(c zDNzR70;PupK^4W^ZOH(;iv%NUcIld+#*{@4D}}AiwnfX8uL8|uWsO{n-EwXWGTM4VqirBjZF|&fKk*;Sk#M0zO`7c zg4YZ5vcN7J3qHaB32Zvpvkbc*|rQa?PI`PtgJ-?ja>v7Ma_go z4L09eEZbbf$%kV*8OV>knc$LxNFI(1ccukG8?wE{E~S?@`1IpwE5hBCi-8f}? zivX!I(+Y;JB@6vwA`{RbH zb=Z%3e>6pz?+aiIg_eQYKGrL%_?_`FLvM9Wuh#9m;a1PUi_1WoJfg?R9!oi-O}=Sd zl+A?qqSZP|2hB-Uo@?y;3Z;0ByghYlw2D_p#{Gl z>XlVIBi^dS(9lI)GvKR+GO8asD-8CDQE;_l-RSsq9yJqel}fpu{%yUFqOMub2i;!> z3v6%k`Z|$&FP?!DGQg~z8?020^<`jz`*P{ylgN^)Bze^@87vk5CWtU=nqniyh`Tb- z&_uBmCtS)yIjH|9dr_eTPKqK$!_9wNOa2#l_Q=(m!CiNF20R0vftQhi#4ySwu4J%O z{JWUDTGL@&GhnXPVqOf|4C89W=%EYs2BLB-uDrw~B(i~vF6x@~eE8p6chl;G4Q7CU zVR;>pf6d(C8R%vp!N>(e)v1!fQt|JiRY`UccU6VDdJyWG0kay)sEz>y4poLRSStQq zjJ+n9D#vnt)oLyMF{q8)-? zL_-;9XhC-2_^_cF@HI=)(f_78F`S6rqyTray+y+7c>;&QmJG1lkX<+ujIySRPf>2VZ#@H# zt4ToO!F8ORfpIlC+2QtyVOaKWe;|`aK*5~+sUPuCwT^}!5(HH?y;`e!N=8pYfMs00 zTA!3WCwT^5SO$hge9EAFFS9AxS{XN&O;v)atb)iv8EgxbCPXVin6X+%kxTNYqNyTQ z-Qok93|sqb%OF!fMyaR)bFs1(4Ky~vE*t?yQ8QstgUz=Vi^DT8YX*4KW=5P@&*kRH zz!LZ6qQ~bV=TmdCKqnU@QWkSr_Vbc%3Yd&)udWQXMQB|l7>qt}qKb`N8v~>+5)9)S zX;l#`%3y0V?0*z=^ZyVoNx23d7U+b(j$b!;!e&OCS1D=6t8Ccu2P7?mssx{-C zTCHvU-itI3@=6jQs8^HmHKJ&su?fEL1B{|(!lGVH@~y>k6}(=cmj!m=SRhK5+(rhN zdNYG*?O0z1mbfoxKR$8DPhQf;N|IEX3_CuF60|6Zc}RYd(lIvE_iF zNZYl*12Z$?%z969%PBwgG0dUk>noi%C%%0pu`S&=*R z1{%7kYX*Ex$vu7%Z8MCk6{CkP)R40r~b8AwfQ$@o}md?#(`%r^~I z(v2c9ZdFNg6)YJn75^rpShJ=nU}A(Yv04*wT{9qPO73;5HQQU5!RElkIK5g&hE&(A z=R@2ao`HEY5a*TKt8I84ULc$ENiJ|-;w8~tq9>FW8cnU!@ugWotvyinz%Z7HGAJum0IcIjWpI*9x+C| zCaq?&3&)2I&46HKHN(_!6=Q^d8+f*BjvI|GztgNXbR+PcJu&cx) zIbshD!CMY$GYi`SrHT99E^9srQ*UM=4Q9iRrrh2ruVoEQ6|qw9E6>Gka08dY za>_^X#-sBqo(B4=PJCmq)NZVI!f4L4GyW$9K7s0*&84#&?+YYw8yy?UKywB}5}{^~ zZGqB5f}qM~?zUur-9>^?)>IKI%Bb38*j2{1j6$uS0i#(L(E`RWGxDL-iJp-X`dchV7<~6>prISQYs%(0-KCY+xHzIP>MSx*k+ts=& z>IBb#XJ8{4xcR-z;zn*%X_&cen$JyP?-Qd8wxuMh+N4S+8fL84QRI^Rsc5Q*Rk!$n zCd1Y~+cL=1k5MXWz+9}XMFWjZunR|kQPfOW)L`?i#p3V`%$flnwV4rT)^oXeGO)yb zS>W-RXRujife0^1zdYA8M1fc8F=X# zSlhHtlJNWiW%dPoo$ec(iW8p^dXK3SKYJ%L2P_ED)tjZX*NCtC_*%b*wJ~ zOWc=BAD{ZFFZqk)P*pbD0;LIwFhM9+){+5s6P@a$B39j^8s$%uVbpK^+nW3@@$5+= z*Nm{{;5;_ZfM>unFf9YiS8ItU5tAyLx!aNfb{7doS+iM-cR~D`3{$NOU)yxvLx8~B zR37ZuZP7qu7Xe05Ghr#`WBlJ0U^qMjo`HP^?pBkB`_)9^fvh?MrjjJWWz`o%4r7T) zTtp74g@zsygjyxBhMwHso;a2@ReXxF_mo4E@dB&$R==$e&+&NMxA2n*y;)3J&F;=v z&18FvT_U||S;^g&46vJcv%7C;HrFZ?E ze2X6?qv#`6wX4K$O2gZO*%&PKz-;`G?JcsV*~~)x-r}naG&FH9*1G0{SoOXfqk2@~qsIhJd?{J`p>u367VSJVj`&%n+9V&-P=A8Xadu;HCY6SrDblDz7d43>(27g^I3 z8*z=eD+3K()HMUXrsN*Kh_)HV)r!$W7ivr@$KvYMx|=^Icm_NJ%?zZbwPbuOHNKO! zbmp4|E9pj&7`Lh020a=%PIT?sA zXDBC+Bz%$orsz6|3v_cI2)F%(+x>!Ds*#WUi4g=(GXCvqqZ zcI+Rk$^wQ$3#~1X;88O{RH>Bf>EG7-DC(M(90xkT78cmv;`KSP|9SZ0Ic~S^^E9n* zeI#p*Kd+lJ2FP;b=x5+BWZnMa75~ZL1@6mPkI$=*@5WFPSyGiGulgl}rQ+WN5oS$O zY{VFGR|XoIAo{vyz}Hg#N16u&eptp}w1yl0w*IU8p^~dj;fr6C;qpvv3SO-@b-TAW z*iF8Kzxw!Rlq!%oELyGUpk7(U7-3AS)_Bx417=%`e@gR!zz@q9jMh+SIZ&HF)RrS*_F4gB3V^$<_L=$oLY2{NQeLrJ7NPFUo5TZ#J6()jDlz#Lou1AAElORTEs8 z&kgUJmS=K>%QwO6&S?&hhMxoZvfYGdrXaQpwnmfmU-MHHLG9|sYr*~vum^tpg@TC_vq?3m8 zG_6mfXVPOnd)Ft42iI|O2FBIoWQW@(Qmw!Up#LWOZyDQxYtEqj&DAz)X79Rn*GXzU`u zC~77wd9a!CE&ALZw)YYq)Qbyj}e$76|a@3*4G~F=02Zk=C&& zCN45XsGU=%eImOR)e$}nJz%j02z zF8J&Csfh8K-_|63X2kRKUhKE^dFgn$UeiCRUqUWe)UJp1OzWX&B^mm5sx|le^?tmy zdVY8sY;CqJ-G@fB0wgp|j*A8wn;?n+qo|p%6sk4N*TU-sI$B^Cjs>E0$#6hD_@;v!u(-kO7w4xpDU6^U>gGDoG;3!+S;KeEc9xTtp74g@z`ip9G;=NsOT> zhmk}kPK;}$RmG<$SYwZ2)NlCP`VSc~xtjj$P50RMwZI`v)#L#u)g%RSi!WR)VC;X709RfZfGUVU#she2RikkUvd^sn&(J1B7}A5O^obgB`mq z8ffeyz$j`aENZa1+hM%e9i9Qtz##*7tI5Ru6(hOfm+&iQX{BA|C4HknJsY2}*UQ z8ADr8tZ#PrEzP5dpLIJZCw`b-Bdr2sD6|aB#!M@p-9`czymWg;>>zaa?2?{ z^)bw$YDX@oGAUAMjFYB4x>s}~se=!+2ev^H-g9`7q`&;WhO%C{Rvd2;m>C86` z7P^fhF>X_oBdr2sD6|aB`Z0>BSxF!q{~ZID9S~PtGvIALkdIfSHp94DF?wi%?Jeq+ zRgBZCbtJC3W<4LxKStSbX?fpD1Cj`d|=f%_6K8RbRJr*^zVC+8>f zXZwng2p*BZiK+sKEd?(T6C!~VRpR8@7z!=; z^-!;@;u-NCt7YQiB-Awn{%Rxn)Q6p z{dKUw_7<GMxb*Q!#G@)nUiC``OU1tlBFvhm z*oZOWt_(CZQ7pv?m-0|X^}VQ20w+b0qT%MhttJ1{pS|fGByS0D`_90bZfEr5E}j9; zfM;Oo3?w=zm$;JQ*8i7qMk|YW;OFVp+EVfFVthwPFjbD_nwOX)f1V6nbWzuAy%Amd zPS*{~37&y>mx13nuWNqYaUGCf_q-Zz{w4fsnOa{*iI7w!$*X?JV5#_bku^=R5!Z;j zGSJXPT{GZYt;HAvhbqGuEEWGQ)RT&ZaO6QDVJ#Ta2obaK2;Oo~n_1WvC{5h&c3Ja5n0hk{X)qghH0Ab2 zc`a*bs)&_(UwJM@oJ;6Pha0#ImQy~8Hy)i|@ifp^b>crayt348tarj_&NNn;giM}- zTr}~%DAqNbYgK3pzd+;tkp34qG9OYc1I-x_@lvzLwm|72LCAAfEfpmL>@E_FvZjhy zQAX7!!>%&6WfW@t3>ej5$8L)T8oLNEikb$#&v*Ic{;)`?S1l+K30-M^I>q2b7inCPZfKk*;Skz$it;OQ-4Ae8Qo*K!_bex=l z>1+SZ?`4)wPR`3C<|W+}Fd1uPyvkr(pfn*BBtfWF5@Tq}VT|%8$)Ad*idd=R1DXt5 zn{CS=Q$I#o{{rS>Wi1+LY=T`l0*s<&!lDM7Z!MPTV9@J8SVtHh#c>9B)MiGUS z$-ol#<)X*uBIi?cvOp*2Co;-nF3Wyi(oF%AQSH^0;aiMVwb0N*g23nlC#u-UwJ|{I z;-@f-Yot}hrzpFKt;s0-M?p9I58=lBaIbZESfHZ?cHx*gN}jNp5ogwOxfhp#$TI3! zE(2?u)=7psv06v6qpBedJtPRKZ02rD2H0IB7-dZrpP~%oeKvw;jKY7yJN?_5SCT-4 z>eXZntZ1OI38Dxvikb5|*X08?*fFs&Wy%fJ%%<|?N#u=HUl2JcgKdG*ghZGiR4a)wH03ao$i#_pjkKzW6=f&&1WJQZP`~+a>og2X z2EHYPEe+Pk>KX71yz~q#U#%sBi7cwJnY%3+V0V#Vlr@{RcrV;!*mnWjvRCOG0`}r} zaM3_x7Xe05Ghr#`WAMQ4@CI~$vX$qKx9SL0-Yzveg5`>|J@Y9=gdu=&o(f2?X(iM)qK$zZ9YQ2nQDZ;>_4W)|Z1 z7FT7Up^1C3)-@l*s`up>)tjR88hK!5Mx0siNp3mir#^-`bbNiK^XA02uOzmm8>j5> z7a&z9X_?eYVwi@Iht9~)f8x@mNR%D~P4Vx}6+mM&PUHiixF zJes)Gs*>bYzhtmf{JY4Srr3yU#9bL^=%TI}@HHj(_(inMFs@dN9=cFtQaKja>s9M+ zoKElzcm{4V18H*9kCQ!?a!6;sX?!s3^|Vx2Yt~H!rz%OVf+d5c;@?CRYt}RcOpFjF zR%-&TYX$^O$-Qp1=5LhDU~^z%oL;RXL#k`m^C51VL)zSk8SwrQxz5Pr0^KmJ9qY@$ z0{10eGRljbPwjY#PR>tc+E)xYE?lkYpjk;G3{{0_=%TI}@U2wqy=~WG6kKoqAHpRe z*8qQ0J~JN9(@W=1jHw;QsSYRbuNVCZ!8pY;u)Pe#1%`alw3dRGhzXIvi7Ih&Z48AL z{CcQYR`HB@U#nUtIx7rz>>sPj0)|2htu65BJZdJ0DwT3Q{o8sUMP0L*VnzrT};<0%KJOdYGAmLjs zaV3ML;@^cSn+X@X6+{lxsx=;U&49UDi|MM(Fs@dN9=cFtQaKh^`+m{YgHYG3=i`!h zx|4!(l4sxsGa$c$wi3v%dpbM=-3%lcs*>bYzhtmf{JY4Srr3yU#9bL^=%TI}@HHj( z_JYpVDZWt6RN zJRyv?u*U5s9!Z{UfzrhNZnt-xurEhb52L)6H8fSks@tycY=k(Q(vuK3a2YJ8d=zgy zI=|v+ps(u0HwH`X#(F1==1e=|C52C*x@L2&3f+KT9)a8F*iZ(VGa!-(HG6CelpYcU zRW@_CB?Ig(5{$B@ida!b)h5HPGPY$DYW)lt&ANydFovPIa}3yxtBp@lI+||YdIla> zlYqp7>o_?B<7#rU!|fBpuA zJ}G%l@(jGN3=E6-ltJD6US@I1XkQpNmrYfIsjPy?K^bfdlqN(gL71^xN0CeNr=qDM zR^8$Qnhaa}Y|9{1KSrsj0dujk77a8u!7nca7)8y5MGZFJS}YFFz^obIQJWcYW<8gi zCj(2|mx~^si=0o*$pW2RkVsj~W!cY5x+!2Xs=c~0*cPF6kzg?Tz=h7`TdUT? zJ!sW)TdfN%-5W2jBmt6oH5t1t8fa{SFZ=+bsF|>+SCf2ev0MeO7wBbyT{sqqaxIbz zEHP^tT0M?bH_dHf>dg$MwPSr5SmM51`uOA}eXJyjyd5Te zhmk}kPK;}$RYj~QSYwZ2)NlUVI&JdTaD&`vhiAYu;2C%!8CbqrOQI6VQe`uDTQb1z zBEcwYwySjzzb3;}>%!Xso%awR6u*Ou1{%8vFp8Q9OF19Ic(FS?1D=6H2JTjqiTl+= z;(@F)18E2=mrYZ^#2(=&gKdG*LxNDNB-YTA+uIYzvZjhyQHBvc8~zT9p7-iOdR z1nkAiS~SquMSxM%Ojy)V@~y?f5H^M|TdUDP$}`RIx| zVdELN`CrW3`2E||@L2*khqNE@{-`QRUiHOp4271xOy`g_O|cQjh_f=#&_!J{;A=|m z@r!7iVO*^kJ#?YQq*5uaUaheJV4oNT*PH)`aQ6wj!eN2!Egr5A_GMkf%iN3J=TGaRoHu#~UPK1c^(IDK zAYm6pF9k0V6C!~VRpR8@7z!=;^-!;@;u-NCt7YQiB-Awn{%RMd+`jMkO5}x++ZDYtS)j21~`ii@B>c9o97i=4vhG#h}eFu2zg5x)|RP5=@n2 zx#lG%$)6_!7hTjf>-jj0x2x?0&%l;4z^^;51M=&h4$nX%1HZnvC620+Yw=)mr*vP#eR0!=tsWQKxMU zSC4i-cs0}VDj~$@hWAa2Rx4e-k;bfPCb$?QUdlj23$hExhYihuuUV3gR}I1ILAfZc}d!jWKF-0yZ-^Ff$; zGYe@j8+J71_C|RvYiO#7m3m)!E=HV7=tzefxD1w4K8iOUonP@Z&{uWh8-t~GW4#kb zbEci~lENoYU9-7Xg>Jx3@C@8|2AVS@E_FvZjhyQAX7! z!>%&6WfW@t3>eM2h!!x0p}BJm*o~`=PfA-6qo|p%sKJi6 zHehjh24>9wkJ`+LGwZqBJQ-NxzFhS9T;zOeP8R6ovP8Z-EY7NK>K zU@-c?i7Ga7Z48jQNHC0Rq*X<%C|E(0VQTZu|3kRLpqD>BEYQUQyKu}LB~RGQh%@WC zoM*r@Ff9XXo7PFf-&(aE?!mNbea?T>E3YJhr$fD(jNKLuG&aFoM1WD$Ojy*bNxrpM zu7cMK^s>M%91BG0lH14tQ*UN4tsU#jz!LZ6(#Iz+>0>2H0k zo4MPP0d^M&Mp?66t%LYA8Kznn-VW%zhXA4Y9b7cf*hPR*)J#~)`54BF-QgMV3>-3W zx0+1cuO<=?WStoZLs+?NngS;F2uB%g3zQxbgjyxBhMwHso;a2@Rm6%ijOf{zG^6z8 zZtM3xgw7#gFILu~fyOQZjG|`3qK1-hEf$8bF@zx>8yhuueY41qyjcPyC6Tlo8SYFA zgf?V*i(P_JooU9<78L87-F-{*DB@?`4$6rirq@WTz!(ZG1G90I?JXu{wjni|WEYNx zW`I^)=CVIlwW~zlL!)G{)KRGZQ?|Frnr1T#aeIraGSJY(y;$p-4`S8(a*XOt(RqzL zFf$|0toJ0hobpp2!yG!kzS4Pf;@eje+tQ6wwzmk7sx!?Px{`Oo2(XN+jZaa;g#m92 zB*n3z3?#;CigKh?U<`$pf!RLJU9IV@t{E_^$viGy7(n1qWf+5{;@`#CYl5j#Dc5%S zfz?G_vz`zCk9WFh-8jL2G4oa*0M@FFVZ%F*CT_K=Bze^@87vk5F0!U6HsTs_R|Xoo zsA~p%P02le5p6S!s}-Y%F4UM*j>Ywg)w&y~6FdW+fm_T#njG}wWRImB(wT1>tfU)7 zV%(~dK zIXnaNW+2Whw^!TnI=nzO=aXFEzQjwSyU6*}j+f}<{6waG#gOB|)tU~Pl_bJYRfvWz z>Y4%HO10kGb}dH1_2&N}ToQ5(@Hgc%<6&mK`Q_sLlKYq91!P^)GvFCGEd%j1+9cNb z)q3bA@dJ^-i7Ih&Z48AL{CcQYR`HB@U#nWvS81?g|5#NPFcey7ZGlhcQ8PhQsg&#K z-`4vm>YB|Q6Tua*z~7L+zCzgEg=gTj3@~fw2FuMv>=&hpJ~vNaBKNJNoN-@Ta@Z~% zLPA7UlDz7d43>(26GWIbO|cPU#9bL^Xu{M}I!U;chcc?e0D(hMq-gMOYtrKI40r}S z1D=6*mVrdijh<@kXp!(@bzNM%qh=^2;|DKoeZ>Qz%G&pHG6CelpYcURW@_C zB?Ig(5{$B@ida!b)h5HPGPY$DYW)lt&AK=)E5^{0%C^9nakcR&O7`{>&p;^ykEOa0}9J?(VXzU`uC~77wYOu#S#^~@2cm}qf0pH8)hM$1Eq>q&(F&I{TLFAwewgpNP zqLm<2D~T~QH~$ae4uf9)_^?11{B``g!4oz!;>>z3=Na$}cm{4J z1ApuMNME1tIP+u_5VcXL($3$c-%vhzbkwdDi6(i9JDI|NT~01)+KE;| zYlqfHXzlL*?azNv%NJP%M``-#gMak!D#wLmF;qG%L4G%Tm+-mA`WqZB8{_}?^MAh( zL}ftFLe;EVqBZM~!sF!Z9iIom7;AVheB&P-?`IvEnUa~``1#LYk9Le)b>ag;qwRk#-;b+CM(NpFi6rxnp-y z6Pfm`seiZ&fA7~=W#b3CAN}9E{l+t_x35@uhbC6u+=S!DuhMVInofPeQT|W((zMt5 zc=vz)Pk&(L_gaIa*4i)ZG!0r~Tpx^0LlDU4rnrwwH{~9OHJHVC_bVJ&jhhH!ef2N? wz4x>He)ax1$E62!#1g+J);?eR ; -- ; -- ; -; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; -; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; -; NOMINAL_CORE_SUPPLY_VOLTAGE ; 1.2V ; -- ; -- ; -- ; -; PARTITION_COLOR ; 16764057 ; -- ; -- ; Top ; -; PARTITION_FITTER_PRESERVATION_LEVEL ; PLACEMENT_AND_ROUTING ; -- ; -- ; Top ; -; PARTITION_NETLIST_TYPE ; SOURCE ; -- ; -- ; Top ; -; PROJECT_OUTPUT_DIRECTORY ; output_files ; -- ; -- ; -- ; -+-------------------------------------+-------------------------------------------------------+---------------+-------------+----------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------+ -; Flow Elapsed Time ; -+---------------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; -+---------------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Analysis & Synthesis ; 00:00:03 ; 1.0 ; 360 MB ; 00:00:02 ; -; Fitter ; 00:00:11 ; 1.0 ; 535 MB ; 00:00:11 ; -; Assembler ; 00:00:03 ; 1.0 ; 382 MB ; 00:00:02 ; -; TimeQuest Timing Analyzer ; 00:00:02 ; 1.0 ; 369 MB ; 00:00:03 ; -; EDA Netlist Writer ; 00:00:01 ; 1.0 ; 338 MB ; 00:00:01 ; -; Total ; 00:00:20 ; -- ; -- ; 00:00:19 ; -+---------------------------+--------------+-------------------------+---------------------+------------------------------------+ - - -+----------------------------------------------------------------------------------------------+ -; Flow OS Summary ; -+---------------------------+-------------------+----------------+------------+----------------+ -; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; -+---------------------------+-------------------+----------------+------------+----------------+ -; Analysis & Synthesis ; timmy-Aspire-4750 ; Ubuntu 16.04.6 ; 16 ; x86_64 ; -; Fitter ; timmy-Aspire-4750 ; Ubuntu 16.04.6 ; 16 ; x86_64 ; -; Assembler ; timmy-Aspire-4750 ; Ubuntu 16.04.6 ; 16 ; x86_64 ; -; TimeQuest Timing Analyzer ; timmy-Aspire-4750 ; Ubuntu 16.04.6 ; 16 ; x86_64 ; -; EDA Netlist Writer ; timmy-Aspire-4750 ; Ubuntu 16.04.6 ; 16 ; x86_64 ; -+---------------------------+-------------------+----------------+------------+----------------+ - - ------------- -; Flow Log ; ------------- -quartus_map --read_settings_files=on --write_settings_files=off ten_line_to_four_line_BCD_priority_encoder -c ten_line_to_four_line_BCD_priority_encoder -quartus_fit --read_settings_files=off --write_settings_files=off ten_line_to_four_line_BCD_priority_encoder -c ten_line_to_four_line_BCD_priority_encoder -quartus_asm --read_settings_files=off --write_settings_files=off ten_line_to_four_line_BCD_priority_encoder -c ten_line_to_four_line_BCD_priority_encoder -quartus_sta ten_line_to_four_line_BCD_priority_encoder -c ten_line_to_four_line_BCD_priority_encoder -quartus_eda --read_settings_files=off --write_settings_files=off ten_line_to_four_line_BCD_priority_encoder -c ten_line_to_four_line_BCD_priority_encoder - - - diff --git a/CH6/CH6-1/output_files/ten_line_to_four_line_BCD_priority_encoder.jdi b/CH6/CH6-1/output_files/ten_line_to_four_line_BCD_priority_encoder.jdi deleted file mode 100644 index aecddc84..00000000 --- a/CH6/CH6-1/output_files/ten_line_to_four_line_BCD_priority_encoder.jdi +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/CH6/CH6-1/output_files/ten_line_to_four_line_BCD_priority_encoder.map.rpt b/CH6/CH6-1/output_files/ten_line_to_four_line_BCD_priority_encoder.map.rpt deleted file mode 100644 index 66bf96ec..00000000 --- a/CH6/CH6-1/output_files/ten_line_to_four_line_BCD_priority_encoder.map.rpt +++ /dev/null @@ -1,261 +0,0 @@ -Analysis & Synthesis report for ten_line_to_four_line_BCD_priority_encoder -Thu Oct 17 21:26:03 2019 -Quartus II 32-bit Version 13.1.0 Build 162 10/23/2013 SJ Web Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. Analysis & Synthesis Summary - 3. Analysis & Synthesis Settings - 4. Parallel Compilation - 5. Analysis & Synthesis Source Files Read - 6. Analysis & Synthesis Resource Usage Summary - 7. Analysis & Synthesis Resource Utilization by Entity - 8. General Register Statistics - 9. Elapsed Time Per Partition - 10. Analysis & Synthesis Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 1991-2013 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. - - - -+---------------------------------------------------------------------------------+ -; Analysis & Synthesis Summary ; -+------------------------------------+--------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Thu Oct 17 21:26:03 2019 ; -; Quartus II 32-bit Version ; 13.1.0 Build 162 10/23/2013 SJ Web Edition ; -; Revision Name ; ten_line_to_four_line_BCD_priority_encoder ; -; Top-level Entity Name ; ten_line_to_four_line_BCD_priority_encoder ; -; Family ; Cyclone III ; -; Total logic elements ; 7 ; -; Total combinational functions ; 7 ; -; Dedicated logic registers ; 0 ; -; Total registers ; 0 ; -; Total pins ; 12 ; -; Total virtual pins ; 0 ; -; Total memory bits ; 0 ; -; Embedded Multiplier 9-bit elements ; 0 ; -; Total PLLs ; 0 ; -+------------------------------------+--------------------------------------------+ - - -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Settings ; -+----------------------------------------------------------------------------+--------------------------------------------+--------------------------------------------+ -; Option ; Setting ; Default Value ; -+----------------------------------------------------------------------------+--------------------------------------------+--------------------------------------------+ -; Device ; EP3C16F484C6 ; ; -; Top-level entity name ; ten_line_to_four_line_BCD_priority_encoder ; ten_line_to_four_line_BCD_priority_encoder ; -; Family name ; Cyclone III ; Cyclone IV GX ; -; Use smart compilation ; Off ; Off ; -; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; -; Enable compact report table ; Off ; Off ; -; Restructure Multiplexers ; Auto ; Auto ; -; Create Debugging Nodes for IP Cores ; Off ; Off ; -; Preserve fewer node names ; On ; On ; -; Disable OpenCore Plus hardware evaluation ; Off ; Off ; -; Verilog Version ; Verilog_2001 ; Verilog_2001 ; -; VHDL Version ; VHDL_1993 ; VHDL_1993 ; -; State Machine Processing ; Auto ; Auto ; -; Safe State Machine ; Off ; Off ; -; Extract Verilog State Machines ; On ; On ; -; Extract VHDL State Machines ; On ; On ; -; Ignore Verilog initial constructs ; Off ; Off ; -; Iteration limit for constant Verilog loops ; 5000 ; 5000 ; -; Iteration limit for non-constant Verilog loops ; 250 ; 250 ; -; Add Pass-Through Logic to Inferred RAMs ; On ; On ; -; Infer RAMs from Raw Logic ; On ; On ; -; Parallel Synthesis ; On ; On ; -; DSP Block Balancing ; Auto ; Auto ; -; NOT Gate Push-Back ; On ; On ; -; Power-Up Don't Care ; On ; On ; -; Remove Redundant Logic Cells ; Off ; Off ; -; Remove Duplicate Registers ; On ; On ; -; Ignore CARRY Buffers ; Off ; Off ; -; Ignore CASCADE Buffers ; Off ; Off ; -; Ignore GLOBAL Buffers ; Off ; Off ; -; Ignore ROW GLOBAL Buffers ; Off ; Off ; -; Ignore LCELL Buffers ; Off ; Off ; -; Ignore SOFT Buffers ; On ; On ; -; Limit AHDL Integers to 32 Bits ; Off ; Off ; -; Optimization Technique ; Balanced ; Balanced ; -; Carry Chain Length ; 70 ; 70 ; -; Auto Carry Chains ; On ; On ; -; Auto Open-Drain Pins ; On ; On ; -; Perform WYSIWYG Primitive Resynthesis ; Off ; Off ; -; Auto ROM Replacement ; On ; On ; -; Auto RAM Replacement ; On ; On ; -; Auto DSP Block Replacement ; On ; On ; -; Auto Shift Register Replacement ; Auto ; Auto ; -; Allow Shift Register Merging across Hierarchies ; Auto ; Auto ; -; Auto Clock Enable Replacement ; On ; On ; -; Strict RAM Replacement ; Off ; Off ; -; Allow Synchronous Control Signals ; On ; On ; -; Force Use of Synchronous Clear Signals ; Off ; Off ; -; Auto RAM Block Balancing ; On ; On ; -; Auto RAM to Logic Cell Conversion ; Off ; Off ; -; Auto Resource Sharing ; Off ; Off ; -; Allow Any RAM Size For Recognition ; Off ; Off ; -; Allow Any ROM Size For Recognition ; Off ; Off ; -; Allow Any Shift Register Size For Recognition ; Off ; Off ; -; Use LogicLock Constraints during Resource Balancing ; On ; On ; -; Ignore translate_off and synthesis_off directives ; Off ; Off ; -; Timing-Driven Synthesis ; On ; On ; -; Report Parameter Settings ; On ; On ; -; Report Source Assignments ; On ; On ; -; Report Connectivity Checks ; On ; On ; -; Ignore Maximum Fan-Out Assignments ; Off ; Off ; -; Synchronization Register Chain Length ; 2 ; 2 ; -; PowerPlay Power Optimization ; Normal compilation ; Normal compilation ; -; HDL message level ; Level2 ; Level2 ; -; Suppress Register Optimization Related Messages ; Off ; Off ; -; Number of Removed Registers Reported in Synthesis Report ; 5000 ; 5000 ; -; Number of Swept Nodes Reported in Synthesis Report ; 5000 ; 5000 ; -; Number of Inverted Registers Reported in Synthesis Report ; 100 ; 100 ; -; Clock MUX Protection ; On ; On ; -; Auto Gated Clock Conversion ; Off ; Off ; -; Block Design Naming ; Auto ; Auto ; -; SDC constraint protection ; Off ; Off ; -; Synthesis Effort ; Auto ; Auto ; -; Shift Register Replacement - Allow Asynchronous Clear Signal ; On ; On ; -; Pre-Mapping Resynthesis Optimization ; Off ; Off ; -; Analysis & Synthesis Message Level ; Medium ; Medium ; -; Disable Register Merging Across Hierarchies ; Auto ; Auto ; -; Resource Aware Inference For Block RAM ; On ; On ; -; Synthesis Seed ; 1 ; 1 ; -+----------------------------------------------------------------------------+--------------------------------------------+--------------------------------------------+ - - -Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. -+-------------------------------------+ -; Parallel Compilation ; -+----------------------------+--------+ -; Processors ; Number ; -+----------------------------+--------+ -; Number detected on machine ; 4 ; -; Maximum allowed ; 1 ; -+----------------------------+--------+ - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Source Files Read ; -+------------------------------------------------+-----------------+------------------------------------+-------------------------------------------------------------------------------------+---------+ -; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; -+------------------------------------------------+-----------------+------------------------------------+-------------------------------------------------------------------------------------+---------+ -; ten_line_to_four_line_BCD_priority_encoder.bdf ; yes ; User Block Diagram/Schematic File ; /home/timmy/Git/Learn-VHDL/CH6/CH6-1/ten_line_to_four_line_BCD_priority_encoder.bdf ; ; -; 74147.bdf ; yes ; Megafunction ; /home/timmy/altera/13.1/quartus/libraries/others/maxplus2/74147.bdf ; ; -+------------------------------------------------+-----------------+------------------------------------+-------------------------------------------------------------------------------------+---------+ - - -+-------------------------------------------------------------+ -; Analysis & Synthesis Resource Usage Summary ; -+---------------------------------------------+---------------+ -; Resource ; Usage ; -+---------------------------------------------+---------------+ -; Estimated Total logic elements ; 7 ; -; ; ; -; Total combinational functions ; 7 ; -; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 5 ; -; -- 3 input functions ; 0 ; -; -- <=2 input functions ; 2 ; -; ; ; -; Logic elements by mode ; ; -; -- normal mode ; 7 ; -; -- arithmetic mode ; 0 ; -; ; ; -; Total registers ; 0 ; -; -- Dedicated logic registers ; 0 ; -; -- I/O registers ; 0 ; -; ; ; -; I/O pins ; 12 ; -; Embedded Multiplier 9-bit elements ; 0 ; -; Maximum fan-out node ; 74147:inst|67 ; -; Maximum fan-out ; 3 ; -; Total fan-out ; 40 ; -; Average fan-out ; 1.29 ; -+---------------------------------------------+---------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Resource Utilization by Entity ; -+---------------------------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+--------------------------------------------------------+--------------+ -; Compilation Hierarchy Node ; LC Combinationals ; LC Registers ; Memory Bits ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; Full Hierarchy Name ; Library Name ; -+---------------------------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+--------------------------------------------------------+--------------+ -; |ten_line_to_four_line_BCD_priority_encoder ; 7 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 12 ; 0 ; |ten_line_to_four_line_BCD_priority_encoder ; work ; -; |74147:inst| ; 7 (7) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |ten_line_to_four_line_BCD_priority_encoder|74147:inst ; work ; -+---------------------------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+--------------------------------------------------------+--------------+ -Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. - - -+------------------------------------------------------+ -; General Register Statistics ; -+----------------------------------------------+-------+ -; Statistic ; Value ; -+----------------------------------------------+-------+ -; Total registers ; 0 ; -; Number of registers using Synchronous Clear ; 0 ; -; Number of registers using Synchronous Load ; 0 ; -; Number of registers using Asynchronous Clear ; 0 ; -; Number of registers using Asynchronous Load ; 0 ; -; Number of registers using Clock Enable ; 0 ; -; Number of registers using Preset ; 0 ; -+----------------------------------------------+-------+ - - -+-------------------------------+ -; Elapsed Time Per Partition ; -+----------------+--------------+ -; Partition Name ; Elapsed Time ; -+----------------+--------------+ -; Top ; 00:00:00 ; -+----------------+--------------+ - - -+-------------------------------+ -; Analysis & Synthesis Messages ; -+-------------------------------+ -Info: ******************************************************************* -Info: Running Quartus II 32-bit Analysis & Synthesis - Info: Version 13.1.0 Build 162 10/23/2013 SJ Web Edition - Info: Processing started: Thu Oct 17 21:26:00 2019 -Info: Command: quartus_map --read_settings_files=on --write_settings_files=off ten_line_to_four_line_BCD_priority_encoder -c ten_line_to_four_line_BCD_priority_encoder -Warning (20028): Parallel compilation is not licensed and has been disabled -Info (12021): Found 1 design units, including 1 entities, in source file ten_line_to_four_line_BCD_priority_encoder.bdf - Info (12023): Found entity 1: ten_line_to_four_line_BCD_priority_encoder -Info (12127): Elaborating entity "ten_line_to_four_line_BCD_priority_encoder" for the top level hierarchy -Info (12128): Elaborating entity "74147" for hierarchy "74147:inst" -Info (12130): Elaborated megafunction instantiation "74147:inst" -Info (286030): Timing-Driven Synthesis is running -Info (16010): Generating hard_block partition "hard_block:auto_generated_inst" - Info (16011): Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL -Info (21057): Implemented 19 device resources after synthesis - the final resource count might be different - Info (21058): Implemented 8 input pins - Info (21059): Implemented 4 output pins - Info (21061): Implemented 7 logic cells -Info: Quartus II 32-bit Analysis & Synthesis was successful. 0 errors, 1 warning - Info: Peak virtual memory: 371 megabytes - Info: Processing ended: Thu Oct 17 21:26:03 2019 - Info: Elapsed time: 00:00:03 - Info: Total CPU time (on all processors): 00:00:03 - - diff --git a/CH6/CH6-1/output_files/ten_line_to_four_line_BCD_priority_encoder.map.summary b/CH6/CH6-1/output_files/ten_line_to_four_line_BCD_priority_encoder.map.summary deleted file mode 100644 index dc4261da..00000000 --- a/CH6/CH6-1/output_files/ten_line_to_four_line_BCD_priority_encoder.map.summary +++ /dev/null @@ -1,14 +0,0 @@ -Analysis & Synthesis Status : Successful - Thu Oct 17 21:26:03 2019 -Quartus II 32-bit Version : 13.1.0 Build 162 10/23/2013 SJ Web Edition -Revision Name : ten_line_to_four_line_BCD_priority_encoder -Top-level Entity Name : ten_line_to_four_line_BCD_priority_encoder -Family : Cyclone III -Total logic elements : 7 - Total combinational functions : 7 - Dedicated logic registers : 0 -Total registers : 0 -Total pins : 12 -Total virtual pins : 0 -Total memory bits : 0 -Embedded Multiplier 9-bit elements : 0 -Total PLLs : 0 diff --git a/CH6/CH6-1/output_files/ten_line_to_four_line_BCD_priority_encoder.pin b/CH6/CH6-1/output_files/ten_line_to_four_line_BCD_priority_encoder.pin deleted file mode 100644 index 09bb691f..00000000 --- a/CH6/CH6-1/output_files/ten_line_to_four_line_BCD_priority_encoder.pin +++ /dev/null @@ -1,554 +0,0 @@ - -- Copyright (C) 1991-2013 Altera Corporation - -- Your use of Altera Corporation's design tools, logic functions - -- and other software and tools, and its AMPP partner logic - -- functions, and any output files from any of the foregoing - -- (including device programming or simulation files), and any - -- associated documentation or information are expressly subject - -- to the terms and conditions of the Altera Program License - -- Subscription Agreement, Altera MegaCore Function License - -- Agreement, or other applicable license agreement, including, - -- without limitation, that your use is for the sole purpose of - -- programming logic devices manufactured by Altera and sold by - -- Altera or its authorized distributors. Please refer to the - -- applicable agreement for further details. - -- - -- This is a Quartus II output file. It is for reporting purposes only, and is - -- not intended for use as a Quartus II input file. This file cannot be used - -- to make Quartus II pin assignments - for instructions on how to make pin - -- assignments, please see Quartus II help. - --------------------------------------------------------------------------------- - - - - --------------------------------------------------------------------------------- - -- NC : No Connect. This pin has no internal connection to the device. - -- DNU : Do Not Use. This pin MUST NOT be connected. - -- VCCINT : Dedicated power pin, which MUST be connected to VCC (1.2V). - -- VCCIO : Dedicated power pin, which MUST be connected to VCC - -- of its bank. - -- Bank 1: 2.5V - -- Bank 2: 2.5V - -- Bank 3: 2.5V - -- Bank 4: 2.5V - -- Bank 5: 2.5V - -- Bank 6: 2.5V - -- Bank 7: 2.5V - -- Bank 8: 2.5V - -- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND. - -- It can also be used to report unused dedicated pins. The connection - -- on the board for unused dedicated pins depends on whether this will - -- be used in a future design. One example is device migration. When - -- using device migration, refer to the device pin-tables. If it is a - -- GND pin in the pin table or if it will not be used in a future design - -- for another purpose the it MUST be connected to GND. If it is an unused - -- dedicated pin, then it can be connected to a valid signal on the board - -- (low, high, or toggling) if that signal is required for a different - -- revision of the design. - -- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins. - -- This pin should be connected to GND. It may also be connected to a - -- valid signal on the board (low, high, or toggling) if that signal - -- is required for a different revision of the design. - -- GND* : Unused I/O pin. Connect each pin marked GND* directly to GND - -- or leave it unconnected. - -- RESERVED : Unused I/O pin, which MUST be left unconnected. - -- RESERVED_INPUT : Pin is tri-stated and should be connected to the board. - -- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor. - -- RESERVED_INPUT_WITH_BUS_HOLD : Pin is tri-stated with bus-hold circuitry. - -- RESERVED_OUTPUT_DRIVEN_HIGH : Pin is output driven high. - --------------------------------------------------------------------------------- - - - - --------------------------------------------------------------------------------- - -- Pin directions (input, output or bidir) are based on device operating in user mode. - --------------------------------------------------------------------------------- - -Quartus II 32-bit Version 13.1.0 Build 162 10/23/2013 SJ Web Edition -CHIP "ten_line_to_four_line_BCD_priority_encoder" ASSIGNED TO AN: EP3C16F484C6 - -Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment -------------------------------------------------------------------------------------------------------------- -GND : A1 : gnd : : : : -VCCIO8 : A2 : power : : 2.5V : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A3 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A4 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A5 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A6 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A7 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A8 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A9 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A10 : : : : 8 : -GND+ : A11 : : : : 8 : -GND+ : A12 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A13 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A14 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A15 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A16 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A17 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A18 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A19 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A20 : : : : 7 : -VCCIO7 : A21 : power : : 2.5V : 7 : -GND : A22 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA1 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA2 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA3 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA4 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA5 : : : : 3 : -VCCIO3 : AA6 : power : : 2.5V : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA7 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA8 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA9 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA10 : : : : 3 : -GND+ : AA11 : : : : 3 : -GND+ : AA12 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA13 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA14 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA15 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA16 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA17 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA18 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA19 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA20 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA21 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA22 : : : : 5 : -GND : AB1 : gnd : : : : -VCCIO3 : AB2 : power : : 2.5V : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB3 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB4 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB5 : : : : 3 : -GND : AB6 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB7 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB8 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB9 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB10 : : : : 3 : -GND+ : AB11 : : : : 3 : -GND+ : AB12 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB13 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB14 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB15 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB16 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB17 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB18 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB19 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB20 : : : : 4 : -VCCIO4 : AB21 : power : : 2.5V : 4 : -GND : AB22 : gnd : : : : -D : B1 : output : 2.5 V : : 1 : Y -C : B2 : output : 2.5 V : : 1 : Y -RESERVED_INPUT_WITH_WEAK_PULLUP : B3 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B4 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B5 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B6 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B7 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B8 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B9 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B10 : : : : 8 : -GND+ : B11 : : : : 8 : -GND+ : B12 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B13 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B14 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B15 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B16 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B17 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B18 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B19 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B20 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B21 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B22 : : : : 6 : -A : C1 : output : 2.5 V : : 1 : Y -B : C2 : output : 2.5 V : : 1 : Y -RESERVED_INPUT_WITH_WEAK_PULLUP : C3 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : C4 : : : : 8 : -GND : C5 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : C6 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : C7 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : C8 : : : : 8 : -GND : C9 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : C10 : : : : 8 : -GND : C11 : gnd : : : : -GND : C12 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : C13 : : : : 7 : -GND : C14 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : C15 : : : : 7 : -GND : C16 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : C17 : : : : 7 : -GND : C18 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : C19 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : C20 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : C21 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : C22 : : : : 6 : -~ALTERA_ASDO_DATA1~ / RESERVED_INPUT_WITH_WEAK_PULLUP : D1 : input : 2.5 V : : 1 : N -I2 : D2 : input : 2.5 V : : 1 : Y -GND : D3 : gnd : : : : -VCCIO1 : D4 : power : : 2.5V : 1 : -VCCIO8 : D5 : power : : 2.5V : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : D6 : : : : 8 : -GND : D7 : gnd : : : : -GND : D8 : gnd : : : : -VCCIO8 : D9 : power : : 2.5V : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : D10 : : : : 8 : -VCCIO8 : D11 : power : : 2.5V : 8 : -VCCIO7 : D12 : power : : 2.5V : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : D13 : : : : 7 : -VCCIO7 : D14 : power : : 2.5V : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : D15 : : : : 7 : -VCCIO7 : D16 : power : : 2.5V : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : D17 : : : : 7 : -VCCIO7 : D18 : power : : 2.5V : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : D19 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : D20 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : D21 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : D22 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : E1 : : : : 1 : -~ALTERA_FLASH_nCE_nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP : E2 : input : 2.5 V : : 1 : N -I4 : E3 : input : 2.5 V : : 1 : Y -I3 : E4 : input : 2.5 V : : 1 : Y -RESERVED_INPUT_WITH_WEAK_PULLUP : E5 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : E6 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : E7 : : : : 8 : -VCCIO8 : E8 : power : : 2.5V : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : E9 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : E10 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : E11 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : E12 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : E13 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : E14 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : E15 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : E16 : : : : 7 : -VCCD_PLL2 : E17 : power : : 1.2V : : -GNDA2 : E18 : gnd : : : : -VCCIO6 : E19 : power : : 2.5V : 6 : -GND : E20 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : E21 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : E22 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F1 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F2 : : : : 1 : -GND : F3 : gnd : : : : -VCCIO1 : F4 : power : : 2.5V : 1 : -GNDA3 : F5 : gnd : : : : -VCCD_PLL3 : F6 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : F7 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F8 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F9 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F10 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F11 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F12 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F13 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F14 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F15 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F16 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F17 : : : : 6 : -VCCA2 : F18 : power : : 2.5V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : F19 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F20 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F21 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F22 : : : : 6 : -GND+ : G1 : : : : 1 : -GND+ : G2 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : G3 : : : : 1 : -I8 : G4 : input : 2.5 V : : 1 : Y -I7 : G5 : input : 2.5 V : : 1 : Y -VCCA3 : G6 : power : : 2.5V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : G7 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : G8 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : G9 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : G10 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : G11 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : G12 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : G13 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : G14 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : G15 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : G16 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : G17 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : G18 : : : : 6 : -VCCIO6 : G19 : power : : 2.5V : 6 : -GND : G20 : gnd : : : : -GND+ : G21 : : : : 6 : -GND+ : G22 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H1 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H2 : : : : 1 : -GND : H3 : gnd : : : : -VCCIO1 : H4 : power : : 2.5V : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H5 : : : : 1 : -I9 : H6 : input : 2.5 V : : 1 : Y -I5 : H7 : input : 2.5 V : : 1 : Y -GND : H8 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : H9 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H10 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H11 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H12 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H13 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H14 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H15 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H16 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H17 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H18 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H19 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H20 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H21 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H22 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : J1 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : J2 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : J3 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : J4 : : : : 1 : -GND : J5 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : J6 : : : : 1 : -I6 : J7 : input : 2.5 V : : 1 : Y -VCCINT : J8 : power : : 1.2V : : -GND : J9 : gnd : : : : -VCCINT : J10 : power : : 1.2V : : -VCCINT : J11 : power : : 1.2V : : -VCCINT : J12 : power : : 1.2V : : -VCCINT : J13 : power : : 1.2V : : -VCCINT : J14 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : J15 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : J16 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : J17 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : J18 : : : : 6 : -GND : J19 : gnd : : : : -VCCIO6 : J20 : power : : 2.5V : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : J21 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : J22 : : : : 6 : -~ALTERA_DATA0~ / RESERVED_INPUT_WITH_WEAK_PULLUP : K1 : input : 2.5 V : : 1 : N -~ALTERA_DCLK~ : K2 : output : 2.5 V : : 1 : N -GND : K3 : gnd : : : : -VCCIO1 : K4 : power : : 2.5V : 1 : -nCONFIG : K5 : : : : 1 : -nSTATUS : K6 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : K7 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : K8 : : : : 1 : -VCCINT : K9 : power : : 1.2V : : -GND : K10 : gnd : : : : -GND : K11 : gnd : : : : -GND : K12 : gnd : : : : -GND : K13 : gnd : : : : -VCCINT : K14 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : K15 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : K16 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : K17 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : K18 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : K19 : : : : 6 : -MSEL3 : K20 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : K21 : : : : 6 : -~ALTERA_nCEO~ / RESERVED_OUTPUT_OPEN_DRAIN : K22 : output : 2.5 V : : 6 : N -TMS : L1 : input : : : 1 : -TCK : L2 : input : : : 1 : -nCE : L3 : : : : 1 : -TDO : L4 : output : : : 1 : -TDI : L5 : input : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : L6 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : L7 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : L8 : : : : 1 : -VCCINT : L9 : power : : 1.2V : : -GND : L10 : gnd : : : : -GND : L11 : gnd : : : : -GND : L12 : gnd : : : : -GND : L13 : gnd : : : : -VCCINT : L14 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : L15 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : L16 : : : : 6 : -MSEL2 : L17 : : : : 6 : -MSEL1 : L18 : : : : 6 : -VCCIO6 : L19 : power : : 2.5V : 6 : -GND : L20 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : L21 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : L22 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : M1 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : M2 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : M3 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : M4 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : M5 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : M6 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : M7 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : M8 : : : : 2 : -VCCINT : M9 : power : : 1.2V : : -GND : M10 : gnd : : : : -GND : M11 : gnd : : : : -GND : M12 : gnd : : : : -GND : M13 : gnd : : : : -VCCINT : M14 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : M15 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : M16 : : : : 5 : -MSEL0 : M17 : : : : 6 : -CONF_DONE : M18 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : M19 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : M20 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : M21 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : M22 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : N1 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : N2 : : : : 2 : -GND : N3 : gnd : : : : -VCCIO2 : N4 : power : : 2.5V : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : N5 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : N6 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : N7 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : N8 : : : : 2 : -VCCINT : N9 : power : : 1.2V : : -GND : N10 : gnd : : : : -GND : N11 : gnd : : : : -GND : N12 : gnd : : : : -GND : N13 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : N14 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : N15 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : N16 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : N17 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : N18 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : N19 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : N20 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : N21 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : N22 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : P1 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : P2 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : P3 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : P4 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : P5 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : P6 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : P7 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : P8 : : : : 2 : -VCCINT : P9 : power : : 1.2V : : -VCCINT : P10 : power : : 1.2V : : -VCCINT : P11 : power : : 1.2V : : -VCCINT : P12 : power : : 1.2V : : -VCCINT : P13 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : P14 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : P15 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : P16 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : P17 : : : : 5 : -VCCIO5 : P18 : power : : 2.5V : 5 : -GND : P19 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : P20 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : P21 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : P22 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R1 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R2 : : : : 2 : -GND : R3 : gnd : : : : -VCCIO2 : R4 : power : : 2.5V : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R5 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R6 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R7 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R8 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R9 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R10 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R11 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R12 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R13 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R14 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R15 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R16 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R17 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R18 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R19 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R20 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R21 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R22 : : : : 5 : -GND+ : T1 : : : : 2 : -GND+ : T2 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : T3 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : T4 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : T5 : : : : 2 : -VCCA1 : T6 : power : : 2.5V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : T7 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : T8 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : T9 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : T10 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : T11 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : T12 : : : : 4 : -VCCINT : T13 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : T14 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : T15 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : T16 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : T17 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : T18 : : : : 5 : -VCCIO5 : T19 : power : : 2.5V : 5 : -GND : T20 : gnd : : : : -GND+ : T21 : : : : 5 : -GND+ : T22 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : U1 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : U2 : : : : 2 : -GND : U3 : gnd : : : : -VCCIO2 : U4 : power : : 2.5V : 2 : -GNDA1 : U5 : gnd : : : : -VCCD_PLL1 : U6 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : U7 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : U8 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : U9 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : U10 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : U11 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : U12 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : U13 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : U14 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : U15 : : : : 4 : -VCCINT : U16 : power : : 1.2V : : -VCCINT : U17 : power : : 1.2V : : -VCCA4 : U18 : power : : 2.5V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : U19 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : U20 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : U21 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : U22 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V1 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V2 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V3 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V4 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V5 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V6 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V7 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V8 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V9 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V10 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V11 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V12 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V13 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V14 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V15 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V16 : : : : 4 : -VCCD_PLL4 : V17 : power : : 1.2V : : -GNDA4 : V18 : gnd : : : : -VCCIO5 : V19 : power : : 2.5V : 5 : -GND : V20 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : V21 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V22 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : W1 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : W2 : : : : 2 : -GND : W3 : gnd : : : : -VCCIO2 : W4 : power : : 2.5V : 2 : -VCCIO3 : W5 : power : : 2.5V : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : W6 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : W7 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : W8 : : : : 3 : -VCCIO3 : W9 : power : : 2.5V : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : W10 : : : : 3 : -VCCIO3 : W11 : power : : 2.5V : 3 : -VCCIO4 : W12 : power : : 2.5V : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : W13 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : W14 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : W15 : : : : 4 : -VCCIO4 : W16 : power : : 2.5V : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : W17 : : : : 4 : -VCCIO4 : W18 : power : : 2.5V : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : W19 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : W20 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : W21 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : W22 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : Y1 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : Y2 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : Y3 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : Y4 : : : : 3 : -GND : Y5 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : Y6 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : Y7 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : Y8 : : : : 3 : -GND : Y9 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : Y10 : : : : 3 : -GND : Y11 : gnd : : : : -GND : Y12 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : Y13 : : : : 4 : -VCCIO4 : Y14 : power : : 2.5V : 4 : -GND : Y15 : gnd : : : : -GND : Y16 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : Y17 : : : : 4 : -GND : Y18 : gnd : : : : -VCCIO5 : Y19 : power : : 2.5V : 5 : -GND : Y20 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : Y21 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : Y22 : : : : 5 : diff --git a/CH6/CH6-1/output_files/ten_line_to_four_line_BCD_priority_encoder.sof b/CH6/CH6-1/output_files/ten_line_to_four_line_BCD_priority_encoder.sof deleted file mode 100644 index c88e43c4ca3379c88313bf7696fbc8646c0f306d..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 496914 zcmeIb&#x_6mfv+=zI1lFX*Wp&Rts5pyVSB;2)b@nwM*4f725s7Z5bi+c($<-LV2q? zv)pOQRaaG67DfU#g$FGd(EJBRV$c&L=1eXV!~_A7|A03@V#I)14}fBQ;@sHxth@G) z6X!&n7w5!UsT(I^ukZTqwZC!pUJ>u*-S2PyTfe`<{;d4G+WieV{@XwO?vKCx=}*F6 z|Lfr!U;o#>_r;gtcYpK`9=`a&=RXer&gVb=$rnHRVfflNe&cJuaTk8)r(gWwv+%WV zem#8c?yFz_##g_7_qA_?zxi*3zw`O`!e9ODi!TMb`(XFyg!8Yy`Nr>l?VG>yMOeGHf-W@Y6s1?oa;kH@^4952fY5{qC>- zyC3}z|Li~gU;k^6|KES`C;$F#_iavh|F8V~=%-&k{PfFDzb|9`$ydMp{D+_Z;ENxA z{^^%L`tBC*xgwG94?g=VpMLhm-~0S0Uw-TB9Fw8#AxC}u zYNvaUtL$u@SkA&2UJk59=ss7#6>tS^T!BBcn(_VOYBKReTme_$3Ke*-?<-2L57d=k zxB{~%;9u}rWONc&z!iAU3dGecX34Add;TtX_pX2|;0oNT0`hk`b6Y%@@M9quNT`1N zHEWU|{?-YL9F)Vh0O?r*0B7KYil4icbK?NiwFJV1Mp{+I3W67FB9saNxNV`eX)?$F zKn-^6w&A1H&?(FPzv0stM_?v z|2{kSzOP4tyri1~CS!<kwWa2b1K{0ELZ(*mGvLH=SU0jbV3<7g9# z_wDY!#d(nNi*EPKi4W6jq*cr~3N3qNFY5bv2oIBCf#N6i6hb zDoI}TOAZSqzH6D&6dMVRgewOPU8{2jVok}VJdPGPsUnQSLPw(Jry7&eSi-zoH??!8 zE8q$&pupn-oTpJH)>*eH3M9a)lH@8_a#$$wO^f2qoTh+@6Trl3O~Q4~0HGm=cOCinAUFVQ(%Gn64y7%7a1*);kiO4a)cys zqDq`x8%LpqxSlmDNhDfTp&s4fuX6_cYA7T75GaShNflunuT2Z_T^oB%AeEGIJ-u4P z@==|$t_OjaG5)4}W_y^KZ+^NsKjrXkS%B6lT>)3%I0fQyut})1sxgd`I8+b?hlLW~GDyd46SN)R1LWyr08D>sX zY{VG}R}LDQRxGrMkn&JQ_JLF&fs-Oh(Q)9Ecja2IPaF+3OqK8uK=!$N^|ZG1*ZAeF{)%|lF*pJxXbU8{4} z_2`m1z!lh_0{rIYI!u1u)6Es=Dv&^^N|IOolEXrY?^@s*m5m? z`lE;jq7-V$=%H(BOiE)3y>zukkB!*}`>)ovMxC}bTs_)h@M@;zRYHI-9j{G`W-DF2 zmB!3zCb&2wQOZF>%VZahj~bkT*z#(&XPuZ%Bx+KEJK5eM5%p5Q{a{ND&~1}lI1-35 zr^-)3M%Mbq6M}dLYTRz(5%Fvbkfz-qY>@FF$RqaUX!7Bd$Fd=v_68Xi(j}e^5NBh0 zCgKJzW6Log|30#~j;a|T2P zr)H0B0n)PsK$XqhZOH+;YY9Y|Q)R3mBWn|3R~g$f616@9PO~n;g&D`bxpNHoO{mRJ zK{}l7-ns&hs}Uga#dSMcfpIlCI^g<&VZZEO|3IdUApY3_oy(>vVB(HIl*6_F=~)7x z%4Y7i0>1s9IX0ck%MyB79dR%t^}Z3NsOaOhcn7g zlAj8v%2=_FkD_&iklNa8Tj;!HQ7UQxP^_#)2aQc*7mfg;$eHk{!RA|w$ITU(RRO+g zGh3XQ&*|n-V2S&3*4O8(;A1sepp!F%l*L?@{k)``0w$vx*pgQ1!x5ONkOg+(n3_Td&o^vlizo1f6JoAE zWSgVj?Ra$Gp2Z6ec_q_&_fP(d52%>CBtP4!*8knGi~NVfUjR(2*0z45UfV?A075;Q zjNKL;G&YSl`~afJneeDb6K?I}e?p&^vNsEKbjmIqkAHFZKVNd(3k>@d%E$lgl&I^E zx!^CwF!g3OrnTGp6jja>^Mikt~gS&!ZBw;AxJENyPYo4UPo z4EAmgl36(Jr?bTAAvX{~+1Q-@(XikT!_H;X6fki|Aj)A|fb=W@s8tf{=+O=S#Iek& zGR~0Ke`AOn4rK&YQ0xY)_1504hx-`z-;d#ZJBJM}Xw2rlO$D zmvHB0MLjx~9H5)_c6Z<6RQaiH_pFHz)t*%-IV_a;w#oJunbV{rp^&H|0B|i8j&1`XI zzGu4Sn4kN2Z&hUc$|rJB6I`xmc}S5zcMp(PXPR+*5jXk=e^a7?pT%s{K-H3BrV6jTIOHkJ8+6!^)F^JlQw5*_sQ^N%v!Y_iy!=q zQW3W^Vj1bb{IR^`|M4*7#8Fj}yy}-67D{~AGN&mv5*i6t4jQ^v=M2P}lKa2?=daSM zglo4891LQd_QqkM#CJ`NNog#h7pm67ln7lGjK47Q555_ zP~w}$@5q_K20~yLn_jIWk#)|x9z%bAa0TX6AkInl#O05c6GUacU&t1?FY%nz&k8=a z<0U#dUC5se6(f;6B!LrEr{vl=3N6I-tXWAS(W(md=mvkCGvHT48QFKcUmIduh(hSq z|3kRLpf7M(V0(*)3#5Hs7xBFCV)W_Lx=81ZuE0$wkS;ed;sS!5mAw?aL{5+dPE?7L zYvU-i5ZAMMWtGTC^jJ?57e`s0GZ3$aGO|l&e~dG5s2~as3njj3ygHA)CXh->xt{)R zJ!Dbmtm{GdmofPZmbvZC+=R~O3Y@F}vvzJ{9dcWr0t?)ibH6?bl~g6ktA5F0p~N?h z3^S)GHsXwgD+ditD;C;BNO>qD`#>s?z)6v$=(zfKYtes!?;cvM*|_U&u7E4x3fzVQ ziD8sWT*+af#CL7(YE6fA&VajGi+M0;BTT3zqld1I&j<;m(pau}h)MGE?BJqnb{(1vAdatEi6~V`@~U5QSSayb%bcdzNN6NnIcVrw zoih+?O77vGLbMSk)RNId*VLGl#u9qbYAyYZH@utm?Etp+s~*FhOSg0XrjKM8yqalw zl@PL*j@PE8-{V_>WKJ`|#TnsH4jNh}yKsEe;0(l?CFx)_o0v|7Z&HFg+1?@%^-{q7 zU`r0rZIfL%5{NRV%1=Q?*80X1f_MjN+-~9#@oWo_rrpcd`-D!|m!rvtQy$A2oGN40 zZI^g9K%9-~nTQ*>j4j7}kZ(LVzu;-0uj<4%4h!w(dMAkHOgrHrg;$_DXLGFz-Gpxs zz-@HfkOIva5D`Mn9@_$>X9<8Ro4MPP19aCCh%%?jSV2bCCc>^Vwq+!0eFmInU4#oW zj(v0I81S1=o1cPoINiN<1s+!;K;nz*cC-THYI1bI^#j9x*}wjQOd7$*!@s4N%cdz{ zLKY!Z4%-5xX9<8Ro4MPP19aCCh%%?jSV2bCCc@S}+cFZhJ_AlwHpgy@4jQ`_KomI> z9yQoQjd8lU0>wJ8AFZJ#(N9s{I4G8C4Hd|EEw&}M?IS4 zTZ`u+_GW=z7TASjfh?VJ8wxP>W;Uj^+xiq(;=Y{w^~p>6SVg{BEv*EAXVi z-D;G$Uri>S$f^~{Wz!TeNjs9da@ZCiJxc&;mBc!Fbb~)}EOV-i6=aB%Llbd>)q1P% z*4+G!854T5Hfc4xE39ul_+*mE3K~0lH~#clRyM=2|7h3GxZ)7%1^=lkF|? z5KTG~8VOep8k#1%a5OjrvBj5?k@OL-+EpU&p;2;J=txxml9Q=MtX z0lI0t0tFBz)Ml(862gEtW{BdpAq5g+Rg*ba){?_QiSJtGG;4^2M#7bYhOX5)1F>o{ zkL)998(~5%*+|s<9D7Zml*V#xmmg4Ft8>=%=#n~M;|g5;FJ^A`{;^hV%r?C9XyR6@ zN|IOolEXrY?^@sSW|KjA4J;-6Kcump=)YPN@EH2YTeb(0j_{6 z&{QBby(Q;ksqwwEr4yetSV=c(iE*n+lB;0JVWGq~Es8gDngS+H028Y<3D-FTgr?+P zwpz2jg&Ay4Oq|oJb!13&&bl5F=H?2_t3aHWZeZK+I=q0I^HDBvU*b90ofUj+$4hi_ zx{zt87;;>=TGK(Zl0*=y3enKDI%goZQmqHyu7xOsUj09Wiy)V<1-7?%xJ2G(^%2jC zE(f1Jt;>Ag>I%35lN5-P(8qz#n$}YA65T)&I8h}|u8pJ6LR`=4l~p1m(U+>$LuZA- zj{TF1QUOPyh1Qnw>O68LfJ#cap8jqR;0m|`x1m5{808XIa#$$wU7NdF(_x)6;I7tU9t_$D6Kcum zp=;waLISBYmTUWb0jg_t&bl7^&brhNa0ND{0Ke|I4wGN^baMr|3M3G!lH^st3=SwENvIb3O=CZ)PD4X2Xsq z-QbkRvIeKhSh4q+=R(A}hz@wTfy>x(%m?|#gYyfX2KuT_eB-dtZmxHNXwI|~9#VJ( zs&h8is?bgN_5j>Qw+$)KoBKzfz{sIr;6Ejd7UErBR=s*Dw6WNjksDq~wl zqSj}?Y1T!!Fyq)aca8zS3AOntNQcwiTUX$5H3B5QxNb))Fs>#?2V6fe?3exPAIPK; zP%tOI>PKQ!t)ro534kh_UaeI>(bH;)2K+?TVyK4%3VtH}bLoF}9#`*}$> z1x!XYuq%gc5m?s}2u2?`QN>2CjRR2E5(pC-X;m332wtp-Ftz#W{~_G{pqD>BEYQUQ zyKu~$CEu`_EzZp6bgqCaFinBAP3t7-Z>?JI@4>WceaipTD~}|BZ-;s`8M`eyXlxo! z5dlPzGvQH>Ci&Llxrn`4pqB-9;aDI`r@TG|;t44;^=3Ai)@f!+r<*|mmfN}Q+^ zts?yi7EXwfkQ2jB>&&BE0aw5kSWp2eYZI&WZyDd3DckO2&%A6`c z1tEqi)I^wSU3fZxsAmBHPg8lYW4A>Ija>^Miku0L8f@-%AA#S^6>tTf6u4WB689I( z#YbDDG{FcPdBG&D_i;b?FMVv8>&Bk3bvwW~zl zL!;!d(2=PADcf6QPP3VXguNqFIcR9wy?E=K58~DPa*XUv(s`YHJ!ZBzGv71aa?HQaOI$(Yjw^*Y;nGiq-}%=wPYhv^HYsUX)IxO`Dt>E z&N^pZk1=-QCo-(V7_{4h3ivN(E-3Dz)a#j~iw^UU{FI$X;~~L&Jx{0go+5X82v(IO zulnLOjzY^Zy=P8SY$Q6upd2)GtaZZ1?9YlH^st=;z2$pRBH<* zzH8$%LISBYmTMkjlKeb7xaeA)v-L!D?lWCCEeE&)SEm5~!ty#ye%I%Ndwd72BSq@QCFXKJS9C7|GVZK1?B?X7q-roeedxh@VbT15wqT?-(JoC%K_?4iauCmMrZhlO>dal2VO zu4ZV-3(%x{v7SjfDeZH>VZY2xqhMiNhp{T3VEeGVq?-aJlo49xuq{A(mH<>MiE;Gk z27lscLL;pzV+FyBH4(Np+m<~|`xtN+D{Ij~W7h(RB4@&*2Agj!9yeE@uE2U~Bs0_P zXa%OP{a3%2Sv*>t+XLn$-4rkx>mZDB*p{v&Qb7`+Y9%p_E@c!%0%bxYttw*$!HYE! zwl>?AJx%=>W&I1di;=Y{q^*Jl}SWOn_e|mhn9xY8%1=S|zBa=CPeE7z58)!pCG25=PWbEibsOKXnJv!D=X9=sD=?2-`;03}~>q1L6J$WPvfYhVOm{`$4 zW7Bxq4Iqk~36C1ep~g6uw>JxPvA`}I3uNh(+fab1H?yth&#-15*p<^QabM2;`s5{j ztRxAMS@p#t2j#FWK$<2QCIHn+VjN96oCujXF`tS^U4iARwJ4ZSQI*ZyZOH+;YY9Y|vt6xED7S{I%U=)7kEK=D1e=%BG{ z0Ys5A;VJ8}kHGKd3b+DK3f!$miTl-L;)$$Ufm}9C0h6>NsVj$V0n)PspjJt&qenOR z6UQ>A%2+{$NI5hSH(0H=`fkn5-H*1qtv%A9j)>BTlx7Z~>YFWwMmK>m)_I7vQ z;%u%}LYyF8-!|FaA`j7|BcYLS<)EQyvI|FpGZ0&RDH%y0@v2=V@*Wx`hlP$r z^-tN}B6FI}EF|n5p~^u+)9%Gv=X?-vV%IeTk+w@2UyqqB&dm2rw;c0xAN$F5czvOB zHKEk3l>}yaNRjVaU@+C0W*nfK#w$<&VM1-j3L+s4cw-heHr~w-H=%%<%(=3b92QD^ z*D|MBLnJg3t{gOUt9+9M9oh%CZ(~2d9`k8=T29^73eCEx`>y6$CnN7?K++Kq`^wMQA>@1=lhcJvL&cEe!qu7%nw2DiP*sSAuGKjMv6X5)_;xKs zA@u71AzTExge|bW#lt1?KC6#-R&+V|^l4q@^Hx{D6_}(zoP<6OeAcvy&Mw*ku7E30Q-EK0T!+c8d%C#-T?G<|TrgAt zD>*Eb_^z$0T1&QpjMZSrDp+(_D6p=LoC&1TSgtQ!tr_j+EN*VZ+a7RY_-dx*m8al% zZK1?B?X7q-r3=SwENvIb3O=CZ)PD4X2Xsq-QbkRGR4`CxHKXz&>{DD z8C#C|Am4a!e!1pAFhZJ6c6epu_a|K+1L4oC~wRDr{N=@e6 zZOH+;YY9Z=?7}rbtq7TlXT8W!j#xVsFxN*)#=A(#=f*)}*8+$!w2O9tE8q&;vI4B5)6DlWo2J*Q(79}ml_Zhg)5A;T zTsdqDkfsS&0-%aw?zZFr-Lxp)1R`^CF~QWBGRch9Ito`Hp|P??F2-&-Hx3$`#x5KI z#2CuCn=9~M6kyiOY;k5jr<+HCCGN{vU!SvrkJV&>PRkT z;5|zK;0&CM#U^qgW*qzG&N1Q7p&jr`w;EF>Au%!YCcV~w2zQ_EijEHpT!FI{$Ys+MFrkjn zD~D|X(z66W6~)|b$pN}+2}I`X!k+;_PSuz)Nv&F+@@_4i(2;&L8M`eyXzW@5F+T2e z(GGA0T!ESbcQwbw+wU*lqjz|f3gogmR+7XpC}3idgL2pwAU#U}R8h>`mK>nFmOy0A zE?fiDm@>&NSLG@!z}I7Di!<{*(=EsR+(-VFA2wa$Tumr7Yo&Md z7XXEpZj!RSMF2Fu{zopxZaFs&8k@!|PyjK8a(<-Ivzse$%L*h0rzUf*tR;tq65qAV zIZoz8$kWmuIadxEx~4e0XblKljw?dsV(g!D<0!O%>)FVe04j~;+Af%&x~4e0Xa~3g z$0*>xn0bs!Ys9ctZO-E6M*KA3#HXqxxeAsX7D{~AGN&pwUab)>i{DidfD-Vi2nV?W zuE32dkS34oadN~`0cn#@8fU4Qh|UE=y;YVR7D{~6qIl=7)+DSrn;BSVph*pOtb#>{ zg#v3D+gpsB38d0kuBX3SM-o@1=le2`B zijhbjlE8^7U~+97g%;v^rYftdQxX}8o?e9%ClnJbfK`JX`!70f;UB_fV2j%V+gm&= zp7gmL#B&J>@n=oz0-raz0z+1ap1G2wG_NWH>M~@TE&c`(6UFikE*g>U$wS{ zy**sAc0m=%C%Anze-r`MH76-Tjmr{UPJGU{d-PWhT0{7+IuTMfH zRY`JXEjcWd_@oECsPd8Vft3U#g3x+CSC5MF)-?dd$Ysof{u^Q}H1&a;~1=h8ZGl5hZ%k`zJ zHKW~}#m$X)+XGGvU(K|<@)R7eEtL4Cy%lffG!tB$k#Oapp=GiQ$48p8g|@zl=|mVN zCAgFAEfP^jD+{<|vI|E7k~us0mu|K3#J9xz$O^|+8Dj4^@ITRHhiw7UwEF|j2SFyD z?sB(sZujMo#pEiwUO2lu2f+)={_u z35}ICaxr$xxpC0gG0ALdkCSYq#|&u*7{i_v>RSNkX@$=ZeU=dJnZN zK$<2QCID~-PDnX-E9b@msA&k9K;%#^CYTyiCVA4*u=~691Zf@W3b+EUfGe8dD~zRqIpUt);&}pX$+M?6&Bjv1Y6{%d92alDzj%+{;Z-V-%jQ@~62qW?iA4^|VOxOoECEnOF?U;XfbLoX zkvY3?4NzmsBwnqxN`B=ET$ciQSuqn_#t|9If#c4!0BDT74M#a#$lnB z@@0!`Z;?01P}^@*_vLuWV6N|_MR6L$dvDE^)3Vl$y2D zyZH-%LQ6ME+1?@m8ejh-7h|`a8wZU|;}s}?7(+RCa|K+1L4m|kP3Bx#OAZSqzH6Ct zoXm-kr=>k|t{gOUO>uV78W6Y~SA@vL*gxmSQD_0zvyn3aR2s{*T`)m)O>uV74sZpI zQNVvO^B9#J;|jcA1rpt>N|LK!$zh?ycP(?OV&l~s;j;K$6#*y#kBV@RE8q&a0#6D& zu11N(Tanuo1rp#~Fw|RR$zh?yH!X^H?rKfKinE!4bq1Q$V8<$0bXX{`rm?-n$eBPY zjpcg!yLBXC#R;#QEAT!PhzoSe9C2Hp0t?)ixV}-o$Y_ZS&lNI}qpBpi3YHueN_^Kc zrz$p2xktj4qss?CaUK=%3<{hcU#)N9AHsbe{DNl-Y;WHA{oQ)VLUFbdcrU&Mwzqim zUIyZwx&kEyn6+~o%Z=L<1;P~Y$=C(%%UNF^c|A=GMpcsh&RTLNGFn6^! zoHR_Z0M-=cYPGh|qxw=$AWCBir?Ui2c5u-(#o0w~9T2uIfRDeS0RO`BI!u1u^F;H9 z@Dqi*%CJP%xnQUQR&rP<@m=$3t%Vc8yjpj^H4bnErYVqsAHABrw$N^OZv`>)Y9_mI ze55(MS!6((o=zlq+C#r=Z;^;PT3NsylU+Cxkj&Y^AG(8FsEsGSB@K@huj*zN@5H%N z`(Dt{wEF|j2SFyD?sB(sZujMo#p6HJnF~E5laQ6r#U#C zKTHqth$~fq6?=OoQzMs6Q@})yh$PBkTY&T|0Z>ITcUy9R?pgwoIlFKTP-Ds@Pb-D3 z&9+6;m9GNLV`Yt8jNNi>95i+(#=VQr@ zT;S*$yKn?hV<_ituE0wL_85bjI;D%TH{ z_H&vS*oEVqbPBP2e`dBgGoRDB0rw79dTN3=@EAB{7aB9ZphDoS4u^tIAkGhyc<;SoXEPTQiczQ1Y!s zt0lgBbiZN?E6&YRn^ys5`Q|KbZp2$3a9+|)0TX2+>L`b80n)PsK$XqhZOH+;YY9Y| zQ)R3m#02?iBJ8t(ZGos~0RT@^d9Y)*MF)*t3m}S|36C0V?iP>RMikiKW7&y!_U^>E z`NQt#r@URpaJxh6jw~P7f+q@LA-h>W49Mvzxl$ zpZnO)p~LHi&(jlsbK=`a65GD;D^W+hWwQ6$~H#g#48iqU^H&m^|FzVrGiZbsPm~j+Z_Q>|JURfpXgqIn5t8;p_ zZr=^}x&k++K$<+F$H@^(1*A|wT5DiTu;W}p^)|A|REspqh zfkOpRa9AkuO=EkDdSw;o^lBYRxXxME5yZU@T1Q(=418=21r}aQc0z z(#NW&>-b=IMsSxs1D5FIEFq<0B(j4faH0yBTpLHBg}9!zD#>1ZRfT$VgTKxh@T;MW z>_ea&0w-03ajf+p!Zo)iGOls3i3=c?vjw)dc(`2lC-xLijBABm_O`V$ai1%&Nd@8p zqdsd|OTkOz1WDjT)hW3)jzSA@J*!t%iHt<65<^4R>YRaCHI$M4sk6dhpAm&nOV-Vf zSLcy4fmTw=_4Ie^A&WX^T@Sjyj4iOe#hc4y?!CAIM<~Foo!eNc+}5YS0{7+IuTMfH zRY~%yUvgL|@l7Mc%xQ{^I3wZ8K||Aur8p5%9?Hl*kP0MlQY0xluKwLx^k3k+hgNGg z?z)>R;0m|`x1m5{808XIa#$$wU7NdF(_x)6;I7tU9t_$D6Kcump=;waLISBYmTMkj zlKeb7xaeA)v#y8#y>(Ys2W(IQ{)OdrnEY$zZmvLAfdoQTlDz7d92QD^*D|LmHWC^M zR}LDwR_6@Fnv#3?rx0y~3AJSO&^0wCrLlxwv|3Al3~FO`q5mCaU8<&arE}SAhQX_u zmRAW$e6LO0>t z18^JNHl#pv21JBVv&Xgo=~)7x%4Y7i*GAQ56Y>HYd!{)N7N-&jGEOJl| z+XAF%!j%BbSgoVbCHbjvs*F{)#9&Q?t$ns-Pg6fesi*;Wv9cB&G&YT0I0A?wXTqZf zn{O>1H&PR;0jDrU~SVnN%~u>*86+Vs^_*^7h1YEULHvTAoXZ6c3X7N*fieo1BfDL!lNEd z@~y>l5qq;hFAMC#u|SqixeW!FdNUi-+HHLbEOB4X{rco3eXJx2-JYH+BIn9sTYxl8 zGE4xfmBcujbT|<*abiLvttw*$!5e!B!+!Pe)@hT!h8yHYySW0cfGcn#3M^l(MW}>W zs%++NOAgRoOCZXe?P?t)u8A z;BGZa+^;4RPh`~!n8#tJe-%Atw4!D_wL zcWZ9`#*7KQS(~()-4)iio^rCi#V!F-%S!IHN$SM4g1_s}RgEOaEQf6De2nbT}$Az|+bRSp`O zb}!yK=Yx0?TMihAv|Y;hddzHbX1-^-<(QxQ*iWv*>kFN$38iMOBrwZEihS1sgQ?Cm z;{e?>UV#D#6KXS75D8(x8#6?4+mHf@v8u_OD{IMNp~QDBbDA|oLL=eIK||N-oPk(1 znMd}Kw2d&KmTV+yevZ8+P)cLDw#yHwuGKl~dUQ!0uyF;h{ueVhd;eIgHf9^%c{FjW zRVB%*e#v2>#CI)onqniNk#Oapp=)){K&&abhYzA{gbB4|^w2dmCZ(~2dbRHA=Kxp0 z6=*7un%cpY9q&G{%7xG(XX?9K{4w&Nu_ zIbFy!R17&TT&?M#SxF)YRfTBiTAecxTdCHAZ`VQ;La+WG!bOlv*aF*IJX|90v-*f< zMVEt5pVnnQZ*>J+fk_I)N$BIiXH9D!Gv4 zV8{N+MX7+J&_Zj=cy%5*6F?=UTu*-L3)lkNTfDhI+TMjLaGV0n+PRJ8 z#%+BHEO1}W{rV(SQk5jH`Xz^j65lj3%$%m!h%*wd95gh|)KfZ1gp`LevV#B;_$-nX z9asNuE&4C;-9xK28+YB!6>tSyfg4aDG)tTe(A2&{%P~64U9IV}&KYo5YcZZS!h~8f zdg$8tjF3PoDdpNeUx4abowKgTzOyd116+YkDZsBguEXTlJ>6V^t^x^!sw8>UFF7of z_^xG6Q*0zO60RIHbgj-Ah&3hm@J}Jy2oq|_=%H(BOiE)3y=b+T{utE8Y{S3mv9UWn z4mWY4W?Eh)3XaznN_^AaiZ^qb2`7;&g(CqebE^ClWTZ8_N<5+wduWKgU`5@nTaDKtlKws5~ZyXld&Gk+Y&6#$>Lkh1z zbLZ6fR{V_Qa| z)@Q(J)qiP)u zJxc&o+4O3y>M4pIWdW24^=f^Tcn)#}Hm|_d?`0M@d<%2gR3(_oDi%2?hiw7UG~r4B zW~|mx=#uI>~LwFJR_%Q=CzL^n0jn0n)PsfYAp|)T^Of8wa4SB@iYw z(rShhXrp1~UwEg#Thm*hKQ-7fv7&>7y_t<^?Y2GzmbfqHetnj&){#;0m|`H=@Au)mnr~h^5MA?zZFr-L(Xw%-O8PyC88*gsIkrw{1G_ zSpdM(R37ZuZP7tv*8+$lXTnp~V;_Ov%@uG3o)oxSjS}~($;1;`wF0J+B+_No7mFOm z5|g-y98?PpJxc&;mBc!Fbb~)}EOV;-6l92$Llbd>)q1P%)=%H#@wRW_lL@_9o3xtU zov@n8_7=N@ylPp=-Ig4noA!2h-{NepRYIH~pOB7$65lr2-Xagtq$8n`aOI$(X|fAP zgEJ6Yd?^`8AMvVPCGs8`C5MHMMD*VV(v&EVDp6Qlje(qyGxel){bgm|pnzfR^EDtHNy+vR!)tP1-pqs`kPyk^^B zAq;q9hA3_uQXnx_HJNi|EjcWd_^xG6vxZ1$BwRUY=vtjK5UVEh$Uc&`5hm1 zI`K(^m2{()7`LhDPZCRFtJ*baGf(iXiDy7t2NtOn8D`6#5uiM zM}}1Atm`3RZmz(*3dDKo2DS~a!waZ6ALRn~C7zSrS;5D4yhJCb3z>$BA;*QQH61i7 zNd%#)5Di_ca|U87)q3#lT8KjE)&E1d2yzMIZ^~!3hne~2r;GDb4iCi(&^o0n;0he4 zKs*jM33Yn4-glGufFy9DN}OC9N1=tdp4BU>L`I@7Rjr543WFW{Cl{pxjzSBqE#uXB z~}uE22$Fl*;FmK(SADX_qOIrr<6P)Svi zyy}-67D{~6$S`x7Vk6E-xN^|YG*eIMBoR^`%E%4^3ml3hMaR{@TciK>jJxjU3b+EU zz-=gy7)H6ol^hmIeAni#)^u3s47jVc7-!&6MHq*L65qA)86kmG8q2kPz5vy=I%i#v zeP>;22e<;8Qh#CI)onqniNk#Oapp=)){K&&ab zhkpvuMwn1bMh{(6V^SJR=tZk_H`SX2p#SD9ZEnN|10DviW?Eh)1ohJK+O!-{RZibZ zd*(C~T%3_W<)EQuvJ1yY4bDKUS&|M`vx(_M_$DQ|lkF`MQ7;AD54Pk0-8R{UBY`M$ zs{9mWWUX&JA&7UN#_c8^5zn>&Y1;j6H-t{um!rvtQy$A2oGN40ZI^g9K%9-~nTQ*> zj4j7}kZ(LVzu;-0uj<4%4h!w(dMAkHOgrHrg;$_DXLGFz-Gpxsz-@HfkOIva5D`Mn z9@_$>X9<8Ro4MPP19aCCh%%?jSV2bCCc>^Vwq+!0eFmInU4#oWj(v0I81S1=o1cPo zINiN<1s+!;K;nz*cC-THYI1bI^#j9x*}wjQOd0_NbMmWxBu3Rb8hVxhsIuwRTGdk& zJ<0+o6YACaDDfQR3f!0i`$c@ppss!|vp8k6H-^n+Q$Mb3mr4L09eJZ`SQtP1c| zo7v*bd`>ry0!!SNv%WrO1s|))0-c;8q%7vL?B^xj6fhapz^)v&MPOY^AQ*k%L=_vk zHV!~tOCU^Wq*Z0CAbVdMVgIL~tN({^5#N!mwZcyLCF{*Kn8kwuqHr$1;_mQ-XFrk)gBx-)DF)58D%q~AouF+ZNtm`qxPW(iMbr^$oTTp?k|HaG&wOKdy zdM4?`boyWyC-*fyVsaNp2Baf#FJSwy;ixJ}UiAfU9EFw_w8hKJX^M@&BO%H`L)Yq@ zfml;=4pe|Q_;GT?QUU42 zCka?SaMh>@lA{3&77uyi4(xYYE8m*&H$k)xrZxK8(~5%89g+O?Jdk; z10he}(dpGX5?SZ0>p`z4*i)h>M9v+;pxxG`KwKc54X?uss5u|y0{7*tug_V*$I7xq zC#MUUhW9%;PN~+P&|e^iwVVQRlr<|!ghExJV>m;dGY~)K7r7YOpK{kDc!4N{Uj09W ziw2jl1-7?%xJ2G(^%2jCE(f1Jt;>Ag>I%35lN5-P(8qz#n$}YA65W^*9BCCZjzY^G z**?}QtHecO$9kH$ILhjrf%rYlH^st=;z2$pRBH<*zH8$%LISBYmTMkjlKeb7xaeA)v-L!D?lWCC zEeE&)SEm5K?zj$^p60?S>F1clnVM;N z2`D&TTPX2Odn?||X(qThBjL(HL(60rj*l9gfmpL79o(^r=|uP@CAgFAEfP^jD+{<| zvI|E7Qsz|oDac4`c9nQUBlge`d&fa-W?@@^H0^%3%bX8_)SFpIgW0g7NjEsfG@!Kzfz{_r^;ACM%E_6t}?b| zBx-#IoNBORw?zkyT?-(JoC%K_Y`(R4rW=D^hlO>dal2VOu4ZV-3(%x{v7SjfDeZH> zVZY2xqhMiNhp{T3VEeGVq>q&(LG?7a$hmUZ79c%K0IHS5IC^x0KXEjnkye$lg5brP z2wR(N%buov47iJxwdkO+YXL-&GvQH#&9@ehn=4RPU_CXGndx@40@K(2tKZ8k9xcx8 z0rQe>3Yd&_5Jov{OIH%9APG>lk{CyqG72JrGNF-Hm9c{0#hM6Pn{CUUrhbgF{sr8{ z%35^L*fe(G2q21_36C0VzO{I!8-relg>|IiSsW_BS8ZmCGxIs!JPIsvU(WjaoE3bm zCJS_OhLEzD%d(%BbW^}&R0F$m{5E4%Ej08j0bulj6IE>F+Bg7p?PnlNXrxu;ryzS@ z8)5&apsW9fa1rGa_OL)F3+%!%bC!I=X0|vppVPSluD~<});6t^q(8A*M@FowAq_oC z094t`-Ig4nyOuzdIaPiNvX6K%f)@x|tqU#P^yHBw08)=8V`4=IjZNcaH-IQ|COm2= zhZ^Ht-rg+G#R9uywxCv63W2X4Myq9F)Vh z0BM?Jm;h8OiE%XPa3W;l#Dqp#RmKW}H}(*Q{p#PX(_S(e_?9eeX|O(4SHKmxbp@8M z)}mlSMO8L)wL1Whfh$3ggQ`Tc2f#1y) za0Q+exLb`9_p8ao6IrzaxonyOCTT}fR}R|(q-P00t&&(rk8bcMj%7}jv4RYda%duM zuv(Af-qdH<)M&@SGNCtXlUB34!ur-zPPVt$B|vIf$=#M5pqut~ci-Y{u2n*uAfJ$q zffC;~+1?@#(WE1xk#Oapp=q)UM}spETYM=QNgwg5T_y4!8YPE?jzslO+1?^^n$0XE z>>Z)XK||B-#arim5U<{sV`OiV&gYh8&V)KRyCP(Wi2@@l=!Y?PP2wcXe3-Y zXy{so&#~78N@*UFF7of_^xG6Q*0zO60RIHbgj-Ah&3hm@IkbVFrk)=9=fK+q%@XL zuhw1t9N-GL0!;-{(_3;rmKxtnTRQPcgOzlnmKe9HB)JNf92QD^)1r7Yrzv3K1Te8$ zlW?6gKxj(tWveyYTbRM-#KbwhT1SRd=d9}?VQ#L#yb8p5=?1n9ufq$dIUnT$_a&Z_ z-C4oMcDzIQst^rbt8)foE7f}N?OKRJ=+*y2xCn9y<8R7m zwuhPd=BJDEQw|Ts3(z{HE8q$or$9UoHVJilwU%zA;3ay4BygfioLn16p@q1f)hnw+ zMxrlOt%uGEgB|-P7o`G@LJO@eW}E?^66Z}H{=X?qv0 zz;OyNYv(qW8@Kf-u)uve_v@2TNmY`(>X#fAN_^AEFmsw>BhE;;a?sE;Q%~t65mFw? z$PNNX;Il|lbX@(rwdlXVcMq-BY}|D>SHKl;1#Uxu#4ySwuH>*#;=49?wWh;5XTV*p z#XK0a5hm1<(L>k9XM_Y&X)M?F`2tke>YQ~w_MLU99pDOVN&)#5w3V3rx~H2f&{ZIT zP?aRF`Xz^j65qAVX^M@6M#7bYhOX5)1F@#$9{wpr8(~5%89j7OjY(-Np%<;z(jSA` zm~Hr1JvMfy$KfVU)J)5(M8WaeLWytMTk&R2Gr`3f30DppS|+=2eAM6!#F{1PU^SbV zPK0k#f;-vXA`x}8vVc1#yKp2RWloi!f{e8FjVA>04%E2a#3SO_79dT#-|dFb3Hx$1 z`Ebf(S%Xt$th((I&jyIIF+CG;1DCPom=E%e2j>?&4fIu=_{L$O-CXYk(VS@~Jf!dn zROf82RiT^k?E$!rZW~gdIRhd>sM%v%fb=W@P-QcBTXKNzS^`n#R2eJC$l65MRmQfA zM6J(&)2xedVaBmSt6KeBQkPfH2x30kBY6M7paovtqU|da(4!C|`*f0CnKafcy zpkPjZ)sMueT1P|A5&%^;y;`e!ilRqZ0A)hGS|25zgIs|dQ((V{PZ`wJ?`0OJjP}N` zxooNuOl1{|9F)Vh0BM?VB>*#4>nLj1^?>Ya{Ic6m<3f5H6xz!X6gr zgujkoxA6^|+2YK6PUi}^0@D;&+q6!S{?@AX{vNdIxvkcPmhO$0N0Is7I50Yw=vf-Yn3|0=sZ5kfl>@Ljk7V%*M2KTb}|;+?R8|K6yzWD@j7P zr{{{uxpLSRAWf4D6M$+ZF^(o3PJ~RHn9xY8%2+}0#va13U;Vpv+T^d{2D#C0u7E4x z3fzbS%U5d=Dj}9Co4MPP19aCCh%#rpS_g@1B22X|JRQ(^&jNtrdvMV~W7h(RB4@%= z)?*)m-^~?p1)dbRTa6O;tI5O@S+xSWY?=ZlX-8654%-5xX9+;9l2}KNZty3LWloi` zf((&zXd-T~T5t8;nw!5dV?uA%Caq?7h4rncoNRBgOMuj}lDjQAKsW8}?!Lv@T&sjQ zK|UcJ10}v~vb{wfqDe9yGrCeG)fK&9f|6nvb{y- zG@DsS*gHa%gNCNvi?`1CAl}550|p{(momN{Gh3XQ@0o5n=I1{4lk4#MLg#8isaY!t z%<_;T-?hMCsx!?vKsSw7pa8;z+Kd&1nC%&&xNS&*#8}m2&Xu*~uu$T=mO0HDBB7CR z<)EQ!b> z8a_+l<}3{(9*(M#mMuL@thOX5)1F@#$9zKY+5hm1<(L>kN zn3R+f>eafdp95S0S72rZ9%tq|oi4G?o?xt`8@0r^RVB$)u;j2%;+q!5n>kGZ6DNR) z)tZFsoB={paxYu0+1|noHYX;|>D4+iq&jC^4+(Q~1?E*C&PzA2ZFn7CK+X9m7q~BR zzU&raS|Y>Kg-pXJha)6`OI70J+Bga=#PzIMNg~mz3iap)f1NYnS3?=upN3f&>@%Ve zdiDPh?jYC&4hw8=@o<5(&+8(d7ha4$eOedkywMeK1*Rzwr@@axpEa$e;3ax7MLE(c zW*miyY-MoowKe- zE6;g-3v6%kX5I{)%@sI90cP#o#!BV3J_Q!IFXw)J5-O=ml2`qb!$OH~8X0CzQ*6W; z30DppnpP~uiIDP8M)rYJAc2!2Nzrlj@7ALK0^dEfTC;K2-CO}zz!kU+1roz3m$;I{ zLW%F%+|`;6>zo01wHEVW&_gJ@%b-sU6@7 zY)S!s-EkczzwYVg3Un1nAXFvEtA5F0p~QDBbDCl!p^``s>cJ_u58W+4q`!;U81;FQO*2B*qcvGW>^9!B^`l?QRR*;dkiLkZLwv0rr&wx{v&9U2}gT}4}5Jk>}M-BE+W1McTfGcoY3NXi~ zneSybO|Mm<^O8PRl0?h$3ggqaIE2t;KT@d$T|<3+%$NK$cFq4F#BbGaJ*|ZG8$X zabM2;`s5{jtRxBDo}Mcr=gMJQfHX}qOaQ8t#5kICI1w^&VnQRWDq{t~8+!=De)aFx zX_LQ(8{|g2xdN_$D{vzUEMKifsDxOmZ02rD4$xgoAj+KWY8@o5i7?f=@N_`uJqrMe z@4-a}ja>^Mikt~gS&w}Lem7UZ6?jtMZZ%5WuO<^uWYr4fvS|vKq#a3JIcy7%o+SXa zN@5*7y1}0~mN`|%3Nl2>p^3P`YQ5EWYi|C=j0wG2o3xtU71p<&aI2xRR*y2mcNcxCZ?JAM? z&?q@9bR?>O%JvqS(`;rTVebf44jP(vFWx%mgLw7693y*^bY3T4kC`pb%=b*U9P@J@ z`^j~9eW7zTq13FE1ZH_ik?k!4gQ?Cm;{e?>UV#D#6KXS75D8(x8#6?4+mHf@v8u_O zD{IMNp~QDBbDA|oLL=eIK||N-oPk(1nMd}Kw2d&KmTV+yevZ8+P)cLDw#yHwuGKl~ zdUQ!0uyF2DrN;NtmQH-qU?ttCCC05PNv?t= zhlLW~v?$)pX$qJ)0ZgpcBwXhV5So&E*=o)97G|(HF>y|>){!CAIqP~zn42pwuL5yi zx`A!O>+k|<&PTbxeTnB}cUJJR9WT+z=|ZNVV#smfYE1{tN)kb+Dnvuq>YRbtO0^z* zyB4AldiDPhE`nUb_?z;X?O|rV`RU^Pl*2>u0<=!)3b+EtDG-l?O+uYst@qs|J|GF4 zs1hgF#!+Y?u4nbiDv^=sOI2(7Dh+n*AFomYN1=t*mhtL5awdREO1Yl?ZarjC=WJ?B z1{bgewzqh5fwa8~SKv4Wn6+~o%Z=Om6j3|1r9}$qQl>7Q1DvjmZK3{<9TAj15N0-zAuD}Kr;9po?hsm#dy14>f z1ri8VN%E>+a#$$wUCW%N*hpw3TsdgyTAecxYfA3npF*?|Ce)JAL)X-pl*SV3)w-*n z16+Y=3MAlarsY+l;COAJ#5e7&cr&M&;NpygD+diNlU+DIYH$W(&60GmnoUe6!Z#_w zoosKBh&ozXz#WraI1-RDr^-)3M%wzu6M}dLYTRz(5%Fvbkfz=5c0=fdeL0$ZIOVad z!KpG<-FAs*1H{>wo{6}D%h+W>^9!B^`l?QRiYI4(W1(}z?liP`6)<__7hj2q`+gT@x8PqzPN6#fGbc^AeT*3zyuwMP!8Jy zq-P0$Dx106k^^+t5{NRV%2+{0)+WN%KHD-9wLSw*RW`?Niw+vQ7C;m^6CO3#Lyd8| zxdN`h))nx*%&zzwkeBqak|YMhsxKBfD2HtU(lp^p0IHS5IGS`gqx>ZKsc@={6+1Cl z6Jcw!ZQ0Y*k5MXWz+J4YMF)*dV;7D9qR5%>sKMr2i^t6sm{kG3YBO7$na}CwQDBMt zvcT78p22381u{Ha$h@SR0w!q>?8;$V1lF|#qFPCeqss>%aWJ8gR+X`W;KiBtUk`?Ph~udgEw&%zaO1zdsmsKAFGe*Efp(kH=w zkkns_AAj<}KR)<4IDK*)k^6HG8Jzo*7Tv=qKT8?aJSk`WG?Y(DBTD?$6&81|qWp6E zhouj3f4uu3$^ZEA{Bdyl&n2z;3YW31T+;ah*}a6jwF%oJsQ_2)l-g`mS- zLKg1ZDjT?Q_wqB`O77{onf^~d|4+~6$coAL`veJP6lF`hkAL}ZAKuSD-X*zXcTy9X z_N=KN4vVX-vd>M!di#onH=}gZC&OZF0}EWaY2bPe%=FJqEcMSx=)hX48TA~vUxQhU zcdW`gR^z4x@xJ<_f9ZARdXefuUY{K3HE`~;-$|u?F`%8uyl;vC38aZa7(d+o`2X0w hN`K+EJ|vJP2EO}*rnn1#?U#q5C(l6A{&)VD{~xZQNG<>X diff --git a/CH6/CH6-1/output_files/ten_line_to_four_line_BCD_priority_encoder.sta.rpt b/CH6/CH6-1/output_files/ten_line_to_four_line_BCD_priority_encoder.sta.rpt deleted file mode 100644 index 1e3961fe..00000000 --- a/CH6/CH6-1/output_files/ten_line_to_four_line_BCD_priority_encoder.sta.rpt +++ /dev/null @@ -1,640 +0,0 @@ -TimeQuest Timing Analyzer report for ten_line_to_four_line_BCD_priority_encoder -Thu Oct 17 21:26:28 2019 -Quartus II 32-bit Version 13.1.0 Build 162 10/23/2013 SJ Web Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. TimeQuest Timing Analyzer Summary - 3. Parallel Compilation - 4. Clocks - 5. Slow 1200mV 85C Model Fmax Summary - 6. Timing Closure Recommendations - 7. Slow 1200mV 85C Model Setup Summary - 8. Slow 1200mV 85C Model Hold Summary - 9. Slow 1200mV 85C Model Recovery Summary - 10. Slow 1200mV 85C Model Removal Summary - 11. Slow 1200mV 85C Model Minimum Pulse Width Summary - 12. Propagation Delay - 13. Minimum Propagation Delay - 14. Slow 1200mV 85C Model Metastability Report - 15. Slow 1200mV 0C Model Fmax Summary - 16. Slow 1200mV 0C Model Setup Summary - 17. Slow 1200mV 0C Model Hold Summary - 18. Slow 1200mV 0C Model Recovery Summary - 19. Slow 1200mV 0C Model Removal Summary - 20. Slow 1200mV 0C Model Minimum Pulse Width Summary - 21. Propagation Delay - 22. Minimum Propagation Delay - 23. Slow 1200mV 0C Model Metastability Report - 24. Fast 1200mV 0C Model Setup Summary - 25. Fast 1200mV 0C Model Hold Summary - 26. Fast 1200mV 0C Model Recovery Summary - 27. Fast 1200mV 0C Model Removal Summary - 28. Fast 1200mV 0C Model Minimum Pulse Width Summary - 29. Propagation Delay - 30. Minimum Propagation Delay - 31. Fast 1200mV 0C Model Metastability Report - 32. Multicorner Timing Analysis Summary - 33. Propagation Delay - 34. Minimum Propagation Delay - 35. Board Trace Model Assignments - 36. Input Transition Times - 37. Slow Corner Signal Integrity Metrics - 38. Fast Corner Signal Integrity Metrics - 39. Clock Transfers - 40. Report TCCS - 41. Report RSKM - 42. Unconstrained Paths - 43. TimeQuest Timing Analyzer Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 1991-2013 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. - - - -+-------------------------------------------------------------------------+ -; TimeQuest Timing Analyzer Summary ; -+--------------------+----------------------------------------------------+ -; Quartus II Version ; Version 13.1.0 Build 162 10/23/2013 SJ Web Edition ; -; Revision Name ; ten_line_to_four_line_BCD_priority_encoder ; -; Device Family ; Cyclone III ; -; Device Name ; EP3C16F484C6 ; -; Timing Models ; Final ; -; Delay Model ; Combined ; -; Rise/Fall Delays ; Enabled ; -+--------------------+----------------------------------------------------+ - - -Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. -+-------------------------------------+ -; Parallel Compilation ; -+----------------------------+--------+ -; Processors ; Number ; -+----------------------------+--------+ -; Number detected on machine ; 4 ; -; Maximum allowed ; 1 ; -+----------------------------+--------+ - - ----------- -; Clocks ; ----------- -No clocks to report. - - --------------------------------------- -; Slow 1200mV 85C Model Fmax Summary ; --------------------------------------- -No paths to report. - - ----------------------------------- -; Timing Closure Recommendations ; ----------------------------------- -HTML report is unavailable in plain text report export. - - ---------------------------------------- -; Slow 1200mV 85C Model Setup Summary ; ---------------------------------------- -No paths to report. - - --------------------------------------- -; Slow 1200mV 85C Model Hold Summary ; --------------------------------------- -No paths to report. - - ------------------------------------------- -; Slow 1200mV 85C Model Recovery Summary ; ------------------------------------------- -No paths to report. - - ------------------------------------------ -; Slow 1200mV 85C Model Removal Summary ; ------------------------------------------ -No paths to report. - - ------------------------------------------------------ -; Slow 1200mV 85C Model Minimum Pulse Width Summary ; ------------------------------------------------------ -No paths to report. - - -+----------------------------------------------------------+ -; Propagation Delay ; -+------------+-------------+-------+-------+-------+-------+ -; Input Port ; Output Port ; RR ; RF ; FR ; FF ; -+------------+-------------+-------+-------+-------+-------+ -; I2 ; B ; ; 7.089 ; 7.440 ; ; -; I3 ; A ; ; 6.494 ; 6.910 ; ; -; I3 ; B ; ; 6.788 ; 7.144 ; ; -; I4 ; A ; 6.250 ; ; ; 6.641 ; -; I4 ; B ; 6.486 ; ; ; 6.937 ; -; I4 ; C ; ; 6.289 ; 6.735 ; ; -; I5 ; A ; ; 6.593 ; 7.000 ; ; -; I5 ; B ; 6.842 ; ; ; 7.317 ; -; I5 ; C ; ; 6.425 ; 6.857 ; ; -; I6 ; A ; 6.780 ; ; ; 7.228 ; -; I6 ; B ; ; 6.470 ; 6.907 ; ; -; I6 ; C ; ; 6.890 ; 7.386 ; ; -; I7 ; A ; ; 6.456 ; 6.875 ; ; -; I7 ; B ; ; 6.292 ; 6.709 ; ; -; I7 ; C ; ; 6.727 ; 7.201 ; ; -; I8 ; A ; 6.355 ; ; ; 6.780 ; -; I8 ; B ; 6.721 ; ; ; 7.100 ; -; I8 ; C ; 7.156 ; ; ; 7.512 ; -; I8 ; D ; ; 6.596 ; 7.037 ; ; -; I9 ; A ; ; 6.462 ; 6.877 ; ; -; I9 ; B ; 6.561 ; ; ; 6.918 ; -; I9 ; C ; 6.996 ; ; ; 7.330 ; -; I9 ; D ; ; 6.436 ; 6.855 ; ; -+------------+-------------+-------+-------+-------+-------+ - - -+----------------------------------------------------------+ -; Minimum Propagation Delay ; -+------------+-------------+-------+-------+-------+-------+ -; Input Port ; Output Port ; RR ; RF ; FR ; FF ; -+------------+-------------+-------+-------+-------+-------+ -; I2 ; B ; ; 6.922 ; 7.264 ; ; -; I3 ; A ; ; 6.348 ; 6.753 ; ; -; I3 ; B ; ; 6.631 ; 6.978 ; ; -; I4 ; A ; 6.115 ; ; ; 6.493 ; -; I4 ; B ; 6.341 ; ; ; 6.778 ; -; I4 ; C ; ; 6.151 ; 6.586 ; ; -; I5 ; A ; ; 6.440 ; 6.838 ; ; -; I5 ; B ; 6.682 ; ; ; 7.143 ; -; I5 ; C ; ; 6.281 ; 6.701 ; ; -; I6 ; A ; 6.562 ; ; ; 6.972 ; -; I6 ; B ; ; 6.325 ; 6.749 ; ; -; I6 ; C ; ; 6.681 ; 7.151 ; ; -; I7 ; A ; ; 6.311 ; 6.720 ; ; -; I7 ; B ; ; 6.154 ; 6.559 ; ; -; I7 ; C ; ; 6.568 ; 7.031 ; ; -; I8 ; A ; 6.213 ; ; ; 6.627 ; -; I8 ; B ; 6.568 ; ; ; 6.935 ; -; I8 ; C ; 6.908 ; ; ; 7.260 ; -; I8 ; D ; ; 6.447 ; 6.875 ; ; -; I9 ; A ; ; 6.250 ; 6.654 ; ; -; I9 ; B ; 6.414 ; ; ; 6.761 ; -; I9 ; C ; 6.754 ; ; ; 7.086 ; -; I9 ; D ; ; 6.293 ; 6.701 ; ; -+------------+-------------+-------+-------+-------+-------+ - - ----------------------------------------------- -; Slow 1200mV 85C Model Metastability Report ; ----------------------------------------------- -No synchronizer chains to report. - - -------------------------------------- -; Slow 1200mV 0C Model Fmax Summary ; -------------------------------------- -No paths to report. - - --------------------------------------- -; Slow 1200mV 0C Model Setup Summary ; --------------------------------------- -No paths to report. - - -------------------------------------- -; Slow 1200mV 0C Model Hold Summary ; -------------------------------------- -No paths to report. - - ------------------------------------------ -; Slow 1200mV 0C Model Recovery Summary ; ------------------------------------------ -No paths to report. - - ----------------------------------------- -; Slow 1200mV 0C Model Removal Summary ; ----------------------------------------- -No paths to report. - - ----------------------------------------------------- -; Slow 1200mV 0C Model Minimum Pulse Width Summary ; ----------------------------------------------------- -No paths to report. - - -+----------------------------------------------------------+ -; Propagation Delay ; -+------------+-------------+-------+-------+-------+-------+ -; Input Port ; Output Port ; RR ; RF ; FR ; FF ; -+------------+-------------+-------+-------+-------+-------+ -; I2 ; B ; ; 6.554 ; 6.862 ; ; -; I3 ; A ; ; 6.010 ; 6.389 ; ; -; I3 ; B ; ; 6.271 ; 6.595 ; ; -; I4 ; A ; 5.814 ; ; ; 6.130 ; -; I4 ; B ; 6.021 ; ; ; 6.394 ; -; I4 ; C ; ; 5.840 ; 6.248 ; ; -; I5 ; A ; ; 6.108 ; 6.473 ; ; -; I5 ; B ; 6.349 ; ; ; 6.733 ; -; I5 ; C ; ; 5.960 ; 6.357 ; ; -; I6 ; A ; 6.297 ; ; ; 6.642 ; -; I6 ; B ; ; 6.003 ; 6.373 ; ; -; I6 ; C ; ; 6.383 ; 6.818 ; ; -; I7 ; A ; ; 5.991 ; 6.349 ; ; -; I7 ; B ; ; 5.844 ; 6.208 ; ; -; I7 ; C ; ; 6.240 ; 6.665 ; ; -; I8 ; A ; 5.909 ; ; ; 6.255 ; -; I8 ; B ; 6.237 ; ; ; 6.546 ; -; I8 ; C ; 6.639 ; ; ; 6.915 ; -; I8 ; D ; ; 6.119 ; 6.507 ; ; -; I9 ; A ; ; 5.987 ; 6.350 ; ; -; I9 ; B ; 6.094 ; ; ; 6.383 ; -; I9 ; C ; 6.496 ; ; ; 6.752 ; -; I9 ; D ; ; 5.976 ; 6.344 ; ; -+------------+-------------+-------+-------+-------+-------+ - - -+----------------------------------------------------------+ -; Minimum Propagation Delay ; -+------------+-------------+-------+-------+-------+-------+ -; Input Port ; Output Port ; RR ; RF ; FR ; FF ; -+------------+-------------+-------+-------+-------+-------+ -; I2 ; B ; ; 6.410 ; 6.712 ; ; -; I3 ; A ; ; 5.888 ; 6.256 ; ; -; I3 ; B ; ; 6.137 ; 6.453 ; ; -; I4 ; A ; 5.698 ; ; ; 6.009 ; -; I4 ; B ; 5.897 ; ; ; 6.259 ; -; I4 ; C ; ; 5.723 ; 6.121 ; ; -; I5 ; A ; ; 5.979 ; 6.334 ; ; -; I5 ; B ; 6.212 ; ; ; 6.584 ; -; I5 ; C ; ; 5.838 ; 6.225 ; ; -; I6 ; A ; 6.106 ; ; ; 6.424 ; -; I6 ; B ; ; 5.878 ; 6.241 ; ; -; I6 ; C ; ; 6.200 ; 6.614 ; ; -; I7 ; A ; ; 5.869 ; 6.216 ; ; -; I7 ; B ; ; 5.726 ; 6.081 ; ; -; I7 ; C ; ; 6.104 ; 6.518 ; ; -; I8 ; A ; 5.787 ; ; ; 6.126 ; -; I8 ; B ; 6.103 ; ; ; 6.406 ; -; I8 ; C ; 6.422 ; ; ; 6.702 ; -; I8 ; D ; ; 5.990 ; 6.370 ; ; -; I9 ; A ; ; 5.808 ; 6.157 ; ; -; I9 ; B ; 5.966 ; ; ; 6.247 ; -; I9 ; C ; 6.285 ; ; ; 6.543 ; -; I9 ; D ; ; 5.853 ; 6.211 ; ; -+------------+-------------+-------+-------+-------+-------+ - - ---------------------------------------------- -; Slow 1200mV 0C Model Metastability Report ; ---------------------------------------------- -No synchronizer chains to report. - - --------------------------------------- -; Fast 1200mV 0C Model Setup Summary ; --------------------------------------- -No paths to report. - - -------------------------------------- -; Fast 1200mV 0C Model Hold Summary ; -------------------------------------- -No paths to report. - - ------------------------------------------ -; Fast 1200mV 0C Model Recovery Summary ; ------------------------------------------ -No paths to report. - - ----------------------------------------- -; Fast 1200mV 0C Model Removal Summary ; ----------------------------------------- -No paths to report. - - ----------------------------------------------------- -; Fast 1200mV 0C Model Minimum Pulse Width Summary ; ----------------------------------------------------- -No paths to report. - - -+----------------------------------------------------------+ -; Propagation Delay ; -+------------+-------------+-------+-------+-------+-------+ -; Input Port ; Output Port ; RR ; RF ; FR ; FF ; -+------------+-------------+-------+-------+-------+-------+ -; I2 ; B ; ; 4.235 ; 4.769 ; ; -; I3 ; A ; ; 3.884 ; 4.431 ; ; -; I3 ; B ; ; 4.050 ; 4.564 ; ; -; I4 ; A ; 3.733 ; ; ; 4.291 ; -; I4 ; B ; 3.868 ; ; ; 4.459 ; -; I4 ; C ; ; 3.780 ; 4.339 ; ; -; I5 ; A ; ; 3.945 ; 4.485 ; ; -; I5 ; B ; 4.074 ; ; ; 4.685 ; -; I5 ; C ; ; 3.863 ; 4.414 ; ; -; I6 ; A ; 4.038 ; ; ; 4.637 ; -; I6 ; B ; ; 3.884 ; 4.456 ; ; -; I6 ; C ; ; 4.116 ; 4.712 ; ; -; I7 ; A ; ; 3.873 ; 4.442 ; ; -; I7 ; B ; ; 3.787 ; 4.339 ; ; -; I7 ; C ; ; 4.020 ; 4.601 ; ; -; I8 ; A ; 3.821 ; ; ; 4.388 ; -; I8 ; B ; 4.031 ; ; ; 4.564 ; -; I8 ; C ; 4.272 ; ; ; 4.792 ; -; I8 ; D ; ; 3.995 ; 4.524 ; ; -; I9 ; A ; ; 3.865 ; 4.439 ; ; -; I9 ; B ; 3.920 ; ; ; 4.459 ; -; I9 ; C ; 4.161 ; ; ; 4.687 ; -; I9 ; D ; ; 3.884 ; 4.419 ; ; -+------------+-------------+-------+-------+-------+-------+ - - -+----------------------------------------------------------+ -; Minimum Propagation Delay ; -+------------+-------------+-------+-------+-------+-------+ -; Input Port ; Output Port ; RR ; RF ; FR ; FF ; -+------------+-------------+-------+-------+-------+-------+ -; I2 ; B ; ; 4.138 ; 4.668 ; ; -; I3 ; A ; ; 3.799 ; 4.340 ; ; -; I3 ; B ; ; 3.959 ; 4.470 ; ; -; I4 ; A ; 3.653 ; ; ; 4.207 ; -; I4 ; B ; 3.784 ; ; ; 4.368 ; -; I4 ; C ; ; 3.699 ; 4.253 ; ; -; I5 ; A ; ; 3.857 ; 4.390 ; ; -; I5 ; B ; 3.982 ; ; ; 4.585 ; -; I5 ; C ; ; 3.779 ; 4.325 ; ; -; I6 ; A ; 3.911 ; ; ; 4.490 ; -; I6 ; B ; ; 3.799 ; 4.366 ; ; -; I6 ; C ; ; 3.997 ; 4.576 ; ; -; I7 ; A ; ; 3.787 ; 4.350 ; ; -; I7 ; B ; ; 3.706 ; 4.253 ; ; -; I7 ; C ; ; 3.931 ; 4.505 ; ; -; I8 ; A ; 3.738 ; ; ; 4.299 ; -; I8 ; B ; 3.940 ; ; ; 4.469 ; -; I8 ; C ; 4.123 ; ; ; 4.647 ; -; I8 ; D ; ; 3.905 ; 4.430 ; ; -; I9 ; A ; ; 3.740 ; 4.306 ; ; -; I9 ; B ; 3.833 ; ; ; 4.368 ; -; I9 ; C ; 4.016 ; ; ; 4.546 ; -; I9 ; D ; ; 3.798 ; 4.329 ; ; -+------------+-------------+-------+-------+-------+-------+ - - ---------------------------------------------- -; Fast 1200mV 0C Model Metastability Report ; ---------------------------------------------- -No synchronizer chains to report. - - -+----------------------------------------------------------------------------+ -; Multicorner Timing Analysis Summary ; -+------------------+-------+------+----------+---------+---------------------+ -; Clock ; Setup ; Hold ; Recovery ; Removal ; Minimum Pulse Width ; -+------------------+-------+------+----------+---------+---------------------+ -; Worst-case Slack ; N/A ; N/A ; N/A ; N/A ; N/A ; -; Design-wide TNS ; 0.0 ; 0.0 ; 0.0 ; 0.0 ; 0.0 ; -+------------------+-------+------+----------+---------+---------------------+ - - -+----------------------------------------------------------+ -; Propagation Delay ; -+------------+-------------+-------+-------+-------+-------+ -; Input Port ; Output Port ; RR ; RF ; FR ; FF ; -+------------+-------------+-------+-------+-------+-------+ -; I2 ; B ; ; 7.089 ; 7.440 ; ; -; I3 ; A ; ; 6.494 ; 6.910 ; ; -; I3 ; B ; ; 6.788 ; 7.144 ; ; -; I4 ; A ; 6.250 ; ; ; 6.641 ; -; I4 ; B ; 6.486 ; ; ; 6.937 ; -; I4 ; C ; ; 6.289 ; 6.735 ; ; -; I5 ; A ; ; 6.593 ; 7.000 ; ; -; I5 ; B ; 6.842 ; ; ; 7.317 ; -; I5 ; C ; ; 6.425 ; 6.857 ; ; -; I6 ; A ; 6.780 ; ; ; 7.228 ; -; I6 ; B ; ; 6.470 ; 6.907 ; ; -; I6 ; C ; ; 6.890 ; 7.386 ; ; -; I7 ; A ; ; 6.456 ; 6.875 ; ; -; I7 ; B ; ; 6.292 ; 6.709 ; ; -; I7 ; C ; ; 6.727 ; 7.201 ; ; -; I8 ; A ; 6.355 ; ; ; 6.780 ; -; I8 ; B ; 6.721 ; ; ; 7.100 ; -; I8 ; C ; 7.156 ; ; ; 7.512 ; -; I8 ; D ; ; 6.596 ; 7.037 ; ; -; I9 ; A ; ; 6.462 ; 6.877 ; ; -; I9 ; B ; 6.561 ; ; ; 6.918 ; -; I9 ; C ; 6.996 ; ; ; 7.330 ; -; I9 ; D ; ; 6.436 ; 6.855 ; ; -+------------+-------------+-------+-------+-------+-------+ - - -+----------------------------------------------------------+ -; Minimum Propagation Delay ; -+------------+-------------+-------+-------+-------+-------+ -; Input Port ; Output Port ; RR ; RF ; FR ; FF ; -+------------+-------------+-------+-------+-------+-------+ -; I2 ; B ; ; 4.138 ; 4.668 ; ; -; I3 ; A ; ; 3.799 ; 4.340 ; ; -; I3 ; B ; ; 3.959 ; 4.470 ; ; -; I4 ; A ; 3.653 ; ; ; 4.207 ; -; I4 ; B ; 3.784 ; ; ; 4.368 ; -; I4 ; C ; ; 3.699 ; 4.253 ; ; -; I5 ; A ; ; 3.857 ; 4.390 ; ; -; I5 ; B ; 3.982 ; ; ; 4.585 ; -; I5 ; C ; ; 3.779 ; 4.325 ; ; -; I6 ; A ; 3.911 ; ; ; 4.490 ; -; I6 ; B ; ; 3.799 ; 4.366 ; ; -; I6 ; C ; ; 3.997 ; 4.576 ; ; -; I7 ; A ; ; 3.787 ; 4.350 ; ; -; I7 ; B ; ; 3.706 ; 4.253 ; ; -; I7 ; C ; ; 3.931 ; 4.505 ; ; -; I8 ; A ; 3.738 ; ; ; 4.299 ; -; I8 ; B ; 3.940 ; ; ; 4.469 ; -; I8 ; C ; 4.123 ; ; ; 4.647 ; -; I8 ; D ; ; 3.905 ; 4.430 ; ; -; I9 ; A ; ; 3.740 ; 4.306 ; ; -; I9 ; B ; 3.833 ; ; ; 4.368 ; -; I9 ; C ; 4.016 ; ; ; 4.546 ; -; I9 ; D ; ; 3.798 ; 4.329 ; ; -+------------+-------------+-------+-------+-------+-------+ - - -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Board Trace Model Assignments ; -+---------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+ -; Pin ; I/O Standard ; Near Tline Length ; Near Tline L per Length ; Near Tline C per Length ; Near Series R ; Near Differential R ; Near Pull-up R ; Near Pull-down R ; Near C ; Far Tline Length ; Far Tline L per Length ; Far Tline C per Length ; Far Series R ; Far Pull-up R ; Far Pull-down R ; Far C ; Termination Voltage ; Far Differential R ; EBD File Name ; EBD Signal Name ; EBD Far-end ; -+---------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+ -; A ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; -; B ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; -; C ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; -; D ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; -; ~ALTERA_DCLK~ ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; -; ~ALTERA_nCEO~ ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; -+---------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+ - - -+----------------------------------------------------------------------------+ -; Input Transition Times ; -+-------------------------+--------------+-----------------+-----------------+ -; Pin ; I/O Standard ; 10-90 Rise Time ; 90-10 Fall Time ; -+-------------------------+--------------+-----------------+-----------------+ -; I6 ; 2.5 V ; 2000 ps ; 2000 ps ; -; I4 ; 2.5 V ; 2000 ps ; 2000 ps ; -; I3 ; 2.5 V ; 2000 ps ; 2000 ps ; -; I5 ; 2.5 V ; 2000 ps ; 2000 ps ; -; I7 ; 2.5 V ; 2000 ps ; 2000 ps ; -; I9 ; 2.5 V ; 2000 ps ; 2000 ps ; -; I8 ; 2.5 V ; 2000 ps ; 2000 ps ; -; I2 ; 2.5 V ; 2000 ps ; 2000 ps ; -; ~ALTERA_ASDO_DATA1~ ; 2.5 V ; 2000 ps ; 2000 ps ; -; ~ALTERA_FLASH_nCE_nCSO~ ; 2.5 V ; 2000 ps ; 2000 ps ; -; ~ALTERA_DATA0~ ; 2.5 V ; 2000 ps ; 2000 ps ; -+-------------------------+--------------+-----------------+-----------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow Corner Signal Integrity Metrics ; -+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ -; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ; -+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ -; A ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ; -; B ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ; -; C ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ; -; D ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ; -; ~ALTERA_DCLK~ ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 5.68e-07 V ; 2.35 V ; -0.0132 V ; 0.2 V ; 0.027 V ; 5.26e-10 s ; 4.81e-10 s ; Yes ; Yes ; 2.32 V ; 5.68e-07 V ; 2.35 V ; -0.0132 V ; 0.2 V ; 0.027 V ; 5.26e-10 s ; 4.81e-10 s ; Yes ; Yes ; -; ~ALTERA_nCEO~ ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 9.36e-07 V ; 2.35 V ; -0.00444 V ; 0.18 V ; 0.019 V ; 7.23e-10 s ; 9.82e-10 s ; Yes ; Yes ; 2.32 V ; 9.36e-07 V ; 2.35 V ; -0.00444 V ; 0.18 V ; 0.019 V ; 7.23e-10 s ; 9.82e-10 s ; Yes ; Yes ; -+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fast Corner Signal Integrity Metrics ; -+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ -; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ; -+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ -; A ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ; -; B ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ; -; C ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ; -; D ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ; -; ~ALTERA_DCLK~ ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.22e-08 V ; 2.72 V ; -0.0747 V ; 0.28 V ; 0.169 V ; 3.1e-10 s ; 3.01e-10 s ; No ; Yes ; 2.62 V ; 2.22e-08 V ; 2.72 V ; -0.0747 V ; 0.28 V ; 0.169 V ; 3.1e-10 s ; 3.01e-10 s ; No ; Yes ; -; ~ALTERA_nCEO~ ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 3.53e-08 V ; 2.7 V ; -0.0212 V ; 0.204 V ; 0.049 V ; 4.85e-10 s ; 6.73e-10 s ; No ; Yes ; 2.62 V ; 3.53e-08 V ; 2.7 V ; -0.0212 V ; 0.204 V ; 0.049 V ; 4.85e-10 s ; 6.73e-10 s ; No ; Yes ; -+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ - - -------------------- -; Clock Transfers ; -------------------- -Nothing to report. - - ---------------- -; Report TCCS ; ---------------- -No dedicated SERDES Transmitter circuitry present in device or used in design - - ---------------- -; Report RSKM ; ---------------- -No non-DPA dedicated SERDES Receiver circuitry present in device or used in design - - -+------------------------------------------------+ -; Unconstrained Paths ; -+---------------------------------+-------+------+ -; Property ; Setup ; Hold ; -+---------------------------------+-------+------+ -; Illegal Clocks ; 0 ; 0 ; -; Unconstrained Clocks ; 0 ; 0 ; -; Unconstrained Input Ports ; 8 ; 8 ; -; Unconstrained Input Port Paths ; 23 ; 23 ; -; Unconstrained Output Ports ; 4 ; 4 ; -; Unconstrained Output Port Paths ; 23 ; 23 ; -+---------------------------------+-------+------+ - - -+------------------------------------+ -; TimeQuest Timing Analyzer Messages ; -+------------------------------------+ -Info: ******************************************************************* -Info: Running Quartus II 32-bit TimeQuest Timing Analyzer - Info: Version 13.1.0 Build 162 10/23/2013 SJ Web Edition - Info: Processing started: Thu Oct 17 21:26:26 2019 -Info: Command: quartus_sta ten_line_to_four_line_BCD_priority_encoder -c ten_line_to_four_line_BCD_priority_encoder -Info: qsta_default_script.tcl version: #1 -Warning (20028): Parallel compilation is not licensed and has been disabled -Info (21077): Core supply voltage is 1.2V -Info (21077): Low junction temperature is 0 degrees C -Info (21077): High junction temperature is 85 degrees C -Critical Warning (332012): Synopsys Design Constraints File file not found: 'ten_line_to_four_line_BCD_priority_encoder.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. -Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" -Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. -Warning (332068): No clocks defined in design. -Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty" -Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. -Info: Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON -Info (332159): No clocks to report -Info: Analyzing Slow 1200mV 85C Model -Info (332140): No fmax paths to report -Info (332140): No Setup paths to report -Info (332140): No Hold paths to report -Info (332140): No Recovery paths to report -Info (332140): No Removal paths to report -Info (332140): No Minimum Pulse Width paths to report -Info: Analyzing Slow 1200mV 0C Model -Info (334003): Started post-fitting delay annotation -Info (334004): Delay annotation completed successfully -Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" -Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. -Warning (332068): No clocks defined in design. -Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. -Info (332140): No fmax paths to report -Info (332140): No Setup paths to report -Info (332140): No Hold paths to report -Info (332140): No Recovery paths to report -Info (332140): No Removal paths to report -Info (332140): No Minimum Pulse Width paths to report -Info: Analyzing Fast 1200mV 0C Model -Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" -Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. -Warning (332068): No clocks defined in design. -Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. -Info (332140): No Setup paths to report -Info (332140): No Hold paths to report -Info (332140): No Recovery paths to report -Info (332140): No Removal paths to report -Info (332140): No Minimum Pulse Width paths to report -Info (332102): Design is not fully constrained for setup requirements -Info (332102): Design is not fully constrained for hold requirements -Info: Quartus II 32-bit TimeQuest Timing Analyzer was successful. 0 errors, 5 warnings - Info: Peak virtual memory: 369 megabytes - Info: Processing ended: Thu Oct 17 21:26:28 2019 - Info: Elapsed time: 00:00:02 - Info: Total CPU time (on all processors): 00:00:03 - - diff --git a/CH6/CH6-1/output_files/ten_line_to_four_line_BCD_priority_encoder.sta.summary b/CH6/CH6-1/output_files/ten_line_to_four_line_BCD_priority_encoder.sta.summary deleted file mode 100644 index 33f74363..00000000 --- a/CH6/CH6-1/output_files/ten_line_to_four_line_BCD_priority_encoder.sta.summary +++ /dev/null @@ -1,5 +0,0 @@ ------------------------------------------------------------- -TimeQuest Timing Analyzer Summary ------------------------------------------------------------- - ------------------------------------------------------------- diff --git a/CH6/CH6-1/output_files/three_line_to_eight_decimal_decoder.asm.rpt b/CH6/CH6-1/output_files/three_line_to_eight_decimal_decoder.asm.rpt deleted file mode 100644 index 6cea6a0b..00000000 --- a/CH6/CH6-1/output_files/three_line_to_eight_decimal_decoder.asm.rpt +++ /dev/null @@ -1,116 +0,0 @@ -Assembler report for three_line_to_eight_decimal_decoder -Thu Oct 24 21:57:51 2019 -Quartus II 32-bit Version 13.1.0 Build 162 10/23/2013 SJ Web Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. Assembler Summary - 3. Assembler Settings - 4. Assembler Generated Files - 5. Assembler Device Options: three_line_to_eight_decimal_decoder.sof - 6. Assembler Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 1991-2013 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. - - - -+---------------------------------------------------------------+ -; Assembler Summary ; -+-----------------------+---------------------------------------+ -; Assembler Status ; Successful - Thu Oct 24 21:57:51 2019 ; -; Revision Name ; three_line_to_eight_decimal_decoder ; -; Top-level Entity Name ; three_line_to_eight_decimal_decoder ; -; Family ; Cyclone III ; -; Device ; EP3C16F484C6 ; -+-----------------------+---------------------------------------+ - - -+--------------------------------------------------------------------------------------------------------+ -; Assembler Settings ; -+-----------------------------------------------------------------------------+----------+---------------+ -; Option ; Setting ; Default Value ; -+-----------------------------------------------------------------------------+----------+---------------+ -; Use smart compilation ; Off ; Off ; -; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; -; Enable compact report table ; Off ; Off ; -; Generate compressed bitstreams ; On ; On ; -; Compression mode ; Off ; Off ; -; Clock source for configuration device ; Internal ; Internal ; -; Clock frequency of the configuration device ; 10 MHZ ; 10 MHz ; -; Divide clock frequency by ; 1 ; 1 ; -; Auto user code ; On ; On ; -; Use configuration device ; Off ; Off ; -; Configuration device ; Auto ; Auto ; -; Configuration device auto user code ; Off ; Off ; -; Generate Tabular Text File (.ttf) For Target Device ; Off ; Off ; -; Generate Raw Binary File (.rbf) For Target Device ; Off ; Off ; -; Generate Hexadecimal (Intel-Format) Output File (.hexout) for Target Device ; Off ; Off ; -; Hexadecimal Output File start address ; 0 ; 0 ; -; Hexadecimal Output File count direction ; Up ; Up ; -; Release clears before tri-states ; Off ; Off ; -; Auto-restart configuration after error ; On ; On ; -; Enable OCT_DONE ; Off ; Off ; -; Generate Serial Vector Format File (.svf) for Target Device ; Off ; Off ; -; Generate a JEDEC STAPL Format File (.jam) for Target Device ; Off ; Off ; -; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; Off ; Off ; -; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; On ; On ; -+-----------------------------------------------------------------------------+----------+---------------+ - - -+-----------------------------------------+ -; Assembler Generated Files ; -+-----------------------------------------+ -; File Name ; -+-----------------------------------------+ -; three_line_to_eight_decimal_decoder.sof ; -+-----------------------------------------+ - - -+-------------------------------------------------------------------+ -; Assembler Device Options: three_line_to_eight_decimal_decoder.sof ; -+----------------+--------------------------------------------------+ -; Option ; Setting ; -+----------------+--------------------------------------------------+ -; Device ; EP3C16F484C6 ; -; JTAG usercode ; 0x000C903B ; -; Checksum ; 0x000C903B ; -+----------------+--------------------------------------------------+ - - -+--------------------+ -; Assembler Messages ; -+--------------------+ -Info: ******************************************************************* -Info: Running Quartus II 32-bit Assembler - Info: Version 13.1.0 Build 162 10/23/2013 SJ Web Edition - Info: Processing started: Thu Oct 24 21:57:49 2019 -Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off three_line_to_eight_decimal_decoder -c three_line_to_eight_decimal_decoder -Info (115031): Writing out detailed assembly data for power analysis -Info (115030): Assembler is generating device programming files -Info: Quartus II 32-bit Assembler was successful. 0 errors, 0 warnings - Info: Peak virtual memory: 382 megabytes - Info: Processing ended: Thu Oct 24 21:57:51 2019 - Info: Elapsed time: 00:00:02 - Info: Total CPU time (on all processors): 00:00:03 - - diff --git a/CH6/CH6-1/output_files/three_line_to_eight_decimal_decoder.done b/CH6/CH6-1/output_files/three_line_to_eight_decimal_decoder.done deleted file mode 100644 index 33a4669a..00000000 --- a/CH6/CH6-1/output_files/three_line_to_eight_decimal_decoder.done +++ /dev/null @@ -1 +0,0 @@ -Thu Oct 24 21:58:03 2019 diff --git a/CH6/CH6-1/output_files/three_line_to_eight_decimal_decoder.eda.rpt b/CH6/CH6-1/output_files/three_line_to_eight_decimal_decoder.eda.rpt deleted file mode 100644 index b8c4d447..00000000 --- a/CH6/CH6-1/output_files/three_line_to_eight_decimal_decoder.eda.rpt +++ /dev/null @@ -1,92 +0,0 @@ -EDA Netlist Writer report for three_line_to_eight_decimal_decoder -Thu Oct 24 21:58:03 2019 -Quartus II 32-bit Version 13.1.0 Build 162 10/23/2013 SJ Web Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. EDA Netlist Writer Summary - 3. Simulation Settings - 4. Simulation Generated Files - 5. EDA Netlist Writer Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 1991-2013 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. - - - -+-------------------------------------------------------------------+ -; EDA Netlist Writer Summary ; -+---------------------------+---------------------------------------+ -; EDA Netlist Writer Status ; Successful - Thu Oct 24 21:58:03 2019 ; -; Revision Name ; three_line_to_eight_decimal_decoder ; -; Top-level Entity Name ; three_line_to_eight_decimal_decoder ; -; Family ; Cyclone III ; -; Simulation Files Creation ; Successful ; -+---------------------------+---------------------------------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------+ -; Simulation Settings ; -+---------------------------------------------------------------------------------------------------+---------------------------+ -; Option ; Setting ; -+---------------------------------------------------------------------------------------------------+---------------------------+ -; Tool Name ; ModelSim-Altera (Verilog) ; -; Generate netlist for functional simulation only ; On ; -; Truncate long hierarchy paths ; Off ; -; Map illegal HDL characters ; Off ; -; Flatten buses into individual nodes ; Off ; -; Maintain hierarchy ; Off ; -; Bring out device-wide set/reset signals as ports ; Off ; -; Enable glitch filtering ; Off ; -; Do not write top level VHDL entity ; Off ; -; Disable detection of setup and hold time violations in the input registers of bi-directional pins ; Off ; -; Architecture name in VHDL output netlist ; structure ; -; Generate third-party EDA tool command script for RTL functional simulation ; Off ; -; Generate third-party EDA tool command script for gate-level simulation ; Off ; -+---------------------------------------------------------------------------------------------------+---------------------------+ - - -+----------------------------------------------------------------------------------------------+ -; Simulation Generated Files ; -+----------------------------------------------------------------------------------------------+ -; Generated Files ; -+----------------------------------------------------------------------------------------------+ -; /home/timmy/Git/Learn-VHDL/CH6/CH6-1/simulation/qsim//three_line_to_eight_decimal_decoder.vo ; -+----------------------------------------------------------------------------------------------+ - - -+-----------------------------+ -; EDA Netlist Writer Messages ; -+-----------------------------+ -Info: ******************************************************************* -Info: Running Quartus II 32-bit EDA Netlist Writer - Info: Version 13.1.0 Build 162 10/23/2013 SJ Web Edition - Info: Processing started: Thu Oct 24 21:58:02 2019 -Info: Command: quartus_eda --read_settings_files=off --write_settings_files=off three_line_to_eight_decimal_decoder -c three_line_to_eight_decimal_decoder -Info (204019): Generated file three_line_to_eight_decimal_decoder.vo in folder "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/simulation/qsim//" for EDA simulation tool -Info: Quartus II 32-bit EDA Netlist Writer was successful. 0 errors, 0 warnings - Info: Peak virtual memory: 348 megabytes - Info: Processing ended: Thu Oct 24 21:58:03 2019 - Info: Elapsed time: 00:00:01 - Info: Total CPU time (on all processors): 00:00:01 - - diff --git a/CH6/CH6-1/output_files/three_line_to_eight_decimal_decoder.fit.rpt b/CH6/CH6-1/output_files/three_line_to_eight_decimal_decoder.fit.rpt deleted file mode 100644 index 3de312b3..00000000 --- a/CH6/CH6-1/output_files/three_line_to_eight_decimal_decoder.fit.rpt +++ /dev/null @@ -1,1238 +0,0 @@ -Fitter report for three_line_to_eight_decimal_decoder -Thu Oct 24 21:57:44 2019 -Quartus II 32-bit Version 13.1.0 Build 162 10/23/2013 SJ Web Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. Fitter Summary - 3. Fitter Settings - 4. Parallel Compilation - 5. I/O Assignment Warnings - 6. Incremental Compilation Preservation Summary - 7. Incremental Compilation Partition Settings - 8. Incremental Compilation Placement Preservation - 9. Pin-Out File - 10. Fitter Resource Usage Summary - 11. Fitter Partition Statistics - 12. Input Pins - 13. Output Pins - 14. Dual Purpose and Dedicated Pins - 15. I/O Bank Usage - 16. All Package Pins - 17. Fitter Resource Utilization by Entity - 18. Delay Chain Summary - 19. Pad To Core Delay Chain Fanout - 20. Non-Global High Fan-Out Signals - 21. Routing Usage Summary - 22. LAB Logic Elements - 23. LAB Signals Sourced - 24. LAB Signals Sourced Out - 25. LAB Distinct Inputs - 26. I/O Rules Summary - 27. I/O Rules Details - 28. I/O Rules Matrix - 29. Fitter Device Options - 30. Operating Settings and Conditions - 31. Fitter Messages - 32. Fitter Suppressed Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 1991-2013 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. - - - -+---------------------------------------------------------------------------------+ -; Fitter Summary ; -+------------------------------------+--------------------------------------------+ -; Fitter Status ; Successful - Thu Oct 24 21:57:44 2019 ; -; Quartus II 32-bit Version ; 13.1.0 Build 162 10/23/2013 SJ Web Edition ; -; Revision Name ; three_line_to_eight_decimal_decoder ; -; Top-level Entity Name ; three_line_to_eight_decimal_decoder ; -; Family ; Cyclone III ; -; Device ; EP3C16F484C6 ; -; Timing Models ; Final ; -; Total logic elements ; 8 / 15,408 ( < 1 % ) ; -; Total combinational functions ; 8 / 15,408 ( < 1 % ) ; -; Dedicated logic registers ; 0 / 15,408 ( 0 % ) ; -; Total registers ; 0 ; -; Total pins ; 11 / 347 ( 3 % ) ; -; Total virtual pins ; 0 ; -; Total memory bits ; 0 / 516,096 ( 0 % ) ; -; Embedded Multiplier 9-bit elements ; 0 / 112 ( 0 % ) ; -; Total PLLs ; 0 / 4 ( 0 % ) ; -+------------------------------------+--------------------------------------------+ - - -+------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fitter Settings ; -+----------------------------------------------------------------------------+---------------------------------------+---------------------------------------+ -; Option ; Setting ; Default Value ; -+----------------------------------------------------------------------------+---------------------------------------+---------------------------------------+ -; Device ; EP3C16F484C6 ; ; -; Nominal Core Supply Voltage ; 1.2V ; ; -; Minimum Core Junction Temperature ; 0 ; ; -; Maximum Core Junction Temperature ; 85 ; ; -; Fit Attempts to Skip ; 0 ; 0.0 ; -; Device I/O Standard ; 2.5 V ; ; -; Use smart compilation ; Off ; Off ; -; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; -; Enable compact report table ; Off ; Off ; -; Auto Merge PLLs ; On ; On ; -; Router Timing Optimization Level ; Normal ; Normal ; -; Perform Clocking Topology Analysis During Routing ; Off ; Off ; -; Placement Effort Multiplier ; 1.0 ; 1.0 ; -; Router Effort Multiplier ; 1.0 ; 1.0 ; -; Optimize Hold Timing ; All Paths ; All Paths ; -; Optimize Multi-Corner Timing ; On ; On ; -; PowerPlay Power Optimization ; Normal compilation ; Normal compilation ; -; SSN Optimization ; Off ; Off ; -; Optimize Timing ; Normal compilation ; Normal compilation ; -; Optimize Timing for ECOs ; Off ; Off ; -; Regenerate full fit report during ECO compiles ; Off ; Off ; -; Optimize IOC Register Placement for Timing ; Normal ; Normal ; -; Limit to One Fitting Attempt ; Off ; Off ; -; Final Placement Optimizations ; Automatically ; Automatically ; -; Fitter Aggressive Routability Optimizations ; Automatically ; Automatically ; -; Fitter Initial Placement Seed ; 1 ; 1 ; -; PCI I/O ; Off ; Off ; -; Weak Pull-Up Resistor ; Off ; Off ; -; Enable Bus-Hold Circuitry ; Off ; Off ; -; Auto Packed Registers ; Auto ; Auto ; -; Auto Delay Chains ; On ; On ; -; Auto Delay Chains for High Fanout Input Pins ; Off ; Off ; -; Allow Single-ended Buffer for Differential-XSTL Input ; Off ; Off ; -; Treat Bidirectional Pin as Output Pin ; Off ; Off ; -; Perform Physical Synthesis for Combinational Logic for Fitting ; Off ; Off ; -; Perform Physical Synthesis for Combinational Logic for Performance ; Off ; Off ; -; Perform Register Duplication for Performance ; Off ; Off ; -; Perform Logic to Memory Mapping for Fitting ; Off ; Off ; -; Perform Register Retiming for Performance ; Off ; Off ; -; Perform Asynchronous Signal Pipelining ; Off ; Off ; -; Fitter Effort ; Auto Fit ; Auto Fit ; -; Physical Synthesis Effort Level ; Normal ; Normal ; -; Logic Cell Insertion - Logic Duplication ; Auto ; Auto ; -; Auto Register Duplication ; Auto ; Auto ; -; Auto Global Clock ; On ; On ; -; Auto Global Register Control Signals ; On ; On ; -; Reserve all unused pins ; As input tri-stated with weak pull-up ; As input tri-stated with weak pull-up ; -; Synchronizer Identification ; Off ; Off ; -; Enable Beneficial Skew Optimization ; On ; On ; -; Optimize Design for Metastability ; On ; On ; -; Force Fitter to Avoid Periphery Placement Warnings ; Off ; Off ; -; RAM Bit Reservation (Cyclone III) ; Off ; Off ; -; Enable input tri-state on active configuration pins in user mode ; Off ; Off ; -+----------------------------------------------------------------------------+---------------------------------------+---------------------------------------+ - - -Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. -+-------------------------------------+ -; Parallel Compilation ; -+----------------------------+--------+ -; Processors ; Number ; -+----------------------------+--------+ -; Number detected on machine ; 4 ; -; Maximum allowed ; 1 ; -+----------------------------+--------+ - - -+-------------------------------------------------+ -; I/O Assignment Warnings ; -+----------+--------------------------------------+ -; Pin Name ; Reason ; -+----------+--------------------------------------+ -; Y0 ; Missing drive strength and slew rate ; -; Y1 ; Missing drive strength and slew rate ; -; Y2 ; Missing drive strength and slew rate ; -; Y3 ; Missing drive strength and slew rate ; -; Y4 ; Missing drive strength and slew rate ; -; Y5 ; Missing drive strength and slew rate ; -; Y6 ; Missing drive strength and slew rate ; -; Y7 ; Missing drive strength and slew rate ; -+----------+--------------------------------------+ - - -+-------------------------------------------------------------------------------------------------+ -; Incremental Compilation Preservation Summary ; -+---------------------+-------------------+----------------------------+--------------------------+ -; Type ; Total [A + B] ; From Design Partitions [A] ; From Rapid Recompile [B] ; -+---------------------+-------------------+----------------------------+--------------------------+ -; Placement (by node) ; ; ; ; -; -- Requested ; 0.00 % ( 0 / 41 ) ; 0.00 % ( 0 / 41 ) ; 0.00 % ( 0 / 41 ) ; -; -- Achieved ; 0.00 % ( 0 / 41 ) ; 0.00 % ( 0 / 41 ) ; 0.00 % ( 0 / 41 ) ; -; ; ; ; ; -; Routing (by net) ; ; ; ; -; -- Requested ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; -; -- Achieved ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; -+---------------------+-------------------+----------------------------+--------------------------+ - - -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Incremental Compilation Partition Settings ; -+--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ -; Partition Name ; Partition Type ; Netlist Type Used ; Preservation Level Used ; Netlist Type Requested ; Preservation Level Requested ; Contents ; -+--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ -; Top ; User-created ; Source File ; N/A ; Source File ; N/A ; ; -; hard_block:auto_generated_inst ; Auto-generated ; Source File ; N/A ; Source File ; N/A ; hard_block:auto_generated_inst ; -+--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ - - -+------------------------------------------------------------------------------------------------------------------------------------+ -; Incremental Compilation Placement Preservation ; -+--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ -; Partition Name ; Preservation Achieved ; Preservation Level Used ; Netlist Type Used ; Preservation Method ; Notes ; -+--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ -; Top ; 0.00 % ( 0 / 31 ) ; N/A ; Source File ; N/A ; ; -; hard_block:auto_generated_inst ; 0.00 % ( 0 / 10 ) ; N/A ; Source File ; N/A ; ; -+--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ - - -+--------------+ -; Pin-Out File ; -+--------------+ -The pin-out file can be found in /home/timmy/Git/Learn-VHDL/CH6/CH6-1/output_files/three_line_to_eight_decimal_decoder.pin. - - -+--------------------------------------------------------------------+ -; Fitter Resource Usage Summary ; -+---------------------------------------------+----------------------+ -; Resource ; Usage ; -+---------------------------------------------+----------------------+ -; Total logic elements ; 8 / 15,408 ( < 1 % ) ; -; -- Combinational with no register ; 8 ; -; -- Register only ; 0 ; -; -- Combinational with a register ; 0 ; -; ; ; -; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 0 ; -; -- 3 input functions ; 8 ; -; -- <=2 input functions ; 0 ; -; -- Register only ; 0 ; -; ; ; -; Logic elements by mode ; ; -; -- normal mode ; 8 ; -; -- arithmetic mode ; 0 ; -; ; ; -; Total registers* ; 0 / 17,068 ( 0 % ) ; -; -- Dedicated logic registers ; 0 / 15,408 ( 0 % ) ; -; -- I/O registers ; 0 / 1,660 ( 0 % ) ; -; ; ; -; Total LABs: partially or completely used ; 1 / 963 ( < 1 % ) ; -; Virtual pins ; 0 ; -; I/O pins ; 11 / 347 ( 3 % ) ; -; -- Clock pins ; 0 / 8 ( 0 % ) ; -; -- Dedicated input pins ; 0 / 9 ( 0 % ) ; -; ; ; -; Global signals ; 0 ; -; M9Ks ; 0 / 56 ( 0 % ) ; -; Total block memory bits ; 0 / 516,096 ( 0 % ) ; -; Total block memory implementation bits ; 0 / 516,096 ( 0 % ) ; -; Embedded Multiplier 9-bit elements ; 0 / 112 ( 0 % ) ; -; PLLs ; 0 / 4 ( 0 % ) ; -; Global clocks ; 0 / 20 ( 0 % ) ; -; JTAGs ; 0 / 1 ( 0 % ) ; -; CRC blocks ; 0 / 1 ( 0 % ) ; -; ASMI blocks ; 0 / 1 ( 0 % ) ; -; Impedance control blocks ; 0 / 4 ( 0 % ) ; -; Average interconnect usage (total/H/V) ; 0% / 0% / 0% ; -; Peak interconnect usage (total/H/V) ; 0% / 0% / 0% ; -; Maximum fan-out ; 8 ; -; Highest non-global fan-out ; 8 ; -; Total fan-out ; 48 ; -; Average fan-out ; 1.20 ; -+---------------------------------------------+----------------------+ -* Register count does not include registers inside RAM blocks or DSP blocks. - - - -+----------------------------------------------------------------------------------------------------+ -; Fitter Partition Statistics ; -+---------------------------------------------+---------------------+--------------------------------+ -; Statistic ; Top ; hard_block:auto_generated_inst ; -+---------------------------------------------+---------------------+--------------------------------+ -; Difficulty Clustering Region ; Low ; Low ; -; ; ; ; -; Total logic elements ; 8 / 15408 ( < 1 % ) ; 0 / 15408 ( 0 % ) ; -; -- Combinational with no register ; 8 ; 0 ; -; -- Register only ; 0 ; 0 ; -; -- Combinational with a register ; 0 ; 0 ; -; ; ; ; -; Logic element usage by number of LUT inputs ; ; ; -; -- 4 input functions ; 0 ; 0 ; -; -- 3 input functions ; 8 ; 0 ; -; -- <=2 input functions ; 0 ; 0 ; -; -- Register only ; 0 ; 0 ; -; ; ; ; -; Logic elements by mode ; ; ; -; -- normal mode ; 8 ; 0 ; -; -- arithmetic mode ; 0 ; 0 ; -; ; ; ; -; Total registers ; 0 ; 0 ; -; -- Dedicated logic registers ; 0 / 15408 ( 0 % ) ; 0 / 15408 ( 0 % ) ; -; -- I/O registers ; 0 ; 0 ; -; ; ; ; -; Total LABs: partially or completely used ; 1 / 963 ( < 1 % ) ; 0 / 963 ( 0 % ) ; -; ; ; ; -; Virtual pins ; 0 ; 0 ; -; I/O pins ; 11 ; 0 ; -; Embedded Multiplier 9-bit elements ; 0 / 112 ( 0 % ) ; 0 / 112 ( 0 % ) ; -; Total memory bits ; 0 ; 0 ; -; Total RAM block bits ; 0 ; 0 ; -; ; ; ; -; Connections ; ; ; -; -- Input Connections ; 0 ; 0 ; -; -- Registered Input Connections ; 0 ; 0 ; -; -- Output Connections ; 0 ; 0 ; -; -- Registered Output Connections ; 0 ; 0 ; -; ; ; ; -; Internal Connections ; ; ; -; -- Total Connections ; 43 ; 5 ; -; -- Registered Connections ; 0 ; 0 ; -; ; ; ; -; External Connections ; ; ; -; -- Top ; 0 ; 0 ; -; -- hard_block:auto_generated_inst ; 0 ; 0 ; -; ; ; ; -; Partition Interface ; ; ; -; -- Input Ports ; 3 ; 0 ; -; -- Output Ports ; 8 ; 0 ; -; -- Bidir Ports ; 0 ; 0 ; -; ; ; ; -; Registered Ports ; ; ; -; -- Registered Input Ports ; 0 ; 0 ; -; -- Registered Output Ports ; 0 ; 0 ; -; ; ; ; -; Port Connectivity ; ; ; -; -- Input Ports driven by GND ; 0 ; 0 ; -; -- Output Ports driven by GND ; 0 ; 0 ; -; -- Input Ports driven by VCC ; 0 ; 0 ; -; -- Output Ports driven by VCC ; 0 ; 0 ; -; -- Input Ports with no Source ; 0 ; 0 ; -; -- Output Ports with no Source ; 0 ; 0 ; -; -- Input Ports with no Fanout ; 0 ; 0 ; -; -- Output Ports with no Fanout ; 0 ; 0 ; -+---------------------------------------------+---------------------+--------------------------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Input Pins ; -+------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+ -; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Power Up High ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Termination Control Block ; Location assigned by ; -+------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+ -; A ; E3 ; 1 ; 0 ; 26 ; 7 ; 8 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; -; B ; E4 ; 1 ; 0 ; 26 ; 0 ; 8 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; -; C ; D2 ; 1 ; 0 ; 25 ; 0 ; 8 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; -+------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Output Pins ; -+------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ -; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Output Register ; Output Enable Register ; Power Up High ; Slew Rate ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Termination ; Termination Control Block ; Output Buffer Pre-emphasis ; Voltage Output Differential ; Location assigned by ; Output Enable Source ; Output Enable Group ; -+------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ -; Y0 ; B1 ; 1 ; 0 ; 27 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; -; Y1 ; B2 ; 1 ; 0 ; 27 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; -; Y2 ; C2 ; 1 ; 0 ; 26 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; -; Y3 ; C1 ; 1 ; 0 ; 26 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; -; Y4 ; E1 ; 1 ; 0 ; 24 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; -; Y5 ; F2 ; 1 ; 0 ; 24 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; -; Y6 ; H1 ; 1 ; 0 ; 21 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; -; Y7 ; J3 ; 1 ; 0 ; 21 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; User ; - ; - ; -+------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ - - -+-------------------------------------------------------------------------------------------------------------------------+ -; Dual Purpose and Dedicated Pins ; -+----------+-----------------------------+--------------------------+-------------------------+---------------------------+ -; Location ; Pin Name ; Reserved As ; User Signal Name ; Pin Type ; -+----------+-----------------------------+--------------------------+-------------------------+---------------------------+ -; E4 ; DIFFIO_L2p, nRESET ; Use as regular IO ; B ; Dual Purpose Pin ; -; D1 ; DIFFIO_L4n, DATA1, ASDO ; As input tri-stated ; ~ALTERA_ASDO_DATA1~ ; Dual Purpose Pin ; -; E2 ; DIFFIO_L6p, FLASH_nCE, nCSO ; As input tri-stated ; ~ALTERA_FLASH_nCE_nCSO~ ; Dual Purpose Pin ; -; K6 ; nSTATUS ; - ; - ; Dedicated Programming Pin ; -; K2 ; DCLK ; As output driving ground ; ~ALTERA_DCLK~ ; Dual Purpose Pin ; -; K1 ; DATA0 ; As input tri-stated ; ~ALTERA_DATA0~ ; Dual Purpose Pin ; -; K5 ; nCONFIG ; - ; - ; Dedicated Programming Pin ; -; L3 ; nCE ; - ; - ; Dedicated Programming Pin ; -; M18 ; CONF_DONE ; - ; - ; Dedicated Programming Pin ; -; M17 ; MSEL0 ; - ; - ; Dedicated Programming Pin ; -; L18 ; MSEL1 ; - ; - ; Dedicated Programming Pin ; -; L17 ; MSEL2 ; - ; - ; Dedicated Programming Pin ; -; K20 ; MSEL3 ; - ; - ; Dedicated Programming Pin ; -; K22 ; DIFFIO_R16n, nCEO ; Use as programming pin ; ~ALTERA_nCEO~ ; Dual Purpose Pin ; -+----------+-----------------------------+--------------------------+-------------------------+---------------------------+ - - -+------------------------------------------------------------+ -; I/O Bank Usage ; -+----------+------------------+---------------+--------------+ -; I/O Bank ; Usage ; VCCIO Voltage ; VREF Voltage ; -+----------+------------------+---------------+--------------+ -; 1 ; 15 / 33 ( 45 % ) ; 2.5V ; -- ; -; 2 ; 0 / 48 ( 0 % ) ; 2.5V ; -- ; -; 3 ; 0 / 46 ( 0 % ) ; 2.5V ; -- ; -; 4 ; 0 / 41 ( 0 % ) ; 2.5V ; -- ; -; 5 ; 0 / 46 ( 0 % ) ; 2.5V ; -- ; -; 6 ; 1 / 43 ( 2 % ) ; 2.5V ; -- ; -; 7 ; 0 / 47 ( 0 % ) ; 2.5V ; -- ; -; 8 ; 0 / 43 ( 0 % ) ; 2.5V ; -- ; -+----------+------------------+---------------+--------------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; All Package Pins ; -+----------+------------+----------+-----------------------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ -; Location ; Pad Number ; I/O Bank ; Pin Name/Usage ; Dir. ; I/O Standard ; Voltage ; I/O Type ; User Assignment ; Bus Hold ; Weak Pull Up ; -+----------+------------+----------+-----------------------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ -; A1 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; A2 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; A3 ; 354 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; A4 ; 350 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; A5 ; 345 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; A6 ; 336 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; A7 ; 334 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; A8 ; 332 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; A9 ; 328 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; A10 ; 326 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; A11 ; 321 ; 8 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; -; A12 ; 319 ; 7 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; -; A13 ; 314 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; A14 ; 312 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; A15 ; 307 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; A16 ; 298 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; A17 ; 296 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; A18 ; 291 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; A19 ; 290 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; A20 ; 284 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; A21 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; A22 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; AA1 ; 76 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; AA2 ; 75 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; AA3 ; 102 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AA4 ; 106 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AA5 ; 108 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AA6 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; AA7 ; 115 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AA8 ; 123 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AA9 ; 126 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AA10 ; 132 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AA11 ; 134 ; 3 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; -; AA12 ; 136 ; 4 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; -; AA13 ; 138 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AA14 ; 140 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AA15 ; 145 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AA16 ; 149 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AA17 ; 151 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AA18 ; 163 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AA19 ; 164 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AA20 ; 169 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AA21 ; 179 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; AA22 ; 178 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; AB1 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; AB2 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; AB3 ; 103 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AB4 ; 107 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AB5 ; 109 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AB6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; AB7 ; 116 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AB8 ; 124 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AB9 ; 127 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AB10 ; 133 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AB11 ; 135 ; 3 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; -; AB12 ; 137 ; 4 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; -; AB13 ; 139 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AB14 ; 141 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AB15 ; 146 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AB16 ; 150 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AB17 ; 152 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AB18 ; 162 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AB19 ; 165 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AB20 ; 170 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; AB21 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; AB22 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; B1 ; 2 ; 1 ; Y0 ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; -; B2 ; 1 ; 1 ; Y1 ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; -; B3 ; 355 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; B4 ; 351 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; B5 ; 346 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; B6 ; 337 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; B7 ; 335 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; B8 ; 333 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; B9 ; 329 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; B10 ; 327 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; B11 ; 322 ; 8 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; -; B12 ; 320 ; 7 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; -; B13 ; 315 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; B14 ; 313 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; B15 ; 308 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; B16 ; 299 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; B17 ; 297 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; B18 ; 292 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; B19 ; 289 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; B20 ; 285 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; B21 ; 269 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; B22 ; 268 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; C1 ; 7 ; 1 ; Y3 ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; -; C2 ; 6 ; 1 ; Y2 ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; -; C3 ; 358 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; C4 ; 359 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; C5 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; C6 ; 349 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; C7 ; 340 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; C8 ; 339 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; C9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; C10 ; 330 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; C11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; C12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; C13 ; 309 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; C14 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; C15 ; 300 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; -; C16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; C17 ; 286 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; C18 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; C19 ; 282 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; C20 ; 270 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; C21 ; 267 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; C22 ; 266 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; D1 ; 9 ; 1 ; ~ALTERA_ASDO_DATA1~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 2.5 V ; ; Row I/O ; N ; no ; On ; -; D2 ; 8 ; 1 ; C ; input ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; -; D3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; D4 ; ; 1 ; VCCIO1 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; D5 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; D6 ; 356 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; -; D7 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; D8 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; D9 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; D10 ; 324 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; D11 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; D12 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; D13 ; 310 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; D14 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; D15 ; 293 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; D16 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; D17 ; 281 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; -; D18 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; D19 ; 283 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; D20 ; 271 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; D21 ; 261 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; D22 ; 260 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; E1 ; 14 ; 1 ; Y4 ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; -; E2 ; 13 ; 1 ; ~ALTERA_FLASH_nCE_nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 2.5 V ; ; Row I/O ; N ; no ; On ; -; E3 ; 5 ; 1 ; A ; input ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; -; E4 ; 4 ; 1 ; B ; input ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; -; E5 ; 363 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; E6 ; 362 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; E7 ; 357 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; E8 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; E9 ; 338 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; -; E10 ; 325 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; E11 ; 317 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; E12 ; 316 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; E13 ; 311 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; E14 ; 301 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; E15 ; 294 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; E16 ; 275 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; E17 ; ; ; VCCD_PLL2 ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; E18 ; ; ; GNDA2 ; gnd ; ; ; -- ; ; -- ; -- ; -; E19 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; E20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; E21 ; 256 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; E22 ; 255 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; F1 ; 16 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; F2 ; 15 ; 1 ; Y5 ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; -; F3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; F4 ; ; 1 ; VCCIO1 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; F5 ; ; ; GNDA3 ; gnd ; ; ; -- ; ; -- ; -- ; -; F6 ; ; ; VCCD_PLL3 ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; F7 ; 360 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; F8 ; 352 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; F9 ; 347 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; F10 ; 348 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; F11 ; 318 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; F12 ; 302 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; F13 ; 306 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; F14 ; 279 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; F15 ; 276 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; F16 ; 274 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; F17 ; 272 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; F18 ; ; -- ; VCCA2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; F19 ; 263 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; F20 ; 262 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; F21 ; 251 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; F22 ; 250 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; G1 ; 39 ; 1 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; -; G2 ; 38 ; 1 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; -; G3 ; 18 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; G4 ; 17 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; G5 ; 3 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; G6 ; ; -- ; VCCA3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; G7 ; 361 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; G8 ; 353 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; G9 ; 342 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; G10 ; 341 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; G11 ; 331 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; G12 ; 305 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; G13 ; 295 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; G14 ; 280 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; G15 ; 278 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; G16 ; 277 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; G17 ; 273 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; G18 ; 264 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; G19 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; G20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; G21 ; 226 ; 6 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; -; G22 ; 225 ; 6 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; -; H1 ; 26 ; 1 ; Y6 ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; -; H2 ; 25 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; H3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; H4 ; ; 1 ; VCCIO1 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; H5 ; 0 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; H6 ; 11 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; H7 ; 10 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; -; H8 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; H9 ; 344 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; H10 ; 343 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; H11 ; 323 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; H12 ; 304 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; H13 ; 303 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; H14 ; 288 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; H15 ; 287 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; H16 ; 259 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; H17 ; 265 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; H18 ; 257 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; -; H19 ; 254 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; H20 ; 253 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; H21 ; 246 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; H22 ; 245 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; J1 ; 29 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; J2 ; 28 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; J3 ; 27 ; 1 ; Y7 ; output ; 2.5 V ; ; Row I/O ; Y ; no ; Off ; -; J4 ; 24 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; J5 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; J6 ; 12 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; J7 ; 22 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; J8 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; J9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; J10 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; J11 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; J12 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; J13 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; J14 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; J15 ; 238 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; J16 ; 243 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; J17 ; 258 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; J18 ; 249 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; J19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; J20 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; J21 ; 242 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; J22 ; 241 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; K1 ; 31 ; 1 ; ~ALTERA_DATA0~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 2.5 V ; ; Row I/O ; N ; no ; On ; -; K2 ; 30 ; 1 ; ~ALTERA_DCLK~ ; output ; 2.5 V ; ; Row I/O ; N ; no ; On ; -; K3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; K4 ; ; 1 ; VCCIO1 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; K5 ; 32 ; 1 ; ^nCONFIG ; ; ; ; -- ; ; -- ; -- ; -; K6 ; 19 ; 1 ; ^nSTATUS ; ; ; ; -- ; ; -- ; -- ; -; K7 ; 23 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; K8 ; 21 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; K9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; K10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; K11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; K12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; K13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; K14 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; K15 ; 236 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; K16 ; 244 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; K17 ; 247 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; K18 ; 248 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; K19 ; 237 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; -; K20 ; 231 ; 6 ; ^MSEL3 ; ; ; ; -- ; ; -- ; -- ; -; K21 ; 240 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; K22 ; 239 ; 6 ; ~ALTERA_nCEO~ / RESERVED_OUTPUT_OPEN_DRAIN ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ; -; L1 ; 35 ; 1 ; #TMS ; input ; ; ; -- ; ; -- ; -- ; -; L2 ; 34 ; 1 ; #TCK ; input ; ; ; -- ; ; -- ; -- ; -; L3 ; 37 ; 1 ; ^nCE ; ; ; ; -- ; ; -- ; -- ; -; L4 ; 36 ; 1 ; #TDO ; output ; ; ; -- ; ; -- ; -- ; -; L5 ; 33 ; 1 ; #TDI ; input ; ; ; -- ; ; -- ; -- ; -; L6 ; 42 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; L7 ; 50 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; L8 ; 20 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; L9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; L10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; L11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; L12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; L13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; L14 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; L15 ; 233 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; L16 ; 232 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; L17 ; 230 ; 6 ; ^MSEL2 ; ; ; ; -- ; ; -- ; -- ; -; L18 ; 229 ; 6 ; ^MSEL1 ; ; ; ; -- ; ; -- ; -- ; -; L19 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; L20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; L21 ; 235 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; L22 ; 234 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; M1 ; 45 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; M2 ; 44 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; M3 ; 47 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; M4 ; 46 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; M5 ; 51 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; -; M6 ; 43 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; M7 ; 65 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; M8 ; 66 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; M9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; M10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; M11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; M12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; M13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; M14 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; M15 ; 195 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; M16 ; 222 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; M17 ; 228 ; 6 ; ^MSEL0 ; ; ; ; -- ; ; -- ; -- ; -; M18 ; 227 ; 6 ; ^CONF_DONE ; ; ; ; -- ; ; -- ; -- ; -; M19 ; 221 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; M20 ; 220 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; M21 ; 219 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; M22 ; 218 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; N1 ; 49 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; N2 ; 48 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; N3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; N4 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; N5 ; 56 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; N6 ; 64 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; N7 ; 73 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; N8 ; 67 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; N9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; N10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; N11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; N12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; N13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; N14 ; 189 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; N15 ; 196 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; N16 ; 205 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; N17 ; 214 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; N18 ; 215 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; N19 ; 213 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; N20 ; 212 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; N21 ; 217 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; N22 ; 216 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; P1 ; 53 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; P2 ; 52 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; P3 ; 58 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; P4 ; 57 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; P5 ; 63 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; P6 ; 79 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; P7 ; 74 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; P8 ; 86 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; P9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; P10 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; P11 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; P12 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; P13 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; P14 ; 180 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; P15 ; 192 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; P16 ; 193 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; P17 ; 197 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; P18 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; P19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; P20 ; 208 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; -; P21 ; 211 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; P22 ; 210 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; R1 ; 55 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; R2 ; 54 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; R3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; R4 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; R5 ; 80 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; R6 ; 83 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; R7 ; 84 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; R8 ; 87 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; R9 ; 88 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; R10 ; 90 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; R11 ; 97 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; R12 ; 98 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; R13 ; 153 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; R14 ; 175 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; R15 ; 176 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; R16 ; 172 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; R17 ; 194 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; -; R18 ; 203 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; R19 ; 204 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; R20 ; 200 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; R21 ; 207 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; R22 ; 206 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; T1 ; 41 ; 2 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; -; T2 ; 40 ; 2 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; -; T3 ; 72 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; -; T4 ; 81 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; T5 ; 82 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; T6 ; ; -- ; VCCA1 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; T7 ; 85 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; T8 ; 89 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; T9 ; 91 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; T10 ; 121 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; T11 ; 125 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; T12 ; 148 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; T13 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; T14 ; 160 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; T15 ; 161 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; T16 ; 171 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; T17 ; 181 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; T18 ; 182 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; T19 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; T20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; T21 ; 224 ; 5 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; -; T22 ; 223 ; 5 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; -; U1 ; 60 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; U2 ; 59 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; U3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; U4 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; U5 ; ; ; GNDA1 ; gnd ; ; ; -- ; ; -- ; -- ; -; U6 ; ; ; VCCD_PLL1 ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; U7 ; 94 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; U8 ; 95 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; U9 ; 112 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; U10 ; 122 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; U11 ; 128 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; U12 ; 147 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; U13 ; 156 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; U14 ; 174 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; U15 ; 173 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; U16 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; U17 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; U18 ; ; -- ; VCCA4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; U19 ; 188 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; U20 ; 187 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; U21 ; 202 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; U22 ; 201 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; V1 ; 62 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; V2 ; 61 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; V3 ; 78 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; V4 ; 77 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; V5 ; 93 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; V6 ; 92 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; V7 ; 105 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; V8 ; 113 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; V9 ; 119 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; -; V10 ; 120 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; V11 ; 129 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; V12 ; 142 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; V13 ; 154 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; V14 ; 157 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; V15 ; 158 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; V16 ; 168 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; -; V17 ; ; ; VCCD_PLL4 ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; V18 ; ; ; GNDA4 ; gnd ; ; ; -- ; ; -- ; -- ; -; V19 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; V20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; V21 ; 199 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; V22 ; 198 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; W1 ; 69 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; W2 ; 68 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; W3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; W4 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; W5 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; W6 ; 104 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; W7 ; 110 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; W8 ; 114 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; W9 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; W10 ; 130 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; W11 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; W12 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; W13 ; 143 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; W14 ; 155 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; -; W15 ; 159 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; W16 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; W17 ; 166 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; W18 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; W19 ; 184 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; W20 ; 183 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; W21 ; 191 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; W22 ; 190 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; Y1 ; 71 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; Y2 ; 70 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; Y3 ; 99 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; Y4 ; 96 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; -; Y5 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; Y6 ; 101 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; Y7 ; 111 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; Y8 ; 117 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; Y9 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; Y10 ; 131 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; Y11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; Y12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; Y13 ; 144 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; Y14 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; Y15 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; Y16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; Y17 ; 167 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; Y18 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; Y19 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; Y20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; Y21 ; 186 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; Y22 ; 185 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -+----------+------------+----------+-----------------------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ -Note: Pin directions (input, output or bidir) are based on device operating in user mode. - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fitter Resource Utilization by Entity ; -+--------------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+-------------------------------------------------+--------------+ -; Compilation Hierarchy Node ; Logic Cells ; Dedicated Logic Registers ; I/O Registers ; Memory Bits ; M9Ks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Full Hierarchy Name ; Library Name ; -+--------------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+-------------------------------------------------+--------------+ -; |three_line_to_eight_decimal_decoder ; 8 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 11 ; 0 ; 8 (0) ; 0 (0) ; 0 (0) ; |three_line_to_eight_decimal_decoder ; work ; -; |74139:inst| ; 8 (8) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 8 (8) ; 0 (0) ; 0 (0) ; |three_line_to_eight_decimal_decoder|74139:inst ; work ; -+--------------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+-------------------------------------------------+--------------+ -Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. - - -+--------------------------------------------------------------------------------------+ -; Delay Chain Summary ; -+------+----------+---------------+---------------+-----------------------+-----+------+ -; Name ; Pin Type ; Pad to Core 0 ; Pad to Core 1 ; Pad to Input Register ; TCO ; TCOE ; -+------+----------+---------------+---------------+-----------------------+-----+------+ -; Y0 ; Output ; -- ; -- ; -- ; -- ; -- ; -; Y1 ; Output ; -- ; -- ; -- ; -- ; -- ; -; Y2 ; Output ; -- ; -- ; -- ; -- ; -- ; -; Y3 ; Output ; -- ; -- ; -- ; -- ; -- ; -; Y4 ; Output ; -- ; -- ; -- ; -- ; -- ; -; Y5 ; Output ; -- ; -- ; -- ; -- ; -- ; -; Y6 ; Output ; -- ; -- ; -- ; -- ; -- ; -; Y7 ; Output ; -- ; -- ; -- ; -- ; -- ; -; C ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; -; A ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ; -; B ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ; -+------+----------+---------------+---------------+-----------------------+-----+------+ - - -+------------------------------------------------------+ -; Pad To Core Delay Chain Fanout ; -+------------------------+-------------------+---------+ -; Source Pin / Fanout ; Pad To Core Index ; Setting ; -+------------------------+-------------------+---------+ -; C ; ; ; -; - 74139:inst|33~0 ; 0 ; 6 ; -; - 74139:inst|33~1 ; 0 ; 6 ; -; - 74139:inst|33~2 ; 0 ; 6 ; -; - 74139:inst|33~3 ; 0 ; 6 ; -; - 74139:inst|33~4 ; 0 ; 6 ; -; - 74139:inst|33~5 ; 0 ; 6 ; -; - 74139:inst|33~6 ; 0 ; 6 ; -; - 74139:inst|33~7 ; 0 ; 6 ; -; A ; ; ; -; - 74139:inst|33~0 ; 1 ; 6 ; -; - 74139:inst|33~1 ; 1 ; 6 ; -; - 74139:inst|33~2 ; 1 ; 6 ; -; - 74139:inst|33~3 ; 1 ; 6 ; -; - 74139:inst|33~4 ; 1 ; 6 ; -; - 74139:inst|33~5 ; 1 ; 6 ; -; - 74139:inst|33~6 ; 1 ; 6 ; -; - 74139:inst|33~7 ; 1 ; 6 ; -; B ; ; ; -; - 74139:inst|33~0 ; 1 ; 6 ; -; - 74139:inst|33~1 ; 1 ; 6 ; -; - 74139:inst|33~2 ; 1 ; 6 ; -; - 74139:inst|33~3 ; 1 ; 6 ; -; - 74139:inst|33~4 ; 1 ; 6 ; -; - 74139:inst|33~5 ; 1 ; 6 ; -; - 74139:inst|33~6 ; 1 ; 6 ; -; - 74139:inst|33~7 ; 1 ; 6 ; -+------------------------+-------------------+---------+ - - -+---------------------------------+ -; Non-Global High Fan-Out Signals ; -+-----------------+---------------+ -; Name ; Fan-Out ; -+-----------------+---------------+ -; B~input ; 8 ; -; A~input ; 8 ; -; C~input ; 8 ; -; 74139:inst|33~7 ; 1 ; -; 74139:inst|33~6 ; 1 ; -; 74139:inst|33~5 ; 1 ; -; 74139:inst|33~4 ; 1 ; -; 74139:inst|33~3 ; 1 ; -; 74139:inst|33~2 ; 1 ; -; 74139:inst|33~1 ; 1 ; -; 74139:inst|33~0 ; 1 ; -+-----------------+---------------+ - - -+-----------------------------------------------+ -; Routing Usage Summary ; -+-----------------------+-----------------------+ -; Routing Resource Type ; Usage ; -+-----------------------+-----------------------+ -; Block interconnects ; 11 / 47,787 ( < 1 % ) ; -; C16 interconnects ; 0 / 1,804 ( 0 % ) ; -; C4 interconnects ; 10 / 31,272 ( < 1 % ) ; -; Direct links ; 0 / 47,787 ( 0 % ) ; -; Global clocks ; 0 / 20 ( 0 % ) ; -; Local interconnects ; 0 / 15,408 ( 0 % ) ; -; R24 interconnects ; 0 / 1,775 ( 0 % ) ; -; R4 interconnects ; 1 / 41,310 ( < 1 % ) ; -+-----------------------+-----------------------+ - - -+--------------------------------------------------------------------------+ -; LAB Logic Elements ; -+--------------------------------------------+-----------------------------+ -; Number of Logic Elements (Average = 8.00) ; Number of LABs (Total = 1) ; -+--------------------------------------------+-----------------------------+ -; 1 ; 0 ; -; 2 ; 0 ; -; 3 ; 0 ; -; 4 ; 0 ; -; 5 ; 0 ; -; 6 ; 0 ; -; 7 ; 0 ; -; 8 ; 1 ; -; 9 ; 0 ; -; 10 ; 0 ; -; 11 ; 0 ; -; 12 ; 0 ; -; 13 ; 0 ; -; 14 ; 0 ; -; 15 ; 0 ; -; 16 ; 0 ; -+--------------------------------------------+-----------------------------+ - - -+---------------------------------------------------------------------------+ -; LAB Signals Sourced ; -+---------------------------------------------+-----------------------------+ -; Number of Signals Sourced (Average = 8.00) ; Number of LABs (Total = 1) ; -+---------------------------------------------+-----------------------------+ -; 0 ; 0 ; -; 1 ; 0 ; -; 2 ; 0 ; -; 3 ; 0 ; -; 4 ; 0 ; -; 5 ; 0 ; -; 6 ; 0 ; -; 7 ; 0 ; -; 8 ; 1 ; -+---------------------------------------------+-----------------------------+ - - -+-------------------------------------------------------------------------------+ -; LAB Signals Sourced Out ; -+-------------------------------------------------+-----------------------------+ -; Number of Signals Sourced Out (Average = 8.00) ; Number of LABs (Total = 1) ; -+-------------------------------------------------+-----------------------------+ -; 0 ; 0 ; -; 1 ; 0 ; -; 2 ; 0 ; -; 3 ; 0 ; -; 4 ; 0 ; -; 5 ; 0 ; -; 6 ; 0 ; -; 7 ; 0 ; -; 8 ; 1 ; -+-------------------------------------------------+-----------------------------+ - - -+---------------------------------------------------------------------------+ -; LAB Distinct Inputs ; -+---------------------------------------------+-----------------------------+ -; Number of Distinct Inputs (Average = 3.00) ; Number of LABs (Total = 1) ; -+---------------------------------------------+-----------------------------+ -; 0 ; 0 ; -; 1 ; 0 ; -; 2 ; 0 ; -; 3 ; 1 ; -+---------------------------------------------+-----------------------------+ - - -+------------------------------------------+ -; I/O Rules Summary ; -+----------------------------------+-------+ -; I/O Rules Statistic ; Total ; -+----------------------------------+-------+ -; Total I/O Rules ; 30 ; -; Number of I/O Rules Passed ; 12 ; -; Number of I/O Rules Failed ; 0 ; -; Number of I/O Rules Unchecked ; 0 ; -; Number of I/O Rules Inapplicable ; 18 ; -+----------------------------------+-------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; I/O Rules Details ; -+--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ -; Status ; ID ; Category ; Rule Description ; Severity ; Information ; Area ; Extra Information ; -+--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ -; Pass ; IO_000001 ; Capacity Checks ; Number of pins in an I/O bank should not exceed the number of locations available. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000002 ; Capacity Checks ; Number of clocks in an I/O bank should not exceed the number of clocks available. ; Critical ; No Global Signal assignments found. ; I/O ; ; -; Pass ; IO_000003 ; Capacity Checks ; Number of pins in a Vrefgroup should not exceed the number of locations available. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000004 ; Voltage Compatibility Checks ; The I/O bank should support the requested VCCIO. ; Critical ; No IOBANK_VCCIO assignments found. ; I/O ; ; -; Inapplicable ; IO_000005 ; Voltage Compatibility Checks ; The I/O bank should not have competing VREF values. ; Critical ; No VREF I/O Standard assignments found. ; I/O ; ; -; Pass ; IO_000006 ; Voltage Compatibility Checks ; The I/O bank should not have competing VCCIO values. ; Critical ; 0 such failures found. ; I/O ; ; -; Pass ; IO_000007 ; Valid Location Checks ; Checks for unavailable locations. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000008 ; Valid Location Checks ; Checks for reserved locations. ; Critical ; No reserved LogicLock region found. ; I/O ; ; -; Pass ; IO_000009 ; I/O Properties Checks for One I/O ; The location should support the requested I/O standard. ; Critical ; 0 such failures found. ; I/O ; ; -; Pass ; IO_000010 ; I/O Properties Checks for One I/O ; The location should support the requested I/O direction. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000011 ; I/O Properties Checks for One I/O ; The location should support the requested Current Strength. ; Critical ; No Current Strength assignments found. ; I/O ; ; -; Pass ; IO_000012 ; I/O Properties Checks for One I/O ; The location should support the requested On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000013 ; I/O Properties Checks for One I/O ; The location should support the requested Bus Hold value. ; Critical ; No Enable Bus-Hold Circuitry assignments found. ; I/O ; ; -; Inapplicable ; IO_000014 ; I/O Properties Checks for One I/O ; The location should support the requested Weak Pull Up value. ; Critical ; No Weak Pull-Up Resistor assignments found. ; I/O ; ; -; Pass ; IO_000015 ; I/O Properties Checks for One I/O ; The location should support the requested PCI Clamp Diode. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000018 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Current Strength. ; Critical ; No Current Strength assignments found. ; I/O ; ; -; Pass ; IO_000019 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; -; Pass ; IO_000020 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested PCI Clamp Diode. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000021 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Weak Pull Up value. ; Critical ; No Weak Pull-Up Resistor assignments found. ; I/O ; ; -; Inapplicable ; IO_000022 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Bus Hold value. ; Critical ; No Enable Bus-Hold Circuitry assignments found. ; I/O ; ; -; Inapplicable ; IO_000023 ; I/O Properties Checks for One I/O ; The I/O standard should support the Open Drain value. ; Critical ; No open drain assignments found. ; I/O ; ; -; Pass ; IO_000024 ; I/O Properties Checks for One I/O ; The I/O direction should support the On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000026 ; I/O Properties Checks for One I/O ; On Chip Termination and Current Strength should not be used at the same time. ; Critical ; No Current Strength assignments found. ; I/O ; ; -; Inapplicable ; IO_000027 ; I/O Properties Checks for One I/O ; Weak Pull Up and Bus Hold should not be used at the same time. ; Critical ; No Enable Bus-Hold Circuitry or Weak Pull-Up Resistor assignments found. ; I/O ; ; -; Inapplicable ; IO_000045 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Slew Rate value. ; Critical ; No Slew Rate assignments found. ; I/O ; ; -; Inapplicable ; IO_000046 ; I/O Properties Checks for One I/O ; The location should support the requested Slew Rate value. ; Critical ; No Slew Rate assignments found. ; I/O ; ; -; Inapplicable ; IO_000047 ; I/O Properties Checks for One I/O ; On Chip Termination and Slew Rate should not be used at the same time. ; Critical ; No Slew Rate assignments found. ; I/O ; ; -; Pass ; IO_000033 ; Electromigration Checks ; Current density for consecutive I/Os should not exceed 240mA for row I/Os and 240mA for column I/Os. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000034 ; SI Related Distance Checks ; Single-ended outputs should be 5 LAB row(s) away from a differential I/O. ; High ; No Differential I/O Standard assignments found. ; I/O ; ; -; Inapplicable ; IO_000042 ; SI Related SSO Limit Checks ; No more than 20 outputs are allowed in a VREF group when VREF is being read from. ; High ; No VREF I/O Standard assignments found. ; I/O ; ; -; ---- ; ---- ; Disclaimer ; OCT rules are checked but not reported. ; None ; ---- ; On Chip Termination ; ; -+--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; I/O Rules Matrix ; -+--------------------+-----------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+ -; Pin/Rules ; IO_000001 ; IO_000002 ; IO_000003 ; IO_000004 ; IO_000005 ; IO_000006 ; IO_000007 ; IO_000008 ; IO_000009 ; IO_000010 ; IO_000011 ; IO_000012 ; IO_000013 ; IO_000014 ; IO_000015 ; IO_000018 ; IO_000019 ; IO_000020 ; IO_000021 ; IO_000022 ; IO_000023 ; IO_000024 ; IO_000026 ; IO_000027 ; IO_000045 ; IO_000046 ; IO_000047 ; IO_000033 ; IO_000034 ; IO_000042 ; -+--------------------+-----------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+ -; Total Pass ; 11 ; 0 ; 11 ; 0 ; 0 ; 11 ; 11 ; 0 ; 11 ; 11 ; 0 ; 8 ; 0 ; 0 ; 3 ; 0 ; 8 ; 3 ; 0 ; 0 ; 0 ; 8 ; 0 ; 0 ; 0 ; 0 ; 0 ; 11 ; 0 ; 0 ; -; Total Unchecked ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; Total Inapplicable ; 0 ; 11 ; 0 ; 11 ; 11 ; 0 ; 0 ; 11 ; 0 ; 0 ; 11 ; 3 ; 11 ; 11 ; 8 ; 11 ; 3 ; 8 ; 11 ; 11 ; 11 ; 3 ; 11 ; 11 ; 11 ; 11 ; 11 ; 0 ; 11 ; 11 ; -; Total Fail ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; Y0 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; Y1 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; Y2 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; Y3 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; Y4 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; Y5 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; Y6 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; Y7 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; C ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; A ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; B ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -+--------------------+-----------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+ - - -+---------------------------------------------------------------------------------------------+ -; Fitter Device Options ; -+------------------------------------------------------------------+--------------------------+ -; Option ; Setting ; -+------------------------------------------------------------------+--------------------------+ -; Enable user-supplied start-up clock (CLKUSR) ; Off ; -; Enable device-wide reset (DEV_CLRn) ; Off ; -; Enable device-wide output enable (DEV_OE) ; Off ; -; Enable INIT_DONE output ; Off ; -; Configuration scheme ; Active Serial ; -; Error detection CRC ; Off ; -; Enable open drain on CRC_ERROR pin ; Off ; -; Enable input tri-state on active configuration pins in user mode ; Off ; -; Configuration Voltage Level ; Auto ; -; Force Configuration Voltage Level ; Off ; -; nCEO ; As output driving ground ; -; Data[0] ; As input tri-stated ; -; Data[1]/ASDO ; As input tri-stated ; -; Data[7..2] ; Unreserved ; -; FLASH_nCE/nCSO ; As input tri-stated ; -; Other Active Parallel pins ; Unreserved ; -; DCLK ; As output driving ground ; -; Base pin-out file on sameframe device ; Off ; -+------------------------------------------------------------------+--------------------------+ - - -+------------------------------------+ -; Operating Settings and Conditions ; -+---------------------------+--------+ -; Setting ; Value ; -+---------------------------+--------+ -; Nominal Core Voltage ; 1.20 V ; -; Low Junction Temperature ; 0 °C ; -; High Junction Temperature ; 85 °C ; -+---------------------------+--------+ - - -+-----------------+ -; Fitter Messages ; -+-----------------+ -Warning (20028): Parallel compilation is not licensed and has been disabled -Info (119006): Selected device EP3C16F484C6 for design "three_line_to_eight_decimal_decoder" -Info (21077): Core supply voltage is 1.2V -Info (21077): Low junction temperature is 0 degrees C -Info (21077): High junction temperature is 85 degrees C -Info (171003): Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time -Warning (292013): Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature. -Info (176444): Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices - Info (176445): Device EP3C40F484C6 is compatible - Info (176445): Device EP3C55F484C6 is compatible - Info (176445): Device EP3C80F484C6 is compatible -Info (169124): Fitter converted 5 user pins into dedicated programming pins - Info (169125): Pin ~ALTERA_ASDO_DATA1~ is reserved at location D1 - Info (169125): Pin ~ALTERA_FLASH_nCE_nCSO~ is reserved at location E2 - Info (169125): Pin ~ALTERA_DCLK~ is reserved at location K2 - Info (169125): Pin ~ALTERA_DATA0~ is reserved at location K1 - Info (169125): Pin ~ALTERA_nCEO~ is reserved at location K22 -Warning (15714): Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details -Critical Warning (332012): Synopsys Design Constraints File file not found: 'three_line_to_eight_decimal_decoder.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. -Info (332144): No user constrained base clocks found in the design -Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. -Warning (332068): No clocks defined in design. -Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty" -Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. -Info (332130): Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time. -Info (176233): Starting register packing -Info (176235): Finished register packing - Extra Info (176219): No registers were packed into other blocks -Info (171121): Fitter preparation operations ending: elapsed time is 00:00:02 -Info (170189): Fitter placement preparation operations beginning -Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:00 -Info (170191): Fitter placement operations beginning -Info (170137): Fitter placement was successful -Info (170192): Fitter placement operations ending: elapsed time is 00:00:00 -Info (170193): Fitter routing operations beginning -Info (170195): Router estimated average interconnect usage is 0% of the available device resources - Info (170196): Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X0_Y20 to location X9_Y29 -Info (170194): Fitter routing operations ending: elapsed time is 00:00:00 -Info (170199): The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time. - Info (170201): Optimizations that may affect the design's routability were skipped - Info (170200): Optimizations that may affect the design's timing were skipped -Info (11888): Total time spent on timing analysis during the Fitter is 0.18 seconds. -Info (334003): Started post-fitting delay annotation -Info (334004): Delay annotation completed successfully -Info (334003): Started post-fitting delay annotation -Info (334004): Delay annotation completed successfully -Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:02 -Info (144001): Generated suppressed messages file /home/timmy/Git/Learn-VHDL/CH6/CH6-1/output_files/three_line_to_eight_decimal_decoder.fit.smsg -Info: Quartus II 32-bit Fitter was successful. 0 errors, 5 warnings - Info: Peak virtual memory: 536 megabytes - Info: Processing ended: Thu Oct 24 21:57:45 2019 - Info: Elapsed time: 00:00:12 - Info: Total CPU time (on all processors): 00:00:12 - - -+----------------------------+ -; Fitter Suppressed Messages ; -+----------------------------+ -The suppressed messages can be found in /home/timmy/Git/Learn-VHDL/CH6/CH6-1/output_files/three_line_to_eight_decimal_decoder.fit.smsg. - - diff --git a/CH6/CH6-1/output_files/three_line_to_eight_decimal_decoder.fit.smsg b/CH6/CH6-1/output_files/three_line_to_eight_decimal_decoder.fit.smsg deleted file mode 100644 index 7121cbb1..00000000 --- a/CH6/CH6-1/output_files/three_line_to_eight_decimal_decoder.fit.smsg +++ /dev/null @@ -1,8 +0,0 @@ -Extra Info (176273): Performing register packing on registers with non-logic cell location assignments -Extra Info (176274): Completed register packing on registers with non-logic cell location assignments -Extra Info (176236): Started Fast Input/Output/OE register processing -Extra Info (176237): Finished Fast Input/Output/OE register processing -Extra Info (176238): Start inferring scan chains for DSP blocks -Extra Info (176239): Inferring scan chains for DSP blocks is complete -Extra Info (176248): Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density -Extra Info (176249): Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks diff --git a/CH6/CH6-1/output_files/three_line_to_eight_decimal_decoder.fit.summary b/CH6/CH6-1/output_files/three_line_to_eight_decimal_decoder.fit.summary deleted file mode 100644 index 9ad787a4..00000000 --- a/CH6/CH6-1/output_files/three_line_to_eight_decimal_decoder.fit.summary +++ /dev/null @@ -1,16 +0,0 @@ -Fitter Status : Successful - Thu Oct 24 21:57:44 2019 -Quartus II 32-bit Version : 13.1.0 Build 162 10/23/2013 SJ Web Edition -Revision Name : three_line_to_eight_decimal_decoder -Top-level Entity Name : three_line_to_eight_decimal_decoder -Family : Cyclone III -Device : EP3C16F484C6 -Timing Models : Final -Total logic elements : 8 / 15,408 ( < 1 % ) - Total combinational functions : 8 / 15,408 ( < 1 % ) - Dedicated logic registers : 0 / 15,408 ( 0 % ) -Total registers : 0 -Total pins : 11 / 347 ( 3 % ) -Total virtual pins : 0 -Total memory bits : 0 / 516,096 ( 0 % ) -Embedded Multiplier 9-bit elements : 0 / 112 ( 0 % ) -Total PLLs : 0 / 4 ( 0 % ) diff --git a/CH6/CH6-1/output_files/three_line_to_eight_decimal_decoder.flow.rpt b/CH6/CH6-1/output_files/three_line_to_eight_decimal_decoder.flow.rpt deleted file mode 100644 index 22c9b85e..00000000 --- a/CH6/CH6-1/output_files/three_line_to_eight_decimal_decoder.flow.rpt +++ /dev/null @@ -1,130 +0,0 @@ -Flow report for three_line_to_eight_decimal_decoder -Thu Oct 24 21:58:03 2019 -Quartus II 32-bit Version 13.1.0 Build 162 10/23/2013 SJ Web Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. Flow Summary - 3. Flow Settings - 4. Flow Non-Default Global Settings - 5. Flow Elapsed Time - 6. Flow OS Summary - 7. Flow Log - 8. Flow Messages - 9. Flow Suppressed Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 1991-2013 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. - - - -+---------------------------------------------------------------------------------+ -; Flow Summary ; -+------------------------------------+--------------------------------------------+ -; Flow Status ; Successful - Thu Oct 24 21:58:03 2019 ; -; Quartus II 32-bit Version ; 13.1.0 Build 162 10/23/2013 SJ Web Edition ; -; Revision Name ; three_line_to_eight_decimal_decoder ; -; Top-level Entity Name ; three_line_to_eight_decimal_decoder ; -; Family ; Cyclone III ; -; Device ; EP3C16F484C6 ; -; Timing Models ; Final ; -; Total logic elements ; 8 / 15,408 ( < 1 % ) ; -; Total combinational functions ; 8 / 15,408 ( < 1 % ) ; -; Dedicated logic registers ; 0 / 15,408 ( 0 % ) ; -; Total registers ; 0 ; -; Total pins ; 11 / 347 ( 3 % ) ; -; Total virtual pins ; 0 ; -; Total memory bits ; 0 / 516,096 ( 0 % ) ; -; Embedded Multiplier 9-bit elements ; 0 / 112 ( 0 % ) ; -; Total PLLs ; 0 / 4 ( 0 % ) ; -+------------------------------------+--------------------------------------------+ - - -+---------------------------------------------------------+ -; Flow Settings ; -+-------------------+-------------------------------------+ -; Option ; Setting ; -+-------------------+-------------------------------------+ -; Start date & time ; 10/24/2019 21:57:29 ; -; Main task ; Compilation ; -; Revision Name ; three_line_to_eight_decimal_decoder ; -+-------------------+-------------------------------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------------+ -; Flow Non-Default Global Settings ; -+-------------------------------------+-------------------------------------------------------+---------------+-------------+----------------+ -; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; -+-------------------------------------+-------------------------------------------------------+---------------+-------------+----------------+ -; COMPILER_SIGNATURE_ID ; 0.157192544931923 ; -- ; -- ; -- ; -; EDA_GENERATE_FUNCTIONAL_NETLIST ; On ; -- ; -- ; eda_simulation ; -; EDA_NETLIST_WRITER_OUTPUT_DIR ; /home/timmy/Git/Learn-VHDL/CH6/CH6-1/simulation/qsim/ ; -- ; -- ; eda_simulation ; -; EDA_OUTPUT_DATA_FORMAT ; Verilog Hdl ; -- ; -- ; eda_simulation ; -; EDA_SIMULATION_TOOL ; ModelSim-Altera (Verilog) ; ; -- ; -- ; -; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; -; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; -; NOMINAL_CORE_SUPPLY_VOLTAGE ; 1.2V ; -- ; -- ; -- ; -; PARTITION_COLOR ; 16764057 ; -- ; -- ; Top ; -; PARTITION_FITTER_PRESERVATION_LEVEL ; PLACEMENT_AND_ROUTING ; -- ; -- ; Top ; -; PARTITION_NETLIST_TYPE ; SOURCE ; -- ; -- ; Top ; -; PROJECT_OUTPUT_DIRECTORY ; output_files ; -- ; -- ; -- ; -+-------------------------------------+-------------------------------------------------------+---------------+-------------+----------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------+ -; Flow Elapsed Time ; -+---------------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; -+---------------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Analysis & Synthesis ; 00:00:02 ; 1.0 ; 360 MB ; 00:00:02 ; -; Fitter ; 00:00:11 ; 1.0 ; 536 MB ; 00:00:11 ; -; Assembler ; 00:00:02 ; 1.0 ; 382 MB ; 00:00:03 ; -; TimeQuest Timing Analyzer ; 00:00:03 ; 1.0 ; 383 MB ; 00:00:03 ; -; EDA Netlist Writer ; 00:00:01 ; 1.0 ; 338 MB ; 00:00:01 ; -; Total ; 00:00:19 ; -- ; -- ; 00:00:20 ; -+---------------------------+--------------+-------------------------+---------------------+------------------------------------+ - - -+----------------------------------------------------------------------------------------------+ -; Flow OS Summary ; -+---------------------------+-------------------+----------------+------------+----------------+ -; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; -+---------------------------+-------------------+----------------+------------+----------------+ -; Analysis & Synthesis ; timmy-Aspire-4750 ; Ubuntu 16.04.6 ; 16 ; x86_64 ; -; Fitter ; timmy-Aspire-4750 ; Ubuntu 16.04.6 ; 16 ; x86_64 ; -; Assembler ; timmy-Aspire-4750 ; Ubuntu 16.04.6 ; 16 ; x86_64 ; -; TimeQuest Timing Analyzer ; timmy-Aspire-4750 ; Ubuntu 16.04.6 ; 16 ; x86_64 ; -; EDA Netlist Writer ; timmy-Aspire-4750 ; Ubuntu 16.04.6 ; 16 ; x86_64 ; -+---------------------------+-------------------+----------------+------------+----------------+ - - ------------- -; Flow Log ; ------------- -quartus_map --read_settings_files=on --write_settings_files=off three_line_to_eight_decimal_decoder -c three_line_to_eight_decimal_decoder -quartus_fit --read_settings_files=off --write_settings_files=off three_line_to_eight_decimal_decoder -c three_line_to_eight_decimal_decoder -quartus_asm --read_settings_files=off --write_settings_files=off three_line_to_eight_decimal_decoder -c three_line_to_eight_decimal_decoder -quartus_sta three_line_to_eight_decimal_decoder -c three_line_to_eight_decimal_decoder -quartus_eda --read_settings_files=off --write_settings_files=off three_line_to_eight_decimal_decoder -c three_line_to_eight_decimal_decoder - - - diff --git a/CH6/CH6-1/output_files/three_line_to_eight_decimal_decoder.jdi b/CH6/CH6-1/output_files/three_line_to_eight_decimal_decoder.jdi deleted file mode 100644 index 713700c6..00000000 --- a/CH6/CH6-1/output_files/three_line_to_eight_decimal_decoder.jdi +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/CH6/CH6-1/output_files/three_line_to_eight_decimal_decoder.map.rpt b/CH6/CH6-1/output_files/three_line_to_eight_decimal_decoder.map.rpt deleted file mode 100644 index 1f3eb210..00000000 --- a/CH6/CH6-1/output_files/three_line_to_eight_decimal_decoder.map.rpt +++ /dev/null @@ -1,261 +0,0 @@ -Analysis & Synthesis report for three_line_to_eight_decimal_decoder -Thu Oct 24 21:57:31 2019 -Quartus II 32-bit Version 13.1.0 Build 162 10/23/2013 SJ Web Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. Analysis & Synthesis Summary - 3. Analysis & Synthesis Settings - 4. Parallel Compilation - 5. Analysis & Synthesis Source Files Read - 6. Analysis & Synthesis Resource Usage Summary - 7. Analysis & Synthesis Resource Utilization by Entity - 8. General Register Statistics - 9. Elapsed Time Per Partition - 10. Analysis & Synthesis Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 1991-2013 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. - - - -+---------------------------------------------------------------------------------+ -; Analysis & Synthesis Summary ; -+------------------------------------+--------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Thu Oct 24 21:57:31 2019 ; -; Quartus II 32-bit Version ; 13.1.0 Build 162 10/23/2013 SJ Web Edition ; -; Revision Name ; three_line_to_eight_decimal_decoder ; -; Top-level Entity Name ; three_line_to_eight_decimal_decoder ; -; Family ; Cyclone III ; -; Total logic elements ; 8 ; -; Total combinational functions ; 8 ; -; Dedicated logic registers ; 0 ; -; Total registers ; 0 ; -; Total pins ; 11 ; -; Total virtual pins ; 0 ; -; Total memory bits ; 0 ; -; Embedded Multiplier 9-bit elements ; 0 ; -; Total PLLs ; 0 ; -+------------------------------------+--------------------------------------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Settings ; -+----------------------------------------------------------------------------+-------------------------------------+-------------------------------------+ -; Option ; Setting ; Default Value ; -+----------------------------------------------------------------------------+-------------------------------------+-------------------------------------+ -; Device ; EP3C16F484C6 ; ; -; Top-level entity name ; three_line_to_eight_decimal_decoder ; three_line_to_eight_decimal_decoder ; -; Family name ; Cyclone III ; Cyclone IV GX ; -; Use smart compilation ; Off ; Off ; -; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; -; Enable compact report table ; Off ; Off ; -; Restructure Multiplexers ; Auto ; Auto ; -; Create Debugging Nodes for IP Cores ; Off ; Off ; -; Preserve fewer node names ; On ; On ; -; Disable OpenCore Plus hardware evaluation ; Off ; Off ; -; Verilog Version ; Verilog_2001 ; Verilog_2001 ; -; VHDL Version ; VHDL_1993 ; VHDL_1993 ; -; State Machine Processing ; Auto ; Auto ; -; Safe State Machine ; Off ; Off ; -; Extract Verilog State Machines ; On ; On ; -; Extract VHDL State Machines ; On ; On ; -; Ignore Verilog initial constructs ; Off ; Off ; -; Iteration limit for constant Verilog loops ; 5000 ; 5000 ; -; Iteration limit for non-constant Verilog loops ; 250 ; 250 ; -; Add Pass-Through Logic to Inferred RAMs ; On ; On ; -; Infer RAMs from Raw Logic ; On ; On ; -; Parallel Synthesis ; On ; On ; -; DSP Block Balancing ; Auto ; Auto ; -; NOT Gate Push-Back ; On ; On ; -; Power-Up Don't Care ; On ; On ; -; Remove Redundant Logic Cells ; Off ; Off ; -; Remove Duplicate Registers ; On ; On ; -; Ignore CARRY Buffers ; Off ; Off ; -; Ignore CASCADE Buffers ; Off ; Off ; -; Ignore GLOBAL Buffers ; Off ; Off ; -; Ignore ROW GLOBAL Buffers ; Off ; Off ; -; Ignore LCELL Buffers ; Off ; Off ; -; Ignore SOFT Buffers ; On ; On ; -; Limit AHDL Integers to 32 Bits ; Off ; Off ; -; Optimization Technique ; Balanced ; Balanced ; -; Carry Chain Length ; 70 ; 70 ; -; Auto Carry Chains ; On ; On ; -; Auto Open-Drain Pins ; On ; On ; -; Perform WYSIWYG Primitive Resynthesis ; Off ; Off ; -; Auto ROM Replacement ; On ; On ; -; Auto RAM Replacement ; On ; On ; -; Auto DSP Block Replacement ; On ; On ; -; Auto Shift Register Replacement ; Auto ; Auto ; -; Allow Shift Register Merging across Hierarchies ; Auto ; Auto ; -; Auto Clock Enable Replacement ; On ; On ; -; Strict RAM Replacement ; Off ; Off ; -; Allow Synchronous Control Signals ; On ; On ; -; Force Use of Synchronous Clear Signals ; Off ; Off ; -; Auto RAM Block Balancing ; On ; On ; -; Auto RAM to Logic Cell Conversion ; Off ; Off ; -; Auto Resource Sharing ; Off ; Off ; -; Allow Any RAM Size For Recognition ; Off ; Off ; -; Allow Any ROM Size For Recognition ; Off ; Off ; -; Allow Any Shift Register Size For Recognition ; Off ; Off ; -; Use LogicLock Constraints during Resource Balancing ; On ; On ; -; Ignore translate_off and synthesis_off directives ; Off ; Off ; -; Timing-Driven Synthesis ; On ; On ; -; Report Parameter Settings ; On ; On ; -; Report Source Assignments ; On ; On ; -; Report Connectivity Checks ; On ; On ; -; Ignore Maximum Fan-Out Assignments ; Off ; Off ; -; Synchronization Register Chain Length ; 2 ; 2 ; -; PowerPlay Power Optimization ; Normal compilation ; Normal compilation ; -; HDL message level ; Level2 ; Level2 ; -; Suppress Register Optimization Related Messages ; Off ; Off ; -; Number of Removed Registers Reported in Synthesis Report ; 5000 ; 5000 ; -; Number of Swept Nodes Reported in Synthesis Report ; 5000 ; 5000 ; -; Number of Inverted Registers Reported in Synthesis Report ; 100 ; 100 ; -; Clock MUX Protection ; On ; On ; -; Auto Gated Clock Conversion ; Off ; Off ; -; Block Design Naming ; Auto ; Auto ; -; SDC constraint protection ; Off ; Off ; -; Synthesis Effort ; Auto ; Auto ; -; Shift Register Replacement - Allow Asynchronous Clear Signal ; On ; On ; -; Pre-Mapping Resynthesis Optimization ; Off ; Off ; -; Analysis & Synthesis Message Level ; Medium ; Medium ; -; Disable Register Merging Across Hierarchies ; Auto ; Auto ; -; Resource Aware Inference For Block RAM ; On ; On ; -; Synthesis Seed ; 1 ; 1 ; -+----------------------------------------------------------------------------+-------------------------------------+-------------------------------------+ - - -Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. -+-------------------------------------+ -; Parallel Compilation ; -+----------------------------+--------+ -; Processors ; Number ; -+----------------------------+--------+ -; Number detected on machine ; 4 ; -; Maximum allowed ; 1 ; -+----------------------------+--------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Source Files Read ; -+-----------------------------------------+-----------------+------------------------------------+------------------------------------------------------------------------------+---------+ -; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; -+-----------------------------------------+-----------------+------------------------------------+------------------------------------------------------------------------------+---------+ -; three_line_to_eight_decimal_decoder.bdf ; yes ; User Block Diagram/Schematic File ; /home/timmy/Git/Learn-VHDL/CH6/CH6-1/three_line_to_eight_decimal_decoder.bdf ; ; -; 74139.bdf ; yes ; Megafunction ; /home/timmy/altera/13.1/quartus/libraries/others/maxplus2/74139.bdf ; ; -+-----------------------------------------+-----------------+------------------------------------+------------------------------------------------------------------------------+---------+ - - -+-------------------------------------------------------+ -; Analysis & Synthesis Resource Usage Summary ; -+---------------------------------------------+---------+ -; Resource ; Usage ; -+---------------------------------------------+---------+ -; Estimated Total logic elements ; 8 ; -; ; ; -; Total combinational functions ; 8 ; -; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 0 ; -; -- 3 input functions ; 8 ; -; -- <=2 input functions ; 0 ; -; ; ; -; Logic elements by mode ; ; -; -- normal mode ; 8 ; -; -- arithmetic mode ; 0 ; -; ; ; -; Total registers ; 0 ; -; -- Dedicated logic registers ; 0 ; -; -- I/O registers ; 0 ; -; ; ; -; I/O pins ; 11 ; -; Embedded Multiplier 9-bit elements ; 0 ; -; Maximum fan-out node ; C~input ; -; Maximum fan-out ; 8 ; -; Total fan-out ; 43 ; -; Average fan-out ; 1.43 ; -+---------------------------------------------+---------+ - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Resource Utilization by Entity ; -+--------------------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+-------------------------------------------------+--------------+ -; Compilation Hierarchy Node ; LC Combinationals ; LC Registers ; Memory Bits ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; Full Hierarchy Name ; Library Name ; -+--------------------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+-------------------------------------------------+--------------+ -; |three_line_to_eight_decimal_decoder ; 8 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 11 ; 0 ; |three_line_to_eight_decimal_decoder ; work ; -; |74139:inst| ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |three_line_to_eight_decimal_decoder|74139:inst ; work ; -+--------------------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+-------------------------------------------------+--------------+ -Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. - - -+------------------------------------------------------+ -; General Register Statistics ; -+----------------------------------------------+-------+ -; Statistic ; Value ; -+----------------------------------------------+-------+ -; Total registers ; 0 ; -; Number of registers using Synchronous Clear ; 0 ; -; Number of registers using Synchronous Load ; 0 ; -; Number of registers using Asynchronous Clear ; 0 ; -; Number of registers using Asynchronous Load ; 0 ; -; Number of registers using Clock Enable ; 0 ; -; Number of registers using Preset ; 0 ; -+----------------------------------------------+-------+ - - -+-------------------------------+ -; Elapsed Time Per Partition ; -+----------------+--------------+ -; Partition Name ; Elapsed Time ; -+----------------+--------------+ -; Top ; 00:00:00 ; -+----------------+--------------+ - - -+-------------------------------+ -; Analysis & Synthesis Messages ; -+-------------------------------+ -Info: ******************************************************************* -Info: Running Quartus II 32-bit Analysis & Synthesis - Info: Version 13.1.0 Build 162 10/23/2013 SJ Web Edition - Info: Processing started: Thu Oct 24 21:57:28 2019 -Info: Command: quartus_map --read_settings_files=on --write_settings_files=off three_line_to_eight_decimal_decoder -c three_line_to_eight_decimal_decoder -Warning (20028): Parallel compilation is not licensed and has been disabled -Info (12021): Found 1 design units, including 1 entities, in source file three_line_to_eight_decimal_decoder.bdf - Info (12023): Found entity 1: three_line_to_eight_decimal_decoder -Info (12127): Elaborating entity "three_line_to_eight_decimal_decoder" for the top level hierarchy -Info (12128): Elaborating entity "74139" for hierarchy "74139:inst" -Info (12130): Elaborated megafunction instantiation "74139:inst" -Info (286030): Timing-Driven Synthesis is running -Info (16010): Generating hard_block partition "hard_block:auto_generated_inst" - Info (16011): Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL -Info (21057): Implemented 19 device resources after synthesis - the final resource count might be different - Info (21058): Implemented 3 input pins - Info (21059): Implemented 8 output pins - Info (21061): Implemented 8 logic cells -Info: Quartus II 32-bit Analysis & Synthesis was successful. 0 errors, 1 warning - Info: Peak virtual memory: 371 megabytes - Info: Processing ended: Thu Oct 24 21:57:31 2019 - Info: Elapsed time: 00:00:03 - Info: Total CPU time (on all processors): 00:00:02 - - diff --git a/CH6/CH6-1/output_files/three_line_to_eight_decimal_decoder.map.summary b/CH6/CH6-1/output_files/three_line_to_eight_decimal_decoder.map.summary deleted file mode 100644 index ff71f205..00000000 --- a/CH6/CH6-1/output_files/three_line_to_eight_decimal_decoder.map.summary +++ /dev/null @@ -1,14 +0,0 @@ -Analysis & Synthesis Status : Successful - Thu Oct 24 21:57:31 2019 -Quartus II 32-bit Version : 13.1.0 Build 162 10/23/2013 SJ Web Edition -Revision Name : three_line_to_eight_decimal_decoder -Top-level Entity Name : three_line_to_eight_decimal_decoder -Family : Cyclone III -Total logic elements : 8 - Total combinational functions : 8 - Dedicated logic registers : 0 -Total registers : 0 -Total pins : 11 -Total virtual pins : 0 -Total memory bits : 0 -Embedded Multiplier 9-bit elements : 0 -Total PLLs : 0 diff --git a/CH6/CH6-1/output_files/three_line_to_eight_decimal_decoder.pin b/CH6/CH6-1/output_files/three_line_to_eight_decimal_decoder.pin deleted file mode 100644 index 9c4079df..00000000 --- a/CH6/CH6-1/output_files/three_line_to_eight_decimal_decoder.pin +++ /dev/null @@ -1,554 +0,0 @@ - -- Copyright (C) 1991-2013 Altera Corporation - -- Your use of Altera Corporation's design tools, logic functions - -- and other software and tools, and its AMPP partner logic - -- functions, and any output files from any of the foregoing - -- (including device programming or simulation files), and any - -- associated documentation or information are expressly subject - -- to the terms and conditions of the Altera Program License - -- Subscription Agreement, Altera MegaCore Function License - -- Agreement, or other applicable license agreement, including, - -- without limitation, that your use is for the sole purpose of - -- programming logic devices manufactured by Altera and sold by - -- Altera or its authorized distributors. Please refer to the - -- applicable agreement for further details. - -- - -- This is a Quartus II output file. It is for reporting purposes only, and is - -- not intended for use as a Quartus II input file. This file cannot be used - -- to make Quartus II pin assignments - for instructions on how to make pin - -- assignments, please see Quartus II help. - --------------------------------------------------------------------------------- - - - - --------------------------------------------------------------------------------- - -- NC : No Connect. This pin has no internal connection to the device. - -- DNU : Do Not Use. This pin MUST NOT be connected. - -- VCCINT : Dedicated power pin, which MUST be connected to VCC (1.2V). - -- VCCIO : Dedicated power pin, which MUST be connected to VCC - -- of its bank. - -- Bank 1: 2.5V - -- Bank 2: 2.5V - -- Bank 3: 2.5V - -- Bank 4: 2.5V - -- Bank 5: 2.5V - -- Bank 6: 2.5V - -- Bank 7: 2.5V - -- Bank 8: 2.5V - -- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND. - -- It can also be used to report unused dedicated pins. The connection - -- on the board for unused dedicated pins depends on whether this will - -- be used in a future design. One example is device migration. When - -- using device migration, refer to the device pin-tables. If it is a - -- GND pin in the pin table or if it will not be used in a future design - -- for another purpose the it MUST be connected to GND. If it is an unused - -- dedicated pin, then it can be connected to a valid signal on the board - -- (low, high, or toggling) if that signal is required for a different - -- revision of the design. - -- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins. - -- This pin should be connected to GND. It may also be connected to a - -- valid signal on the board (low, high, or toggling) if that signal - -- is required for a different revision of the design. - -- GND* : Unused I/O pin. Connect each pin marked GND* directly to GND - -- or leave it unconnected. - -- RESERVED : Unused I/O pin, which MUST be left unconnected. - -- RESERVED_INPUT : Pin is tri-stated and should be connected to the board. - -- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor. - -- RESERVED_INPUT_WITH_BUS_HOLD : Pin is tri-stated with bus-hold circuitry. - -- RESERVED_OUTPUT_DRIVEN_HIGH : Pin is output driven high. - --------------------------------------------------------------------------------- - - - - --------------------------------------------------------------------------------- - -- Pin directions (input, output or bidir) are based on device operating in user mode. - --------------------------------------------------------------------------------- - -Quartus II 32-bit Version 13.1.0 Build 162 10/23/2013 SJ Web Edition -CHIP "three_line_to_eight_decimal_decoder" ASSIGNED TO AN: EP3C16F484C6 - -Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment -------------------------------------------------------------------------------------------------------------- -GND : A1 : gnd : : : : -VCCIO8 : A2 : power : : 2.5V : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A3 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A4 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A5 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A6 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A7 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A8 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A9 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A10 : : : : 8 : -GND+ : A11 : : : : 8 : -GND+ : A12 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A13 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A14 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A15 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A16 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A17 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A18 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A19 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : A20 : : : : 7 : -VCCIO7 : A21 : power : : 2.5V : 7 : -GND : A22 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA1 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA2 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA3 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA4 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA5 : : : : 3 : -VCCIO3 : AA6 : power : : 2.5V : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA7 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA8 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA9 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA10 : : : : 3 : -GND+ : AA11 : : : : 3 : -GND+ : AA12 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA13 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA14 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA15 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA16 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA17 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA18 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA19 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA20 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA21 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AA22 : : : : 5 : -GND : AB1 : gnd : : : : -VCCIO3 : AB2 : power : : 2.5V : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB3 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB4 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB5 : : : : 3 : -GND : AB6 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB7 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB8 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB9 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB10 : : : : 3 : -GND+ : AB11 : : : : 3 : -GND+ : AB12 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB13 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB14 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB15 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB16 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB17 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB18 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB19 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : AB20 : : : : 4 : -VCCIO4 : AB21 : power : : 2.5V : 4 : -GND : AB22 : gnd : : : : -Y0 : B1 : output : 2.5 V : : 1 : Y -Y1 : B2 : output : 2.5 V : : 1 : Y -RESERVED_INPUT_WITH_WEAK_PULLUP : B3 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B4 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B5 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B6 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B7 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B8 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B9 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B10 : : : : 8 : -GND+ : B11 : : : : 8 : -GND+ : B12 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B13 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B14 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B15 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B16 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B17 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B18 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B19 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B20 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B21 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : B22 : : : : 6 : -Y3 : C1 : output : 2.5 V : : 1 : Y -Y2 : C2 : output : 2.5 V : : 1 : Y -RESERVED_INPUT_WITH_WEAK_PULLUP : C3 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : C4 : : : : 8 : -GND : C5 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : C6 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : C7 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : C8 : : : : 8 : -GND : C9 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : C10 : : : : 8 : -GND : C11 : gnd : : : : -GND : C12 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : C13 : : : : 7 : -GND : C14 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : C15 : : : : 7 : -GND : C16 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : C17 : : : : 7 : -GND : C18 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : C19 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : C20 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : C21 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : C22 : : : : 6 : -~ALTERA_ASDO_DATA1~ / RESERVED_INPUT_WITH_WEAK_PULLUP : D1 : input : 2.5 V : : 1 : N -C : D2 : input : 2.5 V : : 1 : Y -GND : D3 : gnd : : : : -VCCIO1 : D4 : power : : 2.5V : 1 : -VCCIO8 : D5 : power : : 2.5V : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : D6 : : : : 8 : -GND : D7 : gnd : : : : -GND : D8 : gnd : : : : -VCCIO8 : D9 : power : : 2.5V : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : D10 : : : : 8 : -VCCIO8 : D11 : power : : 2.5V : 8 : -VCCIO7 : D12 : power : : 2.5V : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : D13 : : : : 7 : -VCCIO7 : D14 : power : : 2.5V : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : D15 : : : : 7 : -VCCIO7 : D16 : power : : 2.5V : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : D17 : : : : 7 : -VCCIO7 : D18 : power : : 2.5V : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : D19 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : D20 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : D21 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : D22 : : : : 6 : -Y4 : E1 : output : 2.5 V : : 1 : Y -~ALTERA_FLASH_nCE_nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP : E2 : input : 2.5 V : : 1 : N -A : E3 : input : 2.5 V : : 1 : Y -B : E4 : input : 2.5 V : : 1 : Y -RESERVED_INPUT_WITH_WEAK_PULLUP : E5 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : E6 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : E7 : : : : 8 : -VCCIO8 : E8 : power : : 2.5V : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : E9 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : E10 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : E11 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : E12 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : E13 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : E14 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : E15 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : E16 : : : : 7 : -VCCD_PLL2 : E17 : power : : 1.2V : : -GNDA2 : E18 : gnd : : : : -VCCIO6 : E19 : power : : 2.5V : 6 : -GND : E20 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : E21 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : E22 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F1 : : : : 1 : -Y5 : F2 : output : 2.5 V : : 1 : Y -GND : F3 : gnd : : : : -VCCIO1 : F4 : power : : 2.5V : 1 : -GNDA3 : F5 : gnd : : : : -VCCD_PLL3 : F6 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : F7 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F8 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F9 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F10 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F11 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F12 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F13 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F14 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F15 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F16 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F17 : : : : 6 : -VCCA2 : F18 : power : : 2.5V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : F19 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F20 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F21 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : F22 : : : : 6 : -GND+ : G1 : : : : 1 : -GND+ : G2 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : G3 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : G4 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : G5 : : : : 1 : -VCCA3 : G6 : power : : 2.5V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : G7 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : G8 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : G9 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : G10 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : G11 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : G12 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : G13 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : G14 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : G15 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : G16 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : G17 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : G18 : : : : 6 : -VCCIO6 : G19 : power : : 2.5V : 6 : -GND : G20 : gnd : : : : -GND+ : G21 : : : : 6 : -GND+ : G22 : : : : 6 : -Y6 : H1 : output : 2.5 V : : 1 : Y -RESERVED_INPUT_WITH_WEAK_PULLUP : H2 : : : : 1 : -GND : H3 : gnd : : : : -VCCIO1 : H4 : power : : 2.5V : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H5 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H6 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H7 : : : : 1 : -GND : H8 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : H9 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H10 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H11 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H12 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H13 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H14 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H15 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H16 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H17 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H18 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H19 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H20 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H21 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : H22 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : J1 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : J2 : : : : 1 : -Y7 : J3 : output : 2.5 V : : 1 : Y -RESERVED_INPUT_WITH_WEAK_PULLUP : J4 : : : : 1 : -GND : J5 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : J6 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : J7 : : : : 1 : -VCCINT : J8 : power : : 1.2V : : -GND : J9 : gnd : : : : -VCCINT : J10 : power : : 1.2V : : -VCCINT : J11 : power : : 1.2V : : -VCCINT : J12 : power : : 1.2V : : -VCCINT : J13 : power : : 1.2V : : -VCCINT : J14 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : J15 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : J16 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : J17 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : J18 : : : : 6 : -GND : J19 : gnd : : : : -VCCIO6 : J20 : power : : 2.5V : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : J21 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : J22 : : : : 6 : -~ALTERA_DATA0~ / RESERVED_INPUT_WITH_WEAK_PULLUP : K1 : input : 2.5 V : : 1 : N -~ALTERA_DCLK~ : K2 : output : 2.5 V : : 1 : N -GND : K3 : gnd : : : : -VCCIO1 : K4 : power : : 2.5V : 1 : -nCONFIG : K5 : : : : 1 : -nSTATUS : K6 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : K7 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : K8 : : : : 1 : -VCCINT : K9 : power : : 1.2V : : -GND : K10 : gnd : : : : -GND : K11 : gnd : : : : -GND : K12 : gnd : : : : -GND : K13 : gnd : : : : -VCCINT : K14 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : K15 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : K16 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : K17 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : K18 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : K19 : : : : 6 : -MSEL3 : K20 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : K21 : : : : 6 : -~ALTERA_nCEO~ / RESERVED_OUTPUT_OPEN_DRAIN : K22 : output : 2.5 V : : 6 : N -TMS : L1 : input : : : 1 : -TCK : L2 : input : : : 1 : -nCE : L3 : : : : 1 : -TDO : L4 : output : : : 1 : -TDI : L5 : input : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : L6 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : L7 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : L8 : : : : 1 : -VCCINT : L9 : power : : 1.2V : : -GND : L10 : gnd : : : : -GND : L11 : gnd : : : : -GND : L12 : gnd : : : : -GND : L13 : gnd : : : : -VCCINT : L14 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : L15 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : L16 : : : : 6 : -MSEL2 : L17 : : : : 6 : -MSEL1 : L18 : : : : 6 : -VCCIO6 : L19 : power : : 2.5V : 6 : -GND : L20 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : L21 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : L22 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : M1 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : M2 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : M3 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : M4 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : M5 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : M6 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : M7 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : M8 : : : : 2 : -VCCINT : M9 : power : : 1.2V : : -GND : M10 : gnd : : : : -GND : M11 : gnd : : : : -GND : M12 : gnd : : : : -GND : M13 : gnd : : : : -VCCINT : M14 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : M15 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : M16 : : : : 5 : -MSEL0 : M17 : : : : 6 : -CONF_DONE : M18 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : M19 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : M20 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : M21 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : M22 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : N1 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : N2 : : : : 2 : -GND : N3 : gnd : : : : -VCCIO2 : N4 : power : : 2.5V : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : N5 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : N6 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : N7 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : N8 : : : : 2 : -VCCINT : N9 : power : : 1.2V : : -GND : N10 : gnd : : : : -GND : N11 : gnd : : : : -GND : N12 : gnd : : : : -GND : N13 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : N14 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : N15 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : N16 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : N17 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : N18 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : N19 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : N20 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : N21 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : N22 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : P1 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : P2 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : P3 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : P4 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : P5 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : P6 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : P7 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : P8 : : : : 2 : -VCCINT : P9 : power : : 1.2V : : -VCCINT : P10 : power : : 1.2V : : -VCCINT : P11 : power : : 1.2V : : -VCCINT : P12 : power : : 1.2V : : -VCCINT : P13 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : P14 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : P15 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : P16 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : P17 : : : : 5 : -VCCIO5 : P18 : power : : 2.5V : 5 : -GND : P19 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : P20 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : P21 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : P22 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R1 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R2 : : : : 2 : -GND : R3 : gnd : : : : -VCCIO2 : R4 : power : : 2.5V : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R5 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R6 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R7 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R8 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R9 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R10 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R11 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R12 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R13 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R14 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R15 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R16 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R17 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R18 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R19 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R20 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R21 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : R22 : : : : 5 : -GND+ : T1 : : : : 2 : -GND+ : T2 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : T3 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : T4 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : T5 : : : : 2 : -VCCA1 : T6 : power : : 2.5V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : T7 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : T8 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : T9 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : T10 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : T11 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : T12 : : : : 4 : -VCCINT : T13 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : T14 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : T15 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : T16 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : T17 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : T18 : : : : 5 : -VCCIO5 : T19 : power : : 2.5V : 5 : -GND : T20 : gnd : : : : -GND+ : T21 : : : : 5 : -GND+ : T22 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : U1 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : U2 : : : : 2 : -GND : U3 : gnd : : : : -VCCIO2 : U4 : power : : 2.5V : 2 : -GNDA1 : U5 : gnd : : : : -VCCD_PLL1 : U6 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : U7 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : U8 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : U9 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : U10 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : U11 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : U12 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : U13 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : U14 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : U15 : : : : 4 : -VCCINT : U16 : power : : 1.2V : : -VCCINT : U17 : power : : 1.2V : : -VCCA4 : U18 : power : : 2.5V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : U19 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : U20 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : U21 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : U22 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V1 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V2 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V3 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V4 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V5 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V6 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V7 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V8 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V9 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V10 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V11 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V12 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V13 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V14 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V15 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V16 : : : : 4 : -VCCD_PLL4 : V17 : power : : 1.2V : : -GNDA4 : V18 : gnd : : : : -VCCIO5 : V19 : power : : 2.5V : 5 : -GND : V20 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : V21 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : V22 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : W1 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : W2 : : : : 2 : -GND : W3 : gnd : : : : -VCCIO2 : W4 : power : : 2.5V : 2 : -VCCIO3 : W5 : power : : 2.5V : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : W6 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : W7 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : W8 : : : : 3 : -VCCIO3 : W9 : power : : 2.5V : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : W10 : : : : 3 : -VCCIO3 : W11 : power : : 2.5V : 3 : -VCCIO4 : W12 : power : : 2.5V : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : W13 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : W14 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : W15 : : : : 4 : -VCCIO4 : W16 : power : : 2.5V : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : W17 : : : : 4 : -VCCIO4 : W18 : power : : 2.5V : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : W19 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : W20 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : W21 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : W22 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : Y1 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : Y2 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : Y3 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : Y4 : : : : 3 : -GND : Y5 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : Y6 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : Y7 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : Y8 : : : : 3 : -GND : Y9 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : Y10 : : : : 3 : -GND : Y11 : gnd : : : : -GND : Y12 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : Y13 : : : : 4 : -VCCIO4 : Y14 : power : : 2.5V : 4 : -GND : Y15 : gnd : : : : -GND : Y16 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : Y17 : : : : 4 : -GND : Y18 : gnd : : : : -VCCIO5 : Y19 : power : : 2.5V : 5 : -GND : Y20 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : Y21 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : Y22 : : : : 5 : diff --git a/CH6/CH6-1/output_files/three_line_to_eight_decimal_decoder.sof b/CH6/CH6-1/output_files/three_line_to_eight_decimal_decoder.sof deleted file mode 100644 index 42d88e8394ce8e9c79833b44e302f50686881c01..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 496900 zcmeIb->)s(b?3FtJvTSELw9rup%qX|%vh!sA&9r*BsjuBGl@D)Ba1$q?uM2S^0s|% z;@dd(mVMnqNQfCL4?(>6Pk=XG5Fq}6-47rn{sbO44@f-o(#ivp8J}9a=3Zlu`D4{u zRqNDRHHNioRn74o-#O;D)|{j29`CvT!QcPm9roAc=hf~%kmG;&lW+g%i=X^B{Jp;y zzV=)H)^|StBK*-0|Lo!O?|=5A@E?8lqaT0%!ykmNe(g8E`kQy*4}bFc_dg9^{oUUR zU%mUvZ+-16zjgQ3uZ4f`?}z{Rv+snz^Xcbb2z2+s?r#X^-+A-3KlI4zWDr$?|=5`?pJodA&8&n-IsU&*(=(xy`ST!pZ)mrKmEbCzW41PfA2TH^Z5^?{P0_!eg3E4`{G-le)iqZ|Loh}=ktf3e)gl^{3oA&zWc_HzyImC9)9%0fAZOP zzxdYYKlqa${=2^v!Z)58IF~Cj2!HnJ?|kdi&;RtZAAj+!uit&=_rLVj-~RgVefv+o z^R>IL{@cI%-QW4`?|%0?-~IZRzA{9AS!6+6U#ara;TH@`Rtx7+>Y zfAS5v4e!zJKlt*ie|-PJH{VwZAMRd7`PvmeB%`qVc=tg%{ID&@Bwpwt?c(lL*h$AP zdXWB(vI1vyD0zHO%pbq{!}Lk`u<#*(`0*zn{Kf9q4?Ye~pB%?zXnV*}AHUk^9^@)J zTPK#YFou@{YZ1E76>tSyfg4xg7gjUAUs_Ejo`@^p3S6NA@AZ8}>GgrS@(WjB76tqZ zK8uV_;tIF|?^%Jkn#C-6wSLdv1@GP!a0Og}TU9{*EoW|v=MsJ_1Oo}xkAGNA^25J6 zVUdG!*cKo?O90>uoKW#|w{mVAfV!4In9xY8%2+}0VoiinApo~6v^Gr!833rkj@=d= zG&YSa0*E4K!lMRzs4>pv?acyRtmi*XFEBW#yfOutdNUi-+HHLbEOB4X{rco3eXJx2 z-B|U-A_wKLEkK$k872VLN@5&MI-CfZI5DA-R+X`W;KiBu33S+q?-aJql%17Icy7%o+SX)N@5&6y1}0~n$SqA z%2+}0Voijt&9-Gv(>?~=#mZWA(Ac#AqR5%>sKMr2i^t6sa0QeCck1eWUfjRW&b{yJ zQ6Mkrrhv&9BI8gF+XAF#2|%@y7)Ot8@F$KYG}5XvRuH^c6Jcw!ZQ0Ybj{$eFvKAdQ zb}fJ?awa@#u=&>FadQQ3UxECUHxpdO9vT0EyKiwG zWc;GrJ#*s2^crauGmb*b9@#j4|JCz9U7BYRbt;(Q-T z+XxeC$ws2)ry7&eSi(+N9_g&kS=XbPq&r=K-Ctjg2EVqNOgs@+U~LK{5>k~Uulgm2 zg%aPj%xQ{^ghs-ZgNClvIRmk#tB@=%wTh3 z;+$TsBSWfl*7cAuH&(GP0~^!YZG8$Xa9`s3M)@M6B{Do$$V85i1Wr_mlWXHB zv=G;`W+jP4t18r^8~k<7fL{$|WFG?M5ICtKjN`RwA--#4uL-1*Qm&_0Ygj(2bJq1B z@G{1~l+SDrGxN<)7w4xOzAX#TI;AV%3LK|EJPtMqbyl^O1wPT6sw8>UFF7of_^xG6 zQ*0zO60RIHbgj-Ah&2Uv|85=e?E;4iqTsMl;+sZqYD`LFah_1ENx05g*Q1%}tj-0t zw|Fyaf==cNoTI>g5jls!#xkwl)~CP%_vPHLPeLVCN%E>+a#$$wO(Vn1X^M?FBjL(H zL(__dHW5-D%E&&D3M6n+Bq=(s{@q&iU*NlkR%%lVA6Aa|Id-?BB{02vte)s$X(gDDhp(oTk`FXe3-YXy{sQ z)mnzUANVl(tsFksf3>zU-2FJywuY-mI}HBl)$H{NtJz!Wu)gw$Gs@4j)l7Eb_^81d zAS|wCIK(EV6N#C&+{*SAiKwHM1>7;&g(CqebE^ClWTdTcJRyj8pvLVc9ud#B0BPF& z!3G%*f;?hhjwT;Yc`O^!X>X8WAzk9x0C6^^XCiLkGPWG^LB8?e{DP-}zN!=7I4rcA z>zyE)GmU4a1WlfTTr`bWpgL!BtqM)z+jJt8+lCZq&VYyzYWCO`AU#U}@|;ymMacoW zYY9Y|Q)R3mBWn|3R~g$f616@9PBqxE+oFTUt_2W9&V)w|cD%Lsc-&lpssfKy&!ruS z@3z~~3a~P5%))+|+t#Q9i}@wqt)-JhPsK`7bkNwf1ftA27DWr$MWS9jfhZDj+S+Ve z2A0kt;4fCzqJze+1rSBfghvf!tkyW(Tme_$_7vDJ;#0FOaBBmt^c665GnMnNP{CN$EjGFA}0SQBAuvu)Ya)Q?drYQSBrtVIWnO=B01 z0HVm5@TkG&TZ_ld6_`~4zG^dDoSDz*=22jY`*POT=d9pkHCdpOGlZ1IT$cU3q?-aJ zqZ-(i!?p;lYY7CS51goCBiF_OsA~y?35~R>j1^?>Ya{Htwf`YpR6W8Tj=)TXEU*j5 z)D${+zF{+4oSDz*Tme^LngT1D*1V_flKgC~TJP^ctDf6xU1&kRrpY5o0H7XC#%_xa z8k@!&egIMAOn4Yw;6y=axQM-3pqB-9;aDKcwNU!+hb((1m{&6!)7ou)3M_G7&i(o< zU#%s>#J#9i665IJIfP80OlYKaEQ*N>1)(9zPZMF-um0US?f)@e2EHW=Z?-gAAFC_i z3f!gw)Ud&puh!B{qAOK4bGIc2=&mIYWzK3X9!4D`u8M%vRO>LqvVw&)s-#c zRZtpwmH^Z$iFNeo27lsM=2ZD9$Pg)qCgKLG^)}zF^Y?hX?OXW#c=$)IEsV4<#!0K$ z-7Z3*)`9}3YLe|Oc8M0XtmJM>4$w_|ySs03s{B;9d)CBI2xRR*y2mcNcxDkyzxZIVWA^Y{Zsx`Y2v;db*?ARy?oX=AH=Ko~1A>3im7dR}iy~V=?(mtlcx3SZ|?uI zuoS#RPLKpnREd*o<0!Nc*Ry(MmB>i+SWgodM_HXS5U++Zu>U#D@n;+Z2aBLp%s2`y zdu08Hz-mlNN^t^6^7E`H7fmCtI%i#vCh4rs1-7?%b7F$Oablc@xdL?sn6+~o)7ou) z3M_D6&i(o%R8o~Bulgm2g%aO1GR&N&*oZR{t{gNp&D2vmNraS#GO~jJ68J2V6dhOp zZY}yR@ZCeJH5+%`%@uG3ZbgA~D+8G_j$ioZ&&I`j7=O9M38QfoT8`;>?rKe+b z)Z(8dn#E)h4}2QL_~c_87D{~A#%F{CQb{S-_W1%-*Xo=VJ-!U(II=GNjR5}QlK;tU zJ(@lJC$se=wC+s!3(M=U%l-G=b&U7aEYhig*Bkd6yTA6$J1P>EIH*dJSN)R1LW%EM z<}}4dLL=eIK||N-oPk(VazFWtXUYoV31C7k*)vt+OpQrtEI}8l*1vjpFsMeZH|F{& zwQ1>h_EsR7(@b!2MmUs%hL*`L93M3}1F>dF zI(Yt`m`;RmQi40#-Xan8Qo#LSOAgR&lU+Cxh%%?jPeDf3`oPeCr4#w$>rv$9yQqE*8VzuZq7dW_}|;ynB`IK z!xNY9$JL0HP8`8%25{j-SeZ6tAHUh{!hV_C&Zz&{hyTj{C4cg(enh0P=p-(Vu>{Cg zlBZDPcxrEdB-|!6(yH>ykbj-(^<-tl(@J4$vuzoPTAu+YoaTwB=%BG{0Ys5A;mL!| zkU!sKwT=h(8eO(wk4$T~Eh#Yldw%tMnZ+&L-xp&wl_UXR)fbB#RAsX*K$<3834pC6 zPhrOK)L#FH;!3zpXrxtTtRQ%?Cc@Tc+p?#rAET7ZfIF8>F2-()4jP-rE*t?wku%{@ zgUz=VkDDtns{(x0X0|vppVQ5wz!LZ6tgp{m!N+Q{KqqGiDT}!*`*}$pD@kHBPnizv z%3)i8_bdT`GjO7cja(ZCpspnlCN$EjGFFhiuZ^((r=Y9iw+u_#v6VB zQRGZ`)T2qhwRkRKZx-lffn7Kj$kHjdp#W2FW@B2rtxtg^?#sDfpS+}xl_a6t({n}S zTsdqDkfuq72|%@y7)O&1CqgDpOlYK4Wvn20V-I22um0USZSU7`nFmOzv_+toTqToYlcb>ZoN&U+RB@HCYNJ9b-i(Ac#AqR5%> zl=aw0;CFKcT!AMA?pCA3{c1AtL{_bUsU(SXS@p#thq1&YE+PljLPO6IfLbN7jvn3M zPaMmfDnA7oBIVFT++eld>bv#R_jtVRTli!`Z`LNQW_Ks7X0pA-E+Ma4R&uu`2k54~ z-QBl1n`@O2C&(wHW1z&hO}4klLp13~Xe3-YXlR=3!qMOi#1>ylM$$*TYFCN8hepX^ zp(9cKQ?|FroMtl%342GVa?sGUd-2veAHo0`>(M23 zz{VB0`d`f4;{9VO7*?iD4gQssinyr}4}<;La7-LkCCRIP$zh?ycP(?8Vk4oEaOI$( zYjw^*tSPya$6eyE+XW6~M9E>H#CJ`NNog#hUahzs8xhW`BE3e2fMoRjQ{i)rn)J_Q!IFY&}tCKka{gD3U5L?@>U`R7B$ zNQ?lIzzI_1RbS4HqtHTJ&oZYeHWC?$Rt_4vR_6@Fs-cYRyWN)u-WH+|di8$@cNp{q z4hw8=@o<5(&+8(d7ha4$eOedkywMeK1*Rzwr@@axpEa$e;3ay2BygfioLn16p@q1f z)hnw+Mxw`hnz%U1>YRaiHI$M4sk6dhpAm&nOV-VhSLcy4fmTw=_4Ie^A&WX^T@Sjy zj4iOe#hc4y?!CAIM<~Foo!eNc+}5YS0{7+IuTMfHRY~%yUvgL|@l7Mc%xQ{^I3wZ8 zK||Aur8p5%9?Hl*kP0MlQY0xluKwLx^k3k+hgNGg?z)>R;0m|`x1m5{808XIa#$$w zU7NdF(_x)6;I7tU9t_$D6Kcump=;waLISBYmTMkjlKeb7xaeA)v#!U!vo5s*T!Bp~ zz^^;5!{paJ-CTjL0ttkwBze^@IV_a;u4PVBY$P-it{gOUtj%1&Idv2%`BwBY}nDH8=Uf3*5FhbEA~F~T!=Uq(E$%P za2Z>U`5@nTaDKtlKws5~ZyXld&Gk+Y&6#$>Lkh1zb_ znmx7!NY4@gRW@_CB?suPB@ks!m9c`1tWAVnWo*kx)cOoK&AJE|W*qzG&N1LOp*BAS z>2SJx>k2%sMu5Z@*X?Kp#?|ELfa?c_{jz`k1DP}e3g+Zj{YZ?ebu{!W0Z?VrtF@}9 zD0-9yP$tx?^-hltKAkW>eH!88(+qRf4IkVv&P#*cKp76Rrec#%djf zF3C@YQ)R5WB?fCEZ0)lxdz$(&N<|I0i%d|0511$N<>IZM7_Gh3XQ&*@wNS74d~Yn#?d z(%)LO-rs|1)%ukGQ?ERd1il^W(PZql=%BG_JVgW$Mb3mrJ(}cOi{~QtW`SN7*o9+( zES>WD6o@CJ%+#CNWLl@0DV=Tx1z2w9wsXHec}X8DNg~6i=ZeU=a@ZCiO_K~0fNCW% zjwT&WgiM^6&`7JwSV8c{9>TC+{kwHK<=1eR__m7lCs;TkMnX;uJFPR1as^xgS71Q} zq^wP>)_*`Ysu~`XUIL`bX70A+0Nu5pf+%yU{1k*3s!$VQs&(P%0HU4+06b0Q!H(S) z9W-_=fGBb%JZiAH+kFInH&?(Fcv9eQHA>uHFq5l)2*03KtLrK+>0>2Hq{yl-7C9(~ zZ2{7=1fW()tfNOa_!Gx6r^;AChDbRy5jR+^PkFZa?sE;*@dIQ8Hg>ul#Ha0c-5{Fc@K?}!$L=*`loDfkvYv~783T3Q01VZ zY4_r-b3TYy@5?c=H%aGp^7WY6;>>){bjvY6_wnAUh?-Dp)=F|OA5uOsEr%nhoXhqW z0ataV8ApZax%o~Q1D7WBWyUWc62gEtW{BdpAq5g+Rg*ba){?_QiSJtGG;4^2M#7bY zhOX5)1F^;VK9aT(Ce)IRM9oh%CZ(~2+2yCnH9G5@bv?$|iJ!=@4r9=63o78hn7N?1 zi&C#=k}f*TL-JF09*u_t@AW*L*84teII2pLSAC&1jzY^Zy=P8SY$Q6upd2)Gt9lC3-^S+#w9wZCwh)1=88@I=q0I^HDBvU(WjaoE3bmEK789 zx{zsjzmwyXYW)fQ1!7psDG*0lvywz8R24dgGt@Z)@ne3Gi;?{)cRhj^h(hSq{~=s7 zxP1&&i79tWF*I=xy;H&XBty_pgmX%#b$Ldzc6 zKGrL%#6@DqdYZU6%Ichf_)4{o_@-8COE$2y4!k<6F)1m9*T!dr1X5`%*F3}|`FVD5(X~2f>xt;xXS!}$ z4sZpoP67VH@;Xd@-Sc90^$+0}Q)+!3B~ntAB(M4OPAA{PMo${+5S1(aIWd!SanhU3-pJNhdYNq8S zpx}6Ip~N@st#~u1nc(7#gewOPEt6e1K5B3VV$G6taK|R56XBbb;7+!;NJJg2EZ~mG zE*uF+nN#JbAR}#k;|W2$12t|p@rZb~1xVBGce^2U!oD0$KAiGc*5Fhbt8Tl*vjO64 zOwUBzz-4SX=7W6W!TAMG1ASE|{!7Oz3+?85Cy3@uJK-VawJ_E>n`>3*CVYDUq;lJk z0?io^5kk!#+XAF#34kh_x!aNhbk`DyGN;N|K}Oal!mcv5Wh82S2AnU~#o(J7w`4Etqn8Vw8MI*e6$ zx`ln%xTNc%{4}@-t*UIc1xU{lfMO*nI%w=#0#W8v87s&x67^zC8d3TWm)Bjb_e1uh zD^OKnJvEZKJK5soh+7GmzV=`JUS{c5?%fIFSiV|IF&qR|MKO0PDH}(37*P-jlnITr zHmWslu_nUSX4|r-sUM@Pe*rh^U>@w)ZP7tv)7XV0fGBb%JY_xbOt>(bH;)2K+?TVyK4%3VtH}bLoG#>tQbT0fH=L=_vkHV!~t`zZ($8fjJeDahW}M%e#T(AEDTTtvBqJuJ`({~W(=;~O@!#hLk> z&J}P4rYW$tX`LkfiPbtXVpR=k=ve}w%4Y7i7s~#ETKUK-g+sXz8XW zk0b$*dNdgmD>`Uw8ZWy6M3FP$QA0V@80Yf#W`Qmi*o9+(ES+*23NZC%w)OlsteFRP z<#bEjmvg^9c}X8DNkU{+eX+N0SaGLMBd3XrxtTtRQ$}4`JA^ z{@pt5C6j?~$-tl5VT!C9xVEJk-3MN!kWixkMa)9ny0#W8{SL+~gO@yh|g{K2L z?^ys)d=D-ylM$$*T zYFCN8hepX^p(9cKQ?|FroMtl%342GVa?sGUd-2veAHo0`>(M23z{VB0`d`f4?EPb{+L=h4KiR+S{L`Xz^j65qAVX^M@6M#7bYhOX5) z1F@#$QXWSOoKz9UVWA^Y^HYsUX)Ix0t()4p(-m+9x(cK&;w9kmWy5>BPA5KTu##@n z6602tBv-+b!$OH~S`=^QGzCnY047#z60UOw2u;boY_(>43p3c9m^i0b>&TGmoOL}U z%*_>;SAjS$-N3ftb$9_a=c8QUzQl8~J1h9uj+f}Ag>I%35lN5-P(8qz# zn$}YA65T)&I8h}|u8pJ6LR`=4l~p1m(Pvg`C?;3{s|GvvUvyX~u%_|qJaQ(GN=mt& z{%$>Fp*Z1Pl`XKn#hX1EOJl|8k%MbZ4>>%DcuH|49Tdaajf;-`o+MSmki3y6>tSy0axHk6-ea0Xtkz; zxvRC|q~TbT2ZI3C6y<8Qw$P*cQcoaCV+p6T1Wk5u(KW@{MLWP1a0O}#@avB2F!^;) zH&>vmKmw5qhALnshlLW~wN+JX$u^L&8thmFiw+9~*0qr{fm9mH^`)ydqurdv&5d~5 z15ONI&9uDo6dbQDl=!B-6>sJ=6I`5;aOI$(WwHy$N1C&Rw!Vq!L>ML|xRdQI5>ZDh z3%Fyl3r7NyIXn25ZnLYzBP$$xXo$Vzpf!Yh#CWE5_$fGaR4 zuzadA(K>BnG@C>=`Yadgas3L&jNsvvj=v+D{zzo zk4K>{0ZLDE@DhF9LLaP5+cQ?|OmH5n{A7xD_;eg$I2SH7`x@%IB4uz05OJk(GGA0T!C9wfOT}5`Cewz^jZ}< zm(8(~B+`3&c!``Vhiw7UG~r4BR8h>`mK>m)7R8%DWKJ$7m>N?inXy_&;R+-)R@TVH z*e&PAL1WX{g(H9%LpgVI1>TDS%$k`k&dld@^C+;yeL3svb5`)Nnk>-Cc|yvvpUdW0 zNfM)Z%5-2?4%-5}X9)nDfs?V=L@vaPW8d64Cj2?H1AggNW6C5XCT8BG*ZPNW_vx=Gx#ZWXwXNU%`z~Ao6r4umqJze! z@e~n2jGUaW%HAxH%>uh{ERdyBZbJd4-pt0dc3YnUOWc=pzdojtBy@Xvu85qg_fXpc zq-m020sv>=gp_l)a&8=enud@GL=NTRNQ;6~W6C5?S{n9Sc(-2SyLY7E4s!)u0aw5k zI9q{SHcbH&>Il7Z*cKo?O8`_+%-xn8pu3hpWX>-984%=DjVY7Vs`V-F*3t26BX?VJfNt8`-Te#BCj6x{(J&z$1AR$ouVs6SJj4S5_uUFS5~3V5G);El(40-Q zbw09Kn>{PuJpqlwLM`RX7TMln;=UX&8O-&)v?xx4c<&8-J!ZBzGv71aa?HfWYOrB1A66{y8^}LJPQ_jhqRf(pawT zf(fc?inEJ$fGco}0{)Ac$EdVM3~SZqEN*VZPXkVTs!EcpV98;j#CI)os$%2S8sW0| zT@?W+0gsAskSpK{+^7O+^0*!+M=TYPHu6o?CS${cZ9p8^Zqmw3)7 z&k8=a<0U#dOGv30iR2*(oTvgO*Tzw3A+BetvZ^{Ik&)=>RY-9{F~I^@HQ2HLqT?3+ z5H15-+!om0;$iWm&+QP4o+=j5g3@NJcG<;}+hn z8I+qV;0m|`uE3QlkjQ({YE1`oS8Kyb!?7k01_7)o%GGLZp-1(lo96cn(W}B zYl^drc7QA33e*(fFD$Ra;Xx;Eu^I90^F~?BHLz)y5NF7w;o099w0Gz2m_Di6%R2 z3y`MWA8?&4fM6>L&}|W zpjLuB8K0gOPIyRRk|<6_;pPgs0)qm}S8M4e(UqFax!aNhbk`Dy%-Mx&fLakUNp+Pu zVcn7b0)0+cFoE|h02n!YVE4NMM=9`l6zUS7^fU)A(U*G~Wv#XY z3s(RIr_s3Rps{H@MFbEdC+DlOHw$F5z%CpMWa*UKP=Kj7voWpR)~CP{_vPHLkEtXH z-JYH+BIoKo)V2U=nq-&&z!^9p<=m~D8wa4KA!GuPL%En>YD}5rNlU}-@75Efb*L-g z3b+EUzyb>7vS|vKbQbAQIcy7%o+SXPDCTZU4$xgoATnnct^sOHnWR>&PkFbN{sMif zN0YJJqJze+1rQ@=7wrI7z!j(|a949&y#4;-J$i>%sX#89VwILf zHhWgQdjcAVg<8s&Ewa5u-XKG5zfs+n<0XT+zLyroX%O$dfv?BR7H8&rrdy8rxsUuU zKWw_hxtdUF)=KZ@9{>t1-6UmuivVbR{f}IX-EwXmG&YS_pa5bF<=o8`a0Lbh5=S+e zb7d_#ER^`JWzKOjCqkZ<_Q<(%(9ku-*+pwW;Bs6MA{S%-oEt}>1zgWY&IC|tEZ26y z1l2Xg*+o0R6*xu#|HaH>RC0_f@O~9YbgwE&u7V|pg%aPj%&CfvS8IgJ;&)X9paeWB z!a=TpE8q$|De$-&B@%B%Zc`LUfOEl6Z&0;x2X>*?>-k%Sc|yl$?*`%oY*&?$4oZG8$Xa9`s3M)@M6B{Do$$V85+ zlH@8_a#$$wUCW%R*gWMP30ICT9{|O9RKznVaC&^TzJ))8`#kss&lcF;;$gvb=b?`C z&?uI3r?n#U3s=Aun5saW8gK;u+-aTY!>e_ET0jm{#tSXrTE?rhdIC`zi*x$B^^k?) zY$fnsd<$%E@#eh@#5;8bN(wM*=QfrbwA1S^IaeaYHzRQ7r)Dzh_Yl{vG1=h4X@s6AcWS)$4D|W&|3X?=}4n%%%1zZ6XnE3Dz ziJ3f~5>aY0=Wa_5&|OO)GG`aA0cr%9q`JzSu z$u*bFv69d@R6+TOoGXWI0n#)nh6#Wwin-g819a1(coT@s$;FWt1gFN7NoK6pQMl+R zSJueI*e&N{$&Fm#=o-6l1W;or=WedRO9l9TEN;x2nJv!Dw*fldBNn%~Ko1LieP+&b zfeg0ALIja>^Miku0L8f@+skK0BR z*x+N?iFfwy#JKsx?&qhxUB+;`L+g$#FX>|?NrbTKi$xB~VOxOoECHxi665I64gSQ@ zghpCb#tMQLYa(oIwk>;__A%ftR@S0}#;yerMb3mr4L09eJlkBv(Zg*!3goZ6nc$*9 zM27>%ooNBkHrd`{mw;4fnsKxV#rt-5-{L&T_(ivS=ER5THPR|(9EFxWvT>B{EhZ`3 zpc-AW3rB-904pwYPq$HTJaNQk7N>1*kvGUtn{8D0xIwL6Mu8!+eZ@H(#=!0w+MhLGp*q0Qr-z7fGTn(JZ4FX zw1)lK%&D6zaH|R=23DQ{C5MF)-?h1`H67MD1McE{$AJY7RfKU^DDhpJxL|hq0Mt3_ zdK^XUpbaZ<^}m=IH+l2q5sS5Ia~3x@;$0esJRCPvt-~W_FJfg?R5laQ6O+IOyrDh^}(P|x~gJvZGpsNrKO(Wqt zXCT&;+qFoipH9LmAnJKsf|XstDs)>mR~3wSYg$XeOXLJe;6&9axi*eM3voTGS5}FPM5_`*L)Yq@fmk(^k^QN& z!eE~fg-}b@&5l>+ku!l-Qp)x8ck3aGI%i!Ey1$Gqu)W2b%Vh4oxB^Egz^t9ySgG9B zr@#XD<=n4NLM2s6@~U5QSSayLBg4#Tij6oU;mScn(~6}y5mFw?$Ucw?BydtBDLStH z-CFct;Jb%bYc}q>n=9Z7xB|DKKw=o>5?69qDDhpJyIRv>oipIB)?ywE+6WVB$>^bL z<1<16sWg^r9%7RGJUh7PTAj15hyS~ES5^mXPyznJ@;XfZnz@@R&{ZITP?aRF`Xz^j z65qAVX^M@6M#7bYhOX5)1F@#$9{yK|Ho}BjGJ5Em8k5pkLN8jar9TF>F}u+J9c5jr zrgf!r*=&ZvtC^Np2}$Io7tJwZ8F$Al`u*x0`rGJlg`KY4^Ly`*Jk- zaLQxZkdE2F;~id=v4Y^ejKu}I;RY^a%P}A18xPJecpB)dI`NIeLc6)%38FdEPIyS+ z6{ybHT&qGi;oAdn8{IafKywB}giy1`wgBl_0-(xf?zZFr-L(Xw%&9U~kdd{Cu&a!1 z8Hrk-0jF6P;lhk#-`qI{{3g`qryw0pcW+&R$JGds_~N=9t-!dN9361|z_4HTuYVwu zMnJ)w{Hh;`QMHbSo+SXPYSXT5uY+B-^*-@S}Vim zvZ+cil~pWqP!8Jyq-nyH0L)meqtGS!sc@={Rky@oO@ys|wq;LKKSrsj0e7*o79BJ; zja@hbh$3ggqXwIAEgm;lU{(eAs?BV1W8B@m20aH5KhTpI_Vt|bsAG}5XvR*=1~jj;cxpsW8wxQKEIdsv_o z{yBc##y4zci!<{%oh#r9OjBTO(>h7|TdUUld(f)qwptfjx;I`PNdh4CXfk$NbkNu| z-tYs6B4@&*9!>JC#d8sRvp_Ek?831?mQJ}11(

    8`IiteF`jbU(Wsd0vCCKFF&)e7XYX$qL69Z6j|YzvT{B>=TbVjVrY!JjymIaS6A zGDOOuiMYXPz14SXZvMuM3B6gHw3^)&*0-K=vc1JF0aD9K?zZFr-L$v6`xa+&trFq{ z`Gj-~l=!yE_7-`FCLIZlgewOPO_NKl8%J_QBY;k73XS(H>pZnNPuEXmKovR6@X00SJ z%R`EM*8+p7&NSly-85c-0tgdoGgc4@VZa+RL~+}Y0*SGz$($=|$zh?ycP(?8HAF%q z;mScn*Xo>sST&hP_K~!WFrk)gBx-(+y(UmfW4X4=52&uyIqQ0KNgc3p1+M-VGdFwx zSgST>8{T;|ajR7&$*X?JVWGr#EpwV;BcYLS<)EQ!bzn~XQ*tj`t=Znf3^pew&gs=UGNd|ZT@ML!a|PyAAkIrSux)r9UO>(HC>OXd z@to|=3O=^uB|14>$TU<8IWAnS>7ZFjA_!H5Xy{sz+1ap1G2wG_NWH;@EQREd*o<0!Nc*Ry(M zmB>i+rK7x?a>)tZgF?&b=(0*JIyVm)ZfYz@`-7*B#ek^6Q>%u0U6T z1VUAkyy}-67D{~AGN&mv5*i6t4jQ^v=M2P}l6&}HA=(HNYRTxKYidkNV+p-zwU+)E z)W&SXzv{8EJ3S6JaiV5gUL^{S*A_~A)82|VbD9Y*&Pcd&(9km3h2x_JXCT%rNe8Rh z#B?HjlM>v?_7;h#qm>2RG1-M90V#8;{1jxQHM>eYq7i#&h`r;WHnXrTK$>>H+hxuN zLF&ycq`_?1(WD!k@>tg3R2eJwKJ#3NI2X|Y4>xcbTaNi4-*|9-!P7ur)roH$7TV4A zP7uwRcEUpnuRwLq=33Rw_XYvnMz;+q(3}AgA=K=#EkJsf0I0H=yDd3DcP)V^bE=FL zWMpk3>?&hhMxxedz-iV+xG>|`H+PN!zX`SZDM*LY-CI}SaWw)YzPN6#KwW`Qcipgu zf;stBKN6#A9SuE8094uZYOU%iiXLSFlnM1}eUx|(as@W8z}4?%7B_qgbJ^ zIVgv10n#+#N&sf8)=}t^{8Ttq#;RLluqMLRKHIXVsUM?M)PTEKS&I%Do5n620Ys5A z;ZcLlw-%3^D=@19eAQ;QI5VHq&7;5)_ho^v&pd<8EDL0KhLGEQx28@{t=3onhj526 zU*PbtKpzY2!ZCA}>zdXx565Vd7p?D~WM*?;JuVP$o3eIu^ym zg@Vu!<)?`->{tJ8oi_PvxIu2Tn=9Z7xB@q#!1C2vgi45|%4Y7i0BV)QI(l@2KXEK`s{9mWh?GMUaf8)*tMArN-{bMN zZ{d>(y;+;In%$kSn#uMSyM(-IS;^g&9H5)_c6Z<6Y_3&8oFJc&j)4;2Hrd`H57DF} zp^oK#%nfadSmScYIV?VhLuP=12CX|}BlE5qvDYCsqU@+C0W*nfK z#w$<&VM1-j3L+s4cw>erZW~e{F;+F1b7d_#ER^`JWlpn(NN6NnIcVrwoih-tCiBQX zlC}{h)RK)v&Cjvd1WIWv*LL{<)wMcjU5_rQ12(R})&FAVX73+s)y8bYJC7!AwW=g} z)h{_Ll=!Y?PE%|oG!m{HG<2=b8HhC{_wYfqjWD5>j2^nC#-ucsP_Ncq{T$#5xB^WD zQqx;~1AzTExgz+!sGuy+=eDl-A`6-8o z;st1((iLz8j#D5W2b+XCy;|?PNqj&OI8h}|u8pJ6LR`=4l~p1m(U+>$LuZA-j{TF1 zQUOPyh1Qnw>O68LfJ#cap8jqff!= ze|yGVcXI_?0axHQ6i5uCT;fU&3njj5b60CRtaAq3)mn@*aHt}T!$OJg+W3r+Kq`&p z+CE=^>RO$%uE)N!F0}((flVpEUszs;$*+65xdL4U5(rgE@~U5QSSayb%bcdzNN6Nn zIcVrwoih+?O77u*g=iy8s3oI^uBkC8jV1J=)w-MNO#;w=bCxzY;)4MXgI6;xuM&cK z>3D5g4yY=pZ>2qRnh7q>NT71i&@$PDilsQ#?3No_RH=Yp0J5b|x6OV{zTYxm}ezzM!C+y47zn58@GTIx%=CY|uFqKs-a!?N2 z0;Flel>p3Gt)tK-`KfTKj8(V9U`>RreYRy!Q$I$jr~!AevKAdQHjQ040*E4K!lMS8 zZ!I1-S725J_^Qopab`ZJn@52q?#o$UpRyDd3DckQPj%A6`c1tBKLPZMFPb>Zm% zqMii+@~mS2`$f?~W7h(RB4@&*2790}5pJ%4D{!E|-NE_$J-xqR_gDWAenG8P*HvE9 zO#zcsBk3xKZ2{7=1fW()tfNOa_!Gx6r^;AChDbRy5jR+^PkFZXEsZT_bv z&V-(9>v@`EW}a@7RnPh>WKNTg1V)0DgNCNbE*uTcKy2})WF&pWt9F&hduWs#7CI8uKV^H1%xN~W zkg#`zDhCZsyBBYr^Fh3cEe8xl+Ad{$J!ZBzGv71aa?HBV&VU>7I%H9cZ-7e@x9BXKWa`>^4tDoI}T1#cXMmKU_e%gkwt zjld%z%0WZd>YRaCQ*sXP;r>BJ`uR?>}HV%(~dz>o%wPi{Pv6n$)jASc=d9~NuP4}3q9;Vo9m1g9)}=sPAe{}b!waZ6ALRn~ z<*cvIS;5E3vP37R3z>%ZJ2_6N)}PQ{AcnP^0&$czD@lYxRiR@zL!C1aKjs&?7}=k4 z*CTj=D1=`9AHqe0OV|S2TRdDM@3Z=dXGNETPoLIhK5umeT!Bdn#7XGmz-LWsDR_x) zObL#(iWx_tWshth>y=gFBC%sVO(Ql8(3NgUY*sLl$7F}{%$>F zQRl4d(aLjP-vZlPyqPycXLAKc1(=c(jafUl$x6lCbz7MNRFIkN+^%0WZRWEYN)8k~Vxvm_ndv5DzK_$DQ| zlkF`MQAaBaxMQ*lM*>pjRQV~$NNaYLctj)i&=7maL2YJXTYxm}ez(h<4}#R2SxAG~ zu%k&gIOVad!KpG^Vwq+!0eFmIruw%DH2aR0|Ac~v`j~Z;gwRol*gI!icC-T1 z*Z!;D%Pbx(&g}v7l5Pr^jCBx3Ic!T;5~&~wP_>d6N0%}RB7riYkye$lg5brP2wR(N z%buowjI#a(+{MaTbkNu|cHsyhiku0L8f?C`c%~bJUWbKsq~Tc{D!^B5W{WfPIo&)8 zEOB4X`udy|e5@u5baIA}vY5-VpO|? zNr=pU?R1pvkO;G%=Zt_2W9 z&V;9|$36nTn=9Z7JSlLu8YS*mlZhv?Y6WuHGzCo3j-;*}wgpJf5`bDIv5p?y;7=UO zoGN1l86xG-MBHGt9>=|@&#4htQL z>YuW`Mdmb{SxDGBLY0GtrrnFT&iNo-y)Vbe-XxvZ$=73Mi!<{*(=EsR+{b=$9bRAP zTumr7YbAkM9#Uk7zrbLsGtD?aH;q@I0K$aYj1@#e81TjnQQS79Kw_+FGUv)#a#$$w zUCW$i4Uy1DxN^|YwK``YR!!!SeI#uoOsFLriJG5duL+dWSg!5z1FCCv&bl65QU`2Y zfvf+;%+20E)~b!!hIbxK+-g-x@~U5QSSayb%bcdzNN6NnIcVrwoih+?O77utTb3Z$mDtS^LxIFF$|bJkuu$T= zHg~nA!#ZccU9H7D7_<>4)RNId*T!dr1X5`%*Y^1WRM+a9bv^c-b*UZT3T#RN`4zO4 znEbk@n=8;&Ac0VoB(M4`srvO`NEimRE^_l&75X}i!&0g95l2{cH#J_ z!5N4(OVYt=HZh$D-=qY0vb{wj>S$#FcT9HSNI=S*DnA7oY3mzL2;v>6al45}#Ir3x zns&e24WSeE0JSpuNSX70A+0Nu3&qRgo> zR*;dkiLk4TZ5fGLp8=;?7vaK;W8d642K*+}=BFSXPIqrzfydPdkoe-d9j(B)nj9T) z{lKta_OE{+lSV+nocyXEiBYwVhMpw=s%(0-R`nD`kFo&DgnG3;N<0U-0yn0>ei5HC zsH@-0EKV8ijbU@yR3(_oDi%2?hiw7UG~r4BW~|mx=#u1x!XYuq%gc5m?s}2u2?`QN>2CjRR2E5(pC-X;m33$lljR*#A?| z)&C(}M7e}LEYJ!69KUYk8#c4WnfaW~6>tTnDX_L_oh1FORqOpdXw`FDtqU#P8!wL} z0g!q$8M`eyXlxp9_yI(bGvQH>Ci&Llxrn`4pqB-9;aDI`r`(1DOudck8st zU&9S@qupEqSHKmx5e1g7)*@6wELAphwJ_5g+E8q$|DR8$MCGJ;~i6^pZ1#;Om1x(V8q^=yc1xU{lfLbN7 zjvn3MPaMmfDq{s1BIVFT++eld>bo^Je`Cgk-mFbp&F%{8TTeOJ-eQ*ksbwX1TXKMI z+S}cIi?g{_32}maLOKRYeA{Gui#$Y=j)X?Sm4k++$u1lX&OmJOrDP<1#H)6d$a`p% z92Pnf)jwr>i_B>@vyiZNgenIOO}iIwo%2Dwi7f{VMA|N8d_88iI5Xcf-Ez#&ee5UK z;q`^i)r3;BRuY)yAw|Axfx%Q~nsI<`8m~YBgbB47D+n>$GemLQkOGOZs>z%yYsq1u z#CI)onl(g1BjL(HL)Yq@fmk(}NA{7ljWD5>Y$R%aj=d&ON@KaU%MYlo)j8{WbV(hs zaRsja7c)10|28#zmcY$f8b&-ERVB%*zW9x!&@#|;4w=&w8wrdAD+di-t8)foP02lc z5N#t&s3oI^uBkC8DJ9gabyq(JxB{-g%nCft%y&9nVx2v~SV=c(iE*n+lB;0JVWGq~ zEs8gDngS+H028Y<3D-FTgr?+Pwpz2jg&Ay4Oq|oJb!13&&bl5F=H?2_t3aHWZeZK+ zI=q0I^HDBvU*de(EyT1$hNlaehEWbjNCKCt#L2aB6k3SuS+kNvqE!{@(GC7OXTYz9 zGO|AnvoP3aL?QI*{}ApV*aZ#?Y;W;!fwa%-BAypsj6Qu@7wNpw6>tTnDG;Z@k3yd{ zt)<{4dND;g(kf;gg_b?CeXLhjiHpRJ)iQB$l+`%{@s(;F@lCDPmTX{Y9e8zCV^UIz zbNajWkVT!du171+d3_6PZ}Dc{44ustI70zu?cByn<+eTr7Pv3xeti-usY;Sp{gT5% ziEkPiW=>OV#2E=!4jP(PEX9eC@=!+hfm9%YlOjpcarN)kqW=QlJ+xZ0ao62k0aw5k zxD5po!zh=ylEXrY@7mnenhxun0e7_)^I*_Mm{3bb4_zCd5fVtHv0U>IljP^w!9~~V zoOM0+opq@l;0kO?0e;E;S_6-XddCCRIP$zh?ycP(?8Vk4oEaOI$(Yjw^* ztSPyN{}rN*Frk)=9=fK+q%@Y$i&kstk3ntBKG=V?wl(Ust>Nm?4ue-SEw2&+eCc>? zS~Oef>a8?pPBX#98HrL38d@g1aD3F@48)ox>0mXRm`;RmQi40#-Xan8Qo#LSOAgR& zlU+Cxh%%?jPeDdjv#Z1-8nK6l*gFntGYi`Sq-poNUFLicq~6Rz8q9_rO}fD;k7W%` zm9b*)GtY&Ha}gcza08dI<(LohjR)r!JPq_!o%qIKq1{~X1ks#nCp@I^3RLH8u2rF% zumfCyD_5X710sV{v&Xgo=~)7x%4Y7i2`abM2*`kWPftR@R|a-NW~?B^xj6fhapz^)v&MPOY^AQ*k%L=_vkHV!~tOCU^W zq*Z0CAb7DR!qn!g|3kR@K`(!NSfGmqcHx*gOTJ+0ALuUoe+@UtjdpVdTme_$Mif}ST8mH#u~ga2-Ig4nyOuzdIos7bNL&+P zs&(P%fX;gs02JSYiw+vQ7C;m^6P~gj`w0AQu7E4>q`=*3l(=6_CZ5Qu706}N6fj9U zlDcx(79c%K0BV)QI(l@2KXEK`s*Dw6h?GMUaf8)*tMAs_{EZnCdb2iZHM=XUZ$0H? zdy8EHq?VQ3ZOH+;X>WJ;EzagzCBzBx3F#Op@okgsE%FdeIuaTQR}LDQCcAJnI0Lc8 zmy(h65wF@+BJZJ5a#-j{RR5IiEi$Ls%tFH65vm+CH0@rzbU}vz_9p4PPQD&9 zTb!BinQl4e=RWq6>+t$Q=W0T!St|+5@{l6iTLcDEooU7ax@o)u1rR3GW~?9*!hkns zh~l;(1rlRblQ~z`lEXrY?^@?3I#VL~n0NYwlsdrhE} z#&T_!A5dMZbJq3fk~(1H3ivN(Uh5ZtwQ6Iw;hjelw^~(_yy}-67D{~AGN&mv5*i6t z4jQ^v=M2P}l6&|d+D4dAOGXb}Q)5yZOQ=`tu6_=11zdrq0;%aOIUh@n@1-rB_@u!~ zx=~AvTUC-=1xpSKCBA72e6 zhOX5)1F@BAJ@|GlL?QI*{}3*MT*CO5@|o>nX1@99;{24uL-7K%PU#A`0>>#3kAqD@ zonEc?-6TFB37n`BC)dVNXd$j=^~x%dk?2cRYx*h;cI+RoQUOPyh1Qnw>O68LfJ#ca zp8jqs3w%@uG3Tme_$y(y6Bxm@B( z4hto|Yjam?I;?XB+|^o)GjOOPjKe~S@7nl`kU%Pp<=Q@9fa+SEv#v*%)B&!*1{L5h zEU&}l*FD`_fvy4xgsLQY)h{_Ll=!Y?PE%|oG!m{HG<2=b8HhC{_wc_$v=JuMlF>ug z)R>gU66)2utDgg0foTdP;A*DjRifZ{ZK1?B?X7q-r9I|{UBz%HVLnmx7!NY4@gRW@_CB?suPB@ks!m9c`1 ztWAVnWo*kx)cOoK&AK=)E5^~H%D%vv3AOntNRIXsSD>W8W2y1Iv?ac{ZmxhUP*Nb5 zO;f-G9f?p5+XAF#34kh_x!aNhbk`DyGN;N|K}Oal!qz_9G7_~u15Q;o$8L)b8oL%i z6gd+fHP}Oqak{wzuE5q6@V(5g_#2Ry^s$m82E(c^7C9(~Z2{6W;Yt9imBcujbU35@ zB>Aaus*DvoF<28}YqM?H)6|bqDr&%8tgJ-`jZI@0jsT*_neeE==39%$%@vqc0lsQ8 zTb!BC>E=;jiTkp^*Jqx=W|jpqJX^@Tq?-aJX%FnmVOs>&wFIJCNsOb*2Ox1Up^;XV zv4Y^mng~;yul^6=?gzd6@nL~3_~-a_8{e>*EzZp6bgqCa;0kO(fj6retSyf%mAu zhaZ0Y>JQT=!G4g`ug8x+`QR@OJ`PTw97p8-+(QQE{-j0s@X1e8Mm0~$Sw9WslhTM1 z|8#}L-K!|S-2P$dL);(lK1lL^{CNI2IDK*qH0{G-4~Z^={2xi48YNQqkUkdgF;>ZX zKa^L+5xu9EFYt~`i7$5%oUpjE44|no>nPi{i z5AON@YyIP$OyR#NCucS7ge$?dgX?3scK83^&wo+V7g2>kY5MrXfB5h!=S5&KRXQx0 z{BHIx5p$3C*EpOv#{c){|5_o5%79*^s!=teHR?&iLve<{mq{?jntc$y{tplLvyRM6 zQRdfv{_|G|I@~2>;l8c1fg5)(Kf|r$o}QcO|Mv6$>THgzn0&uakWfZZwzT{Bm;ce> z{ruT3$sN0sn#i6%==fM3M%woJ_Ro<~0H!X(dh!e;zW?+0{(mBWLjM2& diff --git a/CH6/CH6-1/output_files/three_line_to_eight_decimal_decoder.sta.rpt b/CH6/CH6-1/output_files/three_line_to_eight_decimal_decoder.sta.rpt deleted file mode 100644 index aedaee75..00000000 --- a/CH6/CH6-1/output_files/three_line_to_eight_decimal_decoder.sta.rpt +++ /dev/null @@ -1,655 +0,0 @@ -TimeQuest Timing Analyzer report for three_line_to_eight_decimal_decoder -Thu Oct 24 21:57:58 2019 -Quartus II 32-bit Version 13.1.0 Build 162 10/23/2013 SJ Web Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. TimeQuest Timing Analyzer Summary - 3. Parallel Compilation - 4. Clocks - 5. Slow 1200mV 85C Model Fmax Summary - 6. Timing Closure Recommendations - 7. Slow 1200mV 85C Model Setup Summary - 8. Slow 1200mV 85C Model Hold Summary - 9. Slow 1200mV 85C Model Recovery Summary - 10. Slow 1200mV 85C Model Removal Summary - 11. Slow 1200mV 85C Model Minimum Pulse Width Summary - 12. Propagation Delay - 13. Minimum Propagation Delay - 14. Slow 1200mV 85C Model Metastability Report - 15. Slow 1200mV 0C Model Fmax Summary - 16. Slow 1200mV 0C Model Setup Summary - 17. Slow 1200mV 0C Model Hold Summary - 18. Slow 1200mV 0C Model Recovery Summary - 19. Slow 1200mV 0C Model Removal Summary - 20. Slow 1200mV 0C Model Minimum Pulse Width Summary - 21. Propagation Delay - 22. Minimum Propagation Delay - 23. Slow 1200mV 0C Model Metastability Report - 24. Fast 1200mV 0C Model Setup Summary - 25. Fast 1200mV 0C Model Hold Summary - 26. Fast 1200mV 0C Model Recovery Summary - 27. Fast 1200mV 0C Model Removal Summary - 28. Fast 1200mV 0C Model Minimum Pulse Width Summary - 29. Propagation Delay - 30. Minimum Propagation Delay - 31. Fast 1200mV 0C Model Metastability Report - 32. Multicorner Timing Analysis Summary - 33. Propagation Delay - 34. Minimum Propagation Delay - 35. Board Trace Model Assignments - 36. Input Transition Times - 37. Slow Corner Signal Integrity Metrics - 38. Fast Corner Signal Integrity Metrics - 39. Clock Transfers - 40. Report TCCS - 41. Report RSKM - 42. Unconstrained Paths - 43. TimeQuest Timing Analyzer Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 1991-2013 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. - - - -+-------------------------------------------------------------------------+ -; TimeQuest Timing Analyzer Summary ; -+--------------------+----------------------------------------------------+ -; Quartus II Version ; Version 13.1.0 Build 162 10/23/2013 SJ Web Edition ; -; Revision Name ; three_line_to_eight_decimal_decoder ; -; Device Family ; Cyclone III ; -; Device Name ; EP3C16F484C6 ; -; Timing Models ; Final ; -; Delay Model ; Combined ; -; Rise/Fall Delays ; Enabled ; -+--------------------+----------------------------------------------------+ - - -Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. -+-------------------------------------+ -; Parallel Compilation ; -+----------------------------+--------+ -; Processors ; Number ; -+----------------------------+--------+ -; Number detected on machine ; 4 ; -; Maximum allowed ; 1 ; -+----------------------------+--------+ - - ----------- -; Clocks ; ----------- -No clocks to report. - - --------------------------------------- -; Slow 1200mV 85C Model Fmax Summary ; --------------------------------------- -No paths to report. - - ----------------------------------- -; Timing Closure Recommendations ; ----------------------------------- -HTML report is unavailable in plain text report export. - - ---------------------------------------- -; Slow 1200mV 85C Model Setup Summary ; ---------------------------------------- -No paths to report. - - --------------------------------------- -; Slow 1200mV 85C Model Hold Summary ; --------------------------------------- -No paths to report. - - ------------------------------------------- -; Slow 1200mV 85C Model Recovery Summary ; ------------------------------------------- -No paths to report. - - ------------------------------------------ -; Slow 1200mV 85C Model Removal Summary ; ------------------------------------------ -No paths to report. - - ------------------------------------------------------ -; Slow 1200mV 85C Model Minimum Pulse Width Summary ; ------------------------------------------------------ -No paths to report. - - -+----------------------------------------------------------+ -; Propagation Delay ; -+------------+-------------+-------+-------+-------+-------+ -; Input Port ; Output Port ; RR ; RF ; FR ; FF ; -+------------+-------------+-------+-------+-------+-------+ -; A ; Y0 ; 6.524 ; ; ; 6.957 ; -; A ; Y1 ; ; 6.558 ; 6.954 ; ; -; A ; Y2 ; 6.524 ; ; ; 6.962 ; -; A ; Y3 ; ; 6.695 ; 7.068 ; ; -; A ; Y4 ; 6.510 ; ; ; 6.937 ; -; A ; Y5 ; ; 6.527 ; 6.914 ; ; -; A ; Y6 ; 6.517 ; ; ; 6.930 ; -; A ; Y7 ; ; 7.764 ; 8.274 ; ; -; B ; Y0 ; 6.600 ; ; ; 7.021 ; -; B ; Y1 ; 6.628 ; ; ; 7.037 ; -; B ; Y2 ; ; 6.628 ; 7.033 ; ; -; B ; Y3 ; ; 6.773 ; 7.175 ; ; -; B ; Y4 ; 6.583 ; ; ; 7.006 ; -; B ; Y5 ; 6.589 ; ; ; 7.005 ; -; B ; Y6 ; ; 6.597 ; 7.025 ; ; -; B ; Y7 ; ; 7.841 ; 8.379 ; ; -; C ; Y0 ; 6.597 ; ; ; 7.030 ; -; C ; Y1 ; 6.575 ; ; ; 7.005 ; -; C ; Y2 ; 6.600 ; ; ; 7.029 ; -; C ; Y3 ; 6.704 ; ; ; 7.145 ; -; C ; Y4 ; ; 6.577 ; 7.007 ; ; -; C ; Y5 ; ; 6.532 ; 6.959 ; ; -; C ; Y6 ; ; 6.563 ; 7.004 ; ; -; C ; Y7 ; ; 7.772 ; 8.325 ; ; -+------------+-------------+-------+-------+-------+-------+ - - -+----------------------------------------------------------+ -; Minimum Propagation Delay ; -+------------+-------------+-------+-------+-------+-------+ -; Input Port ; Output Port ; RR ; RF ; FR ; FF ; -+------------+-------------+-------+-------+-------+-------+ -; A ; Y0 ; 6.376 ; ; ; 6.798 ; -; A ; Y1 ; ; 6.411 ; 6.795 ; ; -; A ; Y2 ; 6.377 ; ; ; 6.803 ; -; A ; Y3 ; ; 6.541 ; 6.904 ; ; -; A ; Y4 ; 6.362 ; ; ; 6.779 ; -; A ; Y5 ; ; 6.380 ; 6.755 ; ; -; A ; Y6 ; 6.369 ; ; ; 6.772 ; -; A ; Y7 ; ; 7.616 ; 8.112 ; ; -; B ; Y0 ; 6.449 ; ; ; 6.859 ; -; B ; Y1 ; 6.478 ; ; ; 6.875 ; -; B ; Y2 ; ; 6.477 ; 6.870 ; ; -; B ; Y3 ; ; 6.616 ; 7.006 ; ; -; B ; Y4 ; 6.433 ; ; ; 6.844 ; -; B ; Y5 ; 6.439 ; ; ; 6.843 ; -; B ; Y6 ; ; 6.446 ; 6.863 ; ; -; B ; Y7 ; ; 7.689 ; 8.214 ; ; -; C ; Y0 ; 6.439 ; ; ; 6.850 ; -; C ; Y1 ; 6.415 ; ; ; 6.823 ; -; C ; Y2 ; 6.423 ; ; ; 6.850 ; -; C ; Y3 ; 6.524 ; ; ; 6.960 ; -; C ; Y4 ; ; 6.414 ; 6.829 ; ; -; C ; Y5 ; ; 6.371 ; 6.780 ; ; -; C ; Y6 ; ; 6.402 ; 6.817 ; ; -; C ; Y7 ; ; 7.610 ; 8.138 ; ; -+------------+-------------+-------+-------+-------+-------+ - - ----------------------------------------------- -; Slow 1200mV 85C Model Metastability Report ; ----------------------------------------------- -No synchronizer chains to report. - - -------------------------------------- -; Slow 1200mV 0C Model Fmax Summary ; -------------------------------------- -No paths to report. - - --------------------------------------- -; Slow 1200mV 0C Model Setup Summary ; --------------------------------------- -No paths to report. - - -------------------------------------- -; Slow 1200mV 0C Model Hold Summary ; -------------------------------------- -No paths to report. - - ------------------------------------------ -; Slow 1200mV 0C Model Recovery Summary ; ------------------------------------------ -No paths to report. - - ----------------------------------------- -; Slow 1200mV 0C Model Removal Summary ; ----------------------------------------- -No paths to report. - - ----------------------------------------------------- -; Slow 1200mV 0C Model Minimum Pulse Width Summary ; ----------------------------------------------------- -No paths to report. - - -+----------------------------------------------------------+ -; Propagation Delay ; -+------------+-------------+-------+-------+-------+-------+ -; Input Port ; Output Port ; RR ; RF ; FR ; FF ; -+------------+-------------+-------+-------+-------+-------+ -; A ; Y0 ; 6.057 ; ; ; 6.433 ; -; A ; Y1 ; ; 6.096 ; 6.419 ; ; -; A ; Y2 ; 6.045 ; ; ; 6.434 ; -; A ; Y3 ; ; 6.232 ; 6.512 ; ; -; A ; Y4 ; 6.031 ; ; ; 6.416 ; -; A ; Y5 ; ; 6.067 ; 6.365 ; ; -; A ; Y6 ; 6.026 ; ; ; 6.403 ; -; A ; Y7 ; ; 7.291 ; 7.720 ; ; -; B ; Y0 ; 6.120 ; ; ; 6.489 ; -; B ; Y1 ; 6.147 ; ; ; 6.504 ; -; B ; Y2 ; ; 6.157 ; 6.480 ; ; -; B ; Y3 ; ; 6.299 ; 6.607 ; ; -; B ; Y4 ; 6.093 ; ; ; 6.474 ; -; B ; Y5 ; 6.098 ; ; ; 6.473 ; -; B ; Y6 ; ; 6.128 ; 6.461 ; ; -; B ; Y7 ; ; 7.368 ; 7.816 ; ; -; C ; Y0 ; 6.101 ; ; ; 6.496 ; -; C ; Y1 ; 6.079 ; ; ; 6.477 ; -; C ; Y2 ; 6.093 ; ; ; 6.495 ; -; C ; Y3 ; 6.184 ; ; ; 6.611 ; -; C ; Y4 ; ; 6.092 ; 6.453 ; ; -; C ; Y5 ; ; 6.050 ; 6.409 ; ; -; C ; Y6 ; ; 6.077 ; 6.444 ; ; -; C ; Y7 ; ; 7.286 ; 7.769 ; ; -+------------+-------------+-------+-------+-------+-------+ - - -+----------------------------------------------------------+ -; Minimum Propagation Delay ; -+------------+-------------+-------+-------+-------+-------+ -; Input Port ; Output Port ; RR ; RF ; FR ; FF ; -+------------+-------------+-------+-------+-------+-------+ -; A ; Y0 ; 5.931 ; ; ; 6.297 ; -; A ; Y1 ; ; 5.970 ; 6.285 ; ; -; A ; Y2 ; 5.918 ; ; ; 6.299 ; -; A ; Y3 ; ; 6.100 ; 6.373 ; ; -; A ; Y4 ; 5.906 ; ; ; 6.282 ; -; A ; Y5 ; ; 5.941 ; 6.233 ; ; -; A ; Y6 ; 5.901 ; ; ; 6.267 ; -; A ; Y7 ; ; 7.163 ; 7.586 ; ; -; B ; Y0 ; 5.992 ; ; ; 6.352 ; -; B ; Y1 ; 6.018 ; ; ; 6.367 ; -; B ; Y2 ; ; 6.029 ; 6.341 ; ; -; B ; Y3 ; ; 6.164 ; 6.463 ; ; -; B ; Y4 ; 5.965 ; ; ; 6.337 ; -; B ; Y5 ; 5.970 ; ; ; 6.336 ; -; B ; Y6 ; ; 5.999 ; 6.323 ; ; -; B ; Y7 ; ; 7.237 ; 7.676 ; ; -; C ; Y0 ; 5.970 ; ; ; 6.339 ; -; C ; Y1 ; 5.948 ; ; ; 6.322 ; -; C ; Y2 ; 5.944 ; ; ; 6.343 ; -; C ; Y3 ; 6.035 ; ; ; 6.451 ; -; C ; Y4 ; ; 5.952 ; 6.302 ; ; -; C ; Y5 ; ; 5.912 ; 6.257 ; ; -; C ; Y6 ; ; 5.936 ; 6.285 ; ; -; C ; Y7 ; ; 7.145 ; 7.610 ; ; -+------------+-------------+-------+-------+-------+-------+ - - ---------------------------------------------- -; Slow 1200mV 0C Model Metastability Report ; ---------------------------------------------- -No synchronizer chains to report. - - --------------------------------------- -; Fast 1200mV 0C Model Setup Summary ; --------------------------------------- -No paths to report. - - -------------------------------------- -; Fast 1200mV 0C Model Hold Summary ; -------------------------------------- -No paths to report. - - ------------------------------------------ -; Fast 1200mV 0C Model Recovery Summary ; ------------------------------------------ -No paths to report. - - ----------------------------------------- -; Fast 1200mV 0C Model Removal Summary ; ----------------------------------------- -No paths to report. - - ----------------------------------------------------- -; Fast 1200mV 0C Model Minimum Pulse Width Summary ; ----------------------------------------------------- -No paths to report. - - -+----------------------------------------------------------+ -; Propagation Delay ; -+------------+-------------+-------+-------+-------+-------+ -; Input Port ; Output Port ; RR ; RF ; FR ; FF ; -+------------+-------------+-------+-------+-------+-------+ -; A ; Y0 ; 3.944 ; ; ; 4.504 ; -; A ; Y1 ; ; 3.933 ; 4.529 ; ; -; A ; Y2 ; 3.942 ; ; ; 4.499 ; -; A ; Y3 ; ; 3.986 ; 4.584 ; ; -; A ; Y4 ; 3.923 ; ; ; 4.475 ; -; A ; Y5 ; ; 3.898 ; 4.489 ; ; -; A ; Y6 ; 3.915 ; ; ; 4.473 ; -; A ; Y7 ; ; 4.736 ; 5.471 ; ; -; B ; Y0 ; 3.988 ; ; ; 4.534 ; -; B ; Y1 ; 4.002 ; ; ; 4.543 ; -; B ; Y2 ; ; 3.968 ; 4.567 ; ; -; B ; Y3 ; ; 4.034 ; 4.644 ; ; -; B ; Y4 ; 3.967 ; ; ; 4.509 ; -; B ; Y5 ; 3.966 ; ; ; 4.508 ; -; B ; Y6 ; ; 3.946 ; 4.542 ; ; -; B ; Y7 ; ; 4.786 ; 5.525 ; ; -; C ; Y0 ; 3.986 ; ; ; 4.540 ; -; C ; Y1 ; 3.969 ; ; ; 4.529 ; -; C ; Y2 ; 3.984 ; ; ; 4.534 ; -; C ; Y3 ; 4.038 ; ; ; 4.585 ; -; C ; Y4 ; ; 3.930 ; 4.537 ; ; -; C ; Y5 ; ; 3.908 ; 4.512 ; ; -; C ; Y6 ; ; 3.929 ; 4.530 ; ; -; C ; Y7 ; ; 4.744 ; 5.499 ; ; -+------------+-------------+-------+-------+-------+-------+ - - -+----------------------------------------------------------+ -; Minimum Propagation Delay ; -+------------+-------------+-------+-------+-------+-------+ -; Input Port ; Output Port ; RR ; RF ; FR ; FF ; -+------------+-------------+-------+-------+-------+-------+ -; A ; Y0 ; 3.856 ; ; ; 4.411 ; -; A ; Y1 ; ; 3.847 ; 4.435 ; ; -; A ; Y2 ; 3.855 ; ; ; 4.407 ; -; A ; Y3 ; ; 3.897 ; 4.487 ; ; -; A ; Y4 ; 3.836 ; ; ; 4.382 ; -; A ; Y5 ; ; 3.813 ; 4.396 ; ; -; A ; Y6 ; 3.828 ; ; ; 4.380 ; -; A ; Y7 ; ; 4.648 ; 5.376 ; ; -; B ; Y0 ; 3.898 ; ; ; 4.440 ; -; B ; Y1 ; 3.912 ; ; ; 4.448 ; -; B ; Y2 ; ; 3.880 ; 4.471 ; ; -; B ; Y3 ; ; 3.943 ; 4.544 ; ; -; B ; Y4 ; 3.878 ; ; ; 4.415 ; -; B ; Y5 ; 3.877 ; ; ; 4.415 ; -; B ; Y6 ; ; 3.858 ; 4.446 ; ; -; B ; Y7 ; ; 4.697 ; 5.428 ; ; -; C ; Y0 ; 3.887 ; ; ; 4.434 ; -; C ; Y1 ; 3.872 ; ; ; 4.422 ; -; C ; Y2 ; 3.879 ; ; ; 4.430 ; -; C ; Y3 ; 3.931 ; ; ; 4.476 ; -; C ; Y4 ; ; 3.838 ; 4.434 ; ; -; C ; Y5 ; ; 3.818 ; 4.407 ; ; -; C ; Y6 ; ; 3.836 ; 4.423 ; ; -; C ; Y7 ; ; 4.652 ; 5.389 ; ; -+------------+-------------+-------+-------+-------+-------+ - - ---------------------------------------------- -; Fast 1200mV 0C Model Metastability Report ; ---------------------------------------------- -No synchronizer chains to report. - - -+----------------------------------------------------------------------------+ -; Multicorner Timing Analysis Summary ; -+------------------+-------+------+----------+---------+---------------------+ -; Clock ; Setup ; Hold ; Recovery ; Removal ; Minimum Pulse Width ; -+------------------+-------+------+----------+---------+---------------------+ -; Worst-case Slack ; N/A ; N/A ; N/A ; N/A ; N/A ; -; Design-wide TNS ; 0.0 ; 0.0 ; 0.0 ; 0.0 ; 0.0 ; -+------------------+-------+------+----------+---------+---------------------+ - - -+----------------------------------------------------------+ -; Propagation Delay ; -+------------+-------------+-------+-------+-------+-------+ -; Input Port ; Output Port ; RR ; RF ; FR ; FF ; -+------------+-------------+-------+-------+-------+-------+ -; A ; Y0 ; 6.524 ; ; ; 6.957 ; -; A ; Y1 ; ; 6.558 ; 6.954 ; ; -; A ; Y2 ; 6.524 ; ; ; 6.962 ; -; A ; Y3 ; ; 6.695 ; 7.068 ; ; -; A ; Y4 ; 6.510 ; ; ; 6.937 ; -; A ; Y5 ; ; 6.527 ; 6.914 ; ; -; A ; Y6 ; 6.517 ; ; ; 6.930 ; -; A ; Y7 ; ; 7.764 ; 8.274 ; ; -; B ; Y0 ; 6.600 ; ; ; 7.021 ; -; B ; Y1 ; 6.628 ; ; ; 7.037 ; -; B ; Y2 ; ; 6.628 ; 7.033 ; ; -; B ; Y3 ; ; 6.773 ; 7.175 ; ; -; B ; Y4 ; 6.583 ; ; ; 7.006 ; -; B ; Y5 ; 6.589 ; ; ; 7.005 ; -; B ; Y6 ; ; 6.597 ; 7.025 ; ; -; B ; Y7 ; ; 7.841 ; 8.379 ; ; -; C ; Y0 ; 6.597 ; ; ; 7.030 ; -; C ; Y1 ; 6.575 ; ; ; 7.005 ; -; C ; Y2 ; 6.600 ; ; ; 7.029 ; -; C ; Y3 ; 6.704 ; ; ; 7.145 ; -; C ; Y4 ; ; 6.577 ; 7.007 ; ; -; C ; Y5 ; ; 6.532 ; 6.959 ; ; -; C ; Y6 ; ; 6.563 ; 7.004 ; ; -; C ; Y7 ; ; 7.772 ; 8.325 ; ; -+------------+-------------+-------+-------+-------+-------+ - - -+----------------------------------------------------------+ -; Minimum Propagation Delay ; -+------------+-------------+-------+-------+-------+-------+ -; Input Port ; Output Port ; RR ; RF ; FR ; FF ; -+------------+-------------+-------+-------+-------+-------+ -; A ; Y0 ; 3.856 ; ; ; 4.411 ; -; A ; Y1 ; ; 3.847 ; 4.435 ; ; -; A ; Y2 ; 3.855 ; ; ; 4.407 ; -; A ; Y3 ; ; 3.897 ; 4.487 ; ; -; A ; Y4 ; 3.836 ; ; ; 4.382 ; -; A ; Y5 ; ; 3.813 ; 4.396 ; ; -; A ; Y6 ; 3.828 ; ; ; 4.380 ; -; A ; Y7 ; ; 4.648 ; 5.376 ; ; -; B ; Y0 ; 3.898 ; ; ; 4.440 ; -; B ; Y1 ; 3.912 ; ; ; 4.448 ; -; B ; Y2 ; ; 3.880 ; 4.471 ; ; -; B ; Y3 ; ; 3.943 ; 4.544 ; ; -; B ; Y4 ; 3.878 ; ; ; 4.415 ; -; B ; Y5 ; 3.877 ; ; ; 4.415 ; -; B ; Y6 ; ; 3.858 ; 4.446 ; ; -; B ; Y7 ; ; 4.697 ; 5.428 ; ; -; C ; Y0 ; 3.887 ; ; ; 4.434 ; -; C ; Y1 ; 3.872 ; ; ; 4.422 ; -; C ; Y2 ; 3.879 ; ; ; 4.430 ; -; C ; Y3 ; 3.931 ; ; ; 4.476 ; -; C ; Y4 ; ; 3.838 ; 4.434 ; ; -; C ; Y5 ; ; 3.818 ; 4.407 ; ; -; C ; Y6 ; ; 3.836 ; 4.423 ; ; -; C ; Y7 ; ; 4.652 ; 5.389 ; ; -+------------+-------------+-------+-------+-------+-------+ - - -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Board Trace Model Assignments ; -+---------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+ -; Pin ; I/O Standard ; Near Tline Length ; Near Tline L per Length ; Near Tline C per Length ; Near Series R ; Near Differential R ; Near Pull-up R ; Near Pull-down R ; Near C ; Far Tline Length ; Far Tline L per Length ; Far Tline C per Length ; Far Series R ; Far Pull-up R ; Far Pull-down R ; Far C ; Termination Voltage ; Far Differential R ; EBD File Name ; EBD Signal Name ; EBD Far-end ; -+---------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+ -; Y0 ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; -; Y1 ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; -; Y2 ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; -; Y3 ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; -; Y4 ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; -; Y5 ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; -; Y6 ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; -; Y7 ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; -; ~ALTERA_DCLK~ ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; -; ~ALTERA_nCEO~ ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; -+---------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+ - - -+----------------------------------------------------------------------------+ -; Input Transition Times ; -+-------------------------+--------------+-----------------+-----------------+ -; Pin ; I/O Standard ; 10-90 Rise Time ; 90-10 Fall Time ; -+-------------------------+--------------+-----------------+-----------------+ -; C ; 2.5 V ; 2000 ps ; 2000 ps ; -; A ; 2.5 V ; 2000 ps ; 2000 ps ; -; B ; 2.5 V ; 2000 ps ; 2000 ps ; -; ~ALTERA_ASDO_DATA1~ ; 2.5 V ; 2000 ps ; 2000 ps ; -; ~ALTERA_FLASH_nCE_nCSO~ ; 2.5 V ; 2000 ps ; 2000 ps ; -; ~ALTERA_DATA0~ ; 2.5 V ; 2000 ps ; 2000 ps ; -+-------------------------+--------------+-----------------+-----------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow Corner Signal Integrity Metrics ; -+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ -; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ; -+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ -; Y0 ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ; -; Y1 ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ; -; Y2 ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ; -; Y3 ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ; -; Y4 ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ; -; Y5 ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ; -; Y6 ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ; 2.32 V ; 7.25e-07 V ; 2.35 V ; -0.0111 V ; 0.113 V ; 0.035 V ; 7.76e-10 s ; 8.04e-10 s ; Yes ; Yes ; -; Y7 ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 7.25e-07 V ; 2.33 V ; -0.00317 V ; 0.162 V ; 0.063 V ; 3.54e-09 s ; 3.41e-09 s ; Yes ; Yes ; 2.32 V ; 7.25e-07 V ; 2.33 V ; -0.00317 V ; 0.162 V ; 0.063 V ; 3.54e-09 s ; 3.41e-09 s ; Yes ; Yes ; -; ~ALTERA_DCLK~ ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 5.68e-07 V ; 2.35 V ; -0.0132 V ; 0.2 V ; 0.027 V ; 5.26e-10 s ; 4.81e-10 s ; Yes ; Yes ; 2.32 V ; 5.68e-07 V ; 2.35 V ; -0.0132 V ; 0.2 V ; 0.027 V ; 5.26e-10 s ; 4.81e-10 s ; Yes ; Yes ; -; ~ALTERA_nCEO~ ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 9.36e-07 V ; 2.35 V ; -0.00444 V ; 0.18 V ; 0.019 V ; 7.23e-10 s ; 9.82e-10 s ; Yes ; Yes ; 2.32 V ; 9.36e-07 V ; 2.35 V ; -0.00444 V ; 0.18 V ; 0.019 V ; 7.23e-10 s ; 9.82e-10 s ; Yes ; Yes ; -+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fast Corner Signal Integrity Metrics ; -+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ -; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ; -+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ -; Y0 ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ; -; Y1 ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ; -; Y2 ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ; -; Y3 ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ; -; Y4 ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ; -; Y5 ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ; -; Y6 ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ; 2.62 V ; 2.78e-08 V ; 2.71 V ; -0.0349 V ; 0.253 V ; 0.069 V ; 4.96e-10 s ; 5.19e-10 s ; No ; Yes ; -; Y7 ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.78e-08 V ; 2.64 V ; -0.0109 V ; 0.244 V ; 0.16 V ; 2.42e-09 s ; 2.37e-09 s ; No ; Yes ; 2.62 V ; 2.78e-08 V ; 2.64 V ; -0.0109 V ; 0.244 V ; 0.16 V ; 2.42e-09 s ; 2.37e-09 s ; No ; Yes ; -; ~ALTERA_DCLK~ ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.22e-08 V ; 2.72 V ; -0.0747 V ; 0.28 V ; 0.169 V ; 3.1e-10 s ; 3.01e-10 s ; No ; Yes ; 2.62 V ; 2.22e-08 V ; 2.72 V ; -0.0747 V ; 0.28 V ; 0.169 V ; 3.1e-10 s ; 3.01e-10 s ; No ; Yes ; -; ~ALTERA_nCEO~ ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 3.53e-08 V ; 2.7 V ; -0.0212 V ; 0.204 V ; 0.049 V ; 4.85e-10 s ; 6.73e-10 s ; No ; Yes ; 2.62 V ; 3.53e-08 V ; 2.7 V ; -0.0212 V ; 0.204 V ; 0.049 V ; 4.85e-10 s ; 6.73e-10 s ; No ; Yes ; -+---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ - - -------------------- -; Clock Transfers ; -------------------- -Nothing to report. - - ---------------- -; Report TCCS ; ---------------- -No dedicated SERDES Transmitter circuitry present in device or used in design - - ---------------- -; Report RSKM ; ---------------- -No non-DPA dedicated SERDES Receiver circuitry present in device or used in design - - -+------------------------------------------------+ -; Unconstrained Paths ; -+---------------------------------+-------+------+ -; Property ; Setup ; Hold ; -+---------------------------------+-------+------+ -; Illegal Clocks ; 0 ; 0 ; -; Unconstrained Clocks ; 0 ; 0 ; -; Unconstrained Input Ports ; 3 ; 3 ; -; Unconstrained Input Port Paths ; 24 ; 24 ; -; Unconstrained Output Ports ; 8 ; 8 ; -; Unconstrained Output Port Paths ; 24 ; 24 ; -+---------------------------------+-------+------+ - - -+------------------------------------+ -; TimeQuest Timing Analyzer Messages ; -+------------------------------------+ -Info: ******************************************************************* -Info: Running Quartus II 32-bit TimeQuest Timing Analyzer - Info: Version 13.1.0 Build 162 10/23/2013 SJ Web Edition - Info: Processing started: Thu Oct 24 21:57:55 2019 -Info: Command: quartus_sta three_line_to_eight_decimal_decoder -c three_line_to_eight_decimal_decoder -Info: qsta_default_script.tcl version: #1 -Warning (20028): Parallel compilation is not licensed and has been disabled -Info (21077): Core supply voltage is 1.2V -Info (21077): Low junction temperature is 0 degrees C -Info (21077): High junction temperature is 85 degrees C -Critical Warning (332012): Synopsys Design Constraints File file not found: 'three_line_to_eight_decimal_decoder.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. -Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" -Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. -Warning (332068): No clocks defined in design. -Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty" -Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. -Info: Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON -Info (332159): No clocks to report -Info: Analyzing Slow 1200mV 85C Model -Info (332140): No fmax paths to report -Info (332140): No Setup paths to report -Info (332140): No Hold paths to report -Info (332140): No Recovery paths to report -Info (332140): No Removal paths to report -Info (332140): No Minimum Pulse Width paths to report -Info: Analyzing Slow 1200mV 0C Model -Info (334003): Started post-fitting delay annotation -Info (334004): Delay annotation completed successfully -Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" -Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. -Warning (332068): No clocks defined in design. -Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. -Info (332140): No fmax paths to report -Info (332140): No Setup paths to report -Info (332140): No Hold paths to report -Info (332140): No Recovery paths to report -Info (332140): No Removal paths to report -Info (332140): No Minimum Pulse Width paths to report -Info: Analyzing Fast 1200mV 0C Model -Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" -Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. -Warning (332068): No clocks defined in design. -Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. -Info (332140): No Setup paths to report -Info (332140): No Hold paths to report -Info (332140): No Recovery paths to report -Info (332140): No Removal paths to report -Info (332140): No Minimum Pulse Width paths to report -Info (332102): Design is not fully constrained for setup requirements -Info (332102): Design is not fully constrained for hold requirements -Info: Quartus II 32-bit TimeQuest Timing Analyzer was successful. 0 errors, 5 warnings - Info: Peak virtual memory: 383 megabytes - Info: Processing ended: Thu Oct 24 21:57:58 2019 - Info: Elapsed time: 00:00:03 - Info: Total CPU time (on all processors): 00:00:03 - - diff --git a/CH6/CH6-1/output_files/three_line_to_eight_decimal_decoder.sta.summary b/CH6/CH6-1/output_files/three_line_to_eight_decimal_decoder.sta.summary deleted file mode 100644 index 33f74363..00000000 --- a/CH6/CH6-1/output_files/three_line_to_eight_decimal_decoder.sta.summary +++ /dev/null @@ -1,5 +0,0 @@ ------------------------------------------------------------- -TimeQuest Timing Analyzer Summary ------------------------------------------------------------- - ------------------------------------------------------------- diff --git a/CH6/CH6-1/simulation/modelsim/BCD_to_decimal_decoder.sft b/CH6/CH6-1/simulation/modelsim/BCD_to_decimal_decoder.sft deleted file mode 100644 index 9df8a729..00000000 --- a/CH6/CH6-1/simulation/modelsim/BCD_to_decimal_decoder.sft +++ /dev/null @@ -1,6 +0,0 @@ -set tool_name "ModelSim-Altera (VHDL)" -set corner_file_list { - {{"Slow -6 1.2V 85 Model"} {BCD_to_decimal_decoder_6_1200mv_85c_slow.vho BCD_to_decimal_decoder_6_1200mv_85c_vhd_slow.sdo}} - {{"Slow -6 1.2V 0 Model"} {BCD_to_decimal_decoder_6_1200mv_0c_slow.vho BCD_to_decimal_decoder_6_1200mv_0c_vhd_slow.sdo}} - {{"Fast -M 1.2V 0 Model"} {BCD_to_decimal_decoder_min_1200mv_0c_fast.vho BCD_to_decimal_decoder_min_1200mv_0c_vhd_fast.sdo}} -} diff --git a/CH6/CH6-1/simulation/modelsim/BCD_to_decimal_decoder.vho b/CH6/CH6-1/simulation/modelsim/BCD_to_decimal_decoder.vho deleted file mode 100644 index a8ffc54c..00000000 --- a/CH6/CH6-1/simulation/modelsim/BCD_to_decimal_decoder.vho +++ /dev/null @@ -1,494 +0,0 @@ --- Copyright (C) 1991-2013 Altera Corporation --- Your use of Altera Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Altera Program License --- Subscription Agreement, Altera MegaCore Function License --- Agreement, or other applicable license agreement, including, --- without limitation, that your use is for the sole purpose of --- programming logic devices manufactured by Altera and sold by --- Altera or its authorized distributors. Please refer to the --- applicable agreement for further details. - --- VENDOR "Altera" --- PROGRAM "Quartus II 32-bit" --- VERSION "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition" - --- DATE "10/17/2019 22:55:15" - --- --- Device: Altera EP3C16F484C6 Package FBGA484 --- - --- --- This VHDL file should be used for ModelSim-Altera (VHDL) only --- - -LIBRARY CYCLONEIII; -LIBRARY IEEE; -USE CYCLONEIII.CYCLONEIII_COMPONENTS.ALL; -USE IEEE.STD_LOGIC_1164.ALL; - -ENTITY BCD_to_decimal_decoder IS - PORT ( - Y0 : OUT std_logic; - A : IN std_logic; - B : IN std_logic; - C : IN std_logic; - D : IN std_logic; - Y1 : OUT std_logic; - Y2 : OUT std_logic; - Y3 : OUT std_logic; - Y4 : OUT std_logic; - Y5 : OUT std_logic; - Y6 : OUT std_logic; - Y7 : OUT std_logic; - Y8 : OUT std_logic; - Y9 : OUT std_logic - ); -END BCD_to_decimal_decoder; - --- Design Ports Information --- Y0 => Location: PIN_N8, I/O Standard: 2.5 V, Current Strength: Default --- Y1 => Location: PIN_U1, I/O Standard: 2.5 V, Current Strength: Default --- Y2 => Location: PIN_M3, I/O Standard: 2.5 V, Current Strength: Default --- Y3 => Location: PIN_V6, I/O Standard: 2.5 V, Current Strength: Default --- Y4 => Location: PIN_R10, I/O Standard: 2.5 V, Current Strength: Default --- Y5 => Location: PIN_P5, I/O Standard: 2.5 V, Current Strength: Default --- Y6 => Location: PIN_P3, I/O Standard: 2.5 V, Current Strength: Default --- Y7 => Location: PIN_V3, I/O Standard: 2.5 V, Current Strength: Default --- Y8 => Location: PIN_T9, I/O Standard: 2.5 V, Current Strength: Default --- Y9 => Location: PIN_T3, I/O Standard: 2.5 V, Current Strength: Default --- D => Location: PIN_Y1, I/O Standard: 2.5 V, Current Strength: Default --- C => Location: PIN_N5, I/O Standard: 2.5 V, Current Strength: Default --- A => Location: PIN_T8, I/O Standard: 2.5 V, Current Strength: Default --- B => Location: PIN_T4, I/O Standard: 2.5 V, Current Strength: Default - - -ARCHITECTURE structure OF BCD_to_decimal_decoder IS -SIGNAL gnd : std_logic := '0'; -SIGNAL vcc : std_logic := '1'; -SIGNAL unknown : std_logic := 'X'; -SIGNAL devoe : std_logic := '1'; -SIGNAL devclrn : std_logic := '1'; -SIGNAL devpor : std_logic := '1'; -SIGNAL ww_devoe : std_logic; -SIGNAL ww_devclrn : std_logic; -SIGNAL ww_devpor : std_logic; -SIGNAL ww_Y0 : std_logic; -SIGNAL ww_A : std_logic; -SIGNAL ww_B : std_logic; -SIGNAL ww_C : std_logic; -SIGNAL ww_D : std_logic; -SIGNAL ww_Y1 : std_logic; -SIGNAL ww_Y2 : std_logic; -SIGNAL ww_Y3 : std_logic; -SIGNAL ww_Y4 : std_logic; -SIGNAL ww_Y5 : std_logic; -SIGNAL ww_Y6 : std_logic; -SIGNAL ww_Y7 : std_logic; -SIGNAL ww_Y8 : std_logic; -SIGNAL ww_Y9 : std_logic; -SIGNAL \Y0~output_o\ : std_logic; -SIGNAL \Y1~output_o\ : std_logic; -SIGNAL \Y2~output_o\ : std_logic; -SIGNAL \Y3~output_o\ : std_logic; -SIGNAL \Y4~output_o\ : std_logic; -SIGNAL \Y5~output_o\ : std_logic; -SIGNAL \Y6~output_o\ : std_logic; -SIGNAL \Y7~output_o\ : std_logic; -SIGNAL \Y8~output_o\ : std_logic; -SIGNAL \Y9~output_o\ : std_logic; -SIGNAL \B~input_o\ : std_logic; -SIGNAL \C~input_o\ : std_logic; -SIGNAL \D~input_o\ : std_logic; -SIGNAL \A~input_o\ : std_logic; -SIGNAL \inst~combout\ : std_logic; -SIGNAL \inst1~combout\ : std_logic; -SIGNAL \inst2~combout\ : std_logic; -SIGNAL \inst3~combout\ : std_logic; -SIGNAL \inst4~combout\ : std_logic; -SIGNAL \inst5~combout\ : std_logic; -SIGNAL \inst6~combout\ : std_logic; -SIGNAL \inst7~combout\ : std_logic; -SIGNAL \inst8~combout\ : std_logic; -SIGNAL \inst9~combout\ : std_logic; - -BEGIN - -Y0 <= ww_Y0; -ww_A <= A; -ww_B <= B; -ww_C <= C; -ww_D <= D; -Y1 <= ww_Y1; -Y2 <= ww_Y2; -Y3 <= ww_Y3; -Y4 <= ww_Y4; -Y5 <= ww_Y5; -Y6 <= ww_Y6; -Y7 <= ww_Y7; -Y8 <= ww_Y8; -Y9 <= ww_Y9; -ww_devoe <= devoe; -ww_devclrn <= devclrn; -ww_devpor <= devpor; - --- Location: IOOBUF_X0_Y7_N9 -\Y0~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst~combout\, - devoe => ww_devoe, - o => \Y0~output_o\); - --- Location: IOOBUF_X0_Y9_N16 -\Y1~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst1~combout\, - devoe => ww_devoe, - o => \Y1~output_o\); - --- Location: IOOBUF_X0_Y12_N9 -\Y2~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst2~combout\, - devoe => ww_devoe, - o => \Y2~output_o\); - --- Location: IOOBUF_X1_Y0_N2 -\Y3~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst3~combout\, - devoe => ww_devoe, - o => \Y3~output_o\); - --- Location: IOOBUF_X1_Y0_N16 -\Y4~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst4~combout\, - devoe => ww_devoe, - o => \Y4~output_o\); - --- Location: IOOBUF_X0_Y8_N9 -\Y5~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst5~combout\, - devoe => ww_devoe, - o => \Y5~output_o\); - --- Location: IOOBUF_X0_Y9_N2 -\Y6~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst6~combout\, - devoe => ww_devoe, - o => \Y6~output_o\); - --- Location: IOOBUF_X0_Y4_N2 -\Y7~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst7~combout\, - devoe => ww_devoe, - o => \Y7~output_o\); - --- Location: IOOBUF_X1_Y0_N9 -\Y8~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst8~combout\, - devoe => ww_devoe, - o => \Y8~output_o\); - --- Location: IOOBUF_X0_Y6_N16 -\Y9~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst9~combout\, - devoe => ww_devoe, - o => \Y9~output_o\); - --- Location: IOIBUF_X0_Y4_N22 -\B~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_B, - o => \B~input_o\); - --- Location: IOIBUF_X0_Y10_N15 -\C~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_C, - o => \C~input_o\); - --- Location: IOIBUF_X0_Y6_N8 -\D~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_D, - o => \D~input_o\); - --- Location: IOIBUF_X1_Y0_N22 -\A~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_A, - o => \A~input_o\); - --- Location: LCCOMB_X1_Y4_N0 -inst : cycloneiii_lcell_comb --- Equation(s): --- \inst~combout\ = (!\B~input_o\ & (!\C~input_o\ & (!\D~input_o\ & !\A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000000001", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \B~input_o\, - datab => \C~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst~combout\); - --- Location: LCCOMB_X1_Y4_N10 -inst1 : cycloneiii_lcell_comb --- Equation(s): --- \inst1~combout\ = (!\B~input_o\ & (!\C~input_o\ & (\D~input_o\ & !\A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000010000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \B~input_o\, - datab => \C~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst1~combout\); - --- Location: LCCOMB_X1_Y4_N12 -inst2 : cycloneiii_lcell_comb --- Equation(s): --- \inst2~combout\ = (!\B~input_o\ & (\C~input_o\ & (!\D~input_o\ & !\A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000000100", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \B~input_o\, - datab => \C~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst2~combout\); - --- Location: LCCOMB_X1_Y4_N30 -inst3 : cycloneiii_lcell_comb --- Equation(s): --- \inst3~combout\ = (!\B~input_o\ & (\C~input_o\ & (\D~input_o\ & !\A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000001000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \B~input_o\, - datab => \C~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst3~combout\); - --- Location: LCCOMB_X1_Y4_N16 -inst4 : cycloneiii_lcell_comb --- Equation(s): --- \inst4~combout\ = (\B~input_o\ & (!\C~input_o\ & (!\D~input_o\ & !\A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000000010", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \B~input_o\, - datab => \C~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst4~combout\); - --- Location: LCCOMB_X1_Y4_N26 -inst5 : cycloneiii_lcell_comb --- Equation(s): --- \inst5~combout\ = (\B~input_o\ & (!\C~input_o\ & (\D~input_o\ & !\A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000100000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \B~input_o\, - datab => \C~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst5~combout\); - --- Location: LCCOMB_X1_Y4_N4 -inst6 : cycloneiii_lcell_comb --- Equation(s): --- \inst6~combout\ = (\B~input_o\ & (\C~input_o\ & (!\D~input_o\ & !\A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000001000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \B~input_o\, - datab => \C~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst6~combout\); - --- Location: LCCOMB_X1_Y4_N14 -inst7 : cycloneiii_lcell_comb --- Equation(s): --- \inst7~combout\ = (\B~input_o\ & (\C~input_o\ & (\D~input_o\ & !\A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000010000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \B~input_o\, - datab => \C~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst7~combout\); - --- Location: LCCOMB_X1_Y4_N24 -inst8 : cycloneiii_lcell_comb --- Equation(s): --- \inst8~combout\ = (!\B~input_o\ & (!\C~input_o\ & (!\D~input_o\ & \A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000100000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \B~input_o\, - datab => \C~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst8~combout\); - --- Location: LCCOMB_X1_Y4_N2 -inst9 : cycloneiii_lcell_comb --- Equation(s): --- \inst9~combout\ = (!\B~input_o\ & (!\C~input_o\ & (\D~input_o\ & \A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0001000000000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \B~input_o\, - datab => \C~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst9~combout\); - -ww_Y0 <= \Y0~output_o\; - -ww_Y1 <= \Y1~output_o\; - -ww_Y2 <= \Y2~output_o\; - -ww_Y3 <= \Y3~output_o\; - -ww_Y4 <= \Y4~output_o\; - -ww_Y5 <= \Y5~output_o\; - -ww_Y6 <= \Y6~output_o\; - -ww_Y7 <= \Y7~output_o\; - -ww_Y8 <= \Y8~output_o\; - -ww_Y9 <= \Y9~output_o\; -END structure; - - diff --git a/CH6/CH6-1/simulation/modelsim/BCD_to_decimal_decoder_6_1200mv_0c_slow.vho b/CH6/CH6-1/simulation/modelsim/BCD_to_decimal_decoder_6_1200mv_0c_slow.vho deleted file mode 100644 index a8ffc54c..00000000 --- a/CH6/CH6-1/simulation/modelsim/BCD_to_decimal_decoder_6_1200mv_0c_slow.vho +++ /dev/null @@ -1,494 +0,0 @@ --- Copyright (C) 1991-2013 Altera Corporation --- Your use of Altera Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Altera Program License --- Subscription Agreement, Altera MegaCore Function License --- Agreement, or other applicable license agreement, including, --- without limitation, that your use is for the sole purpose of --- programming logic devices manufactured by Altera and sold by --- Altera or its authorized distributors. Please refer to the --- applicable agreement for further details. - --- VENDOR "Altera" --- PROGRAM "Quartus II 32-bit" --- VERSION "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition" - --- DATE "10/17/2019 22:55:15" - --- --- Device: Altera EP3C16F484C6 Package FBGA484 --- - --- --- This VHDL file should be used for ModelSim-Altera (VHDL) only --- - -LIBRARY CYCLONEIII; -LIBRARY IEEE; -USE CYCLONEIII.CYCLONEIII_COMPONENTS.ALL; -USE IEEE.STD_LOGIC_1164.ALL; - -ENTITY BCD_to_decimal_decoder IS - PORT ( - Y0 : OUT std_logic; - A : IN std_logic; - B : IN std_logic; - C : IN std_logic; - D : IN std_logic; - Y1 : OUT std_logic; - Y2 : OUT std_logic; - Y3 : OUT std_logic; - Y4 : OUT std_logic; - Y5 : OUT std_logic; - Y6 : OUT std_logic; - Y7 : OUT std_logic; - Y8 : OUT std_logic; - Y9 : OUT std_logic - ); -END BCD_to_decimal_decoder; - --- Design Ports Information --- Y0 => Location: PIN_N8, I/O Standard: 2.5 V, Current Strength: Default --- Y1 => Location: PIN_U1, I/O Standard: 2.5 V, Current Strength: Default --- Y2 => Location: PIN_M3, I/O Standard: 2.5 V, Current Strength: Default --- Y3 => Location: PIN_V6, I/O Standard: 2.5 V, Current Strength: Default --- Y4 => Location: PIN_R10, I/O Standard: 2.5 V, Current Strength: Default --- Y5 => Location: PIN_P5, I/O Standard: 2.5 V, Current Strength: Default --- Y6 => Location: PIN_P3, I/O Standard: 2.5 V, Current Strength: Default --- Y7 => Location: PIN_V3, I/O Standard: 2.5 V, Current Strength: Default --- Y8 => Location: PIN_T9, I/O Standard: 2.5 V, Current Strength: Default --- Y9 => Location: PIN_T3, I/O Standard: 2.5 V, Current Strength: Default --- D => Location: PIN_Y1, I/O Standard: 2.5 V, Current Strength: Default --- C => Location: PIN_N5, I/O Standard: 2.5 V, Current Strength: Default --- A => Location: PIN_T8, I/O Standard: 2.5 V, Current Strength: Default --- B => Location: PIN_T4, I/O Standard: 2.5 V, Current Strength: Default - - -ARCHITECTURE structure OF BCD_to_decimal_decoder IS -SIGNAL gnd : std_logic := '0'; -SIGNAL vcc : std_logic := '1'; -SIGNAL unknown : std_logic := 'X'; -SIGNAL devoe : std_logic := '1'; -SIGNAL devclrn : std_logic := '1'; -SIGNAL devpor : std_logic := '1'; -SIGNAL ww_devoe : std_logic; -SIGNAL ww_devclrn : std_logic; -SIGNAL ww_devpor : std_logic; -SIGNAL ww_Y0 : std_logic; -SIGNAL ww_A : std_logic; -SIGNAL ww_B : std_logic; -SIGNAL ww_C : std_logic; -SIGNAL ww_D : std_logic; -SIGNAL ww_Y1 : std_logic; -SIGNAL ww_Y2 : std_logic; -SIGNAL ww_Y3 : std_logic; -SIGNAL ww_Y4 : std_logic; -SIGNAL ww_Y5 : std_logic; -SIGNAL ww_Y6 : std_logic; -SIGNAL ww_Y7 : std_logic; -SIGNAL ww_Y8 : std_logic; -SIGNAL ww_Y9 : std_logic; -SIGNAL \Y0~output_o\ : std_logic; -SIGNAL \Y1~output_o\ : std_logic; -SIGNAL \Y2~output_o\ : std_logic; -SIGNAL \Y3~output_o\ : std_logic; -SIGNAL \Y4~output_o\ : std_logic; -SIGNAL \Y5~output_o\ : std_logic; -SIGNAL \Y6~output_o\ : std_logic; -SIGNAL \Y7~output_o\ : std_logic; -SIGNAL \Y8~output_o\ : std_logic; -SIGNAL \Y9~output_o\ : std_logic; -SIGNAL \B~input_o\ : std_logic; -SIGNAL \C~input_o\ : std_logic; -SIGNAL \D~input_o\ : std_logic; -SIGNAL \A~input_o\ : std_logic; -SIGNAL \inst~combout\ : std_logic; -SIGNAL \inst1~combout\ : std_logic; -SIGNAL \inst2~combout\ : std_logic; -SIGNAL \inst3~combout\ : std_logic; -SIGNAL \inst4~combout\ : std_logic; -SIGNAL \inst5~combout\ : std_logic; -SIGNAL \inst6~combout\ : std_logic; -SIGNAL \inst7~combout\ : std_logic; -SIGNAL \inst8~combout\ : std_logic; -SIGNAL \inst9~combout\ : std_logic; - -BEGIN - -Y0 <= ww_Y0; -ww_A <= A; -ww_B <= B; -ww_C <= C; -ww_D <= D; -Y1 <= ww_Y1; -Y2 <= ww_Y2; -Y3 <= ww_Y3; -Y4 <= ww_Y4; -Y5 <= ww_Y5; -Y6 <= ww_Y6; -Y7 <= ww_Y7; -Y8 <= ww_Y8; -Y9 <= ww_Y9; -ww_devoe <= devoe; -ww_devclrn <= devclrn; -ww_devpor <= devpor; - --- Location: IOOBUF_X0_Y7_N9 -\Y0~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst~combout\, - devoe => ww_devoe, - o => \Y0~output_o\); - --- Location: IOOBUF_X0_Y9_N16 -\Y1~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst1~combout\, - devoe => ww_devoe, - o => \Y1~output_o\); - --- Location: IOOBUF_X0_Y12_N9 -\Y2~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst2~combout\, - devoe => ww_devoe, - o => \Y2~output_o\); - --- Location: IOOBUF_X1_Y0_N2 -\Y3~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst3~combout\, - devoe => ww_devoe, - o => \Y3~output_o\); - --- Location: IOOBUF_X1_Y0_N16 -\Y4~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst4~combout\, - devoe => ww_devoe, - o => \Y4~output_o\); - --- Location: IOOBUF_X0_Y8_N9 -\Y5~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst5~combout\, - devoe => ww_devoe, - o => \Y5~output_o\); - --- Location: IOOBUF_X0_Y9_N2 -\Y6~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst6~combout\, - devoe => ww_devoe, - o => \Y6~output_o\); - --- Location: IOOBUF_X0_Y4_N2 -\Y7~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst7~combout\, - devoe => ww_devoe, - o => \Y7~output_o\); - --- Location: IOOBUF_X1_Y0_N9 -\Y8~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst8~combout\, - devoe => ww_devoe, - o => \Y8~output_o\); - --- Location: IOOBUF_X0_Y6_N16 -\Y9~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst9~combout\, - devoe => ww_devoe, - o => \Y9~output_o\); - --- Location: IOIBUF_X0_Y4_N22 -\B~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_B, - o => \B~input_o\); - --- Location: IOIBUF_X0_Y10_N15 -\C~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_C, - o => \C~input_o\); - --- Location: IOIBUF_X0_Y6_N8 -\D~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_D, - o => \D~input_o\); - --- Location: IOIBUF_X1_Y0_N22 -\A~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_A, - o => \A~input_o\); - --- Location: LCCOMB_X1_Y4_N0 -inst : cycloneiii_lcell_comb --- Equation(s): --- \inst~combout\ = (!\B~input_o\ & (!\C~input_o\ & (!\D~input_o\ & !\A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000000001", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \B~input_o\, - datab => \C~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst~combout\); - --- Location: LCCOMB_X1_Y4_N10 -inst1 : cycloneiii_lcell_comb --- Equation(s): --- \inst1~combout\ = (!\B~input_o\ & (!\C~input_o\ & (\D~input_o\ & !\A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000010000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \B~input_o\, - datab => \C~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst1~combout\); - --- Location: LCCOMB_X1_Y4_N12 -inst2 : cycloneiii_lcell_comb --- Equation(s): --- \inst2~combout\ = (!\B~input_o\ & (\C~input_o\ & (!\D~input_o\ & !\A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000000100", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \B~input_o\, - datab => \C~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst2~combout\); - --- Location: LCCOMB_X1_Y4_N30 -inst3 : cycloneiii_lcell_comb --- Equation(s): --- \inst3~combout\ = (!\B~input_o\ & (\C~input_o\ & (\D~input_o\ & !\A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000001000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \B~input_o\, - datab => \C~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst3~combout\); - --- Location: LCCOMB_X1_Y4_N16 -inst4 : cycloneiii_lcell_comb --- Equation(s): --- \inst4~combout\ = (\B~input_o\ & (!\C~input_o\ & (!\D~input_o\ & !\A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000000010", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \B~input_o\, - datab => \C~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst4~combout\); - --- Location: LCCOMB_X1_Y4_N26 -inst5 : cycloneiii_lcell_comb --- Equation(s): --- \inst5~combout\ = (\B~input_o\ & (!\C~input_o\ & (\D~input_o\ & !\A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000100000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \B~input_o\, - datab => \C~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst5~combout\); - --- Location: LCCOMB_X1_Y4_N4 -inst6 : cycloneiii_lcell_comb --- Equation(s): --- \inst6~combout\ = (\B~input_o\ & (\C~input_o\ & (!\D~input_o\ & !\A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000001000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \B~input_o\, - datab => \C~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst6~combout\); - --- Location: LCCOMB_X1_Y4_N14 -inst7 : cycloneiii_lcell_comb --- Equation(s): --- \inst7~combout\ = (\B~input_o\ & (\C~input_o\ & (\D~input_o\ & !\A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000010000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \B~input_o\, - datab => \C~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst7~combout\); - --- Location: LCCOMB_X1_Y4_N24 -inst8 : cycloneiii_lcell_comb --- Equation(s): --- \inst8~combout\ = (!\B~input_o\ & (!\C~input_o\ & (!\D~input_o\ & \A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000100000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \B~input_o\, - datab => \C~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst8~combout\); - --- Location: LCCOMB_X1_Y4_N2 -inst9 : cycloneiii_lcell_comb --- Equation(s): --- \inst9~combout\ = (!\B~input_o\ & (!\C~input_o\ & (\D~input_o\ & \A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0001000000000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \B~input_o\, - datab => \C~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst9~combout\); - -ww_Y0 <= \Y0~output_o\; - -ww_Y1 <= \Y1~output_o\; - -ww_Y2 <= \Y2~output_o\; - -ww_Y3 <= \Y3~output_o\; - -ww_Y4 <= \Y4~output_o\; - -ww_Y5 <= \Y5~output_o\; - -ww_Y6 <= \Y6~output_o\; - -ww_Y7 <= \Y7~output_o\; - -ww_Y8 <= \Y8~output_o\; - -ww_Y9 <= \Y9~output_o\; -END structure; - - diff --git a/CH6/CH6-1/simulation/modelsim/BCD_to_decimal_decoder_6_1200mv_0c_vhd_slow.sdo b/CH6/CH6-1/simulation/modelsim/BCD_to_decimal_decoder_6_1200mv_0c_vhd_slow.sdo deleted file mode 100644 index 18fff727..00000000 --- a/CH6/CH6-1/simulation/modelsim/BCD_to_decimal_decoder_6_1200mv_0c_vhd_slow.sdo +++ /dev/null @@ -1,335 +0,0 @@ -// Copyright (C) 1991-2013 Altera Corporation -// Your use of Altera Corporation's design tools, logic functions -// and other software and tools, and its AMPP partner logic -// functions, and any output files from any of the foregoing -// (including device programming or simulation files), and any -// associated documentation or information are expressly subject -// to the terms and conditions of the Altera Program License -// Subscription Agreement, Altera MegaCore Function License -// Agreement, or other applicable license agreement, including, -// without limitation, that your use is for the sole purpose of -// programming logic devices manufactured by Altera and sold by -// Altera or its authorized distributors. Please refer to the -// applicable agreement for further details. - - -// -// Device: Altera EP3C16F484C6 Package FBGA484 -// - -// -// This file contains Slow Corner delays for the design using part EP3C16F484C6, -// with speed grade 6, core voltage 1.2V, and temperature 0 Celsius -// - -// -// This SDF file should be used for ModelSim-Altera (VHDL) only -// - -(DELAYFILE - (SDFVERSION "2.1") - (DESIGN "BCD_to_decimal_decoder") - (DATE "10/17/2019 22:55:15") - (VENDOR "Altera") - (PROGRAM "Quartus II 32-bit") - (VERSION "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition") - (DIVIDER .) - (TIMESCALE 1 ps) - - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y0\~output\\) - (DELAY - (ABSOLUTE - (PORT i (547:547:547) (544:544:544)) - (IOPATH i o (2236:2236:2236) (2224:2224:2224)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y1\~output\\) - (DELAY - (ABSOLUTE - (PORT i (825:825:825) (837:837:837)) - (IOPATH i o (2246:2246:2246) (2234:2234:2234)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y2\~output\\) - (DELAY - (ABSOLUTE - (PORT i (837:837:837) (855:855:855)) - (IOPATH i o (2216:2216:2216) (2204:2204:2204)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y3\~output\\) - (DELAY - (ABSOLUTE - (PORT i (513:513:513) (513:513:513)) - (IOPATH i o (2060:2060:2060) (2009:2009:2009)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y4\~output\\) - (DELAY - (ABSOLUTE - (PORT i (537:537:537) (537:537:537)) - (IOPATH i o (2080:2080:2080) (2029:2029:2029)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y5\~output\\) - (DELAY - (ABSOLUTE - (PORT i (594:594:594) (604:604:604)) - (IOPATH i o (2147:2147:2147) (2105:2105:2105)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y6\~output\\) - (DELAY - (ABSOLUTE - (PORT i (820:820:820) (815:815:815)) - (IOPATH i o (2226:2226:2226) (2214:2214:2214)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y7\~output\\) - (DELAY - (ABSOLUTE - (PORT i (299:299:299) (295:295:295)) - (IOPATH i o (2157:2157:2157) (2115:2115:2115)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y8\~output\\) - (DELAY - (ABSOLUTE - (PORT i (517:517:517) (517:517:517)) - (IOPATH i o (2070:2070:2070) (2019:2019:2019)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y9\~output\\) - (DELAY - (ABSOLUTE - (PORT i (576:576:576) (587:587:587)) - (IOPATH i o (3426:3426:3426) (3527:3527:3527)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\B\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (725:725:725) (886:886:886)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\C\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (715:715:715) (876:876:876)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\D\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (775:775:775) (936:936:936)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\A\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (689:689:689) (852:852:852)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE inst) - (DELAY - (ABSOLUTE - (PORT dataa (2208:2208:2208) (2443:2443:2443)) - (PORT datab (2706:2706:2706) (2945:2945:2945)) - (PORT datac (2414:2414:2414) (2625:2625:2625)) - (PORT datad (2433:2433:2433) (2634:2634:2634)) - (IOPATH dataa combout (309:309:309) (326:326:326)) - (IOPATH datab combout (309:309:309) (328:328:328)) - (IOPATH datac combout (218:218:218) (215:215:215)) - (IOPATH datad combout (119:119:119) (106:106:106)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE inst1) - (DELAY - (ABSOLUTE - (PORT dataa (2201:2201:2201) (2442:2442:2442)) - (PORT datab (2706:2706:2706) (2943:2943:2943)) - (PORT datac (2413:2413:2413) (2625:2625:2625)) - (PORT datad (2427:2427:2427) (2636:2636:2636)) - (IOPATH dataa combout (299:299:299) (304:304:304)) - (IOPATH datab combout (300:300:300) (312:312:312)) - (IOPATH datac combout (220:220:220) (215:215:215)) - (IOPATH datad combout (119:119:119) (106:106:106)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE inst2) - (DELAY - (ABSOLUTE - (PORT dataa (2202:2202:2202) (2440:2440:2440)) - (PORT datab (2705:2705:2705) (2941:2941:2941)) - (PORT datac (2412:2412:2412) (2628:2628:2628)) - (PORT datad (2428:2428:2428) (2635:2635:2635)) - (IOPATH dataa combout (329:329:329) (332:332:332)) - (IOPATH datab combout (319:319:319) (307:307:307)) - (IOPATH datac combout (218:218:218) (215:215:215)) - (IOPATH datad combout (119:119:119) (106:106:106)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE inst3) - (DELAY - (ABSOLUTE - (PORT dataa (2210:2210:2210) (2444:2444:2444)) - (PORT datab (2690:2690:2690) (2926:2926:2926)) - (PORT datac (2405:2405:2405) (2619:2619:2619)) - (PORT datad (2426:2426:2426) (2632:2632:2632)) - (IOPATH dataa combout (318:318:318) (327:327:327)) - (IOPATH datab combout (308:308:308) (281:281:281)) - (IOPATH datac combout (220:220:220) (215:215:215)) - (IOPATH datad combout (119:119:119) (106:106:106)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE inst4) - (DELAY - (ABSOLUTE - (PORT dataa (2206:2206:2206) (2446:2446:2446)) - (PORT datab (2700:2700:2700) (2938:2938:2938)) - (PORT datac (2411:2411:2411) (2623:2623:2623)) - (PORT datad (2432:2432:2432) (2634:2634:2634)) - (IOPATH dataa combout (318:318:318) (307:307:307)) - (IOPATH datab combout (336:336:336) (337:337:337)) - (IOPATH datac combout (218:218:218) (215:215:215)) - (IOPATH datad combout (119:119:119) (106:106:106)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE inst5) - (DELAY - (ABSOLUTE - (PORT dataa (2208:2208:2208) (2443:2443:2443)) - (PORT datab (2689:2689:2689) (2925:2925:2925)) - (PORT datac (2404:2404:2404) (2618:2618:2618)) - (PORT datad (2426:2426:2426) (2632:2632:2632)) - (IOPATH dataa combout (307:307:307) (280:280:280)) - (IOPATH datab combout (325:325:325) (332:332:332)) - (IOPATH datac combout (220:220:220) (215:215:215)) - (IOPATH datad combout (119:119:119) (106:106:106)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE inst6) - (DELAY - (ABSOLUTE - (PORT dataa (2207:2207:2207) (2438:2438:2438)) - (PORT datab (2705:2705:2705) (2948:2948:2948)) - (PORT datac (2412:2412:2412) (2626:2626:2626)) - (PORT datad (2431:2431:2431) (2635:2635:2635)) - (IOPATH dataa combout (300:300:300) (323:323:323)) - (IOPATH datab combout (306:306:306) (324:324:324)) - (IOPATH datac combout (218:218:218) (215:215:215)) - (IOPATH datad combout (119:119:119) (106:106:106)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE inst7) - (DELAY - (ABSOLUTE - (PORT dataa (2203:2203:2203) (2438:2438:2438)) - (PORT datab (2704:2704:2704) (2944:2944:2944)) - (PORT datac (2411:2411:2411) (2624:2624:2624)) - (PORT datad (2429:2429:2429) (2639:2639:2639)) - (IOPATH dataa combout (290:290:290) (306:306:306)) - (IOPATH datab combout (295:295:295) (300:300:300)) - (IOPATH datac combout (220:220:220) (215:215:215)) - (IOPATH datad combout (119:119:119) (106:106:106)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE inst8) - (DELAY - (ABSOLUTE - (PORT dataa (2207:2207:2207) (2444:2444:2444)) - (PORT datab (2689:2689:2689) (2932:2932:2932)) - (PORT datac (2403:2403:2403) (2618:2618:2618)) - (PORT datad (2426:2426:2426) (2628:2628:2628)) - (IOPATH dataa combout (265:265:265) (273:273:273)) - (IOPATH datab combout (265:265:265) (275:275:275)) - (IOPATH datac combout (218:218:218) (215:215:215)) - (IOPATH datad combout (119:119:119) (106:106:106)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE inst9) - (DELAY - (ABSOLUTE - (PORT dataa (2208:2208:2208) (2443:2443:2443)) - (PORT datab (2706:2706:2706) (2945:2945:2945)) - (PORT datac (2413:2413:2413) (2625:2625:2625)) - (PORT datad (2432:2432:2432) (2633:2633:2633)) - (IOPATH dataa combout (267:267:267) (269:269:269)) - (IOPATH datab combout (267:267:267) (275:275:275)) - (IOPATH datac combout (218:218:218) (216:216:216)) - (IOPATH datad combout (119:119:119) (106:106:106)) - ) - ) - ) -) diff --git a/CH6/CH6-1/simulation/modelsim/BCD_to_decimal_decoder_6_1200mv_85c_slow.vho b/CH6/CH6-1/simulation/modelsim/BCD_to_decimal_decoder_6_1200mv_85c_slow.vho deleted file mode 100644 index e7f2a2e7..00000000 --- a/CH6/CH6-1/simulation/modelsim/BCD_to_decimal_decoder_6_1200mv_85c_slow.vho +++ /dev/null @@ -1,494 +0,0 @@ --- Copyright (C) 1991-2013 Altera Corporation --- Your use of Altera Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Altera Program License --- Subscription Agreement, Altera MegaCore Function License --- Agreement, or other applicable license agreement, including, --- without limitation, that your use is for the sole purpose of --- programming logic devices manufactured by Altera and sold by --- Altera or its authorized distributors. Please refer to the --- applicable agreement for further details. - --- VENDOR "Altera" --- PROGRAM "Quartus II 32-bit" --- VERSION "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition" - --- DATE "10/17/2019 22:55:14" - --- --- Device: Altera EP3C16F484C6 Package FBGA484 --- - --- --- This VHDL file should be used for ModelSim-Altera (VHDL) only --- - -LIBRARY CYCLONEIII; -LIBRARY IEEE; -USE CYCLONEIII.CYCLONEIII_COMPONENTS.ALL; -USE IEEE.STD_LOGIC_1164.ALL; - -ENTITY BCD_to_decimal_decoder IS - PORT ( - Y0 : OUT std_logic; - A : IN std_logic; - B : IN std_logic; - C : IN std_logic; - D : IN std_logic; - Y1 : OUT std_logic; - Y2 : OUT std_logic; - Y3 : OUT std_logic; - Y4 : OUT std_logic; - Y5 : OUT std_logic; - Y6 : OUT std_logic; - Y7 : OUT std_logic; - Y8 : OUT std_logic; - Y9 : OUT std_logic - ); -END BCD_to_decimal_decoder; - --- Design Ports Information --- Y0 => Location: PIN_N8, I/O Standard: 2.5 V, Current Strength: Default --- Y1 => Location: PIN_U1, I/O Standard: 2.5 V, Current Strength: Default --- Y2 => Location: PIN_M3, I/O Standard: 2.5 V, Current Strength: Default --- Y3 => Location: PIN_V6, I/O Standard: 2.5 V, Current Strength: Default --- Y4 => Location: PIN_R10, I/O Standard: 2.5 V, Current Strength: Default --- Y5 => Location: PIN_P5, I/O Standard: 2.5 V, Current Strength: Default --- Y6 => Location: PIN_P3, I/O Standard: 2.5 V, Current Strength: Default --- Y7 => Location: PIN_V3, I/O Standard: 2.5 V, Current Strength: Default --- Y8 => Location: PIN_T9, I/O Standard: 2.5 V, Current Strength: Default --- Y9 => Location: PIN_T3, I/O Standard: 2.5 V, Current Strength: Default --- D => Location: PIN_Y1, I/O Standard: 2.5 V, Current Strength: Default --- C => Location: PIN_N5, I/O Standard: 2.5 V, Current Strength: Default --- A => Location: PIN_T8, I/O Standard: 2.5 V, Current Strength: Default --- B => Location: PIN_T4, I/O Standard: 2.5 V, Current Strength: Default - - -ARCHITECTURE structure OF BCD_to_decimal_decoder IS -SIGNAL gnd : std_logic := '0'; -SIGNAL vcc : std_logic := '1'; -SIGNAL unknown : std_logic := 'X'; -SIGNAL devoe : std_logic := '1'; -SIGNAL devclrn : std_logic := '1'; -SIGNAL devpor : std_logic := '1'; -SIGNAL ww_devoe : std_logic; -SIGNAL ww_devclrn : std_logic; -SIGNAL ww_devpor : std_logic; -SIGNAL ww_Y0 : std_logic; -SIGNAL ww_A : std_logic; -SIGNAL ww_B : std_logic; -SIGNAL ww_C : std_logic; -SIGNAL ww_D : std_logic; -SIGNAL ww_Y1 : std_logic; -SIGNAL ww_Y2 : std_logic; -SIGNAL ww_Y3 : std_logic; -SIGNAL ww_Y4 : std_logic; -SIGNAL ww_Y5 : std_logic; -SIGNAL ww_Y6 : std_logic; -SIGNAL ww_Y7 : std_logic; -SIGNAL ww_Y8 : std_logic; -SIGNAL ww_Y9 : std_logic; -SIGNAL \Y0~output_o\ : std_logic; -SIGNAL \Y1~output_o\ : std_logic; -SIGNAL \Y2~output_o\ : std_logic; -SIGNAL \Y3~output_o\ : std_logic; -SIGNAL \Y4~output_o\ : std_logic; -SIGNAL \Y5~output_o\ : std_logic; -SIGNAL \Y6~output_o\ : std_logic; -SIGNAL \Y7~output_o\ : std_logic; -SIGNAL \Y8~output_o\ : std_logic; -SIGNAL \Y9~output_o\ : std_logic; -SIGNAL \B~input_o\ : std_logic; -SIGNAL \C~input_o\ : std_logic; -SIGNAL \D~input_o\ : std_logic; -SIGNAL \A~input_o\ : std_logic; -SIGNAL \inst~combout\ : std_logic; -SIGNAL \inst1~combout\ : std_logic; -SIGNAL \inst2~combout\ : std_logic; -SIGNAL \inst3~combout\ : std_logic; -SIGNAL \inst4~combout\ : std_logic; -SIGNAL \inst5~combout\ : std_logic; -SIGNAL \inst6~combout\ : std_logic; -SIGNAL \inst7~combout\ : std_logic; -SIGNAL \inst8~combout\ : std_logic; -SIGNAL \inst9~combout\ : std_logic; - -BEGIN - -Y0 <= ww_Y0; -ww_A <= A; -ww_B <= B; -ww_C <= C; -ww_D <= D; -Y1 <= ww_Y1; -Y2 <= ww_Y2; -Y3 <= ww_Y3; -Y4 <= ww_Y4; -Y5 <= ww_Y5; -Y6 <= ww_Y6; -Y7 <= ww_Y7; -Y8 <= ww_Y8; -Y9 <= ww_Y9; -ww_devoe <= devoe; -ww_devclrn <= devclrn; -ww_devpor <= devpor; - --- Location: IOOBUF_X0_Y7_N9 -\Y0~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst~combout\, - devoe => ww_devoe, - o => \Y0~output_o\); - --- Location: IOOBUF_X0_Y9_N16 -\Y1~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst1~combout\, - devoe => ww_devoe, - o => \Y1~output_o\); - --- Location: IOOBUF_X0_Y12_N9 -\Y2~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst2~combout\, - devoe => ww_devoe, - o => \Y2~output_o\); - --- Location: IOOBUF_X1_Y0_N2 -\Y3~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst3~combout\, - devoe => ww_devoe, - o => \Y3~output_o\); - --- Location: IOOBUF_X1_Y0_N16 -\Y4~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst4~combout\, - devoe => ww_devoe, - o => \Y4~output_o\); - --- Location: IOOBUF_X0_Y8_N9 -\Y5~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst5~combout\, - devoe => ww_devoe, - o => \Y5~output_o\); - --- Location: IOOBUF_X0_Y9_N2 -\Y6~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst6~combout\, - devoe => ww_devoe, - o => \Y6~output_o\); - --- Location: IOOBUF_X0_Y4_N2 -\Y7~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst7~combout\, - devoe => ww_devoe, - o => \Y7~output_o\); - --- Location: IOOBUF_X1_Y0_N9 -\Y8~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst8~combout\, - devoe => ww_devoe, - o => \Y8~output_o\); - --- Location: IOOBUF_X0_Y6_N16 -\Y9~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst9~combout\, - devoe => ww_devoe, - o => \Y9~output_o\); - --- Location: IOIBUF_X0_Y4_N22 -\B~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_B, - o => \B~input_o\); - --- Location: IOIBUF_X0_Y10_N15 -\C~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_C, - o => \C~input_o\); - --- Location: IOIBUF_X0_Y6_N8 -\D~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_D, - o => \D~input_o\); - --- Location: IOIBUF_X1_Y0_N22 -\A~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_A, - o => \A~input_o\); - --- Location: LCCOMB_X1_Y4_N0 -inst : cycloneiii_lcell_comb --- Equation(s): --- \inst~combout\ = (!\B~input_o\ & (!\C~input_o\ & (!\D~input_o\ & !\A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000000001", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \B~input_o\, - datab => \C~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst~combout\); - --- Location: LCCOMB_X1_Y4_N10 -inst1 : cycloneiii_lcell_comb --- Equation(s): --- \inst1~combout\ = (!\B~input_o\ & (!\C~input_o\ & (\D~input_o\ & !\A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000010000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \B~input_o\, - datab => \C~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst1~combout\); - --- Location: LCCOMB_X1_Y4_N12 -inst2 : cycloneiii_lcell_comb --- Equation(s): --- \inst2~combout\ = (!\B~input_o\ & (\C~input_o\ & (!\D~input_o\ & !\A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000000100", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \B~input_o\, - datab => \C~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst2~combout\); - --- Location: LCCOMB_X1_Y4_N30 -inst3 : cycloneiii_lcell_comb --- Equation(s): --- \inst3~combout\ = (!\B~input_o\ & (\C~input_o\ & (\D~input_o\ & !\A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000001000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \B~input_o\, - datab => \C~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst3~combout\); - --- Location: LCCOMB_X1_Y4_N16 -inst4 : cycloneiii_lcell_comb --- Equation(s): --- \inst4~combout\ = (\B~input_o\ & (!\C~input_o\ & (!\D~input_o\ & !\A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000000010", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \B~input_o\, - datab => \C~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst4~combout\); - --- Location: LCCOMB_X1_Y4_N26 -inst5 : cycloneiii_lcell_comb --- Equation(s): --- \inst5~combout\ = (\B~input_o\ & (!\C~input_o\ & (\D~input_o\ & !\A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000100000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \B~input_o\, - datab => \C~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst5~combout\); - --- Location: LCCOMB_X1_Y4_N4 -inst6 : cycloneiii_lcell_comb --- Equation(s): --- \inst6~combout\ = (\B~input_o\ & (\C~input_o\ & (!\D~input_o\ & !\A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000001000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \B~input_o\, - datab => \C~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst6~combout\); - --- Location: LCCOMB_X1_Y4_N14 -inst7 : cycloneiii_lcell_comb --- Equation(s): --- \inst7~combout\ = (\B~input_o\ & (\C~input_o\ & (\D~input_o\ & !\A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000010000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \B~input_o\, - datab => \C~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst7~combout\); - --- Location: LCCOMB_X1_Y4_N24 -inst8 : cycloneiii_lcell_comb --- Equation(s): --- \inst8~combout\ = (!\B~input_o\ & (!\C~input_o\ & (!\D~input_o\ & \A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000100000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \B~input_o\, - datab => \C~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst8~combout\); - --- Location: LCCOMB_X1_Y4_N2 -inst9 : cycloneiii_lcell_comb --- Equation(s): --- \inst9~combout\ = (!\B~input_o\ & (!\C~input_o\ & (\D~input_o\ & \A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0001000000000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \B~input_o\, - datab => \C~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst9~combout\); - -ww_Y0 <= \Y0~output_o\; - -ww_Y1 <= \Y1~output_o\; - -ww_Y2 <= \Y2~output_o\; - -ww_Y3 <= \Y3~output_o\; - -ww_Y4 <= \Y4~output_o\; - -ww_Y5 <= \Y5~output_o\; - -ww_Y6 <= \Y6~output_o\; - -ww_Y7 <= \Y7~output_o\; - -ww_Y8 <= \Y8~output_o\; - -ww_Y9 <= \Y9~output_o\; -END structure; - - diff --git a/CH6/CH6-1/simulation/modelsim/BCD_to_decimal_decoder_6_1200mv_85c_vhd_slow.sdo b/CH6/CH6-1/simulation/modelsim/BCD_to_decimal_decoder_6_1200mv_85c_vhd_slow.sdo deleted file mode 100644 index 6aa33d46..00000000 --- a/CH6/CH6-1/simulation/modelsim/BCD_to_decimal_decoder_6_1200mv_85c_vhd_slow.sdo +++ /dev/null @@ -1,335 +0,0 @@ -// Copyright (C) 1991-2013 Altera Corporation -// Your use of Altera Corporation's design tools, logic functions -// and other software and tools, and its AMPP partner logic -// functions, and any output files from any of the foregoing -// (including device programming or simulation files), and any -// associated documentation or information are expressly subject -// to the terms and conditions of the Altera Program License -// Subscription Agreement, Altera MegaCore Function License -// Agreement, or other applicable license agreement, including, -// without limitation, that your use is for the sole purpose of -// programming logic devices manufactured by Altera and sold by -// Altera or its authorized distributors. Please refer to the -// applicable agreement for further details. - - -// -// Device: Altera EP3C16F484C6 Package FBGA484 -// - -// -// This file contains Slow Corner delays for the design using part EP3C16F484C6, -// with speed grade 6, core voltage 1.2V, and temperature 85 Celsius -// - -// -// This SDF file should be used for ModelSim-Altera (VHDL) only -// - -(DELAYFILE - (SDFVERSION "2.1") - (DESIGN "BCD_to_decimal_decoder") - (DATE "10/17/2019 22:55:15") - (VENDOR "Altera") - (PROGRAM "Quartus II 32-bit") - (VERSION "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition") - (DIVIDER .) - (TIMESCALE 1 ps) - - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y0\~output\\) - (DELAY - (ABSOLUTE - (PORT i (597:597:597) (619:619:619)) - (IOPATH i o (2236:2236:2236) (2224:2224:2224)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y1\~output\\) - (DELAY - (ABSOLUTE - (PORT i (900:900:900) (928:928:928)) - (IOPATH i o (2246:2246:2246) (2234:2234:2234)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y2\~output\\) - (DELAY - (ABSOLUTE - (PORT i (913:913:913) (966:966:966)) - (IOPATH i o (2216:2216:2216) (2204:2204:2204)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y3\~output\\) - (DELAY - (ABSOLUTE - (PORT i (558:558:558) (580:580:580)) - (IOPATH i o (2060:2060:2060) (2009:2009:2009)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y4\~output\\) - (DELAY - (ABSOLUTE - (PORT i (581:581:581) (604:604:604)) - (IOPATH i o (2080:2080:2080) (2029:2029:2029)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y5\~output\\) - (DELAY - (ABSOLUTE - (PORT i (648:648:648) (684:684:684)) - (IOPATH i o (2147:2147:2147) (2105:2105:2105)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y6\~output\\) - (DELAY - (ABSOLUTE - (PORT i (899:899:899) (928:928:928)) - (IOPATH i o (2226:2226:2226) (2214:2214:2214)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y7\~output\\) - (DELAY - (ABSOLUTE - (PORT i (326:326:326) (333:333:333)) - (IOPATH i o (2157:2157:2157) (2115:2115:2115)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y8\~output\\) - (DELAY - (ABSOLUTE - (PORT i (563:563:563) (585:585:585)) - (IOPATH i o (2070:2070:2070) (2019:2019:2019)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y9\~output\\) - (DELAY - (ABSOLUTE - (PORT i (631:631:631) (646:646:646)) - (IOPATH i o (3426:3426:3426) (3527:3527:3527)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\B\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (725:725:725) (886:886:886)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\C\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (715:715:715) (876:876:876)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\D\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (775:775:775) (936:936:936)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\A\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (689:689:689) (852:852:852)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE inst) - (DELAY - (ABSOLUTE - (PORT dataa (2584:2584:2584) (2870:2870:2870)) - (PORT datab (3147:3147:3147) (3436:3436:3436)) - (PORT datac (2814:2814:2814) (3080:3080:3080)) - (PORT datad (2829:2829:2829) (3091:3091:3091)) - (IOPATH dataa combout (350:350:350) (366:366:366)) - (IOPATH datab combout (350:350:350) (368:368:368)) - (IOPATH datac combout (241:241:241) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE inst1) - (DELAY - (ABSOLUTE - (PORT dataa (2576:2576:2576) (2875:2875:2875)) - (PORT datab (3146:3146:3146) (3433:3433:3433)) - (PORT datac (2812:2812:2812) (3080:3080:3080)) - (PORT datad (2826:2826:2826) (3093:3093:3093)) - (IOPATH dataa combout (337:337:337) (338:338:338)) - (IOPATH datab combout (337:337:337) (348:348:348)) - (IOPATH datac combout (243:243:243) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE inst2) - (DELAY - (ABSOLUTE - (PORT dataa (2577:2577:2577) (2874:2874:2874)) - (PORT datab (3145:3145:3145) (3432:3432:3432)) - (PORT datac (2811:2811:2811) (3082:3082:3082)) - (PORT datad (2826:2826:2826) (3091:3091:3091)) - (IOPATH dataa combout (371:371:371) (376:376:376)) - (IOPATH datab combout (355:355:355) (349:349:349)) - (IOPATH datac combout (241:241:241) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE inst3) - (DELAY - (ABSOLUTE - (PORT dataa (2587:2587:2587) (2872:2872:2872)) - (PORT datab (3129:3129:3129) (3417:3417:3417)) - (PORT datac (2807:2807:2807) (3073:3073:3073)) - (PORT datad (2824:2824:2824) (3090:3090:3090)) - (IOPATH dataa combout (356:356:356) (368:368:368)) - (IOPATH datab combout (342:342:342) (318:318:318)) - (IOPATH datac combout (243:243:243) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE inst4) - (DELAY - (ABSOLUTE - (PORT dataa (2583:2583:2583) (2876:2876:2876)) - (PORT datab (3140:3140:3140) (3429:3429:3429)) - (PORT datac (2807:2807:2807) (3079:3079:3079)) - (PORT datad (2828:2828:2828) (3091:3091:3091)) - (IOPATH dataa combout (354:354:354) (349:349:349)) - (IOPATH datab combout (381:381:381) (380:380:380)) - (IOPATH datac combout (241:241:241) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE inst5) - (DELAY - (ABSOLUTE - (PORT dataa (2583:2583:2583) (2871:2871:2871)) - (PORT datab (3128:3128:3128) (3415:3415:3415)) - (PORT datac (2806:2806:2806) (3072:3072:3072)) - (PORT datad (2823:2823:2823) (3090:3090:3090)) - (IOPATH dataa combout (341:341:341) (319:319:319)) - (IOPATH datab combout (365:365:365) (373:373:373)) - (IOPATH datac combout (243:243:243) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE inst6) - (DELAY - (ABSOLUTE - (PORT dataa (2582:2582:2582) (2866:2866:2866)) - (PORT datab (3145:3145:3145) (3437:3437:3437)) - (PORT datac (2812:2812:2812) (3081:3081:3081)) - (PORT datad (2827:2827:2827) (3093:3093:3093)) - (IOPATH dataa combout (339:339:339) (367:367:367)) - (IOPATH datab combout (344:344:344) (369:369:369)) - (IOPATH datac combout (241:241:241) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE inst7) - (DELAY - (ABSOLUTE - (PORT dataa (2578:2578:2578) (2876:2876:2876)) - (PORT datab (3144:3144:3144) (3429:3429:3429)) - (PORT datac (2810:2810:2810) (3080:3080:3080)) - (PORT datad (2827:2827:2827) (3096:3096:3096)) - (IOPATH dataa combout (327:327:327) (347:347:347)) - (IOPATH datab combout (331:331:331) (342:342:342)) - (IOPATH datac combout (243:243:243) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE inst8) - (DELAY - (ABSOLUTE - (PORT dataa (2582:2582:2582) (2871:2871:2871)) - (PORT datab (3127:3127:3127) (3423:3423:3423)) - (PORT datac (2805:2805:2805) (3074:3074:3074)) - (PORT datad (2823:2823:2823) (3086:3086:3086)) - (IOPATH dataa combout (300:300:300) (307:307:307)) - (IOPATH datab combout (300:300:300) (310:310:310)) - (IOPATH datac combout (241:241:241) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE inst9) - (DELAY - (ABSOLUTE - (PORT dataa (2583:2583:2583) (2870:2870:2870)) - (PORT datab (3146:3146:3146) (3435:3435:3435)) - (PORT datac (2813:2813:2813) (3080:3080:3080)) - (PORT datad (2828:2828:2828) (3090:3090:3090)) - (IOPATH dataa combout (301:301:301) (299:299:299)) - (IOPATH datab combout (300:300:300) (308:308:308)) - (IOPATH datac combout (241:241:241) (242:242:242)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) -) diff --git a/CH6/CH6-1/simulation/modelsim/BCD_to_decimal_decoder_min_1200mv_0c_fast.vho b/CH6/CH6-1/simulation/modelsim/BCD_to_decimal_decoder_min_1200mv_0c_fast.vho deleted file mode 100644 index a8ffc54c..00000000 --- a/CH6/CH6-1/simulation/modelsim/BCD_to_decimal_decoder_min_1200mv_0c_fast.vho +++ /dev/null @@ -1,494 +0,0 @@ --- Copyright (C) 1991-2013 Altera Corporation --- Your use of Altera Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Altera Program License --- Subscription Agreement, Altera MegaCore Function License --- Agreement, or other applicable license agreement, including, --- without limitation, that your use is for the sole purpose of --- programming logic devices manufactured by Altera and sold by --- Altera or its authorized distributors. Please refer to the --- applicable agreement for further details. - --- VENDOR "Altera" --- PROGRAM "Quartus II 32-bit" --- VERSION "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition" - --- DATE "10/17/2019 22:55:15" - --- --- Device: Altera EP3C16F484C6 Package FBGA484 --- - --- --- This VHDL file should be used for ModelSim-Altera (VHDL) only --- - -LIBRARY CYCLONEIII; -LIBRARY IEEE; -USE CYCLONEIII.CYCLONEIII_COMPONENTS.ALL; -USE IEEE.STD_LOGIC_1164.ALL; - -ENTITY BCD_to_decimal_decoder IS - PORT ( - Y0 : OUT std_logic; - A : IN std_logic; - B : IN std_logic; - C : IN std_logic; - D : IN std_logic; - Y1 : OUT std_logic; - Y2 : OUT std_logic; - Y3 : OUT std_logic; - Y4 : OUT std_logic; - Y5 : OUT std_logic; - Y6 : OUT std_logic; - Y7 : OUT std_logic; - Y8 : OUT std_logic; - Y9 : OUT std_logic - ); -END BCD_to_decimal_decoder; - --- Design Ports Information --- Y0 => Location: PIN_N8, I/O Standard: 2.5 V, Current Strength: Default --- Y1 => Location: PIN_U1, I/O Standard: 2.5 V, Current Strength: Default --- Y2 => Location: PIN_M3, I/O Standard: 2.5 V, Current Strength: Default --- Y3 => Location: PIN_V6, I/O Standard: 2.5 V, Current Strength: Default --- Y4 => Location: PIN_R10, I/O Standard: 2.5 V, Current Strength: Default --- Y5 => Location: PIN_P5, I/O Standard: 2.5 V, Current Strength: Default --- Y6 => Location: PIN_P3, I/O Standard: 2.5 V, Current Strength: Default --- Y7 => Location: PIN_V3, I/O Standard: 2.5 V, Current Strength: Default --- Y8 => Location: PIN_T9, I/O Standard: 2.5 V, Current Strength: Default --- Y9 => Location: PIN_T3, I/O Standard: 2.5 V, Current Strength: Default --- D => Location: PIN_Y1, I/O Standard: 2.5 V, Current Strength: Default --- C => Location: PIN_N5, I/O Standard: 2.5 V, Current Strength: Default --- A => Location: PIN_T8, I/O Standard: 2.5 V, Current Strength: Default --- B => Location: PIN_T4, I/O Standard: 2.5 V, Current Strength: Default - - -ARCHITECTURE structure OF BCD_to_decimal_decoder IS -SIGNAL gnd : std_logic := '0'; -SIGNAL vcc : std_logic := '1'; -SIGNAL unknown : std_logic := 'X'; -SIGNAL devoe : std_logic := '1'; -SIGNAL devclrn : std_logic := '1'; -SIGNAL devpor : std_logic := '1'; -SIGNAL ww_devoe : std_logic; -SIGNAL ww_devclrn : std_logic; -SIGNAL ww_devpor : std_logic; -SIGNAL ww_Y0 : std_logic; -SIGNAL ww_A : std_logic; -SIGNAL ww_B : std_logic; -SIGNAL ww_C : std_logic; -SIGNAL ww_D : std_logic; -SIGNAL ww_Y1 : std_logic; -SIGNAL ww_Y2 : std_logic; -SIGNAL ww_Y3 : std_logic; -SIGNAL ww_Y4 : std_logic; -SIGNAL ww_Y5 : std_logic; -SIGNAL ww_Y6 : std_logic; -SIGNAL ww_Y7 : std_logic; -SIGNAL ww_Y8 : std_logic; -SIGNAL ww_Y9 : std_logic; -SIGNAL \Y0~output_o\ : std_logic; -SIGNAL \Y1~output_o\ : std_logic; -SIGNAL \Y2~output_o\ : std_logic; -SIGNAL \Y3~output_o\ : std_logic; -SIGNAL \Y4~output_o\ : std_logic; -SIGNAL \Y5~output_o\ : std_logic; -SIGNAL \Y6~output_o\ : std_logic; -SIGNAL \Y7~output_o\ : std_logic; -SIGNAL \Y8~output_o\ : std_logic; -SIGNAL \Y9~output_o\ : std_logic; -SIGNAL \B~input_o\ : std_logic; -SIGNAL \C~input_o\ : std_logic; -SIGNAL \D~input_o\ : std_logic; -SIGNAL \A~input_o\ : std_logic; -SIGNAL \inst~combout\ : std_logic; -SIGNAL \inst1~combout\ : std_logic; -SIGNAL \inst2~combout\ : std_logic; -SIGNAL \inst3~combout\ : std_logic; -SIGNAL \inst4~combout\ : std_logic; -SIGNAL \inst5~combout\ : std_logic; -SIGNAL \inst6~combout\ : std_logic; -SIGNAL \inst7~combout\ : std_logic; -SIGNAL \inst8~combout\ : std_logic; -SIGNAL \inst9~combout\ : std_logic; - -BEGIN - -Y0 <= ww_Y0; -ww_A <= A; -ww_B <= B; -ww_C <= C; -ww_D <= D; -Y1 <= ww_Y1; -Y2 <= ww_Y2; -Y3 <= ww_Y3; -Y4 <= ww_Y4; -Y5 <= ww_Y5; -Y6 <= ww_Y6; -Y7 <= ww_Y7; -Y8 <= ww_Y8; -Y9 <= ww_Y9; -ww_devoe <= devoe; -ww_devclrn <= devclrn; -ww_devpor <= devpor; - --- Location: IOOBUF_X0_Y7_N9 -\Y0~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst~combout\, - devoe => ww_devoe, - o => \Y0~output_o\); - --- Location: IOOBUF_X0_Y9_N16 -\Y1~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst1~combout\, - devoe => ww_devoe, - o => \Y1~output_o\); - --- Location: IOOBUF_X0_Y12_N9 -\Y2~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst2~combout\, - devoe => ww_devoe, - o => \Y2~output_o\); - --- Location: IOOBUF_X1_Y0_N2 -\Y3~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst3~combout\, - devoe => ww_devoe, - o => \Y3~output_o\); - --- Location: IOOBUF_X1_Y0_N16 -\Y4~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst4~combout\, - devoe => ww_devoe, - o => \Y4~output_o\); - --- Location: IOOBUF_X0_Y8_N9 -\Y5~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst5~combout\, - devoe => ww_devoe, - o => \Y5~output_o\); - --- Location: IOOBUF_X0_Y9_N2 -\Y6~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst6~combout\, - devoe => ww_devoe, - o => \Y6~output_o\); - --- Location: IOOBUF_X0_Y4_N2 -\Y7~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst7~combout\, - devoe => ww_devoe, - o => \Y7~output_o\); - --- Location: IOOBUF_X1_Y0_N9 -\Y8~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst8~combout\, - devoe => ww_devoe, - o => \Y8~output_o\); - --- Location: IOOBUF_X0_Y6_N16 -\Y9~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst9~combout\, - devoe => ww_devoe, - o => \Y9~output_o\); - --- Location: IOIBUF_X0_Y4_N22 -\B~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_B, - o => \B~input_o\); - --- Location: IOIBUF_X0_Y10_N15 -\C~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_C, - o => \C~input_o\); - --- Location: IOIBUF_X0_Y6_N8 -\D~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_D, - o => \D~input_o\); - --- Location: IOIBUF_X1_Y0_N22 -\A~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_A, - o => \A~input_o\); - --- Location: LCCOMB_X1_Y4_N0 -inst : cycloneiii_lcell_comb --- Equation(s): --- \inst~combout\ = (!\B~input_o\ & (!\C~input_o\ & (!\D~input_o\ & !\A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000000001", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \B~input_o\, - datab => \C~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst~combout\); - --- Location: LCCOMB_X1_Y4_N10 -inst1 : cycloneiii_lcell_comb --- Equation(s): --- \inst1~combout\ = (!\B~input_o\ & (!\C~input_o\ & (\D~input_o\ & !\A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000010000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \B~input_o\, - datab => \C~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst1~combout\); - --- Location: LCCOMB_X1_Y4_N12 -inst2 : cycloneiii_lcell_comb --- Equation(s): --- \inst2~combout\ = (!\B~input_o\ & (\C~input_o\ & (!\D~input_o\ & !\A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000000100", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \B~input_o\, - datab => \C~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst2~combout\); - --- Location: LCCOMB_X1_Y4_N30 -inst3 : cycloneiii_lcell_comb --- Equation(s): --- \inst3~combout\ = (!\B~input_o\ & (\C~input_o\ & (\D~input_o\ & !\A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000001000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \B~input_o\, - datab => \C~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst3~combout\); - --- Location: LCCOMB_X1_Y4_N16 -inst4 : cycloneiii_lcell_comb --- Equation(s): --- \inst4~combout\ = (\B~input_o\ & (!\C~input_o\ & (!\D~input_o\ & !\A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000000010", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \B~input_o\, - datab => \C~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst4~combout\); - --- Location: LCCOMB_X1_Y4_N26 -inst5 : cycloneiii_lcell_comb --- Equation(s): --- \inst5~combout\ = (\B~input_o\ & (!\C~input_o\ & (\D~input_o\ & !\A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000100000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \B~input_o\, - datab => \C~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst5~combout\); - --- Location: LCCOMB_X1_Y4_N4 -inst6 : cycloneiii_lcell_comb --- Equation(s): --- \inst6~combout\ = (\B~input_o\ & (\C~input_o\ & (!\D~input_o\ & !\A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000001000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \B~input_o\, - datab => \C~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst6~combout\); - --- Location: LCCOMB_X1_Y4_N14 -inst7 : cycloneiii_lcell_comb --- Equation(s): --- \inst7~combout\ = (\B~input_o\ & (\C~input_o\ & (\D~input_o\ & !\A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000010000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \B~input_o\, - datab => \C~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst7~combout\); - --- Location: LCCOMB_X1_Y4_N24 -inst8 : cycloneiii_lcell_comb --- Equation(s): --- \inst8~combout\ = (!\B~input_o\ & (!\C~input_o\ & (!\D~input_o\ & \A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000100000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \B~input_o\, - datab => \C~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst8~combout\); - --- Location: LCCOMB_X1_Y4_N2 -inst9 : cycloneiii_lcell_comb --- Equation(s): --- \inst9~combout\ = (!\B~input_o\ & (!\C~input_o\ & (\D~input_o\ & \A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0001000000000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \B~input_o\, - datab => \C~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst9~combout\); - -ww_Y0 <= \Y0~output_o\; - -ww_Y1 <= \Y1~output_o\; - -ww_Y2 <= \Y2~output_o\; - -ww_Y3 <= \Y3~output_o\; - -ww_Y4 <= \Y4~output_o\; - -ww_Y5 <= \Y5~output_o\; - -ww_Y6 <= \Y6~output_o\; - -ww_Y7 <= \Y7~output_o\; - -ww_Y8 <= \Y8~output_o\; - -ww_Y9 <= \Y9~output_o\; -END structure; - - diff --git a/CH6/CH6-1/simulation/modelsim/BCD_to_decimal_decoder_min_1200mv_0c_vhd_fast.sdo b/CH6/CH6-1/simulation/modelsim/BCD_to_decimal_decoder_min_1200mv_0c_vhd_fast.sdo deleted file mode 100644 index e37b394b..00000000 --- a/CH6/CH6-1/simulation/modelsim/BCD_to_decimal_decoder_min_1200mv_0c_vhd_fast.sdo +++ /dev/null @@ -1,335 +0,0 @@ -// Copyright (C) 1991-2013 Altera Corporation -// Your use of Altera Corporation's design tools, logic functions -// and other software and tools, and its AMPP partner logic -// functions, and any output files from any of the foregoing -// (including device programming or simulation files), and any -// associated documentation or information are expressly subject -// to the terms and conditions of the Altera Program License -// Subscription Agreement, Altera MegaCore Function License -// Agreement, or other applicable license agreement, including, -// without limitation, that your use is for the sole purpose of -// programming logic devices manufactured by Altera and sold by -// Altera or its authorized distributors. Please refer to the -// applicable agreement for further details. - - -// -// Device: Altera EP3C16F484C6 Package FBGA484 -// - -// -// This file contains Fast Corner delays for the design using part EP3C16F484C6, -// with speed grade M, core voltage 1.2V, and temperature 0 Celsius -// - -// -// This SDF file should be used for ModelSim-Altera (VHDL) only -// - -(DELAYFILE - (SDFVERSION "2.1") - (DESIGN "BCD_to_decimal_decoder") - (DATE "10/17/2019 22:55:15") - (VENDOR "Altera") - (PROGRAM "Quartus II 32-bit") - (VERSION "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition") - (DIVIDER .) - (TIMESCALE 1 ps) - - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y0\~output\\) - (DELAY - (ABSOLUTE - (PORT i (327:327:327) (366:366:366)) - (IOPATH i o (1476:1476:1476) (1460:1460:1460)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y1\~output\\) - (DELAY - (ABSOLUTE - (PORT i (515:515:515) (574:574:574)) - (IOPATH i o (1486:1486:1486) (1470:1470:1470)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y2\~output\\) - (DELAY - (ABSOLUTE - (PORT i (524:524:524) (586:586:586)) - (IOPATH i o (1456:1456:1456) (1440:1440:1440)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y3\~output\\) - (DELAY - (ABSOLUTE - (PORT i (303:303:303) (341:341:341)) - (IOPATH i o (1300:1300:1300) (1291:1291:1291)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y4\~output\\) - (DELAY - (ABSOLUTE - (PORT i (316:316:316) (357:357:357)) - (IOPATH i o (1320:1320:1320) (1311:1311:1311)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y5\~output\\) - (DELAY - (ABSOLUTE - (PORT i (363:363:363) (405:405:405)) - (IOPATH i o (1358:1358:1358) (1378:1378:1378)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y6\~output\\) - (DELAY - (ABSOLUTE - (PORT i (496:496:496) (565:565:565)) - (IOPATH i o (1466:1466:1466) (1450:1450:1450)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y7\~output\\) - (DELAY - (ABSOLUTE - (PORT i (164:164:164) (185:185:185)) - (IOPATH i o (1368:1368:1368) (1388:1388:1388)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y8\~output\\) - (DELAY - (ABSOLUTE - (PORT i (306:306:306) (344:344:344)) - (IOPATH i o (1310:1310:1310) (1301:1301:1301)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y9\~output\\) - (DELAY - (ABSOLUTE - (PORT i (343:343:343) (390:390:390)) - (IOPATH i o (2278:2278:2278) (2407:2407:2407)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\B\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (381:381:381) (763:763:763)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\C\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (371:371:371) (753:753:753)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\D\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (431:431:431) (813:813:813)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\A\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (362:362:362) (744:744:744)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE inst) - (DELAY - (ABSOLUTE - (PORT dataa (1511:1511:1511) (1697:1697:1697)) - (PORT datab (1846:1846:1846) (2067:2067:2067)) - (PORT datac (1649:1649:1649) (1841:1841:1841)) - (PORT datad (1653:1653:1653) (1846:1846:1846)) - (IOPATH dataa combout (158:158:158) (157:157:157)) - (IOPATH datab combout (160:160:160) (156:156:156)) - (IOPATH datac combout (120:120:120) (124:124:124)) - (IOPATH datad combout (68:68:68) (63:63:63)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE inst1) - (DELAY - (ABSOLUTE - (PORT dataa (1510:1510:1510) (1691:1691:1691)) - (PORT datab (1843:1843:1843) (2064:2064:2064)) - (PORT datac (1646:1646:1646) (1836:1836:1836)) - (PORT datad (1651:1651:1651) (1843:1843:1843)) - (IOPATH dataa combout (158:158:158) (157:157:157)) - (IOPATH datab combout (160:160:160) (156:156:156)) - (IOPATH datac combout (119:119:119) (124:124:124)) - (IOPATH datad combout (68:68:68) (63:63:63)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE inst2) - (DELAY - (ABSOLUTE - (PORT dataa (1509:1509:1509) (1690:1690:1690)) - (PORT datab (1842:1842:1842) (2063:2063:2063)) - (PORT datac (1645:1645:1645) (1833:1833:1833)) - (PORT datad (1650:1650:1650) (1846:1846:1846)) - (IOPATH dataa combout (158:158:158) (157:157:157)) - (IOPATH datab combout (168:168:168) (167:167:167)) - (IOPATH datac combout (120:120:120) (124:124:124)) - (IOPATH datad combout (68:68:68) (63:63:63)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE inst3) - (DELAY - (ABSOLUTE - (PORT dataa (1512:1512:1512) (1693:1693:1693)) - (PORT datab (1829:1829:1829) (2049:2049:2049)) - (PORT datac (1642:1642:1642) (1833:1833:1833)) - (PORT datad (1649:1649:1649) (1844:1844:1844)) - (IOPATH dataa combout (158:158:158) (157:157:157)) - (IOPATH datab combout (168:168:168) (167:167:167)) - (IOPATH datac combout (119:119:119) (124:124:124)) - (IOPATH datad combout (68:68:68) (63:63:63)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE inst4) - (DELAY - (ABSOLUTE - (PORT dataa (1509:1509:1509) (1695:1695:1695)) - (PORT datab (1839:1839:1839) (2060:2060:2060)) - (PORT datac (1642:1642:1642) (1833:1833:1833)) - (PORT datad (1649:1649:1649) (1844:1844:1844)) - (IOPATH dataa combout (170:170:170) (163:163:163)) - (IOPATH datab combout (160:160:160) (156:156:156)) - (IOPATH datac combout (120:120:120) (124:124:124)) - (IOPATH datad combout (68:68:68) (63:63:63)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE inst5) - (DELAY - (ABSOLUTE - (PORT dataa (1511:1511:1511) (1692:1692:1692)) - (PORT datab (1828:1828:1828) (2047:2047:2047)) - (PORT datac (1641:1641:1641) (1832:1832:1832)) - (PORT datad (1649:1649:1649) (1844:1844:1844)) - (IOPATH dataa combout (170:170:170) (163:163:163)) - (IOPATH datab combout (160:160:160) (156:156:156)) - (IOPATH datac combout (119:119:119) (124:124:124)) - (IOPATH datad combout (68:68:68) (63:63:63)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE inst6) - (DELAY - (ABSOLUTE - (PORT dataa (1507:1507:1507) (1692:1692:1692)) - (PORT datab (1846:1846:1846) (2068:2068:2068)) - (PORT datac (1649:1649:1649) (1837:1837:1837)) - (PORT datad (1652:1652:1652) (1847:1847:1847)) - (IOPATH dataa combout (170:170:170) (163:163:163)) - (IOPATH datab combout (168:168:168) (167:167:167)) - (IOPATH datac combout (120:120:120) (124:124:124)) - (IOPATH datad combout (68:68:68) (63:63:63)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE inst7) - (DELAY - (ABSOLUTE - (PORT dataa (1506:1506:1506) (1687:1687:1687)) - (PORT datab (1844:1844:1844) (2065:2065:2065)) - (PORT datac (1646:1646:1646) (1833:1833:1833)) - (PORT datad (1649:1649:1649) (1845:1845:1845)) - (IOPATH dataa combout (170:170:170) (163:163:163)) - (IOPATH datab combout (168:168:168) (167:167:167)) - (IOPATH datac combout (119:119:119) (124:124:124)) - (IOPATH datad combout (68:68:68) (63:63:63)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE inst8) - (DELAY - (ABSOLUTE - (PORT dataa (1512:1512:1512) (1693:1693:1693)) - (PORT datab (1835:1835:1835) (2055:2055:2055)) - (PORT datac (1643:1643:1643) (1833:1833:1833)) - (PORT datad (1649:1649:1649) (1841:1841:1841)) - (IOPATH dataa combout (158:158:158) (157:157:157)) - (IOPATH datab combout (160:160:160) (156:156:156)) - (IOPATH datac combout (119:119:119) (125:125:125)) - (IOPATH datad combout (68:68:68) (63:63:63)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE inst9) - (DELAY - (ABSOLUTE - (PORT dataa (1511:1511:1511) (1696:1696:1696)) - (PORT datab (1846:1846:1846) (2066:2066:2066)) - (PORT datac (1648:1648:1648) (1840:1840:1840)) - (PORT datad (1653:1653:1653) (1846:1846:1846)) - (IOPATH dataa combout (158:158:158) (157:157:157)) - (IOPATH datab combout (160:160:160) (156:156:156)) - (IOPATH datac combout (119:119:119) (124:124:124)) - (IOPATH datad combout (68:68:68) (63:63:63)) - ) - ) - ) -) diff --git a/CH6/CH6-1/simulation/modelsim/BCD_to_decimal_decoder_modelsim.xrf b/CH6/CH6-1/simulation/modelsim/BCD_to_decimal_decoder_modelsim.xrf deleted file mode 100644 index b5f19df9..00000000 --- a/CH6/CH6-1/simulation/modelsim/BCD_to_decimal_decoder_modelsim.xrf +++ /dev/null @@ -1,18 +0,0 @@ -vendor_name = ModelSim -source_file = 1, /home/timmy/Git/Learn-VHDL/CH6/CH6-1/BCD_to_decimal_decoder.bdf -source_file = 1, /home/timmy/Git/Learn-VHDL/CH6/CH6-1/db/BCD_to_decimal_decoder.cbx.xml -design_name = BCD_to_decimal_decoder -instance = comp, \Y0~output\, Y0~output, BCD_to_decimal_decoder, 1 -instance = comp, \Y1~output\, Y1~output, BCD_to_decimal_decoder, 1 -instance = comp, \Y2~output\, Y2~output, BCD_to_decimal_decoder, 1 -instance = comp, \Y3~output\, Y3~output, BCD_to_decimal_decoder, 1 -instance = comp, \Y4~output\, Y4~output, BCD_to_decimal_decoder, 1 -instance = comp, \Y5~output\, Y5~output, BCD_to_decimal_decoder, 1 -instance = comp, \Y6~output\, Y6~output, BCD_to_decimal_decoder, 1 -instance = comp, \Y7~output\, Y7~output, BCD_to_decimal_decoder, 1 -instance = comp, \Y8~output\, Y8~output, BCD_to_decimal_decoder, 1 -instance = comp, \Y9~output\, Y9~output, BCD_to_decimal_decoder, 1 -instance = comp, \B~input\, B~input, BCD_to_decimal_decoder, 1 -instance = comp, \C~input\, C~input, BCD_to_decimal_decoder, 1 -instance = comp, \D~input\, D~input, BCD_to_decimal_decoder, 1 -instance = comp, \A~input\, A~input, BCD_to_decimal_decoder, 1 diff --git a/CH6/CH6-1/simulation/modelsim/BCD_to_decimal_decoder_vhd.sdo b/CH6/CH6-1/simulation/modelsim/BCD_to_decimal_decoder_vhd.sdo deleted file mode 100644 index 6aa33d46..00000000 --- a/CH6/CH6-1/simulation/modelsim/BCD_to_decimal_decoder_vhd.sdo +++ /dev/null @@ -1,335 +0,0 @@ -// Copyright (C) 1991-2013 Altera Corporation -// Your use of Altera Corporation's design tools, logic functions -// and other software and tools, and its AMPP partner logic -// functions, and any output files from any of the foregoing -// (including device programming or simulation files), and any -// associated documentation or information are expressly subject -// to the terms and conditions of the Altera Program License -// Subscription Agreement, Altera MegaCore Function License -// Agreement, or other applicable license agreement, including, -// without limitation, that your use is for the sole purpose of -// programming logic devices manufactured by Altera and sold by -// Altera or its authorized distributors. Please refer to the -// applicable agreement for further details. - - -// -// Device: Altera EP3C16F484C6 Package FBGA484 -// - -// -// This file contains Slow Corner delays for the design using part EP3C16F484C6, -// with speed grade 6, core voltage 1.2V, and temperature 85 Celsius -// - -// -// This SDF file should be used for ModelSim-Altera (VHDL) only -// - -(DELAYFILE - (SDFVERSION "2.1") - (DESIGN "BCD_to_decimal_decoder") - (DATE "10/17/2019 22:55:15") - (VENDOR "Altera") - (PROGRAM "Quartus II 32-bit") - (VERSION "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition") - (DIVIDER .) - (TIMESCALE 1 ps) - - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y0\~output\\) - (DELAY - (ABSOLUTE - (PORT i (597:597:597) (619:619:619)) - (IOPATH i o (2236:2236:2236) (2224:2224:2224)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y1\~output\\) - (DELAY - (ABSOLUTE - (PORT i (900:900:900) (928:928:928)) - (IOPATH i o (2246:2246:2246) (2234:2234:2234)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y2\~output\\) - (DELAY - (ABSOLUTE - (PORT i (913:913:913) (966:966:966)) - (IOPATH i o (2216:2216:2216) (2204:2204:2204)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y3\~output\\) - (DELAY - (ABSOLUTE - (PORT i (558:558:558) (580:580:580)) - (IOPATH i o (2060:2060:2060) (2009:2009:2009)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y4\~output\\) - (DELAY - (ABSOLUTE - (PORT i (581:581:581) (604:604:604)) - (IOPATH i o (2080:2080:2080) (2029:2029:2029)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y5\~output\\) - (DELAY - (ABSOLUTE - (PORT i (648:648:648) (684:684:684)) - (IOPATH i o (2147:2147:2147) (2105:2105:2105)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y6\~output\\) - (DELAY - (ABSOLUTE - (PORT i (899:899:899) (928:928:928)) - (IOPATH i o (2226:2226:2226) (2214:2214:2214)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y7\~output\\) - (DELAY - (ABSOLUTE - (PORT i (326:326:326) (333:333:333)) - (IOPATH i o (2157:2157:2157) (2115:2115:2115)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y8\~output\\) - (DELAY - (ABSOLUTE - (PORT i (563:563:563) (585:585:585)) - (IOPATH i o (2070:2070:2070) (2019:2019:2019)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y9\~output\\) - (DELAY - (ABSOLUTE - (PORT i (631:631:631) (646:646:646)) - (IOPATH i o (3426:3426:3426) (3527:3527:3527)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\B\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (725:725:725) (886:886:886)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\C\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (715:715:715) (876:876:876)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\D\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (775:775:775) (936:936:936)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\A\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (689:689:689) (852:852:852)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE inst) - (DELAY - (ABSOLUTE - (PORT dataa (2584:2584:2584) (2870:2870:2870)) - (PORT datab (3147:3147:3147) (3436:3436:3436)) - (PORT datac (2814:2814:2814) (3080:3080:3080)) - (PORT datad (2829:2829:2829) (3091:3091:3091)) - (IOPATH dataa combout (350:350:350) (366:366:366)) - (IOPATH datab combout (350:350:350) (368:368:368)) - (IOPATH datac combout (241:241:241) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE inst1) - (DELAY - (ABSOLUTE - (PORT dataa (2576:2576:2576) (2875:2875:2875)) - (PORT datab (3146:3146:3146) (3433:3433:3433)) - (PORT datac (2812:2812:2812) (3080:3080:3080)) - (PORT datad (2826:2826:2826) (3093:3093:3093)) - (IOPATH dataa combout (337:337:337) (338:338:338)) - (IOPATH datab combout (337:337:337) (348:348:348)) - (IOPATH datac combout (243:243:243) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE inst2) - (DELAY - (ABSOLUTE - (PORT dataa (2577:2577:2577) (2874:2874:2874)) - (PORT datab (3145:3145:3145) (3432:3432:3432)) - (PORT datac (2811:2811:2811) (3082:3082:3082)) - (PORT datad (2826:2826:2826) (3091:3091:3091)) - (IOPATH dataa combout (371:371:371) (376:376:376)) - (IOPATH datab combout (355:355:355) (349:349:349)) - (IOPATH datac combout (241:241:241) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE inst3) - (DELAY - (ABSOLUTE - (PORT dataa (2587:2587:2587) (2872:2872:2872)) - (PORT datab (3129:3129:3129) (3417:3417:3417)) - (PORT datac (2807:2807:2807) (3073:3073:3073)) - (PORT datad (2824:2824:2824) (3090:3090:3090)) - (IOPATH dataa combout (356:356:356) (368:368:368)) - (IOPATH datab combout (342:342:342) (318:318:318)) - (IOPATH datac combout (243:243:243) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE inst4) - (DELAY - (ABSOLUTE - (PORT dataa (2583:2583:2583) (2876:2876:2876)) - (PORT datab (3140:3140:3140) (3429:3429:3429)) - (PORT datac (2807:2807:2807) (3079:3079:3079)) - (PORT datad (2828:2828:2828) (3091:3091:3091)) - (IOPATH dataa combout (354:354:354) (349:349:349)) - (IOPATH datab combout (381:381:381) (380:380:380)) - (IOPATH datac combout (241:241:241) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE inst5) - (DELAY - (ABSOLUTE - (PORT dataa (2583:2583:2583) (2871:2871:2871)) - (PORT datab (3128:3128:3128) (3415:3415:3415)) - (PORT datac (2806:2806:2806) (3072:3072:3072)) - (PORT datad (2823:2823:2823) (3090:3090:3090)) - (IOPATH dataa combout (341:341:341) (319:319:319)) - (IOPATH datab combout (365:365:365) (373:373:373)) - (IOPATH datac combout (243:243:243) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE inst6) - (DELAY - (ABSOLUTE - (PORT dataa (2582:2582:2582) (2866:2866:2866)) - (PORT datab (3145:3145:3145) (3437:3437:3437)) - (PORT datac (2812:2812:2812) (3081:3081:3081)) - (PORT datad (2827:2827:2827) (3093:3093:3093)) - (IOPATH dataa combout (339:339:339) (367:367:367)) - (IOPATH datab combout (344:344:344) (369:369:369)) - (IOPATH datac combout (241:241:241) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE inst7) - (DELAY - (ABSOLUTE - (PORT dataa (2578:2578:2578) (2876:2876:2876)) - (PORT datab (3144:3144:3144) (3429:3429:3429)) - (PORT datac (2810:2810:2810) (3080:3080:3080)) - (PORT datad (2827:2827:2827) (3096:3096:3096)) - (IOPATH dataa combout (327:327:327) (347:347:347)) - (IOPATH datab combout (331:331:331) (342:342:342)) - (IOPATH datac combout (243:243:243) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE inst8) - (DELAY - (ABSOLUTE - (PORT dataa (2582:2582:2582) (2871:2871:2871)) - (PORT datab (3127:3127:3127) (3423:3423:3423)) - (PORT datac (2805:2805:2805) (3074:3074:3074)) - (PORT datad (2823:2823:2823) (3086:3086:3086)) - (IOPATH dataa combout (300:300:300) (307:307:307)) - (IOPATH datab combout (300:300:300) (310:310:310)) - (IOPATH datac combout (241:241:241) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE inst9) - (DELAY - (ABSOLUTE - (PORT dataa (2583:2583:2583) (2870:2870:2870)) - (PORT datab (3146:3146:3146) (3435:3435:3435)) - (PORT datac (2813:2813:2813) (3080:3080:3080)) - (PORT datad (2828:2828:2828) (3090:3090:3090)) - (IOPATH dataa combout (301:301:301) (299:299:299)) - (IOPATH datab combout (300:300:300) (308:308:308)) - (IOPATH datac combout (241:241:241) (242:242:242)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) -) diff --git a/CH6/CH6-1/simulation/modelsim/Ten_line_to_four_line_BCD_encoder.sft b/CH6/CH6-1/simulation/modelsim/Ten_line_to_four_line_BCD_encoder.sft deleted file mode 100644 index 0d25f1b9..00000000 --- a/CH6/CH6-1/simulation/modelsim/Ten_line_to_four_line_BCD_encoder.sft +++ /dev/null @@ -1,6 +0,0 @@ -set tool_name "ModelSim-Altera (VHDL)" -set corner_file_list { - {{"Slow -6 1.2V 85 Model"} {Ten_line_to_four_line_BCD_encoder_6_1200mv_85c_slow.vho Ten_line_to_four_line_BCD_encoder_6_1200mv_85c_vhd_slow.sdo}} - {{"Slow -6 1.2V 0 Model"} {Ten_line_to_four_line_BCD_encoder_6_1200mv_0c_slow.vho Ten_line_to_four_line_BCD_encoder_6_1200mv_0c_vhd_slow.sdo}} - {{"Fast -M 1.2V 0 Model"} {Ten_line_to_four_line_BCD_encoder_min_1200mv_0c_fast.vho Ten_line_to_four_line_BCD_encoder_min_1200mv_0c_vhd_fast.sdo}} -} diff --git a/CH6/CH6-1/simulation/modelsim/Ten_line_to_four_line_BCD_encoder.vho b/CH6/CH6-1/simulation/modelsim/Ten_line_to_four_line_BCD_encoder.vho deleted file mode 100644 index 9dc5f17d..00000000 --- a/CH6/CH6-1/simulation/modelsim/Ten_line_to_four_line_BCD_encoder.vho +++ /dev/null @@ -1,382 +0,0 @@ --- Copyright (C) 1991-2013 Altera Corporation --- Your use of Altera Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Altera Program License --- Subscription Agreement, Altera MegaCore Function License --- Agreement, or other applicable license agreement, including, --- without limitation, that your use is for the sole purpose of --- programming logic devices manufactured by Altera and sold by --- Altera or its authorized distributors. Please refer to the --- applicable agreement for further details. - --- VENDOR "Altera" --- PROGRAM "Quartus II 32-bit" --- VERSION "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition" - --- DATE "10/01/2019 00:13:13" - --- --- Device: Altera EP3C16F484C6 Package FBGA484 --- - --- --- This VHDL file should be used for ModelSim-Altera (VHDL) only --- - -LIBRARY CYCLONEIII; -LIBRARY IEEE; -USE CYCLONEIII.CYCLONEIII_COMPONENTS.ALL; -USE IEEE.STD_LOGIC_1164.ALL; - -ENTITY Ten_line_to_four_line_BCD_encoder IS - PORT ( - A : OUT std_logic; - I1 : IN std_logic; - I5 : IN std_logic; - I3 : IN std_logic; - I9 : IN std_logic; - I7 : IN std_logic; - B : OUT std_logic; - I2 : IN std_logic; - I6 : IN std_logic; - C : OUT std_logic; - I4 : IN std_logic; - D : OUT std_logic; - I8 : IN std_logic; - I0 : IN std_logic - ); -END Ten_line_to_four_line_BCD_encoder; - --- Design Ports Information --- A => Location: PIN_C1, I/O Standard: 2.5 V, Current Strength: Default --- B => Location: PIN_C2, I/O Standard: 2.5 V, Current Strength: Default --- C => Location: PIN_B2, I/O Standard: 2.5 V, Current Strength: Default --- D => Location: PIN_B1, I/O Standard: 2.5 V, Current Strength: Default --- I0 => Location: PIN_D2, I/O Standard: 2.5 V, Current Strength: Default --- I9 => Location: PIN_J6, I/O Standard: 2.5 V, Current Strength: Default --- I5 => Location: PIN_G5, I/O Standard: 2.5 V, Current Strength: Default --- I7 => Location: PIN_H6, I/O Standard: 2.5 V, Current Strength: Default --- I1 => Location: PIN_E4, I/O Standard: 2.5 V, Current Strength: Default --- I3 => Location: PIN_H7, I/O Standard: 2.5 V, Current Strength: Default --- I2 => Location: PIN_E3, I/O Standard: 2.5 V, Current Strength: Default --- I6 => Location: PIN_G4, I/O Standard: 2.5 V, Current Strength: Default --- I4 => Location: PIN_J7, I/O Standard: 2.5 V, Current Strength: Default --- I8 => Location: PIN_H5, I/O Standard: 2.5 V, Current Strength: Default - - -ARCHITECTURE structure OF Ten_line_to_four_line_BCD_encoder IS -SIGNAL gnd : std_logic := '0'; -SIGNAL vcc : std_logic := '1'; -SIGNAL unknown : std_logic := 'X'; -SIGNAL devoe : std_logic := '1'; -SIGNAL devclrn : std_logic := '1'; -SIGNAL devpor : std_logic := '1'; -SIGNAL ww_devoe : std_logic; -SIGNAL ww_devclrn : std_logic; -SIGNAL ww_devpor : std_logic; -SIGNAL ww_A : std_logic; -SIGNAL ww_I1 : std_logic; -SIGNAL ww_I5 : std_logic; -SIGNAL ww_I3 : std_logic; -SIGNAL ww_I9 : std_logic; -SIGNAL ww_I7 : std_logic; -SIGNAL ww_B : std_logic; -SIGNAL ww_I2 : std_logic; -SIGNAL ww_I6 : std_logic; -SIGNAL ww_C : std_logic; -SIGNAL ww_I4 : std_logic; -SIGNAL ww_D : std_logic; -SIGNAL ww_I8 : std_logic; -SIGNAL ww_I0 : std_logic; -SIGNAL \I0~input_o\ : std_logic; -SIGNAL \A~output_o\ : std_logic; -SIGNAL \B~output_o\ : std_logic; -SIGNAL \C~output_o\ : std_logic; -SIGNAL \D~output_o\ : std_logic; -SIGNAL \I9~input_o\ : std_logic; -SIGNAL \I1~input_o\ : std_logic; -SIGNAL \I3~input_o\ : std_logic; -SIGNAL \I7~input_o\ : std_logic; -SIGNAL \I5~input_o\ : std_logic; -SIGNAL \or1~0_combout\ : std_logic; -SIGNAL \or1~combout\ : std_logic; -SIGNAL \I6~input_o\ : std_logic; -SIGNAL \I2~input_o\ : std_logic; -SIGNAL \or2~0_combout\ : std_logic; -SIGNAL \I4~input_o\ : std_logic; -SIGNAL \or3~0_combout\ : std_logic; -SIGNAL \I8~input_o\ : std_logic; -SIGNAL \or4~combout\ : std_logic; - -BEGIN - -A <= ww_A; -ww_I1 <= I1; -ww_I5 <= I5; -ww_I3 <= I3; -ww_I9 <= I9; -ww_I7 <= I7; -B <= ww_B; -ww_I2 <= I2; -ww_I6 <= I6; -C <= ww_C; -ww_I4 <= I4; -D <= ww_D; -ww_I8 <= I8; -ww_I0 <= I0; -ww_devoe <= devoe; -ww_devclrn <= devclrn; -ww_devpor <= devpor; - --- Location: IOOBUF_X0_Y26_N23 -\A~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \or1~combout\, - devoe => ww_devoe, - o => \A~output_o\); - --- Location: IOOBUF_X0_Y26_N16 -\B~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \or2~0_combout\, - devoe => ww_devoe, - o => \B~output_o\); - --- Location: IOOBUF_X0_Y27_N9 -\C~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \or3~0_combout\, - devoe => ww_devoe, - o => \C~output_o\); - --- Location: IOOBUF_X0_Y27_N16 -\D~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \or4~combout\, - devoe => ww_devoe, - o => \D~output_o\); - --- Location: IOIBUF_X0_Y24_N1 -\I9~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I9, - o => \I9~input_o\); - --- Location: IOIBUF_X0_Y26_N1 -\I1~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I1, - o => \I1~input_o\); - --- Location: IOIBUF_X0_Y25_N15 -\I3~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I3, - o => \I3~input_o\); - --- Location: IOIBUF_X0_Y25_N22 -\I7~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I7, - o => \I7~input_o\); - --- Location: IOIBUF_X0_Y27_N22 -\I5~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I5, - o => \I5~input_o\); - --- Location: LCCOMB_X1_Y27_N0 -\or1~0\ : cycloneiii_lcell_comb --- Equation(s): --- \or1~0_combout\ = (\I1~input_o\) # ((\I3~input_o\) # ((\I7~input_o\) # (\I5~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "1111111111111110", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \I1~input_o\, - datab => \I3~input_o\, - datac => \I7~input_o\, - datad => \I5~input_o\, - combout => \or1~0_combout\); - --- Location: LCCOMB_X1_Y27_N2 -or1 : cycloneiii_lcell_comb --- Equation(s): --- \or1~combout\ = (\I9~input_o\) # (\or1~0_combout\) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "1111111111110000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - datac => \I9~input_o\, - datad => \or1~0_combout\, - combout => \or1~combout\); - --- Location: IOIBUF_X0_Y23_N8 -\I6~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I6, - o => \I6~input_o\); - --- Location: IOIBUF_X0_Y26_N8 -\I2~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I2, - o => \I2~input_o\); - --- Location: LCCOMB_X1_Y27_N28 -\or2~0\ : cycloneiii_lcell_comb --- Equation(s): --- \or2~0_combout\ = (\I6~input_o\) # ((\I3~input_o\) # ((\I7~input_o\) # (\I2~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "1111111111111110", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \I6~input_o\, - datab => \I3~input_o\, - datac => \I7~input_o\, - datad => \I2~input_o\, - combout => \or2~0_combout\); - --- Location: IOIBUF_X0_Y22_N15 -\I4~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I4, - o => \I4~input_o\); - --- Location: LCCOMB_X1_Y27_N14 -\or3~0\ : cycloneiii_lcell_comb --- Equation(s): --- \or3~0_combout\ = (\I6~input_o\) # ((\I7~input_o\) # ((\I4~input_o\) # (\I5~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "1111111111111110", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \I6~input_o\, - datab => \I7~input_o\, - datac => \I4~input_o\, - datad => \I5~input_o\, - combout => \or3~0_combout\); - --- Location: IOIBUF_X0_Y27_N1 -\I8~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I8, - o => \I8~input_o\); - --- Location: LCCOMB_X1_Y27_N16 -or4 : cycloneiii_lcell_comb --- Equation(s): --- \or4~combout\ = (\I9~input_o\) # (\I8~input_o\) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "1111111111110000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - datac => \I9~input_o\, - datad => \I8~input_o\, - combout => \or4~combout\); - --- Location: IOIBUF_X0_Y25_N1 -\I0~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I0, - o => \I0~input_o\); - -ww_A <= \A~output_o\; - -ww_B <= \B~output_o\; - -ww_C <= \C~output_o\; - -ww_D <= \D~output_o\; -END structure; - - diff --git a/CH6/CH6-1/simulation/modelsim/Ten_line_to_four_line_BCD_encoder_6_1200mv_0c_slow.vho b/CH6/CH6-1/simulation/modelsim/Ten_line_to_four_line_BCD_encoder_6_1200mv_0c_slow.vho deleted file mode 100644 index 9dc5f17d..00000000 --- a/CH6/CH6-1/simulation/modelsim/Ten_line_to_four_line_BCD_encoder_6_1200mv_0c_slow.vho +++ /dev/null @@ -1,382 +0,0 @@ --- Copyright (C) 1991-2013 Altera Corporation --- Your use of Altera Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Altera Program License --- Subscription Agreement, Altera MegaCore Function License --- Agreement, or other applicable license agreement, including, --- without limitation, that your use is for the sole purpose of --- programming logic devices manufactured by Altera and sold by --- Altera or its authorized distributors. Please refer to the --- applicable agreement for further details. - --- VENDOR "Altera" --- PROGRAM "Quartus II 32-bit" --- VERSION "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition" - --- DATE "10/01/2019 00:13:13" - --- --- Device: Altera EP3C16F484C6 Package FBGA484 --- - --- --- This VHDL file should be used for ModelSim-Altera (VHDL) only --- - -LIBRARY CYCLONEIII; -LIBRARY IEEE; -USE CYCLONEIII.CYCLONEIII_COMPONENTS.ALL; -USE IEEE.STD_LOGIC_1164.ALL; - -ENTITY Ten_line_to_four_line_BCD_encoder IS - PORT ( - A : OUT std_logic; - I1 : IN std_logic; - I5 : IN std_logic; - I3 : IN std_logic; - I9 : IN std_logic; - I7 : IN std_logic; - B : OUT std_logic; - I2 : IN std_logic; - I6 : IN std_logic; - C : OUT std_logic; - I4 : IN std_logic; - D : OUT std_logic; - I8 : IN std_logic; - I0 : IN std_logic - ); -END Ten_line_to_four_line_BCD_encoder; - --- Design Ports Information --- A => Location: PIN_C1, I/O Standard: 2.5 V, Current Strength: Default --- B => Location: PIN_C2, I/O Standard: 2.5 V, Current Strength: Default --- C => Location: PIN_B2, I/O Standard: 2.5 V, Current Strength: Default --- D => Location: PIN_B1, I/O Standard: 2.5 V, Current Strength: Default --- I0 => Location: PIN_D2, I/O Standard: 2.5 V, Current Strength: Default --- I9 => Location: PIN_J6, I/O Standard: 2.5 V, Current Strength: Default --- I5 => Location: PIN_G5, I/O Standard: 2.5 V, Current Strength: Default --- I7 => Location: PIN_H6, I/O Standard: 2.5 V, Current Strength: Default --- I1 => Location: PIN_E4, I/O Standard: 2.5 V, Current Strength: Default --- I3 => Location: PIN_H7, I/O Standard: 2.5 V, Current Strength: Default --- I2 => Location: PIN_E3, I/O Standard: 2.5 V, Current Strength: Default --- I6 => Location: PIN_G4, I/O Standard: 2.5 V, Current Strength: Default --- I4 => Location: PIN_J7, I/O Standard: 2.5 V, Current Strength: Default --- I8 => Location: PIN_H5, I/O Standard: 2.5 V, Current Strength: Default - - -ARCHITECTURE structure OF Ten_line_to_four_line_BCD_encoder IS -SIGNAL gnd : std_logic := '0'; -SIGNAL vcc : std_logic := '1'; -SIGNAL unknown : std_logic := 'X'; -SIGNAL devoe : std_logic := '1'; -SIGNAL devclrn : std_logic := '1'; -SIGNAL devpor : std_logic := '1'; -SIGNAL ww_devoe : std_logic; -SIGNAL ww_devclrn : std_logic; -SIGNAL ww_devpor : std_logic; -SIGNAL ww_A : std_logic; -SIGNAL ww_I1 : std_logic; -SIGNAL ww_I5 : std_logic; -SIGNAL ww_I3 : std_logic; -SIGNAL ww_I9 : std_logic; -SIGNAL ww_I7 : std_logic; -SIGNAL ww_B : std_logic; -SIGNAL ww_I2 : std_logic; -SIGNAL ww_I6 : std_logic; -SIGNAL ww_C : std_logic; -SIGNAL ww_I4 : std_logic; -SIGNAL ww_D : std_logic; -SIGNAL ww_I8 : std_logic; -SIGNAL ww_I0 : std_logic; -SIGNAL \I0~input_o\ : std_logic; -SIGNAL \A~output_o\ : std_logic; -SIGNAL \B~output_o\ : std_logic; -SIGNAL \C~output_o\ : std_logic; -SIGNAL \D~output_o\ : std_logic; -SIGNAL \I9~input_o\ : std_logic; -SIGNAL \I1~input_o\ : std_logic; -SIGNAL \I3~input_o\ : std_logic; -SIGNAL \I7~input_o\ : std_logic; -SIGNAL \I5~input_o\ : std_logic; -SIGNAL \or1~0_combout\ : std_logic; -SIGNAL \or1~combout\ : std_logic; -SIGNAL \I6~input_o\ : std_logic; -SIGNAL \I2~input_o\ : std_logic; -SIGNAL \or2~0_combout\ : std_logic; -SIGNAL \I4~input_o\ : std_logic; -SIGNAL \or3~0_combout\ : std_logic; -SIGNAL \I8~input_o\ : std_logic; -SIGNAL \or4~combout\ : std_logic; - -BEGIN - -A <= ww_A; -ww_I1 <= I1; -ww_I5 <= I5; -ww_I3 <= I3; -ww_I9 <= I9; -ww_I7 <= I7; -B <= ww_B; -ww_I2 <= I2; -ww_I6 <= I6; -C <= ww_C; -ww_I4 <= I4; -D <= ww_D; -ww_I8 <= I8; -ww_I0 <= I0; -ww_devoe <= devoe; -ww_devclrn <= devclrn; -ww_devpor <= devpor; - --- Location: IOOBUF_X0_Y26_N23 -\A~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \or1~combout\, - devoe => ww_devoe, - o => \A~output_o\); - --- Location: IOOBUF_X0_Y26_N16 -\B~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \or2~0_combout\, - devoe => ww_devoe, - o => \B~output_o\); - --- Location: IOOBUF_X0_Y27_N9 -\C~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \or3~0_combout\, - devoe => ww_devoe, - o => \C~output_o\); - --- Location: IOOBUF_X0_Y27_N16 -\D~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \or4~combout\, - devoe => ww_devoe, - o => \D~output_o\); - --- Location: IOIBUF_X0_Y24_N1 -\I9~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I9, - o => \I9~input_o\); - --- Location: IOIBUF_X0_Y26_N1 -\I1~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I1, - o => \I1~input_o\); - --- Location: IOIBUF_X0_Y25_N15 -\I3~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I3, - o => \I3~input_o\); - --- Location: IOIBUF_X0_Y25_N22 -\I7~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I7, - o => \I7~input_o\); - --- Location: IOIBUF_X0_Y27_N22 -\I5~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I5, - o => \I5~input_o\); - --- Location: LCCOMB_X1_Y27_N0 -\or1~0\ : cycloneiii_lcell_comb --- Equation(s): --- \or1~0_combout\ = (\I1~input_o\) # ((\I3~input_o\) # ((\I7~input_o\) # (\I5~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "1111111111111110", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \I1~input_o\, - datab => \I3~input_o\, - datac => \I7~input_o\, - datad => \I5~input_o\, - combout => \or1~0_combout\); - --- Location: LCCOMB_X1_Y27_N2 -or1 : cycloneiii_lcell_comb --- Equation(s): --- \or1~combout\ = (\I9~input_o\) # (\or1~0_combout\) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "1111111111110000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - datac => \I9~input_o\, - datad => \or1~0_combout\, - combout => \or1~combout\); - --- Location: IOIBUF_X0_Y23_N8 -\I6~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I6, - o => \I6~input_o\); - --- Location: IOIBUF_X0_Y26_N8 -\I2~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I2, - o => \I2~input_o\); - --- Location: LCCOMB_X1_Y27_N28 -\or2~0\ : cycloneiii_lcell_comb --- Equation(s): --- \or2~0_combout\ = (\I6~input_o\) # ((\I3~input_o\) # ((\I7~input_o\) # (\I2~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "1111111111111110", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \I6~input_o\, - datab => \I3~input_o\, - datac => \I7~input_o\, - datad => \I2~input_o\, - combout => \or2~0_combout\); - --- Location: IOIBUF_X0_Y22_N15 -\I4~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I4, - o => \I4~input_o\); - --- Location: LCCOMB_X1_Y27_N14 -\or3~0\ : cycloneiii_lcell_comb --- Equation(s): --- \or3~0_combout\ = (\I6~input_o\) # ((\I7~input_o\) # ((\I4~input_o\) # (\I5~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "1111111111111110", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \I6~input_o\, - datab => \I7~input_o\, - datac => \I4~input_o\, - datad => \I5~input_o\, - combout => \or3~0_combout\); - --- Location: IOIBUF_X0_Y27_N1 -\I8~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I8, - o => \I8~input_o\); - --- Location: LCCOMB_X1_Y27_N16 -or4 : cycloneiii_lcell_comb --- Equation(s): --- \or4~combout\ = (\I9~input_o\) # (\I8~input_o\) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "1111111111110000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - datac => \I9~input_o\, - datad => \I8~input_o\, - combout => \or4~combout\); - --- Location: IOIBUF_X0_Y25_N1 -\I0~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I0, - o => \I0~input_o\); - -ww_A <= \A~output_o\; - -ww_B <= \B~output_o\; - -ww_C <= \C~output_o\; - -ww_D <= \D~output_o\; -END structure; - - diff --git a/CH6/CH6-1/simulation/modelsim/Ten_line_to_four_line_BCD_encoder_6_1200mv_0c_vhd_slow.sdo b/CH6/CH6-1/simulation/modelsim/Ten_line_to_four_line_BCD_encoder_6_1200mv_0c_vhd_slow.sdo deleted file mode 100644 index a88c8aae..00000000 --- a/CH6/CH6-1/simulation/modelsim/Ten_line_to_four_line_BCD_encoder_6_1200mv_0c_vhd_slow.sdo +++ /dev/null @@ -1,232 +0,0 @@ -// Copyright (C) 1991-2013 Altera Corporation -// Your use of Altera Corporation's design tools, logic functions -// and other software and tools, and its AMPP partner logic -// functions, and any output files from any of the foregoing -// (including device programming or simulation files), and any -// associated documentation or information are expressly subject -// to the terms and conditions of the Altera Program License -// Subscription Agreement, Altera MegaCore Function License -// Agreement, or other applicable license agreement, including, -// without limitation, that your use is for the sole purpose of -// programming logic devices manufactured by Altera and sold by -// Altera or its authorized distributors. Please refer to the -// applicable agreement for further details. - - -// -// Device: Altera EP3C16F484C6 Package FBGA484 -// - -// -// This file contains Slow Corner delays for the design using part EP3C16F484C6, -// with speed grade 6, core voltage 1.2V, and temperature 0 Celsius -// - -// -// This SDF file should be used for ModelSim-Altera (VHDL) only -// - -(DELAYFILE - (SDFVERSION "2.1") - (DESIGN "Ten_line_to_four_line_BCD_encoder") - (DATE "10/01/2019 00:13:13") - (VENDOR "Altera") - (PROGRAM "Quartus II 32-bit") - (VERSION "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition") - (DIVIDER .) - (TIMESCALE 1 ps) - - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\A\~output\\) - (DELAY - (ABSOLUTE - (PORT i (549:549:549) (544:544:544)) - (IOPATH i o (2256:2256:2256) (2244:2244:2244)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\B\~output\\) - (DELAY - (ABSOLUTE - (PORT i (556:556:556) (547:547:547)) - (IOPATH i o (2266:2266:2266) (2254:2254:2254)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\C\~output\\) - (DELAY - (ABSOLUTE - (PORT i (295:295:295) (292:292:292)) - (IOPATH i o (2266:2266:2266) (2254:2254:2254)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\D\~output\\) - (DELAY - (ABSOLUTE - (PORT i (293:293:293) (287:287:287)) - (IOPATH i o (2266:2266:2266) (2254:2254:2254)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\I9\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (725:725:725) (886:886:886)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\I1\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (735:735:735) (896:896:896)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\I3\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (725:725:725) (886:886:886)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\I7\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (725:725:725) (886:886:886)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\I5\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (725:725:725) (886:886:886)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\or1\~0\\) - (DELAY - (ABSOLUTE - (PORT dataa (2333:2333:2333) (2519:2519:2519)) - (PORT datab (2386:2386:2386) (2601:2601:2601)) - (PORT datac (2392:2392:2392) (2598:2598:2598)) - (PORT datad (2127:2127:2127) (2324:2324:2324)) - (IOPATH dataa combout (318:318:318) (307:307:307)) - (IOPATH datab combout (319:319:319) (307:307:307)) - (IOPATH datac combout (220:220:220) (215:215:215)) - (IOPATH datad combout (119:119:119) (106:106:106)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE or1) - (DELAY - (ABSOLUTE - (PORT datac (2361:2361:2361) (2560:2560:2560)) - (PORT datad (159:159:159) (180:180:180)) - (IOPATH datac combout (220:220:220) (215:215:215)) - (IOPATH datad combout (119:119:119) (106:106:106)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\I6\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (735:735:735) (896:896:896)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\I2\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (735:735:735) (896:896:896)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\or2\~0\\) - (DELAY - (ABSOLUTE - (PORT dataa (2432:2432:2432) (2647:2647:2647)) - (PORT datab (2381:2381:2381) (2594:2594:2594)) - (PORT datac (2396:2396:2396) (2603:2603:2603)) - (PORT datad (2334:2334:2334) (2519:2519:2519)) - (IOPATH dataa combout (318:318:318) (307:307:307)) - (IOPATH datab combout (319:319:319) (307:307:307)) - (IOPATH datac combout (220:220:220) (215:215:215)) - (IOPATH datad combout (119:119:119) (106:106:106)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\I4\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (725:725:725) (886:886:886)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\or3\~0\\) - (DELAY - (ABSOLUTE - (PORT dataa (2433:2433:2433) (2650:2650:2650)) - (PORT datab (2422:2422:2422) (2628:2628:2628)) - (PORT datac (2608:2608:2608) (2811:2811:2811)) - (PORT datad (2125:2125:2125) (2320:2320:2320)) - (IOPATH dataa combout (318:318:318) (307:307:307)) - (IOPATH datab combout (319:319:319) (307:307:307)) - (IOPATH datac combout (220:220:220) (215:215:215)) - (IOPATH datad combout (119:119:119) (106:106:106)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\I8\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (725:725:725) (886:886:886)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE or4) - (DELAY - (ABSOLUTE - (PORT datac (2362:2362:2362) (2563:2563:2563)) - (PORT datad (2100:2100:2100) (2295:2295:2295)) - (IOPATH datac combout (220:220:220) (215:215:215)) - (IOPATH datad combout (119:119:119) (106:106:106)) - ) - ) - ) -) diff --git a/CH6/CH6-1/simulation/modelsim/Ten_line_to_four_line_BCD_encoder_6_1200mv_85c_slow.vho b/CH6/CH6-1/simulation/modelsim/Ten_line_to_four_line_BCD_encoder_6_1200mv_85c_slow.vho deleted file mode 100644 index 9dc5f17d..00000000 --- a/CH6/CH6-1/simulation/modelsim/Ten_line_to_four_line_BCD_encoder_6_1200mv_85c_slow.vho +++ /dev/null @@ -1,382 +0,0 @@ --- Copyright (C) 1991-2013 Altera Corporation --- Your use of Altera Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Altera Program License --- Subscription Agreement, Altera MegaCore Function License --- Agreement, or other applicable license agreement, including, --- without limitation, that your use is for the sole purpose of --- programming logic devices manufactured by Altera and sold by --- Altera or its authorized distributors. Please refer to the --- applicable agreement for further details. - --- VENDOR "Altera" --- PROGRAM "Quartus II 32-bit" --- VERSION "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition" - --- DATE "10/01/2019 00:13:13" - --- --- Device: Altera EP3C16F484C6 Package FBGA484 --- - --- --- This VHDL file should be used for ModelSim-Altera (VHDL) only --- - -LIBRARY CYCLONEIII; -LIBRARY IEEE; -USE CYCLONEIII.CYCLONEIII_COMPONENTS.ALL; -USE IEEE.STD_LOGIC_1164.ALL; - -ENTITY Ten_line_to_four_line_BCD_encoder IS - PORT ( - A : OUT std_logic; - I1 : IN std_logic; - I5 : IN std_logic; - I3 : IN std_logic; - I9 : IN std_logic; - I7 : IN std_logic; - B : OUT std_logic; - I2 : IN std_logic; - I6 : IN std_logic; - C : OUT std_logic; - I4 : IN std_logic; - D : OUT std_logic; - I8 : IN std_logic; - I0 : IN std_logic - ); -END Ten_line_to_four_line_BCD_encoder; - --- Design Ports Information --- A => Location: PIN_C1, I/O Standard: 2.5 V, Current Strength: Default --- B => Location: PIN_C2, I/O Standard: 2.5 V, Current Strength: Default --- C => Location: PIN_B2, I/O Standard: 2.5 V, Current Strength: Default --- D => Location: PIN_B1, I/O Standard: 2.5 V, Current Strength: Default --- I0 => Location: PIN_D2, I/O Standard: 2.5 V, Current Strength: Default --- I9 => Location: PIN_J6, I/O Standard: 2.5 V, Current Strength: Default --- I5 => Location: PIN_G5, I/O Standard: 2.5 V, Current Strength: Default --- I7 => Location: PIN_H6, I/O Standard: 2.5 V, Current Strength: Default --- I1 => Location: PIN_E4, I/O Standard: 2.5 V, Current Strength: Default --- I3 => Location: PIN_H7, I/O Standard: 2.5 V, Current Strength: Default --- I2 => Location: PIN_E3, I/O Standard: 2.5 V, Current Strength: Default --- I6 => Location: PIN_G4, I/O Standard: 2.5 V, Current Strength: Default --- I4 => Location: PIN_J7, I/O Standard: 2.5 V, Current Strength: Default --- I8 => Location: PIN_H5, I/O Standard: 2.5 V, Current Strength: Default - - -ARCHITECTURE structure OF Ten_line_to_four_line_BCD_encoder IS -SIGNAL gnd : std_logic := '0'; -SIGNAL vcc : std_logic := '1'; -SIGNAL unknown : std_logic := 'X'; -SIGNAL devoe : std_logic := '1'; -SIGNAL devclrn : std_logic := '1'; -SIGNAL devpor : std_logic := '1'; -SIGNAL ww_devoe : std_logic; -SIGNAL ww_devclrn : std_logic; -SIGNAL ww_devpor : std_logic; -SIGNAL ww_A : std_logic; -SIGNAL ww_I1 : std_logic; -SIGNAL ww_I5 : std_logic; -SIGNAL ww_I3 : std_logic; -SIGNAL ww_I9 : std_logic; -SIGNAL ww_I7 : std_logic; -SIGNAL ww_B : std_logic; -SIGNAL ww_I2 : std_logic; -SIGNAL ww_I6 : std_logic; -SIGNAL ww_C : std_logic; -SIGNAL ww_I4 : std_logic; -SIGNAL ww_D : std_logic; -SIGNAL ww_I8 : std_logic; -SIGNAL ww_I0 : std_logic; -SIGNAL \I0~input_o\ : std_logic; -SIGNAL \A~output_o\ : std_logic; -SIGNAL \B~output_o\ : std_logic; -SIGNAL \C~output_o\ : std_logic; -SIGNAL \D~output_o\ : std_logic; -SIGNAL \I9~input_o\ : std_logic; -SIGNAL \I1~input_o\ : std_logic; -SIGNAL \I3~input_o\ : std_logic; -SIGNAL \I7~input_o\ : std_logic; -SIGNAL \I5~input_o\ : std_logic; -SIGNAL \or1~0_combout\ : std_logic; -SIGNAL \or1~combout\ : std_logic; -SIGNAL \I6~input_o\ : std_logic; -SIGNAL \I2~input_o\ : std_logic; -SIGNAL \or2~0_combout\ : std_logic; -SIGNAL \I4~input_o\ : std_logic; -SIGNAL \or3~0_combout\ : std_logic; -SIGNAL \I8~input_o\ : std_logic; -SIGNAL \or4~combout\ : std_logic; - -BEGIN - -A <= ww_A; -ww_I1 <= I1; -ww_I5 <= I5; -ww_I3 <= I3; -ww_I9 <= I9; -ww_I7 <= I7; -B <= ww_B; -ww_I2 <= I2; -ww_I6 <= I6; -C <= ww_C; -ww_I4 <= I4; -D <= ww_D; -ww_I8 <= I8; -ww_I0 <= I0; -ww_devoe <= devoe; -ww_devclrn <= devclrn; -ww_devpor <= devpor; - --- Location: IOOBUF_X0_Y26_N23 -\A~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \or1~combout\, - devoe => ww_devoe, - o => \A~output_o\); - --- Location: IOOBUF_X0_Y26_N16 -\B~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \or2~0_combout\, - devoe => ww_devoe, - o => \B~output_o\); - --- Location: IOOBUF_X0_Y27_N9 -\C~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \or3~0_combout\, - devoe => ww_devoe, - o => \C~output_o\); - --- Location: IOOBUF_X0_Y27_N16 -\D~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \or4~combout\, - devoe => ww_devoe, - o => \D~output_o\); - --- Location: IOIBUF_X0_Y24_N1 -\I9~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I9, - o => \I9~input_o\); - --- Location: IOIBUF_X0_Y26_N1 -\I1~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I1, - o => \I1~input_o\); - --- Location: IOIBUF_X0_Y25_N15 -\I3~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I3, - o => \I3~input_o\); - --- Location: IOIBUF_X0_Y25_N22 -\I7~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I7, - o => \I7~input_o\); - --- Location: IOIBUF_X0_Y27_N22 -\I5~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I5, - o => \I5~input_o\); - --- Location: LCCOMB_X1_Y27_N0 -\or1~0\ : cycloneiii_lcell_comb --- Equation(s): --- \or1~0_combout\ = (\I1~input_o\) # ((\I3~input_o\) # ((\I7~input_o\) # (\I5~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "1111111111111110", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \I1~input_o\, - datab => \I3~input_o\, - datac => \I7~input_o\, - datad => \I5~input_o\, - combout => \or1~0_combout\); - --- Location: LCCOMB_X1_Y27_N2 -or1 : cycloneiii_lcell_comb --- Equation(s): --- \or1~combout\ = (\I9~input_o\) # (\or1~0_combout\) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "1111111111110000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - datac => \I9~input_o\, - datad => \or1~0_combout\, - combout => \or1~combout\); - --- Location: IOIBUF_X0_Y23_N8 -\I6~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I6, - o => \I6~input_o\); - --- Location: IOIBUF_X0_Y26_N8 -\I2~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I2, - o => \I2~input_o\); - --- Location: LCCOMB_X1_Y27_N28 -\or2~0\ : cycloneiii_lcell_comb --- Equation(s): --- \or2~0_combout\ = (\I6~input_o\) # ((\I3~input_o\) # ((\I7~input_o\) # (\I2~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "1111111111111110", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \I6~input_o\, - datab => \I3~input_o\, - datac => \I7~input_o\, - datad => \I2~input_o\, - combout => \or2~0_combout\); - --- Location: IOIBUF_X0_Y22_N15 -\I4~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I4, - o => \I4~input_o\); - --- Location: LCCOMB_X1_Y27_N14 -\or3~0\ : cycloneiii_lcell_comb --- Equation(s): --- \or3~0_combout\ = (\I6~input_o\) # ((\I7~input_o\) # ((\I4~input_o\) # (\I5~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "1111111111111110", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \I6~input_o\, - datab => \I7~input_o\, - datac => \I4~input_o\, - datad => \I5~input_o\, - combout => \or3~0_combout\); - --- Location: IOIBUF_X0_Y27_N1 -\I8~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I8, - o => \I8~input_o\); - --- Location: LCCOMB_X1_Y27_N16 -or4 : cycloneiii_lcell_comb --- Equation(s): --- \or4~combout\ = (\I9~input_o\) # (\I8~input_o\) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "1111111111110000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - datac => \I9~input_o\, - datad => \I8~input_o\, - combout => \or4~combout\); - --- Location: IOIBUF_X0_Y25_N1 -\I0~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I0, - o => \I0~input_o\); - -ww_A <= \A~output_o\; - -ww_B <= \B~output_o\; - -ww_C <= \C~output_o\; - -ww_D <= \D~output_o\; -END structure; - - diff --git a/CH6/CH6-1/simulation/modelsim/Ten_line_to_four_line_BCD_encoder_6_1200mv_85c_vhd_slow.sdo b/CH6/CH6-1/simulation/modelsim/Ten_line_to_four_line_BCD_encoder_6_1200mv_85c_vhd_slow.sdo deleted file mode 100644 index 2eb593f9..00000000 --- a/CH6/CH6-1/simulation/modelsim/Ten_line_to_four_line_BCD_encoder_6_1200mv_85c_vhd_slow.sdo +++ /dev/null @@ -1,232 +0,0 @@ -// Copyright (C) 1991-2013 Altera Corporation -// Your use of Altera Corporation's design tools, logic functions -// and other software and tools, and its AMPP partner logic -// functions, and any output files from any of the foregoing -// (including device programming or simulation files), and any -// associated documentation or information are expressly subject -// to the terms and conditions of the Altera Program License -// Subscription Agreement, Altera MegaCore Function License -// Agreement, or other applicable license agreement, including, -// without limitation, that your use is for the sole purpose of -// programming logic devices manufactured by Altera and sold by -// Altera or its authorized distributors. Please refer to the -// applicable agreement for further details. - - -// -// Device: Altera EP3C16F484C6 Package FBGA484 -// - -// -// This file contains Slow Corner delays for the design using part EP3C16F484C6, -// with speed grade 6, core voltage 1.2V, and temperature 85 Celsius -// - -// -// This SDF file should be used for ModelSim-Altera (VHDL) only -// - -(DELAYFILE - (SDFVERSION "2.1") - (DESIGN "Ten_line_to_four_line_BCD_encoder") - (DATE "10/01/2019 00:13:13") - (VENDOR "Altera") - (PROGRAM "Quartus II 32-bit") - (VERSION "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition") - (DIVIDER .) - (TIMESCALE 1 ps) - - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\A\~output\\) - (DELAY - (ABSOLUTE - (PORT i (601:601:601) (609:609:609)) - (IOPATH i o (2256:2256:2256) (2244:2244:2244)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\B\~output\\) - (DELAY - (ABSOLUTE - (PORT i (607:607:607) (616:616:616)) - (IOPATH i o (2266:2266:2266) (2254:2254:2254)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\C\~output\\) - (DELAY - (ABSOLUTE - (PORT i (324:324:324) (328:328:328)) - (IOPATH i o (2266:2266:2266) (2254:2254:2254)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\D\~output\\) - (DELAY - (ABSOLUTE - (PORT i (321:321:321) (324:324:324)) - (IOPATH i o (2266:2266:2266) (2254:2254:2254)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\I9\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (725:725:725) (886:886:886)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\I1\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (735:735:735) (896:896:896)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\I3\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (725:725:725) (886:886:886)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\I7\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (725:725:725) (886:886:886)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\I5\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (725:725:725) (886:886:886)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\or1\~0\\) - (DELAY - (ABSOLUTE - (PORT dataa (2712:2712:2712) (2966:2966:2966)) - (PORT datab (2776:2776:2776) (3042:3042:3042)) - (PORT datac (2788:2788:2788) (3040:3040:3040)) - (PORT datad (2495:2495:2495) (2745:2745:2745)) - (IOPATH dataa combout (354:354:354) (349:349:349)) - (IOPATH datab combout (355:355:355) (349:349:349)) - (IOPATH datac combout (243:243:243) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE or1) - (DELAY - (ABSOLUTE - (PORT datac (2746:2746:2746) (3018:3018:3018)) - (PORT datad (173:173:173) (199:199:199)) - (IOPATH datac combout (243:243:243) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\I6\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (735:735:735) (896:896:896)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\I2\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (735:735:735) (896:896:896)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\or2\~0\\) - (DELAY - (ABSOLUTE - (PORT dataa (2828:2828:2828) (3112:3112:3112)) - (PORT datab (2771:2771:2771) (3036:3036:3036)) - (PORT datac (2792:2792:2792) (3045:3045:3045)) - (PORT datad (2720:2720:2720) (2958:2958:2958)) - (IOPATH dataa combout (354:354:354) (349:349:349)) - (IOPATH datab combout (355:355:355) (349:349:349)) - (IOPATH datac combout (243:243:243) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\I4\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (725:725:725) (886:886:886)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\or3\~0\\) - (DELAY - (ABSOLUTE - (PORT dataa (2829:2829:2829) (3115:3115:3115)) - (PORT datab (2819:2819:2819) (3076:3076:3076)) - (PORT datac (3013:3013:3013) (3284:3284:3284)) - (PORT datad (2492:2492:2492) (2742:2742:2742)) - (IOPATH dataa combout (354:354:354) (349:349:349)) - (IOPATH datab combout (355:355:355) (349:349:349)) - (IOPATH datac combout (243:243:243) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\I8\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (725:725:725) (886:886:886)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE or4) - (DELAY - (ABSOLUTE - (PORT datac (2746:2746:2746) (3020:3020:3020)) - (PORT datad (2465:2465:2465) (2714:2714:2714)) - (IOPATH datac combout (243:243:243) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) -) diff --git a/CH6/CH6-1/simulation/modelsim/Ten_line_to_four_line_BCD_encoder_min_1200mv_0c_fast.vho b/CH6/CH6-1/simulation/modelsim/Ten_line_to_four_line_BCD_encoder_min_1200mv_0c_fast.vho deleted file mode 100644 index 9dc5f17d..00000000 --- a/CH6/CH6-1/simulation/modelsim/Ten_line_to_four_line_BCD_encoder_min_1200mv_0c_fast.vho +++ /dev/null @@ -1,382 +0,0 @@ --- Copyright (C) 1991-2013 Altera Corporation --- Your use of Altera Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Altera Program License --- Subscription Agreement, Altera MegaCore Function License --- Agreement, or other applicable license agreement, including, --- without limitation, that your use is for the sole purpose of --- programming logic devices manufactured by Altera and sold by --- Altera or its authorized distributors. Please refer to the --- applicable agreement for further details. - --- VENDOR "Altera" --- PROGRAM "Quartus II 32-bit" --- VERSION "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition" - --- DATE "10/01/2019 00:13:13" - --- --- Device: Altera EP3C16F484C6 Package FBGA484 --- - --- --- This VHDL file should be used for ModelSim-Altera (VHDL) only --- - -LIBRARY CYCLONEIII; -LIBRARY IEEE; -USE CYCLONEIII.CYCLONEIII_COMPONENTS.ALL; -USE IEEE.STD_LOGIC_1164.ALL; - -ENTITY Ten_line_to_four_line_BCD_encoder IS - PORT ( - A : OUT std_logic; - I1 : IN std_logic; - I5 : IN std_logic; - I3 : IN std_logic; - I9 : IN std_logic; - I7 : IN std_logic; - B : OUT std_logic; - I2 : IN std_logic; - I6 : IN std_logic; - C : OUT std_logic; - I4 : IN std_logic; - D : OUT std_logic; - I8 : IN std_logic; - I0 : IN std_logic - ); -END Ten_line_to_four_line_BCD_encoder; - --- Design Ports Information --- A => Location: PIN_C1, I/O Standard: 2.5 V, Current Strength: Default --- B => Location: PIN_C2, I/O Standard: 2.5 V, Current Strength: Default --- C => Location: PIN_B2, I/O Standard: 2.5 V, Current Strength: Default --- D => Location: PIN_B1, I/O Standard: 2.5 V, Current Strength: Default --- I0 => Location: PIN_D2, I/O Standard: 2.5 V, Current Strength: Default --- I9 => Location: PIN_J6, I/O Standard: 2.5 V, Current Strength: Default --- I5 => Location: PIN_G5, I/O Standard: 2.5 V, Current Strength: Default --- I7 => Location: PIN_H6, I/O Standard: 2.5 V, Current Strength: Default --- I1 => Location: PIN_E4, I/O Standard: 2.5 V, Current Strength: Default --- I3 => Location: PIN_H7, I/O Standard: 2.5 V, Current Strength: Default --- I2 => Location: PIN_E3, I/O Standard: 2.5 V, Current Strength: Default --- I6 => Location: PIN_G4, I/O Standard: 2.5 V, Current Strength: Default --- I4 => Location: PIN_J7, I/O Standard: 2.5 V, Current Strength: Default --- I8 => Location: PIN_H5, I/O Standard: 2.5 V, Current Strength: Default - - -ARCHITECTURE structure OF Ten_line_to_four_line_BCD_encoder IS -SIGNAL gnd : std_logic := '0'; -SIGNAL vcc : std_logic := '1'; -SIGNAL unknown : std_logic := 'X'; -SIGNAL devoe : std_logic := '1'; -SIGNAL devclrn : std_logic := '1'; -SIGNAL devpor : std_logic := '1'; -SIGNAL ww_devoe : std_logic; -SIGNAL ww_devclrn : std_logic; -SIGNAL ww_devpor : std_logic; -SIGNAL ww_A : std_logic; -SIGNAL ww_I1 : std_logic; -SIGNAL ww_I5 : std_logic; -SIGNAL ww_I3 : std_logic; -SIGNAL ww_I9 : std_logic; -SIGNAL ww_I7 : std_logic; -SIGNAL ww_B : std_logic; -SIGNAL ww_I2 : std_logic; -SIGNAL ww_I6 : std_logic; -SIGNAL ww_C : std_logic; -SIGNAL ww_I4 : std_logic; -SIGNAL ww_D : std_logic; -SIGNAL ww_I8 : std_logic; -SIGNAL ww_I0 : std_logic; -SIGNAL \I0~input_o\ : std_logic; -SIGNAL \A~output_o\ : std_logic; -SIGNAL \B~output_o\ : std_logic; -SIGNAL \C~output_o\ : std_logic; -SIGNAL \D~output_o\ : std_logic; -SIGNAL \I9~input_o\ : std_logic; -SIGNAL \I1~input_o\ : std_logic; -SIGNAL \I3~input_o\ : std_logic; -SIGNAL \I7~input_o\ : std_logic; -SIGNAL \I5~input_o\ : std_logic; -SIGNAL \or1~0_combout\ : std_logic; -SIGNAL \or1~combout\ : std_logic; -SIGNAL \I6~input_o\ : std_logic; -SIGNAL \I2~input_o\ : std_logic; -SIGNAL \or2~0_combout\ : std_logic; -SIGNAL \I4~input_o\ : std_logic; -SIGNAL \or3~0_combout\ : std_logic; -SIGNAL \I8~input_o\ : std_logic; -SIGNAL \or4~combout\ : std_logic; - -BEGIN - -A <= ww_A; -ww_I1 <= I1; -ww_I5 <= I5; -ww_I3 <= I3; -ww_I9 <= I9; -ww_I7 <= I7; -B <= ww_B; -ww_I2 <= I2; -ww_I6 <= I6; -C <= ww_C; -ww_I4 <= I4; -D <= ww_D; -ww_I8 <= I8; -ww_I0 <= I0; -ww_devoe <= devoe; -ww_devclrn <= devclrn; -ww_devpor <= devpor; - --- Location: IOOBUF_X0_Y26_N23 -\A~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \or1~combout\, - devoe => ww_devoe, - o => \A~output_o\); - --- Location: IOOBUF_X0_Y26_N16 -\B~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \or2~0_combout\, - devoe => ww_devoe, - o => \B~output_o\); - --- Location: IOOBUF_X0_Y27_N9 -\C~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \or3~0_combout\, - devoe => ww_devoe, - o => \C~output_o\); - --- Location: IOOBUF_X0_Y27_N16 -\D~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \or4~combout\, - devoe => ww_devoe, - o => \D~output_o\); - --- Location: IOIBUF_X0_Y24_N1 -\I9~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I9, - o => \I9~input_o\); - --- Location: IOIBUF_X0_Y26_N1 -\I1~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I1, - o => \I1~input_o\); - --- Location: IOIBUF_X0_Y25_N15 -\I3~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I3, - o => \I3~input_o\); - --- Location: IOIBUF_X0_Y25_N22 -\I7~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I7, - o => \I7~input_o\); - --- Location: IOIBUF_X0_Y27_N22 -\I5~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I5, - o => \I5~input_o\); - --- Location: LCCOMB_X1_Y27_N0 -\or1~0\ : cycloneiii_lcell_comb --- Equation(s): --- \or1~0_combout\ = (\I1~input_o\) # ((\I3~input_o\) # ((\I7~input_o\) # (\I5~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "1111111111111110", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \I1~input_o\, - datab => \I3~input_o\, - datac => \I7~input_o\, - datad => \I5~input_o\, - combout => \or1~0_combout\); - --- Location: LCCOMB_X1_Y27_N2 -or1 : cycloneiii_lcell_comb --- Equation(s): --- \or1~combout\ = (\I9~input_o\) # (\or1~0_combout\) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "1111111111110000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - datac => \I9~input_o\, - datad => \or1~0_combout\, - combout => \or1~combout\); - --- Location: IOIBUF_X0_Y23_N8 -\I6~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I6, - o => \I6~input_o\); - --- Location: IOIBUF_X0_Y26_N8 -\I2~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I2, - o => \I2~input_o\); - --- Location: LCCOMB_X1_Y27_N28 -\or2~0\ : cycloneiii_lcell_comb --- Equation(s): --- \or2~0_combout\ = (\I6~input_o\) # ((\I3~input_o\) # ((\I7~input_o\) # (\I2~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "1111111111111110", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \I6~input_o\, - datab => \I3~input_o\, - datac => \I7~input_o\, - datad => \I2~input_o\, - combout => \or2~0_combout\); - --- Location: IOIBUF_X0_Y22_N15 -\I4~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I4, - o => \I4~input_o\); - --- Location: LCCOMB_X1_Y27_N14 -\or3~0\ : cycloneiii_lcell_comb --- Equation(s): --- \or3~0_combout\ = (\I6~input_o\) # ((\I7~input_o\) # ((\I4~input_o\) # (\I5~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "1111111111111110", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \I6~input_o\, - datab => \I7~input_o\, - datac => \I4~input_o\, - datad => \I5~input_o\, - combout => \or3~0_combout\); - --- Location: IOIBUF_X0_Y27_N1 -\I8~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I8, - o => \I8~input_o\); - --- Location: LCCOMB_X1_Y27_N16 -or4 : cycloneiii_lcell_comb --- Equation(s): --- \or4~combout\ = (\I9~input_o\) # (\I8~input_o\) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "1111111111110000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - datac => \I9~input_o\, - datad => \I8~input_o\, - combout => \or4~combout\); - --- Location: IOIBUF_X0_Y25_N1 -\I0~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I0, - o => \I0~input_o\); - -ww_A <= \A~output_o\; - -ww_B <= \B~output_o\; - -ww_C <= \C~output_o\; - -ww_D <= \D~output_o\; -END structure; - - diff --git a/CH6/CH6-1/simulation/modelsim/Ten_line_to_four_line_BCD_encoder_min_1200mv_0c_vhd_fast.sdo b/CH6/CH6-1/simulation/modelsim/Ten_line_to_four_line_BCD_encoder_min_1200mv_0c_vhd_fast.sdo deleted file mode 100644 index 3eecfe76..00000000 --- a/CH6/CH6-1/simulation/modelsim/Ten_line_to_four_line_BCD_encoder_min_1200mv_0c_vhd_fast.sdo +++ /dev/null @@ -1,232 +0,0 @@ -// Copyright (C) 1991-2013 Altera Corporation -// Your use of Altera Corporation's design tools, logic functions -// and other software and tools, and its AMPP partner logic -// functions, and any output files from any of the foregoing -// (including device programming or simulation files), and any -// associated documentation or information are expressly subject -// to the terms and conditions of the Altera Program License -// Subscription Agreement, Altera MegaCore Function License -// Agreement, or other applicable license agreement, including, -// without limitation, that your use is for the sole purpose of -// programming logic devices manufactured by Altera and sold by -// Altera or its authorized distributors. Please refer to the -// applicable agreement for further details. - - -// -// Device: Altera EP3C16F484C6 Package FBGA484 -// - -// -// This file contains Fast Corner delays for the design using part EP3C16F484C6, -// with speed grade M, core voltage 1.2V, and temperature 0 Celsius -// - -// -// This SDF file should be used for ModelSim-Altera (VHDL) only -// - -(DELAYFILE - (SDFVERSION "2.1") - (DESIGN "Ten_line_to_four_line_BCD_encoder") - (DATE "10/01/2019 00:13:13") - (VENDOR "Altera") - (PROGRAM "Quartus II 32-bit") - (VERSION "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition") - (DIVIDER .) - (TIMESCALE 1 ps) - - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\A\~output\\) - (DELAY - (ABSOLUTE - (PORT i (319:319:319) (361:361:361)) - (IOPATH i o (1496:1496:1496) (1480:1480:1480)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\B\~output\\) - (DELAY - (ABSOLUTE - (PORT i (324:324:324) (366:366:366)) - (IOPATH i o (1506:1506:1506) (1490:1490:1490)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\C\~output\\) - (DELAY - (ABSOLUTE - (PORT i (162:162:162) (183:183:183)) - (IOPATH i o (1506:1506:1506) (1490:1490:1490)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\D\~output\\) - (DELAY - (ABSOLUTE - (PORT i (161:161:161) (181:181:181)) - (IOPATH i o (1506:1506:1506) (1490:1490:1490)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\I9\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (381:381:381) (763:763:763)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\I1\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (391:391:391) (773:773:773)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\I3\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (381:381:381) (763:763:763)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\I7\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (381:381:381) (763:763:763)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\I5\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (381:381:381) (763:763:763)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\or1\~0\\) - (DELAY - (ABSOLUTE - (PORT dataa (1573:1573:1573) (1755:1755:1755)) - (PORT datab (1626:1626:1626) (1817:1817:1817)) - (PORT datac (1626:1626:1626) (1805:1805:1805)) - (PORT datad (1455:1455:1455) (1618:1618:1618)) - (IOPATH dataa combout (170:170:170) (163:163:163)) - (IOPATH datab combout (168:168:168) (167:167:167)) - (IOPATH datac combout (119:119:119) (124:124:124)) - (IOPATH datad combout (68:68:68) (63:63:63)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE or1) - (DELAY - (ABSOLUTE - (PORT datac (1611:1611:1611) (1787:1787:1787)) - (PORT datad (90:90:90) (106:106:106)) - (IOPATH datac combout (119:119:119) (124:124:124)) - (IOPATH datad combout (68:68:68) (63:63:63)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\I6\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (391:391:391) (773:773:773)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\I2\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (391:391:391) (773:773:773)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\or2\~0\\) - (DELAY - (ABSOLUTE - (PORT dataa (1657:1657:1657) (1845:1845:1845)) - (PORT datab (1621:1621:1621) (1811:1811:1811)) - (PORT datac (1630:1630:1630) (1810:1810:1810)) - (PORT datad (1574:1574:1574) (1753:1753:1753)) - (IOPATH dataa combout (170:170:170) (163:163:163)) - (IOPATH datab combout (168:168:168) (167:167:167)) - (IOPATH datac combout (119:119:119) (124:124:124)) - (IOPATH datad combout (68:68:68) (63:63:63)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\I4\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (381:381:381) (763:763:763)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\or3\~0\\) - (DELAY - (ABSOLUTE - (PORT dataa (1658:1658:1658) (1848:1848:1848)) - (PORT datab (1643:1643:1643) (1830:1830:1830)) - (PORT datac (1773:1773:1773) (1965:1965:1965)) - (PORT datad (1452:1452:1452) (1614:1614:1614)) - (IOPATH dataa combout (170:170:170) (163:163:163)) - (IOPATH datab combout (168:168:168) (167:167:167)) - (IOPATH datac combout (119:119:119) (124:124:124)) - (IOPATH datad combout (68:68:68) (63:63:63)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\I8\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (381:381:381) (763:763:763)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE or4) - (DELAY - (ABSOLUTE - (PORT datac (1612:1612:1612) (1788:1788:1788)) - (PORT datad (1438:1438:1438) (1596:1596:1596)) - (IOPATH datac combout (119:119:119) (124:124:124)) - (IOPATH datad combout (68:68:68) (63:63:63)) - ) - ) - ) -) diff --git a/CH6/CH6-1/simulation/modelsim/Ten_line_to_four_line_BCD_encoder_modelsim.xrf b/CH6/CH6-1/simulation/modelsim/Ten_line_to_four_line_BCD_encoder_modelsim.xrf deleted file mode 100644 index 7ddbc0cd..00000000 --- a/CH6/CH6-1/simulation/modelsim/Ten_line_to_four_line_BCD_encoder_modelsim.xrf +++ /dev/null @@ -1,22 +0,0 @@ -vendor_name = ModelSim -source_file = 1, /home/timmy/Git/Learn-VHDL/CH6/CH6-1/Ten_line_to_four_line_BCD_encoder.bdf -source_file = 1, /home/timmy/Git/Learn-VHDL/CH6/CH6-1/output_files/Chain1.cdf -source_file = 1, /home/timmy/Git/Learn-VHDL/CH6/CH6-1/db/Ten_line_to_four_line_BCD_encoder.cbx.xml -design_name = Ten_line_to_four_line_BCD_encoder -instance = comp, \A~output\, A~output, Ten_line_to_four_line_BCD_encoder, 1 -instance = comp, \B~output\, B~output, Ten_line_to_four_line_BCD_encoder, 1 -instance = comp, \C~output\, C~output, Ten_line_to_four_line_BCD_encoder, 1 -instance = comp, \D~output\, D~output, Ten_line_to_four_line_BCD_encoder, 1 -instance = comp, \I9~input\, I9~input, Ten_line_to_four_line_BCD_encoder, 1 -instance = comp, \I1~input\, I1~input, Ten_line_to_four_line_BCD_encoder, 1 -instance = comp, \I3~input\, I3~input, Ten_line_to_four_line_BCD_encoder, 1 -instance = comp, \I7~input\, I7~input, Ten_line_to_four_line_BCD_encoder, 1 -instance = comp, \I5~input\, I5~input, Ten_line_to_four_line_BCD_encoder, 1 -instance = comp, \or1~0\, or1~0, Ten_line_to_four_line_BCD_encoder, 1 -instance = comp, \I6~input\, I6~input, Ten_line_to_four_line_BCD_encoder, 1 -instance = comp, \I2~input\, I2~input, Ten_line_to_four_line_BCD_encoder, 1 -instance = comp, \or2~0\, or2~0, Ten_line_to_four_line_BCD_encoder, 1 -instance = comp, \I4~input\, I4~input, Ten_line_to_four_line_BCD_encoder, 1 -instance = comp, \or3~0\, or3~0, Ten_line_to_four_line_BCD_encoder, 1 -instance = comp, \I8~input\, I8~input, Ten_line_to_four_line_BCD_encoder, 1 -instance = comp, \I0~input\, I0~input, Ten_line_to_four_line_BCD_encoder, 1 diff --git a/CH6/CH6-1/simulation/modelsim/Ten_line_to_four_line_BCD_encoder_vhd.sdo b/CH6/CH6-1/simulation/modelsim/Ten_line_to_four_line_BCD_encoder_vhd.sdo deleted file mode 100644 index 2eb593f9..00000000 --- a/CH6/CH6-1/simulation/modelsim/Ten_line_to_four_line_BCD_encoder_vhd.sdo +++ /dev/null @@ -1,232 +0,0 @@ -// Copyright (C) 1991-2013 Altera Corporation -// Your use of Altera Corporation's design tools, logic functions -// and other software and tools, and its AMPP partner logic -// functions, and any output files from any of the foregoing -// (including device programming or simulation files), and any -// associated documentation or information are expressly subject -// to the terms and conditions of the Altera Program License -// Subscription Agreement, Altera MegaCore Function License -// Agreement, or other applicable license agreement, including, -// without limitation, that your use is for the sole purpose of -// programming logic devices manufactured by Altera and sold by -// Altera or its authorized distributors. Please refer to the -// applicable agreement for further details. - - -// -// Device: Altera EP3C16F484C6 Package FBGA484 -// - -// -// This file contains Slow Corner delays for the design using part EP3C16F484C6, -// with speed grade 6, core voltage 1.2V, and temperature 85 Celsius -// - -// -// This SDF file should be used for ModelSim-Altera (VHDL) only -// - -(DELAYFILE - (SDFVERSION "2.1") - (DESIGN "Ten_line_to_four_line_BCD_encoder") - (DATE "10/01/2019 00:13:13") - (VENDOR "Altera") - (PROGRAM "Quartus II 32-bit") - (VERSION "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition") - (DIVIDER .) - (TIMESCALE 1 ps) - - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\A\~output\\) - (DELAY - (ABSOLUTE - (PORT i (601:601:601) (609:609:609)) - (IOPATH i o (2256:2256:2256) (2244:2244:2244)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\B\~output\\) - (DELAY - (ABSOLUTE - (PORT i (607:607:607) (616:616:616)) - (IOPATH i o (2266:2266:2266) (2254:2254:2254)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\C\~output\\) - (DELAY - (ABSOLUTE - (PORT i (324:324:324) (328:328:328)) - (IOPATH i o (2266:2266:2266) (2254:2254:2254)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\D\~output\\) - (DELAY - (ABSOLUTE - (PORT i (321:321:321) (324:324:324)) - (IOPATH i o (2266:2266:2266) (2254:2254:2254)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\I9\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (725:725:725) (886:886:886)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\I1\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (735:735:735) (896:896:896)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\I3\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (725:725:725) (886:886:886)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\I7\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (725:725:725) (886:886:886)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\I5\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (725:725:725) (886:886:886)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\or1\~0\\) - (DELAY - (ABSOLUTE - (PORT dataa (2712:2712:2712) (2966:2966:2966)) - (PORT datab (2776:2776:2776) (3042:3042:3042)) - (PORT datac (2788:2788:2788) (3040:3040:3040)) - (PORT datad (2495:2495:2495) (2745:2745:2745)) - (IOPATH dataa combout (354:354:354) (349:349:349)) - (IOPATH datab combout (355:355:355) (349:349:349)) - (IOPATH datac combout (243:243:243) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE or1) - (DELAY - (ABSOLUTE - (PORT datac (2746:2746:2746) (3018:3018:3018)) - (PORT datad (173:173:173) (199:199:199)) - (IOPATH datac combout (243:243:243) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\I6\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (735:735:735) (896:896:896)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\I2\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (735:735:735) (896:896:896)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\or2\~0\\) - (DELAY - (ABSOLUTE - (PORT dataa (2828:2828:2828) (3112:3112:3112)) - (PORT datab (2771:2771:2771) (3036:3036:3036)) - (PORT datac (2792:2792:2792) (3045:3045:3045)) - (PORT datad (2720:2720:2720) (2958:2958:2958)) - (IOPATH dataa combout (354:354:354) (349:349:349)) - (IOPATH datab combout (355:355:355) (349:349:349)) - (IOPATH datac combout (243:243:243) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\I4\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (725:725:725) (886:886:886)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\or3\~0\\) - (DELAY - (ABSOLUTE - (PORT dataa (2829:2829:2829) (3115:3115:3115)) - (PORT datab (2819:2819:2819) (3076:3076:3076)) - (PORT datac (3013:3013:3013) (3284:3284:3284)) - (PORT datad (2492:2492:2492) (2742:2742:2742)) - (IOPATH dataa combout (354:354:354) (349:349:349)) - (IOPATH datab combout (355:355:355) (349:349:349)) - (IOPATH datac combout (243:243:243) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\I8\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (725:725:725) (886:886:886)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE or4) - (DELAY - (ABSOLUTE - (PORT datac (2746:2746:2746) (3020:3020:3020)) - (PORT datad (2465:2465:2465) (2714:2714:2714)) - (IOPATH datac combout (243:243:243) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) -) diff --git a/CH6/CH6-1/simulation/modelsim/four_line_to_sixteen_line_decimal_decoder.sft b/CH6/CH6-1/simulation/modelsim/four_line_to_sixteen_line_decimal_decoder.sft deleted file mode 100644 index 429d91bc..00000000 --- a/CH6/CH6-1/simulation/modelsim/four_line_to_sixteen_line_decimal_decoder.sft +++ /dev/null @@ -1,6 +0,0 @@ -set tool_name "ModelSim-Altera (VHDL)" -set corner_file_list { - {{"Slow -6 1.2V 85 Model"} {four_line_to_sixteen_line_decimal_decoder_6_1200mv_85c_slow.vho four_line_to_sixteen_line_decimal_decoder_6_1200mv_85c_vhd_slow.sdo}} - {{"Slow -6 1.2V 0 Model"} {four_line_to_sixteen_line_decimal_decoder_6_1200mv_0c_slow.vho four_line_to_sixteen_line_decimal_decoder_6_1200mv_0c_vhd_slow.sdo}} - {{"Fast -M 1.2V 0 Model"} {four_line_to_sixteen_line_decimal_decoder_min_1200mv_0c_fast.vho four_line_to_sixteen_line_decimal_decoder_min_1200mv_0c_vhd_fast.sdo}} -} diff --git a/CH6/CH6-1/simulation/modelsim/four_line_to_sixteen_line_decimal_decoder.vho b/CH6/CH6-1/simulation/modelsim/four_line_to_sixteen_line_decimal_decoder.vho deleted file mode 100644 index f6690217..00000000 --- a/CH6/CH6-1/simulation/modelsim/four_line_to_sixteen_line_decimal_decoder.vho +++ /dev/null @@ -1,748 +0,0 @@ --- Copyright (C) 1991-2013 Altera Corporation --- Your use of Altera Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Altera Program License --- Subscription Agreement, Altera MegaCore Function License --- Agreement, or other applicable license agreement, including, --- without limitation, that your use is for the sole purpose of --- programming logic devices manufactured by Altera and sold by --- Altera or its authorized distributors. Please refer to the --- applicable agreement for further details. - --- VENDOR "Altera" --- PROGRAM "Quartus II 32-bit" --- VERSION "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition" - --- DATE "10/24/2019 22:11:45" - --- --- Device: Altera EP3C16F484C6 Package FBGA484 --- - --- --- This VHDL file should be used for ModelSim-Altera (VHDL) only --- - -LIBRARY CYCLONEIII; -LIBRARY IEEE; -USE CYCLONEIII.CYCLONEIII_COMPONENTS.ALL; -USE IEEE.STD_LOGIC_1164.ALL; - -ENTITY four_line_to_sixteen_line_decimal_decoder IS - PORT ( - Y0 : OUT std_logic; - A : IN std_logic; - B : IN std_logic; - C : IN std_logic; - D : IN std_logic; - Y1 : OUT std_logic; - Y2 : OUT std_logic; - Y3 : OUT std_logic; - Y4 : OUT std_logic; - Y5 : OUT std_logic; - Y6 : OUT std_logic; - Y7 : OUT std_logic; - Y8 : OUT std_logic; - Y9 : OUT std_logic; - Y10 : OUT std_logic; - Y11 : OUT std_logic; - Y12 : OUT std_logic; - Y13 : OUT std_logic; - Y14 : OUT std_logic; - Y15 : OUT std_logic - ); -END four_line_to_sixteen_line_decimal_decoder; - --- Design Ports Information --- Y0 => Location: PIN_L7, I/O Standard: 2.5 V, Current Strength: Default --- Y1 => Location: PIN_J4, I/O Standard: 2.5 V, Current Strength: Default --- Y2 => Location: PIN_P7, I/O Standard: 2.5 V, Current Strength: Default --- Y3 => Location: PIN_W1, I/O Standard: 2.5 V, Current Strength: Default --- Y4 => Location: PIN_T7, I/O Standard: 2.5 V, Current Strength: Default --- Y5 => Location: PIN_V1, I/O Standard: 2.5 V, Current Strength: Default --- Y6 => Location: PIN_R6, I/O Standard: 2.5 V, Current Strength: Default --- Y7 => Location: PIN_R9, I/O Standard: 2.5 V, Current Strength: Default --- Y8 => Location: PIN_M5, I/O Standard: 2.5 V, Current Strength: Default --- Y9 => Location: PIN_M4, I/O Standard: 2.5 V, Current Strength: Default --- Y10 => Location: PIN_P5, I/O Standard: 2.5 V, Current Strength: Default --- Y11 => Location: PIN_Y2, I/O Standard: 2.5 V, Current Strength: Default --- Y12 => Location: PIN_M7, I/O Standard: 2.5 V, Current Strength: Default --- Y13 => Location: PIN_W2, I/O Standard: 2.5 V, Current Strength: Default --- Y14 => Location: PIN_M3, I/O Standard: 2.5 V, Current Strength: Default --- Y15 => Location: PIN_P6, I/O Standard: 2.5 V, Current Strength: Default --- D => Location: PIN_T5, I/O Standard: 2.5 V, Current Strength: Default --- C => Location: PIN_Y1, I/O Standard: 2.5 V, Current Strength: Default --- B => Location: PIN_N7, I/O Standard: 2.5 V, Current Strength: Default --- A => Location: PIN_AB3, I/O Standard: 2.5 V, Current Strength: Default - - -ARCHITECTURE structure OF four_line_to_sixteen_line_decimal_decoder IS -SIGNAL gnd : std_logic := '0'; -SIGNAL vcc : std_logic := '1'; -SIGNAL unknown : std_logic := 'X'; -SIGNAL devoe : std_logic := '1'; -SIGNAL devclrn : std_logic := '1'; -SIGNAL devpor : std_logic := '1'; -SIGNAL ww_devoe : std_logic; -SIGNAL ww_devclrn : std_logic; -SIGNAL ww_devpor : std_logic; -SIGNAL ww_Y0 : std_logic; -SIGNAL ww_A : std_logic; -SIGNAL ww_B : std_logic; -SIGNAL ww_C : std_logic; -SIGNAL ww_D : std_logic; -SIGNAL ww_Y1 : std_logic; -SIGNAL ww_Y2 : std_logic; -SIGNAL ww_Y3 : std_logic; -SIGNAL ww_Y4 : std_logic; -SIGNAL ww_Y5 : std_logic; -SIGNAL ww_Y6 : std_logic; -SIGNAL ww_Y7 : std_logic; -SIGNAL ww_Y8 : std_logic; -SIGNAL ww_Y9 : std_logic; -SIGNAL ww_Y10 : std_logic; -SIGNAL ww_Y11 : std_logic; -SIGNAL ww_Y12 : std_logic; -SIGNAL ww_Y13 : std_logic; -SIGNAL ww_Y14 : std_logic; -SIGNAL ww_Y15 : std_logic; -SIGNAL \Y0~output_o\ : std_logic; -SIGNAL \Y1~output_o\ : std_logic; -SIGNAL \Y2~output_o\ : std_logic; -SIGNAL \Y3~output_o\ : std_logic; -SIGNAL \Y4~output_o\ : std_logic; -SIGNAL \Y5~output_o\ : std_logic; -SIGNAL \Y6~output_o\ : std_logic; -SIGNAL \Y7~output_o\ : std_logic; -SIGNAL \Y8~output_o\ : std_logic; -SIGNAL \Y9~output_o\ : std_logic; -SIGNAL \Y10~output_o\ : std_logic; -SIGNAL \Y11~output_o\ : std_logic; -SIGNAL \Y12~output_o\ : std_logic; -SIGNAL \Y13~output_o\ : std_logic; -SIGNAL \Y14~output_o\ : std_logic; -SIGNAL \Y15~output_o\ : std_logic; -SIGNAL \C~input_o\ : std_logic; -SIGNAL \B~input_o\ : std_logic; -SIGNAL \D~input_o\ : std_logic; -SIGNAL \A~input_o\ : std_logic; -SIGNAL \inst|15~0_combout\ : std_logic; -SIGNAL \inst|15~1_combout\ : std_logic; -SIGNAL \inst|15~2_combout\ : std_logic; -SIGNAL \inst|15~3_combout\ : std_logic; -SIGNAL \inst|15~4_combout\ : std_logic; -SIGNAL \inst|15~5_combout\ : std_logic; -SIGNAL \inst|15~6_combout\ : std_logic; -SIGNAL \inst|15~7_combout\ : std_logic; -SIGNAL \inst|15~8_combout\ : std_logic; -SIGNAL \inst|15~9_combout\ : std_logic; -SIGNAL \inst|15~10_combout\ : std_logic; -SIGNAL \inst|15~11_combout\ : std_logic; -SIGNAL \inst|15~12_combout\ : std_logic; -SIGNAL \inst|15~13_combout\ : std_logic; -SIGNAL \inst|15~14_combout\ : std_logic; -SIGNAL \inst|15~15_combout\ : std_logic; -SIGNAL \inst|ALT_INV_15~15_combout\ : std_logic; -SIGNAL \inst|ALT_INV_15~14_combout\ : std_logic; -SIGNAL \inst|ALT_INV_15~13_combout\ : std_logic; -SIGNAL \inst|ALT_INV_15~12_combout\ : std_logic; -SIGNAL \inst|ALT_INV_15~11_combout\ : std_logic; -SIGNAL \inst|ALT_INV_15~10_combout\ : std_logic; -SIGNAL \inst|ALT_INV_15~9_combout\ : std_logic; -SIGNAL \inst|ALT_INV_15~8_combout\ : std_logic; -SIGNAL \inst|ALT_INV_15~7_combout\ : std_logic; -SIGNAL \inst|ALT_INV_15~6_combout\ : std_logic; -SIGNAL \inst|ALT_INV_15~5_combout\ : std_logic; -SIGNAL \inst|ALT_INV_15~4_combout\ : std_logic; -SIGNAL \inst|ALT_INV_15~3_combout\ : std_logic; -SIGNAL \inst|ALT_INV_15~2_combout\ : std_logic; -SIGNAL \inst|ALT_INV_15~1_combout\ : std_logic; -SIGNAL \inst|ALT_INV_15~0_combout\ : std_logic; - -BEGIN - -Y0 <= ww_Y0; -ww_A <= A; -ww_B <= B; -ww_C <= C; -ww_D <= D; -Y1 <= ww_Y1; -Y2 <= ww_Y2; -Y3 <= ww_Y3; -Y4 <= ww_Y4; -Y5 <= ww_Y5; -Y6 <= ww_Y6; -Y7 <= ww_Y7; -Y8 <= ww_Y8; -Y9 <= ww_Y9; -Y10 <= ww_Y10; -Y11 <= ww_Y11; -Y12 <= ww_Y12; -Y13 <= ww_Y13; -Y14 <= ww_Y14; -Y15 <= ww_Y15; -ww_devoe <= devoe; -ww_devclrn <= devclrn; -ww_devpor <= devpor; -\inst|ALT_INV_15~15_combout\ <= NOT \inst|15~15_combout\; -\inst|ALT_INV_15~14_combout\ <= NOT \inst|15~14_combout\; -\inst|ALT_INV_15~13_combout\ <= NOT \inst|15~13_combout\; -\inst|ALT_INV_15~12_combout\ <= NOT \inst|15~12_combout\; -\inst|ALT_INV_15~11_combout\ <= NOT \inst|15~11_combout\; -\inst|ALT_INV_15~10_combout\ <= NOT \inst|15~10_combout\; -\inst|ALT_INV_15~9_combout\ <= NOT \inst|15~9_combout\; -\inst|ALT_INV_15~8_combout\ <= NOT \inst|15~8_combout\; -\inst|ALT_INV_15~7_combout\ <= NOT \inst|15~7_combout\; -\inst|ALT_INV_15~6_combout\ <= NOT \inst|15~6_combout\; -\inst|ALT_INV_15~5_combout\ <= NOT \inst|15~5_combout\; -\inst|ALT_INV_15~4_combout\ <= NOT \inst|15~4_combout\; -\inst|ALT_INV_15~3_combout\ <= NOT \inst|15~3_combout\; -\inst|ALT_INV_15~2_combout\ <= NOT \inst|15~2_combout\; -\inst|ALT_INV_15~1_combout\ <= NOT \inst|15~1_combout\; -\inst|ALT_INV_15~0_combout\ <= NOT \inst|15~0_combout\; - --- Location: IOOBUF_X0_Y11_N2 -\Y0~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_15~0_combout\, - devoe => ww_devoe, - o => \Y0~output_o\); - --- Location: IOOBUF_X0_Y21_N2 -\Y1~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_15~1_combout\, - devoe => ww_devoe, - o => \Y1~output_o\); - --- Location: IOOBUF_X0_Y5_N2 -\Y2~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_15~2_combout\, - devoe => ww_devoe, - o => \Y2~output_o\); - --- Location: IOOBUF_X0_Y7_N23 -\Y3~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_15~3_combout\, - devoe => ww_devoe, - o => \Y3~output_o\); - --- Location: IOOBUF_X0_Y2_N9 -\Y4~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_15~4_combout\, - devoe => ww_devoe, - o => \Y4~output_o\); - --- Location: IOOBUF_X0_Y8_N2 -\Y5~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_15~5_combout\, - devoe => ww_devoe, - o => \Y5~output_o\); - --- Location: IOOBUF_X0_Y3_N9 -\Y6~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_15~6_combout\, - devoe => ww_devoe, - o => \Y6~output_o\); - --- Location: IOOBUF_X1_Y0_N30 -\Y7~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_15~7_combout\, - devoe => ww_devoe, - o => \Y7~output_o\); - --- Location: IOOBUF_X0_Y11_N9 -\Y8~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_15~8_combout\, - devoe => ww_devoe, - o => \Y8~output_o\); - --- Location: IOOBUF_X0_Y12_N2 -\Y9~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_15~9_combout\, - devoe => ww_devoe, - o => \Y9~output_o\); - --- Location: IOOBUF_X0_Y8_N9 -\Y10~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_15~10_combout\, - devoe => ww_devoe, - o => \Y10~output_o\); - --- Location: IOOBUF_X0_Y6_N2 -\Y11~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_15~11_combout\, - devoe => ww_devoe, - o => \Y11~output_o\); - --- Location: IOOBUF_X0_Y8_N23 -\Y12~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_15~12_combout\, - devoe => ww_devoe, - o => \Y12~output_o\); - --- Location: IOOBUF_X0_Y7_N16 -\Y13~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_15~13_combout\, - devoe => ww_devoe, - o => \Y13~output_o\); - --- Location: IOOBUF_X0_Y12_N9 -\Y14~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_15~14_combout\, - devoe => ww_devoe, - o => \Y14~output_o\); - --- Location: IOOBUF_X0_Y4_N9 -\Y15~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_15~15_combout\, - devoe => ww_devoe, - o => \Y15~output_o\); - --- Location: IOIBUF_X0_Y6_N8 -\C~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_C, - o => \C~input_o\); - --- Location: IOIBUF_X0_Y6_N22 -\B~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_B, - o => \B~input_o\); - --- Location: IOIBUF_X0_Y3_N1 -\D~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_D, - o => \D~input_o\); - --- Location: IOIBUF_X7_Y0_N29 -\A~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_A, - o => \A~input_o\); - --- Location: LCCOMB_X1_Y9_N24 -\inst|15~0\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|15~0_combout\ = (!\C~input_o\ & (!\B~input_o\ & (!\D~input_o\ & !\A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000000001", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datab => \B~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst|15~0_combout\); - --- Location: LCCOMB_X1_Y9_N2 -\inst|15~1\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|15~1_combout\ = (!\C~input_o\ & (!\B~input_o\ & (!\D~input_o\ & \A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000100000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datab => \B~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst|15~1_combout\); - --- Location: LCCOMB_X1_Y9_N4 -\inst|15~2\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|15~2_combout\ = (!\C~input_o\ & (\B~input_o\ & (!\D~input_o\ & !\A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000000100", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datab => \B~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst|15~2_combout\); - --- Location: LCCOMB_X1_Y9_N22 -\inst|15~3\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|15~3_combout\ = (!\C~input_o\ & (\B~input_o\ & (!\D~input_o\ & \A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000010000000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datab => \B~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst|15~3_combout\); - --- Location: LCCOMB_X1_Y9_N16 -\inst|15~4\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|15~4_combout\ = (\C~input_o\ & (!\B~input_o\ & (!\D~input_o\ & !\A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000000010", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datab => \B~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst|15~4_combout\); - --- Location: LCCOMB_X1_Y9_N26 -\inst|15~5\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|15~5_combout\ = (\C~input_o\ & (!\B~input_o\ & (!\D~input_o\ & \A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000001000000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datab => \B~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst|15~5_combout\); - --- Location: LCCOMB_X1_Y9_N28 -\inst|15~6\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|15~6_combout\ = (\C~input_o\ & (\B~input_o\ & (!\D~input_o\ & !\A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000001000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datab => \B~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst|15~6_combout\); - --- Location: LCCOMB_X1_Y9_N6 -\inst|15~7\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|15~7_combout\ = (\C~input_o\ & (\B~input_o\ & (!\D~input_o\ & \A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000100000000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datab => \B~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst|15~7_combout\); - --- Location: LCCOMB_X1_Y9_N0 -\inst|15~8\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|15~8_combout\ = (!\C~input_o\ & (!\B~input_o\ & (\D~input_o\ & !\A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000010000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datab => \B~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst|15~8_combout\); - --- Location: LCCOMB_X1_Y9_N10 -\inst|15~9\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|15~9_combout\ = (!\C~input_o\ & (!\B~input_o\ & (\D~input_o\ & \A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0001000000000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datab => \B~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst|15~9_combout\); - --- Location: LCCOMB_X1_Y9_N20 -\inst|15~10\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|15~10_combout\ = (!\C~input_o\ & (\B~input_o\ & (\D~input_o\ & !\A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000001000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datab => \B~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst|15~10_combout\); - --- Location: LCCOMB_X1_Y9_N14 -\inst|15~11\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|15~11_combout\ = (!\C~input_o\ & (\B~input_o\ & (\D~input_o\ & \A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0100000000000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datab => \B~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst|15~11_combout\); - --- Location: LCCOMB_X1_Y9_N8 -\inst|15~12\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|15~12_combout\ = (\C~input_o\ & (!\B~input_o\ & (\D~input_o\ & !\A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000100000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datab => \B~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst|15~12_combout\); - --- Location: LCCOMB_X1_Y9_N18 -\inst|15~13\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|15~13_combout\ = (\C~input_o\ & (!\B~input_o\ & (\D~input_o\ & \A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0010000000000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datab => \B~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst|15~13_combout\); - --- Location: LCCOMB_X1_Y9_N12 -\inst|15~14\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|15~14_combout\ = (\C~input_o\ & (\B~input_o\ & (\D~input_o\ & !\A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000010000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datab => \B~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst|15~14_combout\); - --- Location: LCCOMB_X1_Y9_N30 -\inst|15~15\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|15~15_combout\ = (\C~input_o\ & (\B~input_o\ & (\D~input_o\ & \A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "1000000000000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datab => \B~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst|15~15_combout\); - -ww_Y0 <= \Y0~output_o\; - -ww_Y1 <= \Y1~output_o\; - -ww_Y2 <= \Y2~output_o\; - -ww_Y3 <= \Y3~output_o\; - -ww_Y4 <= \Y4~output_o\; - -ww_Y5 <= \Y5~output_o\; - -ww_Y6 <= \Y6~output_o\; - -ww_Y7 <= \Y7~output_o\; - -ww_Y8 <= \Y8~output_o\; - -ww_Y9 <= \Y9~output_o\; - -ww_Y10 <= \Y10~output_o\; - -ww_Y11 <= \Y11~output_o\; - -ww_Y12 <= \Y12~output_o\; - -ww_Y13 <= \Y13~output_o\; - -ww_Y14 <= \Y14~output_o\; - -ww_Y15 <= \Y15~output_o\; -END structure; - - diff --git a/CH6/CH6-1/simulation/modelsim/four_line_to_sixteen_line_decimal_decoder_6_1200mv_0c_slow.vho b/CH6/CH6-1/simulation/modelsim/four_line_to_sixteen_line_decimal_decoder_6_1200mv_0c_slow.vho deleted file mode 100644 index f6690217..00000000 --- a/CH6/CH6-1/simulation/modelsim/four_line_to_sixteen_line_decimal_decoder_6_1200mv_0c_slow.vho +++ /dev/null @@ -1,748 +0,0 @@ --- Copyright (C) 1991-2013 Altera Corporation --- Your use of Altera Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Altera Program License --- Subscription Agreement, Altera MegaCore Function License --- Agreement, or other applicable license agreement, including, --- without limitation, that your use is for the sole purpose of --- programming logic devices manufactured by Altera and sold by --- Altera or its authorized distributors. Please refer to the --- applicable agreement for further details. - --- VENDOR "Altera" --- PROGRAM "Quartus II 32-bit" --- VERSION "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition" - --- DATE "10/24/2019 22:11:45" - --- --- Device: Altera EP3C16F484C6 Package FBGA484 --- - --- --- This VHDL file should be used for ModelSim-Altera (VHDL) only --- - -LIBRARY CYCLONEIII; -LIBRARY IEEE; -USE CYCLONEIII.CYCLONEIII_COMPONENTS.ALL; -USE IEEE.STD_LOGIC_1164.ALL; - -ENTITY four_line_to_sixteen_line_decimal_decoder IS - PORT ( - Y0 : OUT std_logic; - A : IN std_logic; - B : IN std_logic; - C : IN std_logic; - D : IN std_logic; - Y1 : OUT std_logic; - Y2 : OUT std_logic; - Y3 : OUT std_logic; - Y4 : OUT std_logic; - Y5 : OUT std_logic; - Y6 : OUT std_logic; - Y7 : OUT std_logic; - Y8 : OUT std_logic; - Y9 : OUT std_logic; - Y10 : OUT std_logic; - Y11 : OUT std_logic; - Y12 : OUT std_logic; - Y13 : OUT std_logic; - Y14 : OUT std_logic; - Y15 : OUT std_logic - ); -END four_line_to_sixteen_line_decimal_decoder; - --- Design Ports Information --- Y0 => Location: PIN_L7, I/O Standard: 2.5 V, Current Strength: Default --- Y1 => Location: PIN_J4, I/O Standard: 2.5 V, Current Strength: Default --- Y2 => Location: PIN_P7, I/O Standard: 2.5 V, Current Strength: Default --- Y3 => Location: PIN_W1, I/O Standard: 2.5 V, Current Strength: Default --- Y4 => Location: PIN_T7, I/O Standard: 2.5 V, Current Strength: Default --- Y5 => Location: PIN_V1, I/O Standard: 2.5 V, Current Strength: Default --- Y6 => Location: PIN_R6, I/O Standard: 2.5 V, Current Strength: Default --- Y7 => Location: PIN_R9, I/O Standard: 2.5 V, Current Strength: Default --- Y8 => Location: PIN_M5, I/O Standard: 2.5 V, Current Strength: Default --- Y9 => Location: PIN_M4, I/O Standard: 2.5 V, Current Strength: Default --- Y10 => Location: PIN_P5, I/O Standard: 2.5 V, Current Strength: Default --- Y11 => Location: PIN_Y2, I/O Standard: 2.5 V, Current Strength: Default --- Y12 => Location: PIN_M7, I/O Standard: 2.5 V, Current Strength: Default --- Y13 => Location: PIN_W2, I/O Standard: 2.5 V, Current Strength: Default --- Y14 => Location: PIN_M3, I/O Standard: 2.5 V, Current Strength: Default --- Y15 => Location: PIN_P6, I/O Standard: 2.5 V, Current Strength: Default --- D => Location: PIN_T5, I/O Standard: 2.5 V, Current Strength: Default --- C => Location: PIN_Y1, I/O Standard: 2.5 V, Current Strength: Default --- B => Location: PIN_N7, I/O Standard: 2.5 V, Current Strength: Default --- A => Location: PIN_AB3, I/O Standard: 2.5 V, Current Strength: Default - - -ARCHITECTURE structure OF four_line_to_sixteen_line_decimal_decoder IS -SIGNAL gnd : std_logic := '0'; -SIGNAL vcc : std_logic := '1'; -SIGNAL unknown : std_logic := 'X'; -SIGNAL devoe : std_logic := '1'; -SIGNAL devclrn : std_logic := '1'; -SIGNAL devpor : std_logic := '1'; -SIGNAL ww_devoe : std_logic; -SIGNAL ww_devclrn : std_logic; -SIGNAL ww_devpor : std_logic; -SIGNAL ww_Y0 : std_logic; -SIGNAL ww_A : std_logic; -SIGNAL ww_B : std_logic; -SIGNAL ww_C : std_logic; -SIGNAL ww_D : std_logic; -SIGNAL ww_Y1 : std_logic; -SIGNAL ww_Y2 : std_logic; -SIGNAL ww_Y3 : std_logic; -SIGNAL ww_Y4 : std_logic; -SIGNAL ww_Y5 : std_logic; -SIGNAL ww_Y6 : std_logic; -SIGNAL ww_Y7 : std_logic; -SIGNAL ww_Y8 : std_logic; -SIGNAL ww_Y9 : std_logic; -SIGNAL ww_Y10 : std_logic; -SIGNAL ww_Y11 : std_logic; -SIGNAL ww_Y12 : std_logic; -SIGNAL ww_Y13 : std_logic; -SIGNAL ww_Y14 : std_logic; -SIGNAL ww_Y15 : std_logic; -SIGNAL \Y0~output_o\ : std_logic; -SIGNAL \Y1~output_o\ : std_logic; -SIGNAL \Y2~output_o\ : std_logic; -SIGNAL \Y3~output_o\ : std_logic; -SIGNAL \Y4~output_o\ : std_logic; -SIGNAL \Y5~output_o\ : std_logic; -SIGNAL \Y6~output_o\ : std_logic; -SIGNAL \Y7~output_o\ : std_logic; -SIGNAL \Y8~output_o\ : std_logic; -SIGNAL \Y9~output_o\ : std_logic; -SIGNAL \Y10~output_o\ : std_logic; -SIGNAL \Y11~output_o\ : std_logic; -SIGNAL \Y12~output_o\ : std_logic; -SIGNAL \Y13~output_o\ : std_logic; -SIGNAL \Y14~output_o\ : std_logic; -SIGNAL \Y15~output_o\ : std_logic; -SIGNAL \C~input_o\ : std_logic; -SIGNAL \B~input_o\ : std_logic; -SIGNAL \D~input_o\ : std_logic; -SIGNAL \A~input_o\ : std_logic; -SIGNAL \inst|15~0_combout\ : std_logic; -SIGNAL \inst|15~1_combout\ : std_logic; -SIGNAL \inst|15~2_combout\ : std_logic; -SIGNAL \inst|15~3_combout\ : std_logic; -SIGNAL \inst|15~4_combout\ : std_logic; -SIGNAL \inst|15~5_combout\ : std_logic; -SIGNAL \inst|15~6_combout\ : std_logic; -SIGNAL \inst|15~7_combout\ : std_logic; -SIGNAL \inst|15~8_combout\ : std_logic; -SIGNAL \inst|15~9_combout\ : std_logic; -SIGNAL \inst|15~10_combout\ : std_logic; -SIGNAL \inst|15~11_combout\ : std_logic; -SIGNAL \inst|15~12_combout\ : std_logic; -SIGNAL \inst|15~13_combout\ : std_logic; -SIGNAL \inst|15~14_combout\ : std_logic; -SIGNAL \inst|15~15_combout\ : std_logic; -SIGNAL \inst|ALT_INV_15~15_combout\ : std_logic; -SIGNAL \inst|ALT_INV_15~14_combout\ : std_logic; -SIGNAL \inst|ALT_INV_15~13_combout\ : std_logic; -SIGNAL \inst|ALT_INV_15~12_combout\ : std_logic; -SIGNAL \inst|ALT_INV_15~11_combout\ : std_logic; -SIGNAL \inst|ALT_INV_15~10_combout\ : std_logic; -SIGNAL \inst|ALT_INV_15~9_combout\ : std_logic; -SIGNAL \inst|ALT_INV_15~8_combout\ : std_logic; -SIGNAL \inst|ALT_INV_15~7_combout\ : std_logic; -SIGNAL \inst|ALT_INV_15~6_combout\ : std_logic; -SIGNAL \inst|ALT_INV_15~5_combout\ : std_logic; -SIGNAL \inst|ALT_INV_15~4_combout\ : std_logic; -SIGNAL \inst|ALT_INV_15~3_combout\ : std_logic; -SIGNAL \inst|ALT_INV_15~2_combout\ : std_logic; -SIGNAL \inst|ALT_INV_15~1_combout\ : std_logic; -SIGNAL \inst|ALT_INV_15~0_combout\ : std_logic; - -BEGIN - -Y0 <= ww_Y0; -ww_A <= A; -ww_B <= B; -ww_C <= C; -ww_D <= D; -Y1 <= ww_Y1; -Y2 <= ww_Y2; -Y3 <= ww_Y3; -Y4 <= ww_Y4; -Y5 <= ww_Y5; -Y6 <= ww_Y6; -Y7 <= ww_Y7; -Y8 <= ww_Y8; -Y9 <= ww_Y9; -Y10 <= ww_Y10; -Y11 <= ww_Y11; -Y12 <= ww_Y12; -Y13 <= ww_Y13; -Y14 <= ww_Y14; -Y15 <= ww_Y15; -ww_devoe <= devoe; -ww_devclrn <= devclrn; -ww_devpor <= devpor; -\inst|ALT_INV_15~15_combout\ <= NOT \inst|15~15_combout\; -\inst|ALT_INV_15~14_combout\ <= NOT \inst|15~14_combout\; -\inst|ALT_INV_15~13_combout\ <= NOT \inst|15~13_combout\; -\inst|ALT_INV_15~12_combout\ <= NOT \inst|15~12_combout\; -\inst|ALT_INV_15~11_combout\ <= NOT \inst|15~11_combout\; -\inst|ALT_INV_15~10_combout\ <= NOT \inst|15~10_combout\; -\inst|ALT_INV_15~9_combout\ <= NOT \inst|15~9_combout\; -\inst|ALT_INV_15~8_combout\ <= NOT \inst|15~8_combout\; -\inst|ALT_INV_15~7_combout\ <= NOT \inst|15~7_combout\; -\inst|ALT_INV_15~6_combout\ <= NOT \inst|15~6_combout\; -\inst|ALT_INV_15~5_combout\ <= NOT \inst|15~5_combout\; -\inst|ALT_INV_15~4_combout\ <= NOT \inst|15~4_combout\; -\inst|ALT_INV_15~3_combout\ <= NOT \inst|15~3_combout\; -\inst|ALT_INV_15~2_combout\ <= NOT \inst|15~2_combout\; -\inst|ALT_INV_15~1_combout\ <= NOT \inst|15~1_combout\; -\inst|ALT_INV_15~0_combout\ <= NOT \inst|15~0_combout\; - --- Location: IOOBUF_X0_Y11_N2 -\Y0~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_15~0_combout\, - devoe => ww_devoe, - o => \Y0~output_o\); - --- Location: IOOBUF_X0_Y21_N2 -\Y1~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_15~1_combout\, - devoe => ww_devoe, - o => \Y1~output_o\); - --- Location: IOOBUF_X0_Y5_N2 -\Y2~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_15~2_combout\, - devoe => ww_devoe, - o => \Y2~output_o\); - --- Location: IOOBUF_X0_Y7_N23 -\Y3~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_15~3_combout\, - devoe => ww_devoe, - o => \Y3~output_o\); - --- Location: IOOBUF_X0_Y2_N9 -\Y4~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_15~4_combout\, - devoe => ww_devoe, - o => \Y4~output_o\); - --- Location: IOOBUF_X0_Y8_N2 -\Y5~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_15~5_combout\, - devoe => ww_devoe, - o => \Y5~output_o\); - --- Location: IOOBUF_X0_Y3_N9 -\Y6~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_15~6_combout\, - devoe => ww_devoe, - o => \Y6~output_o\); - --- Location: IOOBUF_X1_Y0_N30 -\Y7~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_15~7_combout\, - devoe => ww_devoe, - o => \Y7~output_o\); - --- Location: IOOBUF_X0_Y11_N9 -\Y8~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_15~8_combout\, - devoe => ww_devoe, - o => \Y8~output_o\); - --- Location: IOOBUF_X0_Y12_N2 -\Y9~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_15~9_combout\, - devoe => ww_devoe, - o => \Y9~output_o\); - --- Location: IOOBUF_X0_Y8_N9 -\Y10~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_15~10_combout\, - devoe => ww_devoe, - o => \Y10~output_o\); - --- Location: IOOBUF_X0_Y6_N2 -\Y11~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_15~11_combout\, - devoe => ww_devoe, - o => \Y11~output_o\); - --- Location: IOOBUF_X0_Y8_N23 -\Y12~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_15~12_combout\, - devoe => ww_devoe, - o => \Y12~output_o\); - --- Location: IOOBUF_X0_Y7_N16 -\Y13~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_15~13_combout\, - devoe => ww_devoe, - o => \Y13~output_o\); - --- Location: IOOBUF_X0_Y12_N9 -\Y14~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_15~14_combout\, - devoe => ww_devoe, - o => \Y14~output_o\); - --- Location: IOOBUF_X0_Y4_N9 -\Y15~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_15~15_combout\, - devoe => ww_devoe, - o => \Y15~output_o\); - --- Location: IOIBUF_X0_Y6_N8 -\C~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_C, - o => \C~input_o\); - --- Location: IOIBUF_X0_Y6_N22 -\B~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_B, - o => \B~input_o\); - --- Location: IOIBUF_X0_Y3_N1 -\D~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_D, - o => \D~input_o\); - --- Location: IOIBUF_X7_Y0_N29 -\A~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_A, - o => \A~input_o\); - --- Location: LCCOMB_X1_Y9_N24 -\inst|15~0\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|15~0_combout\ = (!\C~input_o\ & (!\B~input_o\ & (!\D~input_o\ & !\A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000000001", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datab => \B~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst|15~0_combout\); - --- Location: LCCOMB_X1_Y9_N2 -\inst|15~1\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|15~1_combout\ = (!\C~input_o\ & (!\B~input_o\ & (!\D~input_o\ & \A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000100000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datab => \B~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst|15~1_combout\); - --- Location: LCCOMB_X1_Y9_N4 -\inst|15~2\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|15~2_combout\ = (!\C~input_o\ & (\B~input_o\ & (!\D~input_o\ & !\A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000000100", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datab => \B~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst|15~2_combout\); - --- Location: LCCOMB_X1_Y9_N22 -\inst|15~3\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|15~3_combout\ = (!\C~input_o\ & (\B~input_o\ & (!\D~input_o\ & \A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000010000000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datab => \B~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst|15~3_combout\); - --- Location: LCCOMB_X1_Y9_N16 -\inst|15~4\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|15~4_combout\ = (\C~input_o\ & (!\B~input_o\ & (!\D~input_o\ & !\A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000000010", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datab => \B~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst|15~4_combout\); - --- Location: LCCOMB_X1_Y9_N26 -\inst|15~5\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|15~5_combout\ = (\C~input_o\ & (!\B~input_o\ & (!\D~input_o\ & \A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000001000000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datab => \B~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst|15~5_combout\); - --- Location: LCCOMB_X1_Y9_N28 -\inst|15~6\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|15~6_combout\ = (\C~input_o\ & (\B~input_o\ & (!\D~input_o\ & !\A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000001000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datab => \B~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst|15~6_combout\); - --- Location: LCCOMB_X1_Y9_N6 -\inst|15~7\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|15~7_combout\ = (\C~input_o\ & (\B~input_o\ & (!\D~input_o\ & \A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000100000000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datab => \B~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst|15~7_combout\); - --- Location: LCCOMB_X1_Y9_N0 -\inst|15~8\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|15~8_combout\ = (!\C~input_o\ & (!\B~input_o\ & (\D~input_o\ & !\A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000010000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datab => \B~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst|15~8_combout\); - --- Location: LCCOMB_X1_Y9_N10 -\inst|15~9\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|15~9_combout\ = (!\C~input_o\ & (!\B~input_o\ & (\D~input_o\ & \A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0001000000000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datab => \B~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst|15~9_combout\); - --- Location: LCCOMB_X1_Y9_N20 -\inst|15~10\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|15~10_combout\ = (!\C~input_o\ & (\B~input_o\ & (\D~input_o\ & !\A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000001000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datab => \B~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst|15~10_combout\); - --- Location: LCCOMB_X1_Y9_N14 -\inst|15~11\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|15~11_combout\ = (!\C~input_o\ & (\B~input_o\ & (\D~input_o\ & \A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0100000000000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datab => \B~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst|15~11_combout\); - --- Location: LCCOMB_X1_Y9_N8 -\inst|15~12\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|15~12_combout\ = (\C~input_o\ & (!\B~input_o\ & (\D~input_o\ & !\A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000100000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datab => \B~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst|15~12_combout\); - --- Location: LCCOMB_X1_Y9_N18 -\inst|15~13\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|15~13_combout\ = (\C~input_o\ & (!\B~input_o\ & (\D~input_o\ & \A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0010000000000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datab => \B~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst|15~13_combout\); - --- Location: LCCOMB_X1_Y9_N12 -\inst|15~14\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|15~14_combout\ = (\C~input_o\ & (\B~input_o\ & (\D~input_o\ & !\A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000010000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datab => \B~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst|15~14_combout\); - --- Location: LCCOMB_X1_Y9_N30 -\inst|15~15\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|15~15_combout\ = (\C~input_o\ & (\B~input_o\ & (\D~input_o\ & \A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "1000000000000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datab => \B~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst|15~15_combout\); - -ww_Y0 <= \Y0~output_o\; - -ww_Y1 <= \Y1~output_o\; - -ww_Y2 <= \Y2~output_o\; - -ww_Y3 <= \Y3~output_o\; - -ww_Y4 <= \Y4~output_o\; - -ww_Y5 <= \Y5~output_o\; - -ww_Y6 <= \Y6~output_o\; - -ww_Y7 <= \Y7~output_o\; - -ww_Y8 <= \Y8~output_o\; - -ww_Y9 <= \Y9~output_o\; - -ww_Y10 <= \Y10~output_o\; - -ww_Y11 <= \Y11~output_o\; - -ww_Y12 <= \Y12~output_o\; - -ww_Y13 <= \Y13~output_o\; - -ww_Y14 <= \Y14~output_o\; - -ww_Y15 <= \Y15~output_o\; -END structure; - - diff --git a/CH6/CH6-1/simulation/modelsim/four_line_to_sixteen_line_decimal_decoder_6_1200mv_0c_vhd_slow.sdo b/CH6/CH6-1/simulation/modelsim/four_line_to_sixteen_line_decimal_decoder_6_1200mv_0c_vhd_slow.sdo deleted file mode 100644 index 042e812d..00000000 --- a/CH6/CH6-1/simulation/modelsim/four_line_to_sixteen_line_decimal_decoder_6_1200mv_0c_vhd_slow.sdo +++ /dev/null @@ -1,491 +0,0 @@ -// Copyright (C) 1991-2013 Altera Corporation -// Your use of Altera Corporation's design tools, logic functions -// and other software and tools, and its AMPP partner logic -// functions, and any output files from any of the foregoing -// (including device programming or simulation files), and any -// associated documentation or information are expressly subject -// to the terms and conditions of the Altera Program License -// Subscription Agreement, Altera MegaCore Function License -// Agreement, or other applicable license agreement, including, -// without limitation, that your use is for the sole purpose of -// programming logic devices manufactured by Altera and sold by -// Altera or its authorized distributors. Please refer to the -// applicable agreement for further details. - - -// -// Device: Altera EP3C16F484C6 Package FBGA484 -// - -// -// This file contains Slow Corner delays for the design using part EP3C16F484C6, -// with speed grade 6, core voltage 1.2V, and temperature 0 Celsius -// - -// -// This SDF file should be used for ModelSim-Altera (VHDL) only -// - -(DELAYFILE - (SDFVERSION "2.1") - (DESIGN "four_line_to_sixteen_line_decimal_decoder") - (DATE "10/24/2019 22:11:45") - (VENDOR "Altera") - (PROGRAM "Quartus II 32-bit") - (VERSION "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition") - (DIVIDER .) - (TIMESCALE 1 ps) - - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y0\~output\\) - (DELAY - (ABSOLUTE - (PORT i (550:550:550) (547:547:547)) - (IOPATH i o (2095:2095:2095) (2137:2137:2137)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y1\~output\\) - (DELAY - (ABSOLUTE - (PORT i (918:918:918) (913:913:913)) - (IOPATH i o (2095:2095:2095) (2137:2137:2137)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y2\~output\\) - (DELAY - (ABSOLUTE - (PORT i (555:555:555) (554:554:554)) - (IOPATH i o (2204:2204:2204) (2216:2216:2216)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y3\~output\\) - (DELAY - (ABSOLUTE - (PORT i (555:555:555) (555:555:555)) - (IOPATH i o (2244:2244:2244) (2256:2256:2256)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y4\~output\\) - (DELAY - (ABSOLUTE - (PORT i (823:823:823) (822:822:822)) - (IOPATH i o (2204:2204:2204) (2216:2216:2216)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y5\~output\\) - (DELAY - (ABSOLUTE - (PORT i (553:553:553) (560:560:560)) - (IOPATH i o (2244:2244:2244) (2256:2256:2256)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y6\~output\\) - (DELAY - (ABSOLUTE - (PORT i (859:859:859) (846:846:846)) - (IOPATH i o (2204:2204:2204) (2216:2216:2216)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y7\~output\\) - (DELAY - (ABSOLUTE - (PORT i (894:894:894) (910:910:910)) - (IOPATH i o (2009:2009:2009) (2060:2060:2060)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y8\~output\\) - (DELAY - (ABSOLUTE - (PORT i (545:545:545) (549:549:549)) - (IOPATH i o (3517:3517:3517) (3416:3416:3416)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y9\~output\\) - (DELAY - (ABSOLUTE - (PORT i (566:566:566) (559:559:559)) - (IOPATH i o (2204:2204:2204) (2216:2216:2216)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y10\~output\\) - (DELAY - (ABSOLUTE - (PORT i (637:637:637) (674:674:674)) - (IOPATH i o (2105:2105:2105) (2147:2147:2147)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y11\~output\\) - (DELAY - (ABSOLUTE - (PORT i (550:550:550) (550:550:550)) - (IOPATH i o (2254:2254:2254) (2266:2266:2266)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y12\~output\\) - (DELAY - (ABSOLUTE - (PORT i (523:523:523) (540:540:540)) - (IOPATH i o (2214:2214:2214) (2226:2226:2226)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y13\~output\\) - (DELAY - (ABSOLUTE - (PORT i (669:669:669) (713:713:713)) - (IOPATH i o (2254:2254:2254) (2266:2266:2266)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y14\~output\\) - (DELAY - (ABSOLUTE - (PORT i (532:532:532) (538:538:538)) - (IOPATH i o (2204:2204:2204) (2216:2216:2216)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y15\~output\\) - (DELAY - (ABSOLUTE - (PORT i (686:686:686) (716:716:716)) - (IOPATH i o (2204:2204:2204) (2216:2216:2216)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\C\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (775:775:775) (936:936:936)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\B\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (735:735:735) (896:896:896)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\D\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (725:725:725) (886:886:886)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\A\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (739:739:739) (902:902:902)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|15\~0\\) - (DELAY - (ABSOLUTE - (PORT dataa (2516:2516:2516) (2789:2789:2789)) - (PORT datab (2535:2535:2535) (2787:2787:2787)) - (PORT datac (2714:2714:2714) (2965:2965:2965)) - (PORT datad (2834:2834:2834) (3055:3055:3055)) - (IOPATH dataa combout (309:309:309) (326:326:326)) - (IOPATH datab combout (309:309:309) (328:328:328)) - (IOPATH datac combout (218:218:218) (215:215:215)) - (IOPATH datad combout (119:119:119) (106:106:106)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|15\~1\\) - (DELAY - (ABSOLUTE - (PORT dataa (2537:2537:2537) (2807:2807:2807)) - (PORT datab (2556:2556:2556) (2802:2802:2802)) - (PORT datac (2697:2697:2697) (2945:2945:2945)) - (PORT datad (2830:2830:2830) (3047:3047:3047)) - (IOPATH dataa combout (265:265:265) (273:273:273)) - (IOPATH datab combout (265:265:265) (275:275:275)) - (IOPATH datac combout (218:218:218) (215:215:215)) - (IOPATH datad combout (119:119:119) (106:106:106)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|15\~2\\) - (DELAY - (ABSOLUTE - (PORT dataa (2536:2536:2536) (2807:2807:2807)) - (PORT datab (2555:2555:2555) (2804:2804:2804)) - (PORT datac (2699:2699:2699) (2948:2948:2948)) - (PORT datad (2829:2829:2829) (3044:3044:3044)) - (IOPATH dataa combout (329:329:329) (332:332:332)) - (IOPATH datab combout (319:319:319) (307:307:307)) - (IOPATH datac combout (218:218:218) (215:215:215)) - (IOPATH datad combout (119:119:119) (106:106:106)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|15\~3\\) - (DELAY - (ABSOLUTE - (PORT dataa (2515:2515:2515) (2791:2791:2791)) - (PORT datab (2535:2535:2535) (2790:2790:2790)) - (PORT datac (2713:2713:2713) (2965:2965:2965)) - (PORT datad (2833:2833:2833) (3054:3054:3054)) - (IOPATH dataa combout (287:287:287) (280:280:280)) - (IOPATH datab combout (273:273:273) (275:275:275)) - (IOPATH datac combout (218:218:218) (215:215:215)) - (IOPATH datad combout (119:119:119) (106:106:106)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|15\~4\\) - (DELAY - (ABSOLUTE - (PORT dataa (2530:2530:2530) (2797:2797:2797)) - (PORT datab (2550:2550:2550) (2795:2795:2795)) - (PORT datac (2711:2711:2711) (2961:2961:2961)) - (PORT datad (2832:2832:2832) (3055:3055:3055)) - (IOPATH dataa combout (318:318:318) (307:307:307)) - (IOPATH datab combout (336:336:336) (337:337:337)) - (IOPATH datac combout (218:218:218) (215:215:215)) - (IOPATH datad combout (119:119:119) (106:106:106)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|15\~5\\) - (DELAY - (ABSOLUTE - (PORT dataa (2517:2517:2517) (2781:2781:2781)) - (PORT datab (2536:2536:2536) (2778:2778:2778)) - (PORT datac (2715:2715:2715) (2966:2966:2966)) - (PORT datad (2836:2836:2836) (3052:3052:3052)) - (IOPATH dataa combout (272:272:272) (269:269:269)) - (IOPATH datab combout (295:295:295) (285:285:285)) - (IOPATH datac combout (218:218:218) (215:215:215)) - (IOPATH datad combout (119:119:119) (106:106:106)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|15\~6\\) - (DELAY - (ABSOLUTE - (PORT dataa (2517:2517:2517) (2782:2782:2782)) - (PORT datab (2537:2537:2537) (2779:2779:2779)) - (PORT datac (2715:2715:2715) (2966:2966:2966)) - (PORT datad (2837:2837:2837) (3052:3052:3052)) - (IOPATH dataa combout (300:300:300) (323:323:323)) - (IOPATH datab combout (306:306:306) (324:324:324)) - (IOPATH datac combout (218:218:218) (215:215:215)) - (IOPATH datad combout (119:119:119) (106:106:106)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|15\~7\\) - (DELAY - (ABSOLUTE - (PORT dataa (2536:2536:2536) (2807:2807:2807)) - (PORT datab (2554:2554:2554) (2803:2803:2803)) - (PORT datac (2701:2701:2701) (2951:2951:2951)) - (PORT datad (2828:2828:2828) (3047:3047:3047)) - (IOPATH dataa combout (265:265:265) (269:269:269)) - (IOPATH datab combout (265:265:265) (275:275:275)) - (IOPATH datac combout (218:218:218) (215:215:215)) - (IOPATH datad combout (119:119:119) (106:106:106)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|15\~8\\) - (DELAY - (ABSOLUTE - (PORT dataa (2538:2538:2538) (2808:2808:2808)) - (PORT datab (2557:2557:2557) (2802:2802:2802)) - (PORT datac (2695:2695:2695) (2942:2942:2942)) - (PORT datad (2831:2831:2831) (3048:3048:3048)) - (IOPATH dataa combout (299:299:299) (304:304:304)) - (IOPATH datab combout (300:300:300) (312:312:312)) - (IOPATH datac combout (220:220:220) (215:215:215)) - (IOPATH datad combout (119:119:119) (106:106:106)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|15\~9\\) - (DELAY - (ABSOLUTE - (PORT dataa (2527:2527:2527) (2804:2804:2804)) - (PORT datab (2546:2546:2546) (2800:2800:2800)) - (PORT datac (2705:2705:2705) (2954:2954:2954)) - (PORT datad (2824:2824:2824) (3049:3049:3049)) - (IOPATH dataa combout (267:267:267) (269:269:269)) - (IOPATH datab combout (267:267:267) (275:275:275)) - (IOPATH datac combout (218:218:218) (216:216:216)) - (IOPATH datad combout (119:119:119) (106:106:106)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|15\~10\\) - (DELAY - (ABSOLUTE - (PORT dataa (2527:2527:2527) (2790:2790:2790)) - (PORT datab (2546:2546:2546) (2788:2788:2788)) - (PORT datac (2712:2712:2712) (2964:2964:2964)) - (PORT datad (2834:2834:2834) (3055:3055:3055)) - (IOPATH dataa combout (318:318:318) (327:327:327)) - (IOPATH datab combout (308:308:308) (281:281:281)) - (IOPATH datac combout (220:220:220) (215:215:215)) - (IOPATH datad combout (119:119:119) (106:106:106)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|15\~11\\) - (DELAY - (ABSOLUTE - (PORT dataa (2530:2530:2530) (2797:2797:2797)) - (PORT datab (2550:2550:2550) (2795:2795:2795)) - (PORT datac (2709:2709:2709) (2958:2958:2958)) - (PORT datad (2825:2825:2825) (3044:3044:3044)) - (IOPATH dataa combout (287:287:287) (289:289:289)) - (IOPATH datab combout (275:275:275) (275:275:275)) - (IOPATH datac combout (218:218:218) (216:216:216)) - (IOPATH datad combout (119:119:119) (106:106:106)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|15\~12\\) - (DELAY - (ABSOLUTE - (PORT dataa (2535:2535:2535) (2806:2806:2806)) - (PORT datab (2554:2554:2554) (2803:2803:2803)) - (PORT datac (2703:2703:2703) (2954:2954:2954)) - (PORT datad (2828:2828:2828) (3050:3050:3050)) - (IOPATH dataa combout (307:307:307) (280:280:280)) - (IOPATH datab combout (325:325:325) (332:332:332)) - (IOPATH datac combout (220:220:220) (215:215:215)) - (IOPATH datad combout (119:119:119) (106:106:106)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|15\~13\\) - (DELAY - (ABSOLUTE - (PORT dataa (2528:2528:2528) (2788:2788:2788)) - (PORT datab (2548:2548:2548) (2786:2786:2786)) - (PORT datac (2712:2712:2712) (2963:2963:2963)) - (PORT datad (2833:2833:2833) (3054:3054:3054)) - (IOPATH dataa combout (273:273:273) (269:269:269)) - (IOPATH datab combout (295:295:295) (294:294:294)) - (IOPATH datac combout (218:218:218) (216:216:216)) - (IOPATH datad combout (119:119:119) (106:106:106)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|15\~14\\) - (DELAY - (ABSOLUTE - (PORT dataa (2528:2528:2528) (2803:2803:2803)) - (PORT datab (2548:2548:2548) (2799:2799:2799)) - (PORT datac (2707:2707:2707) (2956:2956:2956)) - (PORT datad (2826:2826:2826) (3047:3047:3047)) - (IOPATH dataa combout (290:290:290) (306:306:306)) - (IOPATH datab combout (295:295:295) (300:300:300)) - (IOPATH datac combout (220:220:220) (215:215:215)) - (IOPATH datad combout (119:119:119) (106:106:106)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|15\~15\\) - (DELAY - (ABSOLUTE - (PORT dataa (2518:2518:2518) (2783:2783:2783)) - (PORT datab (2538:2538:2538) (2779:2779:2779)) - (PORT datac (2716:2716:2716) (2967:2967:2967)) - (PORT datad (2837:2837:2837) (3053:3053:3053)) - (IOPATH dataa combout (265:265:265) (269:269:269)) - (IOPATH datab combout (265:265:265) (275:275:275)) - (IOPATH datac combout (218:218:218) (216:216:216)) - (IOPATH datad combout (119:119:119) (106:106:106)) - ) - ) - ) -) diff --git a/CH6/CH6-1/simulation/modelsim/four_line_to_sixteen_line_decimal_decoder_6_1200mv_85c_slow.vho b/CH6/CH6-1/simulation/modelsim/four_line_to_sixteen_line_decimal_decoder_6_1200mv_85c_slow.vho deleted file mode 100644 index f6690217..00000000 --- a/CH6/CH6-1/simulation/modelsim/four_line_to_sixteen_line_decimal_decoder_6_1200mv_85c_slow.vho +++ /dev/null @@ -1,748 +0,0 @@ --- Copyright (C) 1991-2013 Altera Corporation --- Your use of Altera Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Altera Program License --- Subscription Agreement, Altera MegaCore Function License --- Agreement, or other applicable license agreement, including, --- without limitation, that your use is for the sole purpose of --- programming logic devices manufactured by Altera and sold by --- Altera or its authorized distributors. Please refer to the --- applicable agreement for further details. - --- VENDOR "Altera" --- PROGRAM "Quartus II 32-bit" --- VERSION "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition" - --- DATE "10/24/2019 22:11:45" - --- --- Device: Altera EP3C16F484C6 Package FBGA484 --- - --- --- This VHDL file should be used for ModelSim-Altera (VHDL) only --- - -LIBRARY CYCLONEIII; -LIBRARY IEEE; -USE CYCLONEIII.CYCLONEIII_COMPONENTS.ALL; -USE IEEE.STD_LOGIC_1164.ALL; - -ENTITY four_line_to_sixteen_line_decimal_decoder IS - PORT ( - Y0 : OUT std_logic; - A : IN std_logic; - B : IN std_logic; - C : IN std_logic; - D : IN std_logic; - Y1 : OUT std_logic; - Y2 : OUT std_logic; - Y3 : OUT std_logic; - Y4 : OUT std_logic; - Y5 : OUT std_logic; - Y6 : OUT std_logic; - Y7 : OUT std_logic; - Y8 : OUT std_logic; - Y9 : OUT std_logic; - Y10 : OUT std_logic; - Y11 : OUT std_logic; - Y12 : OUT std_logic; - Y13 : OUT std_logic; - Y14 : OUT std_logic; - Y15 : OUT std_logic - ); -END four_line_to_sixteen_line_decimal_decoder; - --- Design Ports Information --- Y0 => Location: PIN_L7, I/O Standard: 2.5 V, Current Strength: Default --- Y1 => Location: PIN_J4, I/O Standard: 2.5 V, Current Strength: Default --- Y2 => Location: PIN_P7, I/O Standard: 2.5 V, Current Strength: Default --- Y3 => Location: PIN_W1, I/O Standard: 2.5 V, Current Strength: Default --- Y4 => Location: PIN_T7, I/O Standard: 2.5 V, Current Strength: Default --- Y5 => Location: PIN_V1, I/O Standard: 2.5 V, Current Strength: Default --- Y6 => Location: PIN_R6, I/O Standard: 2.5 V, Current Strength: Default --- Y7 => Location: PIN_R9, I/O Standard: 2.5 V, Current Strength: Default --- Y8 => Location: PIN_M5, I/O Standard: 2.5 V, Current Strength: Default --- Y9 => Location: PIN_M4, I/O Standard: 2.5 V, Current Strength: Default --- Y10 => Location: PIN_P5, I/O Standard: 2.5 V, Current Strength: Default --- Y11 => Location: PIN_Y2, I/O Standard: 2.5 V, Current Strength: Default --- Y12 => Location: PIN_M7, I/O Standard: 2.5 V, Current Strength: Default --- Y13 => Location: PIN_W2, I/O Standard: 2.5 V, Current Strength: Default --- Y14 => Location: PIN_M3, I/O Standard: 2.5 V, Current Strength: Default --- Y15 => Location: PIN_P6, I/O Standard: 2.5 V, Current Strength: Default --- D => Location: PIN_T5, I/O Standard: 2.5 V, Current Strength: Default --- C => Location: PIN_Y1, I/O Standard: 2.5 V, Current Strength: Default --- B => Location: PIN_N7, I/O Standard: 2.5 V, Current Strength: Default --- A => Location: PIN_AB3, I/O Standard: 2.5 V, Current Strength: Default - - -ARCHITECTURE structure OF four_line_to_sixteen_line_decimal_decoder IS -SIGNAL gnd : std_logic := '0'; -SIGNAL vcc : std_logic := '1'; -SIGNAL unknown : std_logic := 'X'; -SIGNAL devoe : std_logic := '1'; -SIGNAL devclrn : std_logic := '1'; -SIGNAL devpor : std_logic := '1'; -SIGNAL ww_devoe : std_logic; -SIGNAL ww_devclrn : std_logic; -SIGNAL ww_devpor : std_logic; -SIGNAL ww_Y0 : std_logic; -SIGNAL ww_A : std_logic; -SIGNAL ww_B : std_logic; -SIGNAL ww_C : std_logic; -SIGNAL ww_D : std_logic; -SIGNAL ww_Y1 : std_logic; -SIGNAL ww_Y2 : std_logic; -SIGNAL ww_Y3 : std_logic; -SIGNAL ww_Y4 : std_logic; -SIGNAL ww_Y5 : std_logic; -SIGNAL ww_Y6 : std_logic; -SIGNAL ww_Y7 : std_logic; -SIGNAL ww_Y8 : std_logic; -SIGNAL ww_Y9 : std_logic; -SIGNAL ww_Y10 : std_logic; -SIGNAL ww_Y11 : std_logic; -SIGNAL ww_Y12 : std_logic; -SIGNAL ww_Y13 : std_logic; -SIGNAL ww_Y14 : std_logic; -SIGNAL ww_Y15 : std_logic; -SIGNAL \Y0~output_o\ : std_logic; -SIGNAL \Y1~output_o\ : std_logic; -SIGNAL \Y2~output_o\ : std_logic; -SIGNAL \Y3~output_o\ : std_logic; -SIGNAL \Y4~output_o\ : std_logic; -SIGNAL \Y5~output_o\ : std_logic; -SIGNAL \Y6~output_o\ : std_logic; -SIGNAL \Y7~output_o\ : std_logic; -SIGNAL \Y8~output_o\ : std_logic; -SIGNAL \Y9~output_o\ : std_logic; -SIGNAL \Y10~output_o\ : std_logic; -SIGNAL \Y11~output_o\ : std_logic; -SIGNAL \Y12~output_o\ : std_logic; -SIGNAL \Y13~output_o\ : std_logic; -SIGNAL \Y14~output_o\ : std_logic; -SIGNAL \Y15~output_o\ : std_logic; -SIGNAL \C~input_o\ : std_logic; -SIGNAL \B~input_o\ : std_logic; -SIGNAL \D~input_o\ : std_logic; -SIGNAL \A~input_o\ : std_logic; -SIGNAL \inst|15~0_combout\ : std_logic; -SIGNAL \inst|15~1_combout\ : std_logic; -SIGNAL \inst|15~2_combout\ : std_logic; -SIGNAL \inst|15~3_combout\ : std_logic; -SIGNAL \inst|15~4_combout\ : std_logic; -SIGNAL \inst|15~5_combout\ : std_logic; -SIGNAL \inst|15~6_combout\ : std_logic; -SIGNAL \inst|15~7_combout\ : std_logic; -SIGNAL \inst|15~8_combout\ : std_logic; -SIGNAL \inst|15~9_combout\ : std_logic; -SIGNAL \inst|15~10_combout\ : std_logic; -SIGNAL \inst|15~11_combout\ : std_logic; -SIGNAL \inst|15~12_combout\ : std_logic; -SIGNAL \inst|15~13_combout\ : std_logic; -SIGNAL \inst|15~14_combout\ : std_logic; -SIGNAL \inst|15~15_combout\ : std_logic; -SIGNAL \inst|ALT_INV_15~15_combout\ : std_logic; -SIGNAL \inst|ALT_INV_15~14_combout\ : std_logic; -SIGNAL \inst|ALT_INV_15~13_combout\ : std_logic; -SIGNAL \inst|ALT_INV_15~12_combout\ : std_logic; -SIGNAL \inst|ALT_INV_15~11_combout\ : std_logic; -SIGNAL \inst|ALT_INV_15~10_combout\ : std_logic; -SIGNAL \inst|ALT_INV_15~9_combout\ : std_logic; -SIGNAL \inst|ALT_INV_15~8_combout\ : std_logic; -SIGNAL \inst|ALT_INV_15~7_combout\ : std_logic; -SIGNAL \inst|ALT_INV_15~6_combout\ : std_logic; -SIGNAL \inst|ALT_INV_15~5_combout\ : std_logic; -SIGNAL \inst|ALT_INV_15~4_combout\ : std_logic; -SIGNAL \inst|ALT_INV_15~3_combout\ : std_logic; -SIGNAL \inst|ALT_INV_15~2_combout\ : std_logic; -SIGNAL \inst|ALT_INV_15~1_combout\ : std_logic; -SIGNAL \inst|ALT_INV_15~0_combout\ : std_logic; - -BEGIN - -Y0 <= ww_Y0; -ww_A <= A; -ww_B <= B; -ww_C <= C; -ww_D <= D; -Y1 <= ww_Y1; -Y2 <= ww_Y2; -Y3 <= ww_Y3; -Y4 <= ww_Y4; -Y5 <= ww_Y5; -Y6 <= ww_Y6; -Y7 <= ww_Y7; -Y8 <= ww_Y8; -Y9 <= ww_Y9; -Y10 <= ww_Y10; -Y11 <= ww_Y11; -Y12 <= ww_Y12; -Y13 <= ww_Y13; -Y14 <= ww_Y14; -Y15 <= ww_Y15; -ww_devoe <= devoe; -ww_devclrn <= devclrn; -ww_devpor <= devpor; -\inst|ALT_INV_15~15_combout\ <= NOT \inst|15~15_combout\; -\inst|ALT_INV_15~14_combout\ <= NOT \inst|15~14_combout\; -\inst|ALT_INV_15~13_combout\ <= NOT \inst|15~13_combout\; -\inst|ALT_INV_15~12_combout\ <= NOT \inst|15~12_combout\; -\inst|ALT_INV_15~11_combout\ <= NOT \inst|15~11_combout\; -\inst|ALT_INV_15~10_combout\ <= NOT \inst|15~10_combout\; -\inst|ALT_INV_15~9_combout\ <= NOT \inst|15~9_combout\; -\inst|ALT_INV_15~8_combout\ <= NOT \inst|15~8_combout\; -\inst|ALT_INV_15~7_combout\ <= NOT \inst|15~7_combout\; -\inst|ALT_INV_15~6_combout\ <= NOT \inst|15~6_combout\; -\inst|ALT_INV_15~5_combout\ <= NOT \inst|15~5_combout\; -\inst|ALT_INV_15~4_combout\ <= NOT \inst|15~4_combout\; -\inst|ALT_INV_15~3_combout\ <= NOT \inst|15~3_combout\; -\inst|ALT_INV_15~2_combout\ <= NOT \inst|15~2_combout\; -\inst|ALT_INV_15~1_combout\ <= NOT \inst|15~1_combout\; -\inst|ALT_INV_15~0_combout\ <= NOT \inst|15~0_combout\; - --- Location: IOOBUF_X0_Y11_N2 -\Y0~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_15~0_combout\, - devoe => ww_devoe, - o => \Y0~output_o\); - --- Location: IOOBUF_X0_Y21_N2 -\Y1~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_15~1_combout\, - devoe => ww_devoe, - o => \Y1~output_o\); - --- Location: IOOBUF_X0_Y5_N2 -\Y2~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_15~2_combout\, - devoe => ww_devoe, - o => \Y2~output_o\); - --- Location: IOOBUF_X0_Y7_N23 -\Y3~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_15~3_combout\, - devoe => ww_devoe, - o => \Y3~output_o\); - --- Location: IOOBUF_X0_Y2_N9 -\Y4~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_15~4_combout\, - devoe => ww_devoe, - o => \Y4~output_o\); - --- Location: IOOBUF_X0_Y8_N2 -\Y5~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_15~5_combout\, - devoe => ww_devoe, - o => \Y5~output_o\); - --- Location: IOOBUF_X0_Y3_N9 -\Y6~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_15~6_combout\, - devoe => ww_devoe, - o => \Y6~output_o\); - --- Location: IOOBUF_X1_Y0_N30 -\Y7~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_15~7_combout\, - devoe => ww_devoe, - o => \Y7~output_o\); - --- Location: IOOBUF_X0_Y11_N9 -\Y8~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_15~8_combout\, - devoe => ww_devoe, - o => \Y8~output_o\); - --- Location: IOOBUF_X0_Y12_N2 -\Y9~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_15~9_combout\, - devoe => ww_devoe, - o => \Y9~output_o\); - --- Location: IOOBUF_X0_Y8_N9 -\Y10~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_15~10_combout\, - devoe => ww_devoe, - o => \Y10~output_o\); - --- Location: IOOBUF_X0_Y6_N2 -\Y11~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_15~11_combout\, - devoe => ww_devoe, - o => \Y11~output_o\); - --- Location: IOOBUF_X0_Y8_N23 -\Y12~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_15~12_combout\, - devoe => ww_devoe, - o => \Y12~output_o\); - --- Location: IOOBUF_X0_Y7_N16 -\Y13~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_15~13_combout\, - devoe => ww_devoe, - o => \Y13~output_o\); - --- Location: IOOBUF_X0_Y12_N9 -\Y14~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_15~14_combout\, - devoe => ww_devoe, - o => \Y14~output_o\); - --- Location: IOOBUF_X0_Y4_N9 -\Y15~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_15~15_combout\, - devoe => ww_devoe, - o => \Y15~output_o\); - --- Location: IOIBUF_X0_Y6_N8 -\C~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_C, - o => \C~input_o\); - --- Location: IOIBUF_X0_Y6_N22 -\B~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_B, - o => \B~input_o\); - --- Location: IOIBUF_X0_Y3_N1 -\D~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_D, - o => \D~input_o\); - --- Location: IOIBUF_X7_Y0_N29 -\A~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_A, - o => \A~input_o\); - --- Location: LCCOMB_X1_Y9_N24 -\inst|15~0\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|15~0_combout\ = (!\C~input_o\ & (!\B~input_o\ & (!\D~input_o\ & !\A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000000001", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datab => \B~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst|15~0_combout\); - --- Location: LCCOMB_X1_Y9_N2 -\inst|15~1\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|15~1_combout\ = (!\C~input_o\ & (!\B~input_o\ & (!\D~input_o\ & \A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000100000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datab => \B~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst|15~1_combout\); - --- Location: LCCOMB_X1_Y9_N4 -\inst|15~2\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|15~2_combout\ = (!\C~input_o\ & (\B~input_o\ & (!\D~input_o\ & !\A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000000100", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datab => \B~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst|15~2_combout\); - --- Location: LCCOMB_X1_Y9_N22 -\inst|15~3\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|15~3_combout\ = (!\C~input_o\ & (\B~input_o\ & (!\D~input_o\ & \A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000010000000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datab => \B~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst|15~3_combout\); - --- Location: LCCOMB_X1_Y9_N16 -\inst|15~4\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|15~4_combout\ = (\C~input_o\ & (!\B~input_o\ & (!\D~input_o\ & !\A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000000010", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datab => \B~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst|15~4_combout\); - --- Location: LCCOMB_X1_Y9_N26 -\inst|15~5\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|15~5_combout\ = (\C~input_o\ & (!\B~input_o\ & (!\D~input_o\ & \A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000001000000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datab => \B~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst|15~5_combout\); - --- Location: LCCOMB_X1_Y9_N28 -\inst|15~6\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|15~6_combout\ = (\C~input_o\ & (\B~input_o\ & (!\D~input_o\ & !\A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000001000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datab => \B~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst|15~6_combout\); - --- Location: LCCOMB_X1_Y9_N6 -\inst|15~7\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|15~7_combout\ = (\C~input_o\ & (\B~input_o\ & (!\D~input_o\ & \A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000100000000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datab => \B~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst|15~7_combout\); - --- Location: LCCOMB_X1_Y9_N0 -\inst|15~8\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|15~8_combout\ = (!\C~input_o\ & (!\B~input_o\ & (\D~input_o\ & !\A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000010000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datab => \B~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst|15~8_combout\); - --- Location: LCCOMB_X1_Y9_N10 -\inst|15~9\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|15~9_combout\ = (!\C~input_o\ & (!\B~input_o\ & (\D~input_o\ & \A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0001000000000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datab => \B~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst|15~9_combout\); - --- Location: LCCOMB_X1_Y9_N20 -\inst|15~10\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|15~10_combout\ = (!\C~input_o\ & (\B~input_o\ & (\D~input_o\ & !\A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000001000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datab => \B~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst|15~10_combout\); - --- Location: LCCOMB_X1_Y9_N14 -\inst|15~11\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|15~11_combout\ = (!\C~input_o\ & (\B~input_o\ & (\D~input_o\ & \A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0100000000000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datab => \B~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst|15~11_combout\); - --- Location: LCCOMB_X1_Y9_N8 -\inst|15~12\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|15~12_combout\ = (\C~input_o\ & (!\B~input_o\ & (\D~input_o\ & !\A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000100000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datab => \B~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst|15~12_combout\); - --- Location: LCCOMB_X1_Y9_N18 -\inst|15~13\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|15~13_combout\ = (\C~input_o\ & (!\B~input_o\ & (\D~input_o\ & \A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0010000000000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datab => \B~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst|15~13_combout\); - --- Location: LCCOMB_X1_Y9_N12 -\inst|15~14\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|15~14_combout\ = (\C~input_o\ & (\B~input_o\ & (\D~input_o\ & !\A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000010000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datab => \B~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst|15~14_combout\); - --- Location: LCCOMB_X1_Y9_N30 -\inst|15~15\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|15~15_combout\ = (\C~input_o\ & (\B~input_o\ & (\D~input_o\ & \A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "1000000000000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datab => \B~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst|15~15_combout\); - -ww_Y0 <= \Y0~output_o\; - -ww_Y1 <= \Y1~output_o\; - -ww_Y2 <= \Y2~output_o\; - -ww_Y3 <= \Y3~output_o\; - -ww_Y4 <= \Y4~output_o\; - -ww_Y5 <= \Y5~output_o\; - -ww_Y6 <= \Y6~output_o\; - -ww_Y7 <= \Y7~output_o\; - -ww_Y8 <= \Y8~output_o\; - -ww_Y9 <= \Y9~output_o\; - -ww_Y10 <= \Y10~output_o\; - -ww_Y11 <= \Y11~output_o\; - -ww_Y12 <= \Y12~output_o\; - -ww_Y13 <= \Y13~output_o\; - -ww_Y14 <= \Y14~output_o\; - -ww_Y15 <= \Y15~output_o\; -END structure; - - diff --git a/CH6/CH6-1/simulation/modelsim/four_line_to_sixteen_line_decimal_decoder_6_1200mv_85c_vhd_slow.sdo b/CH6/CH6-1/simulation/modelsim/four_line_to_sixteen_line_decimal_decoder_6_1200mv_85c_vhd_slow.sdo deleted file mode 100644 index 2856a0c1..00000000 --- a/CH6/CH6-1/simulation/modelsim/four_line_to_sixteen_line_decimal_decoder_6_1200mv_85c_vhd_slow.sdo +++ /dev/null @@ -1,491 +0,0 @@ -// Copyright (C) 1991-2013 Altera Corporation -// Your use of Altera Corporation's design tools, logic functions -// and other software and tools, and its AMPP partner logic -// functions, and any output files from any of the foregoing -// (including device programming or simulation files), and any -// associated documentation or information are expressly subject -// to the terms and conditions of the Altera Program License -// Subscription Agreement, Altera MegaCore Function License -// Agreement, or other applicable license agreement, including, -// without limitation, that your use is for the sole purpose of -// programming logic devices manufactured by Altera and sold by -// Altera or its authorized distributors. Please refer to the -// applicable agreement for further details. - - -// -// Device: Altera EP3C16F484C6 Package FBGA484 -// - -// -// This file contains Slow Corner delays for the design using part EP3C16F484C6, -// with speed grade 6, core voltage 1.2V, and temperature 85 Celsius -// - -// -// This SDF file should be used for ModelSim-Altera (VHDL) only -// - -(DELAYFILE - (SDFVERSION "2.1") - (DESIGN "four_line_to_sixteen_line_decimal_decoder") - (DATE "10/24/2019 22:11:45") - (VENDOR "Altera") - (PROGRAM "Quartus II 32-bit") - (VERSION "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition") - (DIVIDER .) - (TIMESCALE 1 ps) - - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y0\~output\\) - (DELAY - (ABSOLUTE - (PORT i (605:605:605) (595:595:595)) - (IOPATH i o (2095:2095:2095) (2137:2137:2137)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y1\~output\\) - (DELAY - (ABSOLUTE - (PORT i (1027:1027:1027) (989:989:989)) - (IOPATH i o (2095:2095:2095) (2137:2137:2137)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y2\~output\\) - (DELAY - (ABSOLUTE - (PORT i (631:631:631) (604:604:604)) - (IOPATH i o (2204:2204:2204) (2216:2216:2216)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y3\~output\\) - (DELAY - (ABSOLUTE - (PORT i (612:612:612) (606:606:606)) - (IOPATH i o (2244:2244:2244) (2256:2256:2256)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y4\~output\\) - (DELAY - (ABSOLUTE - (PORT i (913:913:913) (896:896:896)) - (IOPATH i o (2204:2204:2204) (2216:2216:2216)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y5\~output\\) - (DELAY - (ABSOLUTE - (PORT i (623:623:623) (613:613:613)) - (IOPATH i o (2244:2244:2244) (2256:2256:2256)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y6\~output\\) - (DELAY - (ABSOLUTE - (PORT i (954:954:954) (924:924:924)) - (IOPATH i o (2204:2204:2204) (2216:2216:2216)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y7\~output\\) - (DELAY - (ABSOLUTE - (PORT i (964:964:964) (981:981:981)) - (IOPATH i o (2009:2009:2009) (2060:2060:2060)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y8\~output\\) - (DELAY - (ABSOLUTE - (PORT i (601:601:601) (599:599:599)) - (IOPATH i o (3517:3517:3517) (3416:3416:3416)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y9\~output\\) - (DELAY - (ABSOLUTE - (PORT i (638:638:638) (610:610:610)) - (IOPATH i o (2204:2204:2204) (2216:2216:2216)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y10\~output\\) - (DELAY - (ABSOLUTE - (PORT i (716:716:716) (721:721:721)) - (IOPATH i o (2105:2105:2105) (2147:2147:2147)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y11\~output\\) - (DELAY - (ABSOLUTE - (PORT i (623:623:623) (600:600:600)) - (IOPATH i o (2254:2254:2254) (2266:2266:2266)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y12\~output\\) - (DELAY - (ABSOLUTE - (PORT i (589:589:589) (590:590:590)) - (IOPATH i o (2214:2214:2214) (2226:2226:2226)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y13\~output\\) - (DELAY - (ABSOLUTE - (PORT i (758:758:758) (764:764:764)) - (IOPATH i o (2254:2254:2254) (2266:2266:2266)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y14\~output\\) - (DELAY - (ABSOLUTE - (PORT i (606:606:606) (586:586:586)) - (IOPATH i o (2204:2204:2204) (2216:2216:2216)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y15\~output\\) - (DELAY - (ABSOLUTE - (PORT i (750:750:750) (766:766:766)) - (IOPATH i o (2204:2204:2204) (2216:2216:2216)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\C\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (775:775:775) (936:936:936)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\B\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (735:735:735) (896:896:896)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\D\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (725:725:725) (886:886:886)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\A\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (739:739:739) (902:902:902)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|15\~0\\) - (DELAY - (ABSOLUTE - (PORT dataa (2919:2919:2919) (3262:3262:3262)) - (PORT datab (2955:2955:2955) (3275:3275:3275)) - (PORT datac (3158:3158:3158) (3487:3487:3487)) - (PORT datad (3239:3239:3239) (3556:3556:3556)) - (IOPATH dataa combout (350:350:350) (366:366:366)) - (IOPATH datab combout (350:350:350) (368:368:368)) - (IOPATH datac combout (241:241:241) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|15\~1\\) - (DELAY - (ABSOLUTE - (PORT dataa (2942:2942:2942) (3278:3278:3278)) - (PORT datab (2979:2979:2979) (3291:3291:3291)) - (PORT datac (3140:3140:3140) (3467:3467:3467)) - (PORT datad (3236:3236:3236) (3549:3549:3549)) - (IOPATH dataa combout (300:300:300) (307:307:307)) - (IOPATH datab combout (300:300:300) (310:310:310)) - (IOPATH datac combout (241:241:241) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|15\~2\\) - (DELAY - (ABSOLUTE - (PORT dataa (2941:2941:2941) (3278:3278:3278)) - (PORT datab (2978:2978:2978) (3292:3292:3292)) - (PORT datac (3142:3142:3142) (3470:3470:3470)) - (PORT datad (3235:3235:3235) (3546:3546:3546)) - (IOPATH dataa combout (371:371:371) (376:376:376)) - (IOPATH datab combout (355:355:355) (349:349:349)) - (IOPATH datac combout (241:241:241) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|15\~3\\) - (DELAY - (ABSOLUTE - (PORT dataa (2918:2918:2918) (3264:3264:3264)) - (PORT datab (2954:2954:2954) (3278:3278:3278)) - (PORT datac (3158:3158:3158) (3487:3487:3487)) - (PORT datad (3238:3238:3238) (3556:3556:3556)) - (IOPATH dataa combout (325:325:325) (320:320:320)) - (IOPATH datab combout (304:304:304) (308:308:308)) - (IOPATH datac combout (241:241:241) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|15\~4\\) - (DELAY - (ABSOLUTE - (PORT dataa (2934:2934:2934) (3269:3269:3269)) - (PORT datab (2972:2972:2972) (3283:3283:3283)) - (PORT datac (3155:3155:3155) (3483:3483:3483)) - (PORT datad (3240:3240:3240) (3557:3557:3557)) - (IOPATH dataa combout (354:354:354) (349:349:349)) - (IOPATH datab combout (381:381:381) (380:380:380)) - (IOPATH datac combout (241:241:241) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|15\~5\\) - (DELAY - (ABSOLUTE - (PORT dataa (2920:2920:2920) (3254:3254:3254)) - (PORT datab (2956:2956:2956) (3267:3267:3267)) - (PORT datac (3159:3159:3159) (3488:3488:3488)) - (PORT datad (3244:3244:3244) (3553:3553:3553)) - (IOPATH dataa combout (303:303:303) (299:299:299)) - (IOPATH datab combout (336:336:336) (325:325:325)) - (IOPATH datac combout (241:241:241) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|15\~6\\) - (DELAY - (ABSOLUTE - (PORT dataa (2921:2921:2921) (3255:3255:3255)) - (PORT datab (2956:2956:2956) (3268:3268:3268)) - (PORT datac (3160:3160:3160) (3488:3488:3488)) - (PORT datad (3245:3245:3245) (3554:3554:3554)) - (IOPATH dataa combout (339:339:339) (367:367:367)) - (IOPATH datab combout (344:344:344) (369:369:369)) - (IOPATH datac combout (241:241:241) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|15\~7\\) - (DELAY - (ABSOLUTE - (PORT dataa (2940:2940:2940) (3277:3277:3277)) - (PORT datab (2977:2977:2977) (3291:3291:3291)) - (PORT datac (3144:3144:3144) (3472:3472:3472)) - (PORT datad (3235:3235:3235) (3549:3549:3549)) - (IOPATH dataa combout (300:300:300) (308:308:308)) - (IOPATH datab combout (300:300:300) (311:311:311)) - (IOPATH datac combout (241:241:241) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|15\~8\\) - (DELAY - (ABSOLUTE - (PORT dataa (2943:2943:2943) (3278:3278:3278)) - (PORT datab (2979:2979:2979) (3291:3291:3291)) - (PORT datac (3138:3138:3138) (3464:3464:3464)) - (PORT datad (3237:3237:3237) (3550:3550:3550)) - (IOPATH dataa combout (337:337:337) (338:338:338)) - (IOPATH datab combout (337:337:337) (348:348:348)) - (IOPATH datac combout (243:243:243) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|15\~9\\) - (DELAY - (ABSOLUTE - (PORT dataa (2931:2931:2931) (3275:3275:3275)) - (PORT datab (2968:2968:2968) (3289:3289:3289)) - (PORT datac (3149:3149:3149) (3476:3476:3476)) - (PORT datad (3229:3229:3229) (3554:3554:3554)) - (IOPATH dataa combout (301:301:301) (299:299:299)) - (IOPATH datab combout (300:300:300) (308:308:308)) - (IOPATH datac combout (241:241:241) (242:242:242)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|15\~10\\) - (DELAY - (ABSOLUTE - (PORT dataa (2931:2931:2931) (3262:3262:3262)) - (PORT datab (2968:2968:2968) (3276:3276:3276)) - (PORT datac (3157:3157:3157) (3486:3486:3486)) - (PORT datad (3242:3242:3242) (3557:3557:3557)) - (IOPATH dataa combout (356:356:356) (368:368:368)) - (IOPATH datab combout (342:342:342) (318:318:318)) - (IOPATH datac combout (243:243:243) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|15\~11\\) - (DELAY - (ABSOLUTE - (PORT dataa (2935:2935:2935) (3269:3269:3269)) - (PORT datab (2972:2972:2972) (3283:3283:3283)) - (PORT datac (3153:3153:3153) (3480:3480:3480)) - (PORT datad (3231:3231:3231) (3556:3556:3556)) - (IOPATH dataa combout (324:324:324) (328:328:328)) - (IOPATH datab combout (306:306:306) (308:308:308)) - (IOPATH datac combout (241:241:241) (242:242:242)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|15\~12\\) - (DELAY - (ABSOLUTE - (PORT dataa (2939:2939:2939) (3277:3277:3277)) - (PORT datab (2976:2976:2976) (3291:3291:3291)) - (PORT datac (3147:3147:3147) (3475:3475:3475)) - (PORT datad (3234:3234:3234) (3552:3552:3552)) - (IOPATH dataa combout (341:341:341) (319:319:319)) - (IOPATH datab combout (365:365:365) (373:373:373)) - (IOPATH datac combout (243:243:243) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|15\~13\\) - (DELAY - (ABSOLUTE - (PORT dataa (2933:2933:2933) (3260:3260:3260)) - (PORT datab (2970:2970:2970) (3275:3275:3275)) - (PORT datac (3156:3156:3156) (3484:3484:3484)) - (PORT datad (3241:3241:3241) (3556:3556:3556)) - (IOPATH dataa combout (304:304:304) (299:299:299)) - (IOPATH datab combout (333:333:333) (332:332:332)) - (IOPATH datac combout (241:241:241) (242:242:242)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|15\~14\\) - (DELAY - (ABSOLUTE - (PORT dataa (2933:2933:2933) (3274:3274:3274)) - (PORT datab (2970:2970:2970) (3288:3288:3288)) - (PORT datac (3151:3151:3151) (3478:3478:3478)) - (PORT datad (3232:3232:3232) (3553:3553:3553)) - (IOPATH dataa combout (327:327:327) (347:347:347)) - (IOPATH datab combout (331:331:331) (342:342:342)) - (IOPATH datac combout (243:243:243) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|15\~15\\) - (DELAY - (ABSOLUTE - (PORT dataa (2922:2922:2922) (3255:3255:3255)) - (PORT datab (2957:2957:2957) (3268:3268:3268)) - (PORT datac (3161:3161:3161) (3489:3489:3489)) - (PORT datad (3246:3246:3246) (3554:3554:3554)) - (IOPATH dataa combout (300:300:300) (307:307:307)) - (IOPATH datab combout (300:300:300) (308:308:308)) - (IOPATH datac combout (241:241:241) (242:242:242)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) -) diff --git a/CH6/CH6-1/simulation/modelsim/four_line_to_sixteen_line_decimal_decoder_min_1200mv_0c_fast.vho b/CH6/CH6-1/simulation/modelsim/four_line_to_sixteen_line_decimal_decoder_min_1200mv_0c_fast.vho deleted file mode 100644 index f6690217..00000000 --- a/CH6/CH6-1/simulation/modelsim/four_line_to_sixteen_line_decimal_decoder_min_1200mv_0c_fast.vho +++ /dev/null @@ -1,748 +0,0 @@ --- Copyright (C) 1991-2013 Altera Corporation --- Your use of Altera Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Altera Program License --- Subscription Agreement, Altera MegaCore Function License --- Agreement, or other applicable license agreement, including, --- without limitation, that your use is for the sole purpose of --- programming logic devices manufactured by Altera and sold by --- Altera or its authorized distributors. Please refer to the --- applicable agreement for further details. - --- VENDOR "Altera" --- PROGRAM "Quartus II 32-bit" --- VERSION "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition" - --- DATE "10/24/2019 22:11:45" - --- --- Device: Altera EP3C16F484C6 Package FBGA484 --- - --- --- This VHDL file should be used for ModelSim-Altera (VHDL) only --- - -LIBRARY CYCLONEIII; -LIBRARY IEEE; -USE CYCLONEIII.CYCLONEIII_COMPONENTS.ALL; -USE IEEE.STD_LOGIC_1164.ALL; - -ENTITY four_line_to_sixteen_line_decimal_decoder IS - PORT ( - Y0 : OUT std_logic; - A : IN std_logic; - B : IN std_logic; - C : IN std_logic; - D : IN std_logic; - Y1 : OUT std_logic; - Y2 : OUT std_logic; - Y3 : OUT std_logic; - Y4 : OUT std_logic; - Y5 : OUT std_logic; - Y6 : OUT std_logic; - Y7 : OUT std_logic; - Y8 : OUT std_logic; - Y9 : OUT std_logic; - Y10 : OUT std_logic; - Y11 : OUT std_logic; - Y12 : OUT std_logic; - Y13 : OUT std_logic; - Y14 : OUT std_logic; - Y15 : OUT std_logic - ); -END four_line_to_sixteen_line_decimal_decoder; - --- Design Ports Information --- Y0 => Location: PIN_L7, I/O Standard: 2.5 V, Current Strength: Default --- Y1 => Location: PIN_J4, I/O Standard: 2.5 V, Current Strength: Default --- Y2 => Location: PIN_P7, I/O Standard: 2.5 V, Current Strength: Default --- Y3 => Location: PIN_W1, I/O Standard: 2.5 V, Current Strength: Default --- Y4 => Location: PIN_T7, I/O Standard: 2.5 V, Current Strength: Default --- Y5 => Location: PIN_V1, I/O Standard: 2.5 V, Current Strength: Default --- Y6 => Location: PIN_R6, I/O Standard: 2.5 V, Current Strength: Default --- Y7 => Location: PIN_R9, I/O Standard: 2.5 V, Current Strength: Default --- Y8 => Location: PIN_M5, I/O Standard: 2.5 V, Current Strength: Default --- Y9 => Location: PIN_M4, I/O Standard: 2.5 V, Current Strength: Default --- Y10 => Location: PIN_P5, I/O Standard: 2.5 V, Current Strength: Default --- Y11 => Location: PIN_Y2, I/O Standard: 2.5 V, Current Strength: Default --- Y12 => Location: PIN_M7, I/O Standard: 2.5 V, Current Strength: Default --- Y13 => Location: PIN_W2, I/O Standard: 2.5 V, Current Strength: Default --- Y14 => Location: PIN_M3, I/O Standard: 2.5 V, Current Strength: Default --- Y15 => Location: PIN_P6, I/O Standard: 2.5 V, Current Strength: Default --- D => Location: PIN_T5, I/O Standard: 2.5 V, Current Strength: Default --- C => Location: PIN_Y1, I/O Standard: 2.5 V, Current Strength: Default --- B => Location: PIN_N7, I/O Standard: 2.5 V, Current Strength: Default --- A => Location: PIN_AB3, I/O Standard: 2.5 V, Current Strength: Default - - -ARCHITECTURE structure OF four_line_to_sixteen_line_decimal_decoder IS -SIGNAL gnd : std_logic := '0'; -SIGNAL vcc : std_logic := '1'; -SIGNAL unknown : std_logic := 'X'; -SIGNAL devoe : std_logic := '1'; -SIGNAL devclrn : std_logic := '1'; -SIGNAL devpor : std_logic := '1'; -SIGNAL ww_devoe : std_logic; -SIGNAL ww_devclrn : std_logic; -SIGNAL ww_devpor : std_logic; -SIGNAL ww_Y0 : std_logic; -SIGNAL ww_A : std_logic; -SIGNAL ww_B : std_logic; -SIGNAL ww_C : std_logic; -SIGNAL ww_D : std_logic; -SIGNAL ww_Y1 : std_logic; -SIGNAL ww_Y2 : std_logic; -SIGNAL ww_Y3 : std_logic; -SIGNAL ww_Y4 : std_logic; -SIGNAL ww_Y5 : std_logic; -SIGNAL ww_Y6 : std_logic; -SIGNAL ww_Y7 : std_logic; -SIGNAL ww_Y8 : std_logic; -SIGNAL ww_Y9 : std_logic; -SIGNAL ww_Y10 : std_logic; -SIGNAL ww_Y11 : std_logic; -SIGNAL ww_Y12 : std_logic; -SIGNAL ww_Y13 : std_logic; -SIGNAL ww_Y14 : std_logic; -SIGNAL ww_Y15 : std_logic; -SIGNAL \Y0~output_o\ : std_logic; -SIGNAL \Y1~output_o\ : std_logic; -SIGNAL \Y2~output_o\ : std_logic; -SIGNAL \Y3~output_o\ : std_logic; -SIGNAL \Y4~output_o\ : std_logic; -SIGNAL \Y5~output_o\ : std_logic; -SIGNAL \Y6~output_o\ : std_logic; -SIGNAL \Y7~output_o\ : std_logic; -SIGNAL \Y8~output_o\ : std_logic; -SIGNAL \Y9~output_o\ : std_logic; -SIGNAL \Y10~output_o\ : std_logic; -SIGNAL \Y11~output_o\ : std_logic; -SIGNAL \Y12~output_o\ : std_logic; -SIGNAL \Y13~output_o\ : std_logic; -SIGNAL \Y14~output_o\ : std_logic; -SIGNAL \Y15~output_o\ : std_logic; -SIGNAL \C~input_o\ : std_logic; -SIGNAL \B~input_o\ : std_logic; -SIGNAL \D~input_o\ : std_logic; -SIGNAL \A~input_o\ : std_logic; -SIGNAL \inst|15~0_combout\ : std_logic; -SIGNAL \inst|15~1_combout\ : std_logic; -SIGNAL \inst|15~2_combout\ : std_logic; -SIGNAL \inst|15~3_combout\ : std_logic; -SIGNAL \inst|15~4_combout\ : std_logic; -SIGNAL \inst|15~5_combout\ : std_logic; -SIGNAL \inst|15~6_combout\ : std_logic; -SIGNAL \inst|15~7_combout\ : std_logic; -SIGNAL \inst|15~8_combout\ : std_logic; -SIGNAL \inst|15~9_combout\ : std_logic; -SIGNAL \inst|15~10_combout\ : std_logic; -SIGNAL \inst|15~11_combout\ : std_logic; -SIGNAL \inst|15~12_combout\ : std_logic; -SIGNAL \inst|15~13_combout\ : std_logic; -SIGNAL \inst|15~14_combout\ : std_logic; -SIGNAL \inst|15~15_combout\ : std_logic; -SIGNAL \inst|ALT_INV_15~15_combout\ : std_logic; -SIGNAL \inst|ALT_INV_15~14_combout\ : std_logic; -SIGNAL \inst|ALT_INV_15~13_combout\ : std_logic; -SIGNAL \inst|ALT_INV_15~12_combout\ : std_logic; -SIGNAL \inst|ALT_INV_15~11_combout\ : std_logic; -SIGNAL \inst|ALT_INV_15~10_combout\ : std_logic; -SIGNAL \inst|ALT_INV_15~9_combout\ : std_logic; -SIGNAL \inst|ALT_INV_15~8_combout\ : std_logic; -SIGNAL \inst|ALT_INV_15~7_combout\ : std_logic; -SIGNAL \inst|ALT_INV_15~6_combout\ : std_logic; -SIGNAL \inst|ALT_INV_15~5_combout\ : std_logic; -SIGNAL \inst|ALT_INV_15~4_combout\ : std_logic; -SIGNAL \inst|ALT_INV_15~3_combout\ : std_logic; -SIGNAL \inst|ALT_INV_15~2_combout\ : std_logic; -SIGNAL \inst|ALT_INV_15~1_combout\ : std_logic; -SIGNAL \inst|ALT_INV_15~0_combout\ : std_logic; - -BEGIN - -Y0 <= ww_Y0; -ww_A <= A; -ww_B <= B; -ww_C <= C; -ww_D <= D; -Y1 <= ww_Y1; -Y2 <= ww_Y2; -Y3 <= ww_Y3; -Y4 <= ww_Y4; -Y5 <= ww_Y5; -Y6 <= ww_Y6; -Y7 <= ww_Y7; -Y8 <= ww_Y8; -Y9 <= ww_Y9; -Y10 <= ww_Y10; -Y11 <= ww_Y11; -Y12 <= ww_Y12; -Y13 <= ww_Y13; -Y14 <= ww_Y14; -Y15 <= ww_Y15; -ww_devoe <= devoe; -ww_devclrn <= devclrn; -ww_devpor <= devpor; -\inst|ALT_INV_15~15_combout\ <= NOT \inst|15~15_combout\; -\inst|ALT_INV_15~14_combout\ <= NOT \inst|15~14_combout\; -\inst|ALT_INV_15~13_combout\ <= NOT \inst|15~13_combout\; -\inst|ALT_INV_15~12_combout\ <= NOT \inst|15~12_combout\; -\inst|ALT_INV_15~11_combout\ <= NOT \inst|15~11_combout\; -\inst|ALT_INV_15~10_combout\ <= NOT \inst|15~10_combout\; -\inst|ALT_INV_15~9_combout\ <= NOT \inst|15~9_combout\; -\inst|ALT_INV_15~8_combout\ <= NOT \inst|15~8_combout\; -\inst|ALT_INV_15~7_combout\ <= NOT \inst|15~7_combout\; -\inst|ALT_INV_15~6_combout\ <= NOT \inst|15~6_combout\; -\inst|ALT_INV_15~5_combout\ <= NOT \inst|15~5_combout\; -\inst|ALT_INV_15~4_combout\ <= NOT \inst|15~4_combout\; -\inst|ALT_INV_15~3_combout\ <= NOT \inst|15~3_combout\; -\inst|ALT_INV_15~2_combout\ <= NOT \inst|15~2_combout\; -\inst|ALT_INV_15~1_combout\ <= NOT \inst|15~1_combout\; -\inst|ALT_INV_15~0_combout\ <= NOT \inst|15~0_combout\; - --- Location: IOOBUF_X0_Y11_N2 -\Y0~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_15~0_combout\, - devoe => ww_devoe, - o => \Y0~output_o\); - --- Location: IOOBUF_X0_Y21_N2 -\Y1~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_15~1_combout\, - devoe => ww_devoe, - o => \Y1~output_o\); - --- Location: IOOBUF_X0_Y5_N2 -\Y2~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_15~2_combout\, - devoe => ww_devoe, - o => \Y2~output_o\); - --- Location: IOOBUF_X0_Y7_N23 -\Y3~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_15~3_combout\, - devoe => ww_devoe, - o => \Y3~output_o\); - --- Location: IOOBUF_X0_Y2_N9 -\Y4~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_15~4_combout\, - devoe => ww_devoe, - o => \Y4~output_o\); - --- Location: IOOBUF_X0_Y8_N2 -\Y5~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_15~5_combout\, - devoe => ww_devoe, - o => \Y5~output_o\); - --- Location: IOOBUF_X0_Y3_N9 -\Y6~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_15~6_combout\, - devoe => ww_devoe, - o => \Y6~output_o\); - --- Location: IOOBUF_X1_Y0_N30 -\Y7~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_15~7_combout\, - devoe => ww_devoe, - o => \Y7~output_o\); - --- Location: IOOBUF_X0_Y11_N9 -\Y8~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_15~8_combout\, - devoe => ww_devoe, - o => \Y8~output_o\); - --- Location: IOOBUF_X0_Y12_N2 -\Y9~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_15~9_combout\, - devoe => ww_devoe, - o => \Y9~output_o\); - --- Location: IOOBUF_X0_Y8_N9 -\Y10~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_15~10_combout\, - devoe => ww_devoe, - o => \Y10~output_o\); - --- Location: IOOBUF_X0_Y6_N2 -\Y11~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_15~11_combout\, - devoe => ww_devoe, - o => \Y11~output_o\); - --- Location: IOOBUF_X0_Y8_N23 -\Y12~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_15~12_combout\, - devoe => ww_devoe, - o => \Y12~output_o\); - --- Location: IOOBUF_X0_Y7_N16 -\Y13~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_15~13_combout\, - devoe => ww_devoe, - o => \Y13~output_o\); - --- Location: IOOBUF_X0_Y12_N9 -\Y14~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_15~14_combout\, - devoe => ww_devoe, - o => \Y14~output_o\); - --- Location: IOOBUF_X0_Y4_N9 -\Y15~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_15~15_combout\, - devoe => ww_devoe, - o => \Y15~output_o\); - --- Location: IOIBUF_X0_Y6_N8 -\C~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_C, - o => \C~input_o\); - --- Location: IOIBUF_X0_Y6_N22 -\B~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_B, - o => \B~input_o\); - --- Location: IOIBUF_X0_Y3_N1 -\D~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_D, - o => \D~input_o\); - --- Location: IOIBUF_X7_Y0_N29 -\A~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_A, - o => \A~input_o\); - --- Location: LCCOMB_X1_Y9_N24 -\inst|15~0\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|15~0_combout\ = (!\C~input_o\ & (!\B~input_o\ & (!\D~input_o\ & !\A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000000001", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datab => \B~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst|15~0_combout\); - --- Location: LCCOMB_X1_Y9_N2 -\inst|15~1\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|15~1_combout\ = (!\C~input_o\ & (!\B~input_o\ & (!\D~input_o\ & \A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000100000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datab => \B~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst|15~1_combout\); - --- Location: LCCOMB_X1_Y9_N4 -\inst|15~2\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|15~2_combout\ = (!\C~input_o\ & (\B~input_o\ & (!\D~input_o\ & !\A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000000100", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datab => \B~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst|15~2_combout\); - --- Location: LCCOMB_X1_Y9_N22 -\inst|15~3\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|15~3_combout\ = (!\C~input_o\ & (\B~input_o\ & (!\D~input_o\ & \A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000010000000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datab => \B~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst|15~3_combout\); - --- Location: LCCOMB_X1_Y9_N16 -\inst|15~4\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|15~4_combout\ = (\C~input_o\ & (!\B~input_o\ & (!\D~input_o\ & !\A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000000010", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datab => \B~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst|15~4_combout\); - --- Location: LCCOMB_X1_Y9_N26 -\inst|15~5\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|15~5_combout\ = (\C~input_o\ & (!\B~input_o\ & (!\D~input_o\ & \A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000001000000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datab => \B~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst|15~5_combout\); - --- Location: LCCOMB_X1_Y9_N28 -\inst|15~6\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|15~6_combout\ = (\C~input_o\ & (\B~input_o\ & (!\D~input_o\ & !\A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000001000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datab => \B~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst|15~6_combout\); - --- Location: LCCOMB_X1_Y9_N6 -\inst|15~7\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|15~7_combout\ = (\C~input_o\ & (\B~input_o\ & (!\D~input_o\ & \A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000100000000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datab => \B~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst|15~7_combout\); - --- Location: LCCOMB_X1_Y9_N0 -\inst|15~8\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|15~8_combout\ = (!\C~input_o\ & (!\B~input_o\ & (\D~input_o\ & !\A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000010000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datab => \B~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst|15~8_combout\); - --- Location: LCCOMB_X1_Y9_N10 -\inst|15~9\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|15~9_combout\ = (!\C~input_o\ & (!\B~input_o\ & (\D~input_o\ & \A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0001000000000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datab => \B~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst|15~9_combout\); - --- Location: LCCOMB_X1_Y9_N20 -\inst|15~10\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|15~10_combout\ = (!\C~input_o\ & (\B~input_o\ & (\D~input_o\ & !\A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000001000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datab => \B~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst|15~10_combout\); - --- Location: LCCOMB_X1_Y9_N14 -\inst|15~11\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|15~11_combout\ = (!\C~input_o\ & (\B~input_o\ & (\D~input_o\ & \A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0100000000000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datab => \B~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst|15~11_combout\); - --- Location: LCCOMB_X1_Y9_N8 -\inst|15~12\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|15~12_combout\ = (\C~input_o\ & (!\B~input_o\ & (\D~input_o\ & !\A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000100000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datab => \B~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst|15~12_combout\); - --- Location: LCCOMB_X1_Y9_N18 -\inst|15~13\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|15~13_combout\ = (\C~input_o\ & (!\B~input_o\ & (\D~input_o\ & \A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0010000000000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datab => \B~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst|15~13_combout\); - --- Location: LCCOMB_X1_Y9_N12 -\inst|15~14\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|15~14_combout\ = (\C~input_o\ & (\B~input_o\ & (\D~input_o\ & !\A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000010000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datab => \B~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst|15~14_combout\); - --- Location: LCCOMB_X1_Y9_N30 -\inst|15~15\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|15~15_combout\ = (\C~input_o\ & (\B~input_o\ & (\D~input_o\ & \A~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "1000000000000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datab => \B~input_o\, - datac => \D~input_o\, - datad => \A~input_o\, - combout => \inst|15~15_combout\); - -ww_Y0 <= \Y0~output_o\; - -ww_Y1 <= \Y1~output_o\; - -ww_Y2 <= \Y2~output_o\; - -ww_Y3 <= \Y3~output_o\; - -ww_Y4 <= \Y4~output_o\; - -ww_Y5 <= \Y5~output_o\; - -ww_Y6 <= \Y6~output_o\; - -ww_Y7 <= \Y7~output_o\; - -ww_Y8 <= \Y8~output_o\; - -ww_Y9 <= \Y9~output_o\; - -ww_Y10 <= \Y10~output_o\; - -ww_Y11 <= \Y11~output_o\; - -ww_Y12 <= \Y12~output_o\; - -ww_Y13 <= \Y13~output_o\; - -ww_Y14 <= \Y14~output_o\; - -ww_Y15 <= \Y15~output_o\; -END structure; - - diff --git a/CH6/CH6-1/simulation/modelsim/four_line_to_sixteen_line_decimal_decoder_min_1200mv_0c_vhd_fast.sdo b/CH6/CH6-1/simulation/modelsim/four_line_to_sixteen_line_decimal_decoder_min_1200mv_0c_vhd_fast.sdo deleted file mode 100644 index d5484b59..00000000 --- a/CH6/CH6-1/simulation/modelsim/four_line_to_sixteen_line_decimal_decoder_min_1200mv_0c_vhd_fast.sdo +++ /dev/null @@ -1,491 +0,0 @@ -// Copyright (C) 1991-2013 Altera Corporation -// Your use of Altera Corporation's design tools, logic functions -// and other software and tools, and its AMPP partner logic -// functions, and any output files from any of the foregoing -// (including device programming or simulation files), and any -// associated documentation or information are expressly subject -// to the terms and conditions of the Altera Program License -// Subscription Agreement, Altera MegaCore Function License -// Agreement, or other applicable license agreement, including, -// without limitation, that your use is for the sole purpose of -// programming logic devices manufactured by Altera and sold by -// Altera or its authorized distributors. Please refer to the -// applicable agreement for further details. - - -// -// Device: Altera EP3C16F484C6 Package FBGA484 -// - -// -// This file contains Fast Corner delays for the design using part EP3C16F484C6, -// with speed grade M, core voltage 1.2V, and temperature 0 Celsius -// - -// -// This SDF file should be used for ModelSim-Altera (VHDL) only -// - -(DELAYFILE - (SDFVERSION "2.1") - (DESIGN "four_line_to_sixteen_line_decimal_decoder") - (DATE "10/24/2019 22:11:45") - (VENDOR "Altera") - (PROGRAM "Quartus II 32-bit") - (VERSION "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition") - (DIVIDER .) - (TIMESCALE 1 ps) - - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y0\~output\\) - (DELAY - (ABSOLUTE - (PORT i (368:368:368) (328:328:328)) - (IOPATH i o (1368:1368:1368) (1348:1348:1348)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y1\~output\\) - (DELAY - (ABSOLUTE - (PORT i (638:638:638) (567:567:567)) - (IOPATH i o (1368:1368:1368) (1348:1348:1348)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y2\~output\\) - (DELAY - (ABSOLUTE - (PORT i (374:374:374) (337:337:337)) - (IOPATH i o (1440:1440:1440) (1456:1456:1456)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y3\~output\\) - (DELAY - (ABSOLUTE - (PORT i (372:372:372) (331:331:331)) - (IOPATH i o (1480:1480:1480) (1496:1496:1496)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y4\~output\\) - (DELAY - (ABSOLUTE - (PORT i (557:557:557) (498:498:498)) - (IOPATH i o (1440:1440:1440) (1456:1456:1456)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y5\~output\\) - (DELAY - (ABSOLUTE - (PORT i (371:371:371) (326:326:326)) - (IOPATH i o (1480:1480:1480) (1496:1496:1496)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y6\~output\\) - (DELAY - (ABSOLUTE - (PORT i (580:580:580) (514:514:514)) - (IOPATH i o (1440:1440:1440) (1456:1456:1456)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y7\~output\\) - (DELAY - (ABSOLUTE - (PORT i (615:615:615) (539:539:539)) - (IOPATH i o (1291:1291:1291) (1300:1300:1300)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y8\~output\\) - (DELAY - (ABSOLUTE - (PORT i (365:365:365) (326:326:326)) - (IOPATH i o (2397:2397:2397) (2268:2268:2268)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y9\~output\\) - (DELAY - (ABSOLUTE - (PORT i (380:380:380) (341:341:341)) - (IOPATH i o (1440:1440:1440) (1456:1456:1456)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y10\~output\\) - (DELAY - (ABSOLUTE - (PORT i (426:426:426) (380:380:380)) - (IOPATH i o (1378:1378:1378) (1358:1358:1358)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y11\~output\\) - (DELAY - (ABSOLUTE - (PORT i (369:369:369) (333:333:333)) - (IOPATH i o (1490:1490:1490) (1506:1506:1506)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y12\~output\\) - (DELAY - (ABSOLUTE - (PORT i (353:353:353) (313:313:313)) - (IOPATH i o (1450:1450:1450) (1466:1466:1466)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y13\~output\\) - (DELAY - (ABSOLUTE - (PORT i (452:452:452) (399:399:399)) - (IOPATH i o (1490:1490:1490) (1506:1506:1506)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y14\~output\\) - (DELAY - (ABSOLUTE - (PORT i (357:357:357) (320:320:320)) - (IOPATH i o (1440:1440:1440) (1456:1456:1456)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y15\~output\\) - (DELAY - (ABSOLUTE - (PORT i (451:451:451) (403:403:403)) - (IOPATH i o (1440:1440:1440) (1456:1456:1456)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\C\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (431:431:431) (813:813:813)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\B\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (391:391:391) (773:773:773)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\D\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (381:381:381) (763:763:763)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\A\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (412:412:412) (794:794:794)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|15\~0\\) - (DELAY - (ABSOLUTE - (PORT dataa (1721:1721:1721) (1936:1936:1936)) - (PORT datab (1731:1731:1731) (1947:1947:1947)) - (PORT datac (1872:1872:1872) (2101:2101:2101)) - (PORT datad (1886:1886:1886) (2128:2128:2128)) - (IOPATH dataa combout (158:158:158) (157:157:157)) - (IOPATH datab combout (160:160:160) (156:156:156)) - (IOPATH datac combout (120:120:120) (124:124:124)) - (IOPATH datad combout (68:68:68) (63:63:63)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|15\~1\\) - (DELAY - (ABSOLUTE - (PORT dataa (1735:1735:1735) (1953:1953:1953)) - (PORT datab (1744:1744:1744) (1962:1962:1962)) - (PORT datac (1853:1853:1853) (2080:2080:2080)) - (PORT datad (1880:1880:1880) (2121:2121:2121)) - (IOPATH dataa combout (158:158:158) (157:157:157)) - (IOPATH datab combout (160:160:160) (156:156:156)) - (IOPATH datac combout (119:119:119) (125:125:125)) - (IOPATH datad combout (68:68:68) (63:63:63)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|15\~2\\) - (DELAY - (ABSOLUTE - (PORT dataa (1735:1735:1735) (1953:1953:1953)) - (PORT datab (1744:1744:1744) (1963:1963:1963)) - (PORT datac (1855:1855:1855) (2083:2083:2083)) - (PORT datad (1878:1878:1878) (2123:2123:2123)) - (IOPATH dataa combout (158:158:158) (157:157:157)) - (IOPATH datab combout (168:168:168) (167:167:167)) - (IOPATH datac combout (120:120:120) (124:124:124)) - (IOPATH datad combout (68:68:68) (63:63:63)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|15\~3\\) - (DELAY - (ABSOLUTE - (PORT dataa (1723:1723:1723) (1938:1938:1938)) - (PORT datab (1733:1733:1733) (1950:1950:1950)) - (PORT datac (1871:1871:1871) (2100:2100:2100)) - (PORT datad (1885:1885:1885) (2128:2128:2128)) - (IOPATH dataa combout (158:158:158) (157:157:157)) - (IOPATH datab combout (166:166:166) (158:158:158)) - (IOPATH datac combout (119:119:119) (125:125:125)) - (IOPATH datad combout (68:68:68) (63:63:63)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|15\~4\\) - (DELAY - (ABSOLUTE - (PORT dataa (1727:1727:1727) (1943:1943:1943)) - (PORT datab (1736:1736:1736) (1953:1953:1953)) - (PORT datac (1868:1868:1868) (2097:2097:2097)) - (PORT datad (1883:1883:1883) (2125:2125:2125)) - (IOPATH dataa combout (170:170:170) (163:163:163)) - (IOPATH datab combout (160:160:160) (156:156:156)) - (IOPATH datac combout (120:120:120) (124:124:124)) - (IOPATH datad combout (68:68:68) (63:63:63)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|15\~5\\) - (DELAY - (ABSOLUTE - (PORT dataa (1715:1715:1715) (1929:1929:1929)) - (PORT datab (1724:1724:1724) (1939:1939:1939)) - (PORT datac (1872:1872:1872) (2102:2102:2102)) - (PORT datad (1884:1884:1884) (2126:2126:2126)) - (IOPATH dataa combout (165:165:165) (159:159:159)) - (IOPATH datab combout (160:160:160) (156:156:156)) - (IOPATH datac combout (119:119:119) (125:125:125)) - (IOPATH datad combout (68:68:68) (63:63:63)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|15\~6\\) - (DELAY - (ABSOLUTE - (PORT dataa (1715:1715:1715) (1929:1929:1929)) - (PORT datab (1724:1724:1724) (1940:1940:1940)) - (PORT datac (1873:1873:1873) (2102:2102:2102)) - (PORT datad (1885:1885:1885) (2127:2127:2127)) - (IOPATH dataa combout (170:170:170) (163:163:163)) - (IOPATH datab combout (168:168:168) (167:167:167)) - (IOPATH datac combout (120:120:120) (124:124:124)) - (IOPATH datad combout (68:68:68) (63:63:63)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|15\~7\\) - (DELAY - (ABSOLUTE - (PORT dataa (1734:1734:1734) (1952:1952:1952)) - (PORT datab (1744:1744:1744) (1963:1963:1963)) - (PORT datac (1857:1857:1857) (2085:2085:2085)) - (PORT datad (1880:1880:1880) (2122:2122:2122)) - (IOPATH dataa combout (158:158:158) (163:163:163)) - (IOPATH datab combout (160:160:160) (167:167:167)) - (IOPATH datac combout (119:119:119) (125:125:125)) - (IOPATH datad combout (68:68:68) (63:63:63)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|15\~8\\) - (DELAY - (ABSOLUTE - (PORT dataa (1736:1736:1736) (1953:1953:1953)) - (PORT datab (1744:1744:1744) (1963:1963:1963)) - (PORT datac (1850:1850:1850) (2077:2077:2077)) - (PORT datad (1880:1880:1880) (2122:2122:2122)) - (IOPATH dataa combout (158:158:158) (157:157:157)) - (IOPATH datab combout (160:160:160) (156:156:156)) - (IOPATH datac combout (119:119:119) (124:124:124)) - (IOPATH datad combout (68:68:68) (63:63:63)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|15\~9\\) - (DELAY - (ABSOLUTE - (PORT dataa (1733:1733:1733) (1950:1950:1950)) - (PORT datab (1741:1741:1741) (1959:1959:1959)) - (PORT datac (1861:1861:1861) (2089:2089:2089)) - (PORT datad (1881:1881:1881) (2123:2123:2123)) - (IOPATH dataa combout (158:158:158) (157:157:157)) - (IOPATH datab combout (160:160:160) (156:156:156)) - (IOPATH datac combout (119:119:119) (124:124:124)) - (IOPATH datad combout (68:68:68) (63:63:63)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|15\~10\\) - (DELAY - (ABSOLUTE - (PORT dataa (1722:1722:1722) (1936:1936:1936)) - (PORT datab (1732:1732:1732) (1949:1949:1949)) - (PORT datac (1870:1870:1870) (2100:2100:2100)) - (PORT datad (1883:1883:1883) (2124:2124:2124)) - (IOPATH dataa combout (158:158:158) (157:157:157)) - (IOPATH datab combout (168:168:168) (167:167:167)) - (IOPATH datac combout (119:119:119) (124:124:124)) - (IOPATH datad combout (68:68:68) (63:63:63)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|15\~11\\) - (DELAY - (ABSOLUTE - (PORT dataa (1731:1731:1731) (1943:1943:1943)) - (PORT datab (1740:1740:1740) (1959:1959:1959)) - (PORT datac (1865:1865:1865) (2093:2093:2093)) - (PORT datad (1878:1878:1878) (2118:2118:2118)) - (IOPATH dataa combout (158:158:158) (157:157:157)) - (IOPATH datab combout (167:167:167) (156:156:156)) - (IOPATH datac combout (119:119:119) (124:124:124)) - (IOPATH datad combout (68:68:68) (63:63:63)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|15\~12\\) - (DELAY - (ABSOLUTE - (PORT dataa (1733:1733:1733) (1952:1952:1952)) - (PORT datab (1743:1743:1743) (1962:1962:1962)) - (PORT datac (1860:1860:1860) (2088:2088:2088)) - (PORT datad (1882:1882:1882) (2121:2121:2121)) - (IOPATH dataa combout (170:170:170) (163:163:163)) - (IOPATH datab combout (160:160:160) (156:156:156)) - (IOPATH datac combout (119:119:119) (124:124:124)) - (IOPATH datad combout (68:68:68) (63:63:63)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|15\~13\\) - (DELAY - (ABSOLUTE - (PORT dataa (1721:1721:1721) (1935:1935:1935)) - (PORT datab (1731:1731:1731) (1948:1948:1948)) - (PORT datac (1869:1869:1869) (2098:2098:2098)) - (PORT datad (1881:1881:1881) (2123:2123:2123)) - (IOPATH dataa combout (166:166:166) (157:157:157)) - (IOPATH datab combout (160:160:160) (156:156:156)) - (IOPATH datac combout (119:119:119) (124:124:124)) - (IOPATH datad combout (68:68:68) (63:63:63)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|15\~14\\) - (DELAY - (ABSOLUTE - (PORT dataa (1732:1732:1732) (1949:1949:1949)) - (PORT datab (1740:1740:1740) (1958:1958:1958)) - (PORT datac (1863:1863:1863) (2091:2091:2091)) - (PORT datad (1880:1880:1880) (2121:2121:2121)) - (IOPATH dataa combout (170:170:170) (163:163:163)) - (IOPATH datab combout (168:168:168) (167:167:167)) - (IOPATH datac combout (119:119:119) (124:124:124)) - (IOPATH datad combout (68:68:68) (63:63:63)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|15\~15\\) - (DELAY - (ABSOLUTE - (PORT dataa (1716:1716:1716) (1930:1930:1930)) - (PORT datab (1724:1724:1724) (1940:1940:1940)) - (PORT datac (1873:1873:1873) (2103:2103:2103)) - (PORT datad (1885:1885:1885) (2127:2127:2127)) - (IOPATH dataa combout (159:159:159) (163:163:163)) - (IOPATH datab combout (161:161:161) (167:167:167)) - (IOPATH datac combout (119:119:119) (124:124:124)) - (IOPATH datad combout (68:68:68) (63:63:63)) - ) - ) - ) -) diff --git a/CH6/CH6-1/simulation/modelsim/four_line_to_sixteen_line_decimal_decoder_modelsim.xrf b/CH6/CH6-1/simulation/modelsim/four_line_to_sixteen_line_decimal_decoder_modelsim.xrf deleted file mode 100644 index e80ae9b2..00000000 --- a/CH6/CH6-1/simulation/modelsim/four_line_to_sixteen_line_decimal_decoder_modelsim.xrf +++ /dev/null @@ -1,41 +0,0 @@ -vendor_name = ModelSim -source_file = 1, /home/timmy/Git/Learn-VHDL/CH6/CH6-1/four_line_to_sixteen_line_decimal_decoder.bdf -source_file = 1, /home/timmy/Git/Learn-VHDL/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.cbx.xml -source_file = 1, /home/timmy/altera/13.1/quartus/libraries/others/maxplus2/74138.bdf -design_name = four_line_to_sixteen_line_decimal_decoder -instance = comp, \Y0~output\, Y0~output, four_line_to_sixteen_line_decimal_decoder, 1 -instance = comp, \Y1~output\, Y1~output, four_line_to_sixteen_line_decimal_decoder, 1 -instance = comp, \Y2~output\, Y2~output, four_line_to_sixteen_line_decimal_decoder, 1 -instance = comp, \Y3~output\, Y3~output, four_line_to_sixteen_line_decimal_decoder, 1 -instance = comp, \Y4~output\, Y4~output, four_line_to_sixteen_line_decimal_decoder, 1 -instance = comp, \Y5~output\, Y5~output, four_line_to_sixteen_line_decimal_decoder, 1 -instance = comp, \Y6~output\, Y6~output, four_line_to_sixteen_line_decimal_decoder, 1 -instance = comp, \Y7~output\, Y7~output, four_line_to_sixteen_line_decimal_decoder, 1 -instance = comp, \Y8~output\, Y8~output, four_line_to_sixteen_line_decimal_decoder, 1 -instance = comp, \Y9~output\, Y9~output, four_line_to_sixteen_line_decimal_decoder, 1 -instance = comp, \Y10~output\, Y10~output, four_line_to_sixteen_line_decimal_decoder, 1 -instance = comp, \Y11~output\, Y11~output, four_line_to_sixteen_line_decimal_decoder, 1 -instance = comp, \Y12~output\, Y12~output, four_line_to_sixteen_line_decimal_decoder, 1 -instance = comp, \Y13~output\, Y13~output, four_line_to_sixteen_line_decimal_decoder, 1 -instance = comp, \Y14~output\, Y14~output, four_line_to_sixteen_line_decimal_decoder, 1 -instance = comp, \Y15~output\, Y15~output, four_line_to_sixteen_line_decimal_decoder, 1 -instance = comp, \C~input\, C~input, four_line_to_sixteen_line_decimal_decoder, 1 -instance = comp, \B~input\, B~input, four_line_to_sixteen_line_decimal_decoder, 1 -instance = comp, \D~input\, D~input, four_line_to_sixteen_line_decimal_decoder, 1 -instance = comp, \A~input\, A~input, four_line_to_sixteen_line_decimal_decoder, 1 -instance = comp, \inst|15~0\, inst|15~0, four_line_to_sixteen_line_decimal_decoder, 1 -instance = comp, \inst|15~1\, inst|15~1, four_line_to_sixteen_line_decimal_decoder, 1 -instance = comp, \inst|15~2\, inst|15~2, four_line_to_sixteen_line_decimal_decoder, 1 -instance = comp, \inst|15~3\, inst|15~3, four_line_to_sixteen_line_decimal_decoder, 1 -instance = comp, \inst|15~4\, inst|15~4, four_line_to_sixteen_line_decimal_decoder, 1 -instance = comp, \inst|15~5\, inst|15~5, four_line_to_sixteen_line_decimal_decoder, 1 -instance = comp, \inst|15~6\, inst|15~6, four_line_to_sixteen_line_decimal_decoder, 1 -instance = comp, \inst|15~7\, inst|15~7, four_line_to_sixteen_line_decimal_decoder, 1 -instance = comp, \inst|15~8\, inst|15~8, four_line_to_sixteen_line_decimal_decoder, 1 -instance = comp, \inst|15~9\, inst|15~9, four_line_to_sixteen_line_decimal_decoder, 1 -instance = comp, \inst|15~10\, inst|15~10, four_line_to_sixteen_line_decimal_decoder, 1 -instance = comp, \inst|15~11\, inst|15~11, four_line_to_sixteen_line_decimal_decoder, 1 -instance = comp, \inst|15~12\, inst|15~12, four_line_to_sixteen_line_decimal_decoder, 1 -instance = comp, \inst|15~13\, inst|15~13, four_line_to_sixteen_line_decimal_decoder, 1 -instance = comp, \inst|15~14\, inst|15~14, four_line_to_sixteen_line_decimal_decoder, 1 -instance = comp, \inst|15~15\, inst|15~15, four_line_to_sixteen_line_decimal_decoder, 1 diff --git a/CH6/CH6-1/simulation/modelsim/four_line_to_sixteen_line_decimal_decoder_vhd.sdo b/CH6/CH6-1/simulation/modelsim/four_line_to_sixteen_line_decimal_decoder_vhd.sdo deleted file mode 100644 index 2856a0c1..00000000 --- a/CH6/CH6-1/simulation/modelsim/four_line_to_sixteen_line_decimal_decoder_vhd.sdo +++ /dev/null @@ -1,491 +0,0 @@ -// Copyright (C) 1991-2013 Altera Corporation -// Your use of Altera Corporation's design tools, logic functions -// and other software and tools, and its AMPP partner logic -// functions, and any output files from any of the foregoing -// (including device programming or simulation files), and any -// associated documentation or information are expressly subject -// to the terms and conditions of the Altera Program License -// Subscription Agreement, Altera MegaCore Function License -// Agreement, or other applicable license agreement, including, -// without limitation, that your use is for the sole purpose of -// programming logic devices manufactured by Altera and sold by -// Altera or its authorized distributors. Please refer to the -// applicable agreement for further details. - - -// -// Device: Altera EP3C16F484C6 Package FBGA484 -// - -// -// This file contains Slow Corner delays for the design using part EP3C16F484C6, -// with speed grade 6, core voltage 1.2V, and temperature 85 Celsius -// - -// -// This SDF file should be used for ModelSim-Altera (VHDL) only -// - -(DELAYFILE - (SDFVERSION "2.1") - (DESIGN "four_line_to_sixteen_line_decimal_decoder") - (DATE "10/24/2019 22:11:45") - (VENDOR "Altera") - (PROGRAM "Quartus II 32-bit") - (VERSION "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition") - (DIVIDER .) - (TIMESCALE 1 ps) - - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y0\~output\\) - (DELAY - (ABSOLUTE - (PORT i (605:605:605) (595:595:595)) - (IOPATH i o (2095:2095:2095) (2137:2137:2137)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y1\~output\\) - (DELAY - (ABSOLUTE - (PORT i (1027:1027:1027) (989:989:989)) - (IOPATH i o (2095:2095:2095) (2137:2137:2137)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y2\~output\\) - (DELAY - (ABSOLUTE - (PORT i (631:631:631) (604:604:604)) - (IOPATH i o (2204:2204:2204) (2216:2216:2216)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y3\~output\\) - (DELAY - (ABSOLUTE - (PORT i (612:612:612) (606:606:606)) - (IOPATH i o (2244:2244:2244) (2256:2256:2256)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y4\~output\\) - (DELAY - (ABSOLUTE - (PORT i (913:913:913) (896:896:896)) - (IOPATH i o (2204:2204:2204) (2216:2216:2216)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y5\~output\\) - (DELAY - (ABSOLUTE - (PORT i (623:623:623) (613:613:613)) - (IOPATH i o (2244:2244:2244) (2256:2256:2256)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y6\~output\\) - (DELAY - (ABSOLUTE - (PORT i (954:954:954) (924:924:924)) - (IOPATH i o (2204:2204:2204) (2216:2216:2216)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y7\~output\\) - (DELAY - (ABSOLUTE - (PORT i (964:964:964) (981:981:981)) - (IOPATH i o (2009:2009:2009) (2060:2060:2060)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y8\~output\\) - (DELAY - (ABSOLUTE - (PORT i (601:601:601) (599:599:599)) - (IOPATH i o (3517:3517:3517) (3416:3416:3416)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y9\~output\\) - (DELAY - (ABSOLUTE - (PORT i (638:638:638) (610:610:610)) - (IOPATH i o (2204:2204:2204) (2216:2216:2216)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y10\~output\\) - (DELAY - (ABSOLUTE - (PORT i (716:716:716) (721:721:721)) - (IOPATH i o (2105:2105:2105) (2147:2147:2147)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y11\~output\\) - (DELAY - (ABSOLUTE - (PORT i (623:623:623) (600:600:600)) - (IOPATH i o (2254:2254:2254) (2266:2266:2266)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y12\~output\\) - (DELAY - (ABSOLUTE - (PORT i (589:589:589) (590:590:590)) - (IOPATH i o (2214:2214:2214) (2226:2226:2226)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y13\~output\\) - (DELAY - (ABSOLUTE - (PORT i (758:758:758) (764:764:764)) - (IOPATH i o (2254:2254:2254) (2266:2266:2266)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y14\~output\\) - (DELAY - (ABSOLUTE - (PORT i (606:606:606) (586:586:586)) - (IOPATH i o (2204:2204:2204) (2216:2216:2216)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y15\~output\\) - (DELAY - (ABSOLUTE - (PORT i (750:750:750) (766:766:766)) - (IOPATH i o (2204:2204:2204) (2216:2216:2216)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\C\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (775:775:775) (936:936:936)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\B\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (735:735:735) (896:896:896)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\D\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (725:725:725) (886:886:886)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\A\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (739:739:739) (902:902:902)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|15\~0\\) - (DELAY - (ABSOLUTE - (PORT dataa (2919:2919:2919) (3262:3262:3262)) - (PORT datab (2955:2955:2955) (3275:3275:3275)) - (PORT datac (3158:3158:3158) (3487:3487:3487)) - (PORT datad (3239:3239:3239) (3556:3556:3556)) - (IOPATH dataa combout (350:350:350) (366:366:366)) - (IOPATH datab combout (350:350:350) (368:368:368)) - (IOPATH datac combout (241:241:241) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|15\~1\\) - (DELAY - (ABSOLUTE - (PORT dataa (2942:2942:2942) (3278:3278:3278)) - (PORT datab (2979:2979:2979) (3291:3291:3291)) - (PORT datac (3140:3140:3140) (3467:3467:3467)) - (PORT datad (3236:3236:3236) (3549:3549:3549)) - (IOPATH dataa combout (300:300:300) (307:307:307)) - (IOPATH datab combout (300:300:300) (310:310:310)) - (IOPATH datac combout (241:241:241) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|15\~2\\) - (DELAY - (ABSOLUTE - (PORT dataa (2941:2941:2941) (3278:3278:3278)) - (PORT datab (2978:2978:2978) (3292:3292:3292)) - (PORT datac (3142:3142:3142) (3470:3470:3470)) - (PORT datad (3235:3235:3235) (3546:3546:3546)) - (IOPATH dataa combout (371:371:371) (376:376:376)) - (IOPATH datab combout (355:355:355) (349:349:349)) - (IOPATH datac combout (241:241:241) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|15\~3\\) - (DELAY - (ABSOLUTE - (PORT dataa (2918:2918:2918) (3264:3264:3264)) - (PORT datab (2954:2954:2954) (3278:3278:3278)) - (PORT datac (3158:3158:3158) (3487:3487:3487)) - (PORT datad (3238:3238:3238) (3556:3556:3556)) - (IOPATH dataa combout (325:325:325) (320:320:320)) - (IOPATH datab combout (304:304:304) (308:308:308)) - (IOPATH datac combout (241:241:241) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|15\~4\\) - (DELAY - (ABSOLUTE - (PORT dataa (2934:2934:2934) (3269:3269:3269)) - (PORT datab (2972:2972:2972) (3283:3283:3283)) - (PORT datac (3155:3155:3155) (3483:3483:3483)) - (PORT datad (3240:3240:3240) (3557:3557:3557)) - (IOPATH dataa combout (354:354:354) (349:349:349)) - (IOPATH datab combout (381:381:381) (380:380:380)) - (IOPATH datac combout (241:241:241) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|15\~5\\) - (DELAY - (ABSOLUTE - (PORT dataa (2920:2920:2920) (3254:3254:3254)) - (PORT datab (2956:2956:2956) (3267:3267:3267)) - (PORT datac (3159:3159:3159) (3488:3488:3488)) - (PORT datad (3244:3244:3244) (3553:3553:3553)) - (IOPATH dataa combout (303:303:303) (299:299:299)) - (IOPATH datab combout (336:336:336) (325:325:325)) - (IOPATH datac combout (241:241:241) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|15\~6\\) - (DELAY - (ABSOLUTE - (PORT dataa (2921:2921:2921) (3255:3255:3255)) - (PORT datab (2956:2956:2956) (3268:3268:3268)) - (PORT datac (3160:3160:3160) (3488:3488:3488)) - (PORT datad (3245:3245:3245) (3554:3554:3554)) - (IOPATH dataa combout (339:339:339) (367:367:367)) - (IOPATH datab combout (344:344:344) (369:369:369)) - (IOPATH datac combout (241:241:241) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|15\~7\\) - (DELAY - (ABSOLUTE - (PORT dataa (2940:2940:2940) (3277:3277:3277)) - (PORT datab (2977:2977:2977) (3291:3291:3291)) - (PORT datac (3144:3144:3144) (3472:3472:3472)) - (PORT datad (3235:3235:3235) (3549:3549:3549)) - (IOPATH dataa combout (300:300:300) (308:308:308)) - (IOPATH datab combout (300:300:300) (311:311:311)) - (IOPATH datac combout (241:241:241) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|15\~8\\) - (DELAY - (ABSOLUTE - (PORT dataa (2943:2943:2943) (3278:3278:3278)) - (PORT datab (2979:2979:2979) (3291:3291:3291)) - (PORT datac (3138:3138:3138) (3464:3464:3464)) - (PORT datad (3237:3237:3237) (3550:3550:3550)) - (IOPATH dataa combout (337:337:337) (338:338:338)) - (IOPATH datab combout (337:337:337) (348:348:348)) - (IOPATH datac combout (243:243:243) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|15\~9\\) - (DELAY - (ABSOLUTE - (PORT dataa (2931:2931:2931) (3275:3275:3275)) - (PORT datab (2968:2968:2968) (3289:3289:3289)) - (PORT datac (3149:3149:3149) (3476:3476:3476)) - (PORT datad (3229:3229:3229) (3554:3554:3554)) - (IOPATH dataa combout (301:301:301) (299:299:299)) - (IOPATH datab combout (300:300:300) (308:308:308)) - (IOPATH datac combout (241:241:241) (242:242:242)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|15\~10\\) - (DELAY - (ABSOLUTE - (PORT dataa (2931:2931:2931) (3262:3262:3262)) - (PORT datab (2968:2968:2968) (3276:3276:3276)) - (PORT datac (3157:3157:3157) (3486:3486:3486)) - (PORT datad (3242:3242:3242) (3557:3557:3557)) - (IOPATH dataa combout (356:356:356) (368:368:368)) - (IOPATH datab combout (342:342:342) (318:318:318)) - (IOPATH datac combout (243:243:243) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|15\~11\\) - (DELAY - (ABSOLUTE - (PORT dataa (2935:2935:2935) (3269:3269:3269)) - (PORT datab (2972:2972:2972) (3283:3283:3283)) - (PORT datac (3153:3153:3153) (3480:3480:3480)) - (PORT datad (3231:3231:3231) (3556:3556:3556)) - (IOPATH dataa combout (324:324:324) (328:328:328)) - (IOPATH datab combout (306:306:306) (308:308:308)) - (IOPATH datac combout (241:241:241) (242:242:242)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|15\~12\\) - (DELAY - (ABSOLUTE - (PORT dataa (2939:2939:2939) (3277:3277:3277)) - (PORT datab (2976:2976:2976) (3291:3291:3291)) - (PORT datac (3147:3147:3147) (3475:3475:3475)) - (PORT datad (3234:3234:3234) (3552:3552:3552)) - (IOPATH dataa combout (341:341:341) (319:319:319)) - (IOPATH datab combout (365:365:365) (373:373:373)) - (IOPATH datac combout (243:243:243) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|15\~13\\) - (DELAY - (ABSOLUTE - (PORT dataa (2933:2933:2933) (3260:3260:3260)) - (PORT datab (2970:2970:2970) (3275:3275:3275)) - (PORT datac (3156:3156:3156) (3484:3484:3484)) - (PORT datad (3241:3241:3241) (3556:3556:3556)) - (IOPATH dataa combout (304:304:304) (299:299:299)) - (IOPATH datab combout (333:333:333) (332:332:332)) - (IOPATH datac combout (241:241:241) (242:242:242)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|15\~14\\) - (DELAY - (ABSOLUTE - (PORT dataa (2933:2933:2933) (3274:3274:3274)) - (PORT datab (2970:2970:2970) (3288:3288:3288)) - (PORT datac (3151:3151:3151) (3478:3478:3478)) - (PORT datad (3232:3232:3232) (3553:3553:3553)) - (IOPATH dataa combout (327:327:327) (347:347:347)) - (IOPATH datab combout (331:331:331) (342:342:342)) - (IOPATH datac combout (243:243:243) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|15\~15\\) - (DELAY - (ABSOLUTE - (PORT dataa (2922:2922:2922) (3255:3255:3255)) - (PORT datab (2957:2957:2957) (3268:3268:3268)) - (PORT datac (3161:3161:3161) (3489:3489:3489)) - (PORT datad (3246:3246:3246) (3554:3554:3554)) - (IOPATH dataa combout (300:300:300) (307:307:307)) - (IOPATH datab combout (300:300:300) (308:308:308)) - (IOPATH datac combout (241:241:241) (242:242:242)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) -) diff --git a/CH6/CH6-1/simulation/modelsim/ten_line_to_four_line_BCD_priority_encoder.sft b/CH6/CH6-1/simulation/modelsim/ten_line_to_four_line_BCD_priority_encoder.sft deleted file mode 100644 index e18195f3..00000000 --- a/CH6/CH6-1/simulation/modelsim/ten_line_to_four_line_BCD_priority_encoder.sft +++ /dev/null @@ -1,6 +0,0 @@ -set tool_name "ModelSim-Altera (VHDL)" -set corner_file_list { - {{"Slow -6 1.2V 85 Model"} {ten_line_to_four_line_BCD_priority_encoder_6_1200mv_85c_slow.vho ten_line_to_four_line_BCD_priority_encoder_6_1200mv_85c_vhd_slow.sdo}} - {{"Slow -6 1.2V 0 Model"} {ten_line_to_four_line_BCD_priority_encoder_6_1200mv_0c_slow.vho ten_line_to_four_line_BCD_priority_encoder_6_1200mv_0c_vhd_slow.sdo}} - {{"Fast -M 1.2V 0 Model"} {ten_line_to_four_line_BCD_priority_encoder_min_1200mv_0c_fast.vho ten_line_to_four_line_BCD_priority_encoder_min_1200mv_0c_vhd_fast.sdo}} -} diff --git a/CH6/CH6-1/simulation/modelsim/ten_line_to_four_line_BCD_priority_encoder.vho b/CH6/CH6-1/simulation/modelsim/ten_line_to_four_line_BCD_priority_encoder.vho deleted file mode 100644 index bdf62f13..00000000 --- a/CH6/CH6-1/simulation/modelsim/ten_line_to_four_line_BCD_priority_encoder.vho +++ /dev/null @@ -1,386 +0,0 @@ --- Copyright (C) 1991-2013 Altera Corporation --- Your use of Altera Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Altera Program License --- Subscription Agreement, Altera MegaCore Function License --- Agreement, or other applicable license agreement, including, --- without limitation, that your use is for the sole purpose of --- programming logic devices manufactured by Altera and sold by --- Altera or its authorized distributors. Please refer to the --- applicable agreement for further details. - --- VENDOR "Altera" --- PROGRAM "Quartus II 32-bit" --- VERSION "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition" - --- DATE "10/17/2019 09:29:37" - --- --- Device: Altera EP3C16F484C6 Package FBGA484 --- - --- --- This VHDL file should be used for ModelSim-Altera (VHDL) only --- - -LIBRARY CYCLONEIII; -LIBRARY IEEE; -USE CYCLONEIII.CYCLONEIII_COMPONENTS.ALL; -USE IEEE.STD_LOGIC_1164.ALL; - -ENTITY ten_line_to_four_line_BCD_priority_encoder IS - PORT ( - A : OUT std_logic; - I2 : IN std_logic; - I3 : IN std_logic; - I6 : IN std_logic; - I5 : IN std_logic; - I4 : IN std_logic; - I9 : IN std_logic; - I8 : IN std_logic; - I7 : IN std_logic; - B : OUT std_logic; - C : OUT std_logic; - D : OUT std_logic - ); -END ten_line_to_four_line_BCD_priority_encoder; - --- Design Ports Information --- A => Location: PIN_E6, I/O Standard: 2.5 V, Current Strength: Default --- B => Location: PIN_A5, I/O Standard: 2.5 V, Current Strength: Default --- C => Location: PIN_J1, I/O Standard: 2.5 V, Current Strength: Default --- D => Location: PIN_R9, I/O Standard: 2.5 V, Current Strength: Default --- I6 => Location: PIN_J2, I/O Standard: 2.5 V, Current Strength: Default --- I4 => Location: PIN_E13, I/O Standard: 2.5 V, Current Strength: Default --- I3 => Location: PIN_P2, I/O Standard: 2.5 V, Current Strength: Default --- I5 => Location: PIN_M4, I/O Standard: 2.5 V, Current Strength: Default --- I7 => Location: PIN_M1, I/O Standard: 2.5 V, Current Strength: Default --- I9 => Location: PIN_N2, I/O Standard: 2.5 V, Current Strength: Default --- I8 => Location: PIN_M2, I/O Standard: 2.5 V, Current Strength: Default --- I2 => Location: PIN_G4, I/O Standard: 2.5 V, Current Strength: Default - - -ARCHITECTURE structure OF ten_line_to_four_line_BCD_priority_encoder IS -SIGNAL gnd : std_logic := '0'; -SIGNAL vcc : std_logic := '1'; -SIGNAL unknown : std_logic := 'X'; -SIGNAL devoe : std_logic := '1'; -SIGNAL devclrn : std_logic := '1'; -SIGNAL devpor : std_logic := '1'; -SIGNAL ww_devoe : std_logic; -SIGNAL ww_devclrn : std_logic; -SIGNAL ww_devpor : std_logic; -SIGNAL ww_A : std_logic; -SIGNAL ww_I2 : std_logic; -SIGNAL ww_I3 : std_logic; -SIGNAL ww_I6 : std_logic; -SIGNAL ww_I5 : std_logic; -SIGNAL ww_I4 : std_logic; -SIGNAL ww_I9 : std_logic; -SIGNAL ww_I8 : std_logic; -SIGNAL ww_I7 : std_logic; -SIGNAL ww_B : std_logic; -SIGNAL ww_C : std_logic; -SIGNAL ww_D : std_logic; -SIGNAL \A~output_o\ : std_logic; -SIGNAL \B~output_o\ : std_logic; -SIGNAL \C~output_o\ : std_logic; -SIGNAL \D~output_o\ : std_logic; -SIGNAL \I7~input_o\ : std_logic; -SIGNAL \I8~input_o\ : std_logic; -SIGNAL \I9~input_o\ : std_logic; -SIGNAL \I3~input_o\ : std_logic; -SIGNAL \I6~input_o\ : std_logic; -SIGNAL \I5~input_o\ : std_logic; -SIGNAL \I4~input_o\ : std_logic; -SIGNAL \inst|7~2_combout\ : std_logic; -SIGNAL \inst|7~3_combout\ : std_logic; -SIGNAL \I2~input_o\ : std_logic; -SIGNAL \inst|8~4_combout\ : std_logic; -SIGNAL \inst|67~combout\ : std_logic; -SIGNAL \inst|8~5_combout\ : std_logic; -SIGNAL \inst|9~0_combout\ : std_logic; -SIGNAL \inst|9~1_combout\ : std_logic; - -BEGIN - -A <= ww_A; -ww_I2 <= I2; -ww_I3 <= I3; -ww_I6 <= I6; -ww_I5 <= I5; -ww_I4 <= I4; -ww_I9 <= I9; -ww_I8 <= I8; -ww_I7 <= I7; -B <= ww_B; -C <= ww_C; -D <= ww_D; -ww_devoe <= devoe; -ww_devclrn <= devclrn; -ww_devpor <= devpor; - --- Location: IOOBUF_X1_Y29_N23 -\A~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|7~3_combout\, - devoe => ww_devoe, - o => \A~output_o\); - --- Location: IOOBUF_X7_Y29_N9 -\B~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|8~5_combout\, - devoe => ww_devoe, - o => \B~output_o\); - --- Location: IOOBUF_X0_Y20_N9 -\C~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|9~1_combout\, - devoe => ww_devoe, - o => \C~output_o\); - --- Location: IOOBUF_X1_Y0_N30 -\D~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|67~combout\, - devoe => ww_devoe, - o => \D~output_o\); - --- Location: IOIBUF_X0_Y13_N22 -\I7~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I7, - o => \I7~input_o\); - --- Location: IOIBUF_X0_Y13_N15 -\I8~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I8, - o => \I8~input_o\); - --- Location: IOIBUF_X0_Y12_N15 -\I9~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I9, - o => \I9~input_o\); - --- Location: IOIBUF_X0_Y11_N15 -\I3~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I3, - o => \I3~input_o\); - --- Location: IOIBUF_X0_Y20_N1 -\I6~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I6, - o => \I6~input_o\); - --- Location: IOIBUF_X0_Y12_N1 -\I5~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I5, - o => \I5~input_o\); - --- Location: IOIBUF_X23_Y29_N15 -\I4~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I4, - o => \I4~input_o\); - --- Location: LCCOMB_X1_Y20_N0 -\inst|7~2\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|7~2_combout\ = (\I6~input_o\ & (((!\I3~input_o\ & \I4~input_o\)) # (!\I5~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0100110000001100", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \I3~input_o\, - datab => \I6~input_o\, - datac => \I5~input_o\, - datad => \I4~input_o\, - combout => \inst|7~2_combout\); - --- Location: LCCOMB_X1_Y20_N2 -\inst|7~3\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|7~3_combout\ = ((\I8~input_o\ & ((\inst|7~2_combout\) # (!\I7~input_o\)))) # (!\I9~input_o\) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "1100111101001111", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \I7~input_o\, - datab => \I8~input_o\, - datac => \I9~input_o\, - datad => \inst|7~2_combout\, - combout => \inst|7~3_combout\); - --- Location: IOIBUF_X0_Y23_N8 -\I2~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I2, - o => \I2~input_o\); - --- Location: LCCOMB_X1_Y20_N12 -\inst|8~4\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|8~4_combout\ = (\I5~input_o\ & (\I4~input_o\ & ((!\I2~input_o\) # (!\I3~input_o\)))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0111000000000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \I3~input_o\, - datab => \I2~input_o\, - datac => \I5~input_o\, - datad => \I4~input_o\, - combout => \inst|8~4_combout\); - --- Location: LCCOMB_X1_Y20_N18 -\inst|67\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|67~combout\ = (!\I8~input_o\) # (!\I9~input_o\) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000111111111111", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - datac => \I9~input_o\, - datad => \I8~input_o\, - combout => \inst|67~combout\); - --- Location: LCCOMB_X1_Y20_N28 -\inst|8~5\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|8~5_combout\ = (!\inst|67~combout\ & ((\inst|8~4_combout\) # ((!\I7~input_o\) # (!\I6~input_o\)))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0010001100110011", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \inst|8~4_combout\, - datab => \inst|67~combout\, - datac => \I6~input_o\, - datad => \I7~input_o\, - combout => \inst|8~5_combout\); - --- Location: LCCOMB_X1_Y20_N6 -\inst|9~0\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|9~0_combout\ = (\I7~input_o\ & \I6~input_o\) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "1010101000000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \I7~input_o\, - datad => \I6~input_o\, - combout => \inst|9~0_combout\); - --- Location: LCCOMB_X1_Y20_N16 -\inst|9~1\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|9~1_combout\ = (!\inst|67~combout\ & (((!\I4~input_o\) # (!\I5~input_o\)) # (!\inst|9~0_combout\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0001001100110011", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \inst|9~0_combout\, - datab => \inst|67~combout\, - datac => \I5~input_o\, - datad => \I4~input_o\, - combout => \inst|9~1_combout\); - -ww_A <= \A~output_o\; - -ww_B <= \B~output_o\; - -ww_C <= \C~output_o\; - -ww_D <= \D~output_o\; -END structure; - - diff --git a/CH6/CH6-1/simulation/modelsim/ten_line_to_four_line_BCD_priority_encoder_6_1200mv_0c_slow.vho b/CH6/CH6-1/simulation/modelsim/ten_line_to_four_line_BCD_priority_encoder_6_1200mv_0c_slow.vho deleted file mode 100644 index bdf62f13..00000000 --- a/CH6/CH6-1/simulation/modelsim/ten_line_to_four_line_BCD_priority_encoder_6_1200mv_0c_slow.vho +++ /dev/null @@ -1,386 +0,0 @@ --- Copyright (C) 1991-2013 Altera Corporation --- Your use of Altera Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Altera Program License --- Subscription Agreement, Altera MegaCore Function License --- Agreement, or other applicable license agreement, including, --- without limitation, that your use is for the sole purpose of --- programming logic devices manufactured by Altera and sold by --- Altera or its authorized distributors. Please refer to the --- applicable agreement for further details. - --- VENDOR "Altera" --- PROGRAM "Quartus II 32-bit" --- VERSION "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition" - --- DATE "10/17/2019 09:29:37" - --- --- Device: Altera EP3C16F484C6 Package FBGA484 --- - --- --- This VHDL file should be used for ModelSim-Altera (VHDL) only --- - -LIBRARY CYCLONEIII; -LIBRARY IEEE; -USE CYCLONEIII.CYCLONEIII_COMPONENTS.ALL; -USE IEEE.STD_LOGIC_1164.ALL; - -ENTITY ten_line_to_four_line_BCD_priority_encoder IS - PORT ( - A : OUT std_logic; - I2 : IN std_logic; - I3 : IN std_logic; - I6 : IN std_logic; - I5 : IN std_logic; - I4 : IN std_logic; - I9 : IN std_logic; - I8 : IN std_logic; - I7 : IN std_logic; - B : OUT std_logic; - C : OUT std_logic; - D : OUT std_logic - ); -END ten_line_to_four_line_BCD_priority_encoder; - --- Design Ports Information --- A => Location: PIN_E6, I/O Standard: 2.5 V, Current Strength: Default --- B => Location: PIN_A5, I/O Standard: 2.5 V, Current Strength: Default --- C => Location: PIN_J1, I/O Standard: 2.5 V, Current Strength: Default --- D => Location: PIN_R9, I/O Standard: 2.5 V, Current Strength: Default --- I6 => Location: PIN_J2, I/O Standard: 2.5 V, Current Strength: Default --- I4 => Location: PIN_E13, I/O Standard: 2.5 V, Current Strength: Default --- I3 => Location: PIN_P2, I/O Standard: 2.5 V, Current Strength: Default --- I5 => Location: PIN_M4, I/O Standard: 2.5 V, Current Strength: Default --- I7 => Location: PIN_M1, I/O Standard: 2.5 V, Current Strength: Default --- I9 => Location: PIN_N2, I/O Standard: 2.5 V, Current Strength: Default --- I8 => Location: PIN_M2, I/O Standard: 2.5 V, Current Strength: Default --- I2 => Location: PIN_G4, I/O Standard: 2.5 V, Current Strength: Default - - -ARCHITECTURE structure OF ten_line_to_four_line_BCD_priority_encoder IS -SIGNAL gnd : std_logic := '0'; -SIGNAL vcc : std_logic := '1'; -SIGNAL unknown : std_logic := 'X'; -SIGNAL devoe : std_logic := '1'; -SIGNAL devclrn : std_logic := '1'; -SIGNAL devpor : std_logic := '1'; -SIGNAL ww_devoe : std_logic; -SIGNAL ww_devclrn : std_logic; -SIGNAL ww_devpor : std_logic; -SIGNAL ww_A : std_logic; -SIGNAL ww_I2 : std_logic; -SIGNAL ww_I3 : std_logic; -SIGNAL ww_I6 : std_logic; -SIGNAL ww_I5 : std_logic; -SIGNAL ww_I4 : std_logic; -SIGNAL ww_I9 : std_logic; -SIGNAL ww_I8 : std_logic; -SIGNAL ww_I7 : std_logic; -SIGNAL ww_B : std_logic; -SIGNAL ww_C : std_logic; -SIGNAL ww_D : std_logic; -SIGNAL \A~output_o\ : std_logic; -SIGNAL \B~output_o\ : std_logic; -SIGNAL \C~output_o\ : std_logic; -SIGNAL \D~output_o\ : std_logic; -SIGNAL \I7~input_o\ : std_logic; -SIGNAL \I8~input_o\ : std_logic; -SIGNAL \I9~input_o\ : std_logic; -SIGNAL \I3~input_o\ : std_logic; -SIGNAL \I6~input_o\ : std_logic; -SIGNAL \I5~input_o\ : std_logic; -SIGNAL \I4~input_o\ : std_logic; -SIGNAL \inst|7~2_combout\ : std_logic; -SIGNAL \inst|7~3_combout\ : std_logic; -SIGNAL \I2~input_o\ : std_logic; -SIGNAL \inst|8~4_combout\ : std_logic; -SIGNAL \inst|67~combout\ : std_logic; -SIGNAL \inst|8~5_combout\ : std_logic; -SIGNAL \inst|9~0_combout\ : std_logic; -SIGNAL \inst|9~1_combout\ : std_logic; - -BEGIN - -A <= ww_A; -ww_I2 <= I2; -ww_I3 <= I3; -ww_I6 <= I6; -ww_I5 <= I5; -ww_I4 <= I4; -ww_I9 <= I9; -ww_I8 <= I8; -ww_I7 <= I7; -B <= ww_B; -C <= ww_C; -D <= ww_D; -ww_devoe <= devoe; -ww_devclrn <= devclrn; -ww_devpor <= devpor; - --- Location: IOOBUF_X1_Y29_N23 -\A~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|7~3_combout\, - devoe => ww_devoe, - o => \A~output_o\); - --- Location: IOOBUF_X7_Y29_N9 -\B~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|8~5_combout\, - devoe => ww_devoe, - o => \B~output_o\); - --- Location: IOOBUF_X0_Y20_N9 -\C~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|9~1_combout\, - devoe => ww_devoe, - o => \C~output_o\); - --- Location: IOOBUF_X1_Y0_N30 -\D~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|67~combout\, - devoe => ww_devoe, - o => \D~output_o\); - --- Location: IOIBUF_X0_Y13_N22 -\I7~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I7, - o => \I7~input_o\); - --- Location: IOIBUF_X0_Y13_N15 -\I8~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I8, - o => \I8~input_o\); - --- Location: IOIBUF_X0_Y12_N15 -\I9~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I9, - o => \I9~input_o\); - --- Location: IOIBUF_X0_Y11_N15 -\I3~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I3, - o => \I3~input_o\); - --- Location: IOIBUF_X0_Y20_N1 -\I6~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I6, - o => \I6~input_o\); - --- Location: IOIBUF_X0_Y12_N1 -\I5~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I5, - o => \I5~input_o\); - --- Location: IOIBUF_X23_Y29_N15 -\I4~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I4, - o => \I4~input_o\); - --- Location: LCCOMB_X1_Y20_N0 -\inst|7~2\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|7~2_combout\ = (\I6~input_o\ & (((!\I3~input_o\ & \I4~input_o\)) # (!\I5~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0100110000001100", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \I3~input_o\, - datab => \I6~input_o\, - datac => \I5~input_o\, - datad => \I4~input_o\, - combout => \inst|7~2_combout\); - --- Location: LCCOMB_X1_Y20_N2 -\inst|7~3\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|7~3_combout\ = ((\I8~input_o\ & ((\inst|7~2_combout\) # (!\I7~input_o\)))) # (!\I9~input_o\) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "1100111101001111", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \I7~input_o\, - datab => \I8~input_o\, - datac => \I9~input_o\, - datad => \inst|7~2_combout\, - combout => \inst|7~3_combout\); - --- Location: IOIBUF_X0_Y23_N8 -\I2~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I2, - o => \I2~input_o\); - --- Location: LCCOMB_X1_Y20_N12 -\inst|8~4\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|8~4_combout\ = (\I5~input_o\ & (\I4~input_o\ & ((!\I2~input_o\) # (!\I3~input_o\)))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0111000000000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \I3~input_o\, - datab => \I2~input_o\, - datac => \I5~input_o\, - datad => \I4~input_o\, - combout => \inst|8~4_combout\); - --- Location: LCCOMB_X1_Y20_N18 -\inst|67\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|67~combout\ = (!\I8~input_o\) # (!\I9~input_o\) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000111111111111", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - datac => \I9~input_o\, - datad => \I8~input_o\, - combout => \inst|67~combout\); - --- Location: LCCOMB_X1_Y20_N28 -\inst|8~5\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|8~5_combout\ = (!\inst|67~combout\ & ((\inst|8~4_combout\) # ((!\I7~input_o\) # (!\I6~input_o\)))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0010001100110011", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \inst|8~4_combout\, - datab => \inst|67~combout\, - datac => \I6~input_o\, - datad => \I7~input_o\, - combout => \inst|8~5_combout\); - --- Location: LCCOMB_X1_Y20_N6 -\inst|9~0\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|9~0_combout\ = (\I7~input_o\ & \I6~input_o\) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "1010101000000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \I7~input_o\, - datad => \I6~input_o\, - combout => \inst|9~0_combout\); - --- Location: LCCOMB_X1_Y20_N16 -\inst|9~1\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|9~1_combout\ = (!\inst|67~combout\ & (((!\I4~input_o\) # (!\I5~input_o\)) # (!\inst|9~0_combout\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0001001100110011", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \inst|9~0_combout\, - datab => \inst|67~combout\, - datac => \I5~input_o\, - datad => \I4~input_o\, - combout => \inst|9~1_combout\); - -ww_A <= \A~output_o\; - -ww_B <= \B~output_o\; - -ww_C <= \C~output_o\; - -ww_D <= \D~output_o\; -END structure; - - diff --git a/CH6/CH6-1/simulation/modelsim/ten_line_to_four_line_BCD_priority_encoder_6_1200mv_0c_vhd_slow.sdo b/CH6/CH6-1/simulation/modelsim/ten_line_to_four_line_BCD_priority_encoder_6_1200mv_0c_vhd_slow.sdo deleted file mode 100644 index 7c86e158..00000000 --- a/CH6/CH6-1/simulation/modelsim/ten_line_to_four_line_BCD_priority_encoder_6_1200mv_0c_vhd_slow.sdo +++ /dev/null @@ -1,255 +0,0 @@ -// Copyright (C) 1991-2013 Altera Corporation -// Your use of Altera Corporation's design tools, logic functions -// and other software and tools, and its AMPP partner logic -// functions, and any output files from any of the foregoing -// (including device programming or simulation files), and any -// associated documentation or information are expressly subject -// to the terms and conditions of the Altera Program License -// Subscription Agreement, Altera MegaCore Function License -// Agreement, or other applicable license agreement, including, -// without limitation, that your use is for the sole purpose of -// programming logic devices manufactured by Altera and sold by -// Altera or its authorized distributors. Please refer to the -// applicable agreement for further details. - - -// -// Device: Altera EP3C16F484C6 Package FBGA484 -// - -// -// This file contains Slow Corner delays for the design using part EP3C16F484C6, -// with speed grade 6, core voltage 1.2V, and temperature 0 Celsius -// - -// -// This SDF file should be used for ModelSim-Altera (VHDL) only -// - -(DELAYFILE - (SDFVERSION "2.1") - (DESIGN "ten_line_to_four_line_BCD_priority_encoder") - (DATE "10/17/2019 09:29:37") - (VENDOR "Altera") - (PROGRAM "Quartus II 32-bit") - (VERSION "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition") - (DIVIDER .) - (TIMESCALE 1 ps) - - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\A\~output\\) - (DELAY - (ABSOLUTE - (PORT i (784:784:784) (778:778:778)) - (IOPATH i o (2060:2060:2060) (2009:2009:2009)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\B\~output\\) - (DELAY - (ABSOLUTE - (PORT i (1045:1045:1045) (981:981:981)) - (IOPATH i o (2100:2100:2100) (2049:2049:2049)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\C\~output\\) - (DELAY - (ABSOLUTE - (PORT i (296:296:296) (291:291:291)) - (IOPATH i o (2226:2226:2226) (2214:2214:2214)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\D\~output\\) - (DELAY - (ABSOLUTE - (PORT i (1397:1397:1397) (1380:1380:1380)) - (IOPATH i o (2060:2060:2060) (2009:2009:2009)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\I7\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (735:735:735) (896:896:896)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\I8\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (735:735:735) (896:896:896)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\I9\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (735:735:735) (896:896:896)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\I3\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (735:735:735) (896:896:896)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\I6\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (735:735:735) (896:896:896)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\I5\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (725:725:725) (886:886:886)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\I4\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (679:679:679) (842:842:842)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|7\~2\\) - (DELAY - (ABSOLUTE - (PORT dataa (2660:2660:2660) (2865:2865:2865)) - (PORT datab (2138:2138:2138) (2345:2345:2345)) - (PORT datac (2491:2491:2491) (2689:2689:2689)) - (PORT datad (2912:2912:2912) (3155:3155:3155)) - (IOPATH dataa combout (287:287:287) (289:289:289)) - (IOPATH datab combout (319:319:319) (324:324:324)) - (IOPATH datac combout (218:218:218) (215:215:215)) - (IOPATH datad combout (119:119:119) (106:106:106)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|7\~3\\) - (DELAY - (ABSOLUTE - (PORT dataa (2476:2476:2476) (2682:2682:2682)) - (PORT datab (2501:2501:2501) (2689:2689:2689)) - (PORT datac (2629:2629:2629) (2807:2807:2807)) - (PORT datad (159:159:159) (180:180:180)) - (IOPATH dataa combout (318:318:318) (327:327:327)) - (IOPATH datab combout (308:308:308) (281:281:281)) - (IOPATH datac combout (218:218:218) (215:215:215)) - (IOPATH datad combout (119:119:119) (106:106:106)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\I2\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (735:735:735) (896:896:896)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|8\~4\\) - (DELAY - (ABSOLUTE - (PORT dataa (2659:2659:2659) (2867:2867:2867)) - (PORT datab (2443:2443:2443) (2666:2666:2666)) - (PORT datac (2494:2494:2494) (2693:2693:2693)) - (PORT datad (2912:2912:2912) (3159:3159:3159)) - (IOPATH dataa combout (287:287:287) (289:289:289)) - (IOPATH datab combout (295:295:295) (294:294:294)) - (IOPATH datac combout (218:218:218) (216:216:216)) - (IOPATH datad combout (119:119:119) (106:106:106)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|67\\) - (DELAY - (ABSOLUTE - (PORT datac (2630:2630:2630) (2809:2809:2809)) - (PORT datad (2459:2459:2459) (2651:2651:2651)) - (IOPATH datac combout (218:218:218) (215:215:215)) - (IOPATH datad combout (119:119:119) (106:106:106)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|8\~5\\) - (DELAY - (ABSOLUTE - (PORT dataa (187:187:187) (225:225:225)) - (PORT datab (210:210:210) (247:247:247)) - (PORT datac (2106:2106:2106) (2298:2298:2298)) - (PORT datad (2449:2449:2449) (2646:2646:2646)) - (IOPATH dataa combout (273:273:273) (269:269:269)) - (IOPATH datab combout (336:336:336) (337:337:337)) - (IOPATH datac combout (218:218:218) (215:215:215)) - (IOPATH datad combout (119:119:119) (106:106:106)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|9\~0\\) - (DELAY - (ABSOLUTE - (PORT dataa (2476:2476:2476) (2681:2681:2681)) - (PORT datad (2109:2109:2109) (2307:2307:2307)) - (IOPATH dataa combout (273:273:273) (269:269:269)) - (IOPATH datad combout (119:119:119) (106:106:106)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|9\~1\\) - (DELAY - (ABSOLUTE - (PORT dataa (186:186:186) (224:224:224)) - (PORT datab (210:210:210) (249:249:249)) - (PORT datac (2495:2495:2495) (2694:2694:2694)) - (PORT datad (2912:2912:2912) (3158:3158:3158)) - (IOPATH dataa combout (267:267:267) (269:269:269)) - (IOPATH datab combout (336:336:336) (337:337:337)) - (IOPATH datac combout (218:218:218) (215:215:215)) - (IOPATH datad combout (119:119:119) (106:106:106)) - ) - ) - ) -) diff --git a/CH6/CH6-1/simulation/modelsim/ten_line_to_four_line_BCD_priority_encoder_6_1200mv_85c_slow.vho b/CH6/CH6-1/simulation/modelsim/ten_line_to_four_line_BCD_priority_encoder_6_1200mv_85c_slow.vho deleted file mode 100644 index bdf62f13..00000000 --- a/CH6/CH6-1/simulation/modelsim/ten_line_to_four_line_BCD_priority_encoder_6_1200mv_85c_slow.vho +++ /dev/null @@ -1,386 +0,0 @@ --- Copyright (C) 1991-2013 Altera Corporation --- Your use of Altera Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Altera Program License --- Subscription Agreement, Altera MegaCore Function License --- Agreement, or other applicable license agreement, including, --- without limitation, that your use is for the sole purpose of --- programming logic devices manufactured by Altera and sold by --- Altera or its authorized distributors. Please refer to the --- applicable agreement for further details. - --- VENDOR "Altera" --- PROGRAM "Quartus II 32-bit" --- VERSION "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition" - --- DATE "10/17/2019 09:29:37" - --- --- Device: Altera EP3C16F484C6 Package FBGA484 --- - --- --- This VHDL file should be used for ModelSim-Altera (VHDL) only --- - -LIBRARY CYCLONEIII; -LIBRARY IEEE; -USE CYCLONEIII.CYCLONEIII_COMPONENTS.ALL; -USE IEEE.STD_LOGIC_1164.ALL; - -ENTITY ten_line_to_four_line_BCD_priority_encoder IS - PORT ( - A : OUT std_logic; - I2 : IN std_logic; - I3 : IN std_logic; - I6 : IN std_logic; - I5 : IN std_logic; - I4 : IN std_logic; - I9 : IN std_logic; - I8 : IN std_logic; - I7 : IN std_logic; - B : OUT std_logic; - C : OUT std_logic; - D : OUT std_logic - ); -END ten_line_to_four_line_BCD_priority_encoder; - --- Design Ports Information --- A => Location: PIN_E6, I/O Standard: 2.5 V, Current Strength: Default --- B => Location: PIN_A5, I/O Standard: 2.5 V, Current Strength: Default --- C => Location: PIN_J1, I/O Standard: 2.5 V, Current Strength: Default --- D => Location: PIN_R9, I/O Standard: 2.5 V, Current Strength: Default --- I6 => Location: PIN_J2, I/O Standard: 2.5 V, Current Strength: Default --- I4 => Location: PIN_E13, I/O Standard: 2.5 V, Current Strength: Default --- I3 => Location: PIN_P2, I/O Standard: 2.5 V, Current Strength: Default --- I5 => Location: PIN_M4, I/O Standard: 2.5 V, Current Strength: Default --- I7 => Location: PIN_M1, I/O Standard: 2.5 V, Current Strength: Default --- I9 => Location: PIN_N2, I/O Standard: 2.5 V, Current Strength: Default --- I8 => Location: PIN_M2, I/O Standard: 2.5 V, Current Strength: Default --- I2 => Location: PIN_G4, I/O Standard: 2.5 V, Current Strength: Default - - -ARCHITECTURE structure OF ten_line_to_four_line_BCD_priority_encoder IS -SIGNAL gnd : std_logic := '0'; -SIGNAL vcc : std_logic := '1'; -SIGNAL unknown : std_logic := 'X'; -SIGNAL devoe : std_logic := '1'; -SIGNAL devclrn : std_logic := '1'; -SIGNAL devpor : std_logic := '1'; -SIGNAL ww_devoe : std_logic; -SIGNAL ww_devclrn : std_logic; -SIGNAL ww_devpor : std_logic; -SIGNAL ww_A : std_logic; -SIGNAL ww_I2 : std_logic; -SIGNAL ww_I3 : std_logic; -SIGNAL ww_I6 : std_logic; -SIGNAL ww_I5 : std_logic; -SIGNAL ww_I4 : std_logic; -SIGNAL ww_I9 : std_logic; -SIGNAL ww_I8 : std_logic; -SIGNAL ww_I7 : std_logic; -SIGNAL ww_B : std_logic; -SIGNAL ww_C : std_logic; -SIGNAL ww_D : std_logic; -SIGNAL \A~output_o\ : std_logic; -SIGNAL \B~output_o\ : std_logic; -SIGNAL \C~output_o\ : std_logic; -SIGNAL \D~output_o\ : std_logic; -SIGNAL \I7~input_o\ : std_logic; -SIGNAL \I8~input_o\ : std_logic; -SIGNAL \I9~input_o\ : std_logic; -SIGNAL \I3~input_o\ : std_logic; -SIGNAL \I6~input_o\ : std_logic; -SIGNAL \I5~input_o\ : std_logic; -SIGNAL \I4~input_o\ : std_logic; -SIGNAL \inst|7~2_combout\ : std_logic; -SIGNAL \inst|7~3_combout\ : std_logic; -SIGNAL \I2~input_o\ : std_logic; -SIGNAL \inst|8~4_combout\ : std_logic; -SIGNAL \inst|67~combout\ : std_logic; -SIGNAL \inst|8~5_combout\ : std_logic; -SIGNAL \inst|9~0_combout\ : std_logic; -SIGNAL \inst|9~1_combout\ : std_logic; - -BEGIN - -A <= ww_A; -ww_I2 <= I2; -ww_I3 <= I3; -ww_I6 <= I6; -ww_I5 <= I5; -ww_I4 <= I4; -ww_I9 <= I9; -ww_I8 <= I8; -ww_I7 <= I7; -B <= ww_B; -C <= ww_C; -D <= ww_D; -ww_devoe <= devoe; -ww_devclrn <= devclrn; -ww_devpor <= devpor; - --- Location: IOOBUF_X1_Y29_N23 -\A~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|7~3_combout\, - devoe => ww_devoe, - o => \A~output_o\); - --- Location: IOOBUF_X7_Y29_N9 -\B~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|8~5_combout\, - devoe => ww_devoe, - o => \B~output_o\); - --- Location: IOOBUF_X0_Y20_N9 -\C~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|9~1_combout\, - devoe => ww_devoe, - o => \C~output_o\); - --- Location: IOOBUF_X1_Y0_N30 -\D~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|67~combout\, - devoe => ww_devoe, - o => \D~output_o\); - --- Location: IOIBUF_X0_Y13_N22 -\I7~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I7, - o => \I7~input_o\); - --- Location: IOIBUF_X0_Y13_N15 -\I8~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I8, - o => \I8~input_o\); - --- Location: IOIBUF_X0_Y12_N15 -\I9~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I9, - o => \I9~input_o\); - --- Location: IOIBUF_X0_Y11_N15 -\I3~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I3, - o => \I3~input_o\); - --- Location: IOIBUF_X0_Y20_N1 -\I6~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I6, - o => \I6~input_o\); - --- Location: IOIBUF_X0_Y12_N1 -\I5~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I5, - o => \I5~input_o\); - --- Location: IOIBUF_X23_Y29_N15 -\I4~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I4, - o => \I4~input_o\); - --- Location: LCCOMB_X1_Y20_N0 -\inst|7~2\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|7~2_combout\ = (\I6~input_o\ & (((!\I3~input_o\ & \I4~input_o\)) # (!\I5~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0100110000001100", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \I3~input_o\, - datab => \I6~input_o\, - datac => \I5~input_o\, - datad => \I4~input_o\, - combout => \inst|7~2_combout\); - --- Location: LCCOMB_X1_Y20_N2 -\inst|7~3\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|7~3_combout\ = ((\I8~input_o\ & ((\inst|7~2_combout\) # (!\I7~input_o\)))) # (!\I9~input_o\) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "1100111101001111", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \I7~input_o\, - datab => \I8~input_o\, - datac => \I9~input_o\, - datad => \inst|7~2_combout\, - combout => \inst|7~3_combout\); - --- Location: IOIBUF_X0_Y23_N8 -\I2~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I2, - o => \I2~input_o\); - --- Location: LCCOMB_X1_Y20_N12 -\inst|8~4\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|8~4_combout\ = (\I5~input_o\ & (\I4~input_o\ & ((!\I2~input_o\) # (!\I3~input_o\)))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0111000000000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \I3~input_o\, - datab => \I2~input_o\, - datac => \I5~input_o\, - datad => \I4~input_o\, - combout => \inst|8~4_combout\); - --- Location: LCCOMB_X1_Y20_N18 -\inst|67\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|67~combout\ = (!\I8~input_o\) # (!\I9~input_o\) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000111111111111", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - datac => \I9~input_o\, - datad => \I8~input_o\, - combout => \inst|67~combout\); - --- Location: LCCOMB_X1_Y20_N28 -\inst|8~5\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|8~5_combout\ = (!\inst|67~combout\ & ((\inst|8~4_combout\) # ((!\I7~input_o\) # (!\I6~input_o\)))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0010001100110011", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \inst|8~4_combout\, - datab => \inst|67~combout\, - datac => \I6~input_o\, - datad => \I7~input_o\, - combout => \inst|8~5_combout\); - --- Location: LCCOMB_X1_Y20_N6 -\inst|9~0\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|9~0_combout\ = (\I7~input_o\ & \I6~input_o\) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "1010101000000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \I7~input_o\, - datad => \I6~input_o\, - combout => \inst|9~0_combout\); - --- Location: LCCOMB_X1_Y20_N16 -\inst|9~1\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|9~1_combout\ = (!\inst|67~combout\ & (((!\I4~input_o\) # (!\I5~input_o\)) # (!\inst|9~0_combout\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0001001100110011", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \inst|9~0_combout\, - datab => \inst|67~combout\, - datac => \I5~input_o\, - datad => \I4~input_o\, - combout => \inst|9~1_combout\); - -ww_A <= \A~output_o\; - -ww_B <= \B~output_o\; - -ww_C <= \C~output_o\; - -ww_D <= \D~output_o\; -END structure; - - diff --git a/CH6/CH6-1/simulation/modelsim/ten_line_to_four_line_BCD_priority_encoder_6_1200mv_85c_vhd_slow.sdo b/CH6/CH6-1/simulation/modelsim/ten_line_to_four_line_BCD_priority_encoder_6_1200mv_85c_vhd_slow.sdo deleted file mode 100644 index 729529e2..00000000 --- a/CH6/CH6-1/simulation/modelsim/ten_line_to_four_line_BCD_priority_encoder_6_1200mv_85c_vhd_slow.sdo +++ /dev/null @@ -1,255 +0,0 @@ -// Copyright (C) 1991-2013 Altera Corporation -// Your use of Altera Corporation's design tools, logic functions -// and other software and tools, and its AMPP partner logic -// functions, and any output files from any of the foregoing -// (including device programming or simulation files), and any -// associated documentation or information are expressly subject -// to the terms and conditions of the Altera Program License -// Subscription Agreement, Altera MegaCore Function License -// Agreement, or other applicable license agreement, including, -// without limitation, that your use is for the sole purpose of -// programming logic devices manufactured by Altera and sold by -// Altera or its authorized distributors. Please refer to the -// applicable agreement for further details. - - -// -// Device: Altera EP3C16F484C6 Package FBGA484 -// - -// -// This file contains Slow Corner delays for the design using part EP3C16F484C6, -// with speed grade 6, core voltage 1.2V, and temperature 85 Celsius -// - -// -// This SDF file should be used for ModelSim-Altera (VHDL) only -// - -(DELAYFILE - (SDFVERSION "2.1") - (DESIGN "ten_line_to_four_line_BCD_priority_encoder") - (DATE "10/17/2019 09:29:37") - (VENDOR "Altera") - (PROGRAM "Quartus II 32-bit") - (VERSION "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition") - (DIVIDER .) - (TIMESCALE 1 ps) - - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\A\~output\\) - (DELAY - (ABSOLUTE - (PORT i (845:845:845) (878:878:878)) - (IOPATH i o (2060:2060:2060) (2009:2009:2009)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\B\~output\\) - (DELAY - (ABSOLUTE - (PORT i (1122:1122:1122) (1104:1104:1104)) - (IOPATH i o (2100:2100:2100) (2049:2049:2049)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\C\~output\\) - (DELAY - (ABSOLUTE - (PORT i (324:324:324) (328:328:328)) - (IOPATH i o (2226:2226:2226) (2214:2214:2214)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\D\~output\\) - (DELAY - (ABSOLUTE - (PORT i (1516:1516:1516) (1573:1573:1573)) - (IOPATH i o (2060:2060:2060) (2009:2009:2009)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\I7\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (735:735:735) (896:896:896)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\I8\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (735:735:735) (896:896:896)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\I9\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (735:735:735) (896:896:896)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\I3\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (735:735:735) (896:896:896)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\I6\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (735:735:735) (896:896:896)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\I5\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (725:725:725) (886:886:886)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\I4\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (679:679:679) (842:842:842)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|7\~2\\) - (DELAY - (ABSOLUTE - (PORT dataa (3069:3069:3069) (3338:3338:3338)) - (PORT datab (2506:2506:2506) (2769:2769:2769)) - (PORT datac (2894:2894:2894) (3145:3145:3145)) - (PORT datad (3345:3345:3345) (3682:3682:3682)) - (IOPATH dataa combout (324:324:324) (328:328:328)) - (IOPATH datab combout (355:355:355) (369:369:369)) - (IOPATH datac combout (241:241:241) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|7\~3\\) - (DELAY - (ABSOLUTE - (PORT dataa (2869:2869:2869) (3140:3140:3140)) - (PORT datab (2893:2893:2893) (3148:3148:3148)) - (PORT datac (3034:3034:3034) (3274:3274:3274)) - (PORT datad (173:173:173) (199:199:199)) - (IOPATH dataa combout (356:356:356) (368:368:368)) - (IOPATH datab combout (342:342:342) (318:318:318)) - (IOPATH datac combout (241:241:241) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\I2\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (735:735:735) (896:896:896)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|8\~4\\) - (DELAY - (ABSOLUTE - (PORT dataa (3069:3069:3069) (3340:3340:3340)) - (PORT datab (2836:2836:2836) (3127:3127:3127)) - (PORT datac (2896:2896:2896) (3148:3148:3148)) - (PORT datad (3345:3345:3345) (3685:3685:3685)) - (IOPATH dataa combout (324:324:324) (328:328:328)) - (IOPATH datab combout (333:333:333) (332:332:332)) - (IOPATH datac combout (241:241:241) (242:242:242)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|67\\) - (DELAY - (ABSOLUTE - (PORT datac (3034:3034:3034) (3276:3276:3276)) - (PORT datad (2852:2852:2852) (3105:3105:3105)) - (IOPATH datac combout (241:241:241) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|8\~5\\) - (DELAY - (ABSOLUTE - (PORT dataa (205:205:205) (250:250:250)) - (PORT datab (232:232:232) (274:274:274)) - (PORT datac (2468:2468:2468) (2717:2717:2717)) - (PORT datad (2839:2839:2839) (3097:3097:3097)) - (IOPATH dataa combout (304:304:304) (299:299:299)) - (IOPATH datab combout (381:381:381) (380:380:380)) - (IOPATH datac combout (241:241:241) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|9\~0\\) - (DELAY - (ABSOLUTE - (PORT dataa (2868:2868:2868) (3139:3139:3139)) - (PORT datad (2477:2477:2477) (2727:2727:2727)) - (IOPATH dataa combout (304:304:304) (308:308:308)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|9\~1\\) - (DELAY - (ABSOLUTE - (PORT dataa (204:204:204) (249:249:249)) - (PORT datab (233:233:233) (275:275:275)) - (PORT datac (2897:2897:2897) (3150:3150:3150)) - (PORT datad (3345:3345:3345) (3684:3684:3684)) - (IOPATH dataa combout (301:301:301) (299:299:299)) - (IOPATH datab combout (381:381:381) (380:380:380)) - (IOPATH datac combout (241:241:241) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) -) diff --git a/CH6/CH6-1/simulation/modelsim/ten_line_to_four_line_BCD_priority_encoder_min_1200mv_0c_fast.vho b/CH6/CH6-1/simulation/modelsim/ten_line_to_four_line_BCD_priority_encoder_min_1200mv_0c_fast.vho deleted file mode 100644 index bdf62f13..00000000 --- a/CH6/CH6-1/simulation/modelsim/ten_line_to_four_line_BCD_priority_encoder_min_1200mv_0c_fast.vho +++ /dev/null @@ -1,386 +0,0 @@ --- Copyright (C) 1991-2013 Altera Corporation --- Your use of Altera Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Altera Program License --- Subscription Agreement, Altera MegaCore Function License --- Agreement, or other applicable license agreement, including, --- without limitation, that your use is for the sole purpose of --- programming logic devices manufactured by Altera and sold by --- Altera or its authorized distributors. Please refer to the --- applicable agreement for further details. - --- VENDOR "Altera" --- PROGRAM "Quartus II 32-bit" --- VERSION "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition" - --- DATE "10/17/2019 09:29:37" - --- --- Device: Altera EP3C16F484C6 Package FBGA484 --- - --- --- This VHDL file should be used for ModelSim-Altera (VHDL) only --- - -LIBRARY CYCLONEIII; -LIBRARY IEEE; -USE CYCLONEIII.CYCLONEIII_COMPONENTS.ALL; -USE IEEE.STD_LOGIC_1164.ALL; - -ENTITY ten_line_to_four_line_BCD_priority_encoder IS - PORT ( - A : OUT std_logic; - I2 : IN std_logic; - I3 : IN std_logic; - I6 : IN std_logic; - I5 : IN std_logic; - I4 : IN std_logic; - I9 : IN std_logic; - I8 : IN std_logic; - I7 : IN std_logic; - B : OUT std_logic; - C : OUT std_logic; - D : OUT std_logic - ); -END ten_line_to_four_line_BCD_priority_encoder; - --- Design Ports Information --- A => Location: PIN_E6, I/O Standard: 2.5 V, Current Strength: Default --- B => Location: PIN_A5, I/O Standard: 2.5 V, Current Strength: Default --- C => Location: PIN_J1, I/O Standard: 2.5 V, Current Strength: Default --- D => Location: PIN_R9, I/O Standard: 2.5 V, Current Strength: Default --- I6 => Location: PIN_J2, I/O Standard: 2.5 V, Current Strength: Default --- I4 => Location: PIN_E13, I/O Standard: 2.5 V, Current Strength: Default --- I3 => Location: PIN_P2, I/O Standard: 2.5 V, Current Strength: Default --- I5 => Location: PIN_M4, I/O Standard: 2.5 V, Current Strength: Default --- I7 => Location: PIN_M1, I/O Standard: 2.5 V, Current Strength: Default --- I9 => Location: PIN_N2, I/O Standard: 2.5 V, Current Strength: Default --- I8 => Location: PIN_M2, I/O Standard: 2.5 V, Current Strength: Default --- I2 => Location: PIN_G4, I/O Standard: 2.5 V, Current Strength: Default - - -ARCHITECTURE structure OF ten_line_to_four_line_BCD_priority_encoder IS -SIGNAL gnd : std_logic := '0'; -SIGNAL vcc : std_logic := '1'; -SIGNAL unknown : std_logic := 'X'; -SIGNAL devoe : std_logic := '1'; -SIGNAL devclrn : std_logic := '1'; -SIGNAL devpor : std_logic := '1'; -SIGNAL ww_devoe : std_logic; -SIGNAL ww_devclrn : std_logic; -SIGNAL ww_devpor : std_logic; -SIGNAL ww_A : std_logic; -SIGNAL ww_I2 : std_logic; -SIGNAL ww_I3 : std_logic; -SIGNAL ww_I6 : std_logic; -SIGNAL ww_I5 : std_logic; -SIGNAL ww_I4 : std_logic; -SIGNAL ww_I9 : std_logic; -SIGNAL ww_I8 : std_logic; -SIGNAL ww_I7 : std_logic; -SIGNAL ww_B : std_logic; -SIGNAL ww_C : std_logic; -SIGNAL ww_D : std_logic; -SIGNAL \A~output_o\ : std_logic; -SIGNAL \B~output_o\ : std_logic; -SIGNAL \C~output_o\ : std_logic; -SIGNAL \D~output_o\ : std_logic; -SIGNAL \I7~input_o\ : std_logic; -SIGNAL \I8~input_o\ : std_logic; -SIGNAL \I9~input_o\ : std_logic; -SIGNAL \I3~input_o\ : std_logic; -SIGNAL \I6~input_o\ : std_logic; -SIGNAL \I5~input_o\ : std_logic; -SIGNAL \I4~input_o\ : std_logic; -SIGNAL \inst|7~2_combout\ : std_logic; -SIGNAL \inst|7~3_combout\ : std_logic; -SIGNAL \I2~input_o\ : std_logic; -SIGNAL \inst|8~4_combout\ : std_logic; -SIGNAL \inst|67~combout\ : std_logic; -SIGNAL \inst|8~5_combout\ : std_logic; -SIGNAL \inst|9~0_combout\ : std_logic; -SIGNAL \inst|9~1_combout\ : std_logic; - -BEGIN - -A <= ww_A; -ww_I2 <= I2; -ww_I3 <= I3; -ww_I6 <= I6; -ww_I5 <= I5; -ww_I4 <= I4; -ww_I9 <= I9; -ww_I8 <= I8; -ww_I7 <= I7; -B <= ww_B; -C <= ww_C; -D <= ww_D; -ww_devoe <= devoe; -ww_devclrn <= devclrn; -ww_devpor <= devpor; - --- Location: IOOBUF_X1_Y29_N23 -\A~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|7~3_combout\, - devoe => ww_devoe, - o => \A~output_o\); - --- Location: IOOBUF_X7_Y29_N9 -\B~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|8~5_combout\, - devoe => ww_devoe, - o => \B~output_o\); - --- Location: IOOBUF_X0_Y20_N9 -\C~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|9~1_combout\, - devoe => ww_devoe, - o => \C~output_o\); - --- Location: IOOBUF_X1_Y0_N30 -\D~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|67~combout\, - devoe => ww_devoe, - o => \D~output_o\); - --- Location: IOIBUF_X0_Y13_N22 -\I7~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I7, - o => \I7~input_o\); - --- Location: IOIBUF_X0_Y13_N15 -\I8~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I8, - o => \I8~input_o\); - --- Location: IOIBUF_X0_Y12_N15 -\I9~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I9, - o => \I9~input_o\); - --- Location: IOIBUF_X0_Y11_N15 -\I3~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I3, - o => \I3~input_o\); - --- Location: IOIBUF_X0_Y20_N1 -\I6~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I6, - o => \I6~input_o\); - --- Location: IOIBUF_X0_Y12_N1 -\I5~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I5, - o => \I5~input_o\); - --- Location: IOIBUF_X23_Y29_N15 -\I4~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I4, - o => \I4~input_o\); - --- Location: LCCOMB_X1_Y20_N0 -\inst|7~2\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|7~2_combout\ = (\I6~input_o\ & (((!\I3~input_o\ & \I4~input_o\)) # (!\I5~input_o\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0100110000001100", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \I3~input_o\, - datab => \I6~input_o\, - datac => \I5~input_o\, - datad => \I4~input_o\, - combout => \inst|7~2_combout\); - --- Location: LCCOMB_X1_Y20_N2 -\inst|7~3\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|7~3_combout\ = ((\I8~input_o\ & ((\inst|7~2_combout\) # (!\I7~input_o\)))) # (!\I9~input_o\) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "1100111101001111", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \I7~input_o\, - datab => \I8~input_o\, - datac => \I9~input_o\, - datad => \inst|7~2_combout\, - combout => \inst|7~3_combout\); - --- Location: IOIBUF_X0_Y23_N8 -\I2~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_I2, - o => \I2~input_o\); - --- Location: LCCOMB_X1_Y20_N12 -\inst|8~4\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|8~4_combout\ = (\I5~input_o\ & (\I4~input_o\ & ((!\I2~input_o\) # (!\I3~input_o\)))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0111000000000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \I3~input_o\, - datab => \I2~input_o\, - datac => \I5~input_o\, - datad => \I4~input_o\, - combout => \inst|8~4_combout\); - --- Location: LCCOMB_X1_Y20_N18 -\inst|67\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|67~combout\ = (!\I8~input_o\) # (!\I9~input_o\) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000111111111111", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - datac => \I9~input_o\, - datad => \I8~input_o\, - combout => \inst|67~combout\); - --- Location: LCCOMB_X1_Y20_N28 -\inst|8~5\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|8~5_combout\ = (!\inst|67~combout\ & ((\inst|8~4_combout\) # ((!\I7~input_o\) # (!\I6~input_o\)))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0010001100110011", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \inst|8~4_combout\, - datab => \inst|67~combout\, - datac => \I6~input_o\, - datad => \I7~input_o\, - combout => \inst|8~5_combout\); - --- Location: LCCOMB_X1_Y20_N6 -\inst|9~0\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|9~0_combout\ = (\I7~input_o\ & \I6~input_o\) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "1010101000000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \I7~input_o\, - datad => \I6~input_o\, - combout => \inst|9~0_combout\); - --- Location: LCCOMB_X1_Y20_N16 -\inst|9~1\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|9~1_combout\ = (!\inst|67~combout\ & (((!\I4~input_o\) # (!\I5~input_o\)) # (!\inst|9~0_combout\))) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0001001100110011", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \inst|9~0_combout\, - datab => \inst|67~combout\, - datac => \I5~input_o\, - datad => \I4~input_o\, - combout => \inst|9~1_combout\); - -ww_A <= \A~output_o\; - -ww_B <= \B~output_o\; - -ww_C <= \C~output_o\; - -ww_D <= \D~output_o\; -END structure; - - diff --git a/CH6/CH6-1/simulation/modelsim/ten_line_to_four_line_BCD_priority_encoder_min_1200mv_0c_vhd_fast.sdo b/CH6/CH6-1/simulation/modelsim/ten_line_to_four_line_BCD_priority_encoder_min_1200mv_0c_vhd_fast.sdo deleted file mode 100644 index 8e7fad1a..00000000 --- a/CH6/CH6-1/simulation/modelsim/ten_line_to_four_line_BCD_priority_encoder_min_1200mv_0c_vhd_fast.sdo +++ /dev/null @@ -1,255 +0,0 @@ -// Copyright (C) 1991-2013 Altera Corporation -// Your use of Altera Corporation's design tools, logic functions -// and other software and tools, and its AMPP partner logic -// functions, and any output files from any of the foregoing -// (including device programming or simulation files), and any -// associated documentation or information are expressly subject -// to the terms and conditions of the Altera Program License -// Subscription Agreement, Altera MegaCore Function License -// Agreement, or other applicable license agreement, including, -// without limitation, that your use is for the sole purpose of -// programming logic devices manufactured by Altera and sold by -// Altera or its authorized distributors. Please refer to the -// applicable agreement for further details. - - -// -// Device: Altera EP3C16F484C6 Package FBGA484 -// - -// -// This file contains Fast Corner delays for the design using part EP3C16F484C6, -// with speed grade M, core voltage 1.2V, and temperature 0 Celsius -// - -// -// This SDF file should be used for ModelSim-Altera (VHDL) only -// - -(DELAYFILE - (SDFVERSION "2.1") - (DESIGN "ten_line_to_four_line_BCD_priority_encoder") - (DATE "10/17/2019 09:29:37") - (VENDOR "Altera") - (PROGRAM "Quartus II 32-bit") - (VERSION "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition") - (DIVIDER .) - (TIMESCALE 1 ps) - - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\A\~output\\) - (DELAY - (ABSOLUTE - (PORT i (465:465:465) (523:523:523)) - (IOPATH i o (1300:1300:1300) (1291:1291:1291)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\B\~output\\) - (DELAY - (ABSOLUTE - (PORT i (596:596:596) (649:649:649)) - (IOPATH i o (1340:1340:1340) (1331:1331:1331)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\C\~output\\) - (DELAY - (ABSOLUTE - (PORT i (163:163:163) (184:184:184)) - (IOPATH i o (1466:1466:1466) (1450:1450:1450)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\D\~output\\) - (DELAY - (ABSOLUTE - (PORT i (885:885:885) (971:971:971)) - (IOPATH i o (1300:1300:1300) (1291:1291:1291)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\I7\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (391:391:391) (773:773:773)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\I8\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (391:391:391) (773:773:773)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\I9\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (391:391:391) (773:773:773)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\I3\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (391:391:391) (773:773:773)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\I6\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (391:391:391) (773:773:773)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\I5\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (381:381:381) (763:763:763)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\I4\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (352:352:352) (734:734:734)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|7\~2\\) - (DELAY - (ABSOLUTE - (PORT dataa (1807:1807:1807) (2014:2014:2014)) - (PORT datab (1461:1461:1461) (1633:1633:1633)) - (PORT datac (1691:1691:1691) (1883:1883:1883)) - (PORT datad (1958:1958:1958) (2217:2217:2217)) - (IOPATH dataa combout (158:158:158) (157:157:157)) - (IOPATH datab combout (168:168:168) (167:167:167)) - (IOPATH datac combout (120:120:120) (125:125:125)) - (IOPATH datad combout (68:68:68) (63:63:63)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|7\~3\\) - (DELAY - (ABSOLUTE - (PORT dataa (1680:1680:1680) (1882:1882:1882)) - (PORT datab (1691:1691:1691) (1888:1888:1888)) - (PORT datac (1768:1768:1768) (1959:1959:1959)) - (PORT datad (90:90:90) (106:106:106)) - (IOPATH dataa combout (158:158:158) (157:157:157)) - (IOPATH datab combout (168:168:168) (167:167:167)) - (IOPATH datac combout (120:120:120) (125:125:125)) - (IOPATH datad combout (68:68:68) (63:63:63)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\I2\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (391:391:391) (773:773:773)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|8\~4\\) - (DELAY - (ABSOLUTE - (PORT dataa (1806:1806:1806) (2015:2015:2015)) - (PORT datab (1666:1666:1666) (1861:1861:1861)) - (PORT datac (1694:1694:1694) (1887:1887:1887)) - (PORT datad (1958:1958:1958) (2216:2216:2216)) - (IOPATH dataa combout (158:158:158) (157:157:157)) - (IOPATH datab combout (160:160:160) (156:156:156)) - (IOPATH datac combout (119:119:119) (124:124:124)) - (IOPATH datad combout (68:68:68) (63:63:63)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|67\\) - (DELAY - (ABSOLUTE - (PORT datac (1769:1769:1769) (1961:1961:1961)) - (PORT datad (1670:1670:1670) (1858:1858:1858)) - (IOPATH datac combout (119:119:119) (125:125:125)) - (IOPATH datad combout (68:68:68) (63:63:63)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|8\~5\\) - (DELAY - (ABSOLUTE - (PORT dataa (105:105:105) (138:138:138)) - (PORT datab (122:122:122) (151:151:151)) - (PORT datac (1440:1440:1440) (1600:1600:1600)) - (PORT datad (1667:1667:1667) (1857:1857:1857)) - (IOPATH dataa combout (166:166:166) (157:157:157)) - (IOPATH datab combout (160:160:160) (156:156:156)) - (IOPATH datac combout (119:119:119) (125:125:125)) - (IOPATH datad combout (68:68:68) (63:63:63)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|9\~0\\) - (DELAY - (ABSOLUTE - (PORT dataa (1678:1678:1678) (1882:1882:1882)) - (PORT datad (1447:1447:1447) (1606:1606:1606)) - (IOPATH dataa combout (166:166:166) (163:163:163)) - (IOPATH datad combout (68:68:68) (63:63:63)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|9\~1\\) - (DELAY - (ABSOLUTE - (PORT dataa (105:105:105) (137:137:137)) - (PORT datab (121:121:121) (151:151:151)) - (PORT datac (1695:1695:1695) (1888:1888:1888)) - (PORT datad (1958:1958:1958) (2216:2216:2216)) - (IOPATH dataa combout (158:158:158) (157:157:157)) - (IOPATH datab combout (160:160:160) (156:156:156)) - (IOPATH datac combout (119:119:119) (125:125:125)) - (IOPATH datad combout (68:68:68) (63:63:63)) - ) - ) - ) -) diff --git a/CH6/CH6-1/simulation/modelsim/ten_line_to_four_line_BCD_priority_encoder_modelsim.xrf b/CH6/CH6-1/simulation/modelsim/ten_line_to_four_line_BCD_priority_encoder_modelsim.xrf deleted file mode 100644 index 9bc562a9..00000000 --- a/CH6/CH6-1/simulation/modelsim/ten_line_to_four_line_BCD_priority_encoder_modelsim.xrf +++ /dev/null @@ -1,25 +0,0 @@ -vendor_name = ModelSim -source_file = 1, /home/timmy/Git/Learn-VHDL/CH6/CH6-1/ten_line_to_four_line_BCD_priority_encoder.bdf -source_file = 1, /home/timmy/Git/Learn-VHDL/CH6/CH6-1/ten_line_to_four_line_BCD_priority_encoder.vwf -source_file = 1, /home/timmy/Git/Learn-VHDL/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.cbx.xml -source_file = 1, /home/timmy/altera/13.1/quartus/libraries/others/maxplus2/74147.bdf -design_name = ten_line_to_four_line_BCD_priority_encoder -instance = comp, \A~output\, A~output, ten_line_to_four_line_BCD_priority_encoder, 1 -instance = comp, \B~output\, B~output, ten_line_to_four_line_BCD_priority_encoder, 1 -instance = comp, \C~output\, C~output, ten_line_to_four_line_BCD_priority_encoder, 1 -instance = comp, \D~output\, D~output, ten_line_to_four_line_BCD_priority_encoder, 1 -instance = comp, \I7~input\, I7~input, ten_line_to_four_line_BCD_priority_encoder, 1 -instance = comp, \I8~input\, I8~input, ten_line_to_four_line_BCD_priority_encoder, 1 -instance = comp, \I9~input\, I9~input, ten_line_to_four_line_BCD_priority_encoder, 1 -instance = comp, \I3~input\, I3~input, ten_line_to_four_line_BCD_priority_encoder, 1 -instance = comp, \I6~input\, I6~input, ten_line_to_four_line_BCD_priority_encoder, 1 -instance = comp, \I5~input\, I5~input, ten_line_to_four_line_BCD_priority_encoder, 1 -instance = comp, \I4~input\, I4~input, ten_line_to_four_line_BCD_priority_encoder, 1 -instance = comp, \inst|7~2\, inst|7~2, ten_line_to_four_line_BCD_priority_encoder, 1 -instance = comp, \inst|7~3\, inst|7~3, ten_line_to_four_line_BCD_priority_encoder, 1 -instance = comp, \I2~input\, I2~input, ten_line_to_four_line_BCD_priority_encoder, 1 -instance = comp, \inst|8~4\, inst|8~4, ten_line_to_four_line_BCD_priority_encoder, 1 -instance = comp, \inst|67\, inst|67, ten_line_to_four_line_BCD_priority_encoder, 1 -instance = comp, \inst|8~5\, inst|8~5, ten_line_to_four_line_BCD_priority_encoder, 1 -instance = comp, \inst|9~0\, inst|9~0, ten_line_to_four_line_BCD_priority_encoder, 1 -instance = comp, \inst|9~1\, inst|9~1, ten_line_to_four_line_BCD_priority_encoder, 1 diff --git a/CH6/CH6-1/simulation/modelsim/ten_line_to_four_line_BCD_priority_encoder_vhd.sdo b/CH6/CH6-1/simulation/modelsim/ten_line_to_four_line_BCD_priority_encoder_vhd.sdo deleted file mode 100644 index 729529e2..00000000 --- a/CH6/CH6-1/simulation/modelsim/ten_line_to_four_line_BCD_priority_encoder_vhd.sdo +++ /dev/null @@ -1,255 +0,0 @@ -// Copyright (C) 1991-2013 Altera Corporation -// Your use of Altera Corporation's design tools, logic functions -// and other software and tools, and its AMPP partner logic -// functions, and any output files from any of the foregoing -// (including device programming or simulation files), and any -// associated documentation or information are expressly subject -// to the terms and conditions of the Altera Program License -// Subscription Agreement, Altera MegaCore Function License -// Agreement, or other applicable license agreement, including, -// without limitation, that your use is for the sole purpose of -// programming logic devices manufactured by Altera and sold by -// Altera or its authorized distributors. Please refer to the -// applicable agreement for further details. - - -// -// Device: Altera EP3C16F484C6 Package FBGA484 -// - -// -// This file contains Slow Corner delays for the design using part EP3C16F484C6, -// with speed grade 6, core voltage 1.2V, and temperature 85 Celsius -// - -// -// This SDF file should be used for ModelSim-Altera (VHDL) only -// - -(DELAYFILE - (SDFVERSION "2.1") - (DESIGN "ten_line_to_four_line_BCD_priority_encoder") - (DATE "10/17/2019 09:29:37") - (VENDOR "Altera") - (PROGRAM "Quartus II 32-bit") - (VERSION "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition") - (DIVIDER .) - (TIMESCALE 1 ps) - - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\A\~output\\) - (DELAY - (ABSOLUTE - (PORT i (845:845:845) (878:878:878)) - (IOPATH i o (2060:2060:2060) (2009:2009:2009)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\B\~output\\) - (DELAY - (ABSOLUTE - (PORT i (1122:1122:1122) (1104:1104:1104)) - (IOPATH i o (2100:2100:2100) (2049:2049:2049)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\C\~output\\) - (DELAY - (ABSOLUTE - (PORT i (324:324:324) (328:328:328)) - (IOPATH i o (2226:2226:2226) (2214:2214:2214)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\D\~output\\) - (DELAY - (ABSOLUTE - (PORT i (1516:1516:1516) (1573:1573:1573)) - (IOPATH i o (2060:2060:2060) (2009:2009:2009)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\I7\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (735:735:735) (896:896:896)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\I8\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (735:735:735) (896:896:896)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\I9\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (735:735:735) (896:896:896)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\I3\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (735:735:735) (896:896:896)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\I6\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (735:735:735) (896:896:896)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\I5\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (725:725:725) (886:886:886)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\I4\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (679:679:679) (842:842:842)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|7\~2\\) - (DELAY - (ABSOLUTE - (PORT dataa (3069:3069:3069) (3338:3338:3338)) - (PORT datab (2506:2506:2506) (2769:2769:2769)) - (PORT datac (2894:2894:2894) (3145:3145:3145)) - (PORT datad (3345:3345:3345) (3682:3682:3682)) - (IOPATH dataa combout (324:324:324) (328:328:328)) - (IOPATH datab combout (355:355:355) (369:369:369)) - (IOPATH datac combout (241:241:241) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|7\~3\\) - (DELAY - (ABSOLUTE - (PORT dataa (2869:2869:2869) (3140:3140:3140)) - (PORT datab (2893:2893:2893) (3148:3148:3148)) - (PORT datac (3034:3034:3034) (3274:3274:3274)) - (PORT datad (173:173:173) (199:199:199)) - (IOPATH dataa combout (356:356:356) (368:368:368)) - (IOPATH datab combout (342:342:342) (318:318:318)) - (IOPATH datac combout (241:241:241) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\I2\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (735:735:735) (896:896:896)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|8\~4\\) - (DELAY - (ABSOLUTE - (PORT dataa (3069:3069:3069) (3340:3340:3340)) - (PORT datab (2836:2836:2836) (3127:3127:3127)) - (PORT datac (2896:2896:2896) (3148:3148:3148)) - (PORT datad (3345:3345:3345) (3685:3685:3685)) - (IOPATH dataa combout (324:324:324) (328:328:328)) - (IOPATH datab combout (333:333:333) (332:332:332)) - (IOPATH datac combout (241:241:241) (242:242:242)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|67\\) - (DELAY - (ABSOLUTE - (PORT datac (3034:3034:3034) (3276:3276:3276)) - (PORT datad (2852:2852:2852) (3105:3105:3105)) - (IOPATH datac combout (241:241:241) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|8\~5\\) - (DELAY - (ABSOLUTE - (PORT dataa (205:205:205) (250:250:250)) - (PORT datab (232:232:232) (274:274:274)) - (PORT datac (2468:2468:2468) (2717:2717:2717)) - (PORT datad (2839:2839:2839) (3097:3097:3097)) - (IOPATH dataa combout (304:304:304) (299:299:299)) - (IOPATH datab combout (381:381:381) (380:380:380)) - (IOPATH datac combout (241:241:241) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|9\~0\\) - (DELAY - (ABSOLUTE - (PORT dataa (2868:2868:2868) (3139:3139:3139)) - (PORT datad (2477:2477:2477) (2727:2727:2727)) - (IOPATH dataa combout (304:304:304) (308:308:308)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|9\~1\\) - (DELAY - (ABSOLUTE - (PORT dataa (204:204:204) (249:249:249)) - (PORT datab (233:233:233) (275:275:275)) - (PORT datac (2897:2897:2897) (3150:3150:3150)) - (PORT datad (3345:3345:3345) (3684:3684:3684)) - (IOPATH dataa combout (301:301:301) (299:299:299)) - (IOPATH datab combout (381:381:381) (380:380:380)) - (IOPATH datac combout (241:241:241) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) -) diff --git a/CH6/CH6-1/simulation/modelsim/three_line_to_eight_decimal_decoder.sft b/CH6/CH6-1/simulation/modelsim/three_line_to_eight_decimal_decoder.sft deleted file mode 100644 index b9ccdb18..00000000 --- a/CH6/CH6-1/simulation/modelsim/three_line_to_eight_decimal_decoder.sft +++ /dev/null @@ -1,6 +0,0 @@ -set tool_name "ModelSim-Altera (VHDL)" -set corner_file_list { - {{"Slow -6 1.2V 85 Model"} {three_line_to_eight_decimal_decoder_6_1200mv_85c_slow.vho three_line_to_eight_decimal_decoder_6_1200mv_85c_vhd_slow.sdo}} - {{"Slow -6 1.2V 0 Model"} {three_line_to_eight_decimal_decoder_6_1200mv_0c_slow.vho three_line_to_eight_decimal_decoder_6_1200mv_0c_vhd_slow.sdo}} - {{"Fast -M 1.2V 0 Model"} {three_line_to_eight_decimal_decoder_min_1200mv_0c_fast.vho three_line_to_eight_decimal_decoder_min_1200mv_0c_vhd_fast.sdo}} -} diff --git a/CH6/CH6-1/simulation/modelsim/three_line_to_eight_decimal_decoder.vho b/CH6/CH6-1/simulation/modelsim/three_line_to_eight_decimal_decoder.vho deleted file mode 100644 index 833683ec..00000000 --- a/CH6/CH6-1/simulation/modelsim/three_line_to_eight_decimal_decoder.vho +++ /dev/null @@ -1,412 +0,0 @@ --- Copyright (C) 1991-2013 Altera Corporation --- Your use of Altera Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Altera Program License --- Subscription Agreement, Altera MegaCore Function License --- Agreement, or other applicable license agreement, including, --- without limitation, that your use is for the sole purpose of --- programming logic devices manufactured by Altera and sold by --- Altera or its authorized distributors. Please refer to the --- applicable agreement for further details. - --- VENDOR "Altera" --- PROGRAM "Quartus II 32-bit" --- VERSION "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition" - --- DATE "10/24/2019 21:52:24" - --- --- Device: Altera EP3C16F484C6 Package FBGA484 --- - --- --- This VHDL file should be used for ModelSim-Altera (VHDL) only --- - -LIBRARY CYCLONEIII; -LIBRARY IEEE; -USE CYCLONEIII.CYCLONEIII_COMPONENTS.ALL; -USE IEEE.STD_LOGIC_1164.ALL; - -ENTITY three_line_to_eight_decimal_decoder IS - PORT ( - Y0 : OUT std_logic; - A : IN std_logic; - B : IN std_logic; - C : IN std_logic; - Y1 : OUT std_logic; - Y2 : OUT std_logic; - Y3 : OUT std_logic; - Y4 : OUT std_logic; - Y5 : OUT std_logic; - Y6 : OUT std_logic; - Y7 : OUT std_logic - ); -END three_line_to_eight_decimal_decoder; - --- Design Ports Information --- Y0 => Location: PIN_AB4, I/O Standard: 2.5 V, Current Strength: Default --- Y1 => Location: PIN_M8, I/O Standard: 2.5 V, Current Strength: Default --- Y2 => Location: PIN_M6, I/O Standard: 2.5 V, Current Strength: Default --- Y3 => Location: PIN_W20, I/O Standard: 2.5 V, Current Strength: Default --- Y4 => Location: PIN_N1, I/O Standard: 2.5 V, Current Strength: Default --- Y5 => Location: PIN_N8, I/O Standard: 2.5 V, Current Strength: Default --- Y6 => Location: PIN_E4, I/O Standard: 2.5 V, Current Strength: Default --- Y7 => Location: PIN_U1, I/O Standard: 2.5 V, Current Strength: Default --- C => Location: PIN_U2, I/O Standard: 2.5 V, Current Strength: Default --- A => Location: PIN_L7, I/O Standard: 2.5 V, Current Strength: Default --- B => Location: PIN_T11, I/O Standard: 2.5 V, Current Strength: Default - - -ARCHITECTURE structure OF three_line_to_eight_decimal_decoder IS -SIGNAL gnd : std_logic := '0'; -SIGNAL vcc : std_logic := '1'; -SIGNAL unknown : std_logic := 'X'; -SIGNAL devoe : std_logic := '1'; -SIGNAL devclrn : std_logic := '1'; -SIGNAL devpor : std_logic := '1'; -SIGNAL ww_devoe : std_logic; -SIGNAL ww_devclrn : std_logic; -SIGNAL ww_devpor : std_logic; -SIGNAL ww_Y0 : std_logic; -SIGNAL ww_A : std_logic; -SIGNAL ww_B : std_logic; -SIGNAL ww_C : std_logic; -SIGNAL ww_Y1 : std_logic; -SIGNAL ww_Y2 : std_logic; -SIGNAL ww_Y3 : std_logic; -SIGNAL ww_Y4 : std_logic; -SIGNAL ww_Y5 : std_logic; -SIGNAL ww_Y6 : std_logic; -SIGNAL ww_Y7 : std_logic; -SIGNAL \Y0~output_o\ : std_logic; -SIGNAL \Y1~output_o\ : std_logic; -SIGNAL \Y2~output_o\ : std_logic; -SIGNAL \Y3~output_o\ : std_logic; -SIGNAL \Y4~output_o\ : std_logic; -SIGNAL \Y5~output_o\ : std_logic; -SIGNAL \Y6~output_o\ : std_logic; -SIGNAL \Y7~output_o\ : std_logic; -SIGNAL \C~input_o\ : std_logic; -SIGNAL \A~input_o\ : std_logic; -SIGNAL \B~input_o\ : std_logic; -SIGNAL \inst|33~0_combout\ : std_logic; -SIGNAL \inst|33~1_combout\ : std_logic; -SIGNAL \inst|33~2_combout\ : std_logic; -SIGNAL \inst|33~3_combout\ : std_logic; -SIGNAL \inst|33~4_combout\ : std_logic; -SIGNAL \inst|33~5_combout\ : std_logic; -SIGNAL \inst|33~6_combout\ : std_logic; -SIGNAL \inst|33~7_combout\ : std_logic; -SIGNAL \inst|ALT_INV_33~7_combout\ : std_logic; -SIGNAL \inst|ALT_INV_33~6_combout\ : std_logic; -SIGNAL \inst|ALT_INV_33~5_combout\ : std_logic; -SIGNAL \inst|ALT_INV_33~4_combout\ : std_logic; -SIGNAL \inst|ALT_INV_33~3_combout\ : std_logic; -SIGNAL \inst|ALT_INV_33~2_combout\ : std_logic; -SIGNAL \inst|ALT_INV_33~1_combout\ : std_logic; -SIGNAL \inst|ALT_INV_33~0_combout\ : std_logic; - -BEGIN - -Y0 <= ww_Y0; -ww_A <= A; -ww_B <= B; -ww_C <= C; -Y1 <= ww_Y1; -Y2 <= ww_Y2; -Y3 <= ww_Y3; -Y4 <= ww_Y4; -Y5 <= ww_Y5; -Y6 <= ww_Y6; -Y7 <= ww_Y7; -ww_devoe <= devoe; -ww_devclrn <= devclrn; -ww_devpor <= devpor; -\inst|ALT_INV_33~7_combout\ <= NOT \inst|33~7_combout\; -\inst|ALT_INV_33~6_combout\ <= NOT \inst|33~6_combout\; -\inst|ALT_INV_33~5_combout\ <= NOT \inst|33~5_combout\; -\inst|ALT_INV_33~4_combout\ <= NOT \inst|33~4_combout\; -\inst|ALT_INV_33~3_combout\ <= NOT \inst|33~3_combout\; -\inst|ALT_INV_33~2_combout\ <= NOT \inst|33~2_combout\; -\inst|ALT_INV_33~1_combout\ <= NOT \inst|33~1_combout\; -\inst|ALT_INV_33~0_combout\ <= NOT \inst|33~0_combout\; - --- Location: IOOBUF_X7_Y0_N2 -\Y0~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_33~0_combout\, - devoe => ww_devoe, - o => \Y0~output_o\); - --- Location: IOOBUF_X0_Y7_N2 -\Y1~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_33~1_combout\, - devoe => ww_devoe, - o => \Y1~output_o\); - --- Location: IOOBUF_X0_Y13_N9 -\Y2~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_33~2_combout\, - devoe => ww_devoe, - o => \Y2~output_o\); - --- Location: IOOBUF_X41_Y3_N16 -\Y3~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_33~3_combout\, - devoe => ww_devoe, - o => \Y3~output_o\); - --- Location: IOOBUF_X0_Y12_N23 -\Y4~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_33~4_combout\, - devoe => ww_devoe, - o => \Y4~output_o\); - --- Location: IOOBUF_X0_Y7_N9 -\Y5~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_33~5_combout\, - devoe => ww_devoe, - o => \Y5~output_o\); - --- Location: IOOBUF_X0_Y26_N2 -\Y6~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_33~6_combout\, - devoe => ww_devoe, - o => \Y6~output_o\); - --- Location: IOOBUF_X0_Y9_N16 -\Y7~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_33~7_combout\, - devoe => ww_devoe, - o => \Y7~output_o\); - --- Location: IOIBUF_X0_Y9_N8 -\C~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_C, - o => \C~input_o\); - --- Location: IOIBUF_X0_Y11_N1 -\A~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_A, - o => \A~input_o\); - --- Location: IOIBUF_X16_Y0_N15 -\B~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_B, - o => \B~input_o\); - --- Location: LCCOMB_X1_Y9_N16 -\inst|33~0\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|33~0_combout\ = (!\C~input_o\ & (!\A~input_o\ & !\B~input_o\)) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000000101", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datac => \A~input_o\, - datad => \B~input_o\, - combout => \inst|33~0_combout\); - --- Location: LCCOMB_X1_Y9_N26 -\inst|33~1\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|33~1_combout\ = (!\C~input_o\ & (\A~input_o\ & !\B~input_o\)) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000001010000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datac => \A~input_o\, - datad => \B~input_o\, - combout => \inst|33~1_combout\); - --- Location: LCCOMB_X1_Y9_N12 -\inst|33~2\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|33~2_combout\ = (!\C~input_o\ & (!\A~input_o\ & \B~input_o\)) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000010100000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datac => \A~input_o\, - datad => \B~input_o\, - combout => \inst|33~2_combout\); - --- Location: LCCOMB_X1_Y9_N30 -\inst|33~3\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|33~3_combout\ = (!\C~input_o\ & (\A~input_o\ & \B~input_o\)) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0101000000000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datac => \A~input_o\, - datad => \B~input_o\, - combout => \inst|33~3_combout\); - --- Location: LCCOMB_X1_Y9_N24 -\inst|33~4\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|33~4_combout\ = (\C~input_o\ & (!\A~input_o\ & !\B~input_o\)) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000001010", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datac => \A~input_o\, - datad => \B~input_o\, - combout => \inst|33~4_combout\); - --- Location: LCCOMB_X1_Y9_N18 -\inst|33~5\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|33~5_combout\ = (\C~input_o\ & (\A~input_o\ & !\B~input_o\)) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000010100000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datac => \A~input_o\, - datad => \B~input_o\, - combout => \inst|33~5_combout\); - --- Location: LCCOMB_X1_Y9_N28 -\inst|33~6\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|33~6_combout\ = (\C~input_o\ & (!\A~input_o\ & \B~input_o\)) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000101000000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datac => \A~input_o\, - datad => \B~input_o\, - combout => \inst|33~6_combout\); - --- Location: LCCOMB_X1_Y9_N14 -\inst|33~7\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|33~7_combout\ = (\C~input_o\ & (\A~input_o\ & \B~input_o\)) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "1010000000000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datac => \A~input_o\, - datad => \B~input_o\, - combout => \inst|33~7_combout\); - -ww_Y0 <= \Y0~output_o\; - -ww_Y1 <= \Y1~output_o\; - -ww_Y2 <= \Y2~output_o\; - -ww_Y3 <= \Y3~output_o\; - -ww_Y4 <= \Y4~output_o\; - -ww_Y5 <= \Y5~output_o\; - -ww_Y6 <= \Y6~output_o\; - -ww_Y7 <= \Y7~output_o\; -END structure; - - diff --git a/CH6/CH6-1/simulation/modelsim/three_line_to_eight_decimal_decoder_6_1200mv_0c_slow.vho b/CH6/CH6-1/simulation/modelsim/three_line_to_eight_decimal_decoder_6_1200mv_0c_slow.vho deleted file mode 100644 index 833683ec..00000000 --- a/CH6/CH6-1/simulation/modelsim/three_line_to_eight_decimal_decoder_6_1200mv_0c_slow.vho +++ /dev/null @@ -1,412 +0,0 @@ --- Copyright (C) 1991-2013 Altera Corporation --- Your use of Altera Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Altera Program License --- Subscription Agreement, Altera MegaCore Function License --- Agreement, or other applicable license agreement, including, --- without limitation, that your use is for the sole purpose of --- programming logic devices manufactured by Altera and sold by --- Altera or its authorized distributors. Please refer to the --- applicable agreement for further details. - --- VENDOR "Altera" --- PROGRAM "Quartus II 32-bit" --- VERSION "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition" - --- DATE "10/24/2019 21:52:24" - --- --- Device: Altera EP3C16F484C6 Package FBGA484 --- - --- --- This VHDL file should be used for ModelSim-Altera (VHDL) only --- - -LIBRARY CYCLONEIII; -LIBRARY IEEE; -USE CYCLONEIII.CYCLONEIII_COMPONENTS.ALL; -USE IEEE.STD_LOGIC_1164.ALL; - -ENTITY three_line_to_eight_decimal_decoder IS - PORT ( - Y0 : OUT std_logic; - A : IN std_logic; - B : IN std_logic; - C : IN std_logic; - Y1 : OUT std_logic; - Y2 : OUT std_logic; - Y3 : OUT std_logic; - Y4 : OUT std_logic; - Y5 : OUT std_logic; - Y6 : OUT std_logic; - Y7 : OUT std_logic - ); -END three_line_to_eight_decimal_decoder; - --- Design Ports Information --- Y0 => Location: PIN_AB4, I/O Standard: 2.5 V, Current Strength: Default --- Y1 => Location: PIN_M8, I/O Standard: 2.5 V, Current Strength: Default --- Y2 => Location: PIN_M6, I/O Standard: 2.5 V, Current Strength: Default --- Y3 => Location: PIN_W20, I/O Standard: 2.5 V, Current Strength: Default --- Y4 => Location: PIN_N1, I/O Standard: 2.5 V, Current Strength: Default --- Y5 => Location: PIN_N8, I/O Standard: 2.5 V, Current Strength: Default --- Y6 => Location: PIN_E4, I/O Standard: 2.5 V, Current Strength: Default --- Y7 => Location: PIN_U1, I/O Standard: 2.5 V, Current Strength: Default --- C => Location: PIN_U2, I/O Standard: 2.5 V, Current Strength: Default --- A => Location: PIN_L7, I/O Standard: 2.5 V, Current Strength: Default --- B => Location: PIN_T11, I/O Standard: 2.5 V, Current Strength: Default - - -ARCHITECTURE structure OF three_line_to_eight_decimal_decoder IS -SIGNAL gnd : std_logic := '0'; -SIGNAL vcc : std_logic := '1'; -SIGNAL unknown : std_logic := 'X'; -SIGNAL devoe : std_logic := '1'; -SIGNAL devclrn : std_logic := '1'; -SIGNAL devpor : std_logic := '1'; -SIGNAL ww_devoe : std_logic; -SIGNAL ww_devclrn : std_logic; -SIGNAL ww_devpor : std_logic; -SIGNAL ww_Y0 : std_logic; -SIGNAL ww_A : std_logic; -SIGNAL ww_B : std_logic; -SIGNAL ww_C : std_logic; -SIGNAL ww_Y1 : std_logic; -SIGNAL ww_Y2 : std_logic; -SIGNAL ww_Y3 : std_logic; -SIGNAL ww_Y4 : std_logic; -SIGNAL ww_Y5 : std_logic; -SIGNAL ww_Y6 : std_logic; -SIGNAL ww_Y7 : std_logic; -SIGNAL \Y0~output_o\ : std_logic; -SIGNAL \Y1~output_o\ : std_logic; -SIGNAL \Y2~output_o\ : std_logic; -SIGNAL \Y3~output_o\ : std_logic; -SIGNAL \Y4~output_o\ : std_logic; -SIGNAL \Y5~output_o\ : std_logic; -SIGNAL \Y6~output_o\ : std_logic; -SIGNAL \Y7~output_o\ : std_logic; -SIGNAL \C~input_o\ : std_logic; -SIGNAL \A~input_o\ : std_logic; -SIGNAL \B~input_o\ : std_logic; -SIGNAL \inst|33~0_combout\ : std_logic; -SIGNAL \inst|33~1_combout\ : std_logic; -SIGNAL \inst|33~2_combout\ : std_logic; -SIGNAL \inst|33~3_combout\ : std_logic; -SIGNAL \inst|33~4_combout\ : std_logic; -SIGNAL \inst|33~5_combout\ : std_logic; -SIGNAL \inst|33~6_combout\ : std_logic; -SIGNAL \inst|33~7_combout\ : std_logic; -SIGNAL \inst|ALT_INV_33~7_combout\ : std_logic; -SIGNAL \inst|ALT_INV_33~6_combout\ : std_logic; -SIGNAL \inst|ALT_INV_33~5_combout\ : std_logic; -SIGNAL \inst|ALT_INV_33~4_combout\ : std_logic; -SIGNAL \inst|ALT_INV_33~3_combout\ : std_logic; -SIGNAL \inst|ALT_INV_33~2_combout\ : std_logic; -SIGNAL \inst|ALT_INV_33~1_combout\ : std_logic; -SIGNAL \inst|ALT_INV_33~0_combout\ : std_logic; - -BEGIN - -Y0 <= ww_Y0; -ww_A <= A; -ww_B <= B; -ww_C <= C; -Y1 <= ww_Y1; -Y2 <= ww_Y2; -Y3 <= ww_Y3; -Y4 <= ww_Y4; -Y5 <= ww_Y5; -Y6 <= ww_Y6; -Y7 <= ww_Y7; -ww_devoe <= devoe; -ww_devclrn <= devclrn; -ww_devpor <= devpor; -\inst|ALT_INV_33~7_combout\ <= NOT \inst|33~7_combout\; -\inst|ALT_INV_33~6_combout\ <= NOT \inst|33~6_combout\; -\inst|ALT_INV_33~5_combout\ <= NOT \inst|33~5_combout\; -\inst|ALT_INV_33~4_combout\ <= NOT \inst|33~4_combout\; -\inst|ALT_INV_33~3_combout\ <= NOT \inst|33~3_combout\; -\inst|ALT_INV_33~2_combout\ <= NOT \inst|33~2_combout\; -\inst|ALT_INV_33~1_combout\ <= NOT \inst|33~1_combout\; -\inst|ALT_INV_33~0_combout\ <= NOT \inst|33~0_combout\; - --- Location: IOOBUF_X7_Y0_N2 -\Y0~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_33~0_combout\, - devoe => ww_devoe, - o => \Y0~output_o\); - --- Location: IOOBUF_X0_Y7_N2 -\Y1~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_33~1_combout\, - devoe => ww_devoe, - o => \Y1~output_o\); - --- Location: IOOBUF_X0_Y13_N9 -\Y2~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_33~2_combout\, - devoe => ww_devoe, - o => \Y2~output_o\); - --- Location: IOOBUF_X41_Y3_N16 -\Y3~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_33~3_combout\, - devoe => ww_devoe, - o => \Y3~output_o\); - --- Location: IOOBUF_X0_Y12_N23 -\Y4~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_33~4_combout\, - devoe => ww_devoe, - o => \Y4~output_o\); - --- Location: IOOBUF_X0_Y7_N9 -\Y5~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_33~5_combout\, - devoe => ww_devoe, - o => \Y5~output_o\); - --- Location: IOOBUF_X0_Y26_N2 -\Y6~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_33~6_combout\, - devoe => ww_devoe, - o => \Y6~output_o\); - --- Location: IOOBUF_X0_Y9_N16 -\Y7~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_33~7_combout\, - devoe => ww_devoe, - o => \Y7~output_o\); - --- Location: IOIBUF_X0_Y9_N8 -\C~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_C, - o => \C~input_o\); - --- Location: IOIBUF_X0_Y11_N1 -\A~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_A, - o => \A~input_o\); - --- Location: IOIBUF_X16_Y0_N15 -\B~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_B, - o => \B~input_o\); - --- Location: LCCOMB_X1_Y9_N16 -\inst|33~0\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|33~0_combout\ = (!\C~input_o\ & (!\A~input_o\ & !\B~input_o\)) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000000101", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datac => \A~input_o\, - datad => \B~input_o\, - combout => \inst|33~0_combout\); - --- Location: LCCOMB_X1_Y9_N26 -\inst|33~1\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|33~1_combout\ = (!\C~input_o\ & (\A~input_o\ & !\B~input_o\)) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000001010000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datac => \A~input_o\, - datad => \B~input_o\, - combout => \inst|33~1_combout\); - --- Location: LCCOMB_X1_Y9_N12 -\inst|33~2\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|33~2_combout\ = (!\C~input_o\ & (!\A~input_o\ & \B~input_o\)) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000010100000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datac => \A~input_o\, - datad => \B~input_o\, - combout => \inst|33~2_combout\); - --- Location: LCCOMB_X1_Y9_N30 -\inst|33~3\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|33~3_combout\ = (!\C~input_o\ & (\A~input_o\ & \B~input_o\)) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0101000000000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datac => \A~input_o\, - datad => \B~input_o\, - combout => \inst|33~3_combout\); - --- Location: LCCOMB_X1_Y9_N24 -\inst|33~4\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|33~4_combout\ = (\C~input_o\ & (!\A~input_o\ & !\B~input_o\)) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000001010", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datac => \A~input_o\, - datad => \B~input_o\, - combout => \inst|33~4_combout\); - --- Location: LCCOMB_X1_Y9_N18 -\inst|33~5\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|33~5_combout\ = (\C~input_o\ & (\A~input_o\ & !\B~input_o\)) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000010100000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datac => \A~input_o\, - datad => \B~input_o\, - combout => \inst|33~5_combout\); - --- Location: LCCOMB_X1_Y9_N28 -\inst|33~6\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|33~6_combout\ = (\C~input_o\ & (!\A~input_o\ & \B~input_o\)) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000101000000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datac => \A~input_o\, - datad => \B~input_o\, - combout => \inst|33~6_combout\); - --- Location: LCCOMB_X1_Y9_N14 -\inst|33~7\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|33~7_combout\ = (\C~input_o\ & (\A~input_o\ & \B~input_o\)) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "1010000000000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datac => \A~input_o\, - datad => \B~input_o\, - combout => \inst|33~7_combout\); - -ww_Y0 <= \Y0~output_o\; - -ww_Y1 <= \Y1~output_o\; - -ww_Y2 <= \Y2~output_o\; - -ww_Y3 <= \Y3~output_o\; - -ww_Y4 <= \Y4~output_o\; - -ww_Y5 <= \Y5~output_o\; - -ww_Y6 <= \Y6~output_o\; - -ww_Y7 <= \Y7~output_o\; -END structure; - - diff --git a/CH6/CH6-1/simulation/modelsim/three_line_to_eight_decimal_decoder_6_1200mv_0c_vhd_slow.sdo b/CH6/CH6-1/simulation/modelsim/three_line_to_eight_decimal_decoder_6_1200mv_0c_vhd_slow.sdo deleted file mode 100644 index 137ce2c6..00000000 --- a/CH6/CH6-1/simulation/modelsim/three_line_to_eight_decimal_decoder_6_1200mv_0c_vhd_slow.sdo +++ /dev/null @@ -1,258 +0,0 @@ -// Copyright (C) 1991-2013 Altera Corporation -// Your use of Altera Corporation's design tools, logic functions -// and other software and tools, and its AMPP partner logic -// functions, and any output files from any of the foregoing -// (including device programming or simulation files), and any -// associated documentation or information are expressly subject -// to the terms and conditions of the Altera Program License -// Subscription Agreement, Altera MegaCore Function License -// Agreement, or other applicable license agreement, including, -// without limitation, that your use is for the sole purpose of -// programming logic devices manufactured by Altera and sold by -// Altera or its authorized distributors. Please refer to the -// applicable agreement for further details. - - -// -// Device: Altera EP3C16F484C6 Package FBGA484 -// - -// -// This file contains Slow Corner delays for the design using part EP3C16F484C6, -// with speed grade 6, core voltage 1.2V, and temperature 0 Celsius -// - -// -// This SDF file should be used for ModelSim-Altera (VHDL) only -// - -(DELAYFILE - (SDFVERSION "2.1") - (DESIGN "three_line_to_eight_decimal_decoder") - (DATE "10/24/2019 21:52:24") - (VENDOR "Altera") - (PROGRAM "Quartus II 32-bit") - (VERSION "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition") - (DIVIDER .) - (TIMESCALE 1 ps) - - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y0\~output\\) - (DELAY - (ABSOLUTE - (PORT i (1035:1035:1035) (1100:1100:1100)) - (IOPATH i o (2049:2049:2049) (2100:2100:2100)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y1\~output\\) - (DELAY - (ABSOLUTE - (PORT i (568:568:568) (563:563:563)) - (IOPATH i o (2214:2214:2214) (2226:2226:2226)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y2\~output\\) - (DELAY - (ABSOLUTE - (PORT i (573:573:573) (559:559:559)) - (IOPATH i o (2204:2204:2204) (2216:2216:2216)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y3\~output\\) - (DELAY - (ABSOLUTE - (PORT i (1801:1801:1801) (1804:1804:1804)) - (IOPATH i o (2224:2224:2224) (2236:2236:2236)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y4\~output\\) - (DELAY - (ABSOLUTE - (PORT i (570:570:570) (574:574:574)) - (IOPATH i o (2224:2224:2224) (2236:2236:2236)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y5\~output\\) - (DELAY - (ABSOLUTE - (PORT i (555:555:555) (555:555:555)) - (IOPATH i o (2224:2224:2224) (2236:2236:2236)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y6\~output\\) - (DELAY - (ABSOLUTE - (PORT i (1361:1361:1361) (1380:1380:1380)) - (IOPATH i o (2214:2214:2214) (2226:2226:2226)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y7\~output\\) - (DELAY - (ABSOLUTE - (PORT i (295:295:295) (299:299:299)) - (IOPATH i o (2234:2234:2234) (2246:2246:2246)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\C\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (765:765:765) (926:926:926)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\A\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (715:715:715) (876:876:876)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\B\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (699:699:699) (862:862:862)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|33\~0\\) - (DELAY - (ABSOLUTE - (PORT dataa (2206:2206:2206) (2435:2435:2435)) - (PORT datac (2398:2398:2398) (2605:2605:2605)) - (PORT datad (2873:2873:2873) (3119:3119:3119)) - (IOPATH dataa combout (329:329:329) (332:332:332)) - (IOPATH datac combout (218:218:218) (215:215:215)) - (IOPATH datad combout (119:119:119) (106:106:106)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|33\~1\\) - (DELAY - (ABSOLUTE - (PORT dataa (2208:2208:2208) (2433:2433:2433)) - (PORT datac (2396:2396:2396) (2602:2602:2602)) - (PORT datad (2867:2867:2867) (3109:3109:3109)) - (IOPATH dataa combout (318:318:318) (327:327:327)) - (IOPATH datac combout (220:220:220) (215:215:215)) - (IOPATH datad combout (119:119:119) (106:106:106)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|33\~2\\) - (DELAY - (ABSOLUTE - (PORT dataa (2203:2203:2203) (2428:2428:2428)) - (PORT datac (2395:2395:2395) (2605:2605:2605)) - (PORT datad (2876:2876:2876) (3119:3119:3119)) - (IOPATH dataa combout (287:287:287) (280:280:280)) - (IOPATH datac combout (218:218:218) (215:215:215)) - (IOPATH datad combout (119:119:119) (106:106:106)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|33\~3\\) - (DELAY - (ABSOLUTE - (PORT dataa (2209:2209:2209) (2434:2434:2434)) - (PORT datac (2398:2398:2398) (2603:2603:2603)) - (PORT datad (2868:2868:2868) (3110:3110:3110)) - (IOPATH dataa combout (287:287:287) (289:289:289)) - (IOPATH datac combout (218:218:218) (216:216:216)) - (IOPATH datad combout (119:119:119) (106:106:106)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|33\~4\\) - (DELAY - (ABSOLUTE - (PORT dataa (2208:2208:2208) (2434:2434:2434)) - (PORT datac (2396:2396:2396) (2603:2603:2603)) - (PORT datad (2866:2866:2866) (3115:3115:3115)) - (IOPATH dataa combout (318:318:318) (323:323:323)) - (IOPATH datac combout (218:218:218) (215:215:215)) - (IOPATH datad combout (119:119:119) (106:106:106)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|33\~5\\) - (DELAY - (ABSOLUTE - (PORT dataa (2206:2206:2206) (2433:2433:2433)) - (PORT datac (2397:2397:2397) (2604:2604:2604)) - (PORT datad (2873:2873:2873) (3111:3111:3111)) - (IOPATH dataa combout (307:307:307) (306:306:306)) - (IOPATH datac combout (220:220:220) (215:215:215)) - (IOPATH datad combout (119:119:119) (106:106:106)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|33\~6\\) - (DELAY - (ABSOLUTE - (PORT dataa (2209:2209:2209) (2433:2433:2433)) - (PORT datac (2397:2397:2397) (2602:2602:2602)) - (PORT datad (2868:2868:2868) (3110:3110:3110)) - (IOPATH dataa combout (272:272:272) (269:269:269)) - (IOPATH datac combout (218:218:218) (215:215:215)) - (IOPATH datad combout (119:119:119) (106:106:106)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|33\~7\\) - (DELAY - (ABSOLUTE - (PORT dataa (2203:2203:2203) (2425:2425:2425)) - (PORT datac (2395:2395:2395) (2606:2606:2606)) - (PORT datad (2876:2876:2876) (3120:3120:3120)) - (IOPATH dataa combout (273:273:273) (269:269:269)) - (IOPATH datac combout (218:218:218) (216:216:216)) - (IOPATH datad combout (119:119:119) (106:106:106)) - ) - ) - ) -) diff --git a/CH6/CH6-1/simulation/modelsim/three_line_to_eight_decimal_decoder_6_1200mv_85c_slow.vho b/CH6/CH6-1/simulation/modelsim/three_line_to_eight_decimal_decoder_6_1200mv_85c_slow.vho deleted file mode 100644 index 833683ec..00000000 --- a/CH6/CH6-1/simulation/modelsim/three_line_to_eight_decimal_decoder_6_1200mv_85c_slow.vho +++ /dev/null @@ -1,412 +0,0 @@ --- Copyright (C) 1991-2013 Altera Corporation --- Your use of Altera Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Altera Program License --- Subscription Agreement, Altera MegaCore Function License --- Agreement, or other applicable license agreement, including, --- without limitation, that your use is for the sole purpose of --- programming logic devices manufactured by Altera and sold by --- Altera or its authorized distributors. Please refer to the --- applicable agreement for further details. - --- VENDOR "Altera" --- PROGRAM "Quartus II 32-bit" --- VERSION "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition" - --- DATE "10/24/2019 21:52:24" - --- --- Device: Altera EP3C16F484C6 Package FBGA484 --- - --- --- This VHDL file should be used for ModelSim-Altera (VHDL) only --- - -LIBRARY CYCLONEIII; -LIBRARY IEEE; -USE CYCLONEIII.CYCLONEIII_COMPONENTS.ALL; -USE IEEE.STD_LOGIC_1164.ALL; - -ENTITY three_line_to_eight_decimal_decoder IS - PORT ( - Y0 : OUT std_logic; - A : IN std_logic; - B : IN std_logic; - C : IN std_logic; - Y1 : OUT std_logic; - Y2 : OUT std_logic; - Y3 : OUT std_logic; - Y4 : OUT std_logic; - Y5 : OUT std_logic; - Y6 : OUT std_logic; - Y7 : OUT std_logic - ); -END three_line_to_eight_decimal_decoder; - --- Design Ports Information --- Y0 => Location: PIN_AB4, I/O Standard: 2.5 V, Current Strength: Default --- Y1 => Location: PIN_M8, I/O Standard: 2.5 V, Current Strength: Default --- Y2 => Location: PIN_M6, I/O Standard: 2.5 V, Current Strength: Default --- Y3 => Location: PIN_W20, I/O Standard: 2.5 V, Current Strength: Default --- Y4 => Location: PIN_N1, I/O Standard: 2.5 V, Current Strength: Default --- Y5 => Location: PIN_N8, I/O Standard: 2.5 V, Current Strength: Default --- Y6 => Location: PIN_E4, I/O Standard: 2.5 V, Current Strength: Default --- Y7 => Location: PIN_U1, I/O Standard: 2.5 V, Current Strength: Default --- C => Location: PIN_U2, I/O Standard: 2.5 V, Current Strength: Default --- A => Location: PIN_L7, I/O Standard: 2.5 V, Current Strength: Default --- B => Location: PIN_T11, I/O Standard: 2.5 V, Current Strength: Default - - -ARCHITECTURE structure OF three_line_to_eight_decimal_decoder IS -SIGNAL gnd : std_logic := '0'; -SIGNAL vcc : std_logic := '1'; -SIGNAL unknown : std_logic := 'X'; -SIGNAL devoe : std_logic := '1'; -SIGNAL devclrn : std_logic := '1'; -SIGNAL devpor : std_logic := '1'; -SIGNAL ww_devoe : std_logic; -SIGNAL ww_devclrn : std_logic; -SIGNAL ww_devpor : std_logic; -SIGNAL ww_Y0 : std_logic; -SIGNAL ww_A : std_logic; -SIGNAL ww_B : std_logic; -SIGNAL ww_C : std_logic; -SIGNAL ww_Y1 : std_logic; -SIGNAL ww_Y2 : std_logic; -SIGNAL ww_Y3 : std_logic; -SIGNAL ww_Y4 : std_logic; -SIGNAL ww_Y5 : std_logic; -SIGNAL ww_Y6 : std_logic; -SIGNAL ww_Y7 : std_logic; -SIGNAL \Y0~output_o\ : std_logic; -SIGNAL \Y1~output_o\ : std_logic; -SIGNAL \Y2~output_o\ : std_logic; -SIGNAL \Y3~output_o\ : std_logic; -SIGNAL \Y4~output_o\ : std_logic; -SIGNAL \Y5~output_o\ : std_logic; -SIGNAL \Y6~output_o\ : std_logic; -SIGNAL \Y7~output_o\ : std_logic; -SIGNAL \C~input_o\ : std_logic; -SIGNAL \A~input_o\ : std_logic; -SIGNAL \B~input_o\ : std_logic; -SIGNAL \inst|33~0_combout\ : std_logic; -SIGNAL \inst|33~1_combout\ : std_logic; -SIGNAL \inst|33~2_combout\ : std_logic; -SIGNAL \inst|33~3_combout\ : std_logic; -SIGNAL \inst|33~4_combout\ : std_logic; -SIGNAL \inst|33~5_combout\ : std_logic; -SIGNAL \inst|33~6_combout\ : std_logic; -SIGNAL \inst|33~7_combout\ : std_logic; -SIGNAL \inst|ALT_INV_33~7_combout\ : std_logic; -SIGNAL \inst|ALT_INV_33~6_combout\ : std_logic; -SIGNAL \inst|ALT_INV_33~5_combout\ : std_logic; -SIGNAL \inst|ALT_INV_33~4_combout\ : std_logic; -SIGNAL \inst|ALT_INV_33~3_combout\ : std_logic; -SIGNAL \inst|ALT_INV_33~2_combout\ : std_logic; -SIGNAL \inst|ALT_INV_33~1_combout\ : std_logic; -SIGNAL \inst|ALT_INV_33~0_combout\ : std_logic; - -BEGIN - -Y0 <= ww_Y0; -ww_A <= A; -ww_B <= B; -ww_C <= C; -Y1 <= ww_Y1; -Y2 <= ww_Y2; -Y3 <= ww_Y3; -Y4 <= ww_Y4; -Y5 <= ww_Y5; -Y6 <= ww_Y6; -Y7 <= ww_Y7; -ww_devoe <= devoe; -ww_devclrn <= devclrn; -ww_devpor <= devpor; -\inst|ALT_INV_33~7_combout\ <= NOT \inst|33~7_combout\; -\inst|ALT_INV_33~6_combout\ <= NOT \inst|33~6_combout\; -\inst|ALT_INV_33~5_combout\ <= NOT \inst|33~5_combout\; -\inst|ALT_INV_33~4_combout\ <= NOT \inst|33~4_combout\; -\inst|ALT_INV_33~3_combout\ <= NOT \inst|33~3_combout\; -\inst|ALT_INV_33~2_combout\ <= NOT \inst|33~2_combout\; -\inst|ALT_INV_33~1_combout\ <= NOT \inst|33~1_combout\; -\inst|ALT_INV_33~0_combout\ <= NOT \inst|33~0_combout\; - --- Location: IOOBUF_X7_Y0_N2 -\Y0~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_33~0_combout\, - devoe => ww_devoe, - o => \Y0~output_o\); - --- Location: IOOBUF_X0_Y7_N2 -\Y1~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_33~1_combout\, - devoe => ww_devoe, - o => \Y1~output_o\); - --- Location: IOOBUF_X0_Y13_N9 -\Y2~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_33~2_combout\, - devoe => ww_devoe, - o => \Y2~output_o\); - --- Location: IOOBUF_X41_Y3_N16 -\Y3~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_33~3_combout\, - devoe => ww_devoe, - o => \Y3~output_o\); - --- Location: IOOBUF_X0_Y12_N23 -\Y4~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_33~4_combout\, - devoe => ww_devoe, - o => \Y4~output_o\); - --- Location: IOOBUF_X0_Y7_N9 -\Y5~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_33~5_combout\, - devoe => ww_devoe, - o => \Y5~output_o\); - --- Location: IOOBUF_X0_Y26_N2 -\Y6~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_33~6_combout\, - devoe => ww_devoe, - o => \Y6~output_o\); - --- Location: IOOBUF_X0_Y9_N16 -\Y7~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_33~7_combout\, - devoe => ww_devoe, - o => \Y7~output_o\); - --- Location: IOIBUF_X0_Y9_N8 -\C~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_C, - o => \C~input_o\); - --- Location: IOIBUF_X0_Y11_N1 -\A~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_A, - o => \A~input_o\); - --- Location: IOIBUF_X16_Y0_N15 -\B~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_B, - o => \B~input_o\); - --- Location: LCCOMB_X1_Y9_N16 -\inst|33~0\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|33~0_combout\ = (!\C~input_o\ & (!\A~input_o\ & !\B~input_o\)) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000000101", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datac => \A~input_o\, - datad => \B~input_o\, - combout => \inst|33~0_combout\); - --- Location: LCCOMB_X1_Y9_N26 -\inst|33~1\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|33~1_combout\ = (!\C~input_o\ & (\A~input_o\ & !\B~input_o\)) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000001010000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datac => \A~input_o\, - datad => \B~input_o\, - combout => \inst|33~1_combout\); - --- Location: LCCOMB_X1_Y9_N12 -\inst|33~2\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|33~2_combout\ = (!\C~input_o\ & (!\A~input_o\ & \B~input_o\)) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000010100000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datac => \A~input_o\, - datad => \B~input_o\, - combout => \inst|33~2_combout\); - --- Location: LCCOMB_X1_Y9_N30 -\inst|33~3\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|33~3_combout\ = (!\C~input_o\ & (\A~input_o\ & \B~input_o\)) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0101000000000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datac => \A~input_o\, - datad => \B~input_o\, - combout => \inst|33~3_combout\); - --- Location: LCCOMB_X1_Y9_N24 -\inst|33~4\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|33~4_combout\ = (\C~input_o\ & (!\A~input_o\ & !\B~input_o\)) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000001010", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datac => \A~input_o\, - datad => \B~input_o\, - combout => \inst|33~4_combout\); - --- Location: LCCOMB_X1_Y9_N18 -\inst|33~5\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|33~5_combout\ = (\C~input_o\ & (\A~input_o\ & !\B~input_o\)) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000010100000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datac => \A~input_o\, - datad => \B~input_o\, - combout => \inst|33~5_combout\); - --- Location: LCCOMB_X1_Y9_N28 -\inst|33~6\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|33~6_combout\ = (\C~input_o\ & (!\A~input_o\ & \B~input_o\)) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000101000000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datac => \A~input_o\, - datad => \B~input_o\, - combout => \inst|33~6_combout\); - --- Location: LCCOMB_X1_Y9_N14 -\inst|33~7\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|33~7_combout\ = (\C~input_o\ & (\A~input_o\ & \B~input_o\)) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "1010000000000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datac => \A~input_o\, - datad => \B~input_o\, - combout => \inst|33~7_combout\); - -ww_Y0 <= \Y0~output_o\; - -ww_Y1 <= \Y1~output_o\; - -ww_Y2 <= \Y2~output_o\; - -ww_Y3 <= \Y3~output_o\; - -ww_Y4 <= \Y4~output_o\; - -ww_Y5 <= \Y5~output_o\; - -ww_Y6 <= \Y6~output_o\; - -ww_Y7 <= \Y7~output_o\; -END structure; - - diff --git a/CH6/CH6-1/simulation/modelsim/three_line_to_eight_decimal_decoder_6_1200mv_85c_vhd_slow.sdo b/CH6/CH6-1/simulation/modelsim/three_line_to_eight_decimal_decoder_6_1200mv_85c_vhd_slow.sdo deleted file mode 100644 index 8930f205..00000000 --- a/CH6/CH6-1/simulation/modelsim/three_line_to_eight_decimal_decoder_6_1200mv_85c_vhd_slow.sdo +++ /dev/null @@ -1,258 +0,0 @@ -// Copyright (C) 1991-2013 Altera Corporation -// Your use of Altera Corporation's design tools, logic functions -// and other software and tools, and its AMPP partner logic -// functions, and any output files from any of the foregoing -// (including device programming or simulation files), and any -// associated documentation or information are expressly subject -// to the terms and conditions of the Altera Program License -// Subscription Agreement, Altera MegaCore Function License -// Agreement, or other applicable license agreement, including, -// without limitation, that your use is for the sole purpose of -// programming logic devices manufactured by Altera and sold by -// Altera or its authorized distributors. Please refer to the -// applicable agreement for further details. - - -// -// Device: Altera EP3C16F484C6 Package FBGA484 -// - -// -// This file contains Slow Corner delays for the design using part EP3C16F484C6, -// with speed grade 6, core voltage 1.2V, and temperature 85 Celsius -// - -// -// This SDF file should be used for ModelSim-Altera (VHDL) only -// - -(DELAYFILE - (SDFVERSION "2.1") - (DESIGN "three_line_to_eight_decimal_decoder") - (DATE "10/24/2019 21:52:24") - (VENDOR "Altera") - (PROGRAM "Quartus II 32-bit") - (VERSION "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition") - (DIVIDER .) - (TIMESCALE 1 ps) - - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y0\~output\\) - (DELAY - (ABSOLUTE - (PORT i (1161:1161:1161) (1185:1185:1185)) - (IOPATH i o (2049:2049:2049) (2100:2100:2100)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y1\~output\\) - (DELAY - (ABSOLUTE - (PORT i (627:627:627) (614:614:614)) - (IOPATH i o (2214:2214:2214) (2226:2226:2226)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y2\~output\\) - (DELAY - (ABSOLUTE - (PORT i (625:625:625) (609:609:609)) - (IOPATH i o (2204:2204:2204) (2216:2216:2216)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y3\~output\\) - (DELAY - (ABSOLUTE - (PORT i (2026:2026:2026) (1949:1949:1949)) - (IOPATH i o (2224:2224:2224) (2236:2236:2236)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y4\~output\\) - (DELAY - (ABSOLUTE - (PORT i (645:645:645) (627:627:627)) - (IOPATH i o (2224:2224:2224) (2236:2236:2236)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y5\~output\\) - (DELAY - (ABSOLUTE - (PORT i (612:612:612) (606:606:606)) - (IOPATH i o (2224:2224:2224) (2236:2236:2236)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y6\~output\\) - (DELAY - (ABSOLUTE - (PORT i (1535:1535:1535) (1499:1499:1499)) - (IOPATH i o (2214:2214:2214) (2226:2226:2226)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y7\~output\\) - (DELAY - (ABSOLUTE - (PORT i (333:333:333) (326:326:326)) - (IOPATH i o (2234:2234:2234) (2246:2246:2246)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\C\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (765:765:765) (926:926:926)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\A\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (715:715:715) (876:876:876)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\B\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (699:699:699) (862:862:862)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|33\~0\\) - (DELAY - (ABSOLUTE - (PORT dataa (2609:2609:2609) (2876:2876:2876)) - (PORT datac (2792:2792:2792) (3056:3056:3056)) - (PORT datad (3296:3296:3296) (3637:3637:3637)) - (IOPATH dataa combout (371:371:371) (376:376:376)) - (IOPATH datac combout (241:241:241) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|33\~1\\) - (DELAY - (ABSOLUTE - (PORT dataa (2613:2613:2613) (2875:2875:2875)) - (PORT datac (2792:2792:2792) (3053:3053:3053)) - (PORT datad (3292:3292:3292) (3630:3630:3630)) - (IOPATH dataa combout (356:356:356) (368:368:368)) - (IOPATH datac combout (243:243:243) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|33\~2\\) - (DELAY - (ABSOLUTE - (PORT dataa (2603:2603:2603) (2873:2873:2873)) - (PORT datac (2791:2791:2791) (3056:3056:3056)) - (PORT datad (3296:3296:3296) (3638:3638:3638)) - (IOPATH dataa combout (325:325:325) (320:320:320)) - (IOPATH datac combout (241:241:241) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|33\~3\\) - (DELAY - (ABSOLUTE - (PORT dataa (2615:2615:2615) (2876:2876:2876)) - (PORT datac (2793:2793:2793) (3054:3054:3054)) - (PORT datad (3294:3294:3294) (3631:3631:3631)) - (IOPATH dataa combout (324:324:324) (328:328:328)) - (IOPATH datac combout (241:241:241) (242:242:242)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|33\~4\\) - (DELAY - (ABSOLUTE - (PORT dataa (2612:2612:2612) (2876:2876:2876)) - (PORT datac (2791:2791:2791) (3054:3054:3054)) - (PORT datad (3292:3292:3292) (3634:3634:3634)) - (IOPATH dataa combout (354:354:354) (367:367:367)) - (IOPATH datac combout (241:241:241) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|33\~5\\) - (DELAY - (ABSOLUTE - (PORT dataa (2609:2609:2609) (2876:2876:2876)) - (PORT datac (2792:2792:2792) (3056:3056:3056)) - (PORT datad (3296:3296:3296) (3634:3634:3634)) - (IOPATH dataa combout (341:341:341) (347:347:347)) - (IOPATH datac combout (243:243:243) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|33\~6\\) - (DELAY - (ABSOLUTE - (PORT dataa (2614:2614:2614) (2876:2876:2876)) - (PORT datac (2792:2792:2792) (3053:3053:3053)) - (PORT datad (3294:3294:3294) (3631:3631:3631)) - (IOPATH dataa combout (303:303:303) (308:308:308)) - (IOPATH datac combout (241:241:241) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|33\~7\\) - (DELAY - (ABSOLUTE - (PORT dataa (2603:2603:2603) (2874:2874:2874)) - (PORT datac (2791:2791:2791) (3057:3057:3057)) - (PORT datad (3296:3296:3296) (3636:3636:3636)) - (IOPATH dataa combout (304:304:304) (307:307:307)) - (IOPATH datac combout (241:241:241) (242:242:242)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) -) diff --git a/CH6/CH6-1/simulation/modelsim/three_line_to_eight_decimal_decoder_min_1200mv_0c_fast.vho b/CH6/CH6-1/simulation/modelsim/three_line_to_eight_decimal_decoder_min_1200mv_0c_fast.vho deleted file mode 100644 index 833683ec..00000000 --- a/CH6/CH6-1/simulation/modelsim/three_line_to_eight_decimal_decoder_min_1200mv_0c_fast.vho +++ /dev/null @@ -1,412 +0,0 @@ --- Copyright (C) 1991-2013 Altera Corporation --- Your use of Altera Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Altera Program License --- Subscription Agreement, Altera MegaCore Function License --- Agreement, or other applicable license agreement, including, --- without limitation, that your use is for the sole purpose of --- programming logic devices manufactured by Altera and sold by --- Altera or its authorized distributors. Please refer to the --- applicable agreement for further details. - --- VENDOR "Altera" --- PROGRAM "Quartus II 32-bit" --- VERSION "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition" - --- DATE "10/24/2019 21:52:24" - --- --- Device: Altera EP3C16F484C6 Package FBGA484 --- - --- --- This VHDL file should be used for ModelSim-Altera (VHDL) only --- - -LIBRARY CYCLONEIII; -LIBRARY IEEE; -USE CYCLONEIII.CYCLONEIII_COMPONENTS.ALL; -USE IEEE.STD_LOGIC_1164.ALL; - -ENTITY three_line_to_eight_decimal_decoder IS - PORT ( - Y0 : OUT std_logic; - A : IN std_logic; - B : IN std_logic; - C : IN std_logic; - Y1 : OUT std_logic; - Y2 : OUT std_logic; - Y3 : OUT std_logic; - Y4 : OUT std_logic; - Y5 : OUT std_logic; - Y6 : OUT std_logic; - Y7 : OUT std_logic - ); -END three_line_to_eight_decimal_decoder; - --- Design Ports Information --- Y0 => Location: PIN_AB4, I/O Standard: 2.5 V, Current Strength: Default --- Y1 => Location: PIN_M8, I/O Standard: 2.5 V, Current Strength: Default --- Y2 => Location: PIN_M6, I/O Standard: 2.5 V, Current Strength: Default --- Y3 => Location: PIN_W20, I/O Standard: 2.5 V, Current Strength: Default --- Y4 => Location: PIN_N1, I/O Standard: 2.5 V, Current Strength: Default --- Y5 => Location: PIN_N8, I/O Standard: 2.5 V, Current Strength: Default --- Y6 => Location: PIN_E4, I/O Standard: 2.5 V, Current Strength: Default --- Y7 => Location: PIN_U1, I/O Standard: 2.5 V, Current Strength: Default --- C => Location: PIN_U2, I/O Standard: 2.5 V, Current Strength: Default --- A => Location: PIN_L7, I/O Standard: 2.5 V, Current Strength: Default --- B => Location: PIN_T11, I/O Standard: 2.5 V, Current Strength: Default - - -ARCHITECTURE structure OF three_line_to_eight_decimal_decoder IS -SIGNAL gnd : std_logic := '0'; -SIGNAL vcc : std_logic := '1'; -SIGNAL unknown : std_logic := 'X'; -SIGNAL devoe : std_logic := '1'; -SIGNAL devclrn : std_logic := '1'; -SIGNAL devpor : std_logic := '1'; -SIGNAL ww_devoe : std_logic; -SIGNAL ww_devclrn : std_logic; -SIGNAL ww_devpor : std_logic; -SIGNAL ww_Y0 : std_logic; -SIGNAL ww_A : std_logic; -SIGNAL ww_B : std_logic; -SIGNAL ww_C : std_logic; -SIGNAL ww_Y1 : std_logic; -SIGNAL ww_Y2 : std_logic; -SIGNAL ww_Y3 : std_logic; -SIGNAL ww_Y4 : std_logic; -SIGNAL ww_Y5 : std_logic; -SIGNAL ww_Y6 : std_logic; -SIGNAL ww_Y7 : std_logic; -SIGNAL \Y0~output_o\ : std_logic; -SIGNAL \Y1~output_o\ : std_logic; -SIGNAL \Y2~output_o\ : std_logic; -SIGNAL \Y3~output_o\ : std_logic; -SIGNAL \Y4~output_o\ : std_logic; -SIGNAL \Y5~output_o\ : std_logic; -SIGNAL \Y6~output_o\ : std_logic; -SIGNAL \Y7~output_o\ : std_logic; -SIGNAL \C~input_o\ : std_logic; -SIGNAL \A~input_o\ : std_logic; -SIGNAL \B~input_o\ : std_logic; -SIGNAL \inst|33~0_combout\ : std_logic; -SIGNAL \inst|33~1_combout\ : std_logic; -SIGNAL \inst|33~2_combout\ : std_logic; -SIGNAL \inst|33~3_combout\ : std_logic; -SIGNAL \inst|33~4_combout\ : std_logic; -SIGNAL \inst|33~5_combout\ : std_logic; -SIGNAL \inst|33~6_combout\ : std_logic; -SIGNAL \inst|33~7_combout\ : std_logic; -SIGNAL \inst|ALT_INV_33~7_combout\ : std_logic; -SIGNAL \inst|ALT_INV_33~6_combout\ : std_logic; -SIGNAL \inst|ALT_INV_33~5_combout\ : std_logic; -SIGNAL \inst|ALT_INV_33~4_combout\ : std_logic; -SIGNAL \inst|ALT_INV_33~3_combout\ : std_logic; -SIGNAL \inst|ALT_INV_33~2_combout\ : std_logic; -SIGNAL \inst|ALT_INV_33~1_combout\ : std_logic; -SIGNAL \inst|ALT_INV_33~0_combout\ : std_logic; - -BEGIN - -Y0 <= ww_Y0; -ww_A <= A; -ww_B <= B; -ww_C <= C; -Y1 <= ww_Y1; -Y2 <= ww_Y2; -Y3 <= ww_Y3; -Y4 <= ww_Y4; -Y5 <= ww_Y5; -Y6 <= ww_Y6; -Y7 <= ww_Y7; -ww_devoe <= devoe; -ww_devclrn <= devclrn; -ww_devpor <= devpor; -\inst|ALT_INV_33~7_combout\ <= NOT \inst|33~7_combout\; -\inst|ALT_INV_33~6_combout\ <= NOT \inst|33~6_combout\; -\inst|ALT_INV_33~5_combout\ <= NOT \inst|33~5_combout\; -\inst|ALT_INV_33~4_combout\ <= NOT \inst|33~4_combout\; -\inst|ALT_INV_33~3_combout\ <= NOT \inst|33~3_combout\; -\inst|ALT_INV_33~2_combout\ <= NOT \inst|33~2_combout\; -\inst|ALT_INV_33~1_combout\ <= NOT \inst|33~1_combout\; -\inst|ALT_INV_33~0_combout\ <= NOT \inst|33~0_combout\; - --- Location: IOOBUF_X7_Y0_N2 -\Y0~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_33~0_combout\, - devoe => ww_devoe, - o => \Y0~output_o\); - --- Location: IOOBUF_X0_Y7_N2 -\Y1~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_33~1_combout\, - devoe => ww_devoe, - o => \Y1~output_o\); - --- Location: IOOBUF_X0_Y13_N9 -\Y2~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_33~2_combout\, - devoe => ww_devoe, - o => \Y2~output_o\); - --- Location: IOOBUF_X41_Y3_N16 -\Y3~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_33~3_combout\, - devoe => ww_devoe, - o => \Y3~output_o\); - --- Location: IOOBUF_X0_Y12_N23 -\Y4~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_33~4_combout\, - devoe => ww_devoe, - o => \Y4~output_o\); - --- Location: IOOBUF_X0_Y7_N9 -\Y5~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_33~5_combout\, - devoe => ww_devoe, - o => \Y5~output_o\); - --- Location: IOOBUF_X0_Y26_N2 -\Y6~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_33~6_combout\, - devoe => ww_devoe, - o => \Y6~output_o\); - --- Location: IOOBUF_X0_Y9_N16 -\Y7~output\ : cycloneiii_io_obuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - open_drain_output => "false") --- pragma translate_on -PORT MAP ( - i => \inst|ALT_INV_33~7_combout\, - devoe => ww_devoe, - o => \Y7~output_o\); - --- Location: IOIBUF_X0_Y9_N8 -\C~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_C, - o => \C~input_o\); - --- Location: IOIBUF_X0_Y11_N1 -\A~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_A, - o => \A~input_o\); - --- Location: IOIBUF_X16_Y0_N15 -\B~input\ : cycloneiii_io_ibuf --- pragma translate_off -GENERIC MAP ( - bus_hold => "false", - simulate_z_as => "z") --- pragma translate_on -PORT MAP ( - i => ww_B, - o => \B~input_o\); - --- Location: LCCOMB_X1_Y9_N16 -\inst|33~0\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|33~0_combout\ = (!\C~input_o\ & (!\A~input_o\ & !\B~input_o\)) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000000101", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datac => \A~input_o\, - datad => \B~input_o\, - combout => \inst|33~0_combout\); - --- Location: LCCOMB_X1_Y9_N26 -\inst|33~1\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|33~1_combout\ = (!\C~input_o\ & (\A~input_o\ & !\B~input_o\)) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000001010000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datac => \A~input_o\, - datad => \B~input_o\, - combout => \inst|33~1_combout\); - --- Location: LCCOMB_X1_Y9_N12 -\inst|33~2\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|33~2_combout\ = (!\C~input_o\ & (!\A~input_o\ & \B~input_o\)) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000010100000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datac => \A~input_o\, - datad => \B~input_o\, - combout => \inst|33~2_combout\); - --- Location: LCCOMB_X1_Y9_N30 -\inst|33~3\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|33~3_combout\ = (!\C~input_o\ & (\A~input_o\ & \B~input_o\)) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0101000000000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datac => \A~input_o\, - datad => \B~input_o\, - combout => \inst|33~3_combout\); - --- Location: LCCOMB_X1_Y9_N24 -\inst|33~4\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|33~4_combout\ = (\C~input_o\ & (!\A~input_o\ & !\B~input_o\)) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000000001010", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datac => \A~input_o\, - datad => \B~input_o\, - combout => \inst|33~4_combout\); - --- Location: LCCOMB_X1_Y9_N18 -\inst|33~5\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|33~5_combout\ = (\C~input_o\ & (\A~input_o\ & !\B~input_o\)) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000000010100000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datac => \A~input_o\, - datad => \B~input_o\, - combout => \inst|33~5_combout\); - --- Location: LCCOMB_X1_Y9_N28 -\inst|33~6\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|33~6_combout\ = (\C~input_o\ & (!\A~input_o\ & \B~input_o\)) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "0000101000000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datac => \A~input_o\, - datad => \B~input_o\, - combout => \inst|33~6_combout\); - --- Location: LCCOMB_X1_Y9_N14 -\inst|33~7\ : cycloneiii_lcell_comb --- Equation(s): --- \inst|33~7_combout\ = (\C~input_o\ & (\A~input_o\ & \B~input_o\)) - --- pragma translate_off -GENERIC MAP ( - lut_mask => "1010000000000000", - sum_lutc_input => "datac") --- pragma translate_on -PORT MAP ( - dataa => \C~input_o\, - datac => \A~input_o\, - datad => \B~input_o\, - combout => \inst|33~7_combout\); - -ww_Y0 <= \Y0~output_o\; - -ww_Y1 <= \Y1~output_o\; - -ww_Y2 <= \Y2~output_o\; - -ww_Y3 <= \Y3~output_o\; - -ww_Y4 <= \Y4~output_o\; - -ww_Y5 <= \Y5~output_o\; - -ww_Y6 <= \Y6~output_o\; - -ww_Y7 <= \Y7~output_o\; -END structure; - - diff --git a/CH6/CH6-1/simulation/modelsim/three_line_to_eight_decimal_decoder_min_1200mv_0c_vhd_fast.sdo b/CH6/CH6-1/simulation/modelsim/three_line_to_eight_decimal_decoder_min_1200mv_0c_vhd_fast.sdo deleted file mode 100644 index d29d93cf..00000000 --- a/CH6/CH6-1/simulation/modelsim/three_line_to_eight_decimal_decoder_min_1200mv_0c_vhd_fast.sdo +++ /dev/null @@ -1,258 +0,0 @@ -// Copyright (C) 1991-2013 Altera Corporation -// Your use of Altera Corporation's design tools, logic functions -// and other software and tools, and its AMPP partner logic -// functions, and any output files from any of the foregoing -// (including device programming or simulation files), and any -// associated documentation or information are expressly subject -// to the terms and conditions of the Altera Program License -// Subscription Agreement, Altera MegaCore Function License -// Agreement, or other applicable license agreement, including, -// without limitation, that your use is for the sole purpose of -// programming logic devices manufactured by Altera and sold by -// Altera or its authorized distributors. Please refer to the -// applicable agreement for further details. - - -// -// Device: Altera EP3C16F484C6 Package FBGA484 -// - -// -// This file contains Fast Corner delays for the design using part EP3C16F484C6, -// with speed grade M, core voltage 1.2V, and temperature 0 Celsius -// - -// -// This SDF file should be used for ModelSim-Altera (VHDL) only -// - -(DELAYFILE - (SDFVERSION "2.1") - (DESIGN "three_line_to_eight_decimal_decoder") - (DATE "10/24/2019 21:52:24") - (VENDOR "Altera") - (PROGRAM "Quartus II 32-bit") - (VERSION "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition") - (DIVIDER .) - (TIMESCALE 1 ps) - - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y0\~output\\) - (DELAY - (ABSOLUTE - (PORT i (684:684:684) (624:624:624)) - (IOPATH i o (1331:1331:1331) (1340:1340:1340)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y1\~output\\) - (DELAY - (ABSOLUTE - (PORT i (377:377:377) (334:334:334)) - (IOPATH i o (1450:1450:1450) (1466:1466:1466)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y2\~output\\) - (DELAY - (ABSOLUTE - (PORT i (382:382:382) (334:334:334)) - (IOPATH i o (1440:1440:1440) (1456:1456:1456)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y3\~output\\) - (DELAY - (ABSOLUTE - (PORT i (1218:1218:1218) (1070:1070:1070)) - (IOPATH i o (1460:1460:1460) (1476:1476:1476)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y4\~output\\) - (DELAY - (ABSOLUTE - (PORT i (381:381:381) (352:352:352)) - (IOPATH i o (1460:1460:1460) (1476:1476:1476)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y5\~output\\) - (DELAY - (ABSOLUTE - (PORT i (372:372:372) (331:331:331)) - (IOPATH i o (1460:1460:1460) (1476:1476:1476)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y6\~output\\) - (DELAY - (ABSOLUTE - (PORT i (943:943:943) (861:861:861)) - (IOPATH i o (1450:1450:1450) (1466:1466:1466)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y7\~output\\) - (DELAY - (ABSOLUTE - (PORT i (185:185:185) (164:164:164)) - (IOPATH i o (1470:1470:1470) (1486:1486:1486)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\C\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (421:421:421) (803:803:803)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\A\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (371:371:371) (753:753:753)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\B\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (372:372:372) (754:754:754)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|33\~0\\) - (DELAY - (ABSOLUTE - (PORT dataa (1500:1500:1500) (1695:1695:1695)) - (PORT datac (1635:1635:1635) (1823:1823:1823)) - (PORT datad (1936:1936:1936) (2183:2183:2183)) - (IOPATH dataa combout (158:158:158) (157:157:157)) - (IOPATH datac combout (120:120:120) (124:124:124)) - (IOPATH datad combout (68:68:68) (63:63:63)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|33\~1\\) - (DELAY - (ABSOLUTE - (PORT dataa (1504:1504:1504) (1696:1696:1696)) - (PORT datac (1635:1635:1635) (1821:1821:1821)) - (PORT datad (1930:1930:1930) (2174:2174:2174)) - (IOPATH dataa combout (158:158:158) (157:157:157)) - (IOPATH datac combout (119:119:119) (124:124:124)) - (IOPATH datad combout (68:68:68) (63:63:63)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|33\~2\\) - (DELAY - (ABSOLUTE - (PORT dataa (1499:1499:1499) (1691:1691:1691)) - (PORT datac (1637:1637:1637) (1825:1825:1825)) - (PORT datad (1937:1937:1937) (2184:2184:2184)) - (IOPATH dataa combout (158:158:158) (157:157:157)) - (IOPATH datac combout (119:119:119) (125:125:125)) - (IOPATH datad combout (68:68:68) (63:63:63)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|33\~3\\) - (DELAY - (ABSOLUTE - (PORT dataa (1505:1505:1505) (1697:1697:1697)) - (PORT datac (1636:1636:1636) (1823:1823:1823)) - (PORT datad (1931:1931:1931) (2175:2175:2175)) - (IOPATH dataa combout (158:158:158) (157:157:157)) - (IOPATH datac combout (119:119:119) (124:124:124)) - (IOPATH datad combout (68:68:68) (63:63:63)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|33\~4\\) - (DELAY - (ABSOLUTE - (PORT dataa (1503:1503:1503) (1698:1698:1698)) - (PORT datac (1634:1634:1634) (1822:1822:1822)) - (PORT datad (1933:1933:1933) (2180:2180:2180)) - (IOPATH dataa combout (170:170:170) (163:163:163)) - (IOPATH datac combout (120:120:120) (124:124:124)) - (IOPATH datad combout (68:68:68) (63:63:63)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|33\~5\\) - (DELAY - (ABSOLUTE - (PORT dataa (1503:1503:1503) (1693:1693:1693)) - (PORT datac (1634:1634:1634) (1821:1821:1821)) - (PORT datad (1933:1933:1933) (2178:2178:2178)) - (IOPATH dataa combout (170:170:170) (163:163:163)) - (IOPATH datac combout (119:119:119) (124:124:124)) - (IOPATH datad combout (68:68:68) (63:63:63)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|33\~6\\) - (DELAY - (ABSOLUTE - (PORT dataa (1505:1505:1505) (1697:1697:1697)) - (PORT datac (1635:1635:1635) (1822:1822:1822)) - (PORT datad (1930:1930:1930) (2175:2175:2175)) - (IOPATH dataa combout (165:165:165) (163:163:163)) - (IOPATH datac combout (119:119:119) (125:125:125)) - (IOPATH datad combout (68:68:68) (63:63:63)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|33\~7\\) - (DELAY - (ABSOLUTE - (PORT dataa (1500:1500:1500) (1689:1689:1689)) - (PORT datac (1634:1634:1634) (1824:1824:1824)) - (PORT datad (1938:1938:1938) (2184:2184:2184)) - (IOPATH dataa combout (166:166:166) (163:163:163)) - (IOPATH datac combout (119:119:119) (124:124:124)) - (IOPATH datad combout (68:68:68) (63:63:63)) - ) - ) - ) -) diff --git a/CH6/CH6-1/simulation/modelsim/three_line_to_eight_decimal_decoder_modelsim.xrf b/CH6/CH6-1/simulation/modelsim/three_line_to_eight_decimal_decoder_modelsim.xrf deleted file mode 100644 index 133c2faf..00000000 --- a/CH6/CH6-1/simulation/modelsim/three_line_to_eight_decimal_decoder_modelsim.xrf +++ /dev/null @@ -1,25 +0,0 @@ -vendor_name = ModelSim -source_file = 1, /home/timmy/Git/Learn-VHDL/CH6/CH6-1/three_line_to_eight_decimal_decoder.bdf -source_file = 1, /home/timmy/Git/Learn-VHDL/CH6/CH6-1/three_line_to_eight_decimal_decoder.vwf -source_file = 1, /home/timmy/Git/Learn-VHDL/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.cbx.xml -source_file = 1, /home/timmy/altera/13.1/quartus/libraries/others/maxplus2/74139.bdf -design_name = three_line_to_eight_decimal_decoder -instance = comp, \Y0~output\, Y0~output, three_line_to_eight_decimal_decoder, 1 -instance = comp, \Y1~output\, Y1~output, three_line_to_eight_decimal_decoder, 1 -instance = comp, \Y2~output\, Y2~output, three_line_to_eight_decimal_decoder, 1 -instance = comp, \Y3~output\, Y3~output, three_line_to_eight_decimal_decoder, 1 -instance = comp, \Y4~output\, Y4~output, three_line_to_eight_decimal_decoder, 1 -instance = comp, \Y5~output\, Y5~output, three_line_to_eight_decimal_decoder, 1 -instance = comp, \Y6~output\, Y6~output, three_line_to_eight_decimal_decoder, 1 -instance = comp, \Y7~output\, Y7~output, three_line_to_eight_decimal_decoder, 1 -instance = comp, \C~input\, C~input, three_line_to_eight_decimal_decoder, 1 -instance = comp, \A~input\, A~input, three_line_to_eight_decimal_decoder, 1 -instance = comp, \B~input\, B~input, three_line_to_eight_decimal_decoder, 1 -instance = comp, \inst|33~0\, inst|33~0, three_line_to_eight_decimal_decoder, 1 -instance = comp, \inst|33~1\, inst|33~1, three_line_to_eight_decimal_decoder, 1 -instance = comp, \inst|33~2\, inst|33~2, three_line_to_eight_decimal_decoder, 1 -instance = comp, \inst|33~3\, inst|33~3, three_line_to_eight_decimal_decoder, 1 -instance = comp, \inst|33~4\, inst|33~4, three_line_to_eight_decimal_decoder, 1 -instance = comp, \inst|33~5\, inst|33~5, three_line_to_eight_decimal_decoder, 1 -instance = comp, \inst|33~6\, inst|33~6, three_line_to_eight_decimal_decoder, 1 -instance = comp, \inst|33~7\, inst|33~7, three_line_to_eight_decimal_decoder, 1 diff --git a/CH6/CH6-1/simulation/modelsim/three_line_to_eight_decimal_decoder_vhd.sdo b/CH6/CH6-1/simulation/modelsim/three_line_to_eight_decimal_decoder_vhd.sdo deleted file mode 100644 index 8930f205..00000000 --- a/CH6/CH6-1/simulation/modelsim/three_line_to_eight_decimal_decoder_vhd.sdo +++ /dev/null @@ -1,258 +0,0 @@ -// Copyright (C) 1991-2013 Altera Corporation -// Your use of Altera Corporation's design tools, logic functions -// and other software and tools, and its AMPP partner logic -// functions, and any output files from any of the foregoing -// (including device programming or simulation files), and any -// associated documentation or information are expressly subject -// to the terms and conditions of the Altera Program License -// Subscription Agreement, Altera MegaCore Function License -// Agreement, or other applicable license agreement, including, -// without limitation, that your use is for the sole purpose of -// programming logic devices manufactured by Altera and sold by -// Altera or its authorized distributors. Please refer to the -// applicable agreement for further details. - - -// -// Device: Altera EP3C16F484C6 Package FBGA484 -// - -// -// This file contains Slow Corner delays for the design using part EP3C16F484C6, -// with speed grade 6, core voltage 1.2V, and temperature 85 Celsius -// - -// -// This SDF file should be used for ModelSim-Altera (VHDL) only -// - -(DELAYFILE - (SDFVERSION "2.1") - (DESIGN "three_line_to_eight_decimal_decoder") - (DATE "10/24/2019 21:52:24") - (VENDOR "Altera") - (PROGRAM "Quartus II 32-bit") - (VERSION "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition") - (DIVIDER .) - (TIMESCALE 1 ps) - - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y0\~output\\) - (DELAY - (ABSOLUTE - (PORT i (1161:1161:1161) (1185:1185:1185)) - (IOPATH i o (2049:2049:2049) (2100:2100:2100)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y1\~output\\) - (DELAY - (ABSOLUTE - (PORT i (627:627:627) (614:614:614)) - (IOPATH i o (2214:2214:2214) (2226:2226:2226)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y2\~output\\) - (DELAY - (ABSOLUTE - (PORT i (625:625:625) (609:609:609)) - (IOPATH i o (2204:2204:2204) (2216:2216:2216)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y3\~output\\) - (DELAY - (ABSOLUTE - (PORT i (2026:2026:2026) (1949:1949:1949)) - (IOPATH i o (2224:2224:2224) (2236:2236:2236)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y4\~output\\) - (DELAY - (ABSOLUTE - (PORT i (645:645:645) (627:627:627)) - (IOPATH i o (2224:2224:2224) (2236:2236:2236)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y5\~output\\) - (DELAY - (ABSOLUTE - (PORT i (612:612:612) (606:606:606)) - (IOPATH i o (2224:2224:2224) (2236:2236:2236)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y6\~output\\) - (DELAY - (ABSOLUTE - (PORT i (1535:1535:1535) (1499:1499:1499)) - (IOPATH i o (2214:2214:2214) (2226:2226:2226)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_obuf") - (INSTANCE \\Y7\~output\\) - (DELAY - (ABSOLUTE - (PORT i (333:333:333) (326:326:326)) - (IOPATH i o (2234:2234:2234) (2246:2246:2246)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\C\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (765:765:765) (926:926:926)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\A\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (715:715:715) (876:876:876)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_io_ibuf") - (INSTANCE \\B\~input\\) - (DELAY - (ABSOLUTE - (IOPATH i o (699:699:699) (862:862:862)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|33\~0\\) - (DELAY - (ABSOLUTE - (PORT dataa (2609:2609:2609) (2876:2876:2876)) - (PORT datac (2792:2792:2792) (3056:3056:3056)) - (PORT datad (3296:3296:3296) (3637:3637:3637)) - (IOPATH dataa combout (371:371:371) (376:376:376)) - (IOPATH datac combout (241:241:241) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|33\~1\\) - (DELAY - (ABSOLUTE - (PORT dataa (2613:2613:2613) (2875:2875:2875)) - (PORT datac (2792:2792:2792) (3053:3053:3053)) - (PORT datad (3292:3292:3292) (3630:3630:3630)) - (IOPATH dataa combout (356:356:356) (368:368:368)) - (IOPATH datac combout (243:243:243) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|33\~2\\) - (DELAY - (ABSOLUTE - (PORT dataa (2603:2603:2603) (2873:2873:2873)) - (PORT datac (2791:2791:2791) (3056:3056:3056)) - (PORT datad (3296:3296:3296) (3638:3638:3638)) - (IOPATH dataa combout (325:325:325) (320:320:320)) - (IOPATH datac combout (241:241:241) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|33\~3\\) - (DELAY - (ABSOLUTE - (PORT dataa (2615:2615:2615) (2876:2876:2876)) - (PORT datac (2793:2793:2793) (3054:3054:3054)) - (PORT datad (3294:3294:3294) (3631:3631:3631)) - (IOPATH dataa combout (324:324:324) (328:328:328)) - (IOPATH datac combout (241:241:241) (242:242:242)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|33\~4\\) - (DELAY - (ABSOLUTE - (PORT dataa (2612:2612:2612) (2876:2876:2876)) - (PORT datac (2791:2791:2791) (3054:3054:3054)) - (PORT datad (3292:3292:3292) (3634:3634:3634)) - (IOPATH dataa combout (354:354:354) (367:367:367)) - (IOPATH datac combout (241:241:241) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|33\~5\\) - (DELAY - (ABSOLUTE - (PORT dataa (2609:2609:2609) (2876:2876:2876)) - (PORT datac (2792:2792:2792) (3056:3056:3056)) - (PORT datad (3296:3296:3296) (3634:3634:3634)) - (IOPATH dataa combout (341:341:341) (347:347:347)) - (IOPATH datac combout (243:243:243) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|33\~6\\) - (DELAY - (ABSOLUTE - (PORT dataa (2614:2614:2614) (2876:2876:2876)) - (PORT datac (2792:2792:2792) (3053:3053:3053)) - (PORT datad (3294:3294:3294) (3631:3631:3631)) - (IOPATH dataa combout (303:303:303) (308:308:308)) - (IOPATH datac combout (241:241:241) (241:241:241)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) - (CELL - (CELLTYPE "cycloneiii_lcell_comb") - (INSTANCE \\inst\|33\~7\\) - (DELAY - (ABSOLUTE - (PORT dataa (2603:2603:2603) (2874:2874:2874)) - (PORT datac (2791:2791:2791) (3057:3057:3057)) - (PORT datad (3296:3296:3296) (3636:3636:3636)) - (IOPATH dataa combout (304:304:304) (307:307:307)) - (IOPATH datac combout (241:241:241) (242:242:242)) - (IOPATH datad combout (130:130:130) (120:120:120)) - ) - ) - ) -) diff --git a/CH6/CH6-1/simulation/qsim/BCD_to_decimal_decoder.do b/CH6/CH6-1/simulation/qsim/BCD_to_decimal_decoder.do deleted file mode 100644 index 13e39b5a..00000000 --- a/CH6/CH6-1/simulation/qsim/BCD_to_decimal_decoder.do +++ /dev/null @@ -1,10 +0,0 @@ -onerror {exit -code 1} -vlib work -vlog -work work BCD_to_decimal_decoder.vo -vlog -work work BCD_to_decimal_decoder.vwf.vt -vsim -novopt -c -t 1ps -L cycloneiii_ver -L altera_ver -L altera_mf_ver -L 220model_ver -L sgate work.BCD_to_decimal_decoder_vlg_vec_tst -voptargs="+acc" -vcd file -direction BCD_to_decimal_decoder.msim.vcd -vcd add -internal BCD_to_decimal_decoder_vlg_vec_tst/* -vcd add -internal BCD_to_decimal_decoder_vlg_vec_tst/i1/* -run -all -quit -f diff --git a/CH6/CH6-1/simulation/qsim/BCD_to_decimal_decoder.msim.vcd b/CH6/CH6-1/simulation/qsim/BCD_to_decimal_decoder.msim.vcd deleted file mode 100644 index 1f8cb21a..00000000 --- a/CH6/CH6-1/simulation/qsim/BCD_to_decimal_decoder.msim.vcd +++ /dev/null @@ -1,216 +0,0 @@ -$comment - File created using the following command: - vcd file BCD_to_decimal_decoder.msim.vcd -direction -$end -$date - Thu Oct 17 23:24:17 2019 -$end -$version - ModelSim Version 10.1d -$end -$timescale - 1ps -$end -$scope module BCD_to_decimal_decoder_vlg_vec_tst $end -$var reg 1 ! A $end -$var reg 1 " B $end -$var reg 1 # C $end -$var reg 1 $ D $end -$var wire 1 % Y0 $end -$var wire 1 & Y1 $end -$var wire 1 ' Y2 $end -$var wire 1 ( Y3 $end -$var wire 1 ) Y4 $end -$var wire 1 * Y5 $end -$var wire 1 + Y6 $end -$var wire 1 , Y7 $end -$var wire 1 - Y8 $end -$var wire 1 . Y9 $end -$var wire 1 / sampler $end -$scope module i1 $end -$var wire 1 0 gnd $end -$var wire 1 1 vcc $end -$var wire 1 2 unknown $end -$var tri1 1 3 devclrn $end -$var tri1 1 4 devpor $end -$var tri1 1 5 devoe $end -$var wire 1 6 Y0~output_o $end -$var wire 1 7 Y1~output_o $end -$var wire 1 8 Y2~output_o $end -$var wire 1 9 Y3~output_o $end -$var wire 1 : Y4~output_o $end -$var wire 1 ; Y5~output_o $end -$var wire 1 < Y6~output_o $end -$var wire 1 = Y7~output_o $end -$var wire 1 > Y8~output_o $end -$var wire 1 ? Y9~output_o $end -$var wire 1 @ C~input_o $end -$var wire 1 A D~input_o $end -$var wire 1 B A~input_o $end -$var wire 1 C B~input_o $end -$var wire 1 D inst~combout $end -$var wire 1 E inst1~combout $end -$var wire 1 F inst2~combout $end -$var wire 1 G inst3~combout $end -$var wire 1 H inst4~combout $end -$var wire 1 I inst5~combout $end -$var wire 1 J inst6~combout $end -$var wire 1 K inst7~combout $end -$var wire 1 L inst8~combout $end -$var wire 1 M inst9~combout $end -$upscope $end -$upscope $end -$enddefinitions $end -#0 -$dumpvars -0! -0" -0# -0$ -1% -0& -0' -0( -0) -0* -0+ -0, -0- -0. -x/ -00 -11 -x2 -13 -14 -15 -16 -07 -08 -09 -0: -0; -0< -0= -0> -0? -0@ -0A -0B -0C -1D -0E -0F -0G -0H -0I -0J -0K -0L -0M -$end -#1000000 -1! -1B -0/ -1E -0D -17 -06 -1& -0% -#2000000 -1" -0! -1C -0B -1/ -1F -0E -18 -07 -1' -0& -#3000000 -1! -1B -0/ -1G -0F -19 -08 -1( -0' -#4000000 -1# -0" -0! -1@ -0C -0B -1/ -1H -0G -1: -09 -1) -0( -#5000000 -1! -1B -0/ -1I -0H -1; -0: -1* -0) -#6000000 -1" -0! -1C -0B -1/ -1J -0I -1< -0; -1+ -0* -#7000000 -1! -1B -0/ -1K -0J -1= -0< -1, -0+ -#8000000 -1$ -0# -0" -0! -1A -0@ -0C -0B -1/ -1L -0K -1> -0= -1- -0, -#9000000 -1! -1B -0/ -1M -0L -1? -0> -1. -0- -#10000000 diff --git a/CH6/CH6-1/simulation/qsim/BCD_to_decimal_decoder.sft b/CH6/CH6-1/simulation/qsim/BCD_to_decimal_decoder.sft deleted file mode 100644 index 06a2ca45..00000000 --- a/CH6/CH6-1/simulation/qsim/BCD_to_decimal_decoder.sft +++ /dev/null @@ -1 +0,0 @@ -set tool_name "ModelSim-Altera (Verilog)" diff --git a/CH6/CH6-1/simulation/qsim/BCD_to_decimal_decoder.sim.vwf b/CH6/CH6-1/simulation/qsim/BCD_to_decimal_decoder.sim.vwf deleted file mode 100644 index e25f948d..00000000 --- a/CH6/CH6-1/simulation/qsim/BCD_to_decimal_decoder.sim.vwf +++ /dev/null @@ -1,522 +0,0 @@ -/* -WARNING: Do NOT edit the input and output ports in this file in a text -editor if you plan to continue editing the block that represents it in -the Block Editor! File corruption is VERY likely to occur. -*/ - -/* -Copyright (C) 1991-2013 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. -*/ - -HEADER -{ - VERSION = 1; - TIME_UNIT = ns; - DATA_OFFSET = 0.0; - DATA_DURATION = 10000.0; - SIMULATION_TIME = 0.0; - GRID_PHASE = 0.0; - GRID_PERIOD = 1000.0; - GRID_DUTY_CYCLE = 50; -} - -SIGNAL("A") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("B") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("C") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("D") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("Y0") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -SIGNAL("Y1") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -SIGNAL("Y2") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -SIGNAL("Y3") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -SIGNAL("Y4") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -SIGNAL("Y5") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -SIGNAL("Y6") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -SIGNAL("Y7") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -SIGNAL("Y8") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -SIGNAL("Y9") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -TRANSITION_LIST("A") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 0 FOR 1000.0; - LEVEL 1 FOR 1000.0; - LEVEL 0 FOR 1000.0; - LEVEL 1 FOR 1000.0; - LEVEL 0 FOR 1000.0; - LEVEL 1 FOR 1000.0; - LEVEL 0 FOR 1000.0; - LEVEL 1 FOR 1000.0; - LEVEL 0 FOR 1000.0; - LEVEL 1 FOR 1000.0; - } - } -} - -TRANSITION_LIST("B") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 0 FOR 2000.0; - LEVEL 1 FOR 2000.0; - LEVEL 0 FOR 2000.0; - LEVEL 1 FOR 2000.0; - LEVEL 0 FOR 2000.0; - } - } -} - -TRANSITION_LIST("C") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 0 FOR 4000.0; - LEVEL 1 FOR 4000.0; - LEVEL 0 FOR 2000.0; - } - } -} - -TRANSITION_LIST("D") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 0 FOR 8000.0; - LEVEL 1 FOR 2000.0; - } - } -} - -TRANSITION_LIST("Y0") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 1 FOR 1000.0; - LEVEL 0 FOR 9000.0; - } - } -} - -TRANSITION_LIST("Y1") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 0 FOR 1000.0; - LEVEL 1 FOR 1000.0; - LEVEL 0 FOR 8000.0; - } - } -} - -TRANSITION_LIST("Y2") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 0 FOR 2000.0; - LEVEL 1 FOR 1000.0; - LEVEL 0 FOR 7000.0; - } - } -} - -TRANSITION_LIST("Y3") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 0 FOR 3000.0; - LEVEL 1 FOR 1000.0; - LEVEL 0 FOR 6000.0; - } - } -} - -TRANSITION_LIST("Y4") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 0 FOR 4000.0; - LEVEL 1 FOR 1000.0; - LEVEL 0 FOR 5000.0; - } - } -} - -TRANSITION_LIST("Y5") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 0 FOR 5000.0; - LEVEL 1 FOR 1000.0; - LEVEL 0 FOR 4000.0; - } - } -} - -TRANSITION_LIST("Y6") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 0 FOR 6000.0; - LEVEL 1 FOR 1000.0; - LEVEL 0 FOR 3000.0; - } - } -} - -TRANSITION_LIST("Y7") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 0 FOR 7000.0; - LEVEL 1 FOR 1000.0; - LEVEL 0 FOR 2000.0; - } - } -} - -TRANSITION_LIST("Y8") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 0 FOR 8000.0; - LEVEL 1 FOR 1000.0; - LEVEL 0 FOR 1000.0; - } - } -} - -TRANSITION_LIST("Y9") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 0 FOR 9000.0; - LEVEL 1 FOR 1000.0; - } - } -} - -DISPLAY_LINE -{ - CHANNEL = "A"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 0; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "B"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 1; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "C"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 2; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "D"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 3; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y0"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 4; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y1"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 5; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y2"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 6; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y3"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 7; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y4"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 8; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y5"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 9; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y6"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 10; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y7"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 11; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y8"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 12; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y9"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 13; - TREE_LEVEL = 0; -} - -TIME_BAR -{ - TIME = 0; - MASTER = TRUE; -} -; diff --git a/CH6/CH6-1/simulation/qsim/BCD_to_decimal_decoder.vo b/CH6/CH6-1/simulation/qsim/BCD_to_decimal_decoder.vo deleted file mode 100644 index 49d822fb..00000000 --- a/CH6/CH6-1/simulation/qsim/BCD_to_decimal_decoder.vo +++ /dev/null @@ -1,475 +0,0 @@ -// Copyright (C) 1991-2013 Altera Corporation -// Your use of Altera Corporation's design tools, logic functions -// and other software and tools, and its AMPP partner logic -// functions, and any output files from any of the foregoing -// (including device programming or simulation files), and any -// associated documentation or information are expressly subject -// to the terms and conditions of the Altera Program License -// Subscription Agreement, Altera MegaCore Function License -// Agreement, or other applicable license agreement, including, -// without limitation, that your use is for the sole purpose of -// programming logic devices manufactured by Altera and sold by -// Altera or its authorized distributors. Please refer to the -// applicable agreement for further details. - -// VENDOR "Altera" -// PROGRAM "Quartus II 32-bit" -// VERSION "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition" - -// DATE "10/17/2019 23:25:08" - -// -// Device: Altera EP3C16F484C6 Package FBGA484 -// - -// -// This Verilog file should be used for ModelSim-Altera (Verilog) only -// - -`timescale 1 ps/ 1 ps - -module BCD_to_decimal_decoder ( - Y0, - A, - B, - C, - D, - Y1, - Y2, - Y3, - Y4, - Y5, - Y6, - Y7, - Y8, - Y9); -output Y0; -input A; -input B; -input C; -input D; -output Y1; -output Y2; -output Y3; -output Y4; -output Y5; -output Y6; -output Y7; -output Y8; -output Y9; - -// Design Ports Information -// Y0 => Location: PIN_N8, I/O Standard: 2.5 V, Current Strength: Default -// Y1 => Location: PIN_U1, I/O Standard: 2.5 V, Current Strength: Default -// Y2 => Location: PIN_M3, I/O Standard: 2.5 V, Current Strength: Default -// Y3 => Location: PIN_V6, I/O Standard: 2.5 V, Current Strength: Default -// Y4 => Location: PIN_R10, I/O Standard: 2.5 V, Current Strength: Default -// Y5 => Location: PIN_P5, I/O Standard: 2.5 V, Current Strength: Default -// Y6 => Location: PIN_P3, I/O Standard: 2.5 V, Current Strength: Default -// Y7 => Location: PIN_V3, I/O Standard: 2.5 V, Current Strength: Default -// Y8 => Location: PIN_T9, I/O Standard: 2.5 V, Current Strength: Default -// Y9 => Location: PIN_T3, I/O Standard: 2.5 V, Current Strength: Default -// A => Location: PIN_Y1, I/O Standard: 2.5 V, Current Strength: Default -// D => Location: PIN_N5, I/O Standard: 2.5 V, Current Strength: Default -// B => Location: PIN_T8, I/O Standard: 2.5 V, Current Strength: Default -// C => Location: PIN_T4, I/O Standard: 2.5 V, Current Strength: Default - - -wire gnd; -wire vcc; -wire unknown; - -assign gnd = 1'b0; -assign vcc = 1'b1; -assign unknown = 1'bx; - -tri1 devclrn; -tri1 devpor; -tri1 devoe; -wire \Y0~output_o ; -wire \Y1~output_o ; -wire \Y2~output_o ; -wire \Y3~output_o ; -wire \Y4~output_o ; -wire \Y5~output_o ; -wire \Y6~output_o ; -wire \Y7~output_o ; -wire \Y8~output_o ; -wire \Y9~output_o ; -wire \C~input_o ; -wire \D~input_o ; -wire \A~input_o ; -wire \B~input_o ; -wire \inst~combout ; -wire \inst1~combout ; -wire \inst2~combout ; -wire \inst3~combout ; -wire \inst4~combout ; -wire \inst5~combout ; -wire \inst6~combout ; -wire \inst7~combout ; -wire \inst8~combout ; -wire \inst9~combout ; - - -// Location: IOOBUF_X0_Y7_N9 -cycloneiii_io_obuf \Y0~output ( - .i(\inst~combout ), - .oe(vcc), - .seriesterminationcontrol(16'b0000000000000000), - .devoe(devoe), - .o(\Y0~output_o ), - .obar()); -// synopsys translate_off -defparam \Y0~output .bus_hold = "false"; -defparam \Y0~output .open_drain_output = "false"; -// synopsys translate_on - -// Location: IOOBUF_X0_Y9_N16 -cycloneiii_io_obuf \Y1~output ( - .i(\inst1~combout ), - .oe(vcc), - .seriesterminationcontrol(16'b0000000000000000), - .devoe(devoe), - .o(\Y1~output_o ), - .obar()); -// synopsys translate_off -defparam \Y1~output .bus_hold = "false"; -defparam \Y1~output .open_drain_output = "false"; -// synopsys translate_on - -// Location: IOOBUF_X0_Y12_N9 -cycloneiii_io_obuf \Y2~output ( - .i(\inst2~combout ), - .oe(vcc), - .seriesterminationcontrol(16'b0000000000000000), - .devoe(devoe), - .o(\Y2~output_o ), - .obar()); -// synopsys translate_off -defparam \Y2~output .bus_hold = "false"; -defparam \Y2~output .open_drain_output = "false"; -// synopsys translate_on - -// Location: IOOBUF_X1_Y0_N2 -cycloneiii_io_obuf \Y3~output ( - .i(\inst3~combout ), - .oe(vcc), - .seriesterminationcontrol(16'b0000000000000000), - .devoe(devoe), - .o(\Y3~output_o ), - .obar()); -// synopsys translate_off -defparam \Y3~output .bus_hold = "false"; -defparam \Y3~output .open_drain_output = "false"; -// synopsys translate_on - -// Location: IOOBUF_X1_Y0_N16 -cycloneiii_io_obuf \Y4~output ( - .i(\inst4~combout ), - .oe(vcc), - .seriesterminationcontrol(16'b0000000000000000), - .devoe(devoe), - .o(\Y4~output_o ), - .obar()); -// synopsys translate_off -defparam \Y4~output .bus_hold = "false"; -defparam \Y4~output .open_drain_output = "false"; -// synopsys translate_on - -// Location: IOOBUF_X0_Y8_N9 -cycloneiii_io_obuf \Y5~output ( - .i(\inst5~combout ), - .oe(vcc), - .seriesterminationcontrol(16'b0000000000000000), - .devoe(devoe), - .o(\Y5~output_o ), - .obar()); -// synopsys translate_off -defparam \Y5~output .bus_hold = "false"; -defparam \Y5~output .open_drain_output = "false"; -// synopsys translate_on - -// Location: IOOBUF_X0_Y9_N2 -cycloneiii_io_obuf \Y6~output ( - .i(\inst6~combout ), - .oe(vcc), - .seriesterminationcontrol(16'b0000000000000000), - .devoe(devoe), - .o(\Y6~output_o ), - .obar()); -// synopsys translate_off -defparam \Y6~output .bus_hold = "false"; -defparam \Y6~output .open_drain_output = "false"; -// synopsys translate_on - -// Location: IOOBUF_X0_Y4_N2 -cycloneiii_io_obuf \Y7~output ( - .i(\inst7~combout ), - .oe(vcc), - .seriesterminationcontrol(16'b0000000000000000), - .devoe(devoe), - .o(\Y7~output_o ), - .obar()); -// synopsys translate_off -defparam \Y7~output .bus_hold = "false"; -defparam \Y7~output .open_drain_output = "false"; -// synopsys translate_on - -// Location: IOOBUF_X1_Y0_N9 -cycloneiii_io_obuf \Y8~output ( - .i(\inst8~combout ), - .oe(vcc), - .seriesterminationcontrol(16'b0000000000000000), - .devoe(devoe), - .o(\Y8~output_o ), - .obar()); -// synopsys translate_off -defparam \Y8~output .bus_hold = "false"; -defparam \Y8~output .open_drain_output = "false"; -// synopsys translate_on - -// Location: IOOBUF_X0_Y6_N16 -cycloneiii_io_obuf \Y9~output ( - .i(\inst9~combout ), - .oe(vcc), - .seriesterminationcontrol(16'b0000000000000000), - .devoe(devoe), - .o(\Y9~output_o ), - .obar()); -// synopsys translate_off -defparam \Y9~output .bus_hold = "false"; -defparam \Y9~output .open_drain_output = "false"; -// synopsys translate_on - -// Location: IOIBUF_X0_Y4_N22 -cycloneiii_io_ibuf \C~input ( - .i(C), - .ibar(gnd), - .o(\C~input_o )); -// synopsys translate_off -defparam \C~input .bus_hold = "false"; -defparam \C~input .simulate_z_as = "z"; -// synopsys translate_on - -// Location: IOIBUF_X0_Y10_N15 -cycloneiii_io_ibuf \D~input ( - .i(D), - .ibar(gnd), - .o(\D~input_o )); -// synopsys translate_off -defparam \D~input .bus_hold = "false"; -defparam \D~input .simulate_z_as = "z"; -// synopsys translate_on - -// Location: IOIBUF_X0_Y6_N8 -cycloneiii_io_ibuf \A~input ( - .i(A), - .ibar(gnd), - .o(\A~input_o )); -// synopsys translate_off -defparam \A~input .bus_hold = "false"; -defparam \A~input .simulate_z_as = "z"; -// synopsys translate_on - -// Location: IOIBUF_X1_Y0_N22 -cycloneiii_io_ibuf \B~input ( - .i(B), - .ibar(gnd), - .o(\B~input_o )); -// synopsys translate_off -defparam \B~input .bus_hold = "false"; -defparam \B~input .simulate_z_as = "z"; -// synopsys translate_on - -// Location: LCCOMB_X1_Y4_N0 -cycloneiii_lcell_comb inst( -// Equation(s): -// \inst~combout = (!\C~input_o & (!\D~input_o & (!\A~input_o & !\B~input_o ))) - - .dataa(\C~input_o ), - .datab(\D~input_o ), - .datac(\A~input_o ), - .datad(\B~input_o ), - .cin(gnd), - .combout(\inst~combout ), - .cout()); -// synopsys translate_off -defparam inst.lut_mask = 16'h0001; -defparam inst.sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X1_Y4_N10 -cycloneiii_lcell_comb inst1( -// Equation(s): -// \inst1~combout = (!\C~input_o & (!\D~input_o & (\A~input_o & !\B~input_o ))) - - .dataa(\C~input_o ), - .datab(\D~input_o ), - .datac(\A~input_o ), - .datad(\B~input_o ), - .cin(gnd), - .combout(\inst1~combout ), - .cout()); -// synopsys translate_off -defparam inst1.lut_mask = 16'h0010; -defparam inst1.sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X1_Y4_N12 -cycloneiii_lcell_comb inst2( -// Equation(s): -// \inst2~combout = (!\C~input_o & (!\D~input_o & (!\A~input_o & \B~input_o ))) - - .dataa(\C~input_o ), - .datab(\D~input_o ), - .datac(\A~input_o ), - .datad(\B~input_o ), - .cin(gnd), - .combout(\inst2~combout ), - .cout()); -// synopsys translate_off -defparam inst2.lut_mask = 16'h0100; -defparam inst2.sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X1_Y4_N30 -cycloneiii_lcell_comb inst3( -// Equation(s): -// \inst3~combout = (!\C~input_o & (!\D~input_o & (\A~input_o & \B~input_o ))) - - .dataa(\C~input_o ), - .datab(\D~input_o ), - .datac(\A~input_o ), - .datad(\B~input_o ), - .cin(gnd), - .combout(\inst3~combout ), - .cout()); -// synopsys translate_off -defparam inst3.lut_mask = 16'h1000; -defparam inst3.sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X1_Y4_N16 -cycloneiii_lcell_comb inst4( -// Equation(s): -// \inst4~combout = (\C~input_o & (!\D~input_o & (!\A~input_o & !\B~input_o ))) - - .dataa(\C~input_o ), - .datab(\D~input_o ), - .datac(\A~input_o ), - .datad(\B~input_o ), - .cin(gnd), - .combout(\inst4~combout ), - .cout()); -// synopsys translate_off -defparam inst4.lut_mask = 16'h0002; -defparam inst4.sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X1_Y4_N26 -cycloneiii_lcell_comb inst5( -// Equation(s): -// \inst5~combout = (\C~input_o & (!\D~input_o & (\A~input_o & !\B~input_o ))) - - .dataa(\C~input_o ), - .datab(\D~input_o ), - .datac(\A~input_o ), - .datad(\B~input_o ), - .cin(gnd), - .combout(\inst5~combout ), - .cout()); -// synopsys translate_off -defparam inst5.lut_mask = 16'h0020; -defparam inst5.sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X1_Y4_N4 -cycloneiii_lcell_comb inst6( -// Equation(s): -// \inst6~combout = (\C~input_o & (!\D~input_o & (!\A~input_o & \B~input_o ))) - - .dataa(\C~input_o ), - .datab(\D~input_o ), - .datac(\A~input_o ), - .datad(\B~input_o ), - .cin(gnd), - .combout(\inst6~combout ), - .cout()); -// synopsys translate_off -defparam inst6.lut_mask = 16'h0200; -defparam inst6.sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X1_Y4_N14 -cycloneiii_lcell_comb inst7( -// Equation(s): -// \inst7~combout = (\C~input_o & (!\D~input_o & (\A~input_o & \B~input_o ))) - - .dataa(\C~input_o ), - .datab(\D~input_o ), - .datac(\A~input_o ), - .datad(\B~input_o ), - .cin(gnd), - .combout(\inst7~combout ), - .cout()); -// synopsys translate_off -defparam inst7.lut_mask = 16'h2000; -defparam inst7.sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X1_Y4_N24 -cycloneiii_lcell_comb inst8( -// Equation(s): -// \inst8~combout = (!\C~input_o & (\D~input_o & (!\A~input_o & !\B~input_o ))) - - .dataa(\C~input_o ), - .datab(\D~input_o ), - .datac(\A~input_o ), - .datad(\B~input_o ), - .cin(gnd), - .combout(\inst8~combout ), - .cout()); -// synopsys translate_off -defparam inst8.lut_mask = 16'h0004; -defparam inst8.sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X1_Y4_N2 -cycloneiii_lcell_comb inst9( -// Equation(s): -// \inst9~combout = (!\C~input_o & (\D~input_o & (\A~input_o & !\B~input_o ))) - - .dataa(\C~input_o ), - .datab(\D~input_o ), - .datac(\A~input_o ), - .datad(\B~input_o ), - .cin(gnd), - .combout(\inst9~combout ), - .cout()); -// synopsys translate_off -defparam inst9.lut_mask = 16'h0040; -defparam inst9.sum_lutc_input = "datac"; -// synopsys translate_on - -assign Y0 = \Y0~output_o ; - -assign Y1 = \Y1~output_o ; - -assign Y2 = \Y2~output_o ; - -assign Y3 = \Y3~output_o ; - -assign Y4 = \Y4~output_o ; - -assign Y5 = \Y5~output_o ; - -assign Y6 = \Y6~output_o ; - -assign Y7 = \Y7~output_o ; - -assign Y8 = \Y8~output_o ; - -assign Y9 = \Y9~output_o ; - -endmodule diff --git a/CH6/CH6-1/simulation/qsim/BCD_to_decimal_decoder.vwf.vt b/CH6/CH6-1/simulation/qsim/BCD_to_decimal_decoder.vwf.vt deleted file mode 100644 index a2404dbf..00000000 --- a/CH6/CH6-1/simulation/qsim/BCD_to_decimal_decoder.vwf.vt +++ /dev/null @@ -1,513 +0,0 @@ -// Copyright (C) 1991-2013 Altera Corporation -// Your use of Altera Corporation's design tools, logic functions -// and other software and tools, and its AMPP partner logic -// functions, and any output files from any of the foregoing -// (including device programming or simulation files), and any -// associated documentation or information are expressly subject -// to the terms and conditions of the Altera Program License -// Subscription Agreement, Altera MegaCore Function License -// Agreement, or other applicable license agreement, including, -// without limitation, that your use is for the sole purpose of -// programming logic devices manufactured by Altera and sold by -// Altera or its authorized distributors. Please refer to the -// applicable agreement for further details. - -// ***************************************************************************** -// This file contains a Verilog test bench with test vectors .The test vectors -// are exported from a vector file in the Quartus Waveform Editor and apply to -// the top level entity of the current Quartus project .The user can use this -// testbench to simulate his design using a third-party simulation tool . -// ***************************************************************************** -// Generated on "10/17/2019 23:24:11" - -// Verilog Self-Checking Test Bench (with test vectors) for design : BCD_to_decimal_decoder -// -// Simulation tool : 3rd Party -// - -`timescale 1 ps/ 1 ps -module BCD_to_decimal_decoder_vlg_sample_tst( - A, - B, - C, - D, - sampler_tx -); -input A; -input B; -input C; -input D; -output sampler_tx; - -reg sample; -time current_time; -always @(A or B or C or D) - -begin - if ($realtime > 0) - begin - if ($realtime == 0 || $realtime != current_time) - begin - if (sample === 1'bx) - sample = 0; - else - sample = ~sample; - end - current_time = $realtime; - end -end - -assign sampler_tx = sample; -endmodule - -module BCD_to_decimal_decoder_vlg_check_tst ( - Y0, - Y1, - Y2, - Y3, - Y4, - Y5, - Y6, - Y7, - Y8, - Y9, - sampler_rx -); -input Y0; -input Y1; -input Y2; -input Y3; -input Y4; -input Y5; -input Y6; -input Y7; -input Y8; -input Y9; -input sampler_rx; - -reg Y0_expected; -reg Y1_expected; -reg Y2_expected; -reg Y3_expected; -reg Y4_expected; -reg Y5_expected; -reg Y6_expected; -reg Y7_expected; -reg Y8_expected; -reg Y9_expected; - -reg Y0_prev; -reg Y1_prev; -reg Y2_prev; -reg Y3_prev; -reg Y4_prev; -reg Y5_prev; -reg Y6_prev; -reg Y7_prev; -reg Y8_prev; -reg Y9_prev; - -reg Y0_expected_prev; -reg Y1_expected_prev; -reg Y2_expected_prev; -reg Y3_expected_prev; -reg Y4_expected_prev; -reg Y5_expected_prev; -reg Y6_expected_prev; -reg Y7_expected_prev; -reg Y8_expected_prev; -reg Y9_expected_prev; - -reg last_Y0_exp; -reg last_Y1_exp; -reg last_Y2_exp; -reg last_Y3_exp; -reg last_Y4_exp; -reg last_Y5_exp; -reg last_Y6_exp; -reg last_Y7_exp; -reg last_Y8_exp; -reg last_Y9_exp; - -reg trigger; - -integer i; -integer nummismatches; - -reg [1:10] on_first_change ; - - -initial -begin -trigger = 0; -i = 0; -nummismatches = 0; -on_first_change = 10'b1; -end - -// update real /o prevs - -always @(trigger) -begin - Y0_prev = Y0; - Y1_prev = Y1; - Y2_prev = Y2; - Y3_prev = Y3; - Y4_prev = Y4; - Y5_prev = Y5; - Y6_prev = Y6; - Y7_prev = Y7; - Y8_prev = Y8; - Y9_prev = Y9; -end - -// update expected /o prevs - -always @(trigger) -begin - Y0_expected_prev = Y0_expected; - Y1_expected_prev = Y1_expected; - Y2_expected_prev = Y2_expected; - Y3_expected_prev = Y3_expected; - Y4_expected_prev = Y4_expected; - Y5_expected_prev = Y5_expected; - Y6_expected_prev = Y6_expected; - Y7_expected_prev = Y7_expected; - Y8_expected_prev = Y8_expected; - Y9_expected_prev = Y9_expected; -end - - - -// expected Y0 -initial -begin - Y0_expected = 1'bX; - Y0_expected = #999000 1'b0; -end - -// expected Y1 -initial -begin - Y1_expected = 1'bX; - Y1_expected = #999000 1'b0; -end - -// expected Y2 -initial -begin - Y2_expected = 1'bX; - Y2_expected = #999000 1'b0; -end - -// expected Y3 -initial -begin - Y3_expected = 1'bX; - Y3_expected = #999000 1'b0; -end - -// expected Y4 -initial -begin - Y4_expected = 1'bX; - Y4_expected = #999000 1'b0; -end - -// expected Y5 -initial -begin - Y5_expected = 1'bX; - Y5_expected = #999000 1'b0; -end - -// expected Y6 -initial -begin - Y6_expected = 1'bX; - Y6_expected = #999000 1'b0; -end - -// expected Y7 -initial -begin - Y7_expected = 1'bX; - Y7_expected = #999000 1'b0; -end - -// expected Y8 -initial -begin - Y8_expected = 1'bX; - Y8_expected = #999000 1'b0; -end - -// expected Y9 -initial -begin - Y9_expected = 1'bX; - Y9_expected = #999000 1'b0; -end -// generate trigger -always @(Y0_expected or Y0 or Y1_expected or Y1 or Y2_expected or Y2 or Y3_expected or Y3 or Y4_expected or Y4 or Y5_expected or Y5 or Y6_expected or Y6 or Y7_expected or Y7 or Y8_expected or Y8 or Y9_expected or Y9) -begin - trigger <= ~trigger; -end - -always @(posedge sampler_rx or negedge sampler_rx) -begin -`ifdef debug_tbench - $display("Scanning pattern %d @time = %t",i,$realtime ); - i = i + 1; - $display("| expected Y0 = %b | expected Y1 = %b | expected Y2 = %b | expected Y3 = %b | expected Y4 = %b | expected Y5 = %b | expected Y6 = %b | expected Y7 = %b | expected Y8 = %b | expected Y9 = %b | ",Y0_expected_prev,Y1_expected_prev,Y2_expected_prev,Y3_expected_prev,Y4_expected_prev,Y5_expected_prev,Y6_expected_prev,Y7_expected_prev,Y8_expected_prev,Y9_expected_prev); - $display("| real Y0 = %b | real Y1 = %b | real Y2 = %b | real Y3 = %b | real Y4 = %b | real Y5 = %b | real Y6 = %b | real Y7 = %b | real Y8 = %b | real Y9 = %b | ",Y0_prev,Y1_prev,Y2_prev,Y3_prev,Y4_prev,Y5_prev,Y6_prev,Y7_prev,Y8_prev,Y9_prev); -`endif - if ( - ( Y0_expected_prev !== 1'bx ) && ( Y0_prev !== Y0_expected_prev ) - && ((Y0_expected_prev !== last_Y0_exp) || - on_first_change[1]) - ) - begin - $display ("ERROR! Vector Mismatch for output port Y0 :: @time = %t", $realtime); - $display (" Expected value = %b", Y0_expected_prev); - $display (" Real value = %b", Y0_prev); - nummismatches = nummismatches + 1; - on_first_change[1] = 1'b0; - last_Y0_exp = Y0_expected_prev; - end - if ( - ( Y1_expected_prev !== 1'bx ) && ( Y1_prev !== Y1_expected_prev ) - && ((Y1_expected_prev !== last_Y1_exp) || - on_first_change[2]) - ) - begin - $display ("ERROR! Vector Mismatch for output port Y1 :: @time = %t", $realtime); - $display (" Expected value = %b", Y1_expected_prev); - $display (" Real value = %b", Y1_prev); - nummismatches = nummismatches + 1; - on_first_change[2] = 1'b0; - last_Y1_exp = Y1_expected_prev; - end - if ( - ( Y2_expected_prev !== 1'bx ) && ( Y2_prev !== Y2_expected_prev ) - && ((Y2_expected_prev !== last_Y2_exp) || - on_first_change[3]) - ) - begin - $display ("ERROR! Vector Mismatch for output port Y2 :: @time = %t", $realtime); - $display (" Expected value = %b", Y2_expected_prev); - $display (" Real value = %b", Y2_prev); - nummismatches = nummismatches + 1; - on_first_change[3] = 1'b0; - last_Y2_exp = Y2_expected_prev; - end - if ( - ( Y3_expected_prev !== 1'bx ) && ( Y3_prev !== Y3_expected_prev ) - && ((Y3_expected_prev !== last_Y3_exp) || - on_first_change[4]) - ) - begin - $display ("ERROR! Vector Mismatch for output port Y3 :: @time = %t", $realtime); - $display (" Expected value = %b", Y3_expected_prev); - $display (" Real value = %b", Y3_prev); - nummismatches = nummismatches + 1; - on_first_change[4] = 1'b0; - last_Y3_exp = Y3_expected_prev; - end - if ( - ( Y4_expected_prev !== 1'bx ) && ( Y4_prev !== Y4_expected_prev ) - && ((Y4_expected_prev !== last_Y4_exp) || - on_first_change[5]) - ) - begin - $display ("ERROR! Vector Mismatch for output port Y4 :: @time = %t", $realtime); - $display (" Expected value = %b", Y4_expected_prev); - $display (" Real value = %b", Y4_prev); - nummismatches = nummismatches + 1; - on_first_change[5] = 1'b0; - last_Y4_exp = Y4_expected_prev; - end - if ( - ( Y5_expected_prev !== 1'bx ) && ( Y5_prev !== Y5_expected_prev ) - && ((Y5_expected_prev !== last_Y5_exp) || - on_first_change[6]) - ) - begin - $display ("ERROR! Vector Mismatch for output port Y5 :: @time = %t", $realtime); - $display (" Expected value = %b", Y5_expected_prev); - $display (" Real value = %b", Y5_prev); - nummismatches = nummismatches + 1; - on_first_change[6] = 1'b0; - last_Y5_exp = Y5_expected_prev; - end - if ( - ( Y6_expected_prev !== 1'bx ) && ( Y6_prev !== Y6_expected_prev ) - && ((Y6_expected_prev !== last_Y6_exp) || - on_first_change[7]) - ) - begin - $display ("ERROR! Vector Mismatch for output port Y6 :: @time = %t", $realtime); - $display (" Expected value = %b", Y6_expected_prev); - $display (" Real value = %b", Y6_prev); - nummismatches = nummismatches + 1; - on_first_change[7] = 1'b0; - last_Y6_exp = Y6_expected_prev; - end - if ( - ( Y7_expected_prev !== 1'bx ) && ( Y7_prev !== Y7_expected_prev ) - && ((Y7_expected_prev !== last_Y7_exp) || - on_first_change[8]) - ) - begin - $display ("ERROR! Vector Mismatch for output port Y7 :: @time = %t", $realtime); - $display (" Expected value = %b", Y7_expected_prev); - $display (" Real value = %b", Y7_prev); - nummismatches = nummismatches + 1; - on_first_change[8] = 1'b0; - last_Y7_exp = Y7_expected_prev; - end - if ( - ( Y8_expected_prev !== 1'bx ) && ( Y8_prev !== Y8_expected_prev ) - && ((Y8_expected_prev !== last_Y8_exp) || - on_first_change[9]) - ) - begin - $display ("ERROR! Vector Mismatch for output port Y8 :: @time = %t", $realtime); - $display (" Expected value = %b", Y8_expected_prev); - $display (" Real value = %b", Y8_prev); - nummismatches = nummismatches + 1; - on_first_change[9] = 1'b0; - last_Y8_exp = Y8_expected_prev; - end - if ( - ( Y9_expected_prev !== 1'bx ) && ( Y9_prev !== Y9_expected_prev ) - && ((Y9_expected_prev !== last_Y9_exp) || - on_first_change[10]) - ) - begin - $display ("ERROR! Vector Mismatch for output port Y9 :: @time = %t", $realtime); - $display (" Expected value = %b", Y9_expected_prev); - $display (" Real value = %b", Y9_prev); - nummismatches = nummismatches + 1; - on_first_change[10] = 1'b0; - last_Y9_exp = Y9_expected_prev; - end - - trigger <= ~trigger; -end -initial - -begin -$timeformat(-12,3," ps",6); -#10000000; -if (nummismatches > 0) - $display ("%d mismatched vectors : Simulation failed !",nummismatches); -else - $display ("Simulation passed !"); -$finish; -end -endmodule - -module BCD_to_decimal_decoder_vlg_vec_tst(); -// constants -// general purpose registers -reg A; -reg B; -reg C; -reg D; -// wires -wire Y0; -wire Y1; -wire Y2; -wire Y3; -wire Y4; -wire Y5; -wire Y6; -wire Y7; -wire Y8; -wire Y9; - -wire sampler; - -// assign statements (if any) -BCD_to_decimal_decoder i1 ( -// port map - connection between master ports and signals/registers - .A(A), - .B(B), - .C(C), - .D(D), - .Y0(Y0), - .Y1(Y1), - .Y2(Y2), - .Y3(Y3), - .Y4(Y4), - .Y5(Y5), - .Y6(Y6), - .Y7(Y7), - .Y8(Y8), - .Y9(Y9) -); - -// A -initial -begin - A = 1'b0; - A = #1000000 1'b1; - A = #1000000 1'b0; - A = #1000000 1'b1; - A = #1000000 1'b0; - A = #1000000 1'b1; - A = #1000000 1'b0; - A = #1000000 1'b1; - A = #1000000 1'b0; - A = #1000000 1'b1; -end - -// B -initial -begin - B = 1'b0; - B = #2000000 1'b1; - B = #2000000 1'b0; - B = #2000000 1'b1; - B = #2000000 1'b0; -end - -// C -initial -begin - C = 1'b0; - C = #4000000 1'b1; - C = #4000000 1'b0; -end - -// D -initial -begin - D = 1'b0; - D = #8000000 1'b1; -end - -BCD_to_decimal_decoder_vlg_sample_tst tb_sample ( - .A(A), - .B(B), - .C(C), - .D(D), - .sampler_tx(sampler) -); - -BCD_to_decimal_decoder_vlg_check_tst tb_out( - .Y0(Y0), - .Y1(Y1), - .Y2(Y2), - .Y3(Y3), - .Y4(Y4), - .Y5(Y5), - .Y6(Y6), - .Y7(Y7), - .Y8(Y8), - .Y9(Y9), - .sampler_rx(sampler) -); -endmodule - diff --git a/CH6/CH6-1/simulation/qsim/BCD_to_decimal_decoder_modelsim.xrf b/CH6/CH6-1/simulation/qsim/BCD_to_decimal_decoder_modelsim.xrf deleted file mode 100644 index a42f59fd..00000000 --- a/CH6/CH6-1/simulation/qsim/BCD_to_decimal_decoder_modelsim.xrf +++ /dev/null @@ -1,19 +0,0 @@ -vendor_name = ModelSim -source_file = 1, /home/timmy/Git/Learn-VHDL/CH6/CH6-1/BCD_to_decimal_decoder.bdf -source_file = 1, /home/timmy/Git/Learn-VHDL/CH6/CH6-1/BCD_to_decimal_decoder.vwf -source_file = 1, /home/timmy/Git/Learn-VHDL/CH6/CH6-1/db/BCD_to_decimal_decoder.cbx.xml -design_name = BCD_to_decimal_decoder -instance = comp, \Y0~output , Y0~output, BCD_to_decimal_decoder, 1 -instance = comp, \Y1~output , Y1~output, BCD_to_decimal_decoder, 1 -instance = comp, \Y2~output , Y2~output, BCD_to_decimal_decoder, 1 -instance = comp, \Y3~output , Y3~output, BCD_to_decimal_decoder, 1 -instance = comp, \Y4~output , Y4~output, BCD_to_decimal_decoder, 1 -instance = comp, \Y5~output , Y5~output, BCD_to_decimal_decoder, 1 -instance = comp, \Y6~output , Y6~output, BCD_to_decimal_decoder, 1 -instance = comp, \Y7~output , Y7~output, BCD_to_decimal_decoder, 1 -instance = comp, \Y8~output , Y8~output, BCD_to_decimal_decoder, 1 -instance = comp, \Y9~output , Y9~output, BCD_to_decimal_decoder, 1 -instance = comp, \C~input , C~input, BCD_to_decimal_decoder, 1 -instance = comp, \D~input , D~input, BCD_to_decimal_decoder, 1 -instance = comp, \A~input , A~input, BCD_to_decimal_decoder, 1 -instance = comp, \B~input , B~input, BCD_to_decimal_decoder, 1 diff --git a/CH6/CH6-1/simulation/qsim/four_line_to_sixteen_line_decimal_decoder.do b/CH6/CH6-1/simulation/qsim/four_line_to_sixteen_line_decimal_decoder.do deleted file mode 100644 index e611950d..00000000 --- a/CH6/CH6-1/simulation/qsim/four_line_to_sixteen_line_decimal_decoder.do +++ /dev/null @@ -1,10 +0,0 @@ -onerror {exit -code 1} -vlib work -vlog -work work four_line_to_sixteen_line_decimal_decoder.vo -vlog -work work four_line_to_sixteen_line_decimal_decoder.vwf.vt -vsim -novopt -c -t 1ps -L cycloneiii_ver -L altera_ver -L altera_mf_ver -L 220model_ver -L sgate work.four_line_to_sixteen_line_decimal_decoder_vlg_vec_tst -voptargs="+acc" -vcd file -direction four_line_to_sixteen_line_decimal_decoder.msim.vcd -vcd add -internal four_line_to_sixteen_line_decimal_decoder_vlg_vec_tst/* -vcd add -internal four_line_to_sixteen_line_decimal_decoder_vlg_vec_tst/i1/* -run -all -quit -f diff --git a/CH6/CH6-1/simulation/qsim/four_line_to_sixteen_line_decimal_decoder.msim.vcd b/CH6/CH6-1/simulation/qsim/four_line_to_sixteen_line_decimal_decoder.msim.vcd deleted file mode 100644 index 19d35174..00000000 --- a/CH6/CH6-1/simulation/qsim/four_line_to_sixteen_line_decimal_decoder.msim.vcd +++ /dev/null @@ -1,250 +0,0 @@ -$comment - File created using the following command: - vcd file four_line_to_sixteen_line_decimal_decoder.msim.vcd -direction -$end -$date - Thu Oct 24 22:24:54 2019 -$end -$version - ModelSim Version 10.1d -$end -$timescale - 1ps -$end -$scope module four_line_to_sixteen_line_decimal_decoder_vlg_vec_tst $end -$var reg 1 ! A $end -$var reg 1 " B $end -$var reg 1 # C $end -$var reg 1 $ D $end -$var wire 1 % Y0 $end -$var wire 1 & Y1 $end -$var wire 1 ' Y2 $end -$var wire 1 ( Y3 $end -$var wire 1 ) Y4 $end -$var wire 1 * Y5 $end -$var wire 1 + Y6 $end -$var wire 1 , Y7 $end -$var wire 1 - Y8 $end -$var wire 1 . Y9 $end -$var wire 1 / Y10 $end -$var wire 1 0 Y11 $end -$var wire 1 1 Y12 $end -$var wire 1 2 Y13 $end -$var wire 1 3 Y14 $end -$var wire 1 4 Y15 $end -$var wire 1 5 sampler $end -$scope module i1 $end -$var wire 1 6 gnd $end -$var wire 1 7 vcc $end -$var wire 1 8 unknown $end -$var tri1 1 9 devclrn $end -$var tri1 1 : devpor $end -$var tri1 1 ; devoe $end -$var wire 1 < Y0~output_o $end -$var wire 1 = Y1~output_o $end -$var wire 1 > Y2~output_o $end -$var wire 1 ? Y3~output_o $end -$var wire 1 @ Y4~output_o $end -$var wire 1 A Y5~output_o $end -$var wire 1 B Y6~output_o $end -$var wire 1 C Y7~output_o $end -$var wire 1 D Y8~output_o $end -$var wire 1 E Y9~output_o $end -$var wire 1 F Y10~output_o $end -$var wire 1 G Y11~output_o $end -$var wire 1 H Y12~output_o $end -$var wire 1 I Y13~output_o $end -$var wire 1 J Y14~output_o $end -$var wire 1 K Y15~output_o $end -$var wire 1 L C~input_o $end -$var wire 1 M B~input_o $end -$var wire 1 N D~input_o $end -$var wire 1 O A~input_o $end -$var wire 1 P inst|15~0_combout $end -$var wire 1 Q inst|15~1_combout $end -$var wire 1 R inst|15~2_combout $end -$var wire 1 S inst|15~3_combout $end -$var wire 1 T inst|15~4_combout $end -$var wire 1 U inst|15~5_combout $end -$var wire 1 V inst|15~6_combout $end -$var wire 1 W inst|15~7_combout $end -$var wire 1 X inst|15~8_combout $end -$var wire 1 Y inst|15~9_combout $end -$var wire 1 Z inst|15~10_combout $end -$var wire 1 [ inst|15~11_combout $end -$var wire 1 \ inst|15~12_combout $end -$var wire 1 ] inst|15~13_combout $end -$var wire 1 ^ inst|15~14_combout $end -$var wire 1 _ inst|15~15_combout $end -$upscope $end -$upscope $end -$enddefinitions $end -#0 -$dumpvars -0! -1" -0# -0$ -1% -1& -0' -1( -1) -1* -1+ -1, -1- -1. -1/ -10 -11 -12 -13 -14 -x5 -06 -17 -x8 -19 -1: -1; -1< -1= -0> -1? -1@ -1A -1B -1C -1D -1E -1F -1G -1H -1I -1J -1K -0L -1M -0N -0O -0P -0Q -1R -0S -0T -0U -0V -0W -0X -0Y -0Z -0[ -0\ -0] -0^ -0_ -$end -#1000000 -1! -1O -05 -1S -0R -0? -1> -0( -1' -#2000000 -0" -1# -0! -0M -1L -0O -15 -1T -0S -0@ -1? -0) -1( -#3000000 -1! -1O -05 -1U -0T -0A -1@ -0* -1) -#4000000 -1$ -0# -0! -1N -0L -0O -15 -1X -0U -0D -1A -0- -1* -#5000000 -1! -1O -05 -1Y -0X -0E -1D -0. -1- -#6000000 -1" -0! -1M -0O -15 -1Z -0Y -0F -1E -0/ -1. -#7000000 -1! -1O -05 -1[ -0Z -0G -1F -00 -1/ -#8000000 -1# -0! -1L -0O -15 -1^ -0[ -0J -1G -03 -10 -#9000000 -1! -1O -05 -1_ -0^ -0K -1J -04 -13 -#10000000 diff --git a/CH6/CH6-1/simulation/qsim/four_line_to_sixteen_line_decimal_decoder.sft b/CH6/CH6-1/simulation/qsim/four_line_to_sixteen_line_decimal_decoder.sft deleted file mode 100644 index 06a2ca45..00000000 --- a/CH6/CH6-1/simulation/qsim/four_line_to_sixteen_line_decimal_decoder.sft +++ /dev/null @@ -1 +0,0 @@ -set tool_name "ModelSim-Altera (Verilog)" diff --git a/CH6/CH6-1/simulation/qsim/four_line_to_sixteen_line_decimal_decoder.sim.vwf b/CH6/CH6-1/simulation/qsim/four_line_to_sixteen_line_decimal_decoder.sim.vwf deleted file mode 100644 index 17f9ecb8..00000000 --- a/CH6/CH6-1/simulation/qsim/four_line_to_sixteen_line_decimal_decoder.sim.vwf +++ /dev/null @@ -1,732 +0,0 @@ -/* -WARNING: Do NOT edit the input and output ports in this file in a text -editor if you plan to continue editing the block that represents it in -the Block Editor! File corruption is VERY likely to occur. -*/ - -/* -Copyright (C) 1991-2013 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. -*/ - -HEADER -{ - VERSION = 1; - TIME_UNIT = ns; - DATA_OFFSET = 0.0; - DATA_DURATION = 10000.0; - SIMULATION_TIME = 0.0; - GRID_PHASE = 0.0; - GRID_PERIOD = 1000.0; - GRID_DUTY_CYCLE = 50; -} - -SIGNAL("A") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("B") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("C") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("D") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("Y0") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -SIGNAL("Y1") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -SIGNAL("Y2") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -SIGNAL("Y3") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -SIGNAL("Y4") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -SIGNAL("Y5") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -SIGNAL("Y6") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -SIGNAL("Y7") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -SIGNAL("Y8") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -SIGNAL("Y9") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -SIGNAL("Y10") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -SIGNAL("Y11") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -SIGNAL("Y12") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -SIGNAL("Y13") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -SIGNAL("Y14") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -SIGNAL("Y15") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -GROUP("IN") -{ - MEMBERS = "A", "B", "C", "D"; -} - -TRANSITION_LIST("A") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 0 FOR 1000.0; - LEVEL 1 FOR 1000.0; - LEVEL 0 FOR 1000.0; - LEVEL 1 FOR 1000.0; - LEVEL 0 FOR 1000.0; - LEVEL 1 FOR 1000.0; - LEVEL 0 FOR 1000.0; - LEVEL 1 FOR 1000.0; - LEVEL 0 FOR 1000.0; - LEVEL 1 FOR 1000.0; - } - } -} - -TRANSITION_LIST("B") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 1 FOR 2000.0; - LEVEL 0 FOR 4000.0; - LEVEL 1 FOR 4000.0; - } - } -} - -TRANSITION_LIST("C") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 0 FOR 2000.0; - LEVEL 1 FOR 2000.0; - LEVEL 0 FOR 4000.0; - LEVEL 1 FOR 2000.0; - } - } -} - -TRANSITION_LIST("D") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 0 FOR 4000.0; - LEVEL 1 FOR 6000.0; - } - } -} - -TRANSITION_LIST("Y0") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 1 FOR 10000.0; - } - } -} - -TRANSITION_LIST("Y1") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 1 FOR 10000.0; - } - } -} - -TRANSITION_LIST("Y2") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 0 FOR 1000.0; - LEVEL 1 FOR 9000.0; - } - } -} - -TRANSITION_LIST("Y3") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 1 FOR 1000.0; - LEVEL 0 FOR 1000.0; - LEVEL 1 FOR 8000.0; - } - } -} - -TRANSITION_LIST("Y4") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 1 FOR 2000.0; - LEVEL 0 FOR 1000.0; - LEVEL 1 FOR 7000.0; - } - } -} - -TRANSITION_LIST("Y5") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 1 FOR 3000.0; - LEVEL 0 FOR 1000.0; - LEVEL 1 FOR 6000.0; - } - } -} - -TRANSITION_LIST("Y6") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 1 FOR 10000.0; - } - } -} - -TRANSITION_LIST("Y7") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 1 FOR 10000.0; - } - } -} - -TRANSITION_LIST("Y8") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 1 FOR 4000.0; - LEVEL 0 FOR 1000.0; - LEVEL 1 FOR 5000.0; - } - } -} - -TRANSITION_LIST("Y9") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 1 FOR 5000.0; - LEVEL 0 FOR 1000.0; - LEVEL 1 FOR 4000.0; - } - } -} - -TRANSITION_LIST("Y10") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 1 FOR 6000.0; - LEVEL 0 FOR 1000.0; - LEVEL 1 FOR 3000.0; - } - } -} - -TRANSITION_LIST("Y11") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 1 FOR 7000.0; - LEVEL 0 FOR 1000.0; - LEVEL 1 FOR 2000.0; - } - } -} - -TRANSITION_LIST("Y12") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 1 FOR 10000.0; - } - } -} - -TRANSITION_LIST("Y13") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 1 FOR 10000.0; - } - } -} - -TRANSITION_LIST("Y14") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 1 FOR 8000.0; - LEVEL 0 FOR 1000.0; - LEVEL 1 FOR 1000.0; - } - } -} - -TRANSITION_LIST("Y15") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 1 FOR 9000.0; - LEVEL 0 FOR 1000.0; - } - } -} - -DISPLAY_LINE -{ - CHANNEL = "IN"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 0; - TREE_LEVEL = 0; - CHILDREN = 1, 2, 3, 4; -} - -DISPLAY_LINE -{ - CHANNEL = "A"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 1; - TREE_LEVEL = 1; - PARENT = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "B"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 2; - TREE_LEVEL = 1; - PARENT = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "C"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 3; - TREE_LEVEL = 1; - PARENT = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "D"; - EXPAND_STATUS = COLLAPSED; - RADIX = Binary; - TREE_INDEX = 4; - TREE_LEVEL = 1; - PARENT = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y0"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 5; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y1"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 6; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y2"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 7; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y3"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 8; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y4"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 9; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y5"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 10; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y6"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 11; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y7"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 12; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y8"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 13; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y9"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 14; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y10"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 15; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y11"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 16; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y12"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 17; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y13"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 18; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y14"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 19; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y15"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 20; - TREE_LEVEL = 0; -} - -TIME_BAR -{ - TIME = 0; - MASTER = TRUE; -} -; diff --git a/CH6/CH6-1/simulation/qsim/four_line_to_sixteen_line_decimal_decoder.vo b/CH6/CH6-1/simulation/qsim/four_line_to_sixteen_line_decimal_decoder.vo deleted file mode 100644 index f4ad0f74..00000000 --- a/CH6/CH6-1/simulation/qsim/four_line_to_sixteen_line_decimal_decoder.vo +++ /dev/null @@ -1,697 +0,0 @@ -// Copyright (C) 1991-2013 Altera Corporation -// Your use of Altera Corporation's design tools, logic functions -// and other software and tools, and its AMPP partner logic -// functions, and any output files from any of the foregoing -// (including device programming or simulation files), and any -// associated documentation or information are expressly subject -// to the terms and conditions of the Altera Program License -// Subscription Agreement, Altera MegaCore Function License -// Agreement, or other applicable license agreement, including, -// without limitation, that your use is for the sole purpose of -// programming logic devices manufactured by Altera and sold by -// Altera or its authorized distributors. Please refer to the -// applicable agreement for further details. - -// VENDOR "Altera" -// PROGRAM "Quartus II 32-bit" -// VERSION "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition" - -// DATE "10/24/2019 22:24:53" - -// -// Device: Altera EP3C16F484C6 Package FBGA484 -// - -// -// This Verilog file should be used for ModelSim-Altera (Verilog) only -// - -`timescale 1 ps/ 1 ps - -module four_line_to_sixteen_line_decimal_decoder ( - Y0, - A, - B, - C, - D, - Y1, - Y2, - Y3, - Y4, - Y5, - Y6, - Y7, - Y8, - Y9, - Y10, - Y11, - Y12, - Y13, - Y14, - Y15); -output Y0; -input A; -input B; -input C; -input D; -output Y1; -output Y2; -output Y3; -output Y4; -output Y5; -output Y6; -output Y7; -output Y8; -output Y9; -output Y10; -output Y11; -output Y12; -output Y13; -output Y14; -output Y15; - -// Design Ports Information -// Y0 => Location: PIN_L7, I/O Standard: 2.5 V, Current Strength: Default -// Y1 => Location: PIN_J4, I/O Standard: 2.5 V, Current Strength: Default -// Y2 => Location: PIN_P7, I/O Standard: 2.5 V, Current Strength: Default -// Y3 => Location: PIN_W1, I/O Standard: 2.5 V, Current Strength: Default -// Y4 => Location: PIN_T7, I/O Standard: 2.5 V, Current Strength: Default -// Y5 => Location: PIN_V1, I/O Standard: 2.5 V, Current Strength: Default -// Y6 => Location: PIN_R6, I/O Standard: 2.5 V, Current Strength: Default -// Y7 => Location: PIN_R9, I/O Standard: 2.5 V, Current Strength: Default -// Y8 => Location: PIN_M5, I/O Standard: 2.5 V, Current Strength: Default -// Y9 => Location: PIN_M4, I/O Standard: 2.5 V, Current Strength: Default -// Y10 => Location: PIN_P5, I/O Standard: 2.5 V, Current Strength: Default -// Y11 => Location: PIN_Y2, I/O Standard: 2.5 V, Current Strength: Default -// Y12 => Location: PIN_M7, I/O Standard: 2.5 V, Current Strength: Default -// Y13 => Location: PIN_W2, I/O Standard: 2.5 V, Current Strength: Default -// Y14 => Location: PIN_M3, I/O Standard: 2.5 V, Current Strength: Default -// Y15 => Location: PIN_P6, I/O Standard: 2.5 V, Current Strength: Default -// D => Location: PIN_T5, I/O Standard: 2.5 V, Current Strength: Default -// C => Location: PIN_Y1, I/O Standard: 2.5 V, Current Strength: Default -// B => Location: PIN_N7, I/O Standard: 2.5 V, Current Strength: Default -// A => Location: PIN_AB3, I/O Standard: 2.5 V, Current Strength: Default - - -wire gnd; -wire vcc; -wire unknown; - -assign gnd = 1'b0; -assign vcc = 1'b1; -assign unknown = 1'bx; - -tri1 devclrn; -tri1 devpor; -tri1 devoe; -wire \Y0~output_o ; -wire \Y1~output_o ; -wire \Y2~output_o ; -wire \Y3~output_o ; -wire \Y4~output_o ; -wire \Y5~output_o ; -wire \Y6~output_o ; -wire \Y7~output_o ; -wire \Y8~output_o ; -wire \Y9~output_o ; -wire \Y10~output_o ; -wire \Y11~output_o ; -wire \Y12~output_o ; -wire \Y13~output_o ; -wire \Y14~output_o ; -wire \Y15~output_o ; -wire \C~input_o ; -wire \B~input_o ; -wire \D~input_o ; -wire \A~input_o ; -wire \inst|15~0_combout ; -wire \inst|15~1_combout ; -wire \inst|15~2_combout ; -wire \inst|15~3_combout ; -wire \inst|15~4_combout ; -wire \inst|15~5_combout ; -wire \inst|15~6_combout ; -wire \inst|15~7_combout ; -wire \inst|15~8_combout ; -wire \inst|15~9_combout ; -wire \inst|15~10_combout ; -wire \inst|15~11_combout ; -wire \inst|15~12_combout ; -wire \inst|15~13_combout ; -wire \inst|15~14_combout ; -wire \inst|15~15_combout ; - - -// Location: IOOBUF_X0_Y11_N2 -cycloneiii_io_obuf \Y0~output ( - .i(!\inst|15~0_combout ), - .oe(vcc), - .seriesterminationcontrol(16'b0000000000000000), - .devoe(devoe), - .o(\Y0~output_o ), - .obar()); -// synopsys translate_off -defparam \Y0~output .bus_hold = "false"; -defparam \Y0~output .open_drain_output = "false"; -// synopsys translate_on - -// Location: IOOBUF_X0_Y21_N2 -cycloneiii_io_obuf \Y1~output ( - .i(!\inst|15~1_combout ), - .oe(vcc), - .seriesterminationcontrol(16'b0000000000000000), - .devoe(devoe), - .o(\Y1~output_o ), - .obar()); -// synopsys translate_off -defparam \Y1~output .bus_hold = "false"; -defparam \Y1~output .open_drain_output = "false"; -// synopsys translate_on - -// Location: IOOBUF_X0_Y5_N2 -cycloneiii_io_obuf \Y2~output ( - .i(!\inst|15~2_combout ), - .oe(vcc), - .seriesterminationcontrol(16'b0000000000000000), - .devoe(devoe), - .o(\Y2~output_o ), - .obar()); -// synopsys translate_off -defparam \Y2~output .bus_hold = "false"; -defparam \Y2~output .open_drain_output = "false"; -// synopsys translate_on - -// Location: IOOBUF_X0_Y7_N23 -cycloneiii_io_obuf \Y3~output ( - .i(!\inst|15~3_combout ), - .oe(vcc), - .seriesterminationcontrol(16'b0000000000000000), - .devoe(devoe), - .o(\Y3~output_o ), - .obar()); -// synopsys translate_off -defparam \Y3~output .bus_hold = "false"; -defparam \Y3~output .open_drain_output = "false"; -// synopsys translate_on - -// Location: IOOBUF_X0_Y2_N9 -cycloneiii_io_obuf \Y4~output ( - .i(!\inst|15~4_combout ), - .oe(vcc), - .seriesterminationcontrol(16'b0000000000000000), - .devoe(devoe), - .o(\Y4~output_o ), - .obar()); -// synopsys translate_off -defparam \Y4~output .bus_hold = "false"; -defparam \Y4~output .open_drain_output = "false"; -// synopsys translate_on - -// Location: IOOBUF_X0_Y8_N2 -cycloneiii_io_obuf \Y5~output ( - .i(!\inst|15~5_combout ), - .oe(vcc), - .seriesterminationcontrol(16'b0000000000000000), - .devoe(devoe), - .o(\Y5~output_o ), - .obar()); -// synopsys translate_off -defparam \Y5~output .bus_hold = "false"; -defparam \Y5~output .open_drain_output = "false"; -// synopsys translate_on - -// Location: IOOBUF_X0_Y3_N9 -cycloneiii_io_obuf \Y6~output ( - .i(!\inst|15~6_combout ), - .oe(vcc), - .seriesterminationcontrol(16'b0000000000000000), - .devoe(devoe), - .o(\Y6~output_o ), - .obar()); -// synopsys translate_off -defparam \Y6~output .bus_hold = "false"; -defparam \Y6~output .open_drain_output = "false"; -// synopsys translate_on - -// Location: IOOBUF_X1_Y0_N30 -cycloneiii_io_obuf \Y7~output ( - .i(!\inst|15~7_combout ), - .oe(vcc), - .seriesterminationcontrol(16'b0000000000000000), - .devoe(devoe), - .o(\Y7~output_o ), - .obar()); -// synopsys translate_off -defparam \Y7~output .bus_hold = "false"; -defparam \Y7~output .open_drain_output = "false"; -// synopsys translate_on - -// Location: IOOBUF_X0_Y11_N9 -cycloneiii_io_obuf \Y8~output ( - .i(!\inst|15~8_combout ), - .oe(vcc), - .seriesterminationcontrol(16'b0000000000000000), - .devoe(devoe), - .o(\Y8~output_o ), - .obar()); -// synopsys translate_off -defparam \Y8~output .bus_hold = "false"; -defparam \Y8~output .open_drain_output = "false"; -// synopsys translate_on - -// Location: IOOBUF_X0_Y12_N2 -cycloneiii_io_obuf \Y9~output ( - .i(!\inst|15~9_combout ), - .oe(vcc), - .seriesterminationcontrol(16'b0000000000000000), - .devoe(devoe), - .o(\Y9~output_o ), - .obar()); -// synopsys translate_off -defparam \Y9~output .bus_hold = "false"; -defparam \Y9~output .open_drain_output = "false"; -// synopsys translate_on - -// Location: IOOBUF_X0_Y8_N9 -cycloneiii_io_obuf \Y10~output ( - .i(!\inst|15~10_combout ), - .oe(vcc), - .seriesterminationcontrol(16'b0000000000000000), - .devoe(devoe), - .o(\Y10~output_o ), - .obar()); -// synopsys translate_off -defparam \Y10~output .bus_hold = "false"; -defparam \Y10~output .open_drain_output = "false"; -// synopsys translate_on - -// Location: IOOBUF_X0_Y6_N2 -cycloneiii_io_obuf \Y11~output ( - .i(!\inst|15~11_combout ), - .oe(vcc), - .seriesterminationcontrol(16'b0000000000000000), - .devoe(devoe), - .o(\Y11~output_o ), - .obar()); -// synopsys translate_off -defparam \Y11~output .bus_hold = "false"; -defparam \Y11~output .open_drain_output = "false"; -// synopsys translate_on - -// Location: IOOBUF_X0_Y8_N23 -cycloneiii_io_obuf \Y12~output ( - .i(!\inst|15~12_combout ), - .oe(vcc), - .seriesterminationcontrol(16'b0000000000000000), - .devoe(devoe), - .o(\Y12~output_o ), - .obar()); -// synopsys translate_off -defparam \Y12~output .bus_hold = "false"; -defparam \Y12~output .open_drain_output = "false"; -// synopsys translate_on - -// Location: IOOBUF_X0_Y7_N16 -cycloneiii_io_obuf \Y13~output ( - .i(!\inst|15~13_combout ), - .oe(vcc), - .seriesterminationcontrol(16'b0000000000000000), - .devoe(devoe), - .o(\Y13~output_o ), - .obar()); -// synopsys translate_off -defparam \Y13~output .bus_hold = "false"; -defparam \Y13~output .open_drain_output = "false"; -// synopsys translate_on - -// Location: IOOBUF_X0_Y12_N9 -cycloneiii_io_obuf \Y14~output ( - .i(!\inst|15~14_combout ), - .oe(vcc), - .seriesterminationcontrol(16'b0000000000000000), - .devoe(devoe), - .o(\Y14~output_o ), - .obar()); -// synopsys translate_off -defparam \Y14~output .bus_hold = "false"; -defparam \Y14~output .open_drain_output = "false"; -// synopsys translate_on - -// Location: IOOBUF_X0_Y4_N9 -cycloneiii_io_obuf \Y15~output ( - .i(!\inst|15~15_combout ), - .oe(vcc), - .seriesterminationcontrol(16'b0000000000000000), - .devoe(devoe), - .o(\Y15~output_o ), - .obar()); -// synopsys translate_off -defparam \Y15~output .bus_hold = "false"; -defparam \Y15~output .open_drain_output = "false"; -// synopsys translate_on - -// Location: IOIBUF_X0_Y6_N8 -cycloneiii_io_ibuf \C~input ( - .i(C), - .ibar(gnd), - .o(\C~input_o )); -// synopsys translate_off -defparam \C~input .bus_hold = "false"; -defparam \C~input .simulate_z_as = "z"; -// synopsys translate_on - -// Location: IOIBUF_X0_Y6_N22 -cycloneiii_io_ibuf \B~input ( - .i(B), - .ibar(gnd), - .o(\B~input_o )); -// synopsys translate_off -defparam \B~input .bus_hold = "false"; -defparam \B~input .simulate_z_as = "z"; -// synopsys translate_on - -// Location: IOIBUF_X0_Y3_N1 -cycloneiii_io_ibuf \D~input ( - .i(D), - .ibar(gnd), - .o(\D~input_o )); -// synopsys translate_off -defparam \D~input .bus_hold = "false"; -defparam \D~input .simulate_z_as = "z"; -// synopsys translate_on - -// Location: IOIBUF_X7_Y0_N29 -cycloneiii_io_ibuf \A~input ( - .i(A), - .ibar(gnd), - .o(\A~input_o )); -// synopsys translate_off -defparam \A~input .bus_hold = "false"; -defparam \A~input .simulate_z_as = "z"; -// synopsys translate_on - -// Location: LCCOMB_X1_Y9_N24 -cycloneiii_lcell_comb \inst|15~0 ( -// Equation(s): -// \inst|15~0_combout = (!\C~input_o & (!\B~input_o & (!\D~input_o & !\A~input_o ))) - - .dataa(\C~input_o ), - .datab(\B~input_o ), - .datac(\D~input_o ), - .datad(\A~input_o ), - .cin(gnd), - .combout(\inst|15~0_combout ), - .cout()); -// synopsys translate_off -defparam \inst|15~0 .lut_mask = 16'h0001; -defparam \inst|15~0 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X1_Y9_N2 -cycloneiii_lcell_comb \inst|15~1 ( -// Equation(s): -// \inst|15~1_combout = (!\C~input_o & (!\B~input_o & (!\D~input_o & \A~input_o ))) - - .dataa(\C~input_o ), - .datab(\B~input_o ), - .datac(\D~input_o ), - .datad(\A~input_o ), - .cin(gnd), - .combout(\inst|15~1_combout ), - .cout()); -// synopsys translate_off -defparam \inst|15~1 .lut_mask = 16'h0100; -defparam \inst|15~1 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X1_Y9_N4 -cycloneiii_lcell_comb \inst|15~2 ( -// Equation(s): -// \inst|15~2_combout = (!\C~input_o & (\B~input_o & (!\D~input_o & !\A~input_o ))) - - .dataa(\C~input_o ), - .datab(\B~input_o ), - .datac(\D~input_o ), - .datad(\A~input_o ), - .cin(gnd), - .combout(\inst|15~2_combout ), - .cout()); -// synopsys translate_off -defparam \inst|15~2 .lut_mask = 16'h0004; -defparam \inst|15~2 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X1_Y9_N22 -cycloneiii_lcell_comb \inst|15~3 ( -// Equation(s): -// \inst|15~3_combout = (!\C~input_o & (\B~input_o & (!\D~input_o & \A~input_o ))) - - .dataa(\C~input_o ), - .datab(\B~input_o ), - .datac(\D~input_o ), - .datad(\A~input_o ), - .cin(gnd), - .combout(\inst|15~3_combout ), - .cout()); -// synopsys translate_off -defparam \inst|15~3 .lut_mask = 16'h0400; -defparam \inst|15~3 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X1_Y9_N16 -cycloneiii_lcell_comb \inst|15~4 ( -// Equation(s): -// \inst|15~4_combout = (\C~input_o & (!\B~input_o & (!\D~input_o & !\A~input_o ))) - - .dataa(\C~input_o ), - .datab(\B~input_o ), - .datac(\D~input_o ), - .datad(\A~input_o ), - .cin(gnd), - .combout(\inst|15~4_combout ), - .cout()); -// synopsys translate_off -defparam \inst|15~4 .lut_mask = 16'h0002; -defparam \inst|15~4 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X1_Y9_N26 -cycloneiii_lcell_comb \inst|15~5 ( -// Equation(s): -// \inst|15~5_combout = (\C~input_o & (!\B~input_o & (!\D~input_o & \A~input_o ))) - - .dataa(\C~input_o ), - .datab(\B~input_o ), - .datac(\D~input_o ), - .datad(\A~input_o ), - .cin(gnd), - .combout(\inst|15~5_combout ), - .cout()); -// synopsys translate_off -defparam \inst|15~5 .lut_mask = 16'h0200; -defparam \inst|15~5 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X1_Y9_N28 -cycloneiii_lcell_comb \inst|15~6 ( -// Equation(s): -// \inst|15~6_combout = (\C~input_o & (\B~input_o & (!\D~input_o & !\A~input_o ))) - - .dataa(\C~input_o ), - .datab(\B~input_o ), - .datac(\D~input_o ), - .datad(\A~input_o ), - .cin(gnd), - .combout(\inst|15~6_combout ), - .cout()); -// synopsys translate_off -defparam \inst|15~6 .lut_mask = 16'h0008; -defparam \inst|15~6 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X1_Y9_N6 -cycloneiii_lcell_comb \inst|15~7 ( -// Equation(s): -// \inst|15~7_combout = (\C~input_o & (\B~input_o & (!\D~input_o & \A~input_o ))) - - .dataa(\C~input_o ), - .datab(\B~input_o ), - .datac(\D~input_o ), - .datad(\A~input_o ), - .cin(gnd), - .combout(\inst|15~7_combout ), - .cout()); -// synopsys translate_off -defparam \inst|15~7 .lut_mask = 16'h0800; -defparam \inst|15~7 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X1_Y9_N0 -cycloneiii_lcell_comb \inst|15~8 ( -// Equation(s): -// \inst|15~8_combout = (!\C~input_o & (!\B~input_o & (\D~input_o & !\A~input_o ))) - - .dataa(\C~input_o ), - .datab(\B~input_o ), - .datac(\D~input_o ), - .datad(\A~input_o ), - .cin(gnd), - .combout(\inst|15~8_combout ), - .cout()); -// synopsys translate_off -defparam \inst|15~8 .lut_mask = 16'h0010; -defparam \inst|15~8 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X1_Y9_N10 -cycloneiii_lcell_comb \inst|15~9 ( -// Equation(s): -// \inst|15~9_combout = (!\C~input_o & (!\B~input_o & (\D~input_o & \A~input_o ))) - - .dataa(\C~input_o ), - .datab(\B~input_o ), - .datac(\D~input_o ), - .datad(\A~input_o ), - .cin(gnd), - .combout(\inst|15~9_combout ), - .cout()); -// synopsys translate_off -defparam \inst|15~9 .lut_mask = 16'h1000; -defparam \inst|15~9 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X1_Y9_N20 -cycloneiii_lcell_comb \inst|15~10 ( -// Equation(s): -// \inst|15~10_combout = (!\C~input_o & (\B~input_o & (\D~input_o & !\A~input_o ))) - - .dataa(\C~input_o ), - .datab(\B~input_o ), - .datac(\D~input_o ), - .datad(\A~input_o ), - .cin(gnd), - .combout(\inst|15~10_combout ), - .cout()); -// synopsys translate_off -defparam \inst|15~10 .lut_mask = 16'h0040; -defparam \inst|15~10 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X1_Y9_N14 -cycloneiii_lcell_comb \inst|15~11 ( -// Equation(s): -// \inst|15~11_combout = (!\C~input_o & (\B~input_o & (\D~input_o & \A~input_o ))) - - .dataa(\C~input_o ), - .datab(\B~input_o ), - .datac(\D~input_o ), - .datad(\A~input_o ), - .cin(gnd), - .combout(\inst|15~11_combout ), - .cout()); -// synopsys translate_off -defparam \inst|15~11 .lut_mask = 16'h4000; -defparam \inst|15~11 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X1_Y9_N8 -cycloneiii_lcell_comb \inst|15~12 ( -// Equation(s): -// \inst|15~12_combout = (\C~input_o & (!\B~input_o & (\D~input_o & !\A~input_o ))) - - .dataa(\C~input_o ), - .datab(\B~input_o ), - .datac(\D~input_o ), - .datad(\A~input_o ), - .cin(gnd), - .combout(\inst|15~12_combout ), - .cout()); -// synopsys translate_off -defparam \inst|15~12 .lut_mask = 16'h0020; -defparam \inst|15~12 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X1_Y9_N18 -cycloneiii_lcell_comb \inst|15~13 ( -// Equation(s): -// \inst|15~13_combout = (\C~input_o & (!\B~input_o & (\D~input_o & \A~input_o ))) - - .dataa(\C~input_o ), - .datab(\B~input_o ), - .datac(\D~input_o ), - .datad(\A~input_o ), - .cin(gnd), - .combout(\inst|15~13_combout ), - .cout()); -// synopsys translate_off -defparam \inst|15~13 .lut_mask = 16'h2000; -defparam \inst|15~13 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X1_Y9_N12 -cycloneiii_lcell_comb \inst|15~14 ( -// Equation(s): -// \inst|15~14_combout = (\C~input_o & (\B~input_o & (\D~input_o & !\A~input_o ))) - - .dataa(\C~input_o ), - .datab(\B~input_o ), - .datac(\D~input_o ), - .datad(\A~input_o ), - .cin(gnd), - .combout(\inst|15~14_combout ), - .cout()); -// synopsys translate_off -defparam \inst|15~14 .lut_mask = 16'h0080; -defparam \inst|15~14 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X1_Y9_N30 -cycloneiii_lcell_comb \inst|15~15 ( -// Equation(s): -// \inst|15~15_combout = (\C~input_o & (\B~input_o & (\D~input_o & \A~input_o ))) - - .dataa(\C~input_o ), - .datab(\B~input_o ), - .datac(\D~input_o ), - .datad(\A~input_o ), - .cin(gnd), - .combout(\inst|15~15_combout ), - .cout()); -// synopsys translate_off -defparam \inst|15~15 .lut_mask = 16'h8000; -defparam \inst|15~15 .sum_lutc_input = "datac"; -// synopsys translate_on - -assign Y0 = \Y0~output_o ; - -assign Y1 = \Y1~output_o ; - -assign Y2 = \Y2~output_o ; - -assign Y3 = \Y3~output_o ; - -assign Y4 = \Y4~output_o ; - -assign Y5 = \Y5~output_o ; - -assign Y6 = \Y6~output_o ; - -assign Y7 = \Y7~output_o ; - -assign Y8 = \Y8~output_o ; - -assign Y9 = \Y9~output_o ; - -assign Y10 = \Y10~output_o ; - -assign Y11 = \Y11~output_o ; - -assign Y12 = \Y12~output_o ; - -assign Y13 = \Y13~output_o ; - -assign Y14 = \Y14~output_o ; - -assign Y15 = \Y15~output_o ; - -endmodule diff --git a/CH6/CH6-1/simulation/qsim/four_line_to_sixteen_line_decimal_decoder.vwf.vt b/CH6/CH6-1/simulation/qsim/four_line_to_sixteen_line_decimal_decoder.vwf.vt deleted file mode 100644 index 89d116a7..00000000 --- a/CH6/CH6-1/simulation/qsim/four_line_to_sixteen_line_decimal_decoder.vwf.vt +++ /dev/null @@ -1,691 +0,0 @@ -// Copyright (C) 1991-2013 Altera Corporation -// Your use of Altera Corporation's design tools, logic functions -// and other software and tools, and its AMPP partner logic -// functions, and any output files from any of the foregoing -// (including device programming or simulation files), and any -// associated documentation or information are expressly subject -// to the terms and conditions of the Altera Program License -// Subscription Agreement, Altera MegaCore Function License -// Agreement, or other applicable license agreement, including, -// without limitation, that your use is for the sole purpose of -// programming logic devices manufactured by Altera and sold by -// Altera or its authorized distributors. Please refer to the -// applicable agreement for further details. - -// ***************************************************************************** -// This file contains a Verilog test bench with test vectors .The test vectors -// are exported from a vector file in the Quartus Waveform Editor and apply to -// the top level entity of the current Quartus project .The user can use this -// testbench to simulate his design using a third-party simulation tool . -// ***************************************************************************** -// Generated on "10/24/2019 22:24:48" - -// Verilog Self-Checking Test Bench (with test vectors) for design : four_line_to_sixteen_line_decimal_decoder -// -// Simulation tool : 3rd Party -// - -`timescale 1 ps/ 1 ps -module four_line_to_sixteen_line_decimal_decoder_vlg_sample_tst( - A, - B, - C, - D, - sampler_tx -); -input A; -input B; -input C; -input D; -output sampler_tx; - -reg sample; -time current_time; -always @(A or B or C or D) - -begin - if ($realtime > 0) - begin - if ($realtime == 0 || $realtime != current_time) - begin - if (sample === 1'bx) - sample = 0; - else - sample = ~sample; - end - current_time = $realtime; - end -end - -assign sampler_tx = sample; -endmodule - -module four_line_to_sixteen_line_decimal_decoder_vlg_check_tst ( - Y0, - Y1, - Y2, - Y3, - Y4, - Y5, - Y6, - Y7, - Y8, - Y9, - Y10, - Y11, - Y12, - Y13, - Y14, - Y15, - sampler_rx -); -input Y0; -input Y1; -input Y2; -input Y3; -input Y4; -input Y5; -input Y6; -input Y7; -input Y8; -input Y9; -input Y10; -input Y11; -input Y12; -input Y13; -input Y14; -input Y15; -input sampler_rx; - -reg Y0_expected; -reg Y1_expected; -reg Y2_expected; -reg Y3_expected; -reg Y4_expected; -reg Y5_expected; -reg Y6_expected; -reg Y7_expected; -reg Y8_expected; -reg Y9_expected; -reg Y10_expected; -reg Y11_expected; -reg Y12_expected; -reg Y13_expected; -reg Y14_expected; -reg Y15_expected; - -reg Y0_prev; -reg Y1_prev; -reg Y2_prev; -reg Y3_prev; -reg Y4_prev; -reg Y5_prev; -reg Y6_prev; -reg Y7_prev; -reg Y8_prev; -reg Y9_prev; -reg Y10_prev; -reg Y11_prev; -reg Y12_prev; -reg Y13_prev; -reg Y14_prev; -reg Y15_prev; - -reg Y0_expected_prev; -reg Y1_expected_prev; -reg Y2_expected_prev; -reg Y3_expected_prev; -reg Y4_expected_prev; -reg Y5_expected_prev; -reg Y6_expected_prev; -reg Y7_expected_prev; -reg Y8_expected_prev; -reg Y9_expected_prev; -reg Y10_expected_prev; -reg Y11_expected_prev; -reg Y12_expected_prev; -reg Y13_expected_prev; -reg Y14_expected_prev; -reg Y15_expected_prev; - -reg last_Y0_exp; -reg last_Y1_exp; -reg last_Y2_exp; -reg last_Y3_exp; -reg last_Y4_exp; -reg last_Y5_exp; -reg last_Y6_exp; -reg last_Y7_exp; -reg last_Y8_exp; -reg last_Y9_exp; -reg last_Y10_exp; -reg last_Y11_exp; -reg last_Y12_exp; -reg last_Y13_exp; -reg last_Y14_exp; -reg last_Y15_exp; - -reg trigger; - -integer i; -integer nummismatches; - -reg [1:16] on_first_change ; - - -initial -begin -trigger = 0; -i = 0; -nummismatches = 0; -on_first_change = 16'b1; -end - -// update real /o prevs - -always @(trigger) -begin - Y0_prev = Y0; - Y1_prev = Y1; - Y2_prev = Y2; - Y3_prev = Y3; - Y4_prev = Y4; - Y5_prev = Y5; - Y6_prev = Y6; - Y7_prev = Y7; - Y8_prev = Y8; - Y9_prev = Y9; - Y10_prev = Y10; - Y11_prev = Y11; - Y12_prev = Y12; - Y13_prev = Y13; - Y14_prev = Y14; - Y15_prev = Y15; -end - -// update expected /o prevs - -always @(trigger) -begin - Y0_expected_prev = Y0_expected; - Y1_expected_prev = Y1_expected; - Y2_expected_prev = Y2_expected; - Y3_expected_prev = Y3_expected; - Y4_expected_prev = Y4_expected; - Y5_expected_prev = Y5_expected; - Y6_expected_prev = Y6_expected; - Y7_expected_prev = Y7_expected; - Y8_expected_prev = Y8_expected; - Y9_expected_prev = Y9_expected; - Y10_expected_prev = Y10_expected; - Y11_expected_prev = Y11_expected; - Y12_expected_prev = Y12_expected; - Y13_expected_prev = Y13_expected; - Y14_expected_prev = Y14_expected; - Y15_expected_prev = Y15_expected; -end - - - -// expected Y0 -initial -begin - Y0_expected = 1'bX; - Y0_expected = #999000 1'b0; -end - -// expected Y1 -initial -begin - Y1_expected = 1'bX; - Y1_expected = #999000 1'b0; -end - -// expected Y2 -initial -begin - Y2_expected = 1'bX; - Y2_expected = #999000 1'b0; -end - -// expected Y3 -initial -begin - Y3_expected = 1'bX; - Y3_expected = #999000 1'b0; -end - -// expected Y4 -initial -begin - Y4_expected = 1'bX; - Y4_expected = #999000 1'b0; -end - -// expected Y5 -initial -begin - Y5_expected = 1'bX; - Y5_expected = #999000 1'b0; -end - -// expected Y6 -initial -begin - Y6_expected = 1'bX; - Y6_expected = #999000 1'b0; -end - -// expected Y7 -initial -begin - Y7_expected = 1'bX; - Y7_expected = #999000 1'b0; -end - -// expected Y8 -initial -begin - Y8_expected = 1'bX; - Y8_expected = #999000 1'b0; -end - -// expected Y9 -initial -begin - Y9_expected = 1'bX; - Y9_expected = #999000 1'b0; -end - -// expected Y10 -initial -begin - Y10_expected = 1'bX; - Y10_expected = #999000 1'b0; -end - -// expected Y11 -initial -begin - Y11_expected = 1'bX; - Y11_expected = #999000 1'b0; -end - -// expected Y12 -initial -begin - Y12_expected = 1'bX; - Y12_expected = #999000 1'b0; -end - -// expected Y13 -initial -begin - Y13_expected = 1'bX; - Y13_expected = #999000 1'b0; -end - -// expected Y14 -initial -begin - Y14_expected = 1'bX; - Y14_expected = #999000 1'b0; -end - -// expected Y15 -initial -begin - Y15_expected = 1'bX; - Y15_expected = #999000 1'b0; -end -// generate trigger -always @(Y0_expected or Y0 or Y1_expected or Y1 or Y2_expected or Y2 or Y3_expected or Y3 or Y4_expected or Y4 or Y5_expected or Y5 or Y6_expected or Y6 or Y7_expected or Y7 or Y8_expected or Y8 or Y9_expected or Y9 or Y10_expected or Y10 or Y11_expected or Y11 or Y12_expected or Y12 or Y13_expected or Y13 or Y14_expected or Y14 or Y15_expected or Y15) -begin - trigger <= ~trigger; -end - -always @(posedge sampler_rx or negedge sampler_rx) -begin -`ifdef debug_tbench - $display("Scanning pattern %d @time = %t",i,$realtime ); - i = i + 1; - $display("| expected Y0 = %b | expected Y1 = %b | expected Y2 = %b | expected Y3 = %b | expected Y4 = %b | expected Y5 = %b | expected Y6 = %b | expected Y7 = %b | expected Y8 = %b | expected Y9 = %b | expected Y10 = %b | expected Y11 = %b | expected Y12 = %b | expected Y13 = %b | expected Y14 = %b | expected Y15 = %b | ",Y0_expected_prev,Y1_expected_prev,Y2_expected_prev,Y3_expected_prev,Y4_expected_prev,Y5_expected_prev,Y6_expected_prev,Y7_expected_prev,Y8_expected_prev,Y9_expected_prev,Y10_expected_prev,Y11_expected_prev,Y12_expected_prev,Y13_expected_prev,Y14_expected_prev,Y15_expected_prev); - $display("| real Y0 = %b | real Y1 = %b | real Y2 = %b | real Y3 = %b | real Y4 = %b | real Y5 = %b | real Y6 = %b | real Y7 = %b | real Y8 = %b | real Y9 = %b | real Y10 = %b | real Y11 = %b | real Y12 = %b | real Y13 = %b | real Y14 = %b | real Y15 = %b | ",Y0_prev,Y1_prev,Y2_prev,Y3_prev,Y4_prev,Y5_prev,Y6_prev,Y7_prev,Y8_prev,Y9_prev,Y10_prev,Y11_prev,Y12_prev,Y13_prev,Y14_prev,Y15_prev); -`endif - if ( - ( Y0_expected_prev !== 1'bx ) && ( Y0_prev !== Y0_expected_prev ) - && ((Y0_expected_prev !== last_Y0_exp) || - on_first_change[1]) - ) - begin - $display ("ERROR! Vector Mismatch for output port Y0 :: @time = %t", $realtime); - $display (" Expected value = %b", Y0_expected_prev); - $display (" Real value = %b", Y0_prev); - nummismatches = nummismatches + 1; - on_first_change[1] = 1'b0; - last_Y0_exp = Y0_expected_prev; - end - if ( - ( Y1_expected_prev !== 1'bx ) && ( Y1_prev !== Y1_expected_prev ) - && ((Y1_expected_prev !== last_Y1_exp) || - on_first_change[2]) - ) - begin - $display ("ERROR! Vector Mismatch for output port Y1 :: @time = %t", $realtime); - $display (" Expected value = %b", Y1_expected_prev); - $display (" Real value = %b", Y1_prev); - nummismatches = nummismatches + 1; - on_first_change[2] = 1'b0; - last_Y1_exp = Y1_expected_prev; - end - if ( - ( Y2_expected_prev !== 1'bx ) && ( Y2_prev !== Y2_expected_prev ) - && ((Y2_expected_prev !== last_Y2_exp) || - on_first_change[3]) - ) - begin - $display ("ERROR! Vector Mismatch for output port Y2 :: @time = %t", $realtime); - $display (" Expected value = %b", Y2_expected_prev); - $display (" Real value = %b", Y2_prev); - nummismatches = nummismatches + 1; - on_first_change[3] = 1'b0; - last_Y2_exp = Y2_expected_prev; - end - if ( - ( Y3_expected_prev !== 1'bx ) && ( Y3_prev !== Y3_expected_prev ) - && ((Y3_expected_prev !== last_Y3_exp) || - on_first_change[4]) - ) - begin - $display ("ERROR! Vector Mismatch for output port Y3 :: @time = %t", $realtime); - $display (" Expected value = %b", Y3_expected_prev); - $display (" Real value = %b", Y3_prev); - nummismatches = nummismatches + 1; - on_first_change[4] = 1'b0; - last_Y3_exp = Y3_expected_prev; - end - if ( - ( Y4_expected_prev !== 1'bx ) && ( Y4_prev !== Y4_expected_prev ) - && ((Y4_expected_prev !== last_Y4_exp) || - on_first_change[5]) - ) - begin - $display ("ERROR! Vector Mismatch for output port Y4 :: @time = %t", $realtime); - $display (" Expected value = %b", Y4_expected_prev); - $display (" Real value = %b", Y4_prev); - nummismatches = nummismatches + 1; - on_first_change[5] = 1'b0; - last_Y4_exp = Y4_expected_prev; - end - if ( - ( Y5_expected_prev !== 1'bx ) && ( Y5_prev !== Y5_expected_prev ) - && ((Y5_expected_prev !== last_Y5_exp) || - on_first_change[6]) - ) - begin - $display ("ERROR! Vector Mismatch for output port Y5 :: @time = %t", $realtime); - $display (" Expected value = %b", Y5_expected_prev); - $display (" Real value = %b", Y5_prev); - nummismatches = nummismatches + 1; - on_first_change[6] = 1'b0; - last_Y5_exp = Y5_expected_prev; - end - if ( - ( Y6_expected_prev !== 1'bx ) && ( Y6_prev !== Y6_expected_prev ) - && ((Y6_expected_prev !== last_Y6_exp) || - on_first_change[7]) - ) - begin - $display ("ERROR! Vector Mismatch for output port Y6 :: @time = %t", $realtime); - $display (" Expected value = %b", Y6_expected_prev); - $display (" Real value = %b", Y6_prev); - nummismatches = nummismatches + 1; - on_first_change[7] = 1'b0; - last_Y6_exp = Y6_expected_prev; - end - if ( - ( Y7_expected_prev !== 1'bx ) && ( Y7_prev !== Y7_expected_prev ) - && ((Y7_expected_prev !== last_Y7_exp) || - on_first_change[8]) - ) - begin - $display ("ERROR! Vector Mismatch for output port Y7 :: @time = %t", $realtime); - $display (" Expected value = %b", Y7_expected_prev); - $display (" Real value = %b", Y7_prev); - nummismatches = nummismatches + 1; - on_first_change[8] = 1'b0; - last_Y7_exp = Y7_expected_prev; - end - if ( - ( Y8_expected_prev !== 1'bx ) && ( Y8_prev !== Y8_expected_prev ) - && ((Y8_expected_prev !== last_Y8_exp) || - on_first_change[9]) - ) - begin - $display ("ERROR! Vector Mismatch for output port Y8 :: @time = %t", $realtime); - $display (" Expected value = %b", Y8_expected_prev); - $display (" Real value = %b", Y8_prev); - nummismatches = nummismatches + 1; - on_first_change[9] = 1'b0; - last_Y8_exp = Y8_expected_prev; - end - if ( - ( Y9_expected_prev !== 1'bx ) && ( Y9_prev !== Y9_expected_prev ) - && ((Y9_expected_prev !== last_Y9_exp) || - on_first_change[10]) - ) - begin - $display ("ERROR! Vector Mismatch for output port Y9 :: @time = %t", $realtime); - $display (" Expected value = %b", Y9_expected_prev); - $display (" Real value = %b", Y9_prev); - nummismatches = nummismatches + 1; - on_first_change[10] = 1'b0; - last_Y9_exp = Y9_expected_prev; - end - if ( - ( Y10_expected_prev !== 1'bx ) && ( Y10_prev !== Y10_expected_prev ) - && ((Y10_expected_prev !== last_Y10_exp) || - on_first_change[11]) - ) - begin - $display ("ERROR! Vector Mismatch for output port Y10 :: @time = %t", $realtime); - $display (" Expected value = %b", Y10_expected_prev); - $display (" Real value = %b", Y10_prev); - nummismatches = nummismatches + 1; - on_first_change[11] = 1'b0; - last_Y10_exp = Y10_expected_prev; - end - if ( - ( Y11_expected_prev !== 1'bx ) && ( Y11_prev !== Y11_expected_prev ) - && ((Y11_expected_prev !== last_Y11_exp) || - on_first_change[12]) - ) - begin - $display ("ERROR! Vector Mismatch for output port Y11 :: @time = %t", $realtime); - $display (" Expected value = %b", Y11_expected_prev); - $display (" Real value = %b", Y11_prev); - nummismatches = nummismatches + 1; - on_first_change[12] = 1'b0; - last_Y11_exp = Y11_expected_prev; - end - if ( - ( Y12_expected_prev !== 1'bx ) && ( Y12_prev !== Y12_expected_prev ) - && ((Y12_expected_prev !== last_Y12_exp) || - on_first_change[13]) - ) - begin - $display ("ERROR! Vector Mismatch for output port Y12 :: @time = %t", $realtime); - $display (" Expected value = %b", Y12_expected_prev); - $display (" Real value = %b", Y12_prev); - nummismatches = nummismatches + 1; - on_first_change[13] = 1'b0; - last_Y12_exp = Y12_expected_prev; - end - if ( - ( Y13_expected_prev !== 1'bx ) && ( Y13_prev !== Y13_expected_prev ) - && ((Y13_expected_prev !== last_Y13_exp) || - on_first_change[14]) - ) - begin - $display ("ERROR! Vector Mismatch for output port Y13 :: @time = %t", $realtime); - $display (" Expected value = %b", Y13_expected_prev); - $display (" Real value = %b", Y13_prev); - nummismatches = nummismatches + 1; - on_first_change[14] = 1'b0; - last_Y13_exp = Y13_expected_prev; - end - if ( - ( Y14_expected_prev !== 1'bx ) && ( Y14_prev !== Y14_expected_prev ) - && ((Y14_expected_prev !== last_Y14_exp) || - on_first_change[15]) - ) - begin - $display ("ERROR! Vector Mismatch for output port Y14 :: @time = %t", $realtime); - $display (" Expected value = %b", Y14_expected_prev); - $display (" Real value = %b", Y14_prev); - nummismatches = nummismatches + 1; - on_first_change[15] = 1'b0; - last_Y14_exp = Y14_expected_prev; - end - if ( - ( Y15_expected_prev !== 1'bx ) && ( Y15_prev !== Y15_expected_prev ) - && ((Y15_expected_prev !== last_Y15_exp) || - on_first_change[16]) - ) - begin - $display ("ERROR! Vector Mismatch for output port Y15 :: @time = %t", $realtime); - $display (" Expected value = %b", Y15_expected_prev); - $display (" Real value = %b", Y15_prev); - nummismatches = nummismatches + 1; - on_first_change[16] = 1'b0; - last_Y15_exp = Y15_expected_prev; - end - - trigger <= ~trigger; -end -initial - -begin -$timeformat(-12,3," ps",6); -#10000000; -if (nummismatches > 0) - $display ("%d mismatched vectors : Simulation failed !",nummismatches); -else - $display ("Simulation passed !"); -$finish; -end -endmodule - -module four_line_to_sixteen_line_decimal_decoder_vlg_vec_tst(); -// constants -// general purpose registers -reg A; -reg B; -reg C; -reg D; -// wires -wire Y0; -wire Y1; -wire Y2; -wire Y3; -wire Y4; -wire Y5; -wire Y6; -wire Y7; -wire Y8; -wire Y9; -wire Y10; -wire Y11; -wire Y12; -wire Y13; -wire Y14; -wire Y15; - -wire sampler; - -// assign statements (if any) -four_line_to_sixteen_line_decimal_decoder i1 ( -// port map - connection between master ports and signals/registers - .A(A), - .B(B), - .C(C), - .D(D), - .Y0(Y0), - .Y1(Y1), - .Y2(Y2), - .Y3(Y3), - .Y4(Y4), - .Y5(Y5), - .Y6(Y6), - .Y7(Y7), - .Y8(Y8), - .Y9(Y9), - .Y10(Y10), - .Y11(Y11), - .Y12(Y12), - .Y13(Y13), - .Y14(Y14), - .Y15(Y15) -); - -// A -always -begin - A = 1'b0; - A = #1000000 1'b1; - #1000000; -end - -// B -initial -begin - B = 1'b1; - B = #2000000 1'b0; - B = #4000000 1'b1; -end - -// C -initial -begin - C = 1'b0; - C = #2000000 1'b1; - C = #2000000 1'b0; - C = #4000000 1'b1; -end - -// D -initial -begin - D = 1'b0; - D = #4000000 1'b1; -end - -four_line_to_sixteen_line_decimal_decoder_vlg_sample_tst tb_sample ( - .A(A), - .B(B), - .C(C), - .D(D), - .sampler_tx(sampler) -); - -four_line_to_sixteen_line_decimal_decoder_vlg_check_tst tb_out( - .Y0(Y0), - .Y1(Y1), - .Y2(Y2), - .Y3(Y3), - .Y4(Y4), - .Y5(Y5), - .Y6(Y6), - .Y7(Y7), - .Y8(Y8), - .Y9(Y9), - .Y10(Y10), - .Y11(Y11), - .Y12(Y12), - .Y13(Y13), - .Y14(Y14), - .Y15(Y15), - .sampler_rx(sampler) -); -endmodule - diff --git a/CH6/CH6-1/simulation/qsim/four_line_to_sixteen_line_decimal_decoder_modelsim.xrf b/CH6/CH6-1/simulation/qsim/four_line_to_sixteen_line_decimal_decoder_modelsim.xrf deleted file mode 100644 index b22be673..00000000 --- a/CH6/CH6-1/simulation/qsim/four_line_to_sixteen_line_decimal_decoder_modelsim.xrf +++ /dev/null @@ -1,42 +0,0 @@ -vendor_name = ModelSim -source_file = 1, /home/timmy/Git/Learn-VHDL/CH6/CH6-1/four_line_to_sixteen_line_decimal_decoder.bdf -source_file = 1, /home/timmy/Git/Learn-VHDL/CH6/CH6-1/four_line_to_sixteen_line_decimal_decoder.vwf -source_file = 1, /home/timmy/Git/Learn-VHDL/CH6/CH6-1/db/four_line_to_sixteen_line_decimal_decoder.cbx.xml -source_file = 1, /home/timmy/altera/13.1/quartus/libraries/others/maxplus2/74138.bdf -design_name = four_line_to_sixteen_line_decimal_decoder -instance = comp, \Y0~output , Y0~output, four_line_to_sixteen_line_decimal_decoder, 1 -instance = comp, \Y1~output , Y1~output, four_line_to_sixteen_line_decimal_decoder, 1 -instance = comp, \Y2~output , Y2~output, four_line_to_sixteen_line_decimal_decoder, 1 -instance = comp, \Y3~output , Y3~output, four_line_to_sixteen_line_decimal_decoder, 1 -instance = comp, \Y4~output , Y4~output, four_line_to_sixteen_line_decimal_decoder, 1 -instance = comp, \Y5~output , Y5~output, four_line_to_sixteen_line_decimal_decoder, 1 -instance = comp, \Y6~output , Y6~output, four_line_to_sixteen_line_decimal_decoder, 1 -instance = comp, \Y7~output , Y7~output, four_line_to_sixteen_line_decimal_decoder, 1 -instance = comp, \Y8~output , Y8~output, four_line_to_sixteen_line_decimal_decoder, 1 -instance = comp, \Y9~output , Y9~output, four_line_to_sixteen_line_decimal_decoder, 1 -instance = comp, \Y10~output , Y10~output, four_line_to_sixteen_line_decimal_decoder, 1 -instance = comp, \Y11~output , Y11~output, four_line_to_sixteen_line_decimal_decoder, 1 -instance = comp, \Y12~output , Y12~output, four_line_to_sixteen_line_decimal_decoder, 1 -instance = comp, \Y13~output , Y13~output, four_line_to_sixteen_line_decimal_decoder, 1 -instance = comp, \Y14~output , Y14~output, four_line_to_sixteen_line_decimal_decoder, 1 -instance = comp, \Y15~output , Y15~output, four_line_to_sixteen_line_decimal_decoder, 1 -instance = comp, \C~input , C~input, four_line_to_sixteen_line_decimal_decoder, 1 -instance = comp, \B~input , B~input, four_line_to_sixteen_line_decimal_decoder, 1 -instance = comp, \D~input , D~input, four_line_to_sixteen_line_decimal_decoder, 1 -instance = comp, \A~input , A~input, four_line_to_sixteen_line_decimal_decoder, 1 -instance = comp, \inst|15~0 , inst|15~0, four_line_to_sixteen_line_decimal_decoder, 1 -instance = comp, \inst|15~1 , inst|15~1, four_line_to_sixteen_line_decimal_decoder, 1 -instance = comp, \inst|15~2 , inst|15~2, four_line_to_sixteen_line_decimal_decoder, 1 -instance = comp, \inst|15~3 , inst|15~3, four_line_to_sixteen_line_decimal_decoder, 1 -instance = comp, \inst|15~4 , inst|15~4, four_line_to_sixteen_line_decimal_decoder, 1 -instance = comp, \inst|15~5 , inst|15~5, four_line_to_sixteen_line_decimal_decoder, 1 -instance = comp, \inst|15~6 , inst|15~6, four_line_to_sixteen_line_decimal_decoder, 1 -instance = comp, \inst|15~7 , inst|15~7, four_line_to_sixteen_line_decimal_decoder, 1 -instance = comp, \inst|15~8 , inst|15~8, four_line_to_sixteen_line_decimal_decoder, 1 -instance = comp, \inst|15~9 , inst|15~9, four_line_to_sixteen_line_decimal_decoder, 1 -instance = comp, \inst|15~10 , inst|15~10, four_line_to_sixteen_line_decimal_decoder, 1 -instance = comp, \inst|15~11 , inst|15~11, four_line_to_sixteen_line_decimal_decoder, 1 -instance = comp, \inst|15~12 , inst|15~12, four_line_to_sixteen_line_decimal_decoder, 1 -instance = comp, \inst|15~13 , inst|15~13, four_line_to_sixteen_line_decimal_decoder, 1 -instance = comp, \inst|15~14 , inst|15~14, four_line_to_sixteen_line_decimal_decoder, 1 -instance = comp, \inst|15~15 , inst|15~15, four_line_to_sixteen_line_decimal_decoder, 1 diff --git a/CH6/CH6-1/simulation/qsim/ten_line_to_four_line_BCD_priority_encoder.do b/CH6/CH6-1/simulation/qsim/ten_line_to_four_line_BCD_priority_encoder.do deleted file mode 100644 index 78151498..00000000 --- a/CH6/CH6-1/simulation/qsim/ten_line_to_four_line_BCD_priority_encoder.do +++ /dev/null @@ -1,10 +0,0 @@ -onerror {exit -code 1} -vlib work -vlog -work work ten_line_to_four_line_BCD_priority_encoder.vo -vlog -work work ten_line_to_four_line_BCD_priority_encoder.vwf.vt -vsim -novopt -c -t 1ps -L cycloneiii_ver -L altera_ver -L altera_mf_ver -L 220model_ver -L sgate work.ten_line_to_four_line_BCD_priority_encoder_vlg_vec_tst -voptargs="+acc" -vcd file -direction ten_line_to_four_line_BCD_priority_encoder.msim.vcd -vcd add -internal ten_line_to_four_line_BCD_priority_encoder_vlg_vec_tst/* -vcd add -internal ten_line_to_four_line_BCD_priority_encoder_vlg_vec_tst/i1/* -run -all -quit -f diff --git a/CH6/CH6-1/simulation/qsim/ten_line_to_four_line_BCD_priority_encoder.msim.vcd b/CH6/CH6-1/simulation/qsim/ten_line_to_four_line_BCD_priority_encoder.msim.vcd deleted file mode 100644 index 50ee1b35..00000000 --- a/CH6/CH6-1/simulation/qsim/ten_line_to_four_line_BCD_priority_encoder.msim.vcd +++ /dev/null @@ -1,196 +0,0 @@ -$comment - File created using the following command: - vcd file ten_line_to_four_line_BCD_priority_encoder.msim.vcd -direction -$end -$date - Thu Oct 17 21:16:28 2019 -$end -$version - ModelSim Version 10.1d -$end -$timescale - 1ps -$end -$scope module ten_line_to_four_line_BCD_priority_encoder_vlg_vec_tst $end -$var reg 1 ! I2 $end -$var reg 1 " I3 $end -$var reg 1 # I4 $end -$var reg 1 $ I5 $end -$var reg 1 % I6 $end -$var reg 1 & I7 $end -$var reg 1 ' I8 $end -$var reg 1 ( I9 $end -$var wire 1 ) A $end -$var wire 1 * B $end -$var wire 1 + C $end -$var wire 1 , D $end -$var wire 1 - sampler $end -$scope module i1 $end -$var wire 1 . gnd $end -$var wire 1 / vcc $end -$var wire 1 0 unknown $end -$var tri1 1 1 devclrn $end -$var tri1 1 2 devpor $end -$var tri1 1 3 devoe $end -$var wire 1 4 A~output_o $end -$var wire 1 5 B~output_o $end -$var wire 1 6 C~output_o $end -$var wire 1 7 D~output_o $end -$var wire 1 8 I7~input_o $end -$var wire 1 9 I8~input_o $end -$var wire 1 : I9~input_o $end -$var wire 1 ; I3~input_o $end -$var wire 1 < I6~input_o $end -$var wire 1 = I5~input_o $end -$var wire 1 > I4~input_o $end -$var wire 1 ? inst|7~2_combout $end -$var wire 1 @ inst|7~3_combout $end -$var wire 1 A I2~input_o $end -$var wire 1 B inst|8~4_combout $end -$var wire 1 C inst|67~combout $end -$var wire 1 D inst|8~5_combout $end -$var wire 1 E inst|9~0_combout $end -$var wire 1 F inst|9~1_combout $end -$upscope $end -$upscope $end -$enddefinitions $end -#0 -$dumpvars -0! -1" -1# -1$ -1% -1& -1' -1( -0) -1* -0+ -0, -x- -0. -1/ -x0 -11 -12 -13 -04 -15 -06 -07 -18 -19 -1: -1; -1< -1= -1> -0? -0@ -0A -1B -0C -1D -1E -0F -$end -#1000000 -0" -0; -0- -1? -1@ -14 -1) -#2000000 -0# -0> -1- -0B -0? -1F -16 -1+ -0D -0@ -05 -04 -0* -0) -#3000000 -0$ -0= -0- -1? -1@ -14 -1) -#4000000 -0% -0< -1- -0E -0? -1D -15 -1* -0@ -04 -0) -#5000000 -0& -08 -0- -1@ -14 -1) -#6000000 -0' -09 -1- -1C -0@ -17 -04 -1, -0) -0F -0D -06 -05 -0+ -0* -#7000000 -1! -0( -1" -1# -1$ -1% -1& -1' -1A -0: -1; -1> -1= -1< -18 -19 -0- -1E -1@ -14 -1) -#8000000 -1( -1: -1- -0C -0@ -07 -04 -0, -0) -#9000000 diff --git a/CH6/CH6-1/simulation/qsim/ten_line_to_four_line_BCD_priority_encoder.sft b/CH6/CH6-1/simulation/qsim/ten_line_to_four_line_BCD_priority_encoder.sft deleted file mode 100644 index 06a2ca45..00000000 --- a/CH6/CH6-1/simulation/qsim/ten_line_to_four_line_BCD_priority_encoder.sft +++ /dev/null @@ -1 +0,0 @@ -set tool_name "ModelSim-Altera (Verilog)" diff --git a/CH6/CH6-1/simulation/qsim/ten_line_to_four_line_BCD_priority_encoder.sim.vwf b/CH6/CH6-1/simulation/qsim/ten_line_to_four_line_BCD_priority_encoder.sim.vwf deleted file mode 100644 index 5c2a2fe9..00000000 --- a/CH6/CH6-1/simulation/qsim/ten_line_to_four_line_BCD_priority_encoder.sim.vwf +++ /dev/null @@ -1,454 +0,0 @@ -/* -WARNING: Do NOT edit the input and output ports in this file in a text -editor if you plan to continue editing the block that represents it in -the Block Editor! File corruption is VERY likely to occur. -*/ - -/* -Copyright (C) 1991-2013 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. -*/ - -HEADER -{ - VERSION = 1; - TIME_UNIT = ns; - DATA_OFFSET = 0.0; - DATA_DURATION = 9000.0; - SIMULATION_TIME = 0.0; - GRID_PHASE = 0.0; - GRID_PERIOD = 1000.0; - GRID_DUTY_CYCLE = 50; -} - -SIGNAL("A") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -SIGNAL("B") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -SIGNAL("C") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -SIGNAL("D") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -SIGNAL("I2") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("I3") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("I4") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("I5") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("I6") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("I7") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("I8") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("I9") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -TRANSITION_LIST("A") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 0 FOR 1000.0; - LEVEL 1 FOR 1000.0; - LEVEL 0 FOR 1000.0; - LEVEL 1 FOR 1000.0; - LEVEL 0 FOR 1000.0; - LEVEL 1 FOR 1000.0; - LEVEL 0 FOR 1000.0; - LEVEL 1 FOR 1000.0; - LEVEL 0 FOR 1000.0; - } - } -} - -TRANSITION_LIST("B") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 1 FOR 2000.0; - LEVEL 0 FOR 2000.0; - LEVEL 1 FOR 2000.0; - LEVEL 0 FOR 3000.0; - } - } -} - -TRANSITION_LIST("C") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 0 FOR 2000.0; - LEVEL 1 FOR 4000.0; - LEVEL 0 FOR 3000.0; - } - } -} - -TRANSITION_LIST("D") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 0 FOR 6000.0; - LEVEL 1 FOR 2000.0; - LEVEL 0 FOR 1000.0; - } - } -} - -TRANSITION_LIST("I2") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 0 FOR 7000.0; - LEVEL 1 FOR 2000.0; - } - } -} - -TRANSITION_LIST("I3") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 1 FOR 1000.0; - LEVEL 0 FOR 6000.0; - LEVEL 1 FOR 2000.0; - } - } -} - -TRANSITION_LIST("I4") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 1 FOR 2000.0; - LEVEL 0 FOR 5000.0; - LEVEL 1 FOR 2000.0; - } - } -} - -TRANSITION_LIST("I5") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 1 FOR 3000.0; - LEVEL 0 FOR 4000.0; - LEVEL 1 FOR 2000.0; - } - } -} - -TRANSITION_LIST("I6") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 1 FOR 4000.0; - LEVEL 0 FOR 3000.0; - LEVEL 1 FOR 2000.0; - } - } -} - -TRANSITION_LIST("I7") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 1 FOR 5000.0; - LEVEL 0 FOR 2000.0; - LEVEL 1 FOR 2000.0; - } - } -} - -TRANSITION_LIST("I8") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 1 FOR 6000.0; - LEVEL 0 FOR 1000.0; - LEVEL 1 FOR 2000.0; - } - } -} - -TRANSITION_LIST("I9") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 1 FOR 7000.0; - LEVEL 0 FOR 1000.0; - LEVEL 1 FOR 1000.0; - } - } -} - -DISPLAY_LINE -{ - CHANNEL = "A"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 0; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "B"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 1; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "C"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 2; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "D"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 3; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "I2"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 4; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "I3"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 5; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "I4"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 6; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "I5"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 7; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "I6"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 8; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "I7"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 9; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "I8"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 10; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "I9"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 11; - TREE_LEVEL = 0; -} - -TIME_BAR -{ - TIME = 0; - MASTER = TRUE; -} -; diff --git a/CH6/CH6-1/simulation/qsim/ten_line_to_four_line_BCD_priority_encoder.vo b/CH6/CH6-1/simulation/qsim/ten_line_to_four_line_BCD_priority_encoder.vo deleted file mode 100644 index 7cdf41ee..00000000 --- a/CH6/CH6-1/simulation/qsim/ten_line_to_four_line_BCD_priority_encoder.vo +++ /dev/null @@ -1,363 +0,0 @@ -// Copyright (C) 1991-2013 Altera Corporation -// Your use of Altera Corporation's design tools, logic functions -// and other software and tools, and its AMPP partner logic -// functions, and any output files from any of the foregoing -// (including device programming or simulation files), and any -// associated documentation or information are expressly subject -// to the terms and conditions of the Altera Program License -// Subscription Agreement, Altera MegaCore Function License -// Agreement, or other applicable license agreement, including, -// without limitation, that your use is for the sole purpose of -// programming logic devices manufactured by Altera and sold by -// Altera or its authorized distributors. Please refer to the -// applicable agreement for further details. - -// VENDOR "Altera" -// PROGRAM "Quartus II 32-bit" -// VERSION "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition" - -// DATE "10/17/2019 21:26:34" - -// -// Device: Altera EP3C16F484C6 Package FBGA484 -// - -// -// This Verilog file should be used for ModelSim-Altera (Verilog) only -// - -`timescale 1 ps/ 1 ps - -module ten_line_to_four_line_BCD_priority_encoder ( - A, - I2, - I3, - I6, - I5, - I4, - I9, - I8, - I7, - B, - C, - D); -output A; -input I2; -input I3; -input I6; -input I5; -input I4; -input I9; -input I8; -input I7; -output B; -output C; -output D; - -// Design Ports Information -// A => Location: PIN_C1, I/O Standard: 2.5 V, Current Strength: Default -// B => Location: PIN_C2, I/O Standard: 2.5 V, Current Strength: Default -// C => Location: PIN_B2, I/O Standard: 2.5 V, Current Strength: Default -// D => Location: PIN_B1, I/O Standard: 2.5 V, Current Strength: Default -// I6 => Location: PIN_J7, I/O Standard: 2.5 V, Current Strength: Default -// I4 => Location: PIN_E3, I/O Standard: 2.5 V, Current Strength: Default -// I3 => Location: PIN_E4, I/O Standard: 2.5 V, Current Strength: Default -// I5 => Location: PIN_H7, I/O Standard: 2.5 V, Current Strength: Default -// I7 => Location: PIN_G5, I/O Standard: 2.5 V, Current Strength: Default -// I9 => Location: PIN_H6, I/O Standard: 2.5 V, Current Strength: Default -// I8 => Location: PIN_G4, I/O Standard: 2.5 V, Current Strength: Default -// I2 => Location: PIN_D2, I/O Standard: 2.5 V, Current Strength: Default - - -wire gnd; -wire vcc; -wire unknown; - -assign gnd = 1'b0; -assign vcc = 1'b1; -assign unknown = 1'bx; - -tri1 devclrn; -tri1 devpor; -tri1 devoe; -wire \A~output_o ; -wire \B~output_o ; -wire \C~output_o ; -wire \D~output_o ; -wire \I9~input_o ; -wire \I7~input_o ; -wire \I8~input_o ; -wire \I3~input_o ; -wire \I6~input_o ; -wire \I5~input_o ; -wire \I4~input_o ; -wire \inst|7~2_combout ; -wire \inst|7~3_combout ; -wire \I2~input_o ; -wire \inst|8~4_combout ; -wire \inst|67~combout ; -wire \inst|8~5_combout ; -wire \inst|9~0_combout ; -wire \inst|9~1_combout ; - - -// Location: IOOBUF_X0_Y26_N23 -cycloneiii_io_obuf \A~output ( - .i(\inst|7~3_combout ), - .oe(vcc), - .seriesterminationcontrol(16'b0000000000000000), - .devoe(devoe), - .o(\A~output_o ), - .obar()); -// synopsys translate_off -defparam \A~output .bus_hold = "false"; -defparam \A~output .open_drain_output = "false"; -// synopsys translate_on - -// Location: IOOBUF_X0_Y26_N16 -cycloneiii_io_obuf \B~output ( - .i(\inst|8~5_combout ), - .oe(vcc), - .seriesterminationcontrol(16'b0000000000000000), - .devoe(devoe), - .o(\B~output_o ), - .obar()); -// synopsys translate_off -defparam \B~output .bus_hold = "false"; -defparam \B~output .open_drain_output = "false"; -// synopsys translate_on - -// Location: IOOBUF_X0_Y27_N9 -cycloneiii_io_obuf \C~output ( - .i(\inst|9~1_combout ), - .oe(vcc), - .seriesterminationcontrol(16'b0000000000000000), - .devoe(devoe), - .o(\C~output_o ), - .obar()); -// synopsys translate_off -defparam \C~output .bus_hold = "false"; -defparam \C~output .open_drain_output = "false"; -// synopsys translate_on - -// Location: IOOBUF_X0_Y27_N16 -cycloneiii_io_obuf \D~output ( - .i(\inst|67~combout ), - .oe(vcc), - .seriesterminationcontrol(16'b0000000000000000), - .devoe(devoe), - .o(\D~output_o ), - .obar()); -// synopsys translate_off -defparam \D~output .bus_hold = "false"; -defparam \D~output .open_drain_output = "false"; -// synopsys translate_on - -// Location: IOIBUF_X0_Y25_N22 -cycloneiii_io_ibuf \I9~input ( - .i(I9), - .ibar(gnd), - .o(\I9~input_o )); -// synopsys translate_off -defparam \I9~input .bus_hold = "false"; -defparam \I9~input .simulate_z_as = "z"; -// synopsys translate_on - -// Location: IOIBUF_X0_Y27_N22 -cycloneiii_io_ibuf \I7~input ( - .i(I7), - .ibar(gnd), - .o(\I7~input_o )); -// synopsys translate_off -defparam \I7~input .bus_hold = "false"; -defparam \I7~input .simulate_z_as = "z"; -// synopsys translate_on - -// Location: IOIBUF_X0_Y23_N8 -cycloneiii_io_ibuf \I8~input ( - .i(I8), - .ibar(gnd), - .o(\I8~input_o )); -// synopsys translate_off -defparam \I8~input .bus_hold = "false"; -defparam \I8~input .simulate_z_as = "z"; -// synopsys translate_on - -// Location: IOIBUF_X0_Y26_N1 -cycloneiii_io_ibuf \I3~input ( - .i(I3), - .ibar(gnd), - .o(\I3~input_o )); -// synopsys translate_off -defparam \I3~input .bus_hold = "false"; -defparam \I3~input .simulate_z_as = "z"; -// synopsys translate_on - -// Location: IOIBUF_X0_Y22_N15 -cycloneiii_io_ibuf \I6~input ( - .i(I6), - .ibar(gnd), - .o(\I6~input_o )); -// synopsys translate_off -defparam \I6~input .bus_hold = "false"; -defparam \I6~input .simulate_z_as = "z"; -// synopsys translate_on - -// Location: IOIBUF_X0_Y25_N15 -cycloneiii_io_ibuf \I5~input ( - .i(I5), - .ibar(gnd), - .o(\I5~input_o )); -// synopsys translate_off -defparam \I5~input .bus_hold = "false"; -defparam \I5~input .simulate_z_as = "z"; -// synopsys translate_on - -// Location: IOIBUF_X0_Y26_N8 -cycloneiii_io_ibuf \I4~input ( - .i(I4), - .ibar(gnd), - .o(\I4~input_o )); -// synopsys translate_off -defparam \I4~input .bus_hold = "false"; -defparam \I4~input .simulate_z_as = "z"; -// synopsys translate_on - -// Location: LCCOMB_X1_Y26_N24 -cycloneiii_lcell_comb \inst|7~2 ( -// Equation(s): -// \inst|7~2_combout = (\I6~input_o & (((!\I3~input_o & \I4~input_o )) # (!\I5~input_o ))) - - .dataa(\I3~input_o ), - .datab(\I6~input_o ), - .datac(\I5~input_o ), - .datad(\I4~input_o ), - .cin(gnd), - .combout(\inst|7~2_combout ), - .cout()); -// synopsys translate_off -defparam \inst|7~2 .lut_mask = 16'h4C0C; -defparam \inst|7~2 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X1_Y26_N18 -cycloneiii_lcell_comb \inst|7~3 ( -// Equation(s): -// \inst|7~3_combout = ((\I8~input_o & ((\inst|7~2_combout ) # (!\I7~input_o )))) # (!\I9~input_o ) - - .dataa(\I9~input_o ), - .datab(\I7~input_o ), - .datac(\I8~input_o ), - .datad(\inst|7~2_combout ), - .cin(gnd), - .combout(\inst|7~3_combout ), - .cout()); -// synopsys translate_off -defparam \inst|7~3 .lut_mask = 16'hF575; -defparam \inst|7~3 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: IOIBUF_X0_Y25_N1 -cycloneiii_io_ibuf \I2~input ( - .i(I2), - .ibar(gnd), - .o(\I2~input_o )); -// synopsys translate_off -defparam \I2~input .bus_hold = "false"; -defparam \I2~input .simulate_z_as = "z"; -// synopsys translate_on - -// Location: LCCOMB_X1_Y26_N12 -cycloneiii_lcell_comb \inst|8~4 ( -// Equation(s): -// \inst|8~4_combout = (\I5~input_o & (\I4~input_o & ((!\I2~input_o ) # (!\I3~input_o )))) - - .dataa(\I3~input_o ), - .datab(\I2~input_o ), - .datac(\I5~input_o ), - .datad(\I4~input_o ), - .cin(gnd), - .combout(\inst|8~4_combout ), - .cout()); -// synopsys translate_off -defparam \inst|8~4 .lut_mask = 16'h7000; -defparam \inst|8~4 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X1_Y26_N2 -cycloneiii_lcell_comb \inst|67 ( -// Equation(s): -// \inst|67~combout = (!\I9~input_o ) # (!\I8~input_o ) - - .dataa(gnd), - .datab(gnd), - .datac(\I8~input_o ), - .datad(\I9~input_o ), - .cin(gnd), - .combout(\inst|67~combout ), - .cout()); -// synopsys translate_off -defparam \inst|67 .lut_mask = 16'h0FFF; -defparam \inst|67 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X1_Y26_N20 -cycloneiii_lcell_comb \inst|8~5 ( -// Equation(s): -// \inst|8~5_combout = (!\inst|67~combout & ((\inst|8~4_combout ) # ((!\I7~input_o ) # (!\I6~input_o )))) - - .dataa(\inst|8~4_combout ), - .datab(\I6~input_o ), - .datac(\I7~input_o ), - .datad(\inst|67~combout ), - .cin(gnd), - .combout(\inst|8~5_combout ), - .cout()); -// synopsys translate_off -defparam \inst|8~5 .lut_mask = 16'h00BF; -defparam \inst|8~5 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X1_Y26_N6 -cycloneiii_lcell_comb \inst|9~0 ( -// Equation(s): -// \inst|9~0_combout = (\I6~input_o & \I7~input_o ) - - .dataa(gnd), - .datab(\I6~input_o ), - .datac(\I7~input_o ), - .datad(gnd), - .cin(gnd), - .combout(\inst|9~0_combout ), - .cout()); -// synopsys translate_off -defparam \inst|9~0 .lut_mask = 16'hC0C0; -defparam \inst|9~0 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X1_Y26_N0 -cycloneiii_lcell_comb \inst|9~1 ( -// Equation(s): -// \inst|9~1_combout = (!\inst|67~combout & (((!\inst|9~0_combout ) # (!\I5~input_o )) # (!\I4~input_o ))) - - .dataa(\I4~input_o ), - .datab(\inst|67~combout ), - .datac(\I5~input_o ), - .datad(\inst|9~0_combout ), - .cin(gnd), - .combout(\inst|9~1_combout ), - .cout()); -// synopsys translate_off -defparam \inst|9~1 .lut_mask = 16'h1333; -defparam \inst|9~1 .sum_lutc_input = "datac"; -// synopsys translate_on - -assign A = \A~output_o ; - -assign B = \B~output_o ; - -assign C = \C~output_o ; - -assign D = \D~output_o ; - -endmodule diff --git a/CH6/CH6-1/simulation/qsim/ten_line_to_four_line_BCD_priority_encoder.vwf.vt b/CH6/CH6-1/simulation/qsim/ten_line_to_four_line_BCD_priority_encoder.vwf.vt deleted file mode 100644 index eea80dd6..00000000 --- a/CH6/CH6-1/simulation/qsim/ten_line_to_four_line_BCD_priority_encoder.vwf.vt +++ /dev/null @@ -1,370 +0,0 @@ -// Copyright (C) 1991-2013 Altera Corporation -// Your use of Altera Corporation's design tools, logic functions -// and other software and tools, and its AMPP partner logic -// functions, and any output files from any of the foregoing -// (including device programming or simulation files), and any -// associated documentation or information are expressly subject -// to the terms and conditions of the Altera Program License -// Subscription Agreement, Altera MegaCore Function License -// Agreement, or other applicable license agreement, including, -// without limitation, that your use is for the sole purpose of -// programming logic devices manufactured by Altera and sold by -// Altera or its authorized distributors. Please refer to the -// applicable agreement for further details. - -// ***************************************************************************** -// This file contains a Verilog test bench with test vectors .The test vectors -// are exported from a vector file in the Quartus Waveform Editor and apply to -// the top level entity of the current Quartus project .The user can use this -// testbench to simulate his design using a third-party simulation tool . -// ***************************************************************************** -// Generated on "10/17/2019 21:16:23" - -// Verilog Self-Checking Test Bench (with test vectors) for design : ten_line_to_four_line_BCD_priority_encoder -// -// Simulation tool : 3rd Party -// - -`timescale 1 ps/ 1 ps -module ten_line_to_four_line_BCD_priority_encoder_vlg_sample_tst( - I2, - I3, - I4, - I5, - I6, - I7, - I8, - I9, - sampler_tx -); -input I2; -input I3; -input I4; -input I5; -input I6; -input I7; -input I8; -input I9; -output sampler_tx; - -reg sample; -time current_time; -always @(I2 or I3 or I4 or I5 or I6 or I7 or I8 or I9) - -begin - if ($realtime > 0) - begin - if ($realtime == 0 || $realtime != current_time) - begin - if (sample === 1'bx) - sample = 0; - else - sample = ~sample; - end - current_time = $realtime; - end -end - -assign sampler_tx = sample; -endmodule - -module ten_line_to_four_line_BCD_priority_encoder_vlg_check_tst ( - A, - B, - C, - D, - sampler_rx -); -input A; -input B; -input C; -input D; -input sampler_rx; - -reg A_expected; -reg B_expected; -reg C_expected; -reg D_expected; - -reg A_prev; -reg B_prev; -reg C_prev; -reg D_prev; - -reg A_expected_prev; -reg B_expected_prev; -reg C_expected_prev; -reg D_expected_prev; - -reg last_A_exp; -reg last_B_exp; -reg last_C_exp; -reg last_D_exp; - -reg trigger; - -integer i; -integer nummismatches; - -reg [1:4] on_first_change ; - - -initial -begin -trigger = 0; -i = 0; -nummismatches = 0; -on_first_change = 4'b1; -end - -// update real /o prevs - -always @(trigger) -begin - A_prev = A; - B_prev = B; - C_prev = C; - D_prev = D; -end - -// update expected /o prevs - -always @(trigger) -begin - A_expected_prev = A_expected; - B_expected_prev = B_expected; - C_expected_prev = C_expected; - D_expected_prev = D_expected; -end - - - -// expected A -initial -begin - A_expected = 1'bX; - A_expected = #999000 1'b0; -end - -// expected B -initial -begin - B_expected = 1'bX; - B_expected = #999000 1'b0; -end - -// expected C -initial -begin - C_expected = 1'bX; - C_expected = #999000 1'b0; -end - -// expected D -initial -begin - D_expected = 1'bX; - D_expected = #999000 1'b0; -end -// generate trigger -always @(A_expected or A or B_expected or B or C_expected or C or D_expected or D) -begin - trigger <= ~trigger; -end - -always @(posedge sampler_rx or negedge sampler_rx) -begin -`ifdef debug_tbench - $display("Scanning pattern %d @time = %t",i,$realtime ); - i = i + 1; - $display("| expected A = %b | expected B = %b | expected C = %b | expected D = %b | ",A_expected_prev,B_expected_prev,C_expected_prev,D_expected_prev); - $display("| real A = %b | real B = %b | real C = %b | real D = %b | ",A_prev,B_prev,C_prev,D_prev); -`endif - if ( - ( A_expected_prev !== 1'bx ) && ( A_prev !== A_expected_prev ) - && ((A_expected_prev !== last_A_exp) || - on_first_change[1]) - ) - begin - $display ("ERROR! Vector Mismatch for output port A :: @time = %t", $realtime); - $display (" Expected value = %b", A_expected_prev); - $display (" Real value = %b", A_prev); - nummismatches = nummismatches + 1; - on_first_change[1] = 1'b0; - last_A_exp = A_expected_prev; - end - if ( - ( B_expected_prev !== 1'bx ) && ( B_prev !== B_expected_prev ) - && ((B_expected_prev !== last_B_exp) || - on_first_change[2]) - ) - begin - $display ("ERROR! Vector Mismatch for output port B :: @time = %t", $realtime); - $display (" Expected value = %b", B_expected_prev); - $display (" Real value = %b", B_prev); - nummismatches = nummismatches + 1; - on_first_change[2] = 1'b0; - last_B_exp = B_expected_prev; - end - if ( - ( C_expected_prev !== 1'bx ) && ( C_prev !== C_expected_prev ) - && ((C_expected_prev !== last_C_exp) || - on_first_change[3]) - ) - begin - $display ("ERROR! Vector Mismatch for output port C :: @time = %t", $realtime); - $display (" Expected value = %b", C_expected_prev); - $display (" Real value = %b", C_prev); - nummismatches = nummismatches + 1; - on_first_change[3] = 1'b0; - last_C_exp = C_expected_prev; - end - if ( - ( D_expected_prev !== 1'bx ) && ( D_prev !== D_expected_prev ) - && ((D_expected_prev !== last_D_exp) || - on_first_change[4]) - ) - begin - $display ("ERROR! Vector Mismatch for output port D :: @time = %t", $realtime); - $display (" Expected value = %b", D_expected_prev); - $display (" Real value = %b", D_prev); - nummismatches = nummismatches + 1; - on_first_change[4] = 1'b0; - last_D_exp = D_expected_prev; - end - - trigger <= ~trigger; -end -initial - -begin -$timeformat(-12,3," ps",6); -#9000000; -if (nummismatches > 0) - $display ("%d mismatched vectors : Simulation failed !",nummismatches); -else - $display ("Simulation passed !"); -$finish; -end -endmodule - -module ten_line_to_four_line_BCD_priority_encoder_vlg_vec_tst(); -// constants -// general purpose registers -reg I2; -reg I3; -reg I4; -reg I5; -reg I6; -reg I7; -reg I8; -reg I9; -// wires -wire A; -wire B; -wire C; -wire D; - -wire sampler; - -// assign statements (if any) -ten_line_to_four_line_BCD_priority_encoder i1 ( -// port map - connection between master ports and signals/registers - .A(A), - .B(B), - .C(C), - .D(D), - .I2(I2), - .I3(I3), - .I4(I4), - .I5(I5), - .I6(I6), - .I7(I7), - .I8(I8), - .I9(I9) -); - -// I2 -initial -begin - I2 = 1'b0; - I2 = #7000000 1'b1; -end - -// I3 -initial -begin - I3 = 1'b1; - I3 = #1000000 1'b0; - I3 = #6000000 1'b1; -end - -// I4 -initial -begin - I4 = 1'b1; - I4 = #2000000 1'b0; - I4 = #5000000 1'b1; -end - -// I5 -initial -begin - I5 = 1'b1; - I5 = #3000000 1'b0; - I5 = #4000000 1'b1; -end - -// I6 -initial -begin - I6 = 1'b1; - I6 = #4000000 1'b0; - I6 = #3000000 1'b1; -end - -// I7 -initial -begin - I7 = 1'b1; - I7 = #5000000 1'b0; - I7 = #2000000 1'b1; -end - -// I8 -initial -begin - I8 = 1'b1; - I8 = #6000000 1'b0; - I8 = #1000000 1'b1; -end - -// I9 -initial -begin - I9 = 1'b1; - I9 = #7000000 1'b0; - I9 = #1000000 1'b1; -end - -ten_line_to_four_line_BCD_priority_encoder_vlg_sample_tst tb_sample ( - .I2(I2), - .I3(I3), - .I4(I4), - .I5(I5), - .I6(I6), - .I7(I7), - .I8(I8), - .I9(I9), - .sampler_tx(sampler) -); - -ten_line_to_four_line_BCD_priority_encoder_vlg_check_tst tb_out( - .A(A), - .B(B), - .C(C), - .D(D), - .sampler_rx(sampler) -); -endmodule - diff --git a/CH6/CH6-1/simulation/qsim/ten_line_to_four_line_BCD_priority_encoder_modelsim.xrf b/CH6/CH6-1/simulation/qsim/ten_line_to_four_line_BCD_priority_encoder_modelsim.xrf deleted file mode 100644 index 3ede13bf..00000000 --- a/CH6/CH6-1/simulation/qsim/ten_line_to_four_line_BCD_priority_encoder_modelsim.xrf +++ /dev/null @@ -1,25 +0,0 @@ -vendor_name = ModelSim -source_file = 1, /home/timmy/Git/Learn-VHDL/CH6/CH6-1/ten_line_to_four_line_BCD_priority_encoder.bdf -source_file = 1, /home/timmy/Git/Learn-VHDL/CH6/CH6-1/ten_line_to_four_line_BCD_priority_encoder.vwf -source_file = 1, /home/timmy/Git/Learn-VHDL/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.cbx.xml -source_file = 1, /home/timmy/altera/13.1/quartus/libraries/others/maxplus2/74147.bdf -design_name = ten_line_to_four_line_BCD_priority_encoder -instance = comp, \A~output , A~output, ten_line_to_four_line_BCD_priority_encoder, 1 -instance = comp, \B~output , B~output, ten_line_to_four_line_BCD_priority_encoder, 1 -instance = comp, \C~output , C~output, ten_line_to_four_line_BCD_priority_encoder, 1 -instance = comp, \D~output , D~output, ten_line_to_four_line_BCD_priority_encoder, 1 -instance = comp, \I9~input , I9~input, ten_line_to_four_line_BCD_priority_encoder, 1 -instance = comp, \I7~input , I7~input, ten_line_to_four_line_BCD_priority_encoder, 1 -instance = comp, \I8~input , I8~input, ten_line_to_four_line_BCD_priority_encoder, 1 -instance = comp, \I3~input , I3~input, ten_line_to_four_line_BCD_priority_encoder, 1 -instance = comp, \I6~input , I6~input, ten_line_to_four_line_BCD_priority_encoder, 1 -instance = comp, \I5~input , I5~input, ten_line_to_four_line_BCD_priority_encoder, 1 -instance = comp, \I4~input , I4~input, ten_line_to_four_line_BCD_priority_encoder, 1 -instance = comp, \inst|7~2 , inst|7~2, ten_line_to_four_line_BCD_priority_encoder, 1 -instance = comp, \inst|7~3 , inst|7~3, ten_line_to_four_line_BCD_priority_encoder, 1 -instance = comp, \I2~input , I2~input, ten_line_to_four_line_BCD_priority_encoder, 1 -instance = comp, \inst|8~4 , inst|8~4, ten_line_to_four_line_BCD_priority_encoder, 1 -instance = comp, \inst|67 , inst|67, ten_line_to_four_line_BCD_priority_encoder, 1 -instance = comp, \inst|8~5 , inst|8~5, ten_line_to_four_line_BCD_priority_encoder, 1 -instance = comp, \inst|9~0 , inst|9~0, ten_line_to_four_line_BCD_priority_encoder, 1 -instance = comp, \inst|9~1 , inst|9~1, ten_line_to_four_line_BCD_priority_encoder, 1 diff --git a/CH6/CH6-1/simulation/qsim/three_line_to_eight_decimal_decoder.do b/CH6/CH6-1/simulation/qsim/three_line_to_eight_decimal_decoder.do deleted file mode 100644 index 02ca1432..00000000 --- a/CH6/CH6-1/simulation/qsim/three_line_to_eight_decimal_decoder.do +++ /dev/null @@ -1,10 +0,0 @@ -onerror {exit -code 1} -vlib work -vlog -work work three_line_to_eight_decimal_decoder.vo -vlog -work work three_line_to_eight_decimal_decoder.vwf.vt -vsim -novopt -c -t 1ps -L cycloneiii_ver -L altera_ver -L altera_mf_ver -L 220model_ver -L sgate work.three_line_to_eight_decimal_decoder_vlg_vec_tst -voptargs="+acc" -vcd file -direction three_line_to_eight_decimal_decoder.msim.vcd -vcd add -internal three_line_to_eight_decimal_decoder_vlg_vec_tst/* -vcd add -internal three_line_to_eight_decimal_decoder_vlg_vec_tst/i1/* -run -all -quit -f diff --git a/CH6/CH6-1/simulation/qsim/three_line_to_eight_decimal_decoder.msim.vcd b/CH6/CH6-1/simulation/qsim/three_line_to_eight_decimal_decoder.msim.vcd deleted file mode 100644 index d26b8f7a..00000000 --- a/CH6/CH6-1/simulation/qsim/three_line_to_eight_decimal_decoder.msim.vcd +++ /dev/null @@ -1,174 +0,0 @@ -$comment - File created using the following command: - vcd file three_line_to_eight_decimal_decoder.msim.vcd -direction -$end -$date - Thu Oct 24 21:53:31 2019 -$end -$version - ModelSim Version 10.1d -$end -$timescale - 1ps -$end -$scope module three_line_to_eight_decimal_decoder_vlg_vec_tst $end -$var reg 1 ! A $end -$var reg 1 " B $end -$var reg 1 # C $end -$var wire 1 $ Y0 $end -$var wire 1 % Y1 $end -$var wire 1 & Y2 $end -$var wire 1 ' Y3 $end -$var wire 1 ( Y4 $end -$var wire 1 ) Y5 $end -$var wire 1 * Y6 $end -$var wire 1 + Y7 $end -$var wire 1 , sampler $end -$scope module i1 $end -$var wire 1 - gnd $end -$var wire 1 . vcc $end -$var wire 1 / unknown $end -$var tri1 1 0 devclrn $end -$var tri1 1 1 devpor $end -$var tri1 1 2 devoe $end -$var wire 1 3 Y0~output_o $end -$var wire 1 4 Y1~output_o $end -$var wire 1 5 Y2~output_o $end -$var wire 1 6 Y3~output_o $end -$var wire 1 7 Y4~output_o $end -$var wire 1 8 Y5~output_o $end -$var wire 1 9 Y6~output_o $end -$var wire 1 : Y7~output_o $end -$var wire 1 ; C~input_o $end -$var wire 1 < A~input_o $end -$var wire 1 = B~input_o $end -$var wire 1 > inst|33~0_combout $end -$var wire 1 ? inst|33~1_combout $end -$var wire 1 @ inst|33~2_combout $end -$var wire 1 A inst|33~3_combout $end -$var wire 1 B inst|33~4_combout $end -$var wire 1 C inst|33~5_combout $end -$var wire 1 D inst|33~6_combout $end -$var wire 1 E inst|33~7_combout $end -$upscope $end -$upscope $end -$enddefinitions $end -#0 -$dumpvars -0! -0" -0# -0$ -1% -1& -1' -1( -1) -1* -1+ -x, -0- -1. -x/ -10 -11 -12 -03 -14 -15 -16 -17 -18 -19 -1: -0; -0< -0= -1> -0? -0@ -0A -0B -0C -0D -0E -$end -#1000000 -1! -1< -0, -1? -0> -04 -13 -0% -1$ -#2000000 -1" -0! -1= -0< -1, -1@ -0? -05 -14 -0& -1% -#3000000 -1! -1< -0, -1A -0@ -06 -15 -0' -1& -#4000000 -1# -0" -0! -1; -0= -0< -1, -1B -0A -07 -16 -0( -1' -#5000000 -1! -1< -0, -1C -0B -08 -17 -0) -1( -#6000000 -1" -0! -1= -0< -1, -1D -0C -09 -18 -0* -1) -#7000000 -1! -1< -0, -1E -0D -0: -19 -0+ -1* -#8000000 diff --git a/CH6/CH6-1/simulation/qsim/three_line_to_eight_decimal_decoder.sft b/CH6/CH6-1/simulation/qsim/three_line_to_eight_decimal_decoder.sft deleted file mode 100644 index 06a2ca45..00000000 --- a/CH6/CH6-1/simulation/qsim/three_line_to_eight_decimal_decoder.sft +++ /dev/null @@ -1 +0,0 @@ -set tool_name "ModelSim-Altera (Verilog)" diff --git a/CH6/CH6-1/simulation/qsim/three_line_to_eight_decimal_decoder.sim.vwf b/CH6/CH6-1/simulation/qsim/three_line_to_eight_decimal_decoder.sim.vwf deleted file mode 100644 index 40185dd4..00000000 --- a/CH6/CH6-1/simulation/qsim/three_line_to_eight_decimal_decoder.sim.vwf +++ /dev/null @@ -1,417 +0,0 @@ -/* -WARNING: Do NOT edit the input and output ports in this file in a text -editor if you plan to continue editing the block that represents it in -the Block Editor! File corruption is VERY likely to occur. -*/ - -/* -Copyright (C) 1991-2013 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. -*/ - -HEADER -{ - VERSION = 1; - TIME_UNIT = ns; - DATA_OFFSET = 0.0; - DATA_DURATION = 8000.0; - SIMULATION_TIME = 0.0; - GRID_PHASE = 0.0; - GRID_PERIOD = 1000.0; - GRID_DUTY_CYCLE = 50; -} - -SIGNAL("A") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("B") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("C") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = INPUT; - PARENT = ""; -} - -SIGNAL("Y0") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -SIGNAL("Y1") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -SIGNAL("Y2") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -SIGNAL("Y3") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -SIGNAL("Y4") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -SIGNAL("Y5") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -SIGNAL("Y6") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -SIGNAL("Y7") -{ - VALUE_TYPE = NINE_LEVEL_BIT; - SIGNAL_TYPE = SINGLE_BIT; - WIDTH = 1; - LSB_INDEX = -1; - DIRECTION = OUTPUT; - PARENT = ""; -} - -TRANSITION_LIST("A") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 0 FOR 1000.0; - LEVEL 1 FOR 1000.0; - LEVEL 0 FOR 1000.0; - LEVEL 1 FOR 1000.0; - LEVEL 0 FOR 1000.0; - LEVEL 1 FOR 1000.0; - LEVEL 0 FOR 1000.0; - LEVEL 1 FOR 1000.0; - } - } -} - -TRANSITION_LIST("B") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 0 FOR 2000.0; - LEVEL 1 FOR 2000.0; - LEVEL 0 FOR 2000.0; - LEVEL 1 FOR 2000.0; - } - } -} - -TRANSITION_LIST("C") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 0 FOR 4000.0; - LEVEL 1 FOR 4000.0; - } - } -} - -TRANSITION_LIST("Y0") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 0 FOR 1000.0; - LEVEL 1 FOR 7000.0; - } - } -} - -TRANSITION_LIST("Y1") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 1 FOR 1000.0; - LEVEL 0 FOR 1000.0; - LEVEL 1 FOR 6000.0; - } - } -} - -TRANSITION_LIST("Y2") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 1 FOR 2000.0; - LEVEL 0 FOR 1000.0; - LEVEL 1 FOR 5000.0; - } - } -} - -TRANSITION_LIST("Y3") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 1 FOR 3000.0; - LEVEL 0 FOR 1000.0; - LEVEL 1 FOR 4000.0; - } - } -} - -TRANSITION_LIST("Y4") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 1 FOR 4000.0; - LEVEL 0 FOR 1000.0; - LEVEL 1 FOR 3000.0; - } - } -} - -TRANSITION_LIST("Y5") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 1 FOR 5000.0; - LEVEL 0 FOR 1000.0; - LEVEL 1 FOR 2000.0; - } - } -} - -TRANSITION_LIST("Y6") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 1 FOR 6000.0; - LEVEL 0 FOR 1000.0; - LEVEL 1 FOR 1000.0; - } - } -} - -TRANSITION_LIST("Y7") -{ - NODE - { - REPEAT = 1; - NODE - { - REPEAT = 1; - LEVEL 1 FOR 7000.0; - LEVEL 0 FOR 1000.0; - } - } -} - -DISPLAY_LINE -{ - CHANNEL = "A"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 0; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "B"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 1; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "C"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 2; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y0"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 3; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y1"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 4; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y2"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 5; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y3"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 6; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y4"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 7; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y5"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 8; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y6"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 9; - TREE_LEVEL = 0; -} - -DISPLAY_LINE -{ - CHANNEL = "Y7"; - EXPAND_STATUS = COLLAPSED; - RADIX = Unsigned; - TREE_INDEX = 10; - TREE_LEVEL = 0; -} - -TIME_BAR -{ - TIME = 0; - MASTER = TRUE; -} -; diff --git a/CH6/CH6-1/simulation/qsim/three_line_to_eight_decimal_decoder.vo b/CH6/CH6-1/simulation/qsim/three_line_to_eight_decimal_decoder.vo deleted file mode 100644 index a628f2e0..00000000 --- a/CH6/CH6-1/simulation/qsim/three_line_to_eight_decimal_decoder.vo +++ /dev/null @@ -1,387 +0,0 @@ -// Copyright (C) 1991-2013 Altera Corporation -// Your use of Altera Corporation's design tools, logic functions -// and other software and tools, and its AMPP partner logic -// functions, and any output files from any of the foregoing -// (including device programming or simulation files), and any -// associated documentation or information are expressly subject -// to the terms and conditions of the Altera Program License -// Subscription Agreement, Altera MegaCore Function License -// Agreement, or other applicable license agreement, including, -// without limitation, that your use is for the sole purpose of -// programming logic devices manufactured by Altera and sold by -// Altera or its authorized distributors. Please refer to the -// applicable agreement for further details. - -// VENDOR "Altera" -// PROGRAM "Quartus II 32-bit" -// VERSION "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition" - -// DATE "10/24/2019 21:58:03" - -// -// Device: Altera EP3C16F484C6 Package FBGA484 -// - -// -// This Verilog file should be used for ModelSim-Altera (Verilog) only -// - -`timescale 1 ps/ 1 ps - -module three_line_to_eight_decimal_decoder ( - Y0, - A, - B, - C, - Y1, - Y2, - Y3, - Y4, - Y5, - Y6, - Y7); -output Y0; -input A; -input B; -input C; -output Y1; -output Y2; -output Y3; -output Y4; -output Y5; -output Y6; -output Y7; - -// Design Ports Information -// Y0 => Location: PIN_B1, I/O Standard: 2.5 V, Current Strength: Default -// Y1 => Location: PIN_B2, I/O Standard: 2.5 V, Current Strength: Default -// Y2 => Location: PIN_C2, I/O Standard: 2.5 V, Current Strength: Default -// Y3 => Location: PIN_C1, I/O Standard: 2.5 V, Current Strength: Default -// Y4 => Location: PIN_E1, I/O Standard: 2.5 V, Current Strength: Default -// Y5 => Location: PIN_F2, I/O Standard: 2.5 V, Current Strength: Default -// Y6 => Location: PIN_H1, I/O Standard: 2.5 V, Current Strength: Default -// Y7 => Location: PIN_J3, I/O Standard: 2.5 V, Current Strength: Default -// C => Location: PIN_D2, I/O Standard: 2.5 V, Current Strength: Default -// A => Location: PIN_E3, I/O Standard: 2.5 V, Current Strength: Default -// B => Location: PIN_E4, I/O Standard: 2.5 V, Current Strength: Default - - -wire gnd; -wire vcc; -wire unknown; - -assign gnd = 1'b0; -assign vcc = 1'b1; -assign unknown = 1'bx; - -tri1 devclrn; -tri1 devpor; -tri1 devoe; -wire \Y0~output_o ; -wire \Y1~output_o ; -wire \Y2~output_o ; -wire \Y3~output_o ; -wire \Y4~output_o ; -wire \Y5~output_o ; -wire \Y6~output_o ; -wire \Y7~output_o ; -wire \C~input_o ; -wire \B~input_o ; -wire \A~input_o ; -wire \inst|33~0_combout ; -wire \inst|33~1_combout ; -wire \inst|33~2_combout ; -wire \inst|33~3_combout ; -wire \inst|33~4_combout ; -wire \inst|33~5_combout ; -wire \inst|33~6_combout ; -wire \inst|33~7_combout ; - - -// Location: IOOBUF_X0_Y27_N16 -cycloneiii_io_obuf \Y0~output ( - .i(!\inst|33~0_combout ), - .oe(vcc), - .seriesterminationcontrol(16'b0000000000000000), - .devoe(devoe), - .o(\Y0~output_o ), - .obar()); -// synopsys translate_off -defparam \Y0~output .bus_hold = "false"; -defparam \Y0~output .open_drain_output = "false"; -// synopsys translate_on - -// Location: IOOBUF_X0_Y27_N9 -cycloneiii_io_obuf \Y1~output ( - .i(!\inst|33~1_combout ), - .oe(vcc), - .seriesterminationcontrol(16'b0000000000000000), - .devoe(devoe), - .o(\Y1~output_o ), - .obar()); -// synopsys translate_off -defparam \Y1~output .bus_hold = "false"; -defparam \Y1~output .open_drain_output = "false"; -// synopsys translate_on - -// Location: IOOBUF_X0_Y26_N16 -cycloneiii_io_obuf \Y2~output ( - .i(!\inst|33~2_combout ), - .oe(vcc), - .seriesterminationcontrol(16'b0000000000000000), - .devoe(devoe), - .o(\Y2~output_o ), - .obar()); -// synopsys translate_off -defparam \Y2~output .bus_hold = "false"; -defparam \Y2~output .open_drain_output = "false"; -// synopsys translate_on - -// Location: IOOBUF_X0_Y26_N23 -cycloneiii_io_obuf \Y3~output ( - .i(!\inst|33~3_combout ), - .oe(vcc), - .seriesterminationcontrol(16'b0000000000000000), - .devoe(devoe), - .o(\Y3~output_o ), - .obar()); -// synopsys translate_off -defparam \Y3~output .bus_hold = "false"; -defparam \Y3~output .open_drain_output = "false"; -// synopsys translate_on - -// Location: IOOBUF_X0_Y24_N16 -cycloneiii_io_obuf \Y4~output ( - .i(!\inst|33~4_combout ), - .oe(vcc), - .seriesterminationcontrol(16'b0000000000000000), - .devoe(devoe), - .o(\Y4~output_o ), - .obar()); -// synopsys translate_off -defparam \Y4~output .bus_hold = "false"; -defparam \Y4~output .open_drain_output = "false"; -// synopsys translate_on - -// Location: IOOBUF_X0_Y24_N23 -cycloneiii_io_obuf \Y5~output ( - .i(!\inst|33~5_combout ), - .oe(vcc), - .seriesterminationcontrol(16'b0000000000000000), - .devoe(devoe), - .o(\Y5~output_o ), - .obar()); -// synopsys translate_off -defparam \Y5~output .bus_hold = "false"; -defparam \Y5~output .open_drain_output = "false"; -// synopsys translate_on - -// Location: IOOBUF_X0_Y21_N16 -cycloneiii_io_obuf \Y6~output ( - .i(!\inst|33~6_combout ), - .oe(vcc), - .seriesterminationcontrol(16'b0000000000000000), - .devoe(devoe), - .o(\Y6~output_o ), - .obar()); -// synopsys translate_off -defparam \Y6~output .bus_hold = "false"; -defparam \Y6~output .open_drain_output = "false"; -// synopsys translate_on - -// Location: IOOBUF_X0_Y21_N23 -cycloneiii_io_obuf \Y7~output ( - .i(!\inst|33~7_combout ), - .oe(vcc), - .seriesterminationcontrol(16'b0000000000000000), - .devoe(devoe), - .o(\Y7~output_o ), - .obar()); -// synopsys translate_off -defparam \Y7~output .bus_hold = "false"; -defparam \Y7~output .open_drain_output = "false"; -// synopsys translate_on - -// Location: IOIBUF_X0_Y25_N1 -cycloneiii_io_ibuf \C~input ( - .i(C), - .ibar(gnd), - .o(\C~input_o )); -// synopsys translate_off -defparam \C~input .bus_hold = "false"; -defparam \C~input .simulate_z_as = "z"; -// synopsys translate_on - -// Location: IOIBUF_X0_Y26_N1 -cycloneiii_io_ibuf \B~input ( - .i(B), - .ibar(gnd), - .o(\B~input_o )); -// synopsys translate_off -defparam \B~input .bus_hold = "false"; -defparam \B~input .simulate_z_as = "z"; -// synopsys translate_on - -// Location: IOIBUF_X0_Y26_N8 -cycloneiii_io_ibuf \A~input ( - .i(A), - .ibar(gnd), - .o(\A~input_o )); -// synopsys translate_off -defparam \A~input .bus_hold = "false"; -defparam \A~input .simulate_z_as = "z"; -// synopsys translate_on - -// Location: LCCOMB_X1_Y25_N0 -cycloneiii_lcell_comb \inst|33~0 ( -// Equation(s): -// \inst|33~0_combout = (!\C~input_o & (!\B~input_o & !\A~input_o )) - - .dataa(\C~input_o ), - .datab(gnd), - .datac(\B~input_o ), - .datad(\A~input_o ), - .cin(gnd), - .combout(\inst|33~0_combout ), - .cout()); -// synopsys translate_off -defparam \inst|33~0 .lut_mask = 16'h0005; -defparam \inst|33~0 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X1_Y25_N10 -cycloneiii_lcell_comb \inst|33~1 ( -// Equation(s): -// \inst|33~1_combout = (!\C~input_o & (!\B~input_o & \A~input_o )) - - .dataa(\C~input_o ), - .datab(gnd), - .datac(\B~input_o ), - .datad(\A~input_o ), - .cin(gnd), - .combout(\inst|33~1_combout ), - .cout()); -// synopsys translate_off -defparam \inst|33~1 .lut_mask = 16'h0500; -defparam \inst|33~1 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X1_Y25_N12 -cycloneiii_lcell_comb \inst|33~2 ( -// Equation(s): -// \inst|33~2_combout = (!\C~input_o & (\B~input_o & !\A~input_o )) - - .dataa(\C~input_o ), - .datab(gnd), - .datac(\B~input_o ), - .datad(\A~input_o ), - .cin(gnd), - .combout(\inst|33~2_combout ), - .cout()); -// synopsys translate_off -defparam \inst|33~2 .lut_mask = 16'h0050; -defparam \inst|33~2 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X1_Y25_N14 -cycloneiii_lcell_comb \inst|33~3 ( -// Equation(s): -// \inst|33~3_combout = (!\C~input_o & (\B~input_o & \A~input_o )) - - .dataa(\C~input_o ), - .datab(gnd), - .datac(\B~input_o ), - .datad(\A~input_o ), - .cin(gnd), - .combout(\inst|33~3_combout ), - .cout()); -// synopsys translate_off -defparam \inst|33~3 .lut_mask = 16'h5000; -defparam \inst|33~3 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X1_Y25_N8 -cycloneiii_lcell_comb \inst|33~4 ( -// Equation(s): -// \inst|33~4_combout = (\C~input_o & (!\B~input_o & !\A~input_o )) - - .dataa(\C~input_o ), - .datab(gnd), - .datac(\B~input_o ), - .datad(\A~input_o ), - .cin(gnd), - .combout(\inst|33~4_combout ), - .cout()); -// synopsys translate_off -defparam \inst|33~4 .lut_mask = 16'h000A; -defparam \inst|33~4 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X1_Y25_N18 -cycloneiii_lcell_comb \inst|33~5 ( -// Equation(s): -// \inst|33~5_combout = (\C~input_o & (!\B~input_o & \A~input_o )) - - .dataa(\C~input_o ), - .datab(gnd), - .datac(\B~input_o ), - .datad(\A~input_o ), - .cin(gnd), - .combout(\inst|33~5_combout ), - .cout()); -// synopsys translate_off -defparam \inst|33~5 .lut_mask = 16'h0A00; -defparam \inst|33~5 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X1_Y25_N20 -cycloneiii_lcell_comb \inst|33~6 ( -// Equation(s): -// \inst|33~6_combout = (\C~input_o & (\B~input_o & !\A~input_o )) - - .dataa(\C~input_o ), - .datab(gnd), - .datac(\B~input_o ), - .datad(\A~input_o ), - .cin(gnd), - .combout(\inst|33~6_combout ), - .cout()); -// synopsys translate_off -defparam \inst|33~6 .lut_mask = 16'h00A0; -defparam \inst|33~6 .sum_lutc_input = "datac"; -// synopsys translate_on - -// Location: LCCOMB_X1_Y25_N22 -cycloneiii_lcell_comb \inst|33~7 ( -// Equation(s): -// \inst|33~7_combout = (\C~input_o & (\B~input_o & \A~input_o )) - - .dataa(\C~input_o ), - .datab(gnd), - .datac(\B~input_o ), - .datad(\A~input_o ), - .cin(gnd), - .combout(\inst|33~7_combout ), - .cout()); -// synopsys translate_off -defparam \inst|33~7 .lut_mask = 16'hA000; -defparam \inst|33~7 .sum_lutc_input = "datac"; -// synopsys translate_on - -assign Y0 = \Y0~output_o ; - -assign Y1 = \Y1~output_o ; - -assign Y2 = \Y2~output_o ; - -assign Y3 = \Y3~output_o ; - -assign Y4 = \Y4~output_o ; - -assign Y5 = \Y5~output_o ; - -assign Y6 = \Y6~output_o ; - -assign Y7 = \Y7~output_o ; - -endmodule diff --git a/CH6/CH6-1/simulation/qsim/three_line_to_eight_decimal_decoder.vwf.vt b/CH6/CH6-1/simulation/qsim/three_line_to_eight_decimal_decoder.vwf.vt deleted file mode 100644 index 0ab2b8d3..00000000 --- a/CH6/CH6-1/simulation/qsim/three_line_to_eight_decimal_decoder.vwf.vt +++ /dev/null @@ -1,430 +0,0 @@ -// Copyright (C) 1991-2013 Altera Corporation -// Your use of Altera Corporation's design tools, logic functions -// and other software and tools, and its AMPP partner logic -// functions, and any output files from any of the foregoing -// (including device programming or simulation files), and any -// associated documentation or information are expressly subject -// to the terms and conditions of the Altera Program License -// Subscription Agreement, Altera MegaCore Function License -// Agreement, or other applicable license agreement, including, -// without limitation, that your use is for the sole purpose of -// programming logic devices manufactured by Altera and sold by -// Altera or its authorized distributors. Please refer to the -// applicable agreement for further details. - -// ***************************************************************************** -// This file contains a Verilog test bench with test vectors .The test vectors -// are exported from a vector file in the Quartus Waveform Editor and apply to -// the top level entity of the current Quartus project .The user can use this -// testbench to simulate his design using a third-party simulation tool . -// ***************************************************************************** -// Generated on "10/24/2019 21:53:25" - -// Verilog Self-Checking Test Bench (with test vectors) for design : three_line_to_eight_decimal_decoder -// -// Simulation tool : 3rd Party -// - -`timescale 1 ps/ 1 ps -module three_line_to_eight_decimal_decoder_vlg_sample_tst( - A, - B, - C, - sampler_tx -); -input A; -input B; -input C; -output sampler_tx; - -reg sample; -time current_time; -always @(A or B or C) - -begin - if ($realtime > 0) - begin - if ($realtime == 0 || $realtime != current_time) - begin - if (sample === 1'bx) - sample = 0; - else - sample = ~sample; - end - current_time = $realtime; - end -end - -assign sampler_tx = sample; -endmodule - -module three_line_to_eight_decimal_decoder_vlg_check_tst ( - Y0, - Y1, - Y2, - Y3, - Y4, - Y5, - Y6, - Y7, - sampler_rx -); -input Y0; -input Y1; -input Y2; -input Y3; -input Y4; -input Y5; -input Y6; -input Y7; -input sampler_rx; - -reg Y0_expected; -reg Y1_expected; -reg Y2_expected; -reg Y3_expected; -reg Y4_expected; -reg Y5_expected; -reg Y6_expected; -reg Y7_expected; - -reg Y0_prev; -reg Y1_prev; -reg Y2_prev; -reg Y3_prev; -reg Y4_prev; -reg Y5_prev; -reg Y6_prev; -reg Y7_prev; - -reg Y0_expected_prev; -reg Y1_expected_prev; -reg Y2_expected_prev; -reg Y3_expected_prev; -reg Y4_expected_prev; -reg Y5_expected_prev; -reg Y6_expected_prev; -reg Y7_expected_prev; - -reg last_Y0_exp; -reg last_Y1_exp; -reg last_Y2_exp; -reg last_Y3_exp; -reg last_Y4_exp; -reg last_Y5_exp; -reg last_Y6_exp; -reg last_Y7_exp; - -reg trigger; - -integer i; -integer nummismatches; - -reg [1:8] on_first_change ; - - -initial -begin -trigger = 0; -i = 0; -nummismatches = 0; -on_first_change = 8'b1; -end - -// update real /o prevs - -always @(trigger) -begin - Y0_prev = Y0; - Y1_prev = Y1; - Y2_prev = Y2; - Y3_prev = Y3; - Y4_prev = Y4; - Y5_prev = Y5; - Y6_prev = Y6; - Y7_prev = Y7; -end - -// update expected /o prevs - -always @(trigger) -begin - Y0_expected_prev = Y0_expected; - Y1_expected_prev = Y1_expected; - Y2_expected_prev = Y2_expected; - Y3_expected_prev = Y3_expected; - Y4_expected_prev = Y4_expected; - Y5_expected_prev = Y5_expected; - Y6_expected_prev = Y6_expected; - Y7_expected_prev = Y7_expected; -end - - - -// expected Y0 -initial -begin - Y0_expected = 1'bX; - Y0_expected = #999000 1'b0; -end - -// expected Y1 -initial -begin - Y1_expected = 1'bX; - Y1_expected = #999000 1'b0; -end - -// expected Y2 -initial -begin - Y2_expected = 1'bX; - Y2_expected = #999000 1'b0; -end - -// expected Y3 -initial -begin - Y3_expected = 1'bX; - Y3_expected = #999000 1'b0; -end - -// expected Y4 -initial -begin - Y4_expected = 1'bX; - Y4_expected = #999000 1'b0; -end - -// expected Y5 -initial -begin - Y5_expected = 1'bX; - Y5_expected = #999000 1'b0; -end - -// expected Y6 -initial -begin - Y6_expected = 1'bX; - Y6_expected = #999000 1'b0; -end - -// expected Y7 -initial -begin - Y7_expected = 1'bX; - Y7_expected = #999000 1'b0; -end -// generate trigger -always @(Y0_expected or Y0 or Y1_expected or Y1 or Y2_expected or Y2 or Y3_expected or Y3 or Y4_expected or Y4 or Y5_expected or Y5 or Y6_expected or Y6 or Y7_expected or Y7) -begin - trigger <= ~trigger; -end - -always @(posedge sampler_rx or negedge sampler_rx) -begin -`ifdef debug_tbench - $display("Scanning pattern %d @time = %t",i,$realtime ); - i = i + 1; - $display("| expected Y0 = %b | expected Y1 = %b | expected Y2 = %b | expected Y3 = %b | expected Y4 = %b | expected Y5 = %b | expected Y6 = %b | expected Y7 = %b | ",Y0_expected_prev,Y1_expected_prev,Y2_expected_prev,Y3_expected_prev,Y4_expected_prev,Y5_expected_prev,Y6_expected_prev,Y7_expected_prev); - $display("| real Y0 = %b | real Y1 = %b | real Y2 = %b | real Y3 = %b | real Y4 = %b | real Y5 = %b | real Y6 = %b | real Y7 = %b | ",Y0_prev,Y1_prev,Y2_prev,Y3_prev,Y4_prev,Y5_prev,Y6_prev,Y7_prev); -`endif - if ( - ( Y0_expected_prev !== 1'bx ) && ( Y0_prev !== Y0_expected_prev ) - && ((Y0_expected_prev !== last_Y0_exp) || - on_first_change[1]) - ) - begin - $display ("ERROR! Vector Mismatch for output port Y0 :: @time = %t", $realtime); - $display (" Expected value = %b", Y0_expected_prev); - $display (" Real value = %b", Y0_prev); - nummismatches = nummismatches + 1; - on_first_change[1] = 1'b0; - last_Y0_exp = Y0_expected_prev; - end - if ( - ( Y1_expected_prev !== 1'bx ) && ( Y1_prev !== Y1_expected_prev ) - && ((Y1_expected_prev !== last_Y1_exp) || - on_first_change[2]) - ) - begin - $display ("ERROR! Vector Mismatch for output port Y1 :: @time = %t", $realtime); - $display (" Expected value = %b", Y1_expected_prev); - $display (" Real value = %b", Y1_prev); - nummismatches = nummismatches + 1; - on_first_change[2] = 1'b0; - last_Y1_exp = Y1_expected_prev; - end - if ( - ( Y2_expected_prev !== 1'bx ) && ( Y2_prev !== Y2_expected_prev ) - && ((Y2_expected_prev !== last_Y2_exp) || - on_first_change[3]) - ) - begin - $display ("ERROR! Vector Mismatch for output port Y2 :: @time = %t", $realtime); - $display (" Expected value = %b", Y2_expected_prev); - $display (" Real value = %b", Y2_prev); - nummismatches = nummismatches + 1; - on_first_change[3] = 1'b0; - last_Y2_exp = Y2_expected_prev; - end - if ( - ( Y3_expected_prev !== 1'bx ) && ( Y3_prev !== Y3_expected_prev ) - && ((Y3_expected_prev !== last_Y3_exp) || - on_first_change[4]) - ) - begin - $display ("ERROR! Vector Mismatch for output port Y3 :: @time = %t", $realtime); - $display (" Expected value = %b", Y3_expected_prev); - $display (" Real value = %b", Y3_prev); - nummismatches = nummismatches + 1; - on_first_change[4] = 1'b0; - last_Y3_exp = Y3_expected_prev; - end - if ( - ( Y4_expected_prev !== 1'bx ) && ( Y4_prev !== Y4_expected_prev ) - && ((Y4_expected_prev !== last_Y4_exp) || - on_first_change[5]) - ) - begin - $display ("ERROR! Vector Mismatch for output port Y4 :: @time = %t", $realtime); - $display (" Expected value = %b", Y4_expected_prev); - $display (" Real value = %b", Y4_prev); - nummismatches = nummismatches + 1; - on_first_change[5] = 1'b0; - last_Y4_exp = Y4_expected_prev; - end - if ( - ( Y5_expected_prev !== 1'bx ) && ( Y5_prev !== Y5_expected_prev ) - && ((Y5_expected_prev !== last_Y5_exp) || - on_first_change[6]) - ) - begin - $display ("ERROR! Vector Mismatch for output port Y5 :: @time = %t", $realtime); - $display (" Expected value = %b", Y5_expected_prev); - $display (" Real value = %b", Y5_prev); - nummismatches = nummismatches + 1; - on_first_change[6] = 1'b0; - last_Y5_exp = Y5_expected_prev; - end - if ( - ( Y6_expected_prev !== 1'bx ) && ( Y6_prev !== Y6_expected_prev ) - && ((Y6_expected_prev !== last_Y6_exp) || - on_first_change[7]) - ) - begin - $display ("ERROR! Vector Mismatch for output port Y6 :: @time = %t", $realtime); - $display (" Expected value = %b", Y6_expected_prev); - $display (" Real value = %b", Y6_prev); - nummismatches = nummismatches + 1; - on_first_change[7] = 1'b0; - last_Y6_exp = Y6_expected_prev; - end - if ( - ( Y7_expected_prev !== 1'bx ) && ( Y7_prev !== Y7_expected_prev ) - && ((Y7_expected_prev !== last_Y7_exp) || - on_first_change[8]) - ) - begin - $display ("ERROR! Vector Mismatch for output port Y7 :: @time = %t", $realtime); - $display (" Expected value = %b", Y7_expected_prev); - $display (" Real value = %b", Y7_prev); - nummismatches = nummismatches + 1; - on_first_change[8] = 1'b0; - last_Y7_exp = Y7_expected_prev; - end - - trigger <= ~trigger; -end -initial - -begin -$timeformat(-12,3," ps",6); -#8000000; -if (nummismatches > 0) - $display ("%d mismatched vectors : Simulation failed !",nummismatches); -else - $display ("Simulation passed !"); -$finish; -end -endmodule - -module three_line_to_eight_decimal_decoder_vlg_vec_tst(); -// constants -// general purpose registers -reg A; -reg B; -reg C; -// wires -wire Y0; -wire Y1; -wire Y2; -wire Y3; -wire Y4; -wire Y5; -wire Y6; -wire Y7; - -wire sampler; - -// assign statements (if any) -three_line_to_eight_decimal_decoder i1 ( -// port map - connection between master ports and signals/registers - .A(A), - .B(B), - .C(C), - .Y0(Y0), - .Y1(Y1), - .Y2(Y2), - .Y3(Y3), - .Y4(Y4), - .Y5(Y5), - .Y6(Y6), - .Y7(Y7) -); - -// A -always -begin - A = 1'b0; - A = #1000000 1'b1; - #1000000; -end - -// B -always -begin - B = 1'b0; - B = #2000000 1'b1; - #2000000; -end - -// C -always -begin - C = 1'b0; - C = #4000000 1'b1; - #4000000; -end - -three_line_to_eight_decimal_decoder_vlg_sample_tst tb_sample ( - .A(A), - .B(B), - .C(C), - .sampler_tx(sampler) -); - -three_line_to_eight_decimal_decoder_vlg_check_tst tb_out( - .Y0(Y0), - .Y1(Y1), - .Y2(Y2), - .Y3(Y3), - .Y4(Y4), - .Y5(Y5), - .Y6(Y6), - .Y7(Y7), - .sampler_rx(sampler) -); -endmodule - diff --git a/CH6/CH6-1/simulation/qsim/three_line_to_eight_decimal_decoder_modelsim.xrf b/CH6/CH6-1/simulation/qsim/three_line_to_eight_decimal_decoder_modelsim.xrf deleted file mode 100644 index 1e5a2212..00000000 --- a/CH6/CH6-1/simulation/qsim/three_line_to_eight_decimal_decoder_modelsim.xrf +++ /dev/null @@ -1,25 +0,0 @@ -vendor_name = ModelSim -source_file = 1, /home/timmy/Git/Learn-VHDL/CH6/CH6-1/three_line_to_eight_decimal_decoder.bdf -source_file = 1, /home/timmy/Git/Learn-VHDL/CH6/CH6-1/three_line_to_eight_decimal_decoder.vwf -source_file = 1, /home/timmy/Git/Learn-VHDL/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.cbx.xml -source_file = 1, /home/timmy/altera/13.1/quartus/libraries/others/maxplus2/74139.bdf -design_name = three_line_to_eight_decimal_decoder -instance = comp, \Y0~output , Y0~output, three_line_to_eight_decimal_decoder, 1 -instance = comp, \Y1~output , Y1~output, three_line_to_eight_decimal_decoder, 1 -instance = comp, \Y2~output , Y2~output, three_line_to_eight_decimal_decoder, 1 -instance = comp, \Y3~output , Y3~output, three_line_to_eight_decimal_decoder, 1 -instance = comp, \Y4~output , Y4~output, three_line_to_eight_decimal_decoder, 1 -instance = comp, \Y5~output , Y5~output, three_line_to_eight_decimal_decoder, 1 -instance = comp, \Y6~output , Y6~output, three_line_to_eight_decimal_decoder, 1 -instance = comp, \Y7~output , Y7~output, three_line_to_eight_decimal_decoder, 1 -instance = comp, \C~input , C~input, three_line_to_eight_decimal_decoder, 1 -instance = comp, \B~input , B~input, three_line_to_eight_decimal_decoder, 1 -instance = comp, \A~input , A~input, three_line_to_eight_decimal_decoder, 1 -instance = comp, \inst|33~0 , inst|33~0, three_line_to_eight_decimal_decoder, 1 -instance = comp, \inst|33~1 , inst|33~1, three_line_to_eight_decimal_decoder, 1 -instance = comp, \inst|33~2 , inst|33~2, three_line_to_eight_decimal_decoder, 1 -instance = comp, \inst|33~3 , inst|33~3, three_line_to_eight_decimal_decoder, 1 -instance = comp, \inst|33~4 , inst|33~4, three_line_to_eight_decimal_decoder, 1 -instance = comp, \inst|33~5 , inst|33~5, three_line_to_eight_decimal_decoder, 1 -instance = comp, \inst|33~6 , inst|33~6, three_line_to_eight_decimal_decoder, 1 -instance = comp, \inst|33~7 , inst|33~7, three_line_to_eight_decimal_decoder, 1 diff --git a/CH6/CH6-1/simulation/qsim/transcript b/CH6/CH6-1/simulation/qsim/transcript deleted file mode 100644 index 7301c4f4..00000000 --- a/CH6/CH6-1/simulation/qsim/transcript +++ /dev/null @@ -1,73 +0,0 @@ -# do four_line_to_sixteen_line_decimal_decoder.do -# ** Warning: (vlib-34) Library already exists at "work". -# Model Technology ModelSim ALTERA vlog 10.1d Compiler 2012.11 Nov 2 2012 -# -- Compiling module four_line_to_sixteen_line_decimal_decoder -# -# Top level modules: -# four_line_to_sixteen_line_decimal_decoder -# Model Technology ModelSim ALTERA vlog 10.1d Compiler 2012.11 Nov 2 2012 -# -- Compiling module four_line_to_sixteen_line_decimal_decoder_vlg_sample_tst -# -- Compiling module four_line_to_sixteen_line_decimal_decoder_vlg_check_tst -# -- Compiling module four_line_to_sixteen_line_decimal_decoder_vlg_vec_tst -# -# Top level modules: -# four_line_to_sixteen_line_decimal_decoder_vlg_vec_tst -# vsim -L cycloneiii_ver -L altera_ver -L altera_mf_ver -L 220model_ver -L sgate -c -voptargs=\"+acc\" -t 1ps -novopt work.four_line_to_sixteen_line_decimal_decoder_vlg_vec_tst -# Loading work.four_line_to_sixteen_line_decimal_decoder_vlg_vec_tst -# Loading work.four_line_to_sixteen_line_decimal_decoder -# Loading cycloneiii_ver.cycloneiii_io_obuf -# Loading cycloneiii_ver.cycloneiii_io_ibuf -# Loading cycloneiii_ver.cycloneiii_lcell_comb -# Loading work.four_line_to_sixteen_line_decimal_decoder_vlg_sample_tst -# Loading work.four_line_to_sixteen_line_decimal_decoder_vlg_check_tst -# ERROR! Vector Mismatch for output port Y0 :: @time = 1000000.000 ps -# Expected value = 0 -# Real value = 1 -# ERROR! Vector Mismatch for output port Y1 :: @time = 1000000.000 ps -# Expected value = 0 -# Real value = 1 -# ERROR! Vector Mismatch for output port Y3 :: @time = 1000000.000 ps -# Expected value = 0 -# Real value = 1 -# ERROR! Vector Mismatch for output port Y4 :: @time = 1000000.000 ps -# Expected value = 0 -# Real value = 1 -# ERROR! Vector Mismatch for output port Y5 :: @time = 1000000.000 ps -# Expected value = 0 -# Real value = 1 -# ERROR! Vector Mismatch for output port Y6 :: @time = 1000000.000 ps -# Expected value = 0 -# Real value = 1 -# ERROR! Vector Mismatch for output port Y7 :: @time = 1000000.000 ps -# Expected value = 0 -# Real value = 1 -# ERROR! Vector Mismatch for output port Y8 :: @time = 1000000.000 ps -# Expected value = 0 -# Real value = 1 -# ERROR! Vector Mismatch for output port Y9 :: @time = 1000000.000 ps -# Expected value = 0 -# Real value = 1 -# ERROR! Vector Mismatch for output port Y10 :: @time = 1000000.000 ps -# Expected value = 0 -# Real value = 1 -# ERROR! Vector Mismatch for output port Y11 :: @time = 1000000.000 ps -# Expected value = 0 -# Real value = 1 -# ERROR! Vector Mismatch for output port Y12 :: @time = 1000000.000 ps -# Expected value = 0 -# Real value = 1 -# ERROR! Vector Mismatch for output port Y13 :: @time = 1000000.000 ps -# Expected value = 0 -# Real value = 1 -# ERROR! Vector Mismatch for output port Y14 :: @time = 1000000.000 ps -# Expected value = 0 -# Real value = 1 -# ERROR! Vector Mismatch for output port Y15 :: @time = 1000000.000 ps -# Expected value = 0 -# Real value = 1 -# ERROR! Vector Mismatch for output port Y2 :: @time = 2000000.000 ps -# Expected value = 0 -# Real value = 1 -# 16 mismatched vectors : Simulation failed ! -# ** Note: $finish : four_line_to_sixteen_line_decimal_decoder.vwf.vt(575) -# Time: 10 us Iteration: 0 Instance: /four_line_to_sixteen_line_decimal_decoder_vlg_vec_tst/tb_out diff --git a/CH6/CH6-1/simulation/qsim/work/@b@c@d_to_decimal_decoder/_primary.dat b/CH6/CH6-1/simulation/qsim/work/@b@c@d_to_decimal_decoder/_primary.dat deleted file mode 100644 index 38034bc459fe9d13c58db2177dca3f2375c6840f..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 6514 zcmcK6c{o)29|!P*F}5rbDZ9y*>@iu(h^U)=N!Cy%F}9H;DoVlhR8L(>3(At3 zk|^%D)|fP8RJN-{b;bPV;QZ?Q8#Dd!!ymry^Z7jIdET${%=4VX5!N6C`G3ybab-%B zlW~gp{V9tQKUB&ErMCG7L-1c?A4k$4=h7(-M%H@E72Tin6pn^@Ttp6^4hXs-bw&w= z+86e{Z;E@g{%W4189Ln#f2sw;lTO4Bv^4Xk|A}{LxxtqnjBjhX!9RNl%pOZ-55d_( zX!a1EJw#@YrL%`=mcMXZ^~_}i1-QqhrP|Wn<5Bb1gMmZa@+j`+7c=~@xGm%|~RVYt+Tf1Db0KpQE6}EDT00 zE~;V(enzovoQ(fd6%zG0%JD1cJ#x!N1hZDc8)GcIi94{ozLAo9MRAXt_()!s(aa`# zX7gQ=u_;J0<$#)%xqMa_rx{Cd8Rq(~6!_rrmh?m_TS|b#L&$({ltY@Toe`4XRFf`a zuGwrad#5H=7AYmiDD_zOe2uz15)_c2hy)B0Rv|$N3Cc)NL4qn0)R3?m3F=5#g9HsE zXd*!i3ED`|L4qz4^cbP`2;)JXPhM-Hr|;_0dO6AcK%qfc%FTq)8=Yul92IUgJ7Ch5nYFNe>?HN#cR@`%Jb?evjgTZuUcoXvl^+5;g(^%>^XLvnOZ+ zgpJIEjVuXgK!PPe&{{x(0(*ifK(J&cSh6JafdngnpuKIb{F2=QH`xlmWNrWFB`g2&$4i#c#13e}_lqVf zOihkj|J=lmxrrUiCab|F_COQ#FPf+_HOT;)*fTe=XW3*e*u(*7!t;wJYD`VCfhG>j zO&nM@G5e{Bqlmu~qe-9NAR40eR9$s;^=ofaPRw%9nf(lMfqyG1KYlB&@Nb3jT*#E! zuQNCJn$Zrq-UeTb{kV3AuUG%L-agOQ+%@9QUvp0IPu>Hw9d#G}VC2HX{{m}H_VD$OqM!( zRwcmlo|ol=u)JBad_k5k%t9?DYYltWbAaVLFKY+F@@2^i2U&hFOMEd|8thpVfaNzY z%O7F+v1H*uRshUWUQCuId)6qx3YeF*6JZ6gWI2JXT`&u~m@F;!EIPp2H7_d=VeMkc zx&*TDFsptsS=#JbA^;0NFKai#!n0)E1zABbt7|b?I_y~r04r!-)*gfv#FCW+vVvjO z`^9AGvS;Z6tl)WBAqXp&CF>l>BEYQ4#boKRXITI&!n~|dghgP<8vHNojJ9wjw^EyM zr8LG;AR&edK>{**s{CI5^bQ%)`9_^s3Kx{JUvE&rYl=Q3OM27zFph$PQgZZEmUzX{ zhveYN!MTYbJduYdJLV=r@I(Qg_|Hv*;fW$V**P~6fhQPv5;!+m3QtzSlihO@QFx*R zPxde-mvf{IA|+K?Z*qI((Vzk$^70O=&@b_4QGb1{tk7AtJ1h0;dxNa%&iaaDp3kR` zHlrP6j+eYI3ez=D7cmy~$Oj@`9cB&TMV-ckbocznq}_>Uoew&Fa4fJ zCC2b8^AFuw<|M%x()CCOhui6MgQkpDa#KtG=;Pl^;(z{b<>}<`am%M;+i)~zv9FQS zt)=yiqu9U`riz+nQStYzuX!kT;~kxAqKaI#CAMA<h_I+AjWsJ>e&OsLRy z|FMWDbajs*&+$y}=_mS8t#Pt4W>p>vrR2mZ@#bfp$?b(N4;bNMx+|$1dcneia$H@H zc1U3T_`lq#s8+f^`EPMr?V8hRiaZ&Tl(-tXytQQzxTzrK4WnlSPgI_RQ) zNbzS;(W*m6UmvQv^X{oS`=O;V)l^BYE?alA56P)+J@!OjOC45Mv!bB8!#kAp^?~4Qy?n7#^R8F)m`Ph*tPiVrCBHZnje9q&&cT}Nto#QKt zmfEfQmk(Mc_eo=I$9haAt*TzXcJ}%ZK4L3ZEA(wAVcBT{XE-Vl^Q3laz%@k0DrfH& zj{z&YVwHhQ;bOS1OAoYqS2R=C9_XHYHeleCGqWcABQ>j$V)Vl9zs zWqOaQH3oHtk_uKIDY8s8|i z(JTTl2YGsIzPvuiKec}cd3bq>vuJRAhxp0t(oGMzvxCexFm}0-@UBlXc4oKI58er6 z?Vs7r-uGH+%gk}1G*-5$B^oj(TUVWO&7tz@I*s!0&diZY5^8yrgV--=nIJ-VtVXKl!<~Q29 z*qbg_f(w?sf3x+BR_TG10aRti-MDv6dj9lvjbly3v-@J4Vh1f6`iWxJhuw$sEK|PS t-BUP}(vU;j{O(2F4HpsB>cdYpmGbf{4_KZ?Ek9;R#;;vV{tp6c>GA*o diff --git a/CH6/CH6-1/simulation/qsim/work/@b@c@d_to_decimal_decoder/_primary.dbs b/CH6/CH6-1/simulation/qsim/work/@b@c@d_to_decimal_decoder/_primary.dbs deleted file mode 100644 index efc7aae0aa35c4894883c6af74b1e009bb7ceac7..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 7022 zcmZvg3tUa<8pgkEEtf7D*J`0Ak+$QEoRUf|aTGO)NUno2L++fzxTHDNa7->uN=V8r z<5DiiFda?a4;E1E*+Qo-nCcHZ|gn#_gibf&-%aXUC&c}tF^aj+DQiy zTbVX9`{0?{@;#^N7Z;p@3S5PJnckPXT5@xfn-B`UndIi6@0FI2)T6jVDkNJ$sw7)O z)+XrzS%+jB$hsswA?uMeL)wsR3u#O8Pmp#b+dIk{>`ikZdn^WZp>R1*s?M z0I4VX3#6XN8&Xf?1F0wK2&pIXh13&ug47fFLF$S8A@xK7kb0udkb0s(NIg-6A)Ul~ zvQqj%HXzv_vZ1Wh0frRysF_Zsp!8A*Q4q7B$|M@ey*g0t=qDrU(KMQkenOUhs!XD> z+^bP?XX&TQ(Z$hE$kI=hNi-oCEqB!KB$|>O4CzR62&5Cqp^(lbhe2v2heI|aIRdgd z$&VmgkQ@o=LUI%&Edyn=+*w@FdQf5@-AKkl(t1$FK)RFs7_t?~PatVMC}SaMJt*TK z+mMWdr1hYThotqOOn{{IpiG3M^`K0Gr1hXohNShNOo62JpiG5qPjVWhm#oy8hIFv+ zAgj0fO9)yF>Zd^7HPI{}pPFbk5d9wC88`>1V@)&{h}M$zS;`CpX@b_3nv70n_;Vm; zcs>v_ya0$9{wEMKyby>PPBM@t{A8tmiB4uX4Tu?D2E+`f12MxHK+N!RAZ9q#K$@U? zlez|-%y146Gn@;=46g-ZhSvcx!|Q>V;cNqGg6^K`R&+AM+klwid?04H0Eijh4#W)a z0Ahx>7)TRze^8PVutqvF~bLdnBgKIW_YiGG!ZB(^$0qd;iEvz@b^H> z@G&4}_&5+V`~wg(Tw)+ibdi<%Gdh{!GeFGnSs-Tk91t^n9*7yf0K^QRHjpN|%1XV4 zPG-0qh#9^P#0=j6Vuo)5F~hfjnBl7i(nL2|ssBMIGyDLE8GZ=F3_k*5hJOQMhAV)W z;rj;CM0Z)K&(UdZIEc^-pdPZS{_mrsmq6B+CZs$wkS2P{N;R1*4-)VGC#6oc77&}S z0I~VqPBz~Ws7KBDPC#sa69Z`? znC82qGsJ30Z$hnr`qV_NfkJB{51_D`s14AEHIXM!cuiym>RSUTt_ITd=V;Xjoh(a7 zAeO}!h-K*n#IpDSu`K>TEK2|o%i?7qO@GE$d!dtM2?kY#^3p4iL*S7l>tv2Vz;~0kJFz zKrGAO4Wx;I^n3XNoh(ZV5X-U%h-Fy}#Ih^_Vp)~~u`H=TEX#ZYX(EcUWTBH~Sq;Rp zWCO7*Yk*jm93Yk@7l>t93&gTy8b}j^D9biH&07Kmjj24Y#h17cYU4Wx;|l;yY~MLk&v{W}Qx zPA?s)dgUzlL*=HBk}rkMB4id+nZyuTt0yq3j+FESS>3NA%P37A9%h9UI?FPODwBR4 z^%O?cmA&-rf>DGlqngRX!>y1~jm&~7lYSkw6r<|NUV040C_N1(yyalz$km!8(`J1Bg?4w<>Ap*NTIVV zqo^|J*HJHFRDIb?pR4h+B4iomCJ)D0A*C9b1yv^fI_hPNa*)0Bi5H^?Sw^*#hhwdf zQjN@lDwBSlT32Lg`R-GQO6O&+h4xv8*H126(Dr2NSIx6mO0{lmNo}b%yJXHHHKEvp zl$PN{YKqSC8st;w#<(BZRt*=AJj;t8iKeM1Ra{B@QY27Pb_ME+TyIWzCt9}tT zKTDk#kk@zP;JaDd*4b2bS8R9Q3Q8|av#-cD&kEh_a=ZLro?3p`%;_&K4!PN`&0U9e z^^;7UOU9?i=QWtJY51K{Uk`M=SGcRHPno|<{M^ZpmeftU_OSExsJ7*g5*vQ(JScdp zc##z3G-CD4X;04=?Jwy#{&-%eHPNe7qfa@D0z5|STzb@4s4FQ& zikuVkYVG0MF>2h|`!NxXuV!6;Jiw>flM2&_4i6r@edg0L;8|vTp?i3Ai(dm%95$Ll z&zVXN^jYY6@5C_w&(3*2 z{q{s`WrFv-^5Q{x=SuG-9$V&_5)zUW@wokAr+c53t@m8Ce0SxHojV`oEXXVCA6S&R z-ZSw^<{p>g_JxZAKTOH+*k}_|?74P(iHKg7GCCm5c0i+Z4_4+R`!v6EARyLptYcch znEU%C<^J9wYM*0hp2cI=7tjt{ksbgR?V>tz43REND0N5(C7`@Lm!?%9il zL*mNcUb$IX`a#&hZu=h}ef?y1r0@2yNGG?cT@s$mP1qW{`;3!&$hzIORdWjh+q+h5 z2-);th3~5Ar~3Mg^G^yp5%uP1<7caa3)=Wf)_X6pe;hrevtxP0R`fckGs}4i$Cv8t z`{l%zzjI!E@oSxx+##0!&Utf=lSR&>iI+YeEZauy)tTT zDL9nqQC5EH^pAa!?n(Qi$= zF8sXThF=n+b~$!h5qds6d64s6^M%?4l`Vb^d*eN0!LrEkH#3uJ{e0q=OFge;U84sw zak1Zz=`Ty}4}W8_m0th9Rjz!xCDGDW+v$C> Pkb+JZ!cA`a4aDXToYP1n diff --git a/CH6/CH6-1/simulation/qsim/work/@b@c@d_to_decimal_decoder/_primary.vhd b/CH6/CH6-1/simulation/qsim/work/@b@c@d_to_decimal_decoder/_primary.vhd deleted file mode 100644 index 23133e46..00000000 --- a/CH6/CH6-1/simulation/qsim/work/@b@c@d_to_decimal_decoder/_primary.vhd +++ /dev/null @@ -1,20 +0,0 @@ -library verilog; -use verilog.vl_types.all; -entity BCD_to_decimal_decoder is - port( - Y0 : out vl_logic; - A : in vl_logic; - B : in vl_logic; - C : in vl_logic; - D : in vl_logic; - Y1 : out vl_logic; - Y2 : out vl_logic; - Y3 : out vl_logic; - Y4 : out vl_logic; - Y5 : out vl_logic; - Y6 : out vl_logic; - Y7 : out vl_logic; - Y8 : out vl_logic; - Y9 : out vl_logic - ); -end BCD_to_decimal_decoder; diff --git a/CH6/CH6-1/simulation/qsim/work/@b@c@d_to_decimal_decoder/verilog.prw b/CH6/CH6-1/simulation/qsim/work/@b@c@d_to_decimal_decoder/verilog.prw deleted file mode 100644 index ed5dec691e8e9d7b90487a1ccb4a89ec7d1a348c..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2111 zcmai$O>WyT5QS+cX_wjb00OjW7X>){*Ea1X0u*p$NiK{eRuS0sB2aIU8+6%2c7~)J zN)RM3H0C^gZ-zq}u)3BHEB5g(!_OMy-I}p0j5oNx#rO~7r>L*k&D-6#@0)A(;qBON zYKl#@f2LSI_3Jj|Us!#G`TU%JCY^uD1x0C?@cI4oFIqqCt3Acz*Rzi=O@@?I>AJx$uN^*w#3#pKx~$8jN;SC)8okqHnx~rH1`RlArb;zyy#@uwE^~u=MW_c( zkyZ}sm7yM3AgvnIt3o}{j`@oJ2nyP~`hgsxpXvm!L&2W=GIi3Qxg=rj_MLn#fIjT_HzW6 zy13v%BgQ5and0t>)TInAajMGrslqmO*#?&?x|pz+Ds`!YOC5VLq1`5RX@bkHcM0w8 s5*NX}i{QPBZ&yI#BIpS4K8olPE`boKiwrLDUMfrNcKEM=J>Y+Y|6GFcdjJ3c diff --git a/CH6/CH6-1/simulation/qsim/work/@b@c@d_to_decimal_decoder/verilog.psm b/CH6/CH6-1/simulation/qsim/work/@b@c@d_to_decimal_decoder/verilog.psm deleted file mode 100644 index 9d16ad4e7b97792f2e8818c617536432d50abc40..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 44312 zcmeHQadcK^dH+Jf*Fb6ewa^w@)U=>YZL|pqA!z2(HriOxGG!VxEeT0#Vgtz_l&IKd zTc%ObQ3r~XDOH@PouaahP3q9uBTjb46p!qj&UQOgQPHBJVnwsx^Sr<3%gxL8dhh){ z&M}-b{(1V``#itj?|trj?|trj@0T`I)VGvnF4~>JzZtNv$YnC+up8i4aQjT!EbKF} zeHQGMu(M&m40|!`Rj_ktFQL5@wieqjgZ<#lOeP2Wfq9wC<*<8Tub`a^`%wVSgGG4e zO4_Svucn<3``d|$2`GH%Y1&`Wo}v9E?JsD5PJ5d6XS6@1{R!RwX;098kM_H?PtqQz{SEC|S{ZLyX6AOW7r|D_f53LEs=dD=lifbJad=4V#^EX) zkL-4o4aaHb_Wz}=kZ|~B-wdw;J`Q8%*Atcb?Y7@+_nW#06n|ZBzu5l%)oKS<53W(Z zdopXvvAtteT|phfD!SwJyq$XPjM3AvYH>lHIQ(tI-yDlyUljgB#NQK(-%u3(r-^?w z7Jo@m_+KDC4%Y9S4;`x-i^4xd{F+$&rA6U?i}>xa_)SIO%XuW{%UCS_vZC;xBL3bu z{M+u=^KaeI#$h@CsxljgXTTy|&-b|V;8)~%EQTk1-uF*E?_0xq$`hRT<>>- zyt^gLBiFgOabH57wm6v(tX zfZEmFgKK5}tjP?nmHA_O>M|R2KE>5@8FhRoMu*`pCT`MsdI#|<%9GWxJ|+I^iC>ct zzab_50P$BQ#9xvU|E4LI$S(C$r0zf{fZwDe~(j#;!fc-Hzf zvvK<^&TQ|7fiA%}{~qF-KRDF8PIRyCS&ulmPOKgji*|FP*x}63M!9}$=wGY;K-X}u z#=m*B#&5{1?vnkXaY`R6{AO*O7je5;(__}Qo3-sBYyGb6AJ{mo$7OBThI>VC2G)*? z^w&|n(l^_2vE$+Ey^MOz8jo4qZq~Mktjve#aoMnOt?GsDZmn?D23+zwt`H7v;gj*AyR@_bGmr=cDPEvOj}>D4y^{{T9z(RXbJxGq7Lo=M~VU z7Jl@(1WL|FDNIc*0}2W^YZ2UrYQG z3Gr`BiC;(jitN<-g!H$j#9vJOs)YEf>e3zGdg3>G_@U#wYH>RJ2I99T#jj6?zl8Y1 zN%0%f;WrY0Yf}6r>F}2l|KX(gjp^{4h<_+4{?c^#%ZPtGDSlHr{1*}*i!h0_Yu4}I(Zj*;=*D>GT*WH~6_fF!jOo+R2-M!jR3~pMN2!93f zhZ5rV^xmiU$AR@z@h`>z3*Ap%L;S7D@%6qtxW4dl4e`8|JbRP#XrI-axo@!0{VT-N zPM#wPdCpxwbp2gbW_3Z{(0zFoc~2$g_16oF<9!`@=c6Hu_5=QWHvio9!{T^fPu`Zq zy#Bgkal9Sm?Muw-uQwLQdlz}PCg%0mA&cX^o4orI^ZM(P#qq8t?-Pl6{dLRYcst2k zi3g!%^Vwg|ERMH}ytRpW{dLabc-N4(Jt?oZ{<(UvD$#wUn>?dQdAxPaq&)V#wL2+~ zx2~C#rrBg6UmV{X$v2*suc0`;d&##qE#H#j z_y)*#EG=JSaeQmZhnL#v=I7Gl_|}oHIxSyQaeRa1TalJ;S#f+r%1h^K89oPLVjzXP&JqT@u3SU#sgI1O454Jsp1jCbjE(7cSH518nsA5Z70o zB+q^j7V6{tb=`$w{wDG_rQv^^{Kre@UrPR^Y52cE{@kS{kH3-pjcNEFC4Y12{7cBc zBn|(5^7oa_-$4F`H2j|;|F+Wk>&aiAhW|t4KUg~dV)8Fe!~Y=pPnOPKNB+7r{A1*w zk4C7(^S_q-wQ2Y_k-s%5|E7i2!c%+WLaldwV`j1RmkYh^i|Y$-ud6+0yVh;l(oCI) zquZMr3U6OpS9tr9ro!7BmK5Gzzqs)Bx@FwnwNS6Ci!%$Gr2kwFPrK&)_H!t=X-dK9$uq|hx$z6UrM}NZ)?@}s~Co1L%j^#bBI_J)oesW@>QeOKCZrN@$hQh1kDJz?C zo!g#W0E_c4+f4gg=F9g_lCSZzkRb6e3z;YD&4u!6nWyo{gR6Pcr;5(;Kpqa7@@YKs zM8EJ!KPh?p0(ni$(|F`b|0{XY_etIpfxKqsX*}|zfRZQundHsEzzdD9g?So}Jn3&G zPx>gyLlaUkua$Wkk38vTCGTeD;p3lzd2P(oc;v~vlRP=F!nZY$x14zzk38vDB~RX? zOWuJ%-U{YvJo2PJl|1=wU-C{cuM!7X>SOa|CG#{MdD4$c-m{rk&3Herop~CMJn26r z&-ITpvMU359n8~s;qp6GcqzpT7$v-3wJO1A5~#yIKcuiK2vR%NR6JiEok=l4s3oPRQpM5pDqQn&g4 zqk8Agmh(x*t9;Py{9JYgKHw#zs4>JF)&d=+-C_3ZpOvxz7u#!>MiqMbSocpJ3qPZcY3-Ph+jZA<5KGm)m!GZ=vF@Hc7Af* z@AGu)eWHMF#>MKE^B_sRMX%^qKInFSa^3IubU#b{0=gNOT6d`4Rn)C~(Cz%>x<871 zTnF;!rQTl)=w@83?mfUuQtw5GlX@#3bUQz}?%kg5D)9^GW?X9Bp?X(SxAH-^^ONh| zD>@_fzAm7fak09OB0ovJYY->(RzB!kk!(9O8ix6dIKInFSa^1&0-8TkwGcH#5Si0xYW8s^ML9@0(-m-9z2V2i?w3Uhk}@Tl%s>^=4dZ-JyE-QMd9zxAT+N`!Y{=T|hVEVs*D+ zAtgyaI6&RX2i?w3UT=AE5S=HB1G*WPT6d`4L)5K&(Cz%>^?rt@Ti1&V%x}iU>gMm= zW9RoUbt@lqJ3o27ukmy@1nSMW)Vf3U9-(gKgKp<1ulMsj-SRuJLgyvpVs-EG=Jy$1 z&j72}F}n_Krf%hfZs+H+l{4M>(LxJ(n&)2!Z}N0EN?d_?!noAB+sF^tDY{4D%X6{v zLAUcK=Sh2v?iYEwmkNFX-HeOX&A)SypWmT+k5RYsLAUcK>)jWlyV=v-6wuAM)Vf3U z9;a^QgKp<1ulI6K_p*R)#>MKcD4%-$4%K@Lbt@lqJAbm?LoxM!g{S+40o{yCtvgij zt<^}fr~{i1+w#>MKcNm%b~)UABb?fl7lkHpmbZcle}KsV!3>kid>2X!kS zbUQzJz1MlV{~(~7ak0APJ!-T+3C-`F)UABb?fl7lkH*w{(9`|mfNsX6)*Y(%F6vf3 z=yrbcdOzUl{=MKE_mD~Iy_>p~54xQ{S?}?fdXIX#TLQWnms)qI-g~H9`JmhR z$?Lt<(|t=oH{)V;?@n0nhpAinpxgPA_1+p&?*~2Iw+3`GF17AZz4ubL@%AkU-XHOFw+3`GF17AZz4ueM@%dVk*2{nCJL#--LBs`o+aRzB!gL~BitWF@Lfy&--Oit^_nw$~f5p??7SPSO)Vf3UK1AKh2i?w3UT^tMW%G>k-iu+Q z->Kj+QJ$;xyO0We$M`bw%gS!AfSs7gm0`HL-}#kwx*z<4Hv+sluu(irdySXxvBrS6 z831@r%g%P&Wm!p|3UTJ@0G;6lz5AXH$Q;4g?O3=JY7eM;N3|)JI*b{!^g9z|GCFwEAccBcnwZR zzJ4o+cRBTS5HAwCMD+a;@vb1=2=V3w@OBVS^MI%8ArZV+5l_xnIUd`H zR~f+DNj%L1o~~0w@Lo;4dBodGyovzcF5+n(@S0p+zJ4o-r{DiU-(liGY{BEPn|PWB zJbkW@==)>hT}6E-h<8@68|NP1J;c*I;OX;r1n)J(yP9|v7rFU-CV=-a@iY&3`Wzg= zdoA(i6R(PRrvrF>Wc4B+i2 zp5_5h=XC_{b;Q&28^?Eqc=G*lq4Vtk@iY&3TE__9>xrk&U%=ZzyyF49gT&K3;AtHr zcpb#MMJ(|46Yq%t-dBjHdBD>;M)2+;UJLP#5$~}8-XY>?9`Lk|5xl#JcRlekm2Up+ zBHnzpm07!g944OT0Z;1~!COtdMZ~Kn-cI7ldkDGj8Qu}%X&&&jjuE_0;yssmD~Pv) zc$FUBQQ~PH@U)H*ye{HZ6K{lg^1Dc#FCN}8;%Of6w2l$HHN?~R*f_qsh$qjff_Db{ zqvL&yc$x=1tz!hQn|L+UcZhgfhi zPM0?VBlEYcS1j(owa(AZpXKJu81bIK_UL#|5Kr@f*X8o^c=w18Jl>bHEyR=c38~*P z5AP)LG!J-dJiIp$kN44RAMsqDn9CmV@Jc+YY?*)7C7Mt#_<=e5n} z)5Oy};AtHrc>TnyV%}cj9Sz`}A)e*|PwN=Ldn56#A>L8q9SPu_C7$L1PwN=LyO($i zhG!J-M#|Yj4@m@^4s@ZP7917scx;y4io#p{g>lndXOFZ^9**4nH^MI#yjNok`9{ZYX6Y(An;8hV%^MI#yjNlCuubKKfiMJiJ`y zKkoT+ar{n~mG@o(8(mkZfG792OJQ?ZSNQ+qG_}sZkK=R#$4QPy^f+PpK#$X9uzPWw zcJcgsHVz=pr|dPjU&(p(k-+wkar;49i|5~~%=$QI0ys}|yQq`C-f(Q*$n_@rzQ^L? z?6uTyI1A`cw{hBatJHBC&U788U5`s0r{PT3aoT;R)NvZlbRDPNKT92_;Y`-eSgF@|%#_1SYtsrn4(eCxC4q*C=6&iU47&uyjZGaS=bF~`jpzmJwb6d?1+-<}I> zzbdeOL124TV0$&UTi{JDsg@r&V@F9doC|^{DyO(jemgG-%`hKI2YRZhtAXZ z4d+4||L}Plzu{bH;~zOs<2RfOZTy?h)A$YNLL2|+c^bdrTxjDTJ5S>`oC|IIYc#%={oH1K zkD1H&ligStmUUl?Th6%An7BU1jm5-`F>X92ZWrUW#Kaw7+}4=5V~lg(b%n=!nsM%X zt#DipFFvFX-xpWYj`zz}-AMf=ZcX4^#P2vL= z%lDdphPcaPaNCKi`M~{#hbshvi_=1J7vV&b;%Yu{D|nx=_g8oE{Mk<&drxQEU4AZW@9Av&A;#N# zI@^Aj+ie}ow)^kr9`)`scT>l8RyXRjb;niQUzt+Bt7~%oM@rPckos!_`sbw7@8(-_ z{YOjG{~YRX2?r2giB{`o2OyX$sx z{ZEvr|0e2h3Fu#tQhz7)B)$F|FH!&VslPR#zbd7Ecb`vQ{}UzZznS{m0{R!F)Zd=6 z{wGV+Uq}7+zR17+SEtm!GNt}gCF-xI{uP1xj}zbSzx(Lh`=HYvKj5^F=;ZzHnerqt(J^8@%+UwbcN z{fX7Z-iz3F8;`vgvF)bA-iz3FJD&Dl#I{@CW$#67yZ=5U)EC{xarJOqhft^JasPdd z%lffm$JNSl^-Z&JS)W$yxL(3>+53p;a=fg6D|TEj<+z5X+3~WzuGn$i&T$P-vvFC! zSM0djIIfXtHZJP}iyha?IIhjpY+TkK7CWvxIIhuYHZJQMiyha?Ij*s3HZJQYiyhZ; zj%z$HE?Ivc&6M}bI=igDyWc$}|9!kX` z*+2d%<3*3)+B%@wQMW()ZXM6u*;QC@lHdPm9@dXmus^f>?TpjBS!G$S=jL@hi@bm4 zaA*9=)A<(ayju1{kLmn##v706GfTX@&PCLzdC<8$pi}dp^KtUQD6i=pBkvhc=i8|B zD#n}6zhHbwC-L$+tEp4-ptCKYQ}dvc>&@rX^KIn)rKj^j>b%m^`Suu{#LMffp-#<% z&eniV&4bQI$p=Z640r6(8=}ibL#m{ z^8Vb@`Elx$^Ef&`-xZ^iczK--)Tw#U*&NWRdC>VO^1)20=N9sw_H=%ZI=Z6ws-8(8=}sbL#mX^8U=z`3QAh?&ujb@&4bQ{fKJVW zPOkr-qjM{Hf9mNxM4eVQtEb}NdTZMSFnS#&US4Mlb!r}T)&_KH9(3w?kLw^{ryTe9 zQs+-Ro!_KRm?`t~uNWWFNxZzyR_fF|=&T9o)I8|q`-yXOZX@q0Pv>{26R=ZsZnt>l z&t;jP{cqk^+New8piB3I|5e0+nNp|s5$`FF|2X*pJB9!Kj6X?!=I8mBlV9V&ulvFO zYU035;om{LAA9^iAb$npZ9aW~@h8a7{5<~(@@pLUbwBu55(j1qe~s2HmwmF*y%(+Z z{07f&^89AcZ}I$A&u{a5TemZQTL&}W)~(F9btdy|UC8`S58u{5Y`?7=m~YS9=G$|s z`SyHgzC9P2Z_g9vTOV$|^{?hz-)H_`^LYNqJN~D5{Acob+427%FLpU==oYekKQkE{rsV)=eN{z5%rs%4^vN$dYGTr(?LBNC+CN!N8_RA>j6Exi2nmm z&rDo6Wql2&k=E1nJjD1p)WiI|o=)n~IOy4?elBarOXH#E-?6>W@%jkyzwhapLp`%( zf22?TDB~-shxvIuJ=CLd(6iOkqw&!59|1kPiGRY=Gnaa1dwM>`_zLP_eqK)>^=KUQ zZ1MDHJoNl$K+oS2|9hUEYpCa9PtV60kD}px$z_?J*E2vp8V5b&o*s>do^J;9>>>Vl zJw4Y^&m2$BCm4U0Jj~DQ8KNGIgPt)@kH$mKw*q=TN&F{0J=N5M&t9g?AMG=7KAs^D z^YeO!sYm0WXVlZ9@zC?_fS!k`=eVcmX6nJ`98>iC9pg`vhxvIuBh;gD(6iaoqw&!5 zUjaSR$IElL{(pY6<@0_6UAf5r@Au2(pC=aQStE5KOut_`i0yLS)wo%DJI0pDf08Ho ziVOU+$h$m(uRgZR^Liz=OEh@OsyGh%a#>dXH}N_zKK@;ydB~UN=xDxs{+;}L2NEp$ z{d~u|Lk^xjs6V|NhVBr;n#Nz?1$tipPF8kLUX0Nj%8a`R?N> z4)CNOi{i0g+QfdW?9-B8R(Ab-9d~8fXXr1WzmoOPI9y+JzgVvx$^$;>x1xOPhw^-T ziJv3>XX($Q-%dV_1CQ=6z^6RmlYT17$9^Zz_c`KM694n`=g{vUpT>bl_ZQ$(9`H%O z6y;+-ljqw<{0#B`o_+=WPV#9ScyxaOKIH+Q^g~fT_A7b5e<1!DSVWfnBmJ}Vd&sA8 z;L-gB_>>2H((gq1*pKA-_7ndU@&AebY5INS(>U<99E2Z?`#_+O%bl)mdTa@m8j T9Xz_f0H5-J5AyKuqTT-oj)bp! diff --git a/CH6/CH6-1/simulation/qsim/work/@b@c@d_to_decimal_decoder_vlg_check_tst/_primary.dat b/CH6/CH6-1/simulation/qsim/work/@b@c@d_to_decimal_decoder_vlg_check_tst/_primary.dat deleted file mode 100644 index 79b1182b22c67bd506ba3d29322dca5e492680d6..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 5683 zcmbW4c{o)2AIHZ!_I-?fnT4@4mL_8_O9|2E$)_7<`ntzZ7g^s7dJuZuwfn~Ma`YY4E}$4KbLuWD@omme02S(b7`2vrqVuqZ6t zy8G;~y~w1;rIK|HqB%Zcc|CY^PE6Qn&ttKiD`6XYs>E}4g!T1QNpKcP&LYKGq&bTW zXOX3E-Pw1#G9j?$;>ArOdonI-V2!6%i;k3MIr|qzI-o~>=yWF$KKl12gdYpX1%R9BWB>Gp6Q}*;TuCljLNda}tu%zYV?fHe^ zQo}TM?brp|@%a3Y(B*J!>sSGGTqWNegyCs|?CJHy?$Q}eDwY=5DT)zBRirrz7mE3z zC~20-5u?rH8Bdhd^3-Sq5msU&D`rN$cVOhUD9gL?M2wzBZ_3Cdnl;(j9aF9eSd7D3 zfWK1d~;TCvpM#xd-n-kBKgGI=} z^36%%%27ecQQ@1johwHbAxD*O&JK1?g@@zGLV{m_+~`47tVp5;(NJD!d*3V3k>eO? z1sVzCf~M7D_AAmJVRFQ1rWkJ}+BJ-~I2af(B*0JxLlO)u7*b%UfFTWrDi|^%RZ;5} z|9G*Z_9Z1G>k_8RmQvytcc2+NO_0wKM15UbM(=k$a`Y=&w$XkvLFA1raoS=s`QeM= zKZ6BO8HW4(vd@`sP-`UEuiSMMyek9B;9a@k=or4Hp_pdQj8cp0o~|Zzu6t84;Pz(@ zPSe4N5F7IKx#{5H0jYdtLlRY-w$K8eEYCiun&LPR-uJAo+|#A#fRl)MRFrdj_sDgZ z3BOZUll@tjod!ehK3#G7ppFtDQoYnB>OUhbPJc7chpmhlKG&xfd8*VrYUj{vTUK_B zn5`#n(iOyxWt3=A9H=Hf*UP}Aja_}b=|@2R!_Z0l9pX!Gna78iwzGQQ5zc&1oPIHX zcZm5!p)xoimk|^|ymfFF8r(l11>G;{7*1$dMayMcs7LuuR}+_-5rLT*ml=5GAI)45 z%!s^Z>U_;W3!<4BFeCDs=^>hF05iR%W_rNPoXboI$;=JGOpn)0gRdEAK{PW5W_rA4 z`iN$lz)XLsnLaSH;4%|NGIK{T)8{qQ3DHaon30y6k$@SQ%S;5x z%mcxU#A~L-*9^2EnvsDSiPy{k(M%hd87wt30A`k4W}--Ds}Rf#c+Ir=nt>KXGfQA* zz-wlRXr=?q440Z20y8TvGc=OfY6LSwUNarOW}pSp%nFzp@|qbTn&|>Fqorm>z|5M< zObp4)6T!@g*G!kM8E8Q?vj%2Hyk^FTW;kGGywuDXnAvcdi6fbQyZ~pQ}CH$vc;sz zXV1=}7vPl^t6dxR_sg$WPX?tHoSl*z0oKe(WM@?S z+V%@WLAFzeOQoZ*kEmnu-JhH?pZ~`2{hr92w;qXTUN>2HB2@PIZ;ivW{QIx)6B#Fs zx{ulUbWNN}?|v~evb_1K5tdXCz#NX&)#_EvEYL*HZxS@Ew%;I#+v8oMq-j4Lh3=^J zPLe)NqfUh$zUwEXQ&40!ALp7{ecF3WM^ThPyYhc7uF2*t$GXfJzRkLCcZ#@@3Nu3vNxdb{H__O zPwW?{@Bj8Qcd>%{2nA4I#4Oae`U%w6)&})WwL*QPOsMZ{H|YEQU;5d&u7vvVqfpNQ&5){IW(fm zW}9WS@TwC^u4JG6-|M2>$OP@9wv*_ zE*l&)oUM<~?6#Af^)JBh^LW~l_(nvCSnrm6+53}U^YOCgttaOrhaUX8dGMoj-tBII zE*Vj!>&hFaNH>By38y0lzPG3OGd~^>E>}=_e@M$tv9Yj*9IfFT`05yGHYP#HJJ*Nq zrCv=LOCopo8z{Q05ecMw;d;BDHf6q77!AC_xS5dJ+H^!F!%2+Es6U~ee4$+uTHEHj0_E|C3pD#T(a+cI)MB(f{cG1~coWNM zKR(GhyglW!zsl~}&A7;<>#^r%#utClHyg}+_`$P&Q%T3kp7w;^+Q8Op)f-!`v^Gr6 zp=R;(RxMkDQUm&QUQd&nG;=V%ugX7UJP9@Yc70m4TtD(+dXgwfW?^v-O|VmrI9}(H zB6&JQ;Oqb~@r@fM-OZoM&?L$(f2LsJzAi?+Q{tSpf`!w!)W?lfhT2iq-&J_)u(sRv zC6(dtMvNxLx;%PD|Fb>*`EEg#|GMi= z{vc)k9l#^? zbl=ylq#^N7`Zl|w)P?QFo)w*o2Udm>FK@Au-9M8uKu}&O_hWdn(zttdc5%lWm%;uE z*v~O4RacJ$pGrJZkH7Wsp2WBOu-=wnq3COinK$%xmp6TqdsLx*<#bxa#BeM_@UPMS zIJ2IF)@wJDYP05NsKerqE0||3;+>rH3?8@&ZIc)XD5G8xAACiYQPP$W@X~wMX;MVm z-#E0$ELEMN_lNaWWEU2?>kd&KwWsY+rg*GKKD5KP^ReKlyzBN8>tz=b+d?E050qqo z5or5xso_~6X)(177e-gB`Rq%RoTh&1(h$9m4!m+!^GNN{smilK(U$FT4s|dU)pT*G$dKG>Fhe2a zG=#}` zqZy$OV?)BhjOK*Cj247`jPDT+#X$Q}H#v;au*u`EBV=t`Kt*o`oeu{&WhV-La<#-4<$ zFqk1Mgd0XFl?H@P^unm5t0?qjMaFbv=uy^Upp`;Tw)7<|tpn6b^cD1lEM>4nUq@d~ z_l6#Mz2QU3#0M2yi{2QOEER>`64?|4du?WkjwT&cmbS1&$CM5&OIul@BTEOErEM(H z@tt8TZD)y&G97HL%4UgM%RX=y)4nCp)17FK9<@eqwB=dewOGm(bZxppC!6j zbj?^gz>)(px^gTXWa$HBbp2R5#1dV;C}XLBB}Zg*E$gb}!vOqxj?#e8iM|+>V=4-L zBV@sdx&q~Ry^?$a5^PSYD|DhCGUb$tLQj9GLlEqBx?V{>0|{Q|)fGDNAu{EHibCHQ z*;oX7U94AZmKBs?;{IE zu-C16CHXcac$KRwbiy5(QlX;IH$@hPV6S`iO7eY3@cLF=p%Wg+lqwa4z8SLd2=;nd zuOvT$1h2>H3Y{2;O!-kop>K|C0)oAs)GNtPA;GI!U7-`6$dsQ|6#5p(CL-ADMZJ>z z5)!;>)D=46g-m&+qR_WQHVMIAuj`fMH;~}TUmgs#RV{GWnlE%=7C5_==mYiX>+}Ln5OB%xfmNbTe zEOmidtg+!lmNbTwSkf3yX2}I+D~t{2vZOJb$CAb{f~Br7i!(M{%96%#8A}?&7?xaN z7H@2r!ji^tB}*E^RV;ObS%R@)Dog*{9LZ^raI4bQ6*}Pql(P)8@@B(_DXkFu;)%kDY!nUlwTwNYN{8esE;q3UZ^peu*@|1xo!Jy=jB&;k?9RrO|MkbCMwDN!zLPM&OBuBIF%vSg z0up>}ua-SoU}g7km+xnebK)v2D?2W~`}B7&d9vr}xf^1FUyR?~FW>e_qQl1hT}u}n zO#OW8u|1aAt$TU5N&WIbr$eq@#qIi;I$AwTvo2gPOh4b&p>xRht$Z{6eP=FP>D45< zD%f4Pgb$9r6t>y=^b#)*$3Hrbd*)as2kE9y5Bsv)l{D+OQI;mp8$@jNN#9hw&8zF; z0=rAEKFWRYH2HylIV&QA9KWuWed-B4DD56bJo1nq3chX$Fja? zIpoo2F4sFOT#|FpWNhpM+x<7qC%*k~U6T9g)`@m^pA0;G!@_=eLI2}59z#5x%(`E> z(bOf#e#OqRG^;yyKfc;q(|7&+M>GDhL^A8N!6u_yg!vcS*YDfiBl_w;@0>rlU$`d? z%_+Ox?!6~oW(6UwvI|^eK4})Uv19m)ycDO(QNG76q#eooW}(ye*I%vK;1+f+Wpbrw zf49KgYgIY{47CliNl z4O-ZiPbkjN#b%V9UvIa3)vjVi^c+ja+dAuTWJR`prgLHB*6^LTkF*Kd@7BA#tna#Brg9!7&;TWJqq=M^pFvS5blUI2+35z zhzED&PQoN~qN#xO2&JW}s2`Y0M`R#mX=PniNsaHv6Y(ESCZ=~HlufE)0jPcGUy>aN zS=!&Ac4jH6;-=w^M~(SmgmOYv-c{vdx&R?dU)NrJ!w%EueJ8|GOjD<+e4{Edp!PY2 zkK+hgvN5Zjp`UB*oc{=M5_|@sbW)XfRi`k08X-$FjjO`ZiJZqYb&twoP1OZVUo=z| zzN;!v8Z}9XE10G}Q8}xrqNbC&OIfPx2I#L;Vj!A)YAJ71RTH&Oc(+4pFK-#DhN_yO zDim+Ma!lW+s&SesY9y&+l%*`AsuX{BA-=`*BZRU?Q&olOhX`4EXjGLP5G2H7Oh2Wn zmzpYS4yg;2B^Psi;GrERPY4x)T0iRClmV*p?&qR55Wi(q#TZq^2elWX2Geh-YK^9f z8b0dmWa(mERY{FsoIl=1)Xq_(rrcH)2~hh`YeykVuZ^pMTyYDqirzs=L-hdKsWn1S fe@AaFSqh;4Uhv@OqW2H31E%R6CCldbqO$)2VNwSu!?FaZO7)H6eiY+xpOQS^(1PuBa`hj2Ln}6g; zk~OW`=Af*|43L?@$i~=D=C3RD(?1ITJ|O=0L8*_3pMify{1@>{u3xFoZ@>KdTl+~} zzlG;^km&ovqr~oE#Lp>zYQIVT;jZl^-uwQm#5TMHi3;%w@#b)&1=~XDkBH%PxD$Nm zPfFrJozU0y+tc5V@t(uWV<-90-+PHK>fTHJ`KjGU{wbZ`_VltpQc$~i+ z=P25!-|NxG_X&O&`(DrU2H$Mt?MB{h%65N>%65t>%662>%66Y>%66&>%67D>%67j>%67@tGsdV>%4CxZt`CKzCCz zisg4=#9GgAJ;m&%*zgQDQ*6tOkLf-)@<&{y`b+m1_x-`2;(Vu9)kIs+sDJ8m6YBj;ZTt z$F%FH=X9`(2lw6N;6c+ckZ)-3NEhg^7)a}eGy@x8GsyAUBIP>LxLH>{=^C`glkWDU zdvuL+w9glnrA(mr=KY*uutvlQdh!x+^dj}Zx=sv8f9Wv_qf-~mxD98poiIc;sbCH^&_fuz z2_uS{`!F~Q48v0fw2iXk3>&p%(_CH+N(T5LK(PQ*1Sk{Wi~t1!>=9(r0F&SY3_Mtn zz5@&stow)lb1cBh zqg&v}Xc`!YofjH|284ppvZ3JG=qt3!WhXQP8W0LX%btU?qxE1vu1cX<(11`7TDB$J zAKeLuGVTG*fd+(v(6V!}A<@7vG1sxT5;PzbgqF>XEs7q8&lv~cvOC@yoTDrsl diff --git a/CH6/CH6-1/simulation/qsim/work/@b@c@d_to_decimal_decoder_vlg_check_tst/verilog.psm b/CH6/CH6-1/simulation/qsim/work/@b@c@d_to_decimal_decoder_vlg_check_tst/verilog.psm deleted file mode 100644 index 26c388592e67ee4c72fda2b4643829cf5b0e7c3e..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 57696 zcmcg#f1K1;b=@zJ$$-QR0V8VE&4wr%R0ssf4-^S7gGD6?Dp3rJ>?V+qWQ9#a5;Ut~ zf=VkYiD;=^TU1n9sirO3*kYv>l`3shMJ0-rwzSepTiQ}fOV2&;+~M(dUUqh~pYX?C z?tSN;ci!*seCNC0oi<|X?4sq|?Jf8>4EBl9EiJ=gzYc$d;^)YgU@t>_B`!F>L-xnACuE&w`e)%VYBDL&b0r)-Z;5s+3=PU<5vD0M2EqSC}f4hFyh}i4==>e5wNpi|L1i1 zVN3(^0$#UBXtVq?2F8Db_-+0+HGgIfjDNBCTbt#-a$x*#5dSqz@~>Tf zd)El8-_@OKmYH3%ZeaDjQS~ipvc4N9FYB~=)^&BRFx%bPf%RH?_yypxeZ#Hq7jBoR zp7p8+2LI0N6RCgWl)=?=qw48xww}ueSI?VO&)#P1nL4<7-lBSrHe1iM!PR5?t?j#` zv7y_#Nyj7gZ@gk~^}JQ}Om4QG>4U51Ce^dJ*?MLSuAXJ8XI-=P%p6=j?W$*6v-Mm# zxO$eWo;}UhGkF>JpEaF#H?8`KJtwzeD^ZhBrBnFCQ3xr}!r{%RhBs{5Ok# zZnON;2F8Dj_&b{AzhYqgE5*N|S^nt*<6kBI?alJf7#RPp;@{gW|IC5$-zNSe&GKJ4 zF#grzAAy6nseQcfdCu#IE-Yv}*IQr?ZM_087b_qyOI)&F+YzoXgu`(7_RrTW*X{>PiGzwdR#Q>uTh>Tfxx$@$;+ z`r;|o->v#5HCun*>yD>X|6QtoNwf9$y&ic=_1~@fH#A#+-|Lj8RR2Aye^-UpQ?**xHSrVpr|2UO3a1FmPrfa>W{JqHI|&&&bU^DfnM zYQXheIiPypt$JF|ZEpQ^uUU1A?E|-Tu4!r?*dYG7P4cg5S%do|;d;vMmplg_tYeE~ z6aR4kRrWzx`&{19B>x?E-G002U$yr3WAWRUcT?Adn^)aI-D_9U zhYa3FzXaC$^Ov&TPPelWxBfG0*ZCg*FgnQc@bMb|&Uv^$o>v29Jbu63`*)x`bHo$h zS2x~)@?0w(zkiO8Nz^w`p1I2N`{dR?gV8fjd44|}$Nivq<}1(ddt=)h6i-!oet#R& zbx=Gt<@tSVU!Mc*=LO>N`_;a_2g>sr@%Vjc-v|2eth!{1t(R$&Tc+6eR+n@I|BA$) zK3(O)&zu_kC9gc6{pIV$+Rb$$+?CGb{){@$-K|{#-`#qn`IB3(y2@

    ~7}Y-q|{f{-t4`YQ;bL^VacxAL`?| z($RW%*az?GWcjStOW$U=7FgT&>Uq~|zw8G;)a{zmPrHV?zRREH`lde3^-X)4>$~D< zu5bF&T;GhRxxSfCbA4C#Q{N@4E}3fk#g#K#ruuUTC0sYy^BHzt`#$a00q(bUt;Ieb zuA>d-=c$+XJhHXnK7VOPYs2ef>|@-|u#NKiY;EgR+|O=UbvyUV)yu=ac;_8qKV80R zwf4V@siOgo`{eSqYtO>Xxppo;zjWWZti$wot_atU%U8R9XNa#^#`~|UZ&}9gSyy(h zxXtj(y2JHt3#{$0_FPPH&hUy|w+(9CfPMa7GH<*tL} zBa1@3ot78Xd!zcHz~f9U6N}-+Z0anR?0Uu8Ctan(s2J_4x7S$Wa`)Z7U;VK#;OsJ* zx~ab?hB@zC#Bse}>R`Q<(nRK!OE`qtZ!3eIDa=DV?0Fj0Tj!&G@Bv0W?c!?}Uu(j* zT71j{pFKYl^I5+Q1D}nLwa@PFn7*ar8=vrXiH~{Uv*%@EJ`|JB`q%ijiqGz6o<3bE zRT92#@i7m4_IymtX9HmR#)$7x@hui#IpJF;KIVbXo`;F~?0Vbyo+Z8m;#(v>d`ZG_ z_wiUSKIVbXo_~q??E2IA!gE){O2@>vP<$sfkDRYZe9Qyi4GAB|0esI7@lqKR$Ht>3 zzT*j>-KVwn$2{=a^DVJH&TH^pEWQcin=8Jf3ExKXF%NuiNcf&%KKL#b-)!-PbyTUZ z8*lF>@i7m4_Pk20Z@PFo#IrfzOE=Pv9knFE+j_S8xjrzzHhLb{Pq~Cm-_}uSvc?f` ztFQDK`eR3Jl^*gyk8QNE9?o0vbN(Q2r}(!d{4+EB+r&>E@Y{1HG5<5o2fyz(2gJWM z;h&x1?-f6Jz;Dl&#QbIVOF#osP|`@YTg zd!Ik;8Gid-!qyvkz;Dlw#Qb*d*!YI;SBI50h<|6ozcR!BsQAeP{`Q1_ocP;9ytG67 zyAuAc4F7KNlL!3E6MpWC(ElRw?-&203IDnb|6}4O5BOIk{2W*CgUBBlkjiO@b42p zdBA^j!q0OA`nf*9zfk;p6aK9k{{7-75BP6M_&M*v&+!NUYVq$&_YPIV8XvA!+%)({3kQ~r^HVl z@OLNtJXg^FT;Jfg>qg^0neeyBM>^-3U%%P+=yv{+2mE&>{4X{i{G7kwUoHMq34b}m zKSKQE0sq|zKi3ubIX>XG>q*n!0vlgHR5JYbiPH3w2mJRW{4bGy)(?I=kBomr!aqL4 zKU)0c0sp-T|4YSB3w~RV#$QhO!*$S^&%fUP74b74{P!jNmx-Si{HDkFM<@IfGy2Dg zpZVawKjEJwep>KP7Jnt-pPbIFW|2pxHPxxnN_*=!#eDJ?B;eUnrX~Dlu{1+wswG6*KKVtKf`QU#b;h!vi zTJY}?e`~_OD8oNd{LBY`Pr^S%{IuXdBK`>p|B?*98zainDCjJ>AURo&r$q9c~hJU8` z$piie6aFj2&;0}XSBig{_%9~!*wVTT|25(#5BMKS_@|4X^@D%2_-7{kJsJMl;wKOI z-PnC8UB^xColM4JxKjI{?+0qFZkySlK-U{{axZGFZiz=B>!a@{%-M;7yNU@KhXZ4 zp5eFa4O?I21^>K3>d*177e9HyKYx(?Gcx*n#7|!ER|m;IGsC|@{Nx3HZIJzcZH9lN z_{j_Y1%uR|j%kyQ%3(T@sk()Hw==0S%&{n@sk()iwD{Nw`TZvi=VvUf5Ra4=lCBJ zKY79b#zFGmmeIdQ{Nx4yn+D0hI>WzL{Nx4yl0o+WJsJLe;wLZoZycol9RGgtlNbDN z9wh&|jQ#`SColNlGDvJ}k7oE!h@ZURAB)8C#qiGa zj39b`O5@<=)~vJs`Eypd?P2Zf4|gM~C9VQH5zkpYBlx9n47cl-crT+wVU#(xcBub^J!*+cS7w z&)4x=U4I6z>(4rVhwIPab-h%_?{@ve8td2jUdQiq{TaN@qdNYe>(AiZ)z4dHZ^Qhw zb=)ieU=lwpf4th~c<+Dvy$>4)k9$9FP2#=s4<_-$^6hz|n5R|#k|f?Pe`^x&m47ga z+dg`{Wq7CUZ?=!x_l{PNZEW%UKdd}MSv<9nc^2NE``oPc<>jqdch;XBT7P=)U7Zir zkY{ArA4heVKe8C6_u`f2%?{o}huuJ232>3xEAoS&DD`-*US&tM%lI;r<- z!s-2kb)22|alh;nPVXhGUb6+xH3o{xLj9g!Q3%eB3?05F>5ZN4~Fsj(n-_ zakJRp>ht5<+AmU`C#28Nrq7;pvi-_&zCX32Jl-cA?^f@@>{H*OA<~`lJPQlbzOVKA zUAN<0cPqzfY{}Q9TvDTcT0BI$Q=XS+bUQAmdyjO-&qFrSy>y6lr##nYbUV&< z_hLYvY@X;nsr7O5dD%Whx>KIqJaL@sPUp!n^>_SyS)=~$7$V&%Pi~$#E~k61bZ_K3 z4(p?l?$tx2JLS1QGfy1nx)1j=PxKz$KJ%n&h;*ksxq0F^*PYIjOKKIz`v&pcT_M7mR+FJ zd9rzkbf-MIdEz+No$ep{o4Zolu4ncfoa;{K$tm^s$$t8K`w;0)d2;i_aXH* zkiS0JIYhcsp0{M?iQ`RM7mR++&pod>rUrMi}bYU^Q!HOjrNmA zhe&tIlba`w%jrI-d9tgY`Tf`s=}vh*l9?xttLw&pp6vQWf9C-z!+GSNclHdC?vy7t zPaId*om`)cke+fs^JMQ3=}via^TcsE-G?+!!hMqbezJdvbf-Mu&dd|Xx$gFU=866e z2vnXuPYw)`?vy7tPaNmE)BVJHn7_Z@&pdg2h;*ksxq0HaobJP#CwuzYPYw-{?v!UZ zZumC3K5?Au?(Juu=6t#>MadA5GeK6YjU#_u#qf3LDo(=X1(a%giUodHbGfea^F} zpZd^UXMNrp=zVF|?L15RsSneN^*z=0IZu10zAnXA%C;u$biHoFb?w+u$C7bJ8ubpe zUs9fR8J&*v@$%o#!STd;XG>2{KkM=M5a~{Nwr6zP_pzAo9en>$Y4|w%9^pK^2g#!N zKB9OW4YKEgYSQ%`bhLdx5x%dkl-hx_>o4L)7Ik0wrK^QssC@4q&v%@Ef57^o!|qeZ zI{rpFmLxjD_v4jPuXGRx9qv27>sXrT@O;O)4%QDHb{{m>@jdBSndk`L$5%>+L1g_w z9CWzv{H|klqQmnY=Q>zFbl82iSjYFJV_l+S2`0E*AGFezOT*pcWMkGPG?Vbx2V&5w+Zorw<5Pj#?<=&;W@ zv5p@}$L>VO66t8AEtiP1`61u=eOw+(ba=kwd|X&Rbl7!ttmBY$>`QcPm5z4ROPtM* zjE?<@4$n_@uzu*U>$F(M6Vh=o(Q!~ZdQ~rRHa{{t4kbD~Kh?qdp~L=uU?qC5oc+B= zTQB2~VBh!d*1ilFpa0r}xb3gRjpSp)hAX~^_#!+H2*bQ3vM-SJ>%Ym|Z_B0iK-%^0 zXSM%&9-l`>y=mS|<=MJul*i|c8|2Ma-rh_epC4|JS5uz;9#)ko*@Ox`-> z?a9=)B9qsnJpCQ1KJ|5E@-`}O1Z<;z>CEJ9R^Eh6-p!f3t;(C5$>Z}M4aT8Yc^#R& zm6`g&b!54;A(OW%lebItZO`Q0n#tR(yuF#c+cJ53ly@YPw>p!zPkAG7J=$pe?#SdF zP~L=0-kq7egUYk_5j3i=E0cFvdFwNIZ_nf%Rh~WP)Tq8SnY`o5v-b=&%3GVsJE^=D ze12_|*PY30K|OZ8I4P5NS0>Nz6WViEjq1BQlUGrF8!~zKWb*tz!mdo-y_vjlF5$gL znY{Zlc@w3x1?O#}_T8V!o2{%Mr=u1sFL@=j&)-kr%?sXYC?kUrp%L4+jGe0M4z*H4vj4AV=@2z8h+xs?;gnG^&ih0{F-&>pGwh9-IefOw&*(1t}E^R zyxxySX4jc+Cu1Gj=aBDmzZyHr@TT{J_!-y7a|-o^eytR?{~|BEr!A`QS;({cy#I|Y zu5X&^!%OgyKX#Pit-j3xS8pHBH`Eu#qf%^8eT+x-U5GrZFW}1cb{VVHJ2R=z@K)c4 zLis(G09<%q8-A^Pqw2xsE91ADg$|yZ_`RP^DrY>>@oeBsN60Vtc`o#tM2F!`$H&$0 z9DmFMd(QmaXddv~jpo54$g*((9rf7+F(0z%^SmvJwu#~V3GZ2hKS@5%N3=6s=T*Xc z-U_|X3~{@@v2o@3i#X3Ww4ZrsKhH^6#-sL+NBta!P)~_E@VSXRu)9OQ)#sVvt^FUv z&saatMd)pnUY>XGC&=eHX5%EC!iV>DA-+j*%u*o#DE-65a!be&#uZ_!j9`UU*Lx^fM2- ziG$_&6X}00beR6YTP{%tbdv{mPom%OrvKORGuF@Z33@Bi%X0_*IQcz>ht93iDSUXJ z6XM$xS6+Az6ZA6=x_Pd^@|=kDKM%a7Kk$}InmjB*RUhAb_DTEL2TY~=Wif;(G@SY^-XC8F(yn*HU5$V4Oc+($v%O&zcH+f+9 zCHf6-`hNpIWBnV=hu#+ID2Mkb!5<<2{h_?JLpp^oKA`wc#d%I)UTq5TD)XS5I9SFb z{m%!^^atK@iM-HF9@zbfe#4voPvB>)|5we2-jn#;iR+Sr=N9}^@_DYHpLa>8@CEmO z#2-~$c?I`r^gr{Un>bj;BmFNxy{13#mP_P?Zt}n$Nc0=t^#3M)#`-^OKJ@B+-o;1c zpOF47!LRL>PT`A(6@N@|%!2i?TMG9Kw~1>W=r z-g1e&&`loLgNc5_oBmJYXRM#=8F~*(FV_cryB}ftIo~i(_DQGk1;-Kb{faBE=rw-m zXC8DD2g`V*|6<@wf8Z^b$P3-%fjyMyH@xZRI7a8OeoyQIp5r*r?f1Uo^PKIC&ht-$ z#oF)Z`40K0&f>*ynMM02vt8xlx8+ZhzdiW118TSM#ita1TyfM4wmsq|0Teg{=i!tC!(f*Hg%MX-;qC8 z{_h6Ac9?#p5We_=;ztx$Ua>30tIUIL;$Rt%^iKfJ^atK@iM-HF9@wLae#4u7_Cuuq z_YgJxHR=7L{Dtzr6#Uvz=@hs(&65>_nK{s)*j7R!k z1f1y)yyX&kp_@Fg$CLgyyy@roMEd^-QPaOfdLNU&RQ^|kUppzC!WVz6_$kGeSNutc zSD6Rh#KAHi>3=bBra$nOOXP)a^1z-*^c&vvb6!UJ_aJKe+oktw@;l`JY4B^P%+9Am z_~Pq|k5F8B#oiFFG7q|mgJnF@KM^?7A9%~9J(dUEs(&6XI3oK{s)*j7R!k3Y_T=yyX&k zp_@Fgc3(5T9x=S>=Q@w{e-}~HzfO7&$X_r2FN0qjFP*{{f2H_EiYu@9>kzLp54wqi zWjxY<8E~dQ@Rm#Dg>Le|j!5(y-t>POKjZUQzqfq>&vBgR_IudzeVWG&AD!piPtg8S z;3@vbEY7#dZ10)Bi}XFkrzP?4hj^8FXcuv?j7RO81e~=m@SeHfP*^_hdu$Hyy_{owaqIGz|+`~CNL zT%Q0c9@jnQN8|cq^D(Y7lkxkB;@2ec!y#T}9@;@1EaOpoUJjhKC-C+i*DcAo8s6H& zeK8u>@rcIbdQsy0xc($Fu8NQODe`Pw#aH~TeDM`O3x2&HgfEUL?){*=;_pJd$~?56 zI9SG`es~3NaX*k3<4GRav>yx~k1NL$<7)3Kh{yHsfr`hK`*<|2|6t`9*V)PV9aTJB z_nleC{}|#`=Aj+L!7?7TXEJcsp1|98T(>6UYIti8&zERiTM>=Nbwc9%xc)dZu8NQO zC*;|f?w|k;fsG(-1|X!#lM7jm3e4Caj=X>{a_nS+z;f%c#;P;?FVj5 z=m%e~98Zj^y-`{nfkT`9yx~k1NL$<7)43iN_T+oi(n9kQJ|2`@@Vju1k{fvnQS`zBGxC zP9j)_GDZQZ|&jrKs2s15sk<3n#A{U{ZVFI6(4g>(A_4! z!oE1NaTZ^3uG;JUAbeqee=zO`UN~7vo7D*t8!EACD`? z6XR;{+lj~3UN{%8*PkIP9@l3`cY88^qZJRIE6?o1=czr+Lpz9rWjtz+^`EsT@b(?o z9m%*F-r92nKTTgb*kU$pJg##S-^cZbnQ>Ko%rk@THt`jFZyUx{d_^Vc2jL68e;@PP z`$2id1?mUpq5Z_cG9LBAmCzga19>r?__L=yFY7g_!4&q=LkJ@vU+N00!_3u@YZsS(knT)I9tv$S+h{m;s zXgsb96W_=62bpnIe9W_h?l$oiI5;ByEVKkoN6 z#9yfPFc0k@4wmt#J+{ADdjfCY^|~t=SHoL-c>NWP>mo$sab2AFKCTBdYb3L3zc55U(;1?I#YF@u(kWL2uj-T44;Z@KZ_XBw`p5%c|`@!(>xNt(@) z>p=Lpy=C#@6>4u6`@LLDR=XI7Zn(rv5w2Uf%Y$Df9ypa3Q$u`MD{*5>pX2-)J4*2> z%mbeO$YMHRXZR7HrhJ4gUi!T9b-&CEqOp18Q5uP~iaKU+sxKaULh!u1qAo?>xJKG*-`^v@4 z;MW+B`u$4b4hVOZaEwQ|t3$lXa>U68%Xl>J=0MLRtUqi2ll{9!^}4=U@;6AwD}!HS z9Q6 zd~e_U;MW*Oy~M*-g$whjT-4-y`xYpVamT~HCd8{OhfjWwkL2s)IS=MT>*yxh^DC-% zqx3Hf{v5`^>+|llAwHkwh{I()uT%ae<-cC}j7Ry4l+W@g|GE%gKz_u9D;Q7OWBVG* zqj9tAA&dLCfR4H>Zu=VJ#>;2=(eW&9@Z)^bkM(F9fbDw= z@gLg{{Pz3?sK0Lr{w&6$eqAiw2I1Zi{CSK6hi%7x z&j-<<`;DQzNSk6YijJD}-Y_!gYjrmF0+&50>$$ov#IN zGv`95>UDiL%ikm&w@3%$sE2simBP8+Rq{6~?^fk8?s(YSLcGdy_~iF^qQ9AQVYTr^ z=fdsMF-hz14*A}`JA+?i9Q6_p+a=sY<-J|Lw{MN|7~Ha@M!-^96~dE4h)xLEmE zcAO{g33}Un-EENX>-W9EuZ4Ar=Xrx)Jg9hB_xSw*#UBc`$~?52I9SG`_S?L&_G|r@ z$cuK92X=Hn=Yr+O=fWjcZ*(qfq<`jIcwf-fc0~K-`-5L&9Qxq0KRzJbVc|9j$9RPM z)ex_;9C7l&G9Iaw`48^)t^!RD!*3%+g~Z~bL> z#-nq=@hooe<0Ym)Iv4Es_2SP9FHOq3lJd)v^6sR3Qc}L%{ODZxRPbjp9`);|h4XXa zGr^z7IB@B?up^XL$%k^`i_eC5{ag^P_?+T?E;#=4;$a?mh=XN38nNJzrP#&T3DxeE;sna7ZeZczEUW! z*cIYc=Aqrh!7?7T-{zIIU+cd_UbLG$u$6w!10li7 z5D)tm;au+@$=|BH$CSso<6*xV;#HQzC%?xN{mq;Ue{6iwx$q~_agFx(J@UPMUkiSX zanwsZ?4JrZQ+Z#P@9o>GJjNXl`)46uWjTEEdpz1lZ^3vpb1wY3>h*Ks8^NE$IC!y5 zalY&e@%b!A94_PERKA}J-%>u~QU14;&+;gLe~2$2KjOj_j3@1}b;R;$+*Uz{#eG~r zM_m@Tb;EdcF4#P^bHUe*Or?s}QfB3&ItDt+<~Hj(=P{ z%mWW`u#89Jb}RZaJ{QP~{g6Bj&IQBU_*`ZDO`Hpww|&lqtCjEP!ruhFZNBclC*Rla z_k&*x>$Fk`UmR3Ctour#yy6ETUS%HIO&l!aQTuIPS^KsAOXNkn$pbsCpL49gL$M;$eR#oa;RzzgKyGr#!|T5Bv8aUS&Ca@_Rhd-^{u2 z55^ar3rD46uJ-qTl<)2Pr{LEZN4>}($~z|C+xO4PW8Cqu{}SR=mcu8%$D@68 z4aTFHbKw`N*UyFH!Jor8criUWU;Z`3=d&DfxQzds^8H-+cjYr4<)2VK%cK1N2=N8v zM_jmq@uWSrj#wUzTQ_uA+{XoU)Mas7H;hN;g3VJq7ku3~-ulb%j7R5!<5}F`$F-(E zIu|x2`}*9Z+}`66f1a3^ly6DO=O^X=X?}Dr{8#X2F&_2nN#Xol`0wD)V;s2jT=-=u zuaXbt!WaJ&;`MVuxZ;%Jel9rvf5pQ*@DK;fcrIcL2sL{yVLT0{h~7K?^;-=l|p#DnJvV_x~~+ z?YDVl?GL==5_!>X^1zPo=UlM-_*{66+680%Qw%p9(LOaI=xRHq{qh{?XWVouuO!?_ z;m#F~@d#HA@hZy^Cm$^1Q9JJiZ!_n@NY(55M#{Poh4HG_&xPj%e-7i|_4V`I5TDO-#C;w;Px*c> zT%>%)qx|P9pXE{h3qpJW`4JbcU_5D$ts|C4lJH*JW{AH;hN;g3VJq7ku3~ z-ulb%j7R5!<5}F`$088K=fbvRU%xIX?@h|DPs+C^A7%8D6f(a<-!*eLcD%12v=OHxStD-f01~Y2Oi>J8IQ)T2Ynfz3*^Oq zNS+4gg5hm^7OOw>y>k71J64KuK<@>sdE4h)c!TnNUcWf#t?w%n<@@@5iP{;~X{8Xp zc&XxH-B$|b6_?YDVl?brG*kr(YI59~$#oC}s8p9^nNyI`yzib_m zc(r_Q-!;LnF^+nPhn*$dBIUhOzPImH%46K|u(LzF%5wPR_jt6AZp3&rb1uAE_4>Im zC-`$12QRvp^X%FXpU-l{eICtKzMl*8l+SpSKVSJQkMgS_zJUCQ3s*3nw8z#F%cF67 zKlEAL$HjG7+|~`_(Yavr)XoK8H;%XdGCbqax!`yfH~8^Z5X9%g&SYP|DJkETlrKxl z?fri7=ZW^Dyr%x{(Y#p@{8@}g{rVc=!oFQDekJ(x7zZvr7Z!%{D)~?@eDT^4ub&IT z6|Yk~@K@^i*NcaF;2{o{@o3yWfWC~+1@dA)WW5c}1;g9;ELVT@cP?n&_Bj{crhIfC z=k=nXx6Rkxb@F}vUaxkBby_KeFK$pgtour#ykc>PSDA-)69>z9)P9>+)_$%35_!>X z^1!zCb1qnZd@gjTT`+9d8%#&EPrWhdY8$P2^CszM9Qr(OiEw4%ZWNC32>0d?ud*C* z^1(75wey3})6BW>7S-$emdbxj`}pG?&IRREN<(D@#tKzd1~i^uN%i(e;J{PeI|jd4uXtGZl|p&NJ3_q5JhYoQ zSjMCF+q|;&YyFqVi*}O-c0xbrg5}5O!rRp@7`E%3rX$*?9tgVH#%bR4NI&Dy=XviE zt|HvKg=0Lzy(h%0EJvJtu#89T{5bS9b1rO9y{_-Q^7m?ge^5FYM?J*DJ|vv$-6(&L z^4_OB#vKp){t&OS96tFyp6GApT=;%?aJFM z-`n>g~{co2Paz__}et z^_SrpkIn_hv$(;Jdq5DM3;UCOeO*$1ASu5$DStdEzb`5Oxca+C^XAusKa26GU$+V8 z=fZCUe;(t&rRTyYLV1;ZC>Or?%@D7j3&It>iu<|X_)m(5dEg-qmhouZK8e1J&js>g zKO|3sbHVU7KKH9X`a2f@vvKKjF05BRy3fjs-wJx$eBJ%Fd|$uY)y}X^D~>NdrFdBP zl|p&Nr$fBTJhYoQSjMCF+q|;&2VT6V(elu4^1x2)=UlM-_*{6W+NE|EpE15@pV|?0 zwOyon^V#6n7>7R3`-$~#`?bG+ zK{^;mJ;cNA63+Ghp8S2v`=as~cRcKuLcGdy_~iF^qJIL$iQWAqKOQx{sK0+-I##P6 z|3JRC?+=4tV;uDo54&5qmCF0Fd~e@Zl*hQ^VgD$^t1O34eve1{=x5P}aue-&O!fM? z@YUeYXB@mfU;bD)-~azaIL0H~o)BL^9>j$!7*F)sx?p)U4xfi^i+ewN{T8=%z<9LJ z+q|=V-q(TSt^I~)Jlf|S&*BC@Hh>`B=MN?O^m~)?!%6vrN%@ha{Gp`$YwFh?&4WJ; z{w&6$e*L;|zR&Ls{yfHkOZWLd3*}YvplW@WrJs15P6) z{6orrhWhJhvOYhoa-^|8{qLE6FVxfL{fdf@`H1pB$Go3}{;B`|>0$Z4e(6X1;V$Ia z{9)e6f{)qTeD*ks8~)pp)O##x&livd-cIAO`SpnKzFv+uz#HzPNk5!OaQ?nG_BZ++ KaQr)W`~L$=^vGxc diff --git a/CH6/CH6-1/simulation/qsim/work/@b@c@d_to_decimal_decoder_vlg_sample_tst/_primary.dat b/CH6/CH6-1/simulation/qsim/work/@b@c@d_to_decimal_decoder_vlg_sample_tst/_primary.dat deleted file mode 100644 index 5f6bdae7fb46068e0f006fbca0a653c26c7e37e1..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 526 zcmV+p0`dI;aLNtr~MKFWA%^_Vyqqq0f4as0F2dOYybcN007J40fy%S5&Z!G z001xO19#(^#{mEU06ColbOBx0006HWl_dlOcyRpU{RQsGsGU+i298f?lhPvxj&x|{ z($5HvtY}rzuL+`HZPl8pd0Dfkr(Feeh^rhIEbmGN@e)b8$-_N(&yaNEjc4Geu`OUwV5jv4(3m^V-@cs3d=*H3ZvIV7AnY{*kNhN>> z12WZi2!-BpwLX^v0R3~@=!nF6ij4&fCpZal00000G~pA@If+vpm@isf&8ZBRVL8D7 z0001Mfb9|t)EB)y3sp$boV_etR*1QJaE|c`3eh2;0RR91NkReu005bm4H6z-FuY|7 zL-@q;00000yx=v>MvwRr@+J!3euw>z>Ixy|55O~(5hWl`3wy1wOAJ_~{Y2NC**2ee zN&2j02LO-lJIM)6q2E&qgOp1pre7Sq4HzfX_=VK+l1ly?IHWMXczI%dt106P9V=e-}cJpOzVI$kcQ Q*Go>JQ$Vv*Qxy8dc-&Rwng9R* diff --git a/CH6/CH6-1/simulation/qsim/work/@b@c@d_to_decimal_decoder_vlg_sample_tst/_primary.dbs b/CH6/CH6-1/simulation/qsim/work/@b@c@d_to_decimal_decoder_vlg_sample_tst/_primary.dbs deleted file mode 100644 index e4b507094b1585f2abd805e82d0cf3ab504cde04..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 715 zcmZQ9IK{`1^mRh%>^&D*fpjf%$5nBMHF696eA z8fFDJml?!WgmYOyTqQV{6~t8m5+Gerqg3Hsuu*DoF4!n_I2UY`2Am5vN(;*6VqgQZ zn6=?tb|8yU2Sfm|Gy?~aWHEtq88kqOL0UmJfB=gbkN~N~B4q)W0+|W20j7(|3djL! zwgD0#r!#PX+yrtVOa)j9y_XAsVw=+))qY*=zo1CjC70GWzGK zN&C$6q!QzHcV>ST=XbTAXt=JyoENA9d?%b4_yx|vCHM-ygKxo)XnEUgcXmNfH+Qt9vf3Y7WzqcU<5chMS?kS@ z9lYOmjqkV4Ye#OF!8e@|tqU1Zy$;+2ZUc9L`%~ZhJ-=>x$DyrM6BvO*(RQkvin=*y z3X`jj$>h5K-|wgS3t8<;I1#>b`oXKRMsj7BmWY~NmLM|DRxBy*2+t>|AdgvO9(E6n=MRdOK4JJlgW3C=8tfRY`2agw)8QLJcgs=z;|$NNr#u#3D^8mW7Ed zSfFu13?wdV(u814bSVoH7bHew)FlglSg>H{#*O$he&4z0OmAO(=}&x%IdjfE=Q}_5 z+;iVdy>xKcCG86do&xAEE=h`@-QY_qZ&T_)%aB(r-z`TqecwnG*epS>b1s z*~`S|Ty$;4lyxs81@|QqZZ>Q@7w3Jf&w|pwQ275&?S|E^8tUx(mB%ve{TLoB?os2fnaR!kkF`Ztb_T zdLB%#u6p78=j-vD6EB?Wd_A5!>V@-~ugCgQZyL1OT&{=ft{L~$H=4ipb8F6pK2AOB z70=-xRiAU6eQoP!(2%H8YmR5@Xy@fUwLczk2L%Uxp#9$RANoA?Cv*I>U+CY~SMqP? z$^73`|AGVmq3=^apW|;!_m*!}`k_21IPf3ppUVBk{e80>`rPEB8i&b4y;A>Cj{H_e9`a57 z!yNff8F`2=^?f1ERy>>!otO{oGtP%_kFEZFfmZRk2E6e0zCheU#TE2-v43?qtS>;3 z=g8`NPy06bPd#2DWiIgi@|+oO?*Yc!dxr5_=nwm-zrS1i{E7O58;Nhb;&-V&&p-XB zz5m(Hk;uz~qKADQ4!p<9uf!+%73U8tE{Ndo(skCCb(QyEU+m%k0crF;EcY+s?NPfg zG)|i%UqR0KD0&rl3M}#69#Fn7D)03@!H3_C1)qHnxDQ3v`>y6?c7lt!8-Di{y!HQp z=J_aNN59NR@<2ZPUt>#@kMNLlzuO_FHsfU-&>P<6>Q6`U9DP~!$1?h#BQMs$=2^S$(^mS0KO9q859ia)@1j5R3p2*) zH|V`2_7!&qVu_#sJK^4y{h`brom+Eq|HC8o3W&$yQDdM;$^-sO!9nOF1Z(^PK ziyrbmDg5GlmE|4g$fAB;-aFJEDeGc>vi9Z|YLa({=B@Uxsa)d6JaHngxYH(o8eiD2 zmM;s#d?{x?$^R?J+wKX=JIt}vKY$kV7Cq!WFZ}YnAF7_|TOV6rV_D+2I?+GtT?J+S z=IDKWo(cSMkz+1dJ)c#*e$|tCfa^%)kskjS0p2lLhg|SLMP6~^SQfur=kIg!@lyxG z`1BmODtX`qzo`pxra>OfE#}Go(D+*O8Dir3Fke&ugPvc?`KVok@vuIJ@Javro+*eTPISlK#51u0* g^?}@r(YZOUaflxBmUYn2md4d3e&7%4c-j~K1qkcHsQ>@~ diff --git a/CH6/CH6-1/simulation/qsim/work/@b@c@d_to_decimal_decoder_vlg_vec_tst/_primary.dat b/CH6/CH6-1/simulation/qsim/work/@b@c@d_to_decimal_decoder_vlg_vec_tst/_primary.dat deleted file mode 100644 index 70c4a8fdbdb38f5a460497e6c1943dc6fa061d07..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1722 zcmZQ9IK{Ws)@s`?>Fq#r%NFOm`i5ePGe+PuRGO~g=Imc!>5LooP5y?418R_*jP{6-7kFfP3b>d<=Oqylq?n{ zu~&ZE|3K-=qFo%7Zu?t;yBHY$3Dg;J9t=OfST1Pab}q-5^IPO<_PyqId~*JX+?;*d zJdP<41}}`k2V?NV7y>YcAdDddWE_Y)%fcWxW1q6HW6Ajkj%V2z=A00**(@3sdS%h) zH%ts|u{En$uccqR$J*Q%D0|JUsj}n|+p+K8{;?fCcX94D^QP*OXY9xRe|yJ%_}#_3 z*S3TB93Vaig3k%!b0YX$AU+p@&kf>pBltWZJ`aM=3*z%4_tdlb@e_r_{jP>)pB{rZ~bFO9rlD$vF zG=9ncu=vXAee=_%mJ|mkeg>w~AbI{NAbC+MaS8X@rXP%~rwu+Owj2V>w}a(*!17#T z66&>1KN@k$ixZF^68f)Cys6>r!^F_*5j}6EW^v4uy==1W?&vMplHP>FSHa`kHdabQV zvP6mPSUE{KQVNs;GOpF+-S7p`sKYz_)sdd;nAW{DCP z$ObM%o*$?@3oBz}QGIaPXp>30zNPxhj8&W7ec!^CctH7J=0QH~__a?ZmK0~YnNFQ5 zRGP_nKLkF`toj0d;pEqG!7XGQ`Z{Ts0x~p1E<~w^>n3Ufw_$E}yRa*b}_ga~+ z!jkKkubI+1Z~e;UuM?T>o7?u?v7LEIBb@Qx@@1}m+RL}Gue_QhvFAzcs}r6(?>x;s zcxJ-Mz~KCY-Kl;8r+>fLV|i7!`}F*mVO5DSa~mIZ+)QqC*<8Qy)xRAjN@WwOpZ7iV zc4TF`EWe`ef}_-4?d%oM+Qu zsO)ooJNHYr-G6_#y3RlGYu<__d^=m`2gV(X`r0C=c)1|v>*3eSCcQXu=gW56`E8dY M-n>oY*r!tn0HFN;2LJ#7 diff --git a/CH6/CH6-1/simulation/qsim/work/@b@c@d_to_decimal_decoder_vlg_vec_tst/_primary.dbs b/CH6/CH6-1/simulation/qsim/work/@b@c@d_to_decimal_decoder_vlg_vec_tst/_primary.dbs deleted file mode 100644 index 79b68ae5e7631d9196e7f813dea41bb3ee270a2b..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2385 zcmZ{mdrTBp6vofYj^g@QY8M~77(_9Ru}F1o{X-=o7&NFMG!_*b+N4nwR#zU0O>1fl ziSmz+7-P^F6%|C#n5r$ZZPg+Kx2X6+C?u6Gia-mZk!lfq+;6y^%oyheX6D=9J$vtd zd(Q_-R;{CN+nnh5nw32R;@;aD{!e5}az2Tn98ic<@em0`wnI`5C-FeG!z?k!R>;x@ zd4#0~c}&W2Gz7AUan)+1 zq^Woj#}H0qXjiOJz#9EwjcTpYRcmz38eO+Wb=K&HB~ot+B<#5(XSm4;Bkpnm4k&t) zJ!-HOtLm+7!XYNtS=xJ%q%ow@nP0(CPoHouM@F~U-B&$Nzu7#W*iM~@ked#B3wuS6~y zkEMHgrZFa5K>sl^#)OWZF*3%4j-E3z#)OXkXJm{C9rZCX#)OVuFfzu3j`|rHV?sv* zjEpg%qnC_~F`=VZjNAs_fNW1Ca#6UDs{U0Hj3LU~{MEL`N%oX83zG_#Z@Iv$QHF-8U=o^VGBsd-bMI_uZ(B*-Os$)SXe&)_46F z-ITgLWUfChY3!8M8G#ke>7{oz1SWnG>{#3%oSOT6+ZVgVdnM#}x&!fvi&`V@ReCcn zmPLB^BzYW1mqiDKDvH_!RhaDR|L&}}V1eg#;pK;u;ye{82_^pe@Ll$MWql9UHK%7c z#GK8!RdKuisW)!P?qFi=m$woMvyunuDsn!vuUenK<9_z&l$g?iysY_7dv!rH)fIq&ed~n0$xps%oA^;!M3*yHbqZT3ID4sYMw)xwmK5K&Ck{>@U%4=@cWC6s z=BgE^)6OR$Vm;zlnIJA0@1GuWG7#^ZS3bAgU!N<^2mUvJ$=b(y4N-)+<4@&aKvxN@ z>_xkXvE>KH<;*AIydl>SUs{nQI8B2C8IRCY6~Am+RAoGmB|_36SG0g6XobOn)I%Dn z$}%*W7^qtNY&sDl2r;3pmgH>~5Fw5bE~;|DP_?GNg$Rj)K+vv9A~!1D8R>!;Q8l~V O0Gu1-2oL=P+TQ@nO4L#S diff --git a/CH6/CH6-1/simulation/qsim/work/@b@c@d_to_decimal_decoder_vlg_vec_tst/_primary.vhd b/CH6/CH6-1/simulation/qsim/work/@b@c@d_to_decimal_decoder_vlg_vec_tst/_primary.vhd deleted file mode 100644 index cb2486de..00000000 --- a/CH6/CH6-1/simulation/qsim/work/@b@c@d_to_decimal_decoder_vlg_vec_tst/_primary.vhd +++ /dev/null @@ -1,4 +0,0 @@ -library verilog; -use verilog.vl_types.all; -entity BCD_to_decimal_decoder_vlg_vec_tst is -end BCD_to_decimal_decoder_vlg_vec_tst; diff --git a/CH6/CH6-1/simulation/qsim/work/@b@c@d_to_decimal_decoder_vlg_vec_tst/verilog.prw b/CH6/CH6-1/simulation/qsim/work/@b@c@d_to_decimal_decoder_vlg_vec_tst/verilog.prw deleted file mode 100644 index 6b5d75317216060387903b037ef8d99c6541061e..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1163 zcmah}Np8YG5FE24$6WG&k$fSCNS?)G`^fT(931cxSppFeD;$uY!4LANJSW}L<9HM) z7>y{})m2^7AoNVV2JHTe!D|Az2pF3J&Y^w)d;xrPe8A?{)q0c9*xj`~<|SfPGzY}( zzORq;{FHy<^ZgrPRpc$!A1!OAfZ;h@V7++?HDVSsjn6lX=?U`9;oa(+qCsq*&lXQr zm|)ES1MG7?>)uC`&wAX)I3MHtta=|sKC5w`*!jf1&$9QCgbq z>eS5&Ebe&6wH$a|BCoLvd5ye@2HxzDH`s-|LO!Vm zKFyF%u?u;Ld{zuR&EF=(s}%WNI`A~V0AJKA=<9gt<)`_j%cl(TwU>|P*KWSD_Y)BW zs3OR9vEeeF{(ER{Y;y~te4W{G?@*($0fpH5jk@IaP)kBBQur;h=5`Zs#}qSm_}BA2 GVeAJXrwVQW diff --git a/CH6/CH6-1/simulation/qsim/work/@b@c@d_to_decimal_decoder_vlg_vec_tst/verilog.psm b/CH6/CH6-1/simulation/qsim/work/@b@c@d_to_decimal_decoder_vlg_vec_tst/verilog.psm deleted file mode 100644 index 9103d11fd099420cf9330ba5212994e3426030e2..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 16096 zcmd^Ge~^_`8NO$Cxh{x$udGUny1J;CSimknEG-1;Qkq#=iCLgPLV^&iibhF=MQTNc zGfX+UPLx`lCRO*5SymXZ8(Xz+Lj)r}C zaBvV6-t{-xzsf!@`xn_i%RVRjtn4$g|B!uJcE9XC*+0qtQT7kAdu5-J{k`npWuKIN zLiTsEkITL&`6w*$QW%jPbiT{wU7QrgRwxW6pMSCkg8|NhJ8 zuC(^0%a<6ww0ub$;_SV>iMpOsUFW@KbshpL{*|;Sx1Sc}_6OK=ODp?bSI+kd=%4fL*5}Xd9jbG`>V%7* zVYfB1GZOxkw-NuH;_rmzdTPY$@tH014~Tzkll-$<9Cg;ixtnV*wzpgsBs7{aHia7ZZ#t-^gtnn|NznJ6iKl56% zD;D-lwQ~lR=M2$22VZS}dq(~4YM>AMP4~N3=zog(pVCVIPpkiq_Tu?zq5sM1e{w7R z?^pj54!-|B_21V@|NGScr3c@Culnz8rT-tQ|8)o7|0MN4sg?eJp#HZVeE$>G|HM}M z-=_Ze9DM&1)c=H5`v1QAABhXT=zOSK|JU}6V?VP_@3HlE*5pzj_op7kXL`JEXncmp zdxyr)_4uTrap&)yHZ<=1y;FzAoxgX=(75yWdYy}7-ILWH_bKi#(`OuE&o#d9`SVea z@Ke;EybNJHmb6ycYP4zi{>B#E~@y$P1WzR469J6r#Tzd{!i3b(rx7+F*HG=arIM_K+@vsT+1K6*PCxo_Rl+Wi`tm|^0?90ku2O#qe zKO70f!}vHZ7JgMO?-u159(guCFj?pD2{fZJATUr4=iVlL1; zTw-;hBDK>U^5gSmbpSUKtsR&z>Vk39qCS=2Jg%3uqkr;lMZe5T`kiC-f#EPj$AfPV zEOaUp&v_7^%?INMTik8hp3d7%(w)zfh*MVD02x?s8^=%lv#^}6ov`HZM!}fZ@_P9& zhPzvQ=Yx;)XgnAfpC?m(7D)aP${UGx@;?GU<=-eDW(fa<;x``f59Ijq+R6A2Ro*!9 z?}DH556FiZ!hezYjR*XEo=ofKKE(PtPt<3Y_#cIz@^jtMhZ(|uvG|P#{Cu8F`Av85 zbH2$xPyC&hSIPJ;i}Nso&!OmNqUC{)&yOje=?uQZ#kX2~e7+qVtmk8YKFb3ip9fPu(+zx8 z@$D4fiw*deh|luCw>igWx`FRV@$D1e^9}fxi_h}F$LGDYKGO|+e*Jc0!{B&%ofVC@ zUwoDaK0e>2e5M=txUW*bvEtj;fRFDLIKP$$K0eQ-e5M=tjuPK=@$GHE$Mr-$%LCt* z9G~e1KE6+8eV2;wi3WUY#AkWnJ_HFD0oM-Oy{|WCJ@G?K;wS5!3obPV%?uX_2I8poVvAED# zcPHvDijQp&pWYy@_gHoOdjC`x=Y2;}zgru`cQlCaZ4lRck-C1nHP3k+^d6!PulEOa zai1r@|DgI@m(AMaq`&iwk^kSGrwt9p@9TG!)=9JDaGa0Fd3-$oZ|maD2KxGbu>BzQ zbspE3`^r3A|GduA>)-BcaQ$i&x8qV;-%_A|%dr}5?Mx$TuC)~G+ z_$G+Y^1#RUGbx{)E8vrEA!0pHo;vpn$eeMrh@&o$r!4ddnQ;_GU_$9pPA-;6vE>_`JaR9oc|yw)iX$e9^CzeLs!;Rmz>fktdF) zV(W!|)T?4~`rNm;j%ex6lkex*0{MQ9Et0=g_$Bgp$X_mhul#=beom2>{dMcQSS{br zr8V;XJc2By%e;7=kMQT>b;9|%G)L`meI3xFPdL{jULOba7_WBf#PP3{@8?Ipe}BHd zML0i4y#N1oJ$-z>f9J>N?*Z0pe7=wS__z=EVISsx%YAqZ{^k1B_Xyd2!8EO7-Usr! zJ4W+!yzt}1ul(|FaUJnKZ7bS&{TUAH+n*Eh63E&OKRlGR?`Hj-pmzH`E$Y#C5n208 z)#J~hUeAfDXRPW`epb&m)nho+vn%>#ugCDHNBefxpV!kZetn;jwO^om{JGofIZ60# z)ua5Zo_oz2Ct___%W(S`B^>p z#r`K64)yGeepZj+QO`c)a~+`Btk*M^KI+l;Dp@-|uCRLSIXziFrwH%of%3C@?ngWI zF zJ>$f$?{~8H>s6267kE9V3tv$^%FpWI^-O&XSFnBzk9xK>n6KB1U*8dB_1vg>7)Y=8 zGlU!#_xFNzgM`eE%M(lyuOpl_z@mb|4!kyx5&Rsczth`@%#Oo z*S}Y|JuUM4xtPs|=G}dsA3Qhg{e8K;i{E)wlK1!K52fUcK(e*?v9>+=jOgHGq@8hb8e&!?2^SBdnqN&e#+7M0_Z#Yx_7FZxd zJa;Q)!{K_fcG&MF`T%;Q@q(Y{Ys&uy@ePRYjq*3i-)6Q_HXL}Zy@20%!O!zD<)0uv z%|qZXPB@<1#UIbbO4)GWwe|vj;{`v@zm$KH_|}WBS3cJn^@-!)JB=V=44wHNRkFZg*rrTkOGH()%SVXA!B{{f3v%7z24wHNRk zFZg-hr2Nyw=lY)|-}T=ve#3#++6(xN7yLXwQvNrI&-H(^eAj=6_zeeMYcJq8Uhs4O zAC|2Dv&lC+uzdUZNxWXK;~ZN2-YNE939;Wwj3@g{7jS%ksXDX$lce0QcEa-c z=3!ko#PfQ6Q2lknV}BJ`C=h>t2cJ0lFxKwplfKU_-<-P+6|cU9cw7hK3vZP#zA!!d zvtzxLAbdDi@eQ!3*Ym=R7_UvI#d&NvSc@k*@clxngYjZMtbf?N4#ZQ3?KvGrBbttT zOwM;5^nG%j4vJT2B9A(VFU*oJzVNo_XF3QU-mbXopuA9v@tWmf{Dy&Ut8L}SqeRiD=idSb74;{K;!57{kUwq-6(a&@cKAfkx z>!7@FevH>F592o+ti=-@_*|aqV7wT=@f7GlJazbKPKR-brt4vR&iD18@6+paP`vss z<@-Tkhh;qNQc%s9xh^IOjFUD^?1v(H<9e$qEVLGDedf@(2 zq=WuGqfQ6KtCu2=I!uQ}e)upfees2lL_gC(_%K&-*Fkw`@f!}-;)xDpfJ=2SUX0&(3UnZzIy{`yf%^}~jj-(pydH~m(BJ3O>7aP^ zqs)g6;tN;E7hhNu{Y(ep!(zo<2jzv2#dyu~Fn+_qT0GI=c;HeUj2GiKo&p_+rw(6h z=Qu}=u=_jQ=kYl_z0bQ6sPsPXm(s1wI7YgJ7RUHTSl;*CE^G0mz7r5zoer5KYI;>m&7D2mRex zoeqjuS0Il%h%c;^FTT(p{Y(ep!_|tr4$2GH#CXl}Fn+_qT0GIA8@N;lR`MWzws34Ks3Zcj(|Pju zkotShx_MH(`dQ?0p2Qc{$`@a_G5WIyU^y<~!{-$DaVjr-KE`X7hxsuati=-@#sZh> zV7!2ltXIA_PS@)fflAlwQ@Qo} zCAMR|ZiZ#uTVySs)ORZ4tS{o~*XyG(FI%s~v%bB#dE&Uz^-3MmdGhz#yBo}t;?*xB zkMkrxd*4G}eBmpxeYTHF_;9P@KF;;g4_}S8W_g$&!@*iS(cv`UQXPyJ^J6@)c^!yP Q=gH;=^8{J&bI7KD0~k|`Bme*a diff --git a/CH6/CH6-1/simulation/qsim/work/_info b/CH6/CH6-1/simulation/qsim/work/_info deleted file mode 100644 index 8fb408f6..00000000 --- a/CH6/CH6-1/simulation/qsim/work/_info +++ /dev/null @@ -1,317 +0,0 @@ -m255 -K3 -13 -cModel Technology -Z0 d/home/timmy/Git/Learn-VHDL/CH6/CH6-1/simulation/qsim -vBCD_to_decimal_decoder -Z1 !s100 J4Pc;Wc=oXSMFZgW^UjYQ9RE:dXW72 -Z4 d/home/timmy/Git/Learn-VHDL/CH6/CH6-1/simulation/qsim -Z5 w1571325856 -Z6 8BCD_to_decimal_decoder.vo -Z7 FBCD_to_decimal_decoder.vo -L0 31 -Z8 OV;L;10.1d;51 -r1 -31 -Z9 !s90 -work|work|BCD_to_decimal_decoder.vo| -Z10 o-work work -O0 -Z11 n@b@c@d_to_decimal_decoder -Z12 !s108 1571325857.364260 -Z13 !s107 BCD_to_decimal_decoder.vo| -!i10b 1 -!s85 0 -!s101 -O0 -vBCD_to_decimal_decoder_vlg_check_tst -Z14 !s100 FQo`Fg`;GNmi7nhMHIe?A0 -Z15 IiIFP6GcUkDbUO63P9?=f;2 -Z16 V4=1>D?1N>O]>@j^GHZ4Ib3 -R4 -Z17 w1571325851 -Z18 8BCD_to_decimal_decoder.vwf.vt -Z19 FBCD_to_decimal_decoder.vwf.vt -L0 63 -R8 -r1 -31 -Z20 !s108 1571325857.394046 -Z21 !s107 BCD_to_decimal_decoder.vwf.vt| -Z22 !s90 -work|work|BCD_to_decimal_decoder.vwf.vt| -R10 -Z23 n@b@c@d_to_decimal_decoder_vlg_check_tst -!i10b 1 -!s85 0 -!s101 -O0 -vBCD_to_decimal_decoder_vlg_sample_tst -Z24 !s100 YXCE[77lz42>]9dC_Y5I?2 -Z25 IkT;05[8I<[U4R1jY022N71 -Z26 V[D3Dj:0QW1GZnjHN=ZH6M0 -R4 -R17 -R18 -R19 -L0 29 -R8 -r1 -31 -R20 -R21 -R22 -R10 -Z27 n@b@c@d_to_decimal_decoder_vlg_sample_tst -!i10b 1 -!s85 0 -!s101 -O0 -vBCD_to_decimal_decoder_vlg_vec_tst -Z28 ITFR;mNL5ZLJmHRE[MnSo;2 -Z29 V9oY]^d=1GS>>R@5?MB4Ym3 -R4 -R17 -R18 -R19 -Z30 L0 411 -R8 -r1 -31 -R20 -R21 -R22 -R10 -Z31 n@b@c@d_to_decimal_decoder_vlg_vec_tst -Z32 !s100 6zKmIS;]C>;;AVG3K69i@1 -!i10b 1 -!s85 0 -!s101 -O0 -vfour_line_to_sixteen_line_decimal_decoder -Z33 !s100 V]=I]ARe`_hhTJWCI4oaU0 -Z34 I;3DKZ7GJi`HH:^:P0H]Ad2 -Z35 VB7kDiQcABUUPi3 -Z44 V[:ij6Pb8a:8@moEi6f40 -Z52 I>Zg=E9Ec=]mmj6SBDJMD;0 -Z53 V_la=z`8zoHKLYL4RI[P3^1 -R4 -R45 -R46 -R47 -L0 29 -R8 -r1 -!s85 0 -31 -R48 -R49 -R50 -!s101 -O0 -R10 -vfour_line_to_sixteen_line_decimal_decoder_vlg_vec_tst -!i10b 1 -Z54 !s100 >fE:njWX1E]678ee8f3bR2 -Z55 IMSCVnjEWn@IkOU9H9g=N?3 -Z56 V^aO^ch]1mHkEEMJ]_<^=32 -R4 -R45 -R46 -R47 -Z57 L0 579 -R8 -r1 -!s85 0 -31 -R48 -R49 -R50 -!s101 -O0 -R10 -vten_line_to_four_line_BCD_priority_encoder -Z58 !s100 N[Q?5E7>KEYZP8;]Iz4kS1 -Z59 IX@zO`S[Wdl76BL<1Xb_ZK1 -Z60 VilJ2R0kjeL;iYdm;B[@dD2 -R4 -Z61 w1571318187 -Z62 8ten_line_to_four_line_BCD_priority_encoder.vo -Z63 Ften_line_to_four_line_BCD_priority_encoder.vo -L0 31 -R8 -r1 -31 -Z64 !s90 -work|work|ten_line_to_four_line_BCD_priority_encoder.vo| -R10 -Z65 nten_line_to_four_line_@b@c@d_priority_encoder -Z66 !s108 1571318188.507450 -Z67 !s107 ten_line_to_four_line_BCD_priority_encoder.vo| -!i10b 1 -!s85 0 -!s101 -O0 -vten_line_to_four_line_BCD_priority_encoder_vlg_check_tst -Z68 !s100 ncHnIa?4`?koVJ<>UGa041 -Z69 IO1;?HoEVJ0OAfD`zJQ?aA3 -Z70 VZ1Gl>QM?d=>heFl]MARCc0 -R4 -Z71 w1571318183 -Z72 8ten_line_to_four_line_BCD_priority_encoder.vwf.vt -Z73 Ften_line_to_four_line_BCD_priority_encoder.vwf.vt -L0 71 -R8 -r1 -31 -Z74 !s108 1571318188.537913 -Z75 !s107 ten_line_to_four_line_BCD_priority_encoder.vwf.vt| -Z76 !s90 -work|work|ten_line_to_four_line_BCD_priority_encoder.vwf.vt| -R10 -Z77 nten_line_to_four_line_@b@c@d_priority_encoder_vlg_check_tst -!i10b 1 -!s85 0 -!s101 -O0 -vten_line_to_four_line_BCD_priority_encoder_vlg_sample_tst -Z78 !s100 ]S]]o8>[N82OUJC2fV8^11 -Z79 I7GN>DeU>o=A42?^QbfC@@2 -Z80 V901ZJVHoaM`E@PiKKcRde3 -R4 -R71 -R72 -R73 -L0 29 -R8 -r1 -31 -R74 -R75 -R76 -R10 -Z81 nten_line_to_four_line_@b@c@d_priority_encoder_vlg_sample_tst -!i10b 1 -!s85 0 -!s101 -O0 -vten_line_to_four_line_BCD_priority_encoder_vlg_vec_tst -Z82 IFhYe^Q3GOl9>;`QB>AR4;0 -Z83 V_VUE1:=c`h@b[lgdzbhjI1 -R4 -R71 -R72 -R73 -Z84 L0 251 -R8 -r1 -31 -R74 -R75 -R76 -R10 -Z85 nten_line_to_four_line_@b@c@d_priority_encoder_vlg_vec_tst -Z86 !s100 3NmCYjnC2NNS>5J=fo[dO2 -!i10b 1 -!s85 0 -!s101 -O0 -vthree_line_to_eight_decimal_decoder -Z87 I1lQ8Ag`35E=mz@l?G7QYO1 -Z88 V7oT8^?[9o1Xg9e9jN`_Qh3 -R4 -Z89 w1571925210 -Z90 8three_line_to_eight_decimal_decoder.vo -Z91 Fthree_line_to_eight_decimal_decoder.vo -L0 31 -R8 -r1 -31 -R10 -Z92 !s100 C:2KcU:mS7_liMOlAU4f71 -Z93 !s108 1571925211.518031 -Z94 !s107 three_line_to_eight_decimal_decoder.vo| -Z95 !s90 -work|work|three_line_to_eight_decimal_decoder.vo| -!i10b 1 -!s85 0 -!s101 -O0 -vthree_line_to_eight_decimal_decoder_vlg_check_tst -Z96 I44k>I0QD6`@21N^:e4SJ@1 -Z97 VA7Cae66og[c0VW90L7KQU2 -R4 -Z98 w1571925205 -Z99 8three_line_to_eight_decimal_decoder.vwf.vt -Z100 Fthree_line_to_eight_decimal_decoder.vwf.vt -L0 61 -R8 -r1 -31 -Z101 !s108 1571925211.568186 -Z102 !s107 three_line_to_eight_decimal_decoder.vwf.vt| -Z103 !s90 -work|work|three_line_to_eight_decimal_decoder.vwf.vt| -R10 -Z104 !s100 7GG`n:PI7=cUI17`2ZcMM2 -!i10b 1 -!s85 0 -!s101 -O0 -vthree_line_to_eight_decimal_decoder_vlg_sample_tst -Z105 IL^OQOF6KdLI:Q[?1RWPn]3 -Z106 Vb_J3clHOCFi@Hd_DSBW@o2 -R4 -R98 -R99 -R100 -L0 29 -R8 -r1 -31 -R101 -R102 -R103 -R10 -Z107 !s100 HBJ?omk0e0iLC^Wm7S9|!QmSVFc$vXmvuP>9eV*_R3-OZK7~m&U|c%2q=vd$iDv+wB&~*5XQq zo2GP2St1k?YS4%xs$Voe2j_Qt%$&J@{P4#-pYwU1nb-Gi&WqP!BR&zx{1zr|`q2C2 z^s-B%TVTuE$5FDj)D9Cl2>wZnVH4`0%BJ zJh8L4igVcNN{)jeSE?O8^SL~CDhdDYdBc*_BD~Y{Ql8X6{EO$Myt516?6P!r;h$Xu zW*5QPMQC;ro?VvBE+Vtb^4Udnb`hIhR?IHqNrBEUd#W?=trX6aWkGv>>!ufTQSfzL zZ6!f=+(NNtpIcv@DsQaTuzgiob>tdkD23^v9;`ZF zt*VFwB_t>#0gD6`B&Z@`EfUrtK@AD&NYFsSdL(EfK??~Rkf4nO9VF-?VIvZ7NYF!q zJ`xO&un7r_3^^R6TCmm$ zKK~y=o;5-VKsYiY98nR;AY#Wn#Kr|gtYMAV03dcSB6gr6vO$E?JOpk55elpk#sI>J z5#fZ2pn?eJc?i7)L@2UG*a8S=MuameVhlvM%tPoeAVP^X!W}@kFd|$~5%)lZ>pX

    |{jjL`A#;5pMGkh6{*LVT~Y@e*Qn< z#)xo3MJSB{|0mq%AvP}{LX|Zl4M4avBHU3C8$g7|JcQ8#BG$4-oCgpdj0g`@1RIF( zoQE)8K*T!MhynoN$%yboMF@ZhuXzZQ1w^Q^Mw9~xFGhqHDnb%Oc+W$aE+9gkHG%>l zycrSRs0ciW@R^4&TR?;cYeXY}@L@#wpdvy+#IAV=^94k#XN~9r5W5%=yHF7(KOuGt z`TG8ZXdPb?*KU8kl|X(>BKy_qPQcUS1+iK|(!P~ zY_rpjDsBI?idGTmg$|s1|`Z&v%+9j z_hPbiS+kS?Rv1%OIKm1;WhH~G2$=P8F(2&Sxq2rB}Wl>@R4!K@>T$-=Q_ zSp%#?Oj(f#>kuky7-U7ktc=BE>9J?g6ahOj#!o)^SwU9*~s?vv?MhWz3q@1h5jBvXT&1A}T8kWF^Ba ziN$1@ux9lFtYoIF6oi$G%CZDmsW3}*FNmnaL+a3aI+q6c&3}Yb5c53vi+-b z@|(xlBklI)(7p;%BZc{M#`qg~Rg?N^zY$tx5@JSE>A&kIY$>e#+ATo*9D8frM2~y7 zVCNT-v98I1LCll026jVTBu}cP_3O759$CS+eKc(BIW6ixn{YX5>3=OZ(8^Dtjotm7 zyHPyrO2rvMuOv0cM)}U5SY(0b<%1X3Y->{P*yemL`;~%4gtFL{ldB_+$m3)86ci<@ zjc;A9l2#){(GzdEqkVA&PSiTpTxLzMLUfyvmvBOML8lExVO;#`+1PQfPZq!V*2ua{ zb`%O5Yf3#C%n;kmJwV))EqPHZ=Zn{K>?zyq#{r6Gjf_w2@OBW^;?4KfaSY=6A%1I< z*Qn-+oX^_73}IcJwYmI`#8=i>TG|c|Jt13pRpu3Q7^#FyeH_XV@{8QM*R-QYVZDlW z%eu2fdkFh+%VjQ-aP--TW;tIciOT|?b=BGLxThBC-Ozd3-F0(WN#j!kMLh#|DXzk} zK+K~%>65F=L=VgSAdcJH)Zm^$5u}&$Afy_)ZeMCv@wZk{|#xF6gz=o(tC75lYWAu7u}&#`7I<+Mkp+TYFJ zZ6;1m;9mD0Ov-AvwI z_0r6UW3qy6a_{n09NQGtCr`P!J=syq_BGx9e!q-<{@X08pi4_qQmEV#cZk@+7NUC* zrb^i=52EKhw^4F(o4Qf;)>Kn{s-von$R<@kkowk?-Geqc@qNOHr@OYwPP%#Dkb!#L z)-A*B;jR4GqKoZK&CgC%>0&#d&b?V1Ix>jtp zw%l_48pfp&dzNxyf|>{Jqt@>cdnL@FQ3%^P`V=X&hA?8sW0%i2ce_Gt4f z6;Bk*t#zF9Z4{C}=uOp{E$cFU=-+9bHn?7Iue-H{jloH7hnN+86i#XHKKV2Hht7Gc z96O&bnTe0Y`f{-4j@x;j3rNT{pgFJSO)J=MUT|56ykV!N6A2{+jz|k>kU_ zBbmxs3WoArnLP$;Pn!r{%DTFfZTS6U-XAA!KRg^-xK6<%=WbNwX#tI8t)p#3XMRbp zEtAsi#M4(xFUdAtSa+m7cBGHehHYHZ-t$T#@p~*rZP1_S7)}zW5vx9WU?}ot)x4ki z%9dH=KXQ?wJWk7KDL!6T{a5-kAJsRH{oKBrHd)n(_ITG*-h^4NIs@SyJ>WLE4o&<#j;xBX(|fy32H2WAs42~8b# zDxeq324?#joHe7-9l~5zPuHL9LwqrD$=M=Z#Wy(m!1#RPI{*sQd<-FrYb<1UAFne5X^duw~22ig! maMbTV(NJJnVfOMs*wvv^2acwGqLCoi8_$2CN=QCvdGlY0W0cIm_Pa=__5<~1rNhKi>)Eq(PgdkESF;h8dV~9+Om}h>*s34@O$j~aP zK~TNLrD`VT80u<>m>N_Kp~PL^eYW>W&-ecEJI`}Y*1JF7{pNkwW96JCHKZPQTwXE0 zp3%Nemi!Wva`Re(^9@HKABKkVrJ8)Y%cl?ueKE+VqxPzN07)}SBS?j$2c(gtC!`fg zFGy>WjUlU(YyxRR(i_s2qz_~bl1(A)NcuwBlWYd*K(aX`HB?$azDM#y$eJWuLOPLb z1?fz(HDoQ4Z6IrtY%5=ATlBqZ2dV8Hw+jl|Q7mR~;d>z3K$1?Nw(; zZLb0#wY>_2)b=U}QroLwNNuk|Aho>;h1B*c3{u;xa7b;hA|SQB>H?|lRaZ!DuZHQ; zMbwciB@VJKNfV@tTp2&rrLdvlVe&~^NDqY&ZIQR2l|j^#ug1^h3+=hEAvf~IJR$Qu ztqekyuf_!VVxFgON8cRtgv|4_G6+|KBjgLsyNLQEM?$)h90mD4$wbHoBu7KiNmG&_ z-AN`x(n(WNARCcPh4dhq2I)z145Syy&mkL=91BS&O&JGCCrud-NheK7hoqCHOn{`5 zrc8vSlcr39q?4vhhNP3GOo616rc8yTlcr3Aq?4vhhoqCH%z&hmrp$z-lcvmqq?4x1 zhNP3G%z>nnrp$$;lcvmrq?4xnA0(YLWj-XGG-UxKoit@3B%L&65hR^7Wice3G-U~7 zFv$$a5VS^*SpiB|oUqCGI&p<5jULY2D9}o+?ABY9sqa#)HkSpU6OtQd7 zfmq;UKrHZaAQt!p5DR<~hy^~ZBUMDnmGJ^5S>TI6Ebt{D7PuIQ1-=Z#0$%}QfzRtm z6+Pw3Sb|9w_$Ckwd<%#LE(KzNZv(NwWk4+O?>bUNv|JeUk0@uML3tSh71$F^qf$IUWz$y?6>6qN zI3AN#1NSHNDbN5Z-+e~a&}TqZzcnGn1pk3#l7va-pA5wOQ-GNNX!s8z|8z_;{|P|M zeEAVa;TK=Hu)1mOM!-2qA!5rEYUI`lO@Uo zinm0|fj+fFD}X+;K*~ZLsUkrl<2p>TF6)7YS(q3%0L59N93a*u7l?Jq17cnBfmoL{ zI#R`O>QaD7)@2(I>#`jv&a%r6Al9W2h;`Ws#JcPPVqLcANEIWf%K=QXE(d{FmtTP5 zEV~>6VqJa(VqFdcu`Wk|SeLyzQpHH>at@QM%XuKy%<_Q~S3s=GV;!ktGFN2*AoE_E@S=x%Pu{ESeIxZ*5xB0)+Itmsz{?Q12M_E z3<6?Z1_QA!Lx4<{U4{a&E}sCgF2jIWm%chu#Te?6h)LFEG!W~O1jM=|1DPzlqyVul zsX(kt8W8I;Tt}+-oVrZGB+%JV$+F8bAl4-lh;>;G#JVidkt)Vfmuy`M`u&(_E1!yd(nCW=ZPh@& z(x-%XNTIt3c?((@#CW+ju7Q=cl>SxbHDtDGC@<5SG_2?@vlXojB3(k`T3A(=Qu_;& z{7MfEnXTNVr1wZz(OqUMS{cLynqLPi8!5HFPRXzI(2&{c11ae(3RZNN*@{*MF_Gps zz{*xi?JryMD?K!1wrV6Ly+go??lN1^${;4ud@ihNNEuLNUPERp4|$n>K*NgeGF#Eg zASO#_%!ieolz~;|HDtE(l$Yt}Bdq8yvlXojVv2;uZ((IGWl)uQ4VkUHZ3moTLn|GOr=CRa1GH&IYXLF0&P_3}Tjq#vQP7mNKHsyoStH zzVb4i4Or1#W-D45#B2$TJ7HBzO8Py>yLkLk4)05x7UC%DRN5iiV2ieE$KRM?_P6y9`x49?#oP6HoNnnM0 z&u8LUk^P_jD%Kv@yZC16+tKZkvL2P6P`5j7yXzKodZW_pw-I~xE;U~F-j`e8+;Y?R zZ|3a2b!htT$Lj2r!Oea+ZDltr#J!^brqIvDsBF7{LaW#sJ6zQBejXp@giR|6sotTa zQyYgmIlqjkbNIlGb_sz^2M+IMdR1n$>gUz%(Wc33J@VJrc+zoB&5O-HOvs9hT-MgD z=KG$%1)L4KQboOb!J#) zy)Op)Pk!(`CHI4tv1@%6XE+~!vvTOZzv`DR3jI6E_x`=iiSr_?z6xr;W@w?!T&o_L zsRz%ta9JKd^;&7427Bw|rR;$oJg>QXNrc3XG~Lbr$oQ{$-ZpM z-_dOv7oKvB-pBe5jQQ#h50hV? z_*0?3J#Rj=@@2cm-)$P|HzZ)m(cF;xsSerN8WyLUsr{bZ}(@VP~HKRvIJ9GmW5JR$w}mzfhDqLoFXyTsZ zzdZiAB>1$G?csIx?;cL*cxc|G9_3CY?`^xiEkex-*ztNwS?iLAfwl8of+{X{b{JV$ zdujE8XByl72eIEN7sRL*A;b!x*xf{vvd7N9mfuT@#^K_PI1?! zMjNJgIQ}Bixw2wn@Ax>cocOWM^f7aGzpQ|(BYJq|2ewHZQ}NB6inF1gm%AoK`TU*Q zrG5XJm!`D(Pfl8sg?Bm^>?sdfAa8gppN9JKg&zO!yT2BKK5o)mhLPSr=`mk^e{vMU MT|TupCflI@0yC`isQ>@~ diff --git a/CH6/CH6-1/simulation/qsim/work/four_line_to_sixteen_line_decimal_decoder/_primary.vhd b/CH6/CH6-1/simulation/qsim/work/four_line_to_sixteen_line_decimal_decoder/_primary.vhd deleted file mode 100644 index 9f1da7d9..00000000 --- a/CH6/CH6-1/simulation/qsim/work/four_line_to_sixteen_line_decimal_decoder/_primary.vhd +++ /dev/null @@ -1,26 +0,0 @@ -library verilog; -use verilog.vl_types.all; -entity four_line_to_sixteen_line_decimal_decoder is - port( - Y0 : out vl_logic; - A : in vl_logic; - B : in vl_logic; - C : in vl_logic; - D : in vl_logic; - Y1 : out vl_logic; - Y2 : out vl_logic; - Y3 : out vl_logic; - Y4 : out vl_logic; - Y5 : out vl_logic; - Y6 : out vl_logic; - Y7 : out vl_logic; - Y8 : out vl_logic; - Y9 : out vl_logic; - Y10 : out vl_logic; - Y11 : out vl_logic; - Y12 : out vl_logic; - Y13 : out vl_logic; - Y14 : out vl_logic; - Y15 : out vl_logic - ); -end four_line_to_sixteen_line_decimal_decoder; diff --git a/CH6/CH6-1/simulation/qsim/work/four_line_to_sixteen_line_decimal_decoder/verilog.prw b/CH6/CH6-1/simulation/qsim/work/four_line_to_sixteen_line_decimal_decoder/verilog.prw deleted file mode 100644 index 59457307713cd62ee70719022e7e0d2ef10f434f..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 6181 zcmbtZOOD${5N-eBWe^|-=s=rzVW6x3Jcll>i76wRdtc_*q7$FXX5q00)L+4`rm6IUf}u)KmUj;JQw2UF8)ls`gS

    @RzQ{sPlpLBgX{SCC=eFaDBwmx3dxB_zgtoqV)pGs`>iZ4t1mVD`I z$(MbsFV+=Dy0R~b_wyA-_?dcf{g-@!U-D(1D&1##zTio|^hj6s6{`FB!nv__zSP3`VqYOF z`BG2vg>z$|EBo?()fd+R=LU}K@AGt8uWD8wwuL9ZR%lQ7NxiCVO>rEDJnwM~3i^Cu z4zeDc7Xv-nk2Cl4gY#m5pZbYQet3?9&~DaGe4HPg69YZjkL~(=Egv{12KcF;u;hp5 zVicO6ca!>r$N9neFwm3z=&rxl{NQ{T;HQ54k{>=tPH67;i68$sKR6c#da@tD#$K6s z+^;wn2KcF;`S$`mKa9t*%J_*N_c%W|4+eU&ABBy*;-{kXDcViN?=OyOXmwK|F z;8y+cd_ue{@l!wZ&wup8`7i>)tRMYgKm0z4dTgmD`|*0!56>sWn-V|uGymL2Kb%h` zVE*|75B9_J1@-7sPxfQ6u~+(y`x5a`;-`M*pZDm8^BDx#+4`sl`{DNy)T3C>E}a{N z2_qlB-!$oYoUcQ={%2heuXlC4yZM8^ryW1v4%{X6xQ&KrL3;qPB}r!7DC zrPvB;X=m2WgLufRmSPdI6cG#$T)KuXGS=aarQFKj&OZm++~~_;rhJz z%Q!#6^?3=GabbvyeO}^aTpZ#0yi}KQ)d<(;1-L)IcHgf*V#9F%V8c+KyaP4pv)Jba z_?#`{V2JDU0(`!gae9EO`n&+osb!oQ;`+R#^Sq3+L)`bgr2kJ?%!^|jNU-vY)O+cg z7XR_(jK7S>-%1*5&+;s7%rSZLf#RX=;+o>I&2frVRf-v)*skZ5r+P>6=8)nV_nqYd zO6;NIg-9__J+VB2ZU_w)Z%NVsCE^xmOFX!aTse-0o*fA)#RNMH5rHziWgi9vb)wf5 zJA5nYGAY}EZ75Ea4K1V$c1ux zkOQYv4j^zRI#VRkIZmSUDTxrcP%aFr;9{x*csA&&qKt0OWps6N37!i@Jy7(4>-qpJ z8+3=Fkvtu+FVgFjXRur-Z^&hX0UiRLPCq6Q6w`Yi14Xp6pHl3d8DQBWVOhQB@-P-h zh-dYl+8^n?=M4Ek`tp{DbwhnI-NVz=IZIzrk@FUwGt%!e3%m5Yl4YM*_8m*$X7F{% zQYS4<$C66no06qXEM3R?R8W5?Sq{t+RAynhK@}_km?c2g!g7NySOQH%oUNu~p&Xp? zW65F@i|tq1b| zb3UINKA+*A#oGIQpXa;Yv(Mi9?6uBGT}f?YI(5#D6#h*@`|+8n)MT`uMZbjGr?4$W zJ0IK6MSBU_sc7GuPNmL6`yBMAu|1#d1!xyy`-Nyfd2T9Ij`piprcxK79YK3B+v#ZE zIy;rBK#TCyC2XI=_ENSp(EjDjnKK~#(4W};k?kqAe_;E2w!dS0lI?HV{)X+Z*^aXP z72993{RP_-Y=6%7XKa7U_Bh+0u>CRHAF(~g_J?eL!1igjBW#~y`+c_GV|$eCciDc2 z?YG$;Vf!t%-(>p@wujk%o$c4yewFPZwqIfUWwu{pdywrH*?xiTlWY&LeTMC6w(@$W zQ&YA|dk)%i`48ImCDjj?q)NB;uIulUc3poZjXFpE-*QJ%&*QJ~NJaOJ9z2vzi zf~UR7?-OU-57MVE%IBuSd>*CGwkV&KtJliw*S|hvj-Tpy_Z3^He;`6X$Lpu}87sPa zv|YNQca``rPxY>nzy z)vt@Ee}wv*lj_&U)Bh>;_a@b!8&CgF)IXk7e_lNO^Kjmi>q*6wgvU=qJpGx}Z%nE` zKc4;#)bB~EpQ$$Y7ig2*U%ZrlLy7$|^vfjjdlmf-B=)PJUri#v4*H!+>{m;_+C+Z$ z(XXmB;qjNLqhDPjzeniTme{YJe)Wm`-bcTU5q`_ptz9bDh3?)SoCk`%``EEbH&m_%|=r__e8}%VfWAoMrU0 ztsUn%+-_UTV_Uo3wsyO(eX}#Jo^}2Dx~y8Z_Fmylq4m8kQeXSJ*w@45y^#90bvf*I z+q(PRz8nwX*JbUxRmuzQ6tOj)*bvsU^$PZ5LwJ9qtB(!g{gv*1YzXfkboXOJc>j{>?D{+x@pAuF zf;wo6e)#=Uho0oOVH|SbCF7U6+E!i{iMQ=dsZ?M1^Fow7KAHYYsJ}R_{@i5xFQtB8T>W{;^j}8(O>y-blIb^6e>krG z{ABt#U+MX0e_Z`cHR4ORMxEDio>JYB*t(fmx;Q_n?&;XNHL-MYUQ*o|xG{-yo?nuw zjiq}lb?aj5*2U6&IdxlO>(sH^Z_piMVtd6C>ocjA>>UVbCulHj;YqIsv!vJ&LUvyJ{B)-1h=k>11{XX~ktfUVn zX|s-Rx9+x&ap--0SL*)WT=z3RpL^(28PjL{{Ga(eQJGqr)7N*Ob}xM!WBa=EefjzJ z(6>9buRH&jpYJOAZjSBi&I{(}yPCdxV*9%Dh57mR(sv}buRD*JpKl+1%cjM9-;AGM z%+L2e`c}vGb>|)P^Ib#Vw%ESzd}MyUYw0@}+t;0^%+I%v=dn&%KJD-`C?*sI$JU{OHDC5p+=H)v;-&$m9#ep2>{{A73Z(m#=XC5=I&wBbCi|gaeW5)G)3w_Ei zhAOB(-QAhx7HV%g^^w`eKn~to!?M^U?MB`EH=^oP2%f=I8quecST&otK~Q+v&R@ zU*CrOeBVLeUHSUX&(C)weUIkro2l087?wlmx)xmCDs_IvS??8fzw}OWlwrOg{CviK zUUl1X$9E=E6pnY1qakmOnxb&Ln;hMFbJP}vV-q=s^5&>33diH*IFL6-eNi~xLyl81 zIaa3nE9Lo0Z&#{PK0mDOTBGaFy4IR`(>1GB>hr2){VVl)zj{Tl_WRfL>N=woT02iE z&zJD=Sf4Z5_k(YqGCgRXcj9f0`@Jb!ZENS{Y-_jM)^7K;y_nF}@ho4qM&IXXg>R+P z@6>t8(yhx<{W>3P`^%mF3a8)6{)(06`C90_qW7&Uc>kP2YwIHG9T@Vs3IY?c7ASho;0Hk|JMV?Z%X#U%fO&R)OU^Iyx+fji9Ol`1EIfY5OXmjW+4(AbEX+&ih0L?_Q@CBv zOXo)B+4(4Yo=jSwYUj@2&Np3@r%ny??EKQjdFs?M&(0@J_vx%$rSlp+ zD_7|Cum6Szv|ZCROP>Q}QnPAv%|rO+1KM!DmE-g{40GKt%K1FJzG7B{e|19t*U`TM z3&sod*XM8ZQ?qK4jQ@7}Hx|jiA))_m^zSK>|Gb3$FQxxbk^JW-^j|>#{YCPxPw1ba z|7el?>k|6kNdK9a6zBM_P3S+H{w+oFuSw{C75)2*9|$P${5zNabCdXgj{bFp`|G?g>R+G4{}c4@DBNG?!{J|-#Q)>; z-&DB2e*S}hZ4&$bf!)I~(m6*_x{cG`sUTNzeHs9+FzGutl zzLhq=COhBi)@HJ|=g`&T-KSeKKRe&*W*V}$=g`&T*r%JBo1JfUtLwA3=g`&T)2CZq zo1G6`^E{y@RTEKPt#b}tlV6>kZ*}L*&)%McSC1#3?!0-~`Br!C-0bb+b?4`tzrk?z z{9Tu-nJb?k?D1rE>uaNQ8+^KYUe2Uy>T~JVIrSOOJI|-9$4Nt~MxS@NyfsdJ#_P`Y z>FVoNpQ_Q%ajtF#1$y@5A@}?k>Z`{I4kx`oa&@awpl8?3J^y#ztc>3G%ui+JJM~q+ zhK5w;*>!WzZ$ek^XXd6d4Y_pZ)ko>(p1*~z-lx>2GV^lj&aH{k%{@O2T|IuQQyKU8 z)jHInK+j%>-1G0y)$`oERHjaOm(5bWY8a`W?Pr|69mld+$~Ct>HJ9EExk|qW+q0DYUem5gb#}??Airyto-(-{j}I_kI4S+_ zn6Nu@reX=Y+L!sDmel0*dQ5m>f2nP`U#7uy`#Q8|&P<>B2>T_Nu#>*b|4E*0(bay^ z_E#S(sSq8T_mpx@m!GGAPnk?Zh;DiZCiuix$CFM^lJ9#-p3EoWzNEB??O&LGLgUNi z@nve#xk!)}&F#`6uaog^-YD}l9(g)G~3anWyo{E0K8Qy^4AAIU*OQd~aUH zsqx4|SM%;*-f>UfY36A>@}z*`_ZsF^VBop@QV0}3jYpo$Q%c@znb+vaD`B3-BTwcf zCGYjj!{ULQer3$lc;v}(CwX!p%Ih%X$(zPJjYpo$J4)UgnYZ7QSI#_*N1m=@K%RWR zPW(=K@+z38@yL^TMe)0dd9!gqtvpsUvt%^hj|*0ysMaZ7xUz} z&z0B5JdH=*Ear7HPk3_W^)pZ7kvE%p_b_kBlQ+OTjYr-`Q3tus%lml$l*wIk{>Lx* zb=v!vG=y`p6dUE>jOn*S4Wc$_9qOR;iUALDquCy{$sppZKxZUoT1UbLR`$WcP zx9=gh?LUq4fbhxrUXDBU1GnjymmaY3xKN4?c}sEFtNuRbf57wu?rWr&WAJlu>+%u}%b;bvSUH-9G?S3Pr`@m(+LuwUw}e&9C!_}uckhToSm?p*In#wF(V)w_Y* z>IZJqkI#MF;m&xt85hZo$+K9;_X2XOAGl3FKKD-@Zdu2ftKN)D%F& z`**?_I)B$nKgao-agp2|G3(t#ZuJAV>Br~(y~8cO+P;OWQTjMhnsPUxqbC+CAa#4+w|jeU+8ep^KdgR zl6z0g<9ji=)eqdJAD>$uM2C+PIgjQ#z8RO8+gI;4a;qP>O+P;OOov;ad*wKvFfNk& zSj>92lUx13ZTj)KpXYGD$Ww2|CFb_kyMx^72X51k&wZW4{bCO{<082+xe)7m*-38o z1GnkN=f2+Img{Y<psava}`i{x&KS??Zls~@;c zKR!1Wab`|90CZuJAV>Br~3#o^X@i5&H2TqJjY%zF2eTm8Uo`tiA& z9PUO>y&0F7+gI-aa;qP>O+P+&tHXVhhnsPc+&f~{dp)_;58S37pZgAn`(_U};}UcG z>ODwq^#ix*$LGG&;l9Pg&A3SJ!!hfO+S9U-|lcP^l&pSF}JVY!{k;!aGQSodcWV{ zex-+-agp5odqY9J`_9Qe-tBpCC%M%R+@@b%I>`7vY=M{aJoq;b_aYBB;}Uc4^KkDX zxB7wG^v8~qLlN8`b+}*U;bvSUH~)@OWW9HjTm8Uo`eXGTiQpb~xLZBkj7!YztM?vq zs~@;cKYqRC`BM0~&mA6a#zk^t(QVfG+jo5LCAa#4+w{lkeLSMxpLMuj?cruzVs2l( z_mNxuz-{{R>;0s|{TdH9<082`W7c~=xz!KcraxBi(TI9~!Qo!);bvT7ZeP6*kX!x0 zZTj)+{T+wA@i?W^}8a;qP>O+S9U zf9`P0`jK4EeHa(X&A-DIdHz03ZuJAV>5tW0{yvS&I|DE0_40(n-R9wDTw-oty^oMv z{lIPd@#}rs;a=k5W?UpU|1Mo*y^oSx{lIPdWA!eJsP{7t_ZvLij7!YztM>@G)eqdJ zAHUw`<9SE;dih2VH{&9?`FHvv>wS#e>IZJqAFFqHM7=L?xZ6G4j7!YztM_qos~@;c zKYqQR?{MGg;bvSUH~(&7WW7(2Tm8Uo`eXH;5mE0e9PT%HxEYt2+gI;Va;qP>O+S9U zZ*;hqdbk-E$<4px7+LR=WF&3+Trf>a5FA3x3Au118JC#bSMM3*RzGl?e*AjN_rSv3 zD?Qwdi{x&LS?`(TRzGl?{#dC5`0g2mGsl;<`T4L&XnWAZ1$UeSw{E6U-T-WHw|rA57R!XcRt!Z&^rwS zoKH{(-5z{yuQubst7f*_mVV>5zYD_heIWT>qUhXnnjwvwNhp3xbQe5vbdX|e9)YSS-m=kYbF<0ub!@FjB{pL}l*z8TF!ops%1Xq{~@ z^{%Ae0QF9I^iEMv^Ps2eAwzn7)Vqp$Tc~&3qj#Emng=~yrx?<^k9xDHw~KnmJbEeI z2grCe4|)wIFR0%d>dmI!LF$co^h&6wdC=2!eIdTJ)Vqdy$EkPJqgO^f&4ZqeW&20QL5I_^PONQYrr$?`WdYT8lmpgic)O!K- z7E@2Y$DZr>TR=U{gI=@A3yzn!QEv|Q`lz?VqbJX?o zChBP(^p-h#k5W(f<9awuJy}1HYrHMg(>&-ccl0(;Px+yDfOPCb47p;v|*Ao(1$*`v3ZdYT8ldmO!YP*0Cf=v7f~ zlSi+OdYT8ldmX)v)Z=-vw3T`T)T^4L^(wXZ2kq3;Jm~5DVCek!PU!)6& zqt`(_&4Zra--YzvMZHDT+fKbc>dkQUI;p35(9`>vklwqg*Gjzu)a#*Mxue%jJnOWsaUa$CTqw^Ps2qF(JLjskfMXGp3p2rIUKNsgwNR_2{FX z=0Q*IV?uiGp`Omefv=Hz9n?FO&erRvp5{SM?_)xGe?`4E@^w?MoqD5=-T?J94|;kZ z6VlsEJ)K7Z-)8EyQSZ2;x1M^M2R*%y3F-Yc_1ej|hkA>tH{$3GQcv@sr}r@-z4ubD zk$NN4Yo*>1M{fi5G!J@u9~07hAN6jgUfKEP^<7B4Lyq1?>S-SI^gbq}w}pDV?v++k zuZ4OC9KB7{(>&3vK{Z-{y?qTWI3ZKNJH%jc-z{kDyI zng>0-j|u7hE%h3hH%h$?9=+|<(>&<$x+5=(et!Eq>MfvN#RcYg8T9Dwpq}PIkJpJn z?*r7EOT7l_t@r2+Q&01t$Lm<2w~cylqFyKU8mKqyydFELr+LsDGkAP;axNw}*O~ z2farfz3tTFdA79TLi74oQE#K8x0iaF2R*%y2_1hQq8`tSrH#~^O}#-!Zy)tE4|hU~Lx}SP8sMqc29i*P-K~L{vLV6#e9^cocqtvUQUWcQ1hHRP2E#r7A z%gyUsPQ5lq?=bZ=4|;kZ6Vm%A^;S@?m3q^t*XrmUp`PYJPw!(wdc)M~qTV3&%Ba`k z=pCh==0R_h$qU}UAEVyg)Z0b966!TNdLz`+Jm@{{==~G*R#I<-dMWBPIC{sZr+LtO zkE8d`)Vr5@n?L{Lb81-~4{uSYPIC!usa- z-p2Mvuc(=J&S7_V+pa&F^E4?H_RVPsefBGkJ0sZVT{l?Dwup(39u2 zxSXUa@Z9=;jP*yeRupNRR%fD)(|%4Z z^!2nl6Lp;S^LL@+v^o=YoRvj7ZmrHl9p~&KjnnE()Nxi7X`EIkYMk%zd~Wo8o}5EI zd9Gi`=SHhjY(D$^qEJ4oQ*6GvBGu386q~Q1NPJeu@@?`QXYciFAM$Mfd(ZYAp6$b) z?Yp?$KIgE{MeK9alLa2%R_AORe0od=WH8)OOeKJb zowIHH-9;L|)j8Y7-&3UVTb;9Q{C!0lztuV0#@}D0@mrm>KB4Q*rcXsz*Dbj1Te&U_%gA*99)6xT->WPywd*5R z=sGa|om;GbILtWneMx`ZDC5lcApLREF6MJEt~c}fRxz$5BCd&XWf5^5jGGn_x1Mq3 z5phF|tB8o(&A1s6afcW;Ga~K;<0>QK%5VV5^Tyc`akCj$6%p6SxH%DV?To9Ah#O#B zT}0d##x+F5?PA=5h`57{YxKn7&sLw2bwBof@+99^vK|)OgY~34<$Z+jXI{ql(`o9; z-$9jiefIrl*CQ?0?bE0BYg?Ale5~7ioum74>OPmcRd|ET>samR+V4FLP*3xqce|ta zFVwq~dW)&o#3{x(7nyk{RDNNL)}5@&T(`t=OFbo4|*?k^mbA266)=wUbmy? za&Dlm=0kUZqx(tfLQc1ky@v4dxtn@8EvsHT^*S6qx1L+5tNGBq z%F+E4b>;da?~{$xt#ouP=MeQY4|bJ(qJEbu}NlmpHngp{}em z6x|W(&UADw=XUC89`vRdtU< zE$1-xG!J^un7rV;^f~Iujev~1lX{CCJ(qJQbu}Nle|B{LH+8XWQFS*{x5Cl2oV%!} zdC(hm^!8E@wJ)L@KZQLPyW#+)G`}hwjsk?tf4hmmwX0BXy@ax|VYv^)wH9-*oi$Q4e9N*F(J) zN6+QlPhHK2?qQQ398aI8E-r(rJ4D?wN7r&5pq}PI?@34RKdDzqz5Uc{a`arzgVfc0 z=sw}-{$J`|LETa6mN>eW^APnk4|;k$g|6@WsrP*9&75Ig_eMw0S-SIOuxLeil4LY)cw<^w(vgIu8X(Z4NrNgT^Db+w=v$Xi?`d`x!tZ~u-o1B@c*T} zW1lnMM2?qmTy}kX6Z%rOCERc79-rU5?(z9M3go|?{C3^C%WsbF`26Pm6`#MeK>k;f z->ye@`OSGGKEFAS#pmxXkpETWx9iMZesjK#&u^|5@%eiSC+_*j-2cR{e}94euO)wphu_@i#jn4)&y3GMP$2*7 z$zSH--<7cbI};v1>kH&xLjGwU{^5lDI}-8_7Rdib^2?K(T*v?Rg#6nQ@^2`R|7GN_ z@bC{Mf80c_Wt~|Qy*7Q{U8OCtDpMCiYm`gI=tQxW{b5&HGiZ}8~b`r385_Vbag zi(Qv%x7+d9b-8xC<*@5=?RHylyDrym-%0La=X0OC9@qD|>`so$uCKN0RPF2j|7%>k z3LMu`j;oQc*F+iD?gGcPisNdUVB^|T;JA7@u9gWluDu10>pqTa;RGAkz5>U!mg8!j zVB^|f;JDUtT#F~zxDFIJt_L`-wh1<_g9VQ3L5|C=51lCI`9lSc>miQIuKS!Q<2qd6 zxH>p4yPk8RjO$2&<66#f*>#!|Wn4!K99JjDW!GO$lyQv|IIg=muD%I&K0H?7xVkwm zyIyjlyk5r(9M?S@mt6t$Q&1$Ap6pXPzD$5S`W11HzNjpO_pegEKaevq6Qhx6-?KdN!}AUD`07Tzpl*%i(>(BXd+Mfn z;N*I|ah$r&1f0KfIG-TrjSlCx$XP`{<_C3aBA3R2%l`hRt((S!hwJ#p@f@N4Nr&gh zPEewZY|`~Jn(gT>ZW<%K)e0zS= zJaBTo;yBLl)A!d7=jG&lfy4O}<11M=<_C3KOfHQBm;F6jTQ`jd57#-4;~Am;sKfIj z@?7umJk5AJZV?P$7e>tfpl)sC(>(CCdyY5F11Hx{j^q4+(Vg@whx1l)UMKr;eX`fD zA2NO>{g@xrt({yN2QK@&z_xB04<4?&9LIBv`oDB|I>}Sz@cfAJzPeE_s9Oj5G!J}j zp1NrsIJus49OsYe`wNG&mz>u+oIhdw4EixYs9PtwG!9%9dYvsVwRO{Y@NgaIIG*Fw zKjH8^PM&KVo}V(_S2yYfb?YXd=7Ddqr*4`D&S&U{MvotRAM!K${@mdlBInhNx5wMh z8DBv^<_C4_A(zI1%l=Nbt((S!=g-tdlf`p_`ag4cK24t4jJG_$V7#wx)C=m?M?TF1 zU#q8Xng`BN`k~3<)b*;kp8nL~{5&~lG2U|iO8ST|ryui!y2;;*l>17J1DE~1a$7fz z2amRR|GPZO3H{>^&r{^N%HjF7<>7V_44>bq7u0Qle3}Qog`T=;9ys-UlY{d&^!Gdy2TraB9{2kGp1wbFIH!~I3WxI#j4z`f^Mks{-}#i|P2<32 zf4|?>P2<6%_mw&7c8dDP9G(n$?0Q@KI{uOIzPeE_sM|*JX&(5RJayANa6ZZLqse-o z{)xUnbT}7~^LdQ7oPTC~3H_KK)NK>FG!9($?IAn)NM2QG!J}@p1NrsIQLQ)O%~^0qz~Vx9nLOtqS1KEi4WTvpQ0c0 zgSu@Ym&Sq1{yhs@H;o6+=ctP&izk&f`Xdg{qvW}a@s>yau&17Hx!tWB^@6$$kx%o$ zx4=_3%>(BrsEa0xa}s@@ayZ{ZPJC?0s@r77+x6JY59+p!Tp9;1neUf%O}1_t51x;E zcuJ`MeTQc!d1g2~QzGg{y`XN}$)|bXYw*-f^T7FG52rlPk=N^c4(Dgcd8xyB4&&|m zaOMYf+d(dk16Q3>H;o6+b`Ou<*MaA#!}AUDJjdZVH==IT3+gsZKFt$8Pu(;RoLfDd zdOr-#?>d|#%^jchg_v;II4P z-{tWyr`{3Ae;WPqGH3Z;#P}ilGe7X(Lw}8fzwU?sT^|1vQ_So7qcUm7{(gw#S_w zu8XpLyN=2B?Ybk|x9fy#->$E*eY-Bj_U(EO+qdf=Y~QXQuzfr4Zu@q=+V<@{ukG9U zQQNokined(<80r~W7)o)|FL~LZ({p)zQOkGb9&pqn8)L{oa1*ok6#=fS;y~m#*gy& zWqxq{?&a~TaX5Yl7-z3v8js`oZxJV-KOnEI{d`(M{ck!vmyyR_*X?y*pJT{*n?B4B zc=nM;s z;5kShjRQ}&!=v%w`H+WaCiTDO@XRI8REOtzj6X;p<_A27$fI%K>2!EB9z6f(;dwsw zzv}S3ggoatJXbLO0DYJr@Ej(O#(}59;n8^Te8j_3N&Q0(PZN2jIXqV~em{MfAMhL@ zkH&$g-Qm%A@O;$6qt9>ge))>Sb31v?cX(!zXCHl-AMhL{kH&$g&Ee5_@O;d}qtCa& z^JRzU)#SOr;klYTd+EdcfMqrP~*@X-f%co41 z+itmzrr%3m%k?NZ5vIR4vk!4{->q@yriakY`X_m!ue#7bjl7FO`r5~KS-((*?Gi1% z)jBS@n>N>T+dinD8_oB4vF&2bL%ysl2pBE* zwvh8>hI5f_4~<90E8|(nxSLGBS>qAM{pp3|(ft^QZqG3u8MB+@EeIkM74fbbF5RCoGVYb8->mV7)VF z^Soi6?wI4zJmky#YdC)qIlIaED$`%2+e71#<59-b!?-(4zggoE$NlLZ^5}kyL$~J` zk8*%V=3m1+eKE(QdB~S}(Qy8&$=OHF*O>ky-5wf`yl-SY{fv99={IXU;HnXCUTyG!OYQUm4DS9XSWc`Fhh|q}xN|k@uI3XFcPVn0~XyBaZvi z>&c`0F%I3HV?4?M9+|HU^9;rukLDp?<`KjBZy@I&Ip1jdi*$QvJaQh8@oZq+ou=Qc z@rdL8^ak?ievCu6=NON2fJf#L!#o>fjz{y5FY|lh{5O$vBRQ9v{vzET8jqYWWIUS~ zx6JgLH6C%?pWZ|s-H&nT_8j9;4)DnQUYKWd%<*U*@@3vFoWGo$o5{Jt^cU&&(0Js$ zCga({xGvLg)_BBme|igfbU((S+jERZIlwdG@C?NqkLDr&DJTCfat@L6Zqr|++e71# z^Ph}o8{<}*ezV3Sj{DQw$fNr)4&9z(JjwwcnGXxscYDn7Xdd!qo+_Mw4>`A!^Ip?m zq}xN|k@K{SX9wd}nSQgzBaZviJIJH^F%I3HV?4?M9+{^K^9;uvkLDp?=8wYptI0V` z&R)}Bq}xN|k@LBXXD8$CGyP_bM;!O3calf1(`!s!uc}hrvh-T^=diz*ej10m>i!({RUi1t^YpMEpLYj-a{ZOpwUYYl*q_b* zKKf}Kd~|;fKlOp1JTDLX@p*ROcR%%KQ2zn;XR^Paei{cK-Jioxec&h0!^3`jULE)i zP`{k|53*mu{sH=F9DH>s9|#=%GT=kQY>_{sC=upgfn2Y!RpAEo}=*gwhs5&CHyd~|;fKlOp1JZ}#B@p*9I z_XzcmQ~y!+Pq2TKei{cK-Jioxec&h0lf!;|-W&LBp#BK;A7lR*`y=$zIQZ!P9DeEp zKY3mp_T%&1!0+wUKSKR?uz!^OWAxKF_~`x|e(D21c^(}0nPvhXD`*ZlI5B!jZf9LG@Z;ntMp#T5? diff --git a/CH6/CH6-1/simulation/qsim/work/four_line_to_sixteen_line_decimal_decoder_vlg_check_tst/_primary.dat b/CH6/CH6-1/simulation/qsim/work/four_line_to_sixteen_line_decimal_decoder_vlg_check_tst/_primary.dat deleted file mode 100644 index 7a2d98af16030be3f915ebe52a91604ca54d19f2..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 8661 zcmbW*cQn=iAHeZz%ibfdz01trJA1osb|@loBQnb>WM&k~PJ|StLf>e7laX0gAtSye zBQ&V|yzl*f&T-HEea=1S+aK@u)A{gveIBPcP9(uy3|BVA^1||VkJUSqmE=X;k!-GS zi^Am);Lp4>$@mr08YIbXdq|R9IqLirypqNe~T6c@gB~?ZIg?NQ!0ZYNVYu zWrnq1&Y&<=MyTKXU>|ixouA`i!@i7@es2aFXmA%T?xMq8^tg)wcQN8FCfvo0yI61+ zEAC>$UF_wafo}?LMI33mdDD#|B)OKC^WcgZ)XjGS11qX zjCl9to=|l8C$5N(PuhiY%hkCfjGvqrVk=kQ4+Retyig#a;Ddr63IQktp%8*X7zz<6 zM0X=G=@J4V#8j>z7J+(FD&$b!A`U&4fI<=qDJTv=aS#e=C}g0Jg+dMrc_O3g~AL9b0{pJu!O=23Tr5Apsn#T)3)XLDDvAI_ZVT+BQ2nJZTg z3={Sy-90UbovVwG8l2uodZpOO5j6=qL ziVuy<{zbWM)tVhDCNXqwcA08>xpg44N)j|RuBAZl!}S5sG`Kzpnikj6py_Ze1DYP! zvY;7oEeDzr*Ycp5aIFBE8P|tEv*210G%K!^K(m2%Y3}fCZ=*yMy!E(^a(!EaO7M*7 zozMKtG%TW=6X`w2vAqpoDgefbgQ+VboQli7n(0)lClbv9FDZK4@)51Zg*T&X^0Hv#Yyu9gc|~VIGr+wIoHP zo46F$NQSu1J?30Dsm#L85ysAOaFHlPs;ZvhBs%99-W)ENIb1~NoWz^M4Ks(E=$ssj zy{`Q*bM_ORQ;0W*2WAcr(K&H=b9iCq@DiPqj5h}fGY3g@PA1+QKA1UtMCUZ)&Ebcc z!%uY1W4t*6FmnWm&Z)$kBM37`km#IRyg5QJbA*V_8N{0-3^PZV=$tXUIU+D~M2OC5 z$D1PxGe?x@oWFPH)R^d8&KE(UIp*WJIVqxeMWr~&j=!0toXupX=kk_d*QfGsW{>6e ze$Adi?JdJ@wcqm)8!y179K=(sj5#{{()~+Y znV(m{Oz9i3psU4ln84{N^mbYkHQHQg*$9kXOumj()jlF^-B?WqPnOc_7o`x^r(k6Igfa!9GOz_!W(Q>Y zgfatI*&!e^*ef#tGJ8B31Dq@fMrJ@LJ493lw!q5lfy{tVW(X@&1Tw?DGD9G9z>_h; z$%0{IhJ-RjqB5`rR^|X?hJ-RBSeX)#8SRxB0huG74E)dG|NUJb10ypclqnIFfi18y zM<6r$S2ib-L5Vouj-qQidn|YY^*-r4)wBI;>hC?byOIOR5&xvEb1X=lp7$zwVUY^H zds{iFWWev+(jL+cAt8ZRCcv*d)c0)szP$Tcf7$tb{#681bD-zkoAPRHU9@3SpYQH- z#KRuiJWg46ia<NuyJrL$Yc^h@o_}X$~wJ{XR=Mo*e;uB z4(eKb-S@n%SA(j z!JonTrPbZI?sBE##mv|BS6t%0o?A&>_fO9t3Cg|qB6#AiS$;)0ONXcKOt69WePgvu zzNe}?bnoYE@0NPgti`V)`_$|Ddh;d9M4NS%?pkC?cIlmLpw$^JSu?HYO>a&TFc|B5 zRJcZ|ey>dRTC2tTca!Gi;$~l4&MgGQF7<434^kZcra7$LtQeT8?;x;Nlr{AgBlJ=7 z>kHv1loE3CE(NKE%eB#M>p45c6P8Avk_4CRMX>vnlCb+CXR-TSo@4h-jAQrx8O83~ zsKf3{?*RKSF;B7kc)YRu94N5+&In=mZE9lo^=o7IjhkWjRfvOqGyl;S68jaqkINpr z&q)cpFN_7d?;9O<-w*?K-&iDeUnwWpH~k-dXCmUU`}l>i`)rJ``!HVEeQVL!eZ#TX zeUmiUeK&o%$SHeP6_lp^V&iEI-)lsaVkVT};Ts zZ1dtq4AHA$03ke76J*a#l5JNM5=MJ90>k`q5do{UG`wb=5Z ze|Xf>IOBm@F1xYL?AXK09`v1Ab(mlk;oI^EKFMt42W787TT%U`&J&;9X1IoyM=OQ; z$DEk6l}=Xd^c)UA|6ZzmG;06F!E2S_UdWSXsn={uJQtT*hC+OV<~@39b$`A4p=VdK zRK5_bq zMk;YjDJYp!*YEa|2$^|Mh+ebm;$bBvqUD3bOb{6xg+_L`F*x%`rb>IPls5ryZ#(hk%(P$IBym7!R(@JxpR21 z>P!Ehepyi%7X>-jd4#>SRGG{TBkGu*+Hf^whv~z9M`;QhjURaooQawD2M`YaJN0F+ zvc;rYz7?9fSCh%seN>2Up<({5cKuPpQLXfpg)$b_n9jjB5|-B;+>%S}gHkMdwx&50Tb?I`zDhXt`bnAR z01I!%)|QX-|ESxxG`T zmi*aEdhwZb{J`u�|b5gQLC`if6m4$L$aD{Zn65>du`tr1?8XguTjL`Q#>NP|b;! zFdvNTkZW{^{@4#I+dL0{_USA zDe2zS^!U)D27faT(^+kBH~D`%Ebu2`Yp!Q7GWEx3Lvn@ihEvnoxL1u6a}Nvt+`nOU zE$8LQu0PC-U(ytv6Z}!ps~*%+I}{6&fq7&-S0}lDT~lz2TTIKVy(w}(;zg-?2j+Li z-1)xa36AF-3!~>ca=fC8PN2=j3twD)8X6mQOn=OI+Q~v~Y}hDu)T-i}vrx>Z171EM z6cUM~j`W7t3+~AAM5Vslnq{_ed-+I7KI%wse|vj=%G$G|;~{L_DuN~x$E)=c#@0rA d6GNxO!U`Oj+!iCh(o diff --git a/CH6/CH6-1/simulation/qsim/work/four_line_to_sixteen_line_decimal_decoder_vlg_check_tst/_primary.dbs b/CH6/CH6-1/simulation/qsim/work/four_line_to_sixteen_line_decimal_decoder_vlg_check_tst/_primary.dbs deleted file mode 100644 index 4338000e5de03a4f1e0e1b2500f19b8d965cfd2d..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 7709 zcma)=30O_}8^_N%_fV2X3sGp*Tw+wDQfW~sEl80vN@XvKv6T#xB3UX!#!`f4GA1Qs zC>nc;7DBcm!x)ChR%!Xa@4a_k=XuU^pXYy{=XdV!b3W(&c7Emj-1o9ES2fQ2Bucri zYM0x)F9kbSLbJ z=s~E0IF?Wq(UY(nq8FhW;yA+Yh~9+ih(3fp5Pbz7O*)I{`$1FlrD z{7Hne7y%FaU>LSh~?1MO; zurFd1p&nv1p+4dQ!hVPg3Hu|)5Dq|$B{V=>L}-Y(n9vAu3869KQbH5NWrU`P%LxY} zt{^l+j3fLSF`iJ0xRP)XVglh{#8rgmh~ExE1?78HbO_lbi&by z8HBiVNiqqY5w{cK&L!DF=!&?L5O*#~7NHyBE<)V7BtH^*Anqm{i@1l-6LBx07ves` zafsQ3-iSGbK8X7XeGv~3`XS~Ljz>I5I05kx;Y7qdLVv`c2`3@u6XHfD`2`LtFw~ep zII;zJfT73WM#dK^kda~(21{Vzi!Xu$Udc!?7Jmq-;{bAnKLURssbW(2wj0>xDVz#p(04>0tZAUN{13S^{K zFk26U?)wI*;V?@Us+*)nz$`_m>PT6`3^yqXyhUmx%r*+uZBjNcOBJd+q-Jcetm}SDCz{jLqV76VLxCQ|D1OAN%7<$Z9IP(7}kdbP^ED{D~y-=#Sm!Kf#wc-jr zCKzV?8wD~_ZJ5o3L0NB=Dy|6>WPMOvp~r;4jQ^xSMydm|SuiN8S*hY$KtWcU;tD-x z8qD|(1v1iJFq;j7vO1M2PQKl-68L4we_!9iwR{%^GSc3FbA(x4l`2jJ6Bt%aafKc; z9gtV20$sqlFzCK|C{>(>JWG?ZLNQC53i<%f6K3fsRa`H5Rv*d=!z?{2=nFVsn5C~& zasA|329z}evy7-f4=_rYWvoLSC}l-p z)-WpQ2e?3(Wua7Ymh!9-l!XrrKavXi11=P1*(g<p9(&T3IYK01qFOGsX#a_{2;?G zAms{bhp=h|DN$e?DN$fNsWA|?Qy93OlqfKnlqfKTlpBO)2?H}oi2^f8i2}Eia)+>8 z!oX}&qQD$dqQL#6JRs~xVc;*MM1e<1i2{EmH5S5l3jGdX5(So%@`A9v!oZuPM1ggrM1i+Rjf1d#!obI*M1c*YM1fC8c|%yXFz_`gQD7q} zQQ#X=J`k284E#(=6xc>e6xdG67sB=n164Ws|ILh46xfZFC{T@*AA}tc2KFK)3hYfv z6sSuIJGoq8pfM>?pb05aped;d5Oz=)IE<7i(1MgG(2~?d2sxx$}4&Gip%i&Cduv=m zTl25}98mK?XG5UR*)tabwI2QU4Nz-tR70qlZ`0{3*>MT&O$WZO1$uY3sv4+0Zxg!q zlXq7Gb>0o*3 zpiTSxMXrxV&rr#F(&MyuhtrU8iH&XP2CMQaYMyB?^JogGT;u9z>N50r;oSne(4rFq z)}s()=S%Z6$lm6Fuqew(FRt~7GDzO$^E z{OV|m_wNU-?vGqN>65)h%pBi)iJ|PH_p4p=K3Lvf`Q9um@`&2gKR5m4Z@OyDYc2cl zO9RaxniqAik38<%d$8f+fK3;}{WKddteNKZm(fk154Ou!6qMIJO!pjPTY9Wf_2D-; zKj{ByJvsQ|;nkj=Rj~_ql$1H-JAabAovO>mTuDuGG~4-fyVlViCg;sJsf?(4@hSMz zK*QVdNqU8=w5*rxUjO1ZGk1+M=}l$kf0w(jJl-7GRLpz4V!(rrX)Z;(aAv zX{lM)OYYPsa1!&&)qh#@5VOE z=YIJX28VnyqRvV;-&DV4vo6mic;J}m<{J@yj%Tr3$+FdC5u)`|<$lhz4i_MB0|4plSt?6VHo;&^c47Z+3OR6pz zvECjw1)i#znwCE4(|UG^i0xJ$y=&g9pxqhzk3CzQdpr)w)vhS_U%1H9>r7!x{Jd=Y zj!)*E4UNUO!($z?uH{s{ldQb|L&lIcgU*VUWRvUdZ!gDf^||}*yz%0HtFG<7ZSJCa zIme*PEs*)(p|kt4>%zyrW^vav{{3id74vC}*=#pf?fvmXrFFCIHlIkh>iig5TDeap zw(|PD&ZphSx?C8Sbt~3$spkaM0#~c$%kPC7nX+8$i{~N5vn{(f+^y~WIK_5n&EsW9 zE=|wRf9XEHe2UFGT^-ZjdOsUGWb^>(#rw5O$IMjQTv+|r z#+MfQ&yVcO%bwnQ$#%_jYksE2Z^3PLJAGECRUPtvvY_HgnVo-h!1UjbxyG1{n(+0> z%5go1SW!TAQu4>e|})tm}Hua@AebGo$vbA3IFtD{JkN+9iv=(+#S<(`es1 z^jgZ1%)ZCwmekbRFPas0%eg&eMY6%qQ|7$!uTQH}w}}{%UgsX`Gph9U8QnYebIoiv zZG8LUy@5*q#IpETgVMh{9>~?7yyr4-_>^tqTW3^f9<+1uj*sa5cjtldxTQZwdxzXx z@nC(BZtgT&cdb~%=F8T>%R7w2pPzQzQaScOTspQTOp;wfYGK1mSQM`E#Oz}NqsFys2x>xZ&! zyV~u~pKYaS#4t`!joThSSQaF2OEI5eoMHS5gEf`!h)h{rLPyJ^AciS`vnOEi!xe@H zkiQDytr!N!9{R5;B67jUM)(wa3QkwT;ENTeCxHA_0&f*CI5tXEex@uUGP=FqjA5#Q z8({G93iG9^7EV8g!Le3q@CGlq!}g9F&>7%20xx0kE(#;t+3^wvza4l1gJV|-tL{SY zi@kpn3_eX%^#)F3!_To-1ywE8^BLv?oW>@duNPHe$B(T&$M)_HZ}7r9Y`(RUVcL-3 zug?!um@jvTy?rMPjtv)9Jy-_KAylYfRjjBAyLfEZIrgZa>T?Zz?86=%TWr2mRE7O| z4_Q^KpsKYFz8Ju69Ghvrn>yYrB+K96h@H8Ptja^-a9tnjk1`DQ-Pktsfubt>$F47{ zN*7i={E1<(!^Q@g&k4!#BvBRi%GeZh>^VVI`$c<(v4_*x z$nrI!D(s4}{pDB zyjHZnsnk3APscmryYZCb$LfT-${yw7vqCzO3|>b&2LDpnV3inYbMV$Z+x1&3nWVpW~?xlyWEU92hA7VEODchAi8 zG=3@m8P+pwX4uZKo8e}L{S1d0Zf984sZP&Gka^&4%A@_}!}i`uSQj zk2+MEeR5OpQvJU^k3Icy9M1Q+?$;Z9v*EWJez)OoHvE3WA2$5$hQHKf#DRI<9tTc! zfqh$-?Rc)wc0AW!j4$i8TIU(M>;1Omt@quWhxbg< z52LbvTyW8oGq!FTcY21~DQ=o6_GXGhJ;Q#ATYJHV_g<^YP~VVG#$k$;n_{hJxSe9t z6#SgmvuFMp`y7Ai9@6{hemtdqIeo2m%;UZ*?^6ga^Ftpbo&Dj@?}MfP(hoEKrT#Pj zrQS3DrM@$NvyqSA@P`e5yWv+g&%49HXe(Ii?3;6^zgvD1PyP0jc&hJD;`V>`cWZI< zP4c5J9qXq=S@|ZW6*ty+`1Xn~TZ;{1J8=Q97Ui2)d6g!J8xAB%1f@BM*W#V{Abu}? zl%OP730^`cVUSiy>!fX^9i&rSxO37CvUjo{q<7K}GB_Cq8J&!S9Go0RQMDJPu&HWA zX`K@YDFLPTlMIy6q6~h5RF!K)HNXw92M)k3a0}c4@3CxeMGZLe0N=Q0M(Pkm*R5#P ziN^BU^rGz`+Kr-dLLF++IEe1F=)D!)H=+mpW(5Dxr|fi%YkCz^k8dwACztcr2FC|O zxhVr_m@%(m@*1k2FnBQAWrlTW4Q(b29^2t|P$uoL8+Oqs+>c`BODTD{HZhEvGLT18 z@@Udc8-rTES%VkqOCH|jH4YO7ubWk39-&SQ+e{d#7$uM3Gh>)CkVjkcXl-IRJ7KVp zUCzVQ`A!{r;!UP3^B$`s4^!%bu6WB}6ZBY}43+P+nY4dy5jHFs>_FV9uP1A;frgx^ z(fLljpD@OKX)&x}@||KrF7w0gi0H4#{*9QU$R>`MrpQi?n5oFhMNAeR$iat=9WiB* z-5oJ&ku4rEadX+4d2W7sgut3I9s?AS6>81*z-7%$VIYs0Pnm^=u8b{;#(L7F~H zpy|+5;nsi#gaBz}G9ejhKry6dkD7;D3mOmtq?O@?tfU#n9GgDn=0F2NfV48-ke)Q= z7s4G00!ib_Djl31Xi1~&>?01XHM(u$Bk=8~R3 zQ_Lad)`A9v0BJ>QAcaYCP&-?v+*A!7AR^=yJ%U~)S%Ng#Iwhx22f7d;uV@xTHAxrb z%TixZE*1h15%P+zQF)WTLF25(NPx&v05U{oQ9tT)Qb8!8H5hX+Sq(sj$SfL36;E0T zy|gwTh6Mp+h|H{{)Ospv`dEi?!Z~eux0zL~ODQkTqK=10=?V z$pCw^Ei%CJEPe(kEK8gL%Ev-xfFiM68L$+rNA{UxjpAOJs)qM&z|qT*Zuuo_jUjO{mwbR zb0!QrdrHxE^2Rp&8vwgvcw5^**hd#_ZG(iLBwK==jJUzDr=WZY>?;SgwcQW)LBNN~ zo+5jH*xA4z0Q;R%TU!P8ts~mnhQZ!>T3g$xvcqAY@z}Pu5wHkvd!X!tWKWYF3Hz`6 z?z;~P-}QIdzsVkv{j2QVvVW2Nw(Or}za@LG>^EiqB)doUkFwv8{e$e+We>}KP4@S) zyJdeT`&HTB%6>)mknERbe_26X%G!7rZ71Dn_GH+J_CK(fjBA@e zu&qSg${!4L0PG;lJ6gqI`r+S6cV2|QgJ7q?{^P##odaMk58-udapseMeBbylMwao< zgKdictiJKj5&yDg`Ooef|FgxvwpsoOedB+Q__s96e@@@{pDX^|&GMhyH~zWeKiDk) zd41!*MEo{?o0>lp`^Nt~@wYe2e}3QipD+GN&GL^QhxJnGb=}%}vUN4LY2NWo@xDO3 zOPl6Bt0~?Wig!)Zyk|GXJ72t;o93O+6z>A@?rNI%oThj$74Ly2d9STa74U5l2? zH@jq6-{#+oR3A3~=GM=+`HQTcW!;M|GrM%rLbmJS;IZ?=t)Cxm7pk7ost3)(zhmb{ z>R&RxwR#q*o?5f@oYh)Am#dzxX6re-wR)~lJ!_h+XF_ZBbgG`M&DL{HYxOKvJ$sw2 z=iJunxl;8UZML5CTC3+O)zdyOte=piDm>b*|&&S|#Zar1eeE?IO#Q|H?C;$Pk@ z|M*Eh?r^QapOnCB2|U(TVI ztG?|`*B8#AR_j}#`t~H5Ms(`tPyRbO|r_4T}e;yKr9{jXB}Yn!dV=Y7=))&FYMzoXgud){xIQ2nb^|Dk5< z?|C0~LiN8!^^ZKc$@SFp{_KS6zfJW|YqtKL_iZOs|7%r$ceC~Pyq`Ov`d6#|_087b z^FHr{>VKW;-_>mWJ@5ZcsQ%Zh{(GCPzvq473Dy4w)!$xja{g!T7w2OGwDbCgwk3-O z=)N(2Jt=qJxJLEQYP$Z$_l>RAf4k~m)^z=i?;l&O{|?o^vFZ97-$%Au{~J~Ro~G+> zd_UQ0{r0|#-CrDSy8g!Zm95rqFK}4>V+J=j|GO@|ZmG@l`IppRH)-lV^UbPfPM_?h6m7e0^}bv69_V|$XPrpB?@_%&@7LFLF#hZlsduC5 zozVAsC!9#V?^V6?`d;rjCsObGRPV|r>s`8J@fB9z6^oWMwa;u4|K=w77q>0J`;6gz z1-m|cFkY~ZEnWu1KYX4=_Jgo?KJRXl|C;NszS{IJzV7Nv%`UyHlh>u!wRIkMUD{i{ zcd6ckP1cL$!*;~4zuV_*D&oQA4cmd^h1TM%U2Z)tXFblX_mA6aubF>2+r32BCzo}y z{nuQv2=$ce@9XBS!#^ZFwV}nLU4HEn!>_({DRqs$eDO8rFTSpmK4kE7NoT;?y!gAUx6|zu;MRX;?R7B6??4Ax z9^N*@zmx9ljpxaTG9Lf@lJ{?4d8Udd{+yEW_Lb);;_=TT4V2$ko@vV40_*+Lik|7> z@y{3GWlr{2U-isT-a+ZX%RMdeRF&tS7s73GOFT8@`R9UsefG6oGsWYd|MB(RSDvSe z$3O35`$;R~^)%)A=X zAHaV1{mten_cf18@+O>fJkL32CI0!x`{$l}yno)=$NLkCXOM_v5kA{J+$T4d?%*UTi%7FZE&r`hTex8&Na$p0W50zJ7u0jC1_Cl@jiY z?D-D196j<54wdfj!$#a({w^}N5G-xcm_7tIgX zA@i?Wyv*9ObkQQ-?;a~(7<4TTuUB-zPZh3)dm` z+*fhZz>3|s4rm)tdWRSRBL+19K{2R?fq zE7oWIHUNC52fSpTS2KM}#dk#g;C!pZ$2{<9zSY}HUEn)YeD;2p@pX&uaKg7*e9Qx% zJ#Q83vjH%Dj~3rn@pXysV8XXXe9Qx%Jzo{`+3O9)_c-zG5nreH_9uL6#m7AG%}w}F zOg>uxHXet>w@`e06TWrgV;=Zyp~w1cotVCJ#8<||vHn{izC8)wdhsz2eD=Il%*WR? z!FRs+MvHHr_;w|H8^p&v@Y(ZGF`vC2VfyTOS!?eU@y!+Aj)c$N7q|U^dEm3x>0&-x zpT@`W0^dCG%@N% z-&*n6=N)XlZA$pIh>!8$v*({;efD~V@tqp*(iZX6#J3^g+bTZhfp0;=$9)TY_MEbf z$8PaW6W_XoZ=3j-2fj-azG3Er?;+wlD88^yR_gnr?+4q($2{=8DB;t7QyPj5&F1lr z5MR24`_%9u3zK;E3+m_ohxUw9-Z(5k+o#*vp5dhm^N;P%@7MmjOM1uyJ&O{4I~S~d z;dS%@r8(lCknoSp@b4BsdBA^p!aqX%9RV*b6aU17zdgghNBrag{}l=U1I7PH@vj&E zq=bJ=hW~EylL!2r2|wo%`sXp?-y!}f3IDhZ|6cKv2mFf@{?p6{|Kr7fK>YUkxp;gh zX88AspFH5dGT|R7{y_|FypSnP41>&DA{@Drt>}NJV7bg76GWk|Ic#n15vKOQ!t{;q_7Rfd0%_{jtQ>l6MC@q?E0XQlY<^R4mz zuqMM_7C(8we?!85hWOjXzft^46aIA>{-NS05BP6P_(zMM7X7nR{L2#l4H^E5_?Zv> zWeNY8;vW<6(gE==Pxv=w_(zDJJm7yx!q0OB^M9=P2Z6`t=Zb`XONM`>_{jtQn-cy< znGgPP;vX&kl?ng04F4$clL!1aC;aRe@J|r`H1V%W_;+OZ+r>{F@Z0@ReEs%l^T9t+ z{0qguI^o}y;U6u2@__%P2|v$W@J|x|O7X8r`1fS^?Q@WJy-gnQzbxT@toh)d67bSS z@vlwz_h$IVil02-e|g}sX=?pcaNd9)VO+n~4>o_+CH(s{{Nuz=9`LUSJavBFw}GG6 z>sVih#BZNJkN1az8U6|4ClB~vk?`}n1pK_71wZbnseePle>lTGQT*fq|1Ams6U+xc zufM=QLHrvN{v#RwN#Z9D_-{@4xvs#^>kIJD75}D$zYVzc7t%RSe*HW}{Nw@u%7lNs z`QYdI3I65c-<n)r8%|7gO$DZ{^3{Nw@u+Yl6N}_@6HR5ko@%4@&sAW%$>NpFH4yd%|B6|1-otQT(=# z#`TtZ-#%f_{jtQcP0FsH{j>`!1~=S{*ej){tW*X@skJq z?@stRZ@|y>0sec%KPurrnBm_le)53-JqbVO4fwe}z(4AKVSctJ{D(9A+r&>E@NZ1` zId8x}BjBZJ;vb#xAIb1<7e9Hx|K5b3^9KA}AJE?={xJ!^U6Bgl|2xD_9`L^} z;pe;oe@%I7#XmOTFK767il02--<0rQWIp(xE&i?IAD8e~GW@&5Pag1pFyX&g{5*fq zKYPS)*Fn}Frw=kayfiYyZ~LvSFYx;bLe?g1<_P%eU`FXGS$qW7$w%Gr- zX84bYpS<9o-=h8;|55Ri7yJua~Qp-;vSZE`IWYe{qZa@67Oz7C(8xe`SmP|G^CZ z81a)A{8zQ8KgVy+qucx;FZjD!*E%JXm!@p4c-GPU4F4+elNbEAwx~bHzgqm{ z1^>zx`Tv&DzefDz1^+8sRs7@y|La@q|3_r_w~3#;;D1Al`g8o-#ZO-FuW6CLJ)?hz z_{j_Y+gs#6J;T3K{Nx4y9WD0%*bM(J@sk()Z){P2j(@lK$qW9qE%HAuqkoV1$qW8F zTjYOyhW~EylNbDN69273^**fmcwHan-`evt{CmYuUhuzJ{C$1>e^Q2jpZLiO{&fjI zj=g`Y8^tWYFvGuJ{Nx4yTg3kc^^fQGW}lSdKOlbcf`2%OHWmXHonnO1^O1w#VH{Y# z0naJ2IQrwyQJ&OX+$A=k##e!zgy%_z1;6yAfp$MN9I6`k+sn(L-1~K%`e6m}O6d_0 zYVVg_1|i1daa$F)vX{6$id)r7+#$u;=Y8zCt6aThbfmo>wx*Z3(TZE!OWYL2t?MOj zp5oT`61Plo8+wUbtGJE5#BEXBre5N9D{gZyaR(K*rI)xt_YeKNwU@Yd#o6bm{@u7u zQrz}l;^r!DXC@AhQ{NZA?)PQQZ@r&rxAj~H;eARx&juf_C)D@5J&M=+U-kI#zFMWU zCljytYwGdgeV|Io9_((!ulE<~@n!A{mD21?yj~Bl$B$IJ@igMs>$mmzF^b=liP!6m z_4tX3uV5c*#IM)K>hU$#pNZG&IraFtu0Ip6*B|QfovuF+zdheR=?Ku%daK)Z27Aa+|K!hIOi*{cFs>>|2O}= z1C|x!U&KG@nhe8^Jmm-=1d`aXwlWBy?396sc&<_|6g=y!)p)2D>; zo0X4lVf-iAKf{Ok=W*~OFRbTn%Gd7|*Yj^tKEnQO{%aJc-#M=9)b<b*@v~8rgR7 z!jRu}y4@tb8x*hKO|J9X|5(2||N9lE-&3x~ot(sdSaJHD<$4^3;W)mJDNet?T#v)> z92d7;ar#~6dK{MZadDqloPMvl9(TVa?kkGZ?>N`va2a(R-!~Pf-*>LZ;WFU3xV?(g z?>^V#03R23kK*)u(Dk?nByqn`oPH;|9%t(#9*^HDPQM>rkMrwSAGdoIr{9&X$DNw+ z{X=p3z3FoJ~1g@mXuFO%9khQYvL)8t1j23+~4j=TUure^vY`&uP-MbbDM*cUijQ=c60x4xi&Yaos7;OEbDX&UO2BXKtPxzf$pF2Ep-6>CQo_JhN_fYAMpL1{2-{JFyC$2l?`B-M2c%19@`;go` z`B`S3v?0M>Z%FsO)VEb_=f2rS?N51f^Tgv^cREjItH0ZOnJ0t#Nq5SVnOkUG&4^s{iHkP$;}gwbKU7YnWO$5)5|;=(NDTl zp4>d~xSZ}0(j9;AM5B2!s-JYHJZIv@!LHYB72A6D>l2T2-Tpd7Zl3%kGf&$4Nq5SV znHy zUmEQv9_PCK_3_+1xhFGEru38UlqWY&JkE8e^JIbgdrB|!WLiJzPI+?k#N%?h+oe1H zKA%SOWL7`vPIrUs%LiKm8mw7U$pLC}@ zxq0GoIo+eBJN{m#M)PD|Kj}_+PQnY8jrJ3dbKU+vM{b_{C^JtM^pozCCpS+#&UL5r zq*MJpyO(*gu%C3NJh^$|aXH;%q&xn8tw!^ttDkhIJd-o?#N%AIzwecsC;Kw-P6S zbMxefnR&9JpLC}@xq0Got~;G4-RkcJz08x9{iHkP$;}gw%jq5`-SPL`HJT@@`$>1o z^Wn@q@i^D*?~CW=$qzE~WKBQmPI+?k#N%9dI!~6WzdL)GCu{pjcgmBSCmxs6JwdwT z?=5UJPuBO7?v&@3nR()IuG>E+k((#q&&-nz{iHkP$;}gwbKU7YS*HH(?q!~A>?hqR zPi~%gTu%2y>5jjDve7)*+)uhwo_4&U-sn2k<6O6YZYDQR_GaeEmVVNm^5o`;$GPrw zo-9{?FY9HVZ0#rADNk;mcwA2RB|PdeObRpLC}@cVy;?$GL9* zTwQLSd^a;s_VknPlqWY&JkE8e^JJy^dsQ#}eRn_UPI+?k#N%?hr%AWH!}9Mw5Ajuv z=Xa9vI8E=%_~*6uChdD6`G;rs0efGk(f*b4?9I$4kMs7OXnoGJznA*(F`4@N%l5uh zU*CUr-Oh8cm-_s3mM7Xi=Q*6IuMHoVv+IB(DsNBP>3ZGz=P>srb{ z{QW<#&&SKZ-_1Ygvq$qf{yw%w^K5@opV!mZ@l1IpWOUo-5usxte;=+iaD;ts>J~jxmXv-zW4KC`w@=H%t{66J-|9HN~c|Wp#=&;Y_ z#5(MAiuU~r2NNCP^W?{#mtY)p?2#_#cO8ck9iH!Tu7mYMhkfoN)`1_xq>dwrjv5BY z`olj*!Z_$~-}zm~(L{&mdz|ZF{m@~bD~NUA#|WvTtoh>OvPwE;)0Rt&gAVtd-*pUC zzK@INdz|ZF{m^0WTgN)=^Q1N|BNH9Fq+^YAFwW+OeCKx^qY@pS?{Th!^+U)0M8}QN zF(%Owo(I7EP`!+^`H|5vHqqhvsSef;9rq+UmPyCNL`MxB%=tlEE-}vLhkWPv{+N{L z@O+Q+{$TykVejk2; zu}1YW&gMr($Gk*`=chVYKXlmZ(y@-4rK2;^QRe=H`Js9lXY(VYqbt$j`Kb=p4;}Wp zXRKqnbSz7B)TF~-M`fJN58`$;gms2<-RH;hM2F{loX-!|4;}WpTCC%x(y=Phu}V5- zt6s+0{K)87o#^oVR0r#a4tw1u*6}jwSeNM7B^_&2FXL=}WOS@gba;NMgY`p)y)F>z zc)4_JN_2$haWFqrFXL=}WOQs!ba;NMgY`p)-FL=1R!GOTL`Qi32j>gQ?Yfw8Hb3M$ zzt4~Di4M>AIG-P^A3E&5Cf4x^>DZO%SjGDA{GjS(oXwAnj@^k4&rfx*e(3lobX20> zsePq%`124oUB@7d&tL8UkzJ24ZZN;*)YeHc6#M%!Jb&!y3CU3U#re*T3&g9KiUS}rnnoQmT<*m--U7N}4 zRNmH1UUw$1TY393c`wf7EmPhgte-~xxg?XfLV05|dDms~Rw-{zCXe3(*I@mvQJ$T5 zjdb$+-5TVrQ{MVa9>2G(LEZ-CZO`P{gRYJCiA~Dem&xPztTm`_i}H?U^7#E}4f3`r zZxn2!e&P3`HOSkcyh)ine&1Pxyj{whm&xPzm^H}TqrByrJbph}gS@@UTc63}_l`Bl z+poOsnYOx`;({kcndvom?` z%;fD+-qK9ohD_dG<=K62qxQWkleb@ac7N9>@7#R%4433zm$ZTIDaaG&k{2$%ijHhh0arSuKl zzu0}c#hc#$#^1QU4d$c1(65zZlrbxBqNs&&tQ0nE zhI8FP2g~t2ZDXZ_c%;ML*EAhI50qcmF)7hu@uuUg>UWMm=7D|h%E{3@;QEc`!KaXA zx^ekRecR>xyx_VmijH!)z74;EtFq4x;qce&Ui-|jE5Zx$a3erTP~3oy2%5(EYWZArvHENH`dQ}2>pkpm+J)nz4AY9@z5FWw=0F>i%$sOEd9zW zwgtS(Jm_W|Eb&PHLlI~C18=!RUg#zd?D9mv#hd;e_#5m0r1{W$P5-_}>s;Wgc`h4wiVN|6zzT{eib!A}@54 z2Xmbyf8Z^b$P3-%fnAm8w|LXfxJdslpr(J1^nO|X-SWQ@{Ms(*RDAJO;k$(^uh<>% zD)XS5aj?WA{f|JL=?}d4elyF1Zt}pcPV`&6>1X>Q{a>>@=-(y1UzfjI{x^bO+asNd zFZKw(Te$LyZw9=|Jm_W|Eb&NxJK{`#;4PQP3*F>_U6bgyc+>v{{Eg@Tx6FtB9n$-4 z`8(y`9sJr}=~R629pU?gE3f!&z^lxIZpOh9kMy68IMW|^%O&zcH+f*!Ci*Sj^m9H& z{r^3nrhl9C?v=k?{`Z4l+b^ApFMc5WfNNdFm# zGyQ?LTp}-YlLyvbuZgc8EZ+2Uokacr6QHJlll1;n{$}|<3x4gebSl0$ApBn8$}4^z z@GA45n{lwjBmJWhXZizgxkO&*CJ*d}M8CzGey;CG|1W@={tbh|e)&uJ8|5Die(i{K zD!%xY@T0<&SNuBQRpvoA<6wzL`p-n1=?}c+5_zGUJg^%R{T6Tfxvxd~e*@I?uan+G z^4H7%ZSZStrEpFuzWAMRdmY!#FXa`#4|tV%(9Jkl;*tJGBF^*&-g1e&&`loLO^JSs zH~rj?BmIYgn*KG?`v>`J<^M7GwX$?7zW9^yp~96{+#B#J^Prn?u*4(%k3yX354`xD zYs-Uf^1yCR^jo~?=k*2jW7usS7k2*u{8ZjY;C{lshcUjN__M`D_Y>UDqkQgrQGfi! z;?W-!&Z~0qSNS949|?Y~qIN63_?z$%!j)J2J>XU5p&u9rOFZh2F^IGN2)yMIdC?E# zf!&hyhs9feaKDc9{{yJ$A1S>@<&Tp8&*0ZaN~hwBe+eHYTzLgL*Z8W;gKoyb5|8vh z8gZsS@Rm#Dg>Le|ZcX%Cyy@pT73ps)g8p{twHs4w&uIArf?sQwPQ~+^!Vy;+EnIoU zpb%eW9(3C~@R$z)kMuuA`U5X!Wr!=02l}@q`Yqn{^L&l;pA_or7$d#*!B^8iR{qJs zuZ@vT#TRAaV}&cP7##2_^Prn?u*4(%kCpzwTP~3oy2%5(J<)IRrk~dZk$(FkbJIIc zdhaKHf_!^@$NG7kbSl0$Mfe2a$}8?4@GA45n{lwjBmHBgKk(wY2+MNdFV0Kk$}IdLJQwj{J7%oGqP-FHRReN4WBe zj(}I02i=T=B_8P?C;fr9Tp}-YlLvNBqTk|8Kd*};{bxw;T_y*trw@ur{Gy^;Pg(z`%@K-X&al#rS|%nFrmBgC!p6KU?|(Z@ENX=q3;BzC^#pn||J>MEcK? z-frnVTmDk{6Qr|SIu&1>BYdfFNdE-s54`0Pd7+y;u=^AJ7H|4_ ze--IJPkNV0??m~_<)1H|%cN8B#RbBb3s+w8#DG_s2i=T=B_8QNNBRSAxkO&*CJ*d^ zM8CzG{{8qH-;Yj`-WAe2S^i4-7fR;}=~R62B;hNCE3cRm@GA45n{lwjBmL(>hh4t~ z-g1e&&`loLgNc5NH~l}s-&p^XLwy~qq<5?^De%J<np>yq4l?fz*5@Ywh7nZG;qL+P~xtvr1G6rraIANJIsyQ32Fi>JvKUok8A zHSY(-7f%=N{h++!83C^{5AA0hEb*ux&PRQ5KadyWOCH#?A1vPb!QXe{cw$`b`|#s& zeWukPjq71#*}V2~oh{wrzUtWcJxh4FzdD9rr1mfm?O+@%@u)o)pdM>a;4Rn36X_h^ z3CXxxytRk-$-ACy-- zH{ey~q5X`5B_8#|6QMWm2l8S($pf4AgT=?=%JIaw+V2;{<2u*skH(ev)6uwIBHiJB z^Vs-3Pk6ZRJcd7C?O`6;!8lmrQF|t-J%P99xK2#Q)#9x^NATC?ov+t+`0==oPJAEN zZ)L_+_^^3FcZc|j7swZ1@j|uN`$6%=eBs^?$}1KGyvjVZpK-9nqkfnSy*94i59Gyo zk_R^J2aAu#mE(zVwcneF$MsUHKN?rQE)k9Ei=;c;haVfi%Y=vf@niTxwTF3V2jgIg zNA0;#?Fqa+$8}ONt`=|Y;p-*QxZ3MC@wnRkZ0!5Eels(!!iOyix;w;ITrOXH#T9C= z_k-e#PT}4U$}1KJyvjVZpK-9nqkec2^xC+3KadyWNgmj=A1po|SB@vf)qWo&9@i_a z{%BlJGCvyEtE77z&%tBk*Cl*Hf?pl*D)Z0|#=#Pg+A{^}tUZCZ=eSNu#?|7jJ+@)T z>(%bd;&HU=)Y$iN-IEzt;lr*8x;w;ITq|FEMR)LP-Vcf|UM$@EL3zcJfLED^_A?Ha zc+?M1hTgaz$cynL4{X{G79Wo*#}ngfzvmK<>vdLtG_FI0UD2^#l^ml>(?{mDty?Apu0nS#Vh2Cuec@nHSY(-7q<%ceo$VqGT>F_ zq5X`5B_8#|bm+Bl^?o2P#*;j-X+KzeJgyv1jH~@#QarA&wEClQeTezdxV}odYsvV% zTKKF4Uls5w^Uw~)!4i+!GehkOygkQtRx+*@Z|!-g^!d2ffX3rEEAf3?zm^$S;lo}N zba#laxJ|zJiq{6e=KY}fVzqGZ2jvy73wV`zXg}j%iAViVgNXz}s_N zXD8!o@z$OW=_|v7&SExfJg##R-^X=#W?Y32yCdlC5MS{|`Qj_q2EXS0p!niW;oc9* zE8Z0FD)Z2O#=#Pg`e7#Y#{EEEj3;?u(|)k{cw9N27+3o}vUptIZ1qRuI>!8HT-QnW z++_UTB79zgzct`h=Aj*ogC!od=c#H>;O#lCbCPkjcx%t2rO(H8F3@;f=Ow<6>sK@5 zDty@6g6C`$2idI|E*29@@`1SmIGXJPmqnT)iL2i}556 zY}yYNACD`?6XR;X9~Y172CF|B*C&`CjqAIldqFaO?-sr=!QT_`D)Z0|#=#Pg+GG2h zwI}fQ9M`$YxLUlmXPor;xGn%1kL$w3_i_D7W?Y32+Zc3rh_86BeDM|U3x3V}LGi`= zg?m3Juh$&Df zhpg%A5s(A^=v;=}UASNvD-Yu*ovFSZExeo$WVk$_j3hxRiLmUz?;&xBqZSMLY% zVm!$MoA!gn$K%TJ#JJk;GsffkQL8^1*U9EbyG7s%%94zsuAD#uhaX*k3<4GRav>z-!9#@Vh#?^jLGalDZTm8|v zPBT9m*X`21EE&Jg2w$GypAC4Gd1wdYV2MZVxk&8^ygkQtVKS~3Z|#{beLk+sfX3sx zJn?;8zmyqQ;lutX=oGOiYH?U^NgKCUZ(#^bs&@qJvsm>E~$!*&JT9pWp#EMI)Z zSAt*f2gMg(74H3@ykd92tIR|D83#)|>W61TZ`=>$#dwkjHth$CkH?kciE*{xtBuF? zYgT_Wt{0gfjqBH?dsQ-i-w?h!!S@8b$~?4#aj?Xr_B==J3A{bWwJRA{i?{Y%EPXz% ztANJix;pWFT)&VRSK-6H8FY7uulSaH@fF_=e$D$q@x|T3y&sfUd?(;l=Ar$JgC!pI z!*ik6#?||QyckdNz^46R@$tBFJTb2J`@-?(IlpW5N8|b&^D(aWdKGLjS3ZW1{`Z33 zjtcv|T|wT zDaU*-eyTX)QQXf0US&CO^1%|1=H2t4XDsHOwg2D!JD_@9-_PZ*<+?8yzX*PfIO<_M z>@OAPdJoE9qr6`!kGRLf{yN}Qmcu8%E;u{;{L1<+x* zj|=Fi%W&J*h?kFjADGS4k%;$o+l8{d!b!qZRkh;7=!xIBYxiy=g#0fBh?z zSILKR#TWMlycX)K6pAa*Xwy*({FQoq5%4PWz{5DxWq34hm!e)9H?^ZgUhJ!^7dCyK z+Tv||t~NcE&21%H)+4WM)`g|36sgRYM7oI$x5Ed9i7e3UmtaU-=a-A{4Eqqv~~ud*CC`Cy4h?OX)jX3m9ERIls1 zzx?&u-ya|y#8D69VJnJry~E_MQ{Jh{Bku9A!vkJrIehXvp6GApTo_?|QGY*BI@YTn zA0*$~cUtgk#8EHfVMi)%o$?+m-`n>P-~c$fzs#=#Pg#?4-TjL!w~Vm~BLgLA>+ZG3K0f1sPJ-|OFxVWsfv=Y!^L zk8|N><@>qt*r2z=*WFn8zJ4F4c7}CYDHLBkUU*pdl|p&N69Qgk9@@<~SmIIpZC+XX zwf;-wMZ3uZdv7o2g5}5O!b{aI81~CJ(-G}cIf;8m6bCm$^FsGauuWHaZ&xvJOoohN_eN#VX_qI3{PJ&cDvUvaMY0{I)1_eA9p z_juSz0k5(gKKUI_^fz-ZOg6r#zb}-Ijq1lI$@lh634V<@>Sa9alNGl?c~j+k`<|ja z;vNq>E#Os_!zaJv(LQRg4>xlzOjo^rF3br2RN~-0Mf0p0@EI%z?(?Xod_NavDxY|i z|5W9(Jj#Dsz-N*lxZ(=pNqcM^u{;{L8=%i{9~akUxUC!F(Yavr)XoK8Hy&^OW%0zL zbHU?T+~CixAc)Te|NehJ7gi?aZ9|T~A9!U_J}4=FRZ>1n{q5(%(}O>mc+{`YP@JC& z&kX)_;)qMnh1sFJN*s>vii?E%x!~~^i-&pOVH_;+Xxwf@U&iMGd9fdo zr@^^k@isoMR)6$%E@c&Bx*r(-G}c&kMRb!gI0Z z;`!1~9Qr(Op5n%6UwVP!h(~cR40x60z{v+oJZk67(9_JhFkkh$z6J6(Yk$8~I*6kl z#>2iyajy3=`J0rtPhS6L39{EkQa=*ux4&72F@c)fbP;o9I&B@W)9nrGbs zpTTnAK963kd_NbKD4%$gf1UDK9_23$_)PKxS6o3nX^*WVmPh0E3g|Q3$HjFSZtI44 zbS~IDwR6GOjmKMmSv>LRT<~}nH~6y#1o62rG}+g0Ps%Gv`5j65h@||DN%{5aZ$B4q z2>xW^QNP}(I6oJb1%Enm#HHuLOG0^-d?;6ZaZ|wS=Yryjn}z$i;PK1F!#waX4wiT{ zZnvN><8y($*bm9m;9RhH8=tl6kKWD&&D$R5!kx_Hr&*eta&xS?z+c`B>ay zI--5*)}X6ng7%x0(oY=vJnxl?8>hHeDUNs)_v(OGSq_|hu*9Qwz6yGpITu!`Uf1^; z`CGNW-zFWzQ4ix`U#mFRyITGh<-JaM#62GN^#QN496tFSPxLo)F1*3`qW)eZ9b46p zx6AkT-4Xm6an#Fr*f%O}i}KdW_x9bXJmMY?`=)?bSq`84jz|0GZ5WSc&V@ItUcX;h z7yPNj!FxZ=v$q6%2Fro_JbJ70{akpP@`*?J>y^*)DF5vNpGkh;iYtgG?Xh*l@@U*v zL!aS3F0RXPTQ|g`bHV1RoeREhJl^`t;)zG+g2%JC!JoH-AU+pHCHwk2lJfSX{GCbp z=%jo@QvMG0x1S5|4E|)|QNM0boSzHt3jTEBh)d6fcZc#S`B1L-;ynScp9_jBHVXH1 z!QJGptr-< z-TUSH`rV{?NghBu8v8i@Vd;0q@OtSdESQ=H&Jo_r8weI z+?Ie>Sq_|hu*9Qw-VQy@oC_aOy{_-0^0#Y$|8MCaj(QjmyH#DaD*{FHoe-=~9LBaV6*54&A)+m!bi`QEB^m*m`xv*3D z#H0K#D4*p~{ucv2ll;IHR}fFyW9x|J(YU=C`V9ARab1Snx*;B&3pP*fT<~?{@z!4! zPdqvoJf6i3{(KMw@ws5%b05ENd{dz=gWTr}Rd_H*GIL2rkzyFK!K{eDyJ4C}N~D8BfX@UZSH zh4PAT2fWHWw3~6T#H04xyyCnK>%T-^w3|GzgL*j^EI&RMKB9hs;d;H>bVU2qcY>~t zY1(hTEB(Zw&-1>gxG9R;t2p9O-1h@sWjS#2!4i+!xgL6&ITwDQdR^ZSh|#T2lV0r2KH` zulnba{t*1h#G`)wqvHHr_*3ww6GvQnF5DZ+tK>tu;)_2AynZeyuK0^^KNmdyui{}I zco+vuJQ}z6pfBTdfxOrc$%KuR^>g;h3(4sbKywP+u`f( zZ}NTp{$1@1>$FlRzW9gmusQostto>U5CGw)(rnn;bHR4g+fPhz74xD_j z#G`h;A9|WO7Y3?c*EdN1Ztd?UNe6M%!+6+|;#}{^@^>k(tUTf#4?8&ERhGjizvGGi zX3m8n#uxSX{iI{J`f;dyZ{I1wuMtPRjEB9y;&v(T0rI_l73C54c-Ub9ud*CI`5lk; z(Yr7n&72FTs$M@Ah6jHtaqwb#a-NL{_zac<_j&X{<@>qtAmtN}@=sGf%cJ~}0iQ{J z;EF4VC+)Fy#PVp|J_LP+`?$C+!)@IVkIn^~r*hbqp`g;BwuP8@OR zx$v-1UL_yO6<<6&;PrDsam6Eq`?=up?c!k`co+vuJQ}wTqc7ugfxOrc$&|BXJJLLQNJwxpb>$FlRz8Eb$tour#yyDD&SDA-) zGY*z`)P9>+)_$%35_!>X^1u%5kk_I`Ksoj&*w*)j%c5HRM6EiNBhkf=_d|- zp7&_Q%~srF6h}OYdu+g~EC)_LSmIGTKMHNloC{-Buj_l9{JXWkKVCYBqaMb?K0$G= zcbxn^${Vjd;vNrsR=}$)hfjXT6aCGc3uhZ&)ZY`N<8JliIr6=I=LWw<9Q86D_B_Sy zQQk!P-oEpdN8ICKF9>**B;|Y_Eh=A_l=C^YsC=sW z+s}ok1b;H|s9&ck&d-JE!JkeXap}1*Ba~OkhjPUi)qvN}1;rIL;eIZ7{7msM4?K*6 zB_562C()PjxjTw3~6T#H04xyt4Ld{g=p#c9RFT(#yGE`SH21SMBoo z{7lmk?NhUZu8w)yZ=NOn#G%jgE>hfF#a*m8;!)h3fLB=#oP4mvqjr7e)BgTk=^&1J7!NyFajy3g`FoZ3JmnGhc-ZF$yvlO;pdpzuA0k5(gKKUJw_R-H_JeoNd7OGx9 z7ZwG7Dsk{a9?yl#13rW0zcp0vl-5zC`- z`#kg+?&IRR47YVdJUSO_p4z$K>&D})zbu}3bS`*2iyQp;F$m&wp)1+f`8;W~uXiWq zd`>hfx8KE$?j5wuy5=^R~yiz~^z1 z@8`mGL2rkzyQT7d{a&wjhILvg6kpsRJgoalp}gY8fLED^b~6r^c+`HISJr;5{}Or8 zZt}p6=;d6n{PPBig545_EMe)P8f5^b?0Z&%0T13lz6pam1szmj=Ab za^U2HB_6eN7gRQLF1$?jy1tjoKcM}6g>(={J&cEah2mWAE%Ns(?^fjz_juTq0k5(g zKKUI_^fz-Zywdoh{(hBo98f>LTE4e$Rq$)XQ7_|RU!%DF%DYXzx9_#eBku9As{>wT zIehXv9_^#MF&@pF3$IhXelEN|_*0347v0Nq;SB+w!E)d}kJc#P&xPBSPdv)ML-{O^ z^4}QnndAqqxPo}n9$QB&kH+om&}X=hi|aDn)(!FKT(Eg+=Yp>rkGKA^c;eBy;PEVO z@Q2S+NBjEnWMAj=?NRxPq@2&eN98M%az4)en|Z&d-H62Y)(o z#HHuLx=>yvAIcS9yd~iEb3t*%TZQ|%;PG!05A(poI9TG*xP1eC8J`Q}#ePVh2Iqps z+xYN%0?;45-7jd~_Ba>#{Q=1Lb76hZ+u`f(?ecy7zC-N{>$FlRzIdnbusQostto>U5CGw)(*s%XCEh z)VqVOjxOyt?+Jd5IP`hmM#Xh1?!Ag59>u*c;8m6bCm$^FsGZ-2%4W`m_p4slw@LmX z?e8Cu4&tbX@vt9Moa?&D})zbu}3bS`*2iyQpm_i{x0_UdF`=l6L;;EXu&xIYqpH3Wc>ACQ^P+lb;$`xPyZ@}y4g5rwL z3-@!u<9CXOdEj9jEb(aEet^ETaZ@`={1Ue$NK- zeO`Yd=e!mp_T3Dx*Lh;2e;bGlZ3gs1F4tSM$XgA|viAU|Xd1dX_`Y(|e z?IsWGs9w$m%eVfZ!5@C_2-@ZI`75R)+NZu6bagD%ezRNpi9?^~eNA!Qiu=0ah(~dD zUg7oUD$9YB50-e;&L2ZtGv~q{)$97cDgR#W@86OR;;4u5u-{gk>%Ck4VdZ^CdBi;) z_PYVEvK&779Z&Q(b1r<(_@e&aD;@W$AHOf(+xLUu*NCHD#>4(lafg++PrkSBN6I7a z@vuJ*c$MYw$?tfykNyw3`|2*I`$q!s{1@WXkwvJdHjoSg}Gu+3;bs28!hIn)?*gUm!!Pkw)TYp(R z@#tLecosMKbCP|oBigsuC;NISDc_KkpPZC$Ov=ki`7hMpelGkn_>+l8{d!PwelGkf z_|u6aETBm-TW*Yhj&M3dI+H5FXZjrBGh+ z$ADLvhjud#mUz^Dn^)F;t^X2v(QfjR~+W zQN_95f670iyniW=xW~iZ7w{^};gjF-M1M2q0tWNA{ImIQUbEgBR1y)>knk z;4@eb+~?8#l<()lP~{Vk^6h&h(N8m29_8OZ;4{e&TyX{Qq&>EdSRRer@1f6d9~akU zIM`gKO|3sbHU*9!=%u>-x@+KS(-8O9ye(!+6*;73X>%DZfp5k5V3SkB1!-@G8sUli%?~e>3O8qm3`> z@5e~TAbbGAzL)l~^1XdygI^<#dKnMW@hHx|;MmRumPhz`0iQ{J;EF4V zC+)Fy#PVp|{*HDU?&IQm4YzeeJUSO_p4z$K>&D})zbu}3bS`*2iyQoTgw`XbA@`Z> z$-ds6l?le!W0(el9#Q_|u6aE~ zMk^mOIbKtO-VR@PPnPfNcWUr!VVzbA#TQQz9@c%OP+l=D;8o_K-Hd}J9<|@*m9<~% zzeHZNn>?^%dN~&?KRy>8sdo8%o^Cp#eQHL~)v;RpWmWo#L!al>6t_xoGZjZXihF9n zt1JgjK3L*WJMV+aX3mADsb1GNOa4&lc)D~DM?H*(eTL#(?=$6>l{Z^?#62GNSpl!I z96tFSPxOzraq8vYMaCEP_r=mNRQvlJ`QE-~2fs!f^)epzIf^SQ@4525eRGvZ+~Z*{ z33!#|@X7CZw2xX#%1yNAd8*gXh35x<266EEe3_>>-~V5rIO0*<3j;orJirxK5Kr{k zx?p)U4kw{P!@Zxqe#31Y5RdkGn|HR)`#SLWFp~J)6Vnsz^B$iY=CR2>ygS*aAD5Kx zNy;Cel;53{KOrffuYUD?enIdj6Oa1!QpNc`|DxbeCyuyupT8`WSILKR#TN?$Uf<^x zS1c0l`@F|rE*|EAhjFmPqj4)~+|-T|d9lBdr@=mN@iw00)E~X=^A_Fc`hUFgA(Q+3 z6+v%@ucJ=+z8)6`zZTYArBHlvrSPzhD~0lks{&qS9@@<~SmIIpZC=^D)p{KaTB~zT>_9ZUuSJKkeYN-_PjncPpNchN8au`%Csa z73jB9`Fj=k-HPwPkAL^&7SkF1ZpHcPSO0#Wy~+B#K;fM*LXK>(M nWIQ&%E>t|^kbi%Jc#C_I;$RqeAc=dc`hoq8ekXm~$s7L<4=o|S2AyQ2;<+2 zvqo}$_6fn?&$rsV0|3HyV*d*H&A*osI+11zAO3Uj{q>jV#?kh&1*KP+y#{+pC4dJ5 zGSzknh2C+sK9>Uk{d3#sh{Sq|jRg!RI0MluIS1UmU!%f>GMqQyZma11GJHc}TNYTj{YS0Qvbsae&_4 zsj&?cC$xC}#9C>A-mmwl%_cAT+3u+bCr`7CZ}RswevN6$*V8txgQA z3D!E867wraPOh;fudqc?#6BlAkJ2}|m`{~}LK}<1FTA%aEE97Zj`>~UQV>Y5vU{&L z-$pxTJvS5O2~?TCb=3zTRg44wVgH#{Cojr903H{=yWj=3GK08^a4rjos|4q=g19O`0;CISlq#GHHcAc71skOf=YoyWfOEk{X+gPM3~WFa zvo@T|4rDRvfCwO#X5avlEGAGcg9b=3NGr$&5MVI_5+IdWq%7c4ATvQWz;rQL0Xaa; zHb4U8bOsKPn?NpvsQ^oX+|9s(ZY48F7f4Ej0mNct-~^IPwm^ab>=y*hB zKT&(`7Ks(7u5qmTlDXpAoMoGHB7(Wky!*rV-*VmT*{e$;gDWR}x3T_S!r%RkG3pzW z<45-z9_FQ+BR$WGZBYL>fAtgbrL(KGgJVVbw)>T@xfME1Io;udV??Lt3#Y8Q^Y2al zv`!VqA7%sjzd3xG?X3)Nm8EvZ*+oB=J^yjhsrao_u8-#L0ynb=fonB}_ZAhZcRjb+ zu=A_^g*~e~c!8QgzGjpL5+Fdd2?tO7Qx&+edijpa<<6SrDP&n|W_$ z5aP|@r=aIQ!dZaq=@vx|015e_ZCTWTkJXcC2pdP^+>%BDtx^2C+vlqEh^>$`W^m gml7z*jbfhFtjY$H?J~JERr_RD2KJ2aCQ}go1DKUvn*aa+ diff --git a/CH6/CH6-1/simulation/qsim/work/four_line_to_sixteen_line_decimal_decoder_vlg_sample_tst/verilog.psm b/CH6/CH6-1/simulation/qsim/work/four_line_to_sixteen_line_decimal_decoder_vlg_sample_tst/verilog.psm deleted file mode 100644 index f53342914fa59fecfa43683d7483359544d46b71..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 6328 zcmb_gO>9(E6n=MRdOK4JJlaB06oyWLswB3dAs8{KilGY@U9g}883~Ni*h14E+J%WM zSfFu13?wdV(u814bg2uC3lgI->XL;<7A)8q6F1_|_-m$WV>cyge>xg^Phc7iXcyhW)8Eka%b?E!5AeFk(B=nl|!rJI#*0o?`p zR?sg&Q_ylQNw$H$0NSCn6SO;@Bwe6TOSUWBp|o4+PSAhq^*SQ_@R8C#l>V*sFQvqB zNy{zLR?t3v0eumlxx|Y+2mUePTW%GQH~9k9BH9bC0KOme&wB9|!WLYpHz@VVlfHz{ z_2BP=KJib0t_A<)b>i<={EKVEKekT%1B!objrh~&CMFB0|9EYxN;)&2RP(wY+^^O4 zgZs#OEoA(~`x5WRlD}j!_Ol!I+;5}VeScd0+*Loezs>0R*{Lb!Q@b=1@*10{kxxLK z=9h=RT>zk8qglT^Pt5PZ8vXW8)y_#hXT~PPKODyWR{;+FJyM$W$Nb~|w)OWlPS%z6 zTn%TB;#eQEPQ+a=PFd~Ze%%PpUd6cv%5#PBvY~i-)NVm>SRcl7Gh3g@${_1Mm{bN? z|H`?_Ve>Bl z&KuQrZ*&%4>h;dHR#4#h0um$7I+HhQ`s<~?-YIku$LxNQI6K=|zk0nmpmMj^68zp) z%6sc%lCLoj+!Gfxztfg4*GG__EJq(!2lhMb(hgdHp4d5eJorrmk9CVf)=SPQZjE-4 z-%+iD+YD(h@J_%0@q5}cKe5+5DW`Rucf20U-_?5b2K{-pa}vLEIkOwY0{Y*d62EB! zA59|rbw=dH0kK0KypQ0gfhX|;PwV1G6z^mcyw?;@?0~mFgC}_dPwV2x6>p>o-UY=I zJK*uYiQ~hzV>et*)=|gniWlmKb@+Lc3{eV_7JroF$d`-ej*{Veu~hx0$imw13L@xtzR#XqKa6~*swfv#FM31UM7E5d6R#u@xP_`f(v|}yN%%UK(j7c{HuyDxWI4wpYg9LzTg6X z(+2U6G>QMZ;tMYDIVTQcK5-sIbK9fi zdS~g|*htQC2Eg^5_`*I3b0YP7wBOF_c`&^})eGmpxgO6s@xr-ouE%pny>MQe>#@Gn zn*^=bSL)%qtH*uyjpncY+?aErk5kWj#dG*a)#qGiU)%awG$iWOnB&@s==;*eUtTf zFW?Rc4*VS;T&$T=THujEdHCBEAW%J)U(y}l>-@cT07 zv+n_Sp~!mQ(Y(w~a4~no@57w8{_od3A7t$4m-$E@$cO)bY>V;{9&+w?E9BH>ysQKI zfbA{ML3~0!C-)TAb$-op!>BLyKwWH3esBGOb?%d$Bhf?s=_sC~&#V4OM*maf#X8tL zYt?<)PQUPnV=C+6eA@Y4@@IZw#yI^Zy_dwk&F+`FR=-3L#9hGSIY_LjfeFUy~Kiag4nad%n%#ierq zu{^q7N)Ogtav zYwCZ{^Gi7&wW~26*5@!j>0jS7WgfCVmUj==k@sHGDDO&;H|p3M_~W7vJzWpKOJQ&M zs9yMe3AyEG`UezepZeRcROFfb*&mj_;G&-e2eeuKfLr#k9;|~2kKjwZAARJ}*Vm;F zmgY#f|5IO9x#+>~L+Jn4{7fO|xv=w-wa2pTo1G^+Z$m0K`(x^FHcvmD9Dnj~9>jTM z?*)Bt=#cva_X_XzVsx(@g>~EqPmz!MK<>rp+ze|RqKCX?9rTmsadn6v_=7s0*2RAT DraaEW diff --git a/CH6/CH6-1/simulation/qsim/work/four_line_to_sixteen_line_decimal_decoder_vlg_vec_tst/_primary.dat b/CH6/CH6-1/simulation/qsim/work/four_line_to_sixteen_line_decimal_decoder_vlg_vec_tst/_primary.dat deleted file mode 100644 index fe975c09517d34d2c725930e3754c37dc456a499..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1811 zcmZQ9IK>y#`Y||n)1d$$<*~w`t}12aA%1VsV>#*!AaJ>aaocI8!ljHr_WKCt?@hB< zKuk+%<`Q0JQ3i(f%u_{KSR^71J|(O?c`bs4fv@Wq8|z8C`-P9bDg9@wJiC9IlEtDV z_R4SjA1GZ}w2PzCZGX$|P6md50(C~52gA=VmJ8aqoy#%i{1&;IeXqG4pPWA;H)o$V zk7Eji!3$&X!5I87h5(Eq2xADr7{V}y2#g^LV~D{R;xL8;ka1wmITi-F8T*ta9ZSwX zSbvU+D3dM*9hJ=W&FK-p_%O_e2&*p7Yw_K)rGxr=kJ znKxCJJYzrh|JytE!|yKMy|x|1=K%3J5PVJ$pA*670`a*Jd~Oh*8^PxR@p%w@UJ#!b z!RG_<`4D`55T75x7Xa}E5PU%pUl73;0`Y|qd|?n@7{M0-@kJ1PQ4n7g!50JZ#SnaP z5MLa@mjLl44!`?*@$&X(`Hj~zcCj)@Zj(<|yqvu9NI2`}IVu*QwB%gG1SEUE$!Oe@ z-C&W*>izT6rn|Vc6W0tIiMJb#2%}<+JQW{$1(9DzpnfZf}?XA>$RF|FIbx&1s=WD)+AY?#CEKlq|~hdifu_mZ1)3Wn_-zEOP-S2dy`9U z8~zk>Ub}E@17~wk;L>YuO*2cBxIi{=A@a{~P5-d+Kp8cJE*ot!N#C0|^Z5TOF}&xr z|9{(eRw*Fv`yNGSHdf>7N_xtl7agqEnQ!T!(7f~9HjB_XoV;fI*8eux1fJ}uN>dR{ zn<`vh={GBd-{z;>&J~R3)?KiCe?5JJ*s6EWc7OQaasSx{t<9gzH%WeSSyZHO=uPN0 zu9}HA;;(->GjGRDuk5;tG{##G-Mv+2w#}}*oFsfyc3Rrbw}SCXj0{J;EC1_G)cF>m z-I~|K9xkGEX68J#MVl|AOxL#&G@P`kl+BiJ=cF%rv|NZGlH;u}BN`x-BNV^F7DmnUUUFE3W zu2Hprjm@invaf${;azzlr|Z`t=gWzLclc8`R_8A`w&(fhy}g~sS4LNImKq#kD)$NP z+TJ?raca^vosQPdR|!61ayzHY{uZe0dw=hm`DwfVyzYPWa>cLtJJzi{|8#yp){zDA zpPnr}YsL}i{71~c3j^iVUWKtuFQv@PV zkwb()AyO0>w7|2lut1WUL0}{b9UGLfs3}I8Oc;Cr>-x-YGdI&ackKT7z0bQl@3YUd zC!~L?yX_a?5Z3+j^)Y@7ICiGTyDIS(<3o5+!x;atxge%}Nk(TT0K*6|M;lJ41+E|z zfh%R4&Fp}y2s8u{EY^f?LffTk^r4mVD zt5xbXQrLEtN+N|6sMK~+NQX+jP70|}DI+PQNu`oWA!RCMB8Bv+R0=7iQl(N!A+0Kv zMhYobsdQ3Ew@ST13aMAA3{rRuR4S7c9to9tlN25grP6MZm7dM!O33Djhs1+D#{Y_M z%gB82Pm~~@1q^YgO{Lk*6<_9Ed4_@M5%3-bK%;(Xt@mxKJex{m#yU*MmuDE50hcMD z04$HbK$j`Bt%_``4{Rz;F<1N!O63^_zHR&t4p0E91FeYe;Gj*VDdUORNpq zs)7_IJj|k`4wJ&ARH~AcvR0+2Bcwe46QwPem7dMPrE6%WvZ}qHYDp=pT2e(Yz&z|6@Y2nBiQpZ?u)bY_P z>4vzQcB%XAcZnNw&Q+Rz^SwIjvqcHH*V0GlEFP##8LuB4nmnAnW`Swm@`}D~2LIuX z!IggnION6*L>~Fv&f~3(0m;R2Ln9e(K@(y18Nqt9rET2782{vW+P&l{ztfhusKnAA z9F3Qv`wNW)K051ELC&J1){xMB{f6>eUtGMjs<^%PM)WrkBMbX>Can*&l%M}`dxyTU zdjGv@vt!R#Yt1vc>&~Wf%5(kN)O4=4SRg==*NB^znz!=z4ebjoiG2~w;+EgGNvj&-J{M+m_9Kr zBrx8%WmENxCTny@)~TZMg6_+qOUi1!Y9@P^*ZN15rIc+h$;=*cIp}-MskPV6_D-Gd*SHeGD}r(AAc&rJShQXjLS>p;Z)maMo+Q-AyX8!JxwuRRm`&v$2yZcpt(BM#(W z0X2*9zgGw>>})!b$$o@JPG{(9|_TC>jzUW<*(@ zWelAhEme$=jeOv9(ACk1HA1H%YRRFe8AJC)^ArbU!_Vb`PK=hT5oe-r@qx{J;L&KM HT5$Rox5H6( diff --git a/CH6/CH6-1/simulation/qsim/work/four_line_to_sixteen_line_decimal_decoder_vlg_vec_tst/_primary.vhd b/CH6/CH6-1/simulation/qsim/work/four_line_to_sixteen_line_decimal_decoder_vlg_vec_tst/_primary.vhd deleted file mode 100644 index 5294db4a..00000000 --- a/CH6/CH6-1/simulation/qsim/work/four_line_to_sixteen_line_decimal_decoder_vlg_vec_tst/_primary.vhd +++ /dev/null @@ -1,4 +0,0 @@ -library verilog; -use verilog.vl_types.all; -entity four_line_to_sixteen_line_decimal_decoder_vlg_vec_tst is -end four_line_to_sixteen_line_decimal_decoder_vlg_vec_tst; diff --git a/CH6/CH6-1/simulation/qsim/work/four_line_to_sixteen_line_decimal_decoder_vlg_vec_tst/verilog.prw b/CH6/CH6-1/simulation/qsim/work/four_line_to_sixteen_line_decimal_decoder_vlg_vec_tst/verilog.prw deleted file mode 100644 index a8290ad2f5b5d897228310abec6c98f22bbfa68a..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1178 zcmah}%TB{E5Og2FkqaMSDHk{(c@x`d#Hql4q;2A&NG+|}P>`y8M*n~hW!I0!7nCaM zWIa2xlT{Qs%@!ke_sj4%Mtm7DHbK0>`W|tC_~7{wySc1)Uxz8Xy_B2glhBmy&eq4~ zhp;uqp7(rh=)7vSOTta*>z%_xdD_tVM|pC6WqY(ftUZqLJo!!@seXS-G@;I!o>0x0 zwtTbQ)A^>U8moK$ws<-+pv$Fi#|H`c?~|w`MeJMD4$2gKJ(xs zozHyOM|vL_`-J^K>U@&__mX*i7VE=a00#A`*Qc>Q>;uH09=sl6eb|F22KB=0h1Kuf zdy!qA+tu=#!`HLm>uTlSBj6zUnP)jywZWS+Z)MscNQwV~Yn^wz<4X0s7Q}1nB3=`p zXFZ=A;%C%Fydu7Uo-Zom3+f^s=X89z?s+$VwRAk-oQ_wko_F(WoJ)ED;!Tv_&0qU` zfH^u2A`0jNfba=KVLN~#tTEZy#;dq(!wH#90IBB6h`{HD+slQJZb(|kEgH4{@?A4K WD3fuQOu2nv>{PVs4nH{b31WXq#ScRO diff --git a/CH6/CH6-1/simulation/qsim/work/four_line_to_sixteen_line_decimal_decoder_vlg_vec_tst/verilog.psm b/CH6/CH6-1/simulation/qsim/work/four_line_to_sixteen_line_decimal_decoder_vlg_vec_tst/verilog.psm deleted file mode 100644 index 6595731be48e3132a2bdc84c704b129c89495431..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 15280 zcmeI3e{5Z48OQHwyR&u-xn%_=lH*{piV`d)bIB>-{Poxk>stk}>3!(6>Nd z133?JC*(ztmqX5%yjb!bkT*hq3FIveg+dYXIQXrQKZLwgaslMtxrIU-B+Lt!NnS2_ zh2%oWH)m#M5aCzS{tx76SD~k|5SyO$ZO}GA{#>$&W+=PdgFH5&T!i-T zGtH^q>s>ywbI-0Oa0kamcTkQDPVBVu?$JrgiIEZ0&(;r*P``C_`xeTvL6vtVa#vw^ zq=9}95AJ6DmhIzXlp}@lG3w73_g576L;vl#2lrZ>j}Gp(ej#k@=itQd3C3T5j3Ci3 z%g>&P!cLCI`TFy9_2;{9TYt80v;1#;Y>*P&z`Tf$tSU@k{v`8>^Jo#`3#HEwm$#}P zr6`$C5coIeNS<6>C%<3(l{NC$)X5(Ze`AgOwRQ4u6Cabr)>~d)T)(N4`{(R>ey8%^ z1OtwP=iTM);!lf@qU{sT%gRk%_3_tf^5$zr9xeiu(9>i{D!-|EBu*_lUotR(@}N{CmY8ua$pueSF5v^YT!w z{H{*hN6)uz?-TcU&D^eOM2E%M;dYXK-nd+&IHwe+>pzcumgf|z@o&zNj#2f0bnB=+XWY2Yj*Kc)6hU3mLFYQLwR_P?n1 z=Of{Ke$VzdTYiiDcdPyGdfGp#_Ioe9{pD(Zc|Gk9tNq~%Z-1HEUsg~1Th;#bg}2|O z_Pgq7|6#TN!iBfrsrEbTX@85_KT~V_`#P4eowe6@*m_%WQ(*=7rw-}YxPC>sezog+ z%Jr*U-(9YEe%G>cz4N=e%Jt62aa|UV^E+>remseLxwy8gU|;E5eF^r-+2?V8AMY4V z?7PLKJ@=yn2NzYfFSOiJRiAQt6^FPz%HO~J{XBio-J86};{})FHh~vhz7O)=B%0G$ z*)atq}ALSwYw_Wy?1rRrmsd+`eBLjFd|Xo+ z|04Nq7JnM$kiSu7h%!F=%lM50KJOPoelzV6KgWsr;XGn~4x=3Mhg60r<1Z24IN>=$!RL6Be^~q<8?Ts-FD}c_-hz2c#WO$fc%KmREYIM*L%iq2`%w-Lhn4l;{J`V= zLCCYbf_IsCFN^m|4i67M#xpoC7;+Y?Ke4h__mx|Xe z-n4kn=kV5xXMW)EJwD`dpJe_lAL#E1@t(`!Z4l4=z~lRS$ZHeN@&VpS@lNFMc#p~P zH9zq9-X8L7T_8To2Y9E&JD$Vi{zRVnfyeO+dA2UVvwVPumpB{WV>!Gb@yriAzNd#g zTNmJ2KEP`i@97-guz2PN9^cPHo~;Y;EFa+YiudIl-Zt^f4?Mn)hdf&s;3fCsn9t%J z&EbuSXMW%%&k@D=No=s(ACu>U*>ZC3&z6~oX`H)U|KYid?UivwT*o}e{}t{baOod% z?OX(x^LHt@JkPjZ7bp99d?hw=#?5`Hnx6Bonx6fvrk~EypUBal%+a6D(IZJ!+ilO$ z_vYxiZ&Zt4_l_0$(S2csUiW`>qO(?yD;FK5x1)-s~S|1LjrD z@+!>-=d`Q*pPo14T6fub>Fa6g0{i0}@6Z3|X|nF$u6g=+&UpKNdFBGg+c`eo+;@ku zKXM-o_eXoK$NtFkxEuQ;wjEn9-$Q)d7inE>{d`*cz1tsEf3o&l^`3{%h%`sOb4!+FH}%#df#Rp8nC1@?in;+@Um70@9* zADAC_yq^qt_FM&?@@DU6+-F|T;Wde8e&F%GG342MI(Ry#;&nKe$a^)1*DRj-fj6At z**OlL&eIqlHyDresapQ#i)Vh|@jft&&))07L)xg1kBN6WhgTHO{J`V=UdStv10K}I zdquocC|8TGO}rBA!Q*{h$h(Gm@L*=VbK?C3`D%1Y|`gnQ%{QSx0 z&+qXjl|Swq;rQ_!!8tL)^MdEdwfHaBpT0j!pR?Mqq0!IpRj$zZcgWuNbNRuGo&ctK(h)3s6+MdVrZs#Z8y`}XxC?3Bz^LXAPdp}R)pT;w;c+3v*9LmIF_J~L4 zR@$D&vqXG-7ns)fC?3Bj^mwk9y`M+&Pvet>1m$v8e+#tTbPfY9k z6b~N1ZGZ82-Yfev)=n{&e;N-TBshP}uFCu|d&Hx2Gi}e~St`E1lT7PxS3J$E59ZH} zvOjI@7GwFR@$5H!bC=mwnLlQacy#Wj?Rh-!6JOtJruFwL9)GU!{JdZGr>xy#EdMl~ z1Ez28GP^4C$LtZ0&h4~4kEav5pH@5LR-(v8)+D`H?{%w>PhwrFee;;@edXAgfwL}l1NV}*6*^KuQ z2=mkLCb8dBWq%j(=eK7yKJKUALn{2%!vOn4`ka^b)9)M=ejDY-=O~U()=$4*RQL_a zkNFJ!O3*WZmN(>&-$%Cw`Lipu;Tsoxo;M-?7V$j)A5z)#zh8W_1J~-S@Qn*T&ySG*VevfweJXqY51`EF zXR`y>>Z|aL3qH?-kiSYi&;M$bJ^u&AH#=~xz6#&C;B!Bp8_fST03WSd#rEqqFYrKFX~Uq1Kuy$Tm0}F z@P5iKx-GHqH$R-S^yB-q>4QA*cZ@I(#>Kc82Qr%n+A|OPl?RBje(LW?D*7pXtHy1_ z#uwwv^K?!y&L1T&80XJq#`kvCqdUnwp8dU_{pYw_J^Hx;WsIMVKX~am!{1rhU#)|( z-}f<|@u9>o9e3KZzn{&F`$Dsu9q0B;*`EXScQh6GkiPW}_;K9Di|$leyy&i^oc34t z7C-ag{ghvHcVgdfe#is+$#FM*kO#IG=E1laZ{t8_^FVv%;b0~YC1}I>f1Sz@HZT0S zMt?_Ckq7Bp@1Z^NP=W+6x>sfKY&_XtA9vYD_a$~|9^@C@pXmFUANJquAWa|Sfxl~o zc`z>eZ=5Q5pgr^Ol-9A$U(91Ze}(h-0a%6e_=(Is-pG2)S4z&)WS=iarVrw~67`HP zv8()jV>4Q961$~$Y<6?Y_1DVYO?U@JOi=lt}no(ju zJ0ZjUxjR$#Jm~M+D)JzG>qF$B-{M7sDvK8lCFL{^vX8b%?|G14^l+l@H$U{>>>y1a zW-9 zgH^b0zo5Lu)T5`PlvuYzkRCUs>4W$dp`P(2c9rY)aN?h?TiP?e!oNRnBPLb*79{VS$1=V)| diff --git a/CH6/CH6-1/simulation/qsim/work/ten_line_to_four_line_@b@c@d_priority_encoder/_primary.dat b/CH6/CH6-1/simulation/qsim/work/ten_line_to_four_line_@b@c@d_priority_encoder/_primary.dat deleted file mode 100644 index 8ece030959688b77a09edfe715dfd24d0f521d39..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 5015 zcmb`Jdpy(oAIHDLHbt(#L#|AU4nfJ@ibr(bT05_1ywh|8z!$C-k>M<&REOYZhy9|2))%M2SZ^nq=t%rc45%qS zsVOEFAOF6Wg=8POq~>Rj%JqpZ?7*ON6QkdDFvN4OMEiHtEXj?De%ev9bas%K9VBOm zWwV3S>>xcm$YjUhyLy@mqM20W@tbj^v#&W z7B{)1?{)SK!mhZ0D{q zK(?C5r=o#`adEb_Rv&&01-L#T9zz0I<%Tj5Hc}Ij{r%4y%Z>57m=k)L&wZ~kV>5nF z%veLSoJ{$D6jT;gn1LzS@GIB|RX7J%z`_&^{y_!Rg%u=V3Rr#xtWbqoxPmQAVf8<# zpti8Wa+rcGzk;n$g}Xcob}|w6Fok7v3Jzc+$ii6e}}m7l~_ zD9Kwmi5rYWd=U~YK9cn?5;uMlH=!gS;3V!ak|m3fVE9Nj!${ouN!*2!e1VgAz(|%Z zLb8&NBn(F4!B65Ll!VGdvQ8!f$0g~l>_-9p-Hn&lDH-jk#8r~;!bS}xxFcq=v?j95NFk%pNRw#8pTtrwWytAVt{KQ@fZTg(%@=s2DK=> zTI%z(R?O4lZbnGsyA}_&KrQXL?+emOOXR(%i*?E1#bFqEj4)gnld%Zw?B(QH*&#epUn=3G_K!I98kXE2jEm^o$ zFsQYgS4(%E*6Mjri+c`38sE1A_x^_F0=4w!)&*(Zg=qx~)Cz&Lf`w{rfop|=S}0zv z`MZ4n)8aXtzH9Ml3e?hHSgQ@D6)I3G4AKe}s+9oOB7$0qyjuE;JgvXGw+t56dJWSe z3e*aRw1`5rT>htZa*cEXa@AAmJIWY0iHxT`03e}esw)*5!Fi@aEp0V<#u5dx_n8hz zhfZ^bRjF@UTe?_cK=v6^9hp!vXIKsVGa<_O z1TyS)d_n~ELb-+U-W&N2o!Z_}{srB}HQ|ND0iIc3h|&&istTGo#{sg&$(jkt&Pe&e z$Pg>pp_=n}H;EW6WO-q0orb(>Ase+kky&6|u@>P`<)4NBocC>Ddm$+cM-;7A>!SU~ zU7@l5T6%Y$#a8{L)X>% zOgiX4BwM|zz-+sfsBm33zAs8+>9~K=V~Hvp`H*3|7t=9({Jw#PtY@@`mO?5iqnB}U zmA~ZF)douFs9xKPZ`DSm%YKsO)3rP4fHzudw;@T+n zF)li5y7rOUsLP0ht=aQb&d;glZ^Abh#Y|x}*@K;!iQ(c08KJsSR*$==M3btL{+k)Rd1ZBD-e2vhb`dMipAKDFUF%7CLbQvJ4`}`5W#6fT{ni=eh(`o= z^@pfr8CLwU7VX^;Ks)&M_Cc(f)$~YCMUeO7QhHvRW9<2g?W3dBmBkL%TVg9up|sOB zdgP0y$|#z6-j_>qyq}(9P_=FJm5!P@Dl&U#+J`cG2F?6%N_QA?hu@AJN_0cEa&LIR zxaYWSsc*AeDs9)$*>r7#0c(24n|JR|A|7o|HWD+COWw)4rE;908h}_xW diff --git a/CH6/CH6-1/simulation/qsim/work/ten_line_to_four_line_@b@c@d_priority_encoder/_primary.dbs b/CH6/CH6-1/simulation/qsim/work/ten_line_to_four_line_@b@c@d_priority_encoder/_primary.dbs deleted file mode 100644 index 26b4eae7b496f3d9d821cced97ff0e0c8fcb19c7..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 5089 zcma)=X;c(f7Jy${CA5H$j0%(jvKVBKXjl|k0|E*HvPcUmfp|>R!DU26Ky!i+z)TE+ ziW-GuA_0SASQMiM$r%>K5J}9a0a1Y=Vh|Yyg6z(_MR5=G`!jV;75C%2b^G4x*F`n5 z9d(*@yRKEbdj5Eq{z!AW^L)KxwGN@1Y(70qqq`y93E|*}jP9D^kuySs9L^Y#12jS8 z0T&=n2Yd@r1<({x6>uS<9MBAL2B0~j8lVNDI-n(@2A~x^KzmZp)`()yHi%-+ZzGC5 zFG3W1wnY?sUW_RAyaZ9~`5i>DXFEi(XM04kX9q;FXCDc5$V?h>zKEKDD-pG5#QRA| zH|g~8URKj!iwkCBN_s3K!g>~ZA3H%jG~9}c}-}@`9qk=G@OEpX*d-X)9@##n1<6( zF%74qVj51CltAF_!)Ib9)9_JLOv72In1-`aF%2I>#WZ{z71QwNk`f5qANjMGIk{oD zSMs^2;9fbg8by_dYVu2i;?77)Aa*q33ow(ZUxSq4!tvkakPW*J81Mx!Yg zX9N{9%P1;lmN8V!EPav^h!>4`71{V-)v15`#G8XED&~Ecqhj9o>5>x23aCE|Gns2O z8x?b{=AdG(l{P9jT7Sy5(m~~}taMQ^*GfReTq`X}3B(&_S%8_$EN`J=W-&#@%(4&_ zGm9B2cjZ~kQ8BYvpkih*l$0Poy7G3I$;@JpikZa$6*G$?DrT0YsN9ujS%!+4#R(NN zi>;&t@v)j;ftk!K-l&*ad{8m7_@ZKFS&7PBd6reEm|6T#F|&9`N)R9U`L&qI%o2`@ znMHw$nPnX+W|jz4?#i=7qGD!QkBXTkSW*I6MGNvV5)!yw5O^fw=nk8xcrntZ$6&fo zC=Sj7Wkw*9iC?MsO;}2eDtIE9$QRXkDfliEGD&f8)_5t1WW=9JelwO*rw9)pSPIm5 zDP8*O&`FA$P-X-o8Cgvw{~?yrpa{{-xx8i2CA@Xv?DsUU%i?wkOW_t&Pk% zxFyKYKis?NRj3yCRjFFn#uM|tIPDko%u|-*`Mkb&>vr9i=klZnCe{Ouq4j3v4!vrs zVSYLBWURr@+sEQmMx~RY()D!eiHrT|KQ;c?Kla|it4E3+wR-7h45rs#F}4_KKKt2E z+iME;ZBl)DN-es(GU$m)QQy_b^d7|*Ia$8dsmXQ)vufk(zO_0XtI;qPcW$Av%k6sk zmcN@0d++p&$exp$@%W9ia|`P#YE9ajHCz&Wb1${cnW=KbX_e{e;+5YT?~7P7cSBrJ zl}R9W=5XyX>{oC$KHB=cCtsdW8xj$6ree4m@yL!ngRTgzp;2 z>wLC3$g5Cu_TQ4$9UJOQ9C;_xw#QBXPwr{E;{AsSH;W1b%c24w#+V)0`SL}!jnO}D zS04^9a^313U#oq-``$JK&CE`V^$lyha-5FtDbKvMXH2hdxZ#KV6%H4dM!Lq_I@}T; z+TGOl!fGhOB6MS3Oz+{N2kgv0hzhTX-fg+_;&7MNP+;qUOFg~=zHc`lI#ZL?IFg>z zSe2o(@!YGhT%k(6qBJD+*Uh^1S>7oz!3m>fLBlO^!jsgsdCrROUSux)Lw~4ou*~`R z>XUaL6$d2m{zhGqnBSdokBf<@J$A6fI4ZvRvi7Gb2J$6|mTyMdoLJ^xCXc;YG4o-) z%i!Y@#qB$>7O`=~J03n+bnD**&G*meZr3L5NgYieeBz!`{_c&Bvk&RyR`$(2Sq-0+ z3;iz3qN?jW0;~`3kBNwAHR<_RRbg*q+KtSAI+k4X(CIg~+-tTayCUCI*RlVEoL4vHvA6**L>3b)_}9D?I9S?0a} zxHj1+S74t&I*`Y{@GttF^}SQR$1nQkoUost@>zV*XLdg`e(FY8hs2Erkgt^_Si80# z+5ShKAM|6}Z>u+R@AbOca&tdHKm^|7^Yp96d97Zxm^!H`CV|BLQ&Lmh3;TL6vfk{x zH#_zw)|;RA=0{%0t+zPuEv&~W_vwauISr(OFPjb?B7!Fa0@+bN-e-a6nedT?{yqzf zo_QQu%=THF^^C>HCb&;-_obd;QHUrF4^ZACbCL`v?yL-6hf_voV5YW+>O25IWj|B| zP<2$|L5)ha#G_CJ9_S)4qgDFP3poCU7RvGo`kd`{Q_UG(5ak^107r!QdprE={|*TG E1IT6bF#rGn diff --git a/CH6/CH6-1/simulation/qsim/work/ten_line_to_four_line_@b@c@d_priority_encoder/verilog.psm b/CH6/CH6-1/simulation/qsim/work/ten_line_to_four_line_@b@c@d_priority_encoder/verilog.psm deleted file mode 100644 index 18326f5a01bb5d038a2de88337e1e35ba906f21b..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 32704 zcmeHQZERgtc6~2)9!?0ugBS=9z~BG|La}4#gN|p$K-x*B9>~mOX3UJi-(VNV&*MB3 zL(||HMJVl1De5FuD$NhtL26p1ZGJ>;D$&Z7QZ=1MYE-3FelVT1N~K6mHR+VLDNS+L z-e>LW`ws7L@AdN!A~kzS5xbzmyvk-rlFfdPGN(p1subT!Nn7 zXTm&b+Wjl!5x$RWckkBPcz%OCN0WHAZ{DYMomgByzD=HU2|VF`9INcd?OTF+()8o+ zQP1jpLVdSC8RSXRk0;23$>ZbuaeI4BJby->@kE|YHSwG#&yhr)jWzN7EqP8Q@^sY1 z^B#GwB=L+*?3VFg-s_%&4NbXyS>~~SCC}PrA3qMNjDMZihDOHK_6?PGiH^ZsY1cBD z&pci2F%|0Jz1ug`RObfNSLX4zl6a!_xaYeg)}A!a+nwY&nVM(QW~paW zZqsI|C(5DgQPMi_D0wcX=5g)Wn6W*dCQq^MBF1jffhdvm3q!-k{c{_0Bqsa$R{KL6Yae%Z&=V}PrLAKw?RhbMTK&`{YQVj9xt zz^ptM5^r|S4Dp-N;%~}^{{-n=Hng2KbRh0*P+r>^>H8K`4V}Kr{~dq z$4KtgQnmFZ#PenHoK4B|k#nBDQj_ivU~PXO`?jR<^%e47Nz3b>=PZ(UCwbRkV-#Oc z{qb!6N6vQ^$@^9EcBSR@&wCch>&`8gA0AE1>!1HDlK1Ou@4?i(-Z{@Ad3(rvGBvMv z?z2eV=gE5^HLrILv`F4>khcLZ2&u;NcJEwhk-Xm|Z)<8^@0@6nyuIY@NzLn>8!eLe z1@g|O<&Dlac#ebv9hoP04VLshuB9D4s7B>&FL{5*%MvHe5jpUuqA z^Qjv7?fv}NBKg~D~`lqQ@h4=KRZw!)A?Xx zsHA>*s-)+>Iau3A6|j%G5&W_bwf#uwoFzZ&-sA23#4L^t@NeP#%C4LB@B4lU2XZoA zOM?Sby3d0ZePfu@pF*H;D}zy zv-6I+Me13{JUiEr`YcAzgUqw@3zTV5I1e$;&MEL(uqd2|nP=w_*p4j>NSPU!}jY;ylJYJ1>y=r7F(YE`4tu9~;v7tNi#LwNoR_ z?K%&@#-GQe{mb{ra|wji_No4PNOPEf1Nk>(;eV6-jjL+rZzF$O7XH`B-&H$*EBRZq z@Q;&!JT3p8<`&^;eWY32+p#&en~4RlnZ4h1Um~_4Bw*xn})5u9^O3 z&)>4(>$uyvG1sm-2HZH@xY_fctn@dvIlnwI;XWT2n{c1MluPQrRGQXxt#90|%OibH zKO^nl+Bd1!$4YMfF2B~Nd04OYbE=W4+_317@89H?*A-=5Se9Fs|5HrJ^Ye}JI;g%p z?=88y`~eJb+%K5reVOL;`ZVnP{OXmR^y@Jpi!bxLq*H9LxK$>Ri22 z=KcBk204Zn-26M3z=c=alg}@^#a%C~g~fAQ5Pf1lWPT^wCHWe^0tpfigO5E_r@XIK z%j;sE#v@PLhrHXEcOa0rm3bPEJkc+FcQEfnAa5J|6JdH=5tSgdtAM?%z^7@&l@yOGCD)R1O-nBs9F!MAXd6#qwo_roEd5T&av*O%^E4iLzr*=L{6ov?Mr0h}`-sCf4`LXI4jmuw zVIKUJ^JgSVt~ZJWFa2nKVqBpq*QD)u%Ed?bB|+LJ_0s2v{vqmytM~sAzRYJbZe$!N zA9OpvSa_T9=V__8pz{Rq=a@g`@FLwhPgT*)xXijQk>A%X?GxR~2i?w3uX~@TTfUcC zt=^1F)cqXt)6`q)EV`8sx}Be1_ZyyWo!_g}n{k$B8b`JmhR>2<&5>DKwNO1&AES$C-3P1LP?(Cz&6x{n|q^S}&@*!*2$ ztLSE2qVA)}PgC!8h?9CNA9OoEz3$(1dL!MszE{!BxXijk^=_eV<%4eLr`P@4o^Bau z)#}Z-MBV3*pQhgHsayG=+xh8r|G?9&`;;p6W?W|79|Y#_R_azh=yraw(0~O-+TBGP zT6d0ly6+Xgif+aw>gM;Lqjfa2UUpEo@9yWtfHH7nRSQiy@k4!54xS7 zzTSW2>24Cgif+aw>h40vrWxOz)UABb?fmrh{$tS@n+NX?=w@7I-JyDSfk);+<%4eL zr?2-M^5eQ65Wh;j8JDP=--}Ni-&?^Wx|I*Qou9tmf9~mS4(MiFX5FEBZ=-JIgKp=i zulEl<-RlCn8JDP=e;<%o?{4Z=KInFS`g;Ghr(55@tBe!IW!4?4_YUe-KInFS`g+Uy z79ZaaiC;xG;}UhB%BP!8c2c+ULAUeM*Zc20-46$JGcL34P`!JoTlt{d`RVKZ51ww> z-&CtN;}UgWNm=h+>Q+AJc7FPL|Jc*5=LJ>93F9*B4%NG#x|I*Qou9tmKk;-wDt;B+ zj7!wbznh8XlWp~t%Q;niK3N~o&A3F}{Cl9pdXH1L@<=C z#tGvx>kid>lDd@-x}BfC-f|EgueW@kz1sL@T%zu`mQ{}D(Ehtj-O2~u&R@`-bYA7Y z@44_^{6s)E<1*_G%_lR|t$fh!{Pgq5-Cn(01G*WPsGENumN>rmQn&I!xAPaq_gupG zUhC=BbK5H8n{k46CS8aSVE>ZVIZ+wU5@BP%Re9-Ou zg?gV(sQ2TZZawd=Qg6m()*Y(%0qRyh=yrbkdVj{#-4Uoa;}Ufjy>o|9z2Bm4<%4eL zFVy>NLcKrh>E0O7&A80EL-jsL-O2~u&QD+OXFc7U0=gNOsGEN`nK+*uqHg7bZs#x5 z`+P#ZpYwEY4(MiFX5FEBAE9pLgKp=iuXmrPdrLq!;}Ug`rX1hjr*7qgZs#x5`$9s! z`#s%H26Qtnv+hv6-==QmgKp=iueW^eb~0Z#awBZ~b2m)GvTxDPx$5z`+s}%h&wn0e z!2Yu++bq5B{DwBY4}QTr0lZbPaXfT`#@_@x3B31Vv5$@R)x;|t<#iZ|kDe2|?_d8_ z0L$ld^8HuAyPtXSc)9N9#qY;?4DSH(qebX&&mV`_b5WT_^G6^Hb5=0ARtJ4d5Lkp5_5h_lYsQ&k%1V z@zxPuC&cEAgZw zrGA%)C-Ysk_MRc0<^fOFxftHh5$^`#)dN_@b8i6eEb%lCc)I??@P3|n4a93AUQYn; z9Pu;{c-vfF^!$FFcslQ)y`99{8NfSFJk0~%b`MYSF(2sj2)rKR?Fit#M?B2~-WNPP z#Rs0w7r>h#UUvZR0`W8tcso2i#RneiS~xlXB#B;M8l z-X-E`9`L^G;dK*F*KgpRCtg>%D%#VHn! zt6jjmN<7U2-q&1Sgs1%tyfws|A)Z^Oi_yAl?Y%}k%>&-oJv{Ai;H@Lx+r;bCypPWJ zm^5_0(mdexczD|1zzr-`>EfLBjE%>&-^9-j6$@LGs>g?JqSyawWF9`L^5;c0&Z zZ!PiGG`R878o*mcJk0~%H$6P&nyd3f62 zz~jEAaEW+p19(lu(>&nydwAO4z`M&`FBGxSlJ#g!0B;@fG!J+K9-j6$@LGx2Nxa4Y zUJLOw4|szfp7uBJ9wpu=@rnVw^~BRW;0<|r+TXz2K)gf5TNS`-C7$L1Z`i}r{svwL z@#cuv5Wwpop5_5>#KY762Hqy(T_#?A0B;NNG!J;4>K6;&#ki37hc3_G>iOF|zuWV7 zc)t4{?gD;~cfZ&3`#pcy^G7{@-18?r-+hmCfq%xkzt{6;J%7LFuST7>;k{1w@A3D# zdU)9H>Gxt+;l1wv>nh`Eq3&tw+KIZ#K0IDmY@fBRvfrOYUF%o6`Q|ONtfl`+YiAdqgIe2Z zIG@yZ+I&}QI}PWP+D@CtYi+0DB(?M9z;hLP-fUgTVI8aGxiXxk)@SQnt@;dSsrA{q zTdO|9S!#W@52#h2;ViYjUe0&5>NA|B*4Mv8`V42O_1V6y*7_Na=^GEsBU6FvGlA>- z0@wEkt{(_oKgjF0-rK&w_Ng*I)-rz?&h^%Q+n3kce#5c$hxSET*B5(FsC9fAj_JEz z#;3g()!ILXbG^0S-UDlGzu{bO?cdAwqt^Bt&h^&**(GYf;aqR+-@iodHymrfoR7@q z>PF;!J^mgqFUE2{QiR=$_jvof@-OS$`@2NTd$n)h;JFlD1N8n8uD3^dzGd(4LpUgq za{!Aw!MI}yac3EKJR$Bf<4z>RHQ<9&S?^CK#I0l8y9se!jGIe{>t)=jgt!^Tolb~5 z#JDpFamN{VHX-f|1<#10_*i2aqL{puDkqV!Oqp} z`enx3xtd+S!t3^)Z`b|vw7+0~qdD517pdbG`kmBi=M~TMerrbkuCD3zzh9$%nGa=L zcLnrs$*A9rxAgk2)~NqB>fajBzdoaW_Z+6zf2~IScTm6Vb7Y+RuagU&OPk9f8G(Aw;yA>Mu}tZ8TMS* zbLF?oo(I2OHje#vna;HBYO1kakF#Clfp*z`Gc=ypF)uWq+)4aN#`)tdG#(7suiH|M zhn5=a_5|Bi4%E%Yp+6q%y5FwQc(C!4c08=Fv0aa_T{D4pS>6108L!_id#?R)ZqG~F zcD2^nt~R!7Z=hYK$8T4tzwEl-E^BYvc6HR)u6DL-Hqb7^_uCcfucdmvw$#|JjcnKc zK)Ylg+J*OWdHS~ z@x~(w@wyT((s>s5rJkAxo%TLz`KD9zpp);lkq*=Oi{$0+qel1tggWtch63#5b69=Y(e?olV@poe*{vVPbuoe6z#_u3M^CSNEa9{W}4*Yr_{9hyv zOa=d>_~7U7)kgeh$&bgQg8y5L?z@e!UO=XNdz-!QaVw>7N@UZ{d4p z=5O`xZ}a?a&)?zsJ3YV0^LstN-}8q(f7J8GJ%7^k%bsuh0c)SFqvp?g@iuSU{VAy* z`is9S9QD`Vv%hfMSCtWM=nU7&F|BU?%HPnYanN&B{RKT54?Qmj^vD6F^cR2cInwhl)PrGPp-1Oa z#P?7S^CLZ1s7K?V=Y3C)#zW6oK##2Vvd-)OSGQ2tD8D~ljQ#(0zk|D?w^1zm?Wfdf z=dg3QF3+jPtsw;@>f^fX2O4l)qQR3t4J+f0eq>+cIF#%7 zcdOP>l<{@9!wRrxyl=+&6d|7Ye`Q6Az9rkd1=FbQ4 z{*dboZp*)06$f~-e#G%O-$!_ET_{H9=#nh`>*Fa7@MJxR<8l6u@Gc-<>WyKhIA(o3 z#Q~noS8+VfCwn+w=W>^Lf6%kH=Re=ufF9h0EGW z$r;f-f+ze_uUGuR$$is1qm~l&0Ps@w5z%}j>hM}bpji(gp^bTwpxinI37&$xhykpQ z^YKuqQBcI_7uZaDS-zv0So%KStR6LZda&u z;&nV$=iP2GUTMk>mkTsAIB#D{mWu)HB!c%crP}2#I*;)rVbeE3OJ9(3kVohZFdU^HM|s6MJ@*@-FALqDM~d z_4xQ*R&j&{0O(OsHW^}oV@sMnFbowuhg&r+5JFY17@A*$0F2=32?nRPzW6xqM1OIG zpbbR0-e&toC{K4}UjR$IUWol<34S^(dv>K94QZb|PncHBCV^pU6j8x_&(n2fmk8Ui zlmnfwF;Jj9Zaee>!WqED{V&pgymdP9DOxHEM^T6I!|VCM@1=VOc#UHGz4e*Fnlimn zycsxuroKD4R2I|-P`lN(_++!ifZTqso6he2<+wOX6iBmnD=6P^!hNg(Id5Hjb|pt`CC5oc zE017g6oOnc2lZW!q>voRHFGw9mxB_LgIY7kSdde0z5Uz;b!S(J@mM7+EF7<)jf5QS z8xrGXU{I12EzC|hr51ASuQ^mqKFGrRd#rx8yn1;gWvl~?$UDj9QR|(F#D<8HFwvu#RpyG8)5_DpnpJe#gA0=Q@>|JIm_N8 zO?Q~PCI2m%JV=K9jtpG$pJYD?A(Q`+OnOZ+a2KIuSddKqM>4cfG8vEz{Vf?9Bvbs3 z3?ht7O9&bIM>3f;$-rHNk|}~@=pV^2Ldj%7GR(JR7?4cqJ2LQ3_@CcwgAg*zk7TlI zl7YJjB~t>)Fki{WKBtNS(aku?>aZh0T-=NJkMLI9obd}X|4(xo6qrh#SD4V!9zR_8 zyIr!RAhjL~*8}IK>!r)uc!GM7D<&uqHTJ%5pD$LNJ815d1jy7<$zy%YGBb0$MRk{t zpbykNLY~L!6Jb<(hfYVy@Im~$gaQ;D`*_=ASoZ=kiF2%2cR8H>aSPACRd42YrjIn| zSp6s^`@s%&3g51M3bm!%)F7Pg&=VjvvHtw^b+us$UYz$@(PnLa75P%fZawczY;gar ztD+($8+`@O8e7Ld;;VhSp8<)v2o%SAdIzH0M<#Zb$-j5d=qjxwWB zuatQde1XVOSn;Egj>=!))u-dS$u=j*yICccq;*mqnNLhQ&lN*181h$ z3~~tZC3(&uN+prk>Di^tsuSq7;l?Yf zoD$C`S}`i0V!bBxmJiw8Ig*weBefo8-MMsyBuccIjYgw=RBbMeknx0bW(#ROn>_y7 zou5+X5q+iJ&N2v*?*ZYY9Lc1N@6p`}>fNv3(mfc2F9R)vszgQZBjq&?C> zT3-Bds>eCsv((sTb(%z88-cw?# z+{k_AZ5x?+&h??O|9owbXUh(oiJK!jF;K+iUIzAvD1tLbm0k$4F09l(9AJAR_m+cs z*#AwZXVDN7sgfsXIT0(J%Jz8j4pG0^C!%PGx_E6JSOv^AgB9|2;!1BJp5RsjrDj}p z*5~-o*Vx6Uu|S_)7`=v<5%KC zb^K9!3nws2-tBNubNh_MhX4s04bObsX0_2bjdv6E#xAx%(?o*?MP(UzT%#5c30~K7 z5MH-7hyDFQ)prmwy!XDmCad$ZZl(b>Df+>vgZci9t!^537cI@yzSI;sSzDwYv_u5( f#M?avY$P`@S$7_!7Ko@$82!OZkKfur@#Ovk*}1io diff --git a/CH6/CH6-1/simulation/qsim/work/ten_line_to_four_line_@b@c@d_priority_encoder_vlg_check_tst/_primary.dbs b/CH6/CH6-1/simulation/qsim/work/ten_line_to_four_line_@b@c@d_priority_encoder_vlg_check_tst/_primary.dbs deleted file mode 100644 index 5bd6afa488a41d9916d95e2cf52a993196094a24..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2694 zcma)-2~d?)6vxkZ-zRI5Vz}@m!L**!)M$&Tqq)t5xs;kqRxB!EfD8bZhU8%FX#?jAm{;HDCh}XBu$V|{kHiSZ8yl*t~V>XycHiX3@B7MTpDPajLDAEd% zupAc0TSrqXofl&@U*K%zA1{-rk!(l+(jl>uL_#u<8j+GkLSm36ky1oLl8~}4Nu@II z;_G3!TWK&`bE8JGA&p3CmxH-UB%~Ax7HP9cNN;#|X^Tim_2O=l+RDI-Z-?RTPXTPr zbd6-g2F7-lWxnW9Qaf1UFuU~(e|nnl%nXfWL)LK?BvxjRlFDLLV~3MJOpJxb~jOC0MPJ;O>td}rosbltV; zC`;^6pl4WVDBqbS8p-CeVM|4Ne8ZY$BH@fKi%2d3FNow4uu3GHa-4YySR;~4 zz-uD81gsSabplmG0^StKC19gSE&=}%36&<2y9B%=(&ME<-DQbidXt{vPtWk3YQ-Qa zyYqsLP1ICfbS~<;{ZvQ!w%c{ZIrdxUiX8USZRfWX|CHy`dh0j)b^B?1)emV*ZA~>> zm|80j7xT>a+eb2a=EKS&%OUynJ z?wP-KY~8&H>3bq4*6tj#VSCH0eU}zh?1>*+*D`Kx2Po{vO`tJrs+?L$S=`E1S3`^I zhbi|HC8-03s>H0c`%xkLf@44b$2aBbs6J)UBQ{@pIi@}+*1maGMcRXi9fOuc=cgv^ z9A=3*du8;omSg#Wal_SJzX@Z@o?kWPyQ*|Y>Z@+YLoa4c&siKc%gv6Jl@9F!-&%UUW7jAYkehx^~CCxVq}-<=uw{2QJ%{eC>|)+h^|=#-}ys zH7wircX@KbwoeD%&Ky3b5S0W6$G`?b`~M-@-TE~zniG`O9JDi|+~X8cFuP+9m^K9B zhT!N#)40cRH*VoEx$)jO{jiNo1wK>wUYK^Rn2?u4cD2}cDsQ?#O; zc?jhkbz2!HyLma<{~+RrB-C2-yo*-`4`({PJoIB@Er+9l2xWOcyas6H(OM|cx!jd8 zubY?Magpc%52JpXOI^J94HK%aGIG1T_#L)(R1l%mqKKLWTG0vMA(UNoWnAj+$TFGp|h3n1+_yNZ@6`i@c%*u;Bvr>Dxs8T;|mG@0ktKvy8r+H diff --git a/CH6/CH6-1/simulation/qsim/work/ten_line_to_four_line_@b@c@d_priority_encoder_vlg_check_tst/_primary.vhd b/CH6/CH6-1/simulation/qsim/work/ten_line_to_four_line_@b@c@d_priority_encoder_vlg_check_tst/_primary.vhd deleted file mode 100644 index ca2c4e74..00000000 --- a/CH6/CH6-1/simulation/qsim/work/ten_line_to_four_line_@b@c@d_priority_encoder_vlg_check_tst/_primary.vhd +++ /dev/null @@ -1,11 +0,0 @@ -library verilog; -use verilog.vl_types.all; -entity ten_line_to_four_line_BCD_priority_encoder_vlg_check_tst is - port( - A : in vl_logic; - B : in vl_logic; - C : in vl_logic; - D : in vl_logic; - sampler_rx : in vl_logic - ); -end ten_line_to_four_line_BCD_priority_encoder_vlg_check_tst; diff --git a/CH6/CH6-1/simulation/qsim/work/ten_line_to_four_line_@b@c@d_priority_encoder_vlg_check_tst/verilog.prw b/CH6/CH6-1/simulation/qsim/work/ten_line_to_four_line_@b@c@d_priority_encoder_vlg_check_tst/verilog.prw deleted file mode 100644 index e6c5202d8e5427679df450eb5e9c47067038cdbd..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1468 zcmah}TW;bo6g5NJ`JA6wfF(8%Cr+Gv@>s-374js(KvYOja4SX?%jgbfp>wXCs0646 zSw5WmzQ+jp5d9vp?|&IS-+;}Cu`%!w{0Hy___Onm*yD#awSHhvAG@kHgxWTaubbD_ zaX1K#p3Mmt`rzzU(^kaoAMNt$vRS#fR=*MMHo7F-n(~EE+oL69z!7j#O$2cfGBF0) zw%QQin3fS%ti}9KANA{g@Az?_6WVg?`W@NUkiV(*+WA{wUzb}KS8t4~GdcJ^Vb^EM zn4r8_hw}~>9ZtLb%o}TIuGtlzU-4d#KECf4_5HLt(R>F}y8X?HSzq_Qef~xK;tTuq z#;y<4uf;%wv;X*S`wjDN`wsp6JIctvW6$H@imM?{WXHSrQGI;RWA8uad$-TdwC;D= zo|q>6c6s;S5PO z%Yw%lXuyRC&-~1jjK`}r1#ycI6>t@DCAmr{Nu^w^d7AKa<}l*vI-R`5LGh2TX= zNu}Z|#f{=?<&z?pBm#9g50bLN`(;Fvh`?O>Q8A|(Flih(zlbmW)J}AM^g+HT2maEJ zgZxA~e;9pEjqVn^T6D2k*rJ-n*0v~t3B*EWe z(oIayO9Rj-aQI%-)f1GZ2A-e-)pD7%W$aW+(q2Uhlk`MR=!}4d|aVWf_x18lOR8MUZF5qath@27ZwUrAptKuRq|<) z7fViq{KeqlAR>IWkgmi)Hlk0ie(c~bJ5l0TIEf#mlkPe^`G^1G7X zkvt~(bIH?^)}E{|;X#wh@<}ONi{#58TTtE(`Ln^+ z2a!(l0$!8GYr4sWqvXF8UdDeXWHbCXjgo(<_y?NgUo=YoSBU?CCiyq6TG!ix{IBim z>oD0jQ0N%1{;>WUsXvyfzP(M>cVkD_fYsC6wYuMAS7)I=uJ764v3_^y{qAzP>N~9Z zyr1*r!n3UJRjTh)ll9$nmi67H`lh2nHvaP3<@QBqS>LNw9~Qr+>btR{w~zgHZ=r8A z{buW=&8yBP>sdI)dhSp?Tbr!srZLv@8r8GA$$A!zu^wB8EN=&ztfzi{b#Q+7b=?b| zVr^dK&9A#u-_a)PtDj$^tFJ@#U4VtWnekpfzeZQzO4T>7$@=Q&*XZh7rTXq{vc9#e zHga9;Ku21C4fGbeMl;V=tG=zHtq&bJmijtX-=5LdhmIUeeO;>W;ArbZM~AX+hluZ^>vYdZGR8*-BsvWXZfAg*|X8&=v}+& z4JHeanb{q;W&`)%b*uUr*Q_-?>lydPZt4hw=ir%;md~F`dOKZKVYmFXL)yCQ_IDyd z=7-^qzX=bH#B&Q!#^dK@&+lk?ULv0OTx`6f<$0-i{QQgVV0@$HSt36_*IIrW#?v!% zuH}F36@|IBPS5NO`W1oJMx&V6TfcbW5GLtmNYoBDezCvwUwD_nW#{zMy>B>kL{-Y0xOP=P$L)soVZ5&D zS*!7NDdT8><2YQkapN#tf7g0`?_Jxop7xIZ4b<0c*u;7}P+;xq>R8DB@^gI8hCbHc z|Aug`SigEhCvklp_nKULcgJdz-Ce8iG1<`{_LTypjdQ!d$tH|1*?KvyFfRKz5;{0I z)xIWCw|%a)Fg|OA9py!rD!25~z6o-0aLUB+J$+oU1qnCZ`p5iSI=)$1mjCxY&@$C{ z-0!Q5bIL^P*TKQoxw2=wCxm(*lAMBqY1#NLYX|PBI@P{AyuB(nb=aTh!S)}^%LR}v z$OHYdtP<)i1s&xc2g}>C%y_4wUh|9Uyzm|r0=F$)CuzRSOkMV;K z>1A9i#a9)deSf#Ooo~7L=m$Q#cZ&JgZtz+Ez+T)ezD44jpYW{^AN{~*_e?P#;{u=M z*Z6jduU&keSC7xW583#kANcGZDdw9do=3#9GT@81Ykb)~P>i<@8;AMfKZE}%!CqV<{?iG6cMgBQ_{jr)Tc~1w#tnXs z59DX9_yOX$^!)ec@DGTeJm9x`oS6T7)4|X7fq%RBTN3_(9RAJXClC1T{wC(P^}_fm z!T+%MTNC~*Is9A1Pk->+c{t|hya0bG*oz0nZ}%QHZ#_TTa`^50yv-N#fZy(EVt)23 z_&MJZzl}>9pQVI6i$X|2YZ&p&b4_ z;wKOIU!U+_EPnPs;-4@6dE&oR`^)1w{11ztJm9}4;pcvb_*p;rSBigr!ha-(f3Nt- z1O9ahKl1{9)(`&u;=e)s1JaM>@Y{H^^@lv*e}?k$xcs2ndSTn+FbTS0`p2ca{d4fQ z^XfkFlNbEYG#ujnn)p#Xj0b8%J&*qp@sk())5pmF3Duj%zhC_11^=_g$p6V4{sZDC zFZg+XkNk`_|DVg@e^mVB1^*>uj6aY6p!mrP{_+_4A2UCUvo!yQ#7|!EUphwqgE{<% z#ZO-F&k+A;^Z%tBep_#CevudaGshTz9{&^KColMCjgkNHocNE3pS<9|Y>fP0&fz~Q ze)59Rt(|LigHe?2Gu6XGW?_@6gM{%_>)pAy4i49KgYmxeS+!s1jREB$3+I?paSjX^50*aW z=aBH)A8Yuq@0N<=r-a%rv96w_;JZg{};<&@7>k>-y?sBGy1By_zBdq1+^YZ6C66*K7wyPiU@RNDW}l&tb)D3!UZ5<6SAfD`elNe7oJ&Wy8BYeE%rb&aEhBxsO}dV~P1v%kN|2 zPkFwg_}uScf$r~q*^SIM-vR(1S_mPe23*YO`KHn)% z-Z*hwUfi1%_rM6_aiiR1F(-l}-EjWABWuKv{TIBNaj z`>vn=P9^QTE1b7;&lR@*G|E%Tb0TLvInLX6w)HvBsS)bKF{qd5Hj!Q=^{fNVUCs&Hz1GC=|+W7G2uq!pr z0OS4Be%3o>B5`eeO@iZU1?;nQ-)HO9a_A=cJO|SEv!&p-bK7B*+xqYUjVGwY>%Esc zzZLR3mE(7t{L}hY%1^&%s;lqSIey*pYlmzU=j}Ou{{5;m$4~E-)V0sg+go$|^u9=) zpP#>W=lJP8kUBr#j}PSd-Idcm|K58v$4~EV)YZ2Wc*|~!|Ububl`0M`o8zL{Pa7HI=_2z{Eo;^zr(2WTbIN+fc3!Ib4-5vJwwgU)*0L1 zxNc$nvfuYkiqt8ac%pW&^(O#ycw zwhO~&SDVbTYUszI_hqjM_6p1K9O<~?pgoG?V&E(e9|wR9i{pkQ4#QgQ*D&uU;u9Ln*`t?Dt_NpDiXE(^+FT4D* z1;JjSAKFhGB<)fArvYc}54^2K@?zg74Lv}TK>-ynT)(5qV%r|{X$vTv1Lepw~hEA&I$#6i*?#s3W8EdIdTS|l&xCJ$t5 z62IXs{x{<@j=yR;;-8~Q#_wuX8346ZB6{&BE2QZ?Bynr zkELq=t(I{He}5&sIsyIlI6(q9$y>Q2Qee0bj)@xNDg`GxnS5kLJ9 z_ig46`RX8R@jnZ?#UFTEi{wSzbns>Mq48 ze0X0O_T93}FT7`r`00nZUt|7|cLrID|Jl$j{=nN>BroD759G8Ye#2Y*Z^LIC|7%T0 z{N0N8F6nEfcLcq+(U83> z*emoyyNH9NJ!)SWIBTEgW$nHR>9YFuJBFcijp40*@5HCY*BT_>)4)039%q_8n+h50 z{v28VE==(q-KJrD8=@6)26yR97U zCk~S5-6#*2qCCz6dC|Y*fjkk$PwjkXc*}#IJJ_G-S9{(a_bboGQNLOT$Njq2bhIa| zD?|Oq^D*pUeHpT^5BLiG&<^4tX^+}712}7s)~mXHJ(;w}@YbGp<1_BpY0%?-wDmF8 zyiS{{VYcwUe4Ais>~YV<4p(0<||X^-+S6TERA z$cz3Y4`iAL!^i!~{zSjp`w4Ns_5&5q*FQErny)+;Bkr)?4)x!?hJ!tQ-g1tgN zw1YTE+N1W&0?yi_b-b=$PbK|ocx%rte8&A+h938$;bPtURsT*?UBAjcX~1~Vuj0$z zAYFXf{XwtgLHO)fW%oSDFWVgK75bt5#6i*?<>4~m;yjQS{Yf6kG!KT4`<4BPezo^W z;(mQ2P;tM$-}I?)|ENZ>z3fWuNqG#*2OxU-s+L#h3j?&}(@RKHDn0=Rtni zZw7mXerP{&khDj6crI{p9>|OSBoAbo2gAqx%Kk*Z+WSB8dG#$o#r^t0)6uU}g3KP4 zK0*3hO``pWnD^FfThObtBW{?@KjS$CxWmG|J>V+D1E=!r9l<`X++zJRrTD?|loMs2 zOF!VLw`ErYHpCD6cKJh?z4#&d7p1#B+(Qh#-=g?y_psza9z71bx3cd8?(g!#5a+}+ zPkOIvGV_Z(+j~oKo`1{YiT1mXn2tQR1)2S=bkE;!Q_rr2V0(YZB=Y%y^0_1ERobJx z^Lzx{4&itn!MvnB!o4f_S6B`^`5rfsY&*BCjABWB6r`D0|5v>RQ z9O@HE`TnH*lS%o3qT zj~@=@74o56_>Aih)`d`CDHAT+8}QY@U#j8%LOk>X4{?yRNBuSzIO{jHqexz?tE?9? zy)QMq_0Om98Lx*Lw{`2U#%JMV|VzAycQ{C$7;OVguu@UNu%{QYRqt6`p&GU2no zmOae-QYOFbZ-Tu-KeU@TNZOi*}R8_7{xNPMv`4r{g1y3W*vSV;d(_UW zfNN%7;5>@rwqpdWeVIAL5wd@qSABfc)6s@T1-FkpCEP6_!IMzuS}e zo7opa{)h7YPm1Fa#qnwBp8wATy-GXkB_8ro;r7Yzv(mkNpOYW$j)#0K*efiDPJXvX z>*%$>HM1`qRK310d_L$4X$LQcC&$?rg8gQe!;Y|N|6;J$_Ju=1Ur2l8|IhMgdF1~u z!F~(*VHYl=J!y~4BbG<~c0K&f?)~C%nce0M?a{tqNkhXkTzViyM5f zAEJH1o>SU6(f0-RS5$r|DQBLe^213v^BtA{D`K$u?fb&vpf8|3%Im)g=ljCH2YoT^ zz@_`bmqK}kd?*(_dpy`{`+{)Umu2^T!SVkg9{PcYI7r%~e!Bs;~|d+dxhoD$?x_g{$}=t?-*b7ec`)`;DeqXr#^80~wZ{H8)N4w)8PX>F1<$=|;({6zk=N4THLpXHJLXTg38`C%6>qdjSl%_Eja{dP0_&F=l; z@tWP{4eims&ey4wCk$-zwmb_XYA|J!HKN_65UR|8V_8K1SLXP`!=Y zx_yD`F8o_UdDar*o#FFtf^?t1cJXNK+z)B*i3p#aC;I`}<(IVvdxd^zH*t`(N9}J% z{nq}#i{D|IAKFbG$k`ePdHaI-$NK{JAG8ZJoUd)h7p+tF17Ngc#>0>{9?lPXm3G7j zm3|ipw@0`Og`+*fJtf#HEQg(ZkhDkbd?|RF*%vNSy&hjl`gTZ*cT&)+w4)y4Atwvx z@lKJxO@345N4w)8pBn5HmP04M+mrZbonii?dHj2t@kRN*IPlLn2x;+8lkV-~du13` zw4+|)A)g`Kqw;&EbZ_7EpjT;kJmj;2y~1+nwUAT<)BtDxLERXu(6{yebo@dapezV&=pgmgWZQR+q z?eoC#)_%j&9zG~J82k1m()^YMj2 zuRh8+OPTQ5i)242yZo{j2YZEnXg6_?v`6i?ab@ifysbs@qTS>%Il?+`{_#4`^DNpm z0ap87G$+JSdoD9q@zRcXpb~e5aEBpnoLm|73h}_HJewEnbe_f+w7&Fd`A=(!BfIL^=Li34SqI$=-0-7 zZjkl69mNg*_&p)2_jJ;pSHTOsUB+YMi|2aaeZKhbZ2TN+c*F6W9_1nYdniNqApZUb O^NqZtdH6eT_x}Oy^B}7KLiU&gRE=tI~I%`#BzysXB!%WgL(1cW(|a%Kyd*V{pM3+%N_Yh~ZXL_l@`9{qx%o?O|p(RF?Fg@8{Y5(*><2 zrt!=FEB^5J>+~1LE`Hm@x-@*|Ubbpa)dqHEqpKj}Mb~mBXNVr+O5P?~$DMpmw2y~X zzc5T~IV;28t+!J;?o{pSO%+i8!TAvsGA0j&&slZ`%g)e`iaEPdV0xnEL6C5E!&@PN zE8=@?`9nP}&e^LI9oDh4s-W*9AJ;|21)!kwbYcRMGp7j($;BJ&N#%3?aRhAMo(HC9 z-THqBep2JRU)TP(?-ifoGyVg{Qw3EO{Q0ZbZtxa}Sn}89`kb3)^D8`mT}feQ=znW{ zlGk_P{b0W4Dc-8f;$`+QZI^kmGtsi=b4uX3J<*A(2D)9%?3(%WOoTT5`C@;qx=OCOxL9s9id*V}8mEjq?_TMV;!(1R)^j-gfw*cybHR=EW diff --git a/CH6/CH6-1/simulation/qsim/work/ten_line_to_four_line_@b@c@d_priority_encoder_vlg_sample_tst/_primary.dbs b/CH6/CH6-1/simulation/qsim/work/ten_line_to_four_line_@b@c@d_priority_encoder_vlg_sample_tst/_primary.dbs deleted file mode 100644 index 0e26f81b3ed4828f652aea0e347738ed2140149b..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 911 zcmZQ9IK_9WXx9OImow*q)OO9&FJmM9#2J8qQ5Hxr0kHxQGcYjA0SQJR<^z+=@^CIl z4YLBA%M9Ww!nrIUt`eNf3gRlmxojY=3Y^Oh;;O>A93ZY5oXZK~Y5)n4jZkN3!nt5) zXu-K)XK2H@U}xySxnO7L!nt5)=)t*QXXwMZU}qS>xnO4)LAhKETtF7HF`UZ{WHFk6 z2q2bb-~o~>Hc&2u21qeTE68;qz+wj^Kq|3FIl!erW`b;h>0)vMa)6p$fCR|f3>+Xg zfm{ev0hR*!nSlk}N@kEQkdy`kh{edj3nZCbfdm5sC>$6V9v)2)|GShut~X;{|3ZV9 zE7~*9Uodo=*V+*N@YL*cXV06uEwG!pV}}2OQ!gi6;b(BZX)1i}#>oN~9!2kuKOV-~ zY;Zc3J0pAL6S=)SZ0qxQmap3@!}(v7Yr*l&>v;DXad&-RIp zoW#FrYL{zdP1(0hO~T?`&y&9!6-0r$K=H~b4J1H-cq1}BpH5Smxsl)0`CrR4MP*|#C%(V7#KjY4vHC;RHy_jHW(N{DZl}U SnOOEB$w8Q)SO8%rPFDc%0hnw6 diff --git a/CH6/CH6-1/simulation/qsim/work/ten_line_to_four_line_@b@c@d_priority_encoder_vlg_sample_tst/_primary.vhd b/CH6/CH6-1/simulation/qsim/work/ten_line_to_four_line_@b@c@d_priority_encoder_vlg_sample_tst/_primary.vhd deleted file mode 100644 index f65fae82..00000000 --- a/CH6/CH6-1/simulation/qsim/work/ten_line_to_four_line_@b@c@d_priority_encoder_vlg_sample_tst/_primary.vhd +++ /dev/null @@ -1,15 +0,0 @@ -library verilog; -use verilog.vl_types.all; -entity ten_line_to_four_line_BCD_priority_encoder_vlg_sample_tst is - port( - I2 : in vl_logic; - I3 : in vl_logic; - I4 : in vl_logic; - I5 : in vl_logic; - I6 : in vl_logic; - I7 : in vl_logic; - I8 : in vl_logic; - I9 : in vl_logic; - sampler_tx : out vl_logic - ); -end ten_line_to_four_line_BCD_priority_encoder_vlg_sample_tst; diff --git a/CH6/CH6-1/simulation/qsim/work/ten_line_to_four_line_@b@c@d_priority_encoder_vlg_sample_tst/verilog.prw b/CH6/CH6-1/simulation/qsim/work/ten_line_to_four_line_@b@c@d_priority_encoder_vlg_sample_tst/verilog.prw deleted file mode 100644 index b48baaeb5f36b5d114a671d4894c0c3ade5aea38..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 456 zcmYL`O-{rx429kKfh8Lb5GgxW)1RcBEwEw74MLfAP^C^=wHX8&m0QdWI4I9HFaFq{NAV~yYxnrX ze0GE6n|^HA`)?=rx?T4F~c?kT&dJ5;ALM8khx| zKpU6`7J>VPhw~_V)t#69eRGn%2#hdy!XmO)O#{E9OKsT~q%FVyUmutIU*xziR&k~- zDt%X`IwH6zpT$rHA7%6@G6#xt?(Xyx4|>pMn#c)PR9FaZ)N!Wr0y#DT^$+h@&)2=w8qP(C0z7gFXbh zL-an;`#~QC{{hg!Jj)8uKLURz=-)vHMTbED(3fSypb*a<6n#i^m*{TL|Fv2zc=)>? zME@oFU(x@F5+~2LeN5U9I?g{pe|doM1>O9M<=XHM_J;oyw1|Hcv@86n-teCm{<&`P5A}xsjPO^x#XsB|{)F(?y2bxlZ}`s& z|MPC~U+4}0IpN>z7XRnH;j{l(KmY6!|I(TB%T?6>(!xrEw0Sja^wJOP1KKN4@M*oK zeUbLVhP`Y#wevV|*l$j~-<+aN&CZnUcz>1AvzJ#^7|+6mW{hj``~ue*Xikc!5B44f z0PQ+;drr~5X7^WJ+8tY2IAi&2E}l30Fj$d2+8G5N=?|iA$0_4a=Zo_{l%LEi^La0v zCxqj3gn1%vuQ(&pOXtN_aP|mi6_j;?@xCR0_DXL}ILyyh{9*p6=Y76yi#TJ_^L`Fn z#CcMB-nac*#Mvi3@5h?fA9dEx^5`Mf=YeeW5bJaF%;;gRU&uxebM1BKw7GD>`e+7tbq-y_r}Ywkj^xgjlXztWs&RVn+?;uaQ5Q7$*nZrAYFQ`afuXT zXvz4ErmdS<^Ai2w`#s;*$8gX$mHZ17POCLMho!CSptYnJqZ{X}#K8}nJ;0^`6$ znpb=$JYMZ{dB@{<;5=Ync7Rrq2h+>*BhhXV*VuPIY`>o8#61nW)JyEnDG&L5;7$PV zC=}p-U>z|&(^s72Q#*}2-B0=ND<9)g{;KqH^FPlku7~Rk=R-JWc8fMXhN|Ul$@sNN z(?cA*FTqY5&-@3T@)C{-Z@vU?S$L)gJl>B|Jm#$eJmn=U3GZ|XUQ>9c2Rz<~QasKX z;@Lj(_^$9ymf&3#p6LN^q63c!BA)F7Z(VrDOYq(jp6LOP_np)~&K=^}KJac}&GX>< zuhrUo9`6Xx^nl0vO^RoA13cRY-YwxBE5Tb8p6LOP_n8!reM0|iA9&vjFV^X1|K1gz z=>d=Tl@!nC!DEnPoF7E_FsJ_Hy(5($kbR@D|C02kr8k0uMSq8JtszeR@QLc5bC~nM z^x+Th7b(8^gZRu}=&^3;*NpH+g}=W9|GMxE2l(u7=8NY}9QUV_V%z+5KCaEScK%=G z|8IqFxWMO}*a|+bwRgo|6TaaB|Nb8te_i;73;YMRh(BH8|EIz?T;OxgPGQb6o@CCR zQ2+ZrwC;TTJ)pk6m)xBCH7@`&hPmza^JkqK(OHL`^ldZ}-$!(S`|KCiNu0AqctZ7d zR{h{|%p>LE{4AHdA-Omg%jIrKF3!7hx$h;%dgeST^A9g$^DoY)a=HDITfGO~QOU)5 zQjT{{a@R`maGhx}&)Bwn|3&AcervzSVxJX(=b64|zmh!p>^t8-g#+i@QC)31XYc3t zq(2*RCk+R6s(x(Sk9}B#<0bZY%D(U4%f9!!+rK9Jh6DStFN?5NVsB9PeBb9_S-hS0 zZbsZm!-2hN;wATn*tbRaF3Pp@=lNzIGv994<#610?W<|=J>C(khuWm!z<$hsVfPcB z@9VYLht59={gfZ`RfOv${Es^LG2SBFF5&Y%oZ?6SiZB-aZ2Loh3(POp3FlXQ&vt(w zq*gk2yzc!zIL!TeUeWiv@alAwUsWG@e*dJp_4hn}B(UBGj+wtj@U`DleC_ujU!Uxd zKi#pO?^vJfSU*UAQ3v|Y*shcJv@>l&&7Txg}z~a_big%OLl*PeakcE&UN|ebLa-NnMaeW=O>7U z^UC*t^7n++LHD2#zt2=c=RIc%l6)V0rnp@1V8jdY`${Ev|Lj+sJg-!54;2xQ#esPE z{dQ*(kFCLHz4U`mY5Kd1w4Sp)?BVYS@UK|EVPEs_3J;9(yGfB3pR<4S`U0Qt74Jin zL;h(fox?9m{#b|nHRadmVZYAlVcA2QTfDKqz5h4txm~Ey&#+zZUDL1Ur@%JGAtJ<6g7;7s2C>^P>pYu+R8S4sjp1^~Sx?<7T2M=kjH7 zKPG$3BhT6GY|xxCl0*EP1yf6tTk3+#@7&-mTmZLCwfjwio^$1nXm;%A)3 zPvU2N414^wjXnU|9_=r%PneGv@h|&>^~gN&dm-|(cf#tTmXE~stno3IJfC|cH!iuo zk~4lHHyZgzY#;m)u0f4o&*!i-yNrY1Z@5mMm$0CJ>VtfYYkb#;c8fgvSwwy^w-_hu zL;khrGqHge;(RT_mwn9t5ZlBa=`GM7=I0mqm-hYs{Uq1OkH@_)u4j#(#Qjsrc^=2( z`iRLxPW$n@1+D=ekL2R_2k<>Um$&Z-i2o_#0q1E^<9Ec*`tbM-7xip7pk?s`?v97~ zU>=+~jX%=!nBW?9{i)T##vF7j*9!wD$?#D}1lllK09?w9~Ew`(BdNfqm~t`es&sm>lA^eNg^? QW>Rm1W(W2lj;DY9{{}{p&;S4c diff --git a/CH6/CH6-1/simulation/qsim/work/ten_line_to_four_line_@b@c@d_priority_encoder_vlg_vec_tst/_primary.dat b/CH6/CH6-1/simulation/qsim/work/ten_line_to_four_line_@b@c@d_priority_encoder_vlg_vec_tst/_primary.dat deleted file mode 100644 index 67f4f3d6f851a98f2ee181d318cd77dc73adcc0f..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1862 zcmZQ9IK|hfUt4oIb@hv8Ag%jpY5&L12c~ai-}mKGHUkK(f6M6ild16lBaqEMnb}-a zN*lzqbYU*py!$&d!}|KEZ&_GgL|*u`V5MhX6bl1i*Dp5KlXmwDAAM8$&sKSM|1>3w zMM>}C7xv19_+9A&UM zA)*?yWGyU8*&yaPIPs&IBLXt#2P4~QgHMSqhZ=gL8JOC^_V9r1;S!ZluXVaU@utRp z6nofl*uxI7r=dj-yFKg>dmOxdaM`mQ#U2hE_HaPM<(MvZdpIEWFmT%9vS&StJ)Ah~ z;e^-&HU}mAq2a~}vF8BD9oWO|I*L79IPBqq#t$f5u-U@}jUQ0BVz=i$iap#o?BRyS z4=A3o*~1NuA5c2MZqIQPdw6iz!vl>UjC2bPHy(oaoCDgkAwn!=viLL6E$VL3f0nae ztGV`qwfRxt(Q9o@k|j!P$I8F`V?*S4TIE<+2_gZ?!Gee!Tm{U*49g^0@}$(>n_P0+ z@TZXX+J$Qyc$YjVYZs^MawWab^1f%cyTbE#P_e+d*!dC%^d{Bp)_?LhS}%-wZ6E0^r)X-l~A zZJF9)4tD(`ho2iNDpc-XIUXTk=eKEZ?e9tq?c8(uvkvFiHl6`<>Q~JOAo+*pDf(yLR!|D?PU5p=aKB; z|7Z66-)Pfuv+<@uq2Gr47H;2XeqWrY^dPMvYOP4G`xK2Nw#btXteH$_KCN3Nwr0YU R!e^?|hZ_ZBQ^YhO8e5H8XC<&DNG5Af}a23_;7CQY`x>2%m4hI=Y7t7&-?x# zso;`(PiWH{gRa-y|mV%DU)8>SjnhY;>S zUW_oU9r9*`5_V_`Bb2j4K8)xedo-01R?IHTml2lH4p|ssN$rr85ti2u*%)D|?T{ZM zEV~`@XM|UvMi+dhDcsYh$f2CB5Yy`$~?gd*a|pzTqfEWtuRD#3?V8Lr$yL=56V2n3F-+Z z#bx4+(bI-VP9Q{OR%;P9F%@Oja00d{e1gj)8lxmbBqtN1GAUYwP55HoR8GKlhyQSy z4aR7rA(GPw(Y)#Og}v(zAL3(V0m@*c_W%`TGQv|lID9JF%t-G6D%!$G?*S^xVx;!~ z6=gHhdw_~^80kGgMb9#_{^tozn>1u4N1&hi97pOM*AFO{k=}7tw4IUOaaEMZNbk5R z%4g)SdnzE)HVs)t07-cVNg=QpLd;+H$l8pg86~~v3Ik_G_oTEQ3t9bT$HmS%uijL* zpu+NoyQB4sua$nAwm$#$?$An0`pA`%?x=mu{ZqUyd=wtp?ectF>j|H{?JpH}<-QUZ z|F!$y-e#w`ZCgw+S?P~=I)Am&>B7#$J$<|0j&446=FjsdduBA`51kHJ@r`Rr+CXYd zTzq)d#%1p&m%r6|ZC6lg#ksKWZcqDcsHOU3f_YQd!6S!rZv-5@-_#nOXsh{Wgp^-x z-)p2SRcEJ>veeyhBW10>vg~l~Pv+7Wa$Ccb%+;O84}9Rbs)Aq3?)l5VTpybE zSI&vm-s!$;X7@f>Hm&Gz$)RHvT|d8XYM)zI`{TKF@04|~+<*SX9~$oz_qGT2$$74d zhj-DEw5qww%JN)4J!)$lUVo(KZ04oxy3`%TJNN91ys-7^Ug>guWZQ376Dn&POUnap z-YsZ}33xQ?!_npqdr##AeG;6L*)gzm0IQvm>~-gITh;!4Y5Ap`NfpaIBC|gpjM#m= zD5yPaw%o?;U2-}2%lad(AdzIlvNthz9h7KS#X)N15`kqCiyhUO$ozmI2m&Uf4_1UeGBh9YU<<5}oV=ryvGs@$9bOKqL1$&`^S_?$15U_t(wDOon zv}B4wD}b@f(ji^eLQ}F3aC11WQa>RJ&JLfJr9oZRB6+$HFlQL3qQJ>$jMsuu!**pQ TO=H+4W6KG%RnNJz|IB{@T=LYj diff --git a/CH6/CH6-1/simulation/qsim/work/ten_line_to_four_line_@b@c@d_priority_encoder_vlg_vec_tst/_primary.vhd b/CH6/CH6-1/simulation/qsim/work/ten_line_to_four_line_@b@c@d_priority_encoder_vlg_vec_tst/_primary.vhd deleted file mode 100644 index 002f157d..00000000 --- a/CH6/CH6-1/simulation/qsim/work/ten_line_to_four_line_@b@c@d_priority_encoder_vlg_vec_tst/_primary.vhd +++ /dev/null @@ -1,4 +0,0 @@ -library verilog; -use verilog.vl_types.all; -entity ten_line_to_four_line_BCD_priority_encoder_vlg_vec_tst is -end ten_line_to_four_line_BCD_priority_encoder_vlg_vec_tst; diff --git a/CH6/CH6-1/simulation/qsim/work/ten_line_to_four_line_@b@c@d_priority_encoder_vlg_vec_tst/verilog.prw b/CH6/CH6-1/simulation/qsim/work/ten_line_to_four_line_@b@c@d_priority_encoder_vlg_vec_tst/verilog.prw deleted file mode 100644 index 9a6c90e3d0016bcf19f8b3bf88e8daf25ce7e3c7..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2208 zcma)8&5qMB5Ki|8j$C*EOS!-S$sXIWa|JHE1lcxqQKh9_mB0$E@)-LD9C=9Q$011% z*;a0+lli_clh5O-?#AA&ir0S%d|hGudQ}wH7;iCugYhrMx4FJ5ZlAk!Xl{yE&-eR7 zqS$mt&UeS3C(f}zF*P4QQT*II@b&%iw5PoL-7xRa9=D7;HNPm{f0LNnrlb5vS9I4H z%g6E^%J(>72yV*IOqh?iI?fPbDc2g zRH73u)3N6|)u7`=r@BlB&UO5t<3z__ren@^+@NDc$6cnQ&vope1EOPJ)TwE|id5FC z>3+eWV?+mD)QMRq&UEtpKoox#<|br*nDO)6KonmV_*LdtGk%^Ih~mcr-)Fv`@$;NO z6dxA&F7w@tpXURjW*-;$HuLR_pXUNX{SqHCA7=bK4-n-1F5I8bEzW_N@$(!&kaN4h z*ZKaM`TLUliLn6ry^y^2|8ss`Z3~<0oEvkN*Yh00Jhy(HyR2uFaq3CgsQfnJmnYRZ z*JE%2KefW&vtISe*JHi%RIl(l*AdsNupaBNqI#@z9kX85o3XwoWwl=CI;!<*(~k9) zlvTUQb?l~B+wE9Sq^we#>z3-fG}etKX=U^_BY+UbOd%i;7$Alrb^;L>jFUF;STe#- zovl^BHwj}c+wq&Q)Si&o*$Vnpr7Ud0Qu#``lu`q;pT5I4*wWrSvpb~hr#53?1+ z@BlC(O&lE@*~y;aj1vU(WK)ee0){phdkws;0G0Adqnl57Ss{qtxf2lq+?feNBSPMS zs(E|Cx+yBviWftyX5+x3wWClQ6)%Ap_5~dJGD6lt@yZZ>Y;gEYBP3Remq0+C$?GYk c@`{&0thDRH6yluXB@jpL_Vj<`4*!Jw1O9dXq5uE@ diff --git a/CH6/CH6-1/simulation/qsim/work/ten_line_to_four_line_@b@c@d_priority_encoder_vlg_vec_tst/verilog.psm b/CH6/CH6-1/simulation/qsim/work/ten_line_to_four_line_@b@c@d_priority_encoder_vlg_vec_tst/verilog.psm deleted file mode 100644 index beb89007f93b4bebe9708c4c0219fe0bef40b669..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 20896 zcmdU%f0R|%Rma~MW-bGz&6{KrNJ7XoLtBy(WqvV3OLYQc-_W4aHYzmeKwwg6CosaK z5JfvGR%~d+mejGLPAxV>qeVqUO?6aOjcc)1_yZM{8mv@N(T<81Ei!(;`+U!x^X|KE zbzUddC4Xe+?6ddh?6c3l=bn4td(&Cp(d!Cxj~DQ-4sz|>LSYVMC))MWH%KOs4?;f= zayjID$TN_yg1iHAf#j1doke`>Vry1Ho-s4y=4bK#z{qj^} zst^4^jtuS^s0Vjw=dMA@fsx(Teth?nd#sHP%E5s(YbpB%hE2b7_nw_>k32PCe!I5q z-fnh#3fmdy$YTS0+1~oZ@Q~>X!$WmwGs-zLh<}ITANZQbKkz=rJM`{M`~xF4pOM1I zUd{va+fBPCM+&>cy3Ax=!)Hz=oX--($NCB~ z)Ju>z(a-w2AM;^-LEv9QRk^Oib7{r;4cs6DH%M$M#_yXczq2+zf-wG;new}8<2&&W z%#^>fHh!J>V>9J<*T$bC{;8Srdurp?i+^sW{8hE_8^pglL;gdB!bsSkSoe3L{wNuz zNfs{Kl5@px#C??Y4`Fo;HU3pz2Wx8NH;TV>hWxcP^5==)J41e7jr{rIZ=NB4FK!gv zhlU3C;JQq{V*VG1zrS|A-QPy`7Y4Y`MdO-&kMvwcu6yf4yKJA|_0$u7zu&vT{1r8hzg6R(p8fc%?0hoVx{Bu$Rx8h0Uul2leVy0c<=Kzlqw#xc8Gk_I7jePo z-5+YG|89-nUCa23HU8?^kH1pmudHSKyEXof*^l3)@w;jn|1OPxboS$SYW&Vx#=leJ zPt1P&4vpVY%lL~l{?*x!->&i7YZ-r`#$VJh>-x9*Ujg^QTE@RaeWbo_m-%Q()uRrj}2c69al^F`421buh8zB}kwmg`preOI}@E9g7R^_@ZAQLgU@ z`u1{td(gL)>lJ@{Plm7f+j}y6#oykO;Vb_3o(x~{xA&|lA6N0WcdshfEB^NGOgDZuDK=Ge)L0+=IX(*>w85< zp(DIUAZPU8l3e@Vhu7!o1E;O6oHOy+2XpNU^WKuHPdTeRC$!tU%iA4Yc?A8uYVG9YU;N6Eo#?e-((v&^9vG9YtK}+$o`AZ)i-ne;Pi`{3l^Zf3u$l2iillP-46x*&mh+e%It@_VBa$!S7!A zos<1!#_ziP%pQKvD&E^*ceOBQkom?5ZM)XtT^1K2Qa_tz|H67%9I#t}-g>MT^MZMl ze13|2ox=H;p7XVSj8E|YJ-a`2Y#eCKs`Tn_}E;%MX&p~ngC#vwzif70pGt@ny#N$iGR2F=f!Wy@K0Ca(;o9|GYx21OEI#)Q zuJ6(ef1(Qig80S(pYOk7KCd(Ko5Wv$3!3~M^DCy;&sKjO%)cm}`GLplB<8(Fyj#Uv zCSGS1-X-zO4?Mo#ig~w)$Nd8Oq))sRRd|=hGe7XSA;-L;c>Z&kx@3!ZyzZx_D(8De zJo5vO*KN$Rydi)7eRy3mD&DdxysP4wA9!3(j{7t&5bjUcGVSY`HuErneUtf*_f6YZ zv69Rq_u;RG`vSQ1kGZz5fy;chfUEbW$-UYa@4|)0xb?oXLf@-=WbO5SvBF-TOH}Cl zkO$Ufmb0ab{y-J|SQY)LD*AI(^jychctYO8{hrxm-Og0rt$YT~VzvLj=Vh!)9fW#6 zj07>CGtE=53;7D1kgxykI{jpo`Go6ra+dQ6oG>3=_v5%ec^$^rCliMHa@?PKaebmJ zt=|4f=Myw@>+k*AZ-V`V=99JGh7Ew%H;(I5aUK7$_y-CD^TU3~_s=oU_I>c|d;;ELjLSM1slpo-&-}pKp5fWP51#Ut^ouuKg?CUq z^8=6XhvWF{+z6ib$pjBK7T-`6-XZbK4?Mo_jd^xX1W)^UGA`bZD!jwunICvtGdw#N zf(H!8NzRJLyyUIN5%J6qJnpY?e0B~5&+dodT^EmbdAy_InICw3zZ&!G+y|cBufbc2 ziF187SK)F0X1$mnc#AVUJLiFC=LzsOh_|r{@0fVz2Oi&l#_`#?4m>;WfOkN=N2>6~ z#WO$f_&zh{**Ok8JCA{PLc9%Cc*n&vKk)c|GUnNHCU|y!1n<0f>#Oiih-ZG_Ez0oh z90Q)6cfl*5u(=-nRd~;fXMW)E{b3xRJ(q%K=X3Cui`Q3$cTzm_1CQ?mV_pmE7(8q% z)F&Io>#f2&C7$_#$M<_N@3rDVZM=ixtv0{P^WbUIH@2A{co$_C?l+gz4(FlEYWHd% zyrOnE|9D<83HNs&Z!uwhn0KF#V#4c-ZJ+OAazJgrp2cKLZC@|NgdE!YdMqaA)b{mW zOs=YpY1_QFpl-O&hxZnf=;!qu&M}+R&vB>^@g7w>#Ct^T5bt5NL%fI74)GpTJH$Jx zc8HgL952L6$#ytTWaDqqe6GnZoGU{77p4Dd*DH)4?*G~G!+V(P8Yi3s!uY%|ZO46_ z`zP+XMGPZJ^vh29fs%Ph01@c?DvZ=|KxMnhq-<)qM!St*`Yp{nq6apmvGi^ z_VZk#`*u3s67???U*88z`%fqy+sAR;g?L^k`w_(>|1_SnipT5_PfI2qvqwC-@2BI1 zcwR5QzL%KxpHe*Gy+?@W4YD6rJn~QDIj4Bc4)H9`#AEh|XFK%VUtn%B#IsC%eLpho zpHMvEJx_?|e%TKx9{H#7oJT*`%j^)(qD(wyk9c(MNXHBDJRrWlrnG5Jn~QDxukf^4)H9=#AEh| zhyHo>vqF4*e>RP0Qt^cMCSkq!f|>inX2m1_G@i?f$LtVKV-+a<{|3bq&ix^thh)E6@yI`or(W@x9pcIE zKW2}3bnZ^a3-L^f`-Mh-KH01|^t*u69>-@}w|Vv6Zdi6ZBwN%EVRkqUmB|x2AMKaD zerJ&KgMHv1lHF*H{4v=dsnKs-_WGRzT0VYEw`|@gWp|=R{)FsL*XZ}6?Dabfw0u0c zJ(R_DL3ZbA-Z(v>31KgU3i}q>~6|VzXM6_P?lx9 z1~~FQq~C?4cH#Lp@UCU*`g*3#a|h4=_BnVmrnU`J_|H%cn>!L zJysst+0Qe>bKG;fK7!v=6~80U!;5hEBwagcc6-&K2NOhJ0ZWZDt`K$u)^=8{7zNz<9?Snzti$NSH(}C z>s5?5A-}5`KdvL|!|DR{!QUA*MfG8A==t2(2tBR2{%a_myKe##J#MYqtP{3hG+9i{ z4*Rn8Lw-71f0*2AT=4lE81wtY(|p}Gsr`a+8{Juxcz;1XxYnP;H!k>mu8a9^6;JEn zN@|}nZlgPAvY411xYnP;H!k>mPK){d;;BB|I<=n{|GfBS2d?$!@Qn*TpSxoI+r%3e z?_sr%t9`*_F)=%Etv`ovT=4lE74z4Nr|Zdmv)aeRzbL-hfouIaeB*-8=c1VZE#e&! z?_1SAs`e$5#l-Buwf-Ewalz+vPR!pR-XZbcuJ&QIFPkhTW(ThI=kSdSKA&4+{yW5* z5bvF8pHcgY$zo!5;97qU-?-rOIV9#kB3`KfZ&N$e|5fqL4qWTc;TsoxK3By2Zx`>R zaT?ussC`Q9Nt4CI?7+4D9KLbE=W{~L-zZ+F|4nK?Fa9<0%?@1a&*2*ve4hJbK5s!RNU==6{!X zN5mgc`>5Lf{9R1U4qWTc;Tsoxp0i{A7V$#;Z&f?gzdujoeJ}OkT7M4TxZv}g+z{>m zk9j|)$Nt@i`wH$0`*={{{b3s=zMtjCFFHSN(d(mgL^JI8Jp#pBBtb=XQ8~$^7u#Vs?z~Lvwn-9jn`{&rX8M>^n2}!^^?A72!32Y@!S(?i|2Ovb~<0#yWP@APur3W``I{Z2>7zU_?{OZCi}@QTCl9ob z>)7fSbAN2Z?`CZM zV(1z7xb;`ov477wUB|R%+;7UPUyt`s@1LtP?eHE(e-EQ#{iJXD0Q|Uq;<+DDTRgk( z$McoF`%!P#ALc1P_d&0>a|QC~?I2Ab<>4OK#d$C;*3CGO**wsmd3bXs553UFb=;>m zgsoS2ucW^RQjrJgo1UgU^3V&({rE5>+v2$o`F6VQvUeYrKIB1u?#H~oWPX^x*+H5< z%EJ=a#d$C;=5L&wJkUO_W2;}(G2eg1b$kR?aUDODspB7KKkE1dWL(D|@%obWBi`rL zZ~7?id!c9C-oLVr{pSbMbxeE4y(Y7M{m{ny@%l_Vte^gVOU3$0-}F)ValOQ|^AOwO zxo3QTI$znlk4Ycq$@?n&+)sE}GC$>y1a<)H<3aUP6|bu$iRHV?FC9@b{^umRe* zjvrB**4%f(^{l^NQ;`Shn|OcZyv1`rskV6T-8n; zN4%%hZ~7?i`=Dps-oLVr{pWJibxeE4{kF{dZG<+ihDJ?q zreA^|*H1k6%W8|~KJDA-d}VLfA@dOCDL?ls-o9jh$OEreuAk|nJiH$II1k3fx)}#D zn+Mu65AV$6VHn!Djz`p{HTUE2ysN(tR*?tkn|_t{$b)$9*VGoz_9f0Yth?;puY0>R z5At)r;q@ifH|KA5kfx9F@CNk9c`z>KZ=9Sw&_1qXt6$VHKi`V$_%~q{*YT!I9djO> z&je&#$G_$ECF@7LXVh={DDGv@GwuoNudL&xnL4ID(c=2-hm7m^K&Bnu zH|g)WRji-%O}|YZ)=xb5J8Fw(*JC_i*}KnpyZ$gw`MKZq`V#A#>t}Y5rjPP)Kl?r9KLt7e AC;$Ke diff --git a/CH6/CH6-1/simulation/qsim/work/three_line_to_eight_decimal_decoder/_primary.dat b/CH6/CH6-1/simulation/qsim/work/three_line_to_eight_decimal_decoder/_primary.dat deleted file mode 100644 index 3f7dcdfdc0273a4b2cd08f6cc0b30166bbf1328a..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 5415 zcmcK6XH*mE8VB$J5{eLrf`CX(=vY9M5JC$m%EbafL4`=ai&u><;7ZlK34$8hC8)80 ziqb5xpn@^7Y5-9*7#3rVETTwJ5RkG`ax>uEb%10)T*!xc|L^mhIp_J!%$bbLu1BhO zlv+XxhJF(tSn5OksInSD`jktd{%#X*7%}4 zzNm~ZsPRR0d{Lus_j}n?ksT@EBL8!JXT+&S(It6qWUcTyYv($J=1X*cfA-FQDmIJg zy&gS#`<3oB)|K+2CU3tom06^GC-ZFT#zOq zouDa)KM|~@+!N5st(t#KTUjPR)lR8XW?qwZ=8imGMTQP>QJuH`^@@b~&``SKp(^!H zR#@plK_3bRP%wmo5fm^`z(TFc8NjPWd!K?HfXEUp3&{Z+Bk9@FgGBM&V9Jt!Rs96G%K9fnu!3vX$8!8yIiFRJf7{e=mh zYJzY^kaQa?7N-RNe+V7vh#?pPKN*3Sh&T;LI7~p8P9tKzbc7-d;V>EDAQ5p1jv!1x zm`x)>S2|)Y3_+NTAV@^8;RweG2=i$~=t)OlUWY%5&F^*i(v?- z$p|Njh#WY=c>=<68W9H45sol~^JIjxL_`xD;W7bXHH`>E=?G64!euhTMIxf}2ZSp+ zjQ9gW(5Fay;d50$p+1bEx>e))_oBHxey#uqzUh}#i~2i_xSb8c*4roJ-Y6*m&w7_K zU-)_=0qb+XkSyT2rGrHrrKCMxhCMI4!p`9qelPg4CxVl>gD?B#6JGX%@JUv{CXxS} zNidTpA;TtFF?o^|5+}J2pTq+;iNfDZf}J$UPq0ZmCQsraagxVBOtKOkwn{w7yDKg7 zK+79Wv8P|C;F%GP>0>i~hyF3)4tarhNPJ!R!twv+)!<${9kgEq?$Lkk*MfWFzxL~< z_%DxzJ!q@I2hAJ18F2&rT#$inQ9tB&{v-Xzh2+*n@ho%Z}J8WM=+ zDDJh#aD_bxU^ycwT?RO0C}#PBEaJx*WSK~39gO+$6UBE*)_RELE0MLR7slEEvPd(@ zGL_Cc4r6VYlH~`nHb`W-z*+tvD{LlNX3|*~U@ZSBSsNjizeE-q&e{aBVrG(ME}g}J zu{KS~+6=KaNo0k04>8vL(R=||3K!_C}krf4JkwKQ`OtLJcv);m3VmO?rep;}tRRUj-~X`=TdPGQO`fUUo`+qbOs#4H0A)Q} zb0u<^=(!H}WUb8up&USuxBWPaJR<6t&wXEe@1YO@(2v`iDU*{#9lBtp_IpJItmuK2 zC*Lb5u%ZuE>b_T0!HNM`vH4z611pAL#a3J?Jg#f!$<{oE#4~J_?(kC>xJ`F?fZc%dlyR)QlMgYkqSXd;s{ZZ1ajnyfqT*&%wF?K@&Ru7oeiv8V`K%VFp*E5s zdafSrM8D8Uiy?Yl=A3`;f!oghGP1n9%=X6CE|TMWt5eZRq(+1Mt0`5?RqU@BI$^2? zrVE%`zIihqKfJ5k9M-a&v;K-ap@>}Kp+QMRCm(KAvHvx& z@oB`~h#k6)R-e4H&itO=x}q|+=FmV{a@;mj!Z+4cL}(%kgD8E&_*p|Uj@|R&{2k*n zUZUaLy9+a&OxFLV{f26+ZgJD@d=Q=3y^>H$>0i}o^HFcXqFeRm&x1{XI@JDx@&=T^ zdGKOE;d?8ROVOpdva?ZM6tmnEj2Q+U*~;8ZLtqR_GAy)GD<1X;%VOX09f}*7T3$EE z!p3f`*c3|Vr~AfUH+HZd3If*y4nrfKwEpPe9Oh~655Hrg`;DBK-^lg;HWU{cT3@By zAM9=Lx*_yJI(pcUym||Us zBokl%+S{H&Z)YE@yloz{_jC%mgm5UtEIAv6GXfTsa?jS>&@r;)U&XO=u^)DGPQK33 zxc=H*@!pB_Lf-8?9>?5dE76+kSn3xE$ttcmnezJ`bhp8R(yfQ6yGxW;k7T8Px~+RN zUn>)9prOarFORy~aq#7Aqu?TR^%nKcO4jWnf8!jVj>XE?5=%A`jv5NTd=(sxif#VW zn8GpW&)ai={vIn@TX%LCe|q4EGwXv&erG`L{_LlQ{j}}k9;OTI5zams>z#QE_UesV z#(LxDIh`vT>lL!VUIXkrm!j0MUO^t%6Z~-AiNQ+i;Xj84Ynn}|3Bu#;e8ZPT-674$ zgfvky@@D;`m71+XyjNd>_hhSHmC1lJFr2ZVDmxIHUwmr2dj9Z^nTpFLc yB+B|pB71N?HU5c#qfZ|pG!c2Ms%A8)!dUrJ@40Mz1S9qpgO?vU66VpTZSh~K+KiL{ diff --git a/CH6/CH6-1/simulation/qsim/work/three_line_to_eight_decimal_decoder/_primary.dbs b/CH6/CH6-1/simulation/qsim/work/three_line_to_eight_decimal_decoder/_primary.dbs deleted file mode 100644 index 038275891e8455dcd7a1d6202a43a85961111e8f..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 5830 zcma)=dst2R8pnUTwN%P!rri zVWybJEt-oEX^ay?&*L&P%!$)6k0=>&jGW)Q_Uij=`~Byv=UJ=wyFTxF_xIagYqeIU z+26Z;>-_KcFAh5)x5ZUHpB(Tw9W3Nx@|GJ1xpt7N5DNV;$<$+sYNlRY4HlRY7IlW#-n zCjB9Glf58ylYfTPO$I>fCVNBbCi_6@CL;{#A)3ib84UR+l97;ZvQncADV(X94khoj zD1^wHSx{vXZ^*4WRBkA&aHeTA8~uc={ZyGmbGcQc<;L1iA4eaKenQrMs!YP2;BdL2 zeh<-tnU|qe`p|0&<#<|MJF@79f%qJ6o?t#0mKaN z1Y(AF0Wrh>FpwtbmaXnbCo_Bih#CF@h#CG8h#AfYVulNVnBmV2q=^7osmIXC3?Bz# zh6{n1;S)g2@JS$MxCn?DK58IM^p=%+2A$0CSs-S(1c(_v2gD4Y2V#axftcab2GT?y zS*hjdv^5+^=rT}WSvB4pb#w*D_M-_Y|1^*$`pHVYflfC6CJ>u{3y96XZXitr$x5w6 zC!2p4h|RwT#OD9YK$_?;E42ol17y|sOQ2^&wH7GE9z6#7i#@6X8fcH60EOD4-+?Ul z=qXT`9a0_`NE6|*QcWi7A85B`EQExS=5sH(Cv>tboq<@E zEyXlp2dpmL(2| zWf=>^vcvt#4TpN)>M-eg$s!SqU)@nLNHIb6u z0UG;tWF6H;?jB`>6gtW}iYk*BCZU>vQI1mj+w|+mI?6-trnlI}Pf_S7>nN&BVz`9r z0*rE!lAfCz`*ma;)mH8vV}ler$~uZFlb|nM81kF!BaBQguBO!{}?utJvBe_#kvvH3)B(}$<7Ee?-M zxcK1i;t_X~Nkzsik}56Q>%LTJmV{hVk#ClfikR?%RJhlcZ7%Vh^^rhnCwCAlr<1Qpk=n{_5NFupSP(UoBV2R)*H|D~ei*E!}NZ~0VA zU*9#lEO3l>fzLM~ccPm2_W$z4o|&!BmMqzwU++{A+M_6^dgB>O$7;v0^8q3?JEp=v zBF1%JRBc>Fr=ErFwTB6vbE)I2L$i{D9Zm&1zQ~G6 z_-#t*;7#RUR4sfwAotgw241eIOnGq5wcz;2I zs&K=FCC)d0J6Loq!#6)rJe#rF@0ep|ZFtR-wsZ5ui2J39nH%QiMC5&&y?WN}e)+o| zJM=sfb9Uμx39KK4F3Kf!NQi#cnSmmPB2@a#yLe{$lC?~2zQ3Mk#u_u=9xf5gXp zviONhUeMH#9+s-GnaO(xj`YmDpPHIG@hh)8GUtGk;ze#^pjCCAR~ahSAv!snM0 zGOJc@Qf}6GJv?%@pvwo2zjnWJ@+a4<%6sXDGg8)GJ9=w)5XQ;?mH%yNO3q_eGWLsCoLzC4c*#=f060&o*z!SigJ0ORZ=5XXW+F zwl44fysly7+Xp6ep8a&~FM9{M|MgKs=Ei_aHT9onOZNC7G2gWFI-7HwSb1%g&aB6E zn0s7j^9~U!d+j)%jMX|z-%0GE&2b;a-?;O#%JXq@!_yUsAvw`M)LcL5?mz$5j-4M* z9y6n1TXnr@@Q{Y;{g(okUkX%90;1Q~yC;5kzHipZ_{j@jIW>=;RyU<=(}I~V*T;=L zJ+;TF!p`oG&U^3Ke`Ln-ylpOnUDBOS1@Bl?o^o!-!NSnZtHN#vc1qrTa0Yqg33#!y=$+C|^6;;8p9hhP!p#~4L0CNj&z(MJ5SwV6B z2(ySHx8BqHBt@=qSNP#VJ^iid?-8|+uavsvmijNKeRZMK%dvi;9{=h;-E~*$$**pH zbIt9loA=zV=biLFe(LAkZ@WhNxAjfxujBJO#qI22_L^JsW(M3=g@Q|detr9e`#0B7 z-@knjpSv!O^J)f+<|t(`-Gb z_m4ckUwxMM&iY}M>au?U6!N;fe`kJjetQ4N`|Q4-%X>wu`I_f@XVhBOubxF6CLJ8t z!9?ei&K}p>n{_FU>xzkPvo7JdE<_z@aQeHp zUi-GAFW(3HKtrEKLsblV(9Wis?hCHy;Kn+&gD#6y=UN4^RwCDGOf}s%T+yu`>(m=` z7gC*TOB-u}W*IaXmx{Uv%QX#1kvLjW$`DtkAyV};DOY`vYLOe1(SVs`2<4zT>a75< z7!x=lgC>g)o#cn*2;gYwpsm@Ww=m+P;8~lkoprK!){m=aD={dxmfkWu=K}$>B_u%I z+iJ;_b}>?dY>#a=lu~VY5E&Q&w4KQemK_g47%7eH5n85fsSr1xbCE$9i|tkskU%xOr$>!LBla5&B%a+eTY%H$F!Dsz`A zy41-JLuY{MrBj diff --git a/CH6/CH6-1/simulation/qsim/work/three_line_to_eight_decimal_decoder/verilog.psm b/CH6/CH6-1/simulation/qsim/work/three_line_to_eight_decimal_decoder/verilog.psm deleted file mode 100644 index 7ccaa5fcf0a1571c32e7475f78731faa8f22f1ce..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 40672 zcmeHQVU$%@b$*W-9s@yr36lg9)Cr)OM2s`c3^Q1?lZa+Ch^VNbV`he#Nf;fNDa<63 zhzV(^MMX;$EUnR^(uyfo+Qyn%Y^NzIYHG3KTC7!UQHi3WK}E$BrQhD?+i&hW+{3-^ zJ=Q9$RsQVkbNBxCclJ5=+;jH5Z_-@d)Sk^u*_FY+Nsu?@Gnp#Le(2R~pG=v9ybkSC zA+Lm-2Ki0M%OJ0UoKE>P%BMp%qx~6>_fF1a@{nt<$Yd^ud1?4HqpHu#f@~4z1DW9bL3FVI|Pf-4d z@`sc^pgd0beai1qK0$em^1GDZq5L-GQOe&?o}rX{WHXbui<|<9;laPj+dEe_+*h5+ zZ66vP85TJ@Qit)%ZLh`ENx1$U%4#u(ZgLP>HT0Q~|8usc&~DdF_TJMwsQ7F92Sg4G zbg3Nb8d|0C?#QgFLVM@R##kN_Rd^@yxrcn-n82rV<^0$WRl z_|0YE?v+Dfw z;+*_1NQd7^{Kci>x2D5iL;T?c{8gjtyJX(39vbWunSsoT#6MXFrg6RkPM@dP7Mzvm zYCUwBpRykiIhFEyPula`WYTrBGugj>ut)Gszk~Ru4-NOP72aKa>tKg{!rHDOk=T}u ziX6!dkIMbHeqfF2gFPetYJYE++ON-a^~m*5KWiAzr1f(O+f5oDlh$t1+C%bQuU~_s zBRVc?de*;9_+}t&Tx1^>Z_?*s?I!)}zK-p*j=Qz5A6=t-!Mj)U zJFu4f!VIRMn@=)tdV5BS#(QzQ02A=gzf#xF2)dlkVG> z5qF-4Yxk)y@9OPMh5K^icBI4|UHdkD9uD2THWmJ@#P3Uq-`9VauJ42Eit#T)2jkYu zR}p_xdVGCu46TbF*HE0LjI%3!9Nj1MXYLw`Tc1O5Ud=fBQ^q;BPU7|_b(yZ%xS{8c zJr|Fqj_cP&%8UCN_IElqGXC=@`kb@*eQuqkytuDr+~(AA{rX9HabL%{ovGvcb(Qks zE@#|LspIjFU8@H*vxVJNIEe^P;=Bq!x&E>`IV%+v}wjC#kl)Z#vRDiVLylWJN;4zLj9zFoz}1W*Sq@3x-|p3&+Hi)(EE99 z?~v*v>xQ%*nt`->h3pG2Lwu38PYBg3vK!s{Y;_2eR%bA2?Ix`~B!^Jg(*CUKS*P_B zNZ~i&>3v$C$ZhY*jA&iR^i`hT>*;;edk5UU80BECx8wboJ}-Ll9~u|Z@*?%MIn2vb zls^ADv`6a=c6}QXDfyIhi|oUaaIPbc)fHqM1o!@OBw0=+yj_?&fs}od}$!9M8 ztR5iw5!_Pq^IZDbxqot*IM1V>o$s+-FN@Fh^s{q3wku`f)YH$->v*h`g>wV_>|Bmz zq%52p>1XF}tKXe7UIT0N95^`8tLx6l3+`6Au76IW+(#{$IgN4Ww$S-$KjS`vg(&X% z=AZxPB*bqnG5%wWfAj*yZz?hVXBmI`^b6Df`6b5x4CA+5p!kg?#($Xc`z}!Yh7#lN zX8f&{$6u(=k^VUgqtB6~`qYORe_!SC+ZeyCl=vTF{Nt6!Z)N<}QsQrA{Mx5gJpUIk z{(@5CzmM_TE05p8_${Txe~|G9E05pI_|2une}M6KR32a7?`QhwG?fznF2>(qdHnh8 z|NK(ok1+np%H!+v5B+Z}CH?^8&w6^r^S^=pZzv`Hos8d+HvZjn>LpIY4eoira6zU~ z&LMNyejQ%C%k}m6;6r57eXaWbF|zNjlXkf4dfA+5Tqyem^EceKrX<`2AzWPt8#9e< zak#AwNw_T`TwPz&8e8IUn~|XN=eH?@tLwr1Ok;B#ZW9u8 ze%$#XT)od0W*Xc=f`af;p+W^>7@Hde?BxILFdP92;u5|f$7wse0%2Ta|-*k z)~JqsW08)1tm!(BTN^V?8oy_b)0;fK#nW3oePOZQ=HX$!7vZ-NZ)Ej4{Vt-vYtVhC z*59S))uAr;{pFpj-S-H6{cb{H%?_;x*tMYQboRpcA{X7nK zXV2D3nNoE*H`HgUvK!Hk>p7FWF2^NpAB8-7cE+?@saNA*C%T*y#m^*E)k~F6ezLk& zaLn(&mFJ9Ua(+B}wnkpl3T}264uTR_`;*O1lJl?l&4t7{BDa|GxAbpTS%bA1c2nUX z5<=|7uaEY=UmN|@9)3{OPtKF#C;OMUc#G(#_VBBwpPc)|Z(qQ#oqlQ$KRNFTPdT57 z-|>K-e6J+=QG57F0>y70{c6$iIG!E!Q+xQyc~<;xqF;N!ZwdX>9)5Cu6+d}TFFa9X zijB97ergXtnRnt>N538Pdz8|~Z#n(c9)5B@6~9^ZI~?#^K|i&JpVqtJ_iXxAV_+pO ze!NclsXhF#J=S>g9$)&0#YFvu0!Q+xPbPQOL;n~Up`Pd_gs z^izBIT|vK>&`;(?oZlGz)E<5_=+{oa4FNxC7oKVlzfW+z5PfDC)(xeGIct>H#_^nb#ah!=Xdpm_J_#Z@V>2lXH1iM zBfK>pcso6x+fDnUl;oS!bprU~^dEBZ3cRlrJ%%^!O6Gl%@qOMhAB4BY18=9N=lyn% z_f-Mjv`gg8-wh<@Tknkzyn|3Ah4&}R!yfq$pJ3T$` z?eNDsP~d%y=rO!$m&kiB{L|!nF6<=V8V|gko}TvyJ>J&_c+;+A-l2TglefkLZ>Oi{ z{V|WX%(J+B(=L(s5%{Oc_dN2}c;M~y^t?ar@qSi-H|FIfYUU){ZQ|5ayd22lIc6xf=U-5X)3Gk*}$-G1P?jUcC2i{Ik&-)u5Z+ULT<(qbi zy!rdQ#Pwted22lIc6xf=hdkcT4e+L2$-F037vHCLZdgX%8V|gkp3miJ-$99KC-eB6 zbHleh-p>p0rd=ZMucn;e%gI~gfw$As=lj1r-q#0s)2?LRp?t3(Z;c1uPEVij?|Zyu ze-k&qX_v_Rbjo~plDEbKZ>Oiv_i>N+4FTS?E17pF-`(V`@xa^Z>GOSBct+Om8%2*< zziF4qo4-pftS8F?_hlb>Ydr9FdOp`p`yG^_`|{Tw@8<`2)2?LRI~gCax-QsyvKqQP zFEt)`JAGoF>`mZ36&;G^TlT?m`KDbWZ~opkG2esat?|Iy=@a=LPv9*DZ>n-ts~v?!Kg5 z$-G1P-bmgW54@e8KHrNx-dcx`S-)wQ$eX`UPn_SI$Xnxqx6>!`eK;ZCFZOsh0WU7! zv@4l+DBqjOTjPPZ)6?hsRUYr=0B_nQ^5*aL6Z5@=yfq$pJAESGM-%eB)Z^U};7z-d zd57}7mAo|`cso6PzHj$D>N4EQgaRMjudyaUy-O!~SLhK9DuKVrp2C#f@aEN%b=ohsw z2X8SFAbvH(GoBK^96a5xN6tCFBe-}@))M~==U1J^m9M>B|MABkw12xCpGNgVp69vi zW3Nerr~9V}znh7t?*Y+Y`7TDrqb7j2k9g__Jl)qs@NOaAO!6Bio_r?} zm%nl1sUPrkzY)QEDe<03yd%WJ;KbtXC!YENPxk>4yv4-R_gU!gY2uyH`|{lJJwQD5 z1D-ygBX}<(p1x-SUM=nj$)D6y;`%G!Q{cXAR6pRUjNrYTcx=x#5bsm~?;!Ei4|pmg zc()QyCHO5N-pK&oA>ye$@aR{Ve;veQoZKMsP6Y4{6Hons_bTy^@OuUEZgK6oEyOz> zz&k=b^#k5g5AT)4)A2%o_Ym(`0PiUA)DL*C_V8{ap3W!WJx;u%0lZ_xQ$OG>^YE4s zZ;orvog&^r;?=3F$=P~(oOtR7yw`Ymnoq2M&n4chDQ^BfPP~~Oo}61`{;41EUhCm$ zK7n^V@fH*B0P*r3-bv!AAMjr1;b}gBr*${vZ;*KVi6`&rp zKBscqh&N8WjE5)RAxVGL4|uQl@HC&m<8vjqk9hltcN+FlerJfMe!zQ!ho|`j9-jlb zW5kp1!(=@;>EUIn96$8~-WxqU%_s1g6> zYarec;-OjY&%$`r5KsMpx6;GYd;;%T^jl86!vVbM#8W@uy~)GVd;(A3Yl6oZ@!Y=Y z-1Rw6JoN+Kn>{?uC-C@O$?YQEUh+E%`{?-A5>Ner*XiMDK7q&QK<*&%_7Lx|hbQM& znSbgBytjCGnor=hy7t@|;_W8hK@V>h@zf7^w|jV+Pv9*iUj0-zUv?4ifQMH{JoN)! zmxrhM1fK5aFdoZ^x086|9-e%^C;e4F;B|X=nor>A{tI{;iT4ok9`*3*iKl+R>+$e3 zpTN`o67cpAZwK-AdU*4Qr+&a&<>6^Qfp@cO&mAV-HsbB}@EVAxe!z3z3FmX~!8po( zzfG@C=!-nP-P7gVE$f8&cX+z{Zg%4OGVi+k&UNDY3h#QSr+0gLpQo?(bobro1iqYG zqkP?WniJQ@yz3i0eFpORc($tlX^_!#7>*ON4(WHS({T>_|GXCGIZa;2k=Lhtd7aF> zJ_B+K@_Lf<`#DHB=4zT>_S0}K)_&T0S7|>D=VI-rt>cyU({L`wDRB1mAC#j#W4m|fl&%@>17s)xXlINb`TxfnP zE)YM%xzPMHZmZ9ioDCfmm^Lw%x>`C~Yj zTL10bQfdDU=Thsxozp7qzu{bJ{kL;zrTsUYORfKQ4zINThI6U)e}vDcO8aj(msQms=Thtch6~hx!?FHLeX0$06sea)>r+ygkor^}au4b#mpMK6CFL;#Pia@z@a6ri z3u2q6b&rjFp1b$v`9l4q7lj(B!!?pKmtBI$6!27K8EAZ?g9_Mqe4j;tGICgq?KF>kosy}c)TnabwTFILEcfIpj{>}myF5Rm-!1q&HxXC;f%`rWcQtXPo-eq|iQC}e8qdwdQ$OH6=-~|z58YL~ zjl^5x;rTqb5Lf+y`+$f0R^sCJQQSSmo#){i&#lB$Kj7Wv;k}J`SS}UsF!4G(JfG(_ z;;KJzM?Bm?;-ZYLxYZai8K-&=*LdzAp85e#`yZL_YlsIk#cLqmVh_*f`4Dl{AGkXH z5!|)JwRN$RxN|*RIXchiIblv;E>etK)`_;_xvU>5w(yv(+_G{lE6 zW%b>QrC)O^?AMFfFRR;LEd8pluwRSVFRRC1Ed82SVZUC&ep#LMV(C{yh5c%0zpQ@h z_e<)i_};8v>LOA{b>CB_|6P@MNj<^Nm!{kAs)pn`>S9l!J_md$XQ}-N&!P7GzMA`m zVcH8H!4-$7Bwp^lnwJ^V>JeDJYgIp-SG)PVH~;OlQ@^R%+UB&(Y~GW=%4!*7zB@!3H8P~M1F$Xh%4sUP?)59Ce#z?1dcb9lakaewade2P4;^myJ!`)04(Xll5Eb zz~*o3oBDz0R>p%U;`xB+nCCzBc+MeDc@D@rYdn9S_6>|j|3coDkeAwlm;H{x@}~CS z^FHE26!CeG_$NI+Hvlu?_A^r)E&j-i{%SREPEwm5ijd+E;b(5d^f#2dl-qa5~2N(~cXuiFd zaew6T{0MpC^+gd+y}xi@&t*LN7xLCeUTOzk_WLT!o7#g9-y57W|Nn@5e(3SpM?MH! z#Ahq*PifqIj{XHctI0?0z(=nm{ws(BQIwBACf*Oc_>VDu742=lzn}Ie8K3@z_=AkE zc8IUn5&xCMfhdaq0pcC^;vZmqZ0Cylzm4`M7@z)y_`{5^c8IUn5&t&gKorHd_Zm;s zxO$qsCotXW?xtHE+jOf7o8Ezh$@9bXC7!;_)2(i2_Eu*z-RfedTRq8itHYRX^$XMO z+;6&_mrb{Grs;M*Gu_TLrf+BdzweFL*En92nO~bPAEbR9?;rXX#%qM*rFIyvgB(}8 zf7Bl1w;t{CJOW(N`27j-zvuD!Ci&QUYWe7;LQnD&}awyKDzG&pYM8nen>u3Jw6{HpK9`0jWpnS9g^eD-;K)E<1^5#aMt;(y!YbDDfE zlk2z-Y`i{3`!kF~{{o*a+FsMBcHr|U?d<+id+@m*?Q!?l$BBQ`<8zjLrh9yL(f%~! z(7(WEtG3rPsvY<|LObK5_TckCfRF5>rH-QiU!Gi5?Qg&5tmOj@PrzkMaN?sZT`tw59Bi`oUl722uY{k>{i2`PWWgs_l{fe42Lq zXea%b{-{0dcs;v_eDu2XL+oPuqddSz>IP9h?J4`Ce(;y`eANGM$a5SLSF(TW^rhM! z>CfNMZa?j0oTNW$4?AAZE+!wnj(%u+On;OI_{e!a%BLe`f7B2Da?XzWe}+5{kmuh! zeW|ub`tw=ZJx)6rPw9`^!;aUpOUOsBqaWHH(;wvlK61{E@>!O$Kk5g6IsZoe|A9OY zlIQ1~zEs;I{rN}Q9ipAgJL!+w!;aUp%gINtqaWHH(;wvlK63tz@>!9xKk5g6IhRKL zKTn>A$@8C_zEs;I{rP9w9iiR0({ELK*ztO{lYI0#`l0PH{ZSs^Bj?g6A9tRd_`4bi zqt8Eoy;eW?%Xu;C|1XZ$?4yvl;=WhKpXJf^NPqs7cE@NZ_mPaxVsfb>%)9zI z`l0PH{ZSs^Bj?2^AD-{-=KeCfIxt@T`mZ>^lk;B`kLR@l-XQTHiuMUUp5g#c&T~<` z+niqkZ`i{#Tpv$yfG6jTC?3xPck{fF{esKu>^jA*$$pXgTsGp*~h4Iu5arAmjJdJ~RN4RC+bNrjK>wf_bpDNJ+ diff --git a/CH6/CH6-1/simulation/qsim/work/three_line_to_eight_decimal_decoder_vlg_check_tst/_primary.dat b/CH6/CH6-1/simulation/qsim/work/three_line_to_eight_decimal_decoder_vlg_check_tst/_primary.dat deleted file mode 100644 index 6e854f6daa84549bba5b617221f5164db2344f3b..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 4741 zcmbW3c{G&!AIHbOugzd=!_1I%3`(xG1xXRIL@NEpy|$1jd)7o4uBAeW$#2Ya3%U zQ&k%qd_I)w8}2AM;*0>Fnrt>70rqA*8-uSO;gC#l~g*oV8TN?n3CnJ6L6N7_AaH$`Ep*!b$=sG=0-6cZOpsxMEED7ykdXZ|OP-m9VQwoh zL6HeqCg7NWXMz#~60ZHsQf6jtWp1l5L6r$=Oi*Wn1`{+HkdXK@i@?Y#PD)`%7}-`= zYMDE|ENpXdqY}@X?~NB&L?F5`GDag|;20!-aT(=htfLJ|m$XALH}q_7b0ETkt3HGc zyrvePCIs~r-(_qgA`d^P_KMV+Y0bU_E@cU4Y^O?yGojgEpv&VbK`{mti>wtZfSX0vf)oLZtuYp`_!{E?Z&_nJ zVB{Jr0Y(7^#Sa+8HGPH@Z@Y!N6UT%X$i=eYaqlq%PbSSnSLKJ?cm2PF_rLvGnc#8Q zLDn{1qh&0P11-Dd#+$L&77A%W1pd1{Ool*;lj?z?lV>ADY;2ic# zfOA-4y4~l%(_9j0p;Pue!*-BH5F|>(vO$m z&eGI4bhK%|Pw(3YrTceZj@9EL1_thE?V?rce{#BVH_DlQM{n4p{>?9U;uMhb0Wt-8 zfhTA$^=7M!ybOHD3wtF3t`rah{YKvr>8Vw`#QoSga}Ya~bWKj*L|gM<<#lkWXY??X z@CA_bIP^>VwuKhd5JNqbTj{Ug%jd5|%nyF6AFb{YzwLH7quBK@rY6px2YkO@5c
    eXVaza_%_LcxffiV^t-uVk*-Qb}ObVDO ztT$5tW-1%ZIN;2TV9XRYn@O=W11+#-D!@!(vza2S85)==t~XNzW~v*^IN{8UVayaa zo1s~nffiUZRbZyL*$fM7CJoH6>&>vhOl^Z17o3?1j2U*bnKVl?&;o0w2F$RV&2X@0 zGQbSC-V6uK)Hj%M!hN)fD*}`Pbm2{khEO zp{#+}$U#J8U4GQ%+HYGwp16PH-KV4IrVHV@Ri0sQ@AEzHO&W=r&bbhgPF?A27)~j_ znzzzf^cNv+*iL8BTp*@}W;>V7wutVJ%&QE|4RH#8wUU&_e*Mbh{yD?)FZJ>ti;m_O zjBui3!dEN0Lp>25j}cm${Yt-8R9f%g))J3QJh4Z?DO#Mzlh3d0q-V$Z6Xx~ptwlpG zBgl84zKT?+Pb(AZeSTg8aPPq;B%?dz$6hEjh-U{mDaDe)houEDqEY#yQ^Zq~mzNb$^ zeINHjeWjME9kgGjez#e;=PcMwUrK#v_-vJ$S3ZW$T}d28H%8{I%*Oh)bE_uD&AuD8 zu=!_ZrX)3AL)19;O+|C~7ujdk1&!R~tA%uJ{X-^CD4*h8_kFJFGktW{xVeRDr*|qO z&bSG`nu+=2h<8#(>yu2R|1_;is2!a#=26>nS^1%@THSXi%04ueoO0?H46P6IT>U`I zAnBo1H2o7@+kt)8m|qs3aHdj&)sdy-{mLnp5RiyO@bJFwW%ujkndjP1>>VHv~>eYRL!0D1gWja zwM2EJ&EgV>-@3Aw69RNY z`I6fgkz%@@F%D^Y>ZQitv?{vH%S#H1a?CJqMyjXj2NPm#6Qhd^R&U5moiPjw%q3T{ z?X)u(UY&{lY%trC6K?Ca!?Y~@hWE)*y-V^>k1utyad?tD?)}IJ+4|n4?Z(19nRm2D z{G)^PcBR%=O)qqXqw&tk$I7-^hPEZ_YQJeUPUBZL$kW0F;@AQfxsg)H+>yF%uKojW zYO+vbmX~WzAM(+EJX|Bz+tA0>C@65sdFJ^*huZkLR|VVm`G!v~-SY1K4LKimUpIMP I<1^mtzazvYvH$=8 diff --git a/CH6/CH6-1/simulation/qsim/work/three_line_to_eight_decimal_decoder_vlg_check_tst/_primary.dbs b/CH6/CH6-1/simulation/qsim/work/three_line_to_eight_decimal_decoder_vlg_check_tst/_primary.dbs deleted file mode 100644 index 73090878194f81e43537dc0c6822c738f16b17da..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 4349 zcma)<3se=?6^73YgS_EhycgtNm6yVZFASm>mEfZxM$rcG{fbRdG#WuP37ROP5rk+U z3O*96R#C(RtyHiU5aKHZT4Eq5X-Y&>6O$OL#@doD?EWvq&Rw0wTAj7dne(0h?0*ih z=gdY*uJsH)cgE!CWeB0$-OO*Amrg7%5OhgnsgtP%P!qx-nmUP^r>%E*h7oHVVi+BO z;}{j-ct%Iy1jZh~iHuIbUobiYpJj9bK1Us%sBL2sqiq|{GupN>nbEe5DU7yl#4*~o zF_qD_jcJUwZTynawvBiVU4<)+9Huk60TUSAfioCAfHN6AfwLIBfU_A5z&VUY;5;?Q4qaX0sj22)bqZPP>u{UrjV;^7= zV_)DhMt|UP#sJ{UjDf(Fj6uLx7=wYU82bT}8T$iM7(;-o8AE}oj4E&q;{f2RjA6jn z83zK>7zY8@Q-_>!6@#glHlU+s5ksg~(y0Rq6;?1tZsJllQP(NK77t-5gC#ry4`gXG zOL!a}jts>mZ?WB^EV{whDuz<8WNRo?Bn?uCy>eJW0wJAPdYdIA6H<$%?JOa&kY+6H zU56e>~`iQ5&R z>}3gQizH@gA4^DKBs5F!v4nI+an^?45MB-rlC+>X%tVbD?lmgR+5jCL^dC2D=gwE8Yv|j3e}B9IMdkc zWVe!hiX`$X)mB(U1dWt48Vc2&MmP}JtE^i|E+>h+&S@(wVmOVI3JrzoK_i@|>{Z#V zBv+9{UNzbZi-@F=azR6(deUenHTJsLtt4L}iM&46R#?Oc8Y#6J3e}57ILFzmu3Jg2 zCyBfov=tUHl19o^4TWl;(QIn$^=Y?~+(;66HESy@B8o=Jbq$4Tq|qE|?A6k(B!5m4 zd3~X+u!>PMQd%|CpI-8pBvC+{w!$i&rjhbML!l0$Q5rRV_(PWd_ux`~WC`c|O8clM zEa?pKBH&#Rs?JbiDVX$EsPQ)3S<)GLu%t8eWC`DzRrZE{Ea?m_Ea?obEa7XFY;PFC zlFl%cC7q$l625mS_J$EG=?sUnq%(|UDU{4s+Z)EPq%$1HlFo2EODdVA+8f5Pq%)k# zlFo1%OZaN9u{VroDU7CHwUgxOBvIldXe%sYG@-oEHY+c(-Fje!Xbju8`-;0E$m~~g zDLmf6+vAVBO@`o}ekbw=Ox|hqdgq$EA=v1Dwvfo+mUYbC5MT`&NLp?$_x+EF%%M$* zM83`iu|%e@3+C{6VRkv}Ycd2FhZe3S&D*ndUhIkWU&I8Nhi|`;eQw&8_09R_jP}8g zGJ?{6Thvfl5%sUy-2B0Z79D^1AY@+4ijj-IJfEC8-}BCizmEI9AtE_FC8a4g*7Uo( zZ7r=Usx~z*`|#X?U_;$Mwi;IY?K!`zJo~3L(yr*t@>G#nSZJvIc3?yP-aS=2EVHC0 zDZgJ>(zb(%M=H-p8gd#A-RRRh2lATp>cWc z`}?nox^Q;yl?0RJ+Ck?trWeND800j1>_uztto}p$&sg?}_Xhuxu&@7}*)Qoc=Z9@R zGu7PbAHAG*U2=5!y&sP&JZ2Bb&vj5JvZmOJ8hR+o?;pu1$AKkLi;W<2lS2GUWc0s0wTOb03WunX~)DmLraFZygIMjeKdtlM>%i z(;oDyZ}NA$e0E#$*NNg$c;o0zGuJ&)<||$s?-jll8Zyy%Yt+Kyy{}Hr8~?`5)vZlg z_0PVho;+Ecsiu`34b1gk?ega>%R8*!B~Pe&a%4qryz?iI$2j&)+Mm5CHgrah0^{{R z^{JkJYk7M{YVGCXud00qR8?l3+_EIO+WXe)+uhsl9r~fT!zZdaZ{y*H%)lFp&#t*0 z=9IW#$01d3-X6AYNs;f)MFZ;I@Yr0kZ-mQ&1I78(EjxNUMOQq2%WUkG5b?r=N zOS!E@WwViWxpTuK<=9G}hplg0{&}o)>8(kQ!?y-bz25WN(kp-X{C>YOwf0)g+A-g! zF1Uy9Pc$_Ng$%C$BlhU24IzV^Cf3AGnQ%9MID;FKLQG(0QfPZ8J4lYVL|MyPPsa(f25err)Ke{9RL=2|BNAy5&)m zrHh)?6^inSqVIPBO&_GDG-}GvQF~|_#gZ(YcBC6!*r7R^3fe*f6gWzarpST}7iEf~I_?9SMk%9sbiVtx|BZ<0LS2LcNS2=0G(5``v0I2znnuy0%+W=m0xGjb z71%{tBa(zbeM3K}B}CoVMO~oji?*mCPIRM-b{K5% z_(6z|3F@gSV>RXH-v;Uz6e_Z`&OXZZju5C$P+Tb6bWx~OP?E^fWxFV&bGs1DG>tMs z`Aipungqp%EO~2xMEWb2dVBpv2vi)XC6qu-v7Nv)Aw;XzWNCtZlq>DJjU*i8O1!4{ gA;5N_1i|qyOaF20oT1+a4sj|(X&MK;EW3pN7s$;gmjD0& diff --git a/CH6/CH6-1/simulation/qsim/work/three_line_to_eight_decimal_decoder_vlg_check_tst/_primary.vhd b/CH6/CH6-1/simulation/qsim/work/three_line_to_eight_decimal_decoder_vlg_check_tst/_primary.vhd deleted file mode 100644 index 991358a0..00000000 --- a/CH6/CH6-1/simulation/qsim/work/three_line_to_eight_decimal_decoder_vlg_check_tst/_primary.vhd +++ /dev/null @@ -1,15 +0,0 @@ -library verilog; -use verilog.vl_types.all; -entity three_line_to_eight_decimal_decoder_vlg_check_tst is - port( - Y0 : in vl_logic; - Y1 : in vl_logic; - Y2 : in vl_logic; - Y3 : in vl_logic; - Y4 : in vl_logic; - Y5 : in vl_logic; - Y6 : in vl_logic; - Y7 : in vl_logic; - sampler_rx : in vl_logic - ); -end three_line_to_eight_decimal_decoder_vlg_check_tst; diff --git a/CH6/CH6-1/simulation/qsim/work/three_line_to_eight_decimal_decoder_vlg_check_tst/verilog.prw b/CH6/CH6-1/simulation/qsim/work/three_line_to_eight_decimal_decoder_vlg_check_tst/verilog.prw deleted file mode 100644 index a95571bb4cbeaf902608285f30972005a171788a..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2452 zcmZ`*Np9Of5TutR$6WG&2K<59$RVF%7y(0(qXm&{L7Er_3_rs^$TfdVb#F$nx4_Re?DD!OZeYIve~0}C`yDWdjWV0UrzX|3BRB4pZ71i*_QzPejJJtzM*fJ) z6yJ9nd0*Bc{a@I<6fNgnclmw2S29<4*@|vI{d&T0Cj557?(x!T&H>wPk!<-B6>cX{giGH8j&SYf>^R$$EX1tgmTsgcd?i^P04Bq%|t*p7Ut~mu=8%N4lJm zMq%v-(sdx+%v0V{ag%zc4o5-WzE1I~OmTl0N72EhxW};kd^n7AN65=d@aP}$zC4Qe z=s3k|nM?QmVH~r}bN$B3^TW}qWl)tmFe*(jbcY$z8d48NdC3v5yw7uCNqJFQ0 zLeDF(@rN&k>&wCL(I|9k9`w&K08x#{ghJ$|xT{eE(+p@p2rw<*8!D2s-!8V~|Z%ff-w8V~|Z z%i4pgMS_r_anhRy4G00IC3!;mtWx6=ifV3^%TLRb#Zzlmv&O1l| z^YNq!U<#fm0rbjUCxDK)w**j^JCJI8NqHqq^&uywIaZ@c+B&}U8-E8|;)~aR^07+n diff --git a/CH6/CH6-1/simulation/qsim/work/three_line_to_eight_decimal_decoder_vlg_check_tst/verilog.psm b/CH6/CH6-1/simulation/qsim/work/three_line_to_eight_decimal_decoder_vlg_check_tst/verilog.psm deleted file mode 100644 index 4de087c00b84a52b84991172e4b57165e1a867a5..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 47496 zcmcg#51dq2dEEzOG7ypsSxnHNPL_?LqAve2Lb5?%215)cXh2aQ*-aL+gcUY%RjgEL zrHU3UX{n7ZwzQ(AEw!@}YSgGvdcJedH+#7=uRFW!Pkul5 z^ZV}k&OP`4oA+j3=8T)&nYEn1zXkusz&E^GajwVY?e(Q-bl^>+*G znvRxrV_OQ0Tlo_a9RoWqlND~9Y!!AK$~$3yai(|=hLya4*R1iHPyU(1<6n*}<6jHg z6#uN@@xM^~1I_Z!9v=U7;@{IO|D56RuMq#iX8Gq1kNt1l^3NY0 z{|(}|`PN(hKJ#$7@ z&r4O$!_C$+cVzY4ta=`6ww`$-t7o<9nTieG))A`1zrlSy_1DZFSv_l1PiM3Bbgbig z-O_z`Q|spC;_qsff9CM`?VPgnYDcsDvxdk23i0o6mVfr}_+KghhnnS|Gd%uv;y=+W z|J>p6uNVI~TsJl~Pv;Gfe}nkjo8_NBJpNn6zoc3I`tyV5Rv#9Gog*!hD(lyPK`Q{=7M-`fpSH4>ntW{W)|__1~`gPc~bB{rPlG_4la$ z$+*yHYW>uoTjx~&M%CZhZ2k4;**Vq!D%IcHZ2k4;+&R^Mhw9(kWc|Gxw)WdPUxy1x zv)u!IO`U_ARL?`hu4m@3>bX<(oE&yNvxZgAX4NzCyyoWZV86{CRy}>Hr(@Xl%o$ca zuU0*)hF#CxVb!xm^$ZNVo_WKnXRGSDci8pJA67m6s^?IX_4IGqc)P8e+q<_kwQlYf z|A{8~H@0lS=eThG+UL0^;zBvLSQhw)`zx|`AHuF5#}%5J$9LYfX_M*SxOLM8v;DXB z@bl!>mY(k6)cac1TW+#m-0ovL;_H69UsM#2+wt-ZXYF$9<$2a|ZoPlpZoYHfZEW`z z?SHrSu>E)5-rdwVzFvB^H`(6yE#2hr?cd1$?rzz*$@;gwYvWea(bv1-wPssj?Ru-- zakp*WV)0EI`l+k^wvBgE_tqZzkiq+8(_n30{9M-C>Go>Gt^YbI2v_wR6dI>i&;H#6Sh@?0w(zdwe{9_kw|&tm2IeK6~vk?47W^89`m zmidTymMHHetc@3@*@$?SD$nmFVfcnMGY2rv-oh z+5XHq^7}&hEXTV)Hh$&*HyRnl)2Ar-cn}y zUHyG`S$TiCFW~#jYs~K`Uv-t)a%VsDH+7d6(Z4dR`!fE~Upm116{wHhGAeY1bf_09P;*EjdqT;IH3bA9uN zsBhZFX|ruT&FN^F?a#IBT(IYNW__RXbI1L1-&X8n;kwOmzJGgp%O$UCxX)eLRc?4a zhIP*Mj%|k516#{ialPNPaTE8G-VI^j*nDT$Z#Hb~)&6h=bu_?nAK9>V>sh$|o-Opd z*Y$EAy0d#7_p#0Ey6NBE)6eVauI^jIKDD9OeeP#ndhT&|@9m7^a+1#_?pW8)>zw|U z{;hW1W6x8w^Tw9!`e97VnCxTdz%yqi+wEKWcHLKO8Jiuz0gCd1Ta{aPGJY5AnKP{u zo-KbII@o+056g24mwvOhEd2N1KW?(|c;1((vvq=vWAz?L>~oTC;O>bu=Ca0CtZb=s2J_4wbxkUa`)Z7RsB&7IJ=yqZtBmnG0wXLaa_j~ z*0SDGVW;ZB;l+MiAN0&*9@?=y;iG==p?j%kz4%s(Z+pVmB|heX&z{qw9W{L@M(<@~ zY<#SJ+r+m@d;6;|J z`^C3Hd_4&t7Bl&n2fphQKD+KSz9)$9sQ9YlTc7Y@(UXsP;IrqfaeFy$z!#qHk13oI z-xBeyPWT4I$2{=abJduS;{d)Vhj^igiDUC6%+peBUiy65CO+nY&z_scd^5x|Lp<99 zzOY8?)1H6Eczzy5{g7?(!b;^G{hz~Bkp~g|2w6JJkWDf!jG??u>Uze z;O`gz{R#ix9R6M6ClC1Td1tKO*1L^w_*{+oC;kT#{(U+8yTwl)@Y{3Fn4j|+{1=6I z;X(1+eQw(?ynhbl@b3{ndBAVaH)DSCg8vfn9~ZxUe<$WYn8R=PJ8eCY2mJP2Gv>e8 zeDK@%g%B@{1CPzGhZ6n=a`^8RKY75vI^i#g|4HJXF8;#_|DhcIec~q%`0XH!^>ZJ9 z{_xyoOksuik0ktubNKg*pFH5V=a?}+`yKp%v448Se>CAgn!|rU{Nw?@-5-eg?Od|{ z_w#0#_#aOAAIagrPyFNozdgr{`6r8?^B3cLpZFh1_>brC9~3`%z;DknV}9ZjpiJv^+za`=4IRpN( z@>YodRKj1(;eSZ{(7{za`|=&X|_%?INzCHyOM_)m(T`QX1Z;h!dcTJS$A{>cgd>Ky)4;%7eiHz)k<;->}w z6kO+-{wWFn`W*h#;%7ei`x5>u#ZL?Vh2o!@@b~2KTYK&Lg!$lqb;AEN@za8Tz4*%s ze_sy&W8!B%__rkdPZvKe_;-lEJ>eh7;eTBG%m@G0gnzpDX~A!w*RB6;9mo5__8k5e z;H`g{5B~my{~6+^1^*H8&q(-p=J1abKl8zVcf$Wn@jpAn3#Y{2k?`-%;V+7xJm4Ql z_@5P_{R#ZO-F&m1BD4|4e1#ZO-F&l3M| z`~UGA{^{Z;FZgGVP=6l(4Dpi}{BuUg|H~Zx_I(VSKja1f+!6BsDu;iL_{j_YdEy^# z|BuJSvFqk^{wxqbdBHz_g!=RN?Yy)8AusqBjF5jqj{Z*ZlNbEY8zKJ%Is8k+PhRj} zHNyVCG>5+`e)59<`6JYy$G<}SGwf;qMYZdBML}{KMUU zI&%1X#7|!EzhH#=^Z0wkPhRjZ86p479Q}RbColMyj*x#=4u8M+$qW8vBkcc0Is60S zColLbBh;VAzfJt)1%Gvf{8#7b-!6Xgf`9o4`LD_0-yweTg8zjh?Ee?$@b45qdBK0( z2=(Xj?-D4e+RUz@|fPyFNs|BJ={arI9gUmAZ! z4*!1flNbE0NIcatw);XOh@MYt99Vu5&xu(a{qg76ptJT3g&pcS^XfA#la>!{_gwA#m-&^$vmS z6s~Uw+*;xKhrkU8H!uWlk8sIUZQ&X{=Jj!n$I3D zV}r4Mgqp0!Q|FM4c zemEnX-cwP-A$%6jzA$9>E%pA28V=#Ja2E=v_gd6&zW;l@lZDg!E^4?53E$7HCJbzVE{y>tyIw|+>op}D*r2Jr#{}T0=-Y-&Es{ZLv ze_fRHm#<6S4@5r(J*^Ww?)~fWsoFPv9fOYjpZXp*i~XcFzMrDr)(I)keCac^jpvaB z=lg;0vp(+}?^f?qsjII%O1e{?%{jUq=eo-rx7LEMgTorflE%;UH|nSMQPQ3A?99>a zxIEnlq&xn8Nh96iK5(ga|I=C*pAYWGwi%xHrQ#{ z=85B6cREjwsK2KSF;BvM>vPwg^5o4E$K~n1PrBpp2{jtug`+gTQ=ZS~%oE4CZodx8 znKGDaiL?^Nxl#Hx#Kw3?bqdb^F;6Ks`2KH|IrGGEuG>GS<;@el&#i8rtRE%aDNo)!ah&T; z=gA}L?~WnnN!KXpPI>a?iR1EgKOo)l_b(gGlipF%o$|aPXP!9Db^GV`ym_Mc{ngEr zzERSh^5o4E$GPrwo*YwuFBoE;^pBG6lqYYVI4)23gVG&;kG9b~*)~eLQ=Sj!%oE4C zZoiL{H&66F$hvv5eUx;kJbClPajrX^C&$&_okPr%9iyZ><;j~Tj?2@1NV;2w(7kJv zbf-Mu&6y{TbKQPlGH;&feW7*pWcMiPPI>a?iQ`;%I!{ihzpF#^_nuMGo$}<(6UXK0 zen`5DL+HK|17z2mdT(oOJTBJfW53_FH)-E1F%NLRw2(KxcKzCD|4Ml}RKKC)`z((0 z_MK~e&a+^M`p{j};d+L9AIWt)Pv;QzVVGFoxwg-FsyX$oR(z#wIccZsb$bB+$L@#U zn~eKN`z7UBpQF=pK3@L)R=-bGlAe9k7d|I7nrHh)Nq5S#D@V6IuYis&{yw=dcCy{~ zyAZ!qR)1wLM1%M{8tMA9`gbP)_FU5MBN8`0tNF?=JYV>!%J=^9e8>6cMb-};c3&yh zah-Jd^EKCTSUUVZ6>-qvzVo||8HozSAL02^sc@8fiu}DA z?zh)`=XV_o6CIxKIM>1Yp~F5G$2zW;4u2l#{ZYljw{h{$*~CGI`_At=RwOz+-*K*k z^+Sh!?uvE1NIF(0{jpOzR?-#=#M%6i@BFS~ZKA{T9p^e&KXll2eXQdK>G0>5-XDjh zW4G!h&gMssj^0Fv=chVYKXll2W2|GPbPOc@5uP7neyCpJY<}eE*p}$<{8R_)hYq_g ziFLeKI{bO7_eXeMIr#jQIGZ29`+Xxj&wO0c>m$!kb+CTuu=l2yqW2uwd+Tlgn2ZGD z-KTvBFg|}jgt+b3#Es8hCf;lh{!gdAk70RyZVAiZJHh((&Ti?}dz}mK*M1|O0-skl z$lI&D9`wImN2GatUe+LQpYrtH<+?mRH)@b~KzRpq>U${!TRYSC9aNs)i(FUV%{h4w zC{OP>uFG4UlXpmYdT()E-kO}e!^-P~ZPcGH&&fNgysn(QwK;iyKYT|{-YatQj;p@? zIeD+l$vdgMhjQ}P<>Z}K-ie&N^*MR|d3qe?bEE#;kdx=1uiA6+Zpq2>>+K~ud0jbq z{@krAC$Bpv&!5BW&dIwiC$9{=?NjzVxKY2{o|89Sc_(x7dUEpozTIS8s5Yu^V@{sm z$LY+;dsR+er*zu)uNu{NM^0W!r|Iw!ABd8=~rw&dguD9_IEMmo3V_~HHJw#_GPnLa zpgg@lvX*D(ynX)Qbpx&+?7z1%DY|~3kGOrW>AdK33D3*%*hp0kwz$3pNqvU5`raIHuQ6f3^TG_*an&%6gYRSFxegt6;fBvU*?rQ%c%;LAhi*E2 z9^f+QEFB9I9fmg@?7r~?^8g#cpgGO^PrpODlFrX{wG0)=?}cc0(qgE^}{}r z^uOUv|J(65*57MB^!7rnDSH+f)>CHf6-`rm=Sv3{PD(Ay)uJpbVL%ICQTo#DE*6n?jle&)G_ z_@mOVyzDOHhkoWkH*v6xNBXA%XZizgu|OTrO&-|eiGIVI{@=ylSU=A}=v^wmrZ(7RfCx5-~C{|&*fwgkTLyL9OP4aLVPuDtAxAzon~bQ1^5c%=U+z?uHQ zTP#oqbdv}6WTM~jrvIJz8|&Y0KJ>4YUY>*SSIK`7+jlZ~gIy_#5lz`2ziWrI-6P{Cnkd|AYQ1(kXn#eFgEUiYqVU zdWC-GK{s)*j7R#ffDY3ic#8${LN|F}A5HWd-t@m4e`EbzU(mZxdO2U=@0b5B!$W6T zI)%@EPw{remB;%|z*m?D-NeD}F?^)I4Zi6QyhYw`w*7!Su#YAB4R8AY2!CV!_m~g8 z2c(zt4gP)d{~(lCr%R{soS@dO8Hy_}F8?93=_>rvI??en9>a z`5z2^wJM#$XZI<-LUH9~e;MKx=0P`cu#89gpAMYq54^blYkAO39@vSAe#4vo^gPh- zU@qY~g7d_F*AjnD{j0!RJ5Si3Xn(=tX!&28#X1P*$KdCxgNlc9WHA0WAzon~+C>~J z<5Byj1842ixm5f9cxL(dym>J6TWx(9-rC1;hQ4CJWhGdAu9#`1rq@3I#=iefNBGSxcZuSYJ_N_cS$x^w$`@aDfADMlAbj>=#l0Vtm;GIcSD1(P69>z9)DO=9 zF75~NVtmO1oA!g@tsnezC&v@xYQHCn$Mx@l!hETXE5|t+*9WW|;~LJ@!SVZu;^BN9 zjQ>N3SD1%(5C_Y6)ShPoXYJ8>T{o`xC*x{(YY*p3G_F$+jmL3n;`_Mjzvo*wu8L3k zDDrGv#g{!OUwqlef?w+g;j@2K-1|X!**}GNg?VT{aj=X>{qQW{;(j16#*;j-X+Ib~ z9#@Vh#?^lR6_4v7pyF}myo$#4pRF9@x{v$Q;Q0NE;^DeuF#fM0USS^EK^!dOQF~?p zXYC2R#kz5QAQ@M~TYEU)qj4=G8jovx;`_Mjzsp=Vu8L232zfTH;>-R`zWB0#4}Ps5 zgwH;%xc7tdvcn->VIJB~94zBeKRg?_xF5)i@gxsy+7E`0$CcxWakby;#pC)3pyF}m zJc-8jlU9y#4cB3V{qS7i;(j16#*;j-X+Ib~9#@Vh#?^jb8IS8{fr`hK>na-8&sjOfHC&$#j^D$I zhwIeA_~%2s!aTHtI9SG`_H+Pe?a_5_-MAh~#?|oF9?s`zTrD1t!7?88gIyTJ{XkxfCwXAg ze&B@!`oYgvjwi;|eh(Xu>;GE)(fP`C7LDsM=?>TJgX8x_#l!XcVEjuVUSS^EK^!dO zQF~?qXYJAFfx2;hC>dA7+qiQ5N8`Ex(Rf@JCccmBmvhEd@kw7so{g*cvg7i_mwhGp zRqqGkv#%=d{h++;Yaw1?9@%myy*2l8S($pf4AgW;_od|Wx67+3rKay+gl zfQrYJ`$ROZU$=6s*F$5h{|3kJ8;Xa|HG}bQhIoZ}Xa{kyj7ROUbztoYy!Gen;bdG5 zZ|&j!5{+voqVc#cNqisIFXfD@;*(Ay&&IVA7JS*aV9gg__U+);`a$^YJBoWhC@(t| z;uYqh{lvjC9`(aq;NpHDFUFHRuxURSJ|0(&C&tx&Zyt~9cY%t>mHS3CuHUnAjBEIu zH#mOZS3G>)8;qY0@e1?M4&q=LkJ>X2IBSnSKh~|+BgwcL-rB?cEE?A;qVc${NPHjH zFXoJ^;*)-WJR3*xWj~ZJzU)WAul0lQ*`tbkKPWHzafnx#hxQW(%Xrie^MQ-|fxH+` z^1!D3VEA}kIi46-dp|&YKlCR+#pBBT6a6;L;%F)NPx$A_|EXEDe>eNRm^~K!D&x?d z3HLMM_6Ya$;8%zTPUYDzLVQeloQ+Rw;RD3CPEdR%^MI#6KAQ&^bul0D$CVFb@xlj{ zUy$$d@SJz>_lweB`<@wj(2uU8hz8sJCC_(zVbD1t?I*o=;S%;Q`q|zq5cl&hO;2>* zaeqd?j<+~^l{uFCM4i0 zEJvJtu#89Z?kdzf1M3gw|NUqGJwB_~^_?gGVea$A%oeWcWgPVo4|~3FuD2-vsPgze z9po|YcvyRplIdqTeDZrd(LcQj{oy^EgZ({GI##J4FO=`?yD0co#!)ZvuonxrQh6o$ z-o8o7W8CqumxOqQ9Q;11&C@Bs`?_(w^_SrpFAnyj<5}F`56>g4N9`loRP6`;JzJhrQF%Ej z=l&d(wh)xr~bY?_=^~i`gN*s`-OXQ@Ru?U9JU?%-YueG9G?=(E967D z@Yz#Cyc+5&Wx{1;#RGq-hQC5Q%mWW`u#89Jb}j0)aZ@`A+b!P2~Ie&=&O8_Q7fLef{#irPx=(IxS_wXMEo%;$hvF zGUa7Y3;7l1q20v6G9I%Tx=w3|GzC7vIH&n?*e=#S-Fe|U)JGunmfxh|h> zI$8$zsp)FhRLzHH1i#8S^m*Phg_|PWvxH+j!p#Wr3d<2EA1vchJC{OFGv~syRj=!N zj{IZV-=8ZTjH4dnVLOC#y?oCp_M=CX$M=$AoEUdJ?Cg+NVL5#AdpyzK%(*bf_@e%v zD_v{VkMrbv`{oC~$~fvJ9(I9ntCjaW`QE;(l*hQ^VV@u36_&#%zsI9}bUDVOnR8*G z>h*Jh?|Z|(&Nz5|zvFvNv9Gfnainqn@qMJxxzHK-7Be2@UmN1fSRUms4)NvWM_jm! z@uWSrj#wUz+jY=kaUU1gWpP_Kj7R5!%~LxUeBC(S`pfW)N9Tg$S=`_cuM?wvdq%Ra z^Exjox9_vsb)=sg;d~lASLP(;yq=5lUl97Mb}lRl{vyVseqAb@p9{-^zm##{(sQ8_ z$}8kUx$s#v#B1k*aM^Oj{akQ7-~Wf}Fy?`WI9SG`akI}yHg0|{kQe(Qc^aGxhUY$L z^`bw9Iu|r=>&^w6xR&qd!gZk?ZNBbS$oKVoeekPcot84;vll5I)_o~cUUox>SD1%( z69>z9)P9>+)_$%30(sGH^1#~n&*SIWY<~2|^5b)1tksKl!Ejx!q(68ryg2A;YuA2r zWALktL!al}BwSgzRl+eI;a(Er6_z7TK3K-1cCLh;X3m9|s$SQ3v-}g<-&acq1)$~fvJ z9(IFp>y>wld~e^a%46K|uw5ZuVL5#Adpz1lS7AJwITyNBub&II1%EN);Keq@d3Jk< zFJn34a2fAWzJFfWsC>qw{8uTT<90Lp(#B2gD3BNXA?s~$E*Rd%=R)Ie;#|z9)P9>+*8ad-|2HFQQq6+d;4}Nk8#JtzCFY%EQe2ik4O9H zI*dm%=fXQw?*Zxf-QX`~9K61Mc8B;fmLm?A^XQ$*zfbw^Qa<#`>#(_)Eg+C1C z74o56`0U*wUON|r%l=4lKNlQ-uXvaT9^zmbkH+m5^relPp9|#0en_4M=YrvFe4b?d zO`Hpww{_>j<;wSY{hpw=&DY%@%lGyBC&90Vby~`V&-N)E)_o~cUiRJ)uP_hoCJvVI zsQostto?zvSRgOjO&-{(L!1kiAD;_PwtAy;;ZNxgo(q2#bhXXVI^7@qD&x=xm+R)w zh3gRRFN9+}!o4rVD=bHxe6Wm1?Ys@V&72DdRIlrMzx+qFzkfhF7)L$C!+uaW*L$D* z)5`lx-{Xn?X3mAbHooXwI4B+c>c_v4@9q0g@T-iYUgBZ@R=7Up z-7nwU_hIER?s(Y03-Joe;gjFv(LVYrj7Kx)!r!Z2KNlVd{$j?#3wfL`9|`egEJqwJ zAXeo9yfDN%{Ju{K}-fD=B|kQvQ$TN9V#n z1%DCaQNJD%&d-H^4*pWcflJSYe+lIk@}XS#>|aB?b}k5)J*2pw3y%Lc@h}fO#KAHi zjoT*lrHz}P3*^OqNS+4gg5hm^o^Je2oC}(_b?3r#<@>qt??G>yue*=S_w{=?_|>pZ zOPTQ5Cln9szLY61`(%h$n1^-~2g`WWew$a;ey#rkdC_k2z?O$N7c4(M7oKVLM(4t( z=ntL?M}n@lh1zfaBluOup${(C&8LN1Alzq!V?4qg4e<)g5houk<54?b4c=zXh5uB& zuJ5z*AJ_i=Iq6^=^$-vHuyC&T^YR~4-hU~NamT~{cZgS54xjuUPxLo)E<9p<(Yf$H z(y>kb_yzgizW)t=m2uQdJnS*y29)WTQ`hH=Yq{sI~RQ2INtip@Qg?2g5z1-;7^C?kIseOWM7|| zl=mg&vy$@uq$imSbK%>;U&=Ue>ACQoP+lP)%7xEPg?Q~; z5H9Um!2q zO&-|xA*vCR;4fwzyy#ZWmkUCC8Ox*iMCJRraG~-Uk8l?$pXE{f z;t*d>e#C{#7*E<`>xkvixV;JbEbimtdM$42hVkfJuz70dg0CCLZ#Ovbj7R5!<5}F` z&mx<5(YdfK+1Ia5%C{%w*CgdTlJd@^ycGJY_IzPd@E0*2_3I_V?brNj4gONbflJSY z$)UVLK9mceJt4$v=YnwArHTjsQVoBZc$fzs;$Rt%#_i4MOB=U+L0^Hq*biB6gLA>~ zHa^#?KZbhl4492e-MO$>`F<`;33}Un-91shuiq!Bonf7pGU2n!72iSqrA&F*)DW*Q z5A7xnmhq_lHm|Jxfwx#7FWOBW*y%%@3zl#FL4!X_)GoCu3w)LbhWJr{hd8k z`Wc5l&npX8748b*7>{smAzooQ;^c#6JZk4#psks6VVdf7eeLomO2?Jb!8qz69`@z~V!gBcJ_jsbe9pmKvoM)eDd{KWtOFDK*#|-)2zGnx&$~fvJ z9`-rH?Nr`#<$L=&l*hQ^VP}STh2`+c@9}6KeH$83Y@$80RPO=lm>v9OjDy$b%N*hE z6K<|>j7PY6A-=W@;yoU^-1}B^{e*BY(elBF&_2n^Mvz#{;J?F zWgNJ4pMQQRuaFPr!e9rP zCfpw3D$-9pa4OHLAzt^p55;FP4|w{~?>?B1_;Tf&Y8#i4{_cZ3=$|tCr?oKD?>@c~ z>Z^SpP5=GKOZa;a{O-g4dj;|DrfxKy(eFNPR=-}L{@R<4=o|MlybO2*Kaax7fS`0K#@+ z{|Wiczn2j@k!A`X{&VpC^_S?z(e|YOTuX6 z18t-bn7PC(4kEdB0NkAvhb>FR!(TNO1aO}$*mRAMS*UPBd6N3ps_K01^oDT4N^^eL zwJM@xL>KQZo0d@uVIgez#c)AKYza374`{b02~>loh7LZsP9`$D%OQGiv>Skt&iyVd TkEy1TW+OtBExyP-w0amRs&3?! diff --git a/CH6/CH6-1/simulation/qsim/work/three_line_to_eight_decimal_decoder_vlg_sample_tst/_primary.dbs b/CH6/CH6-1/simulation/qsim/work/three_line_to_eight_decimal_decoder_vlg_sample_tst/_primary.dbs deleted file mode 100644 index 6bac84294f6db36d7c7e5766ada3592a219521fe..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 722 zcmZQ9IK{V@<5}WqpB+Fl@{7`pmEs3&7=VCL7DzAwu>ue?Ffhvj2}U611Cz}1a4tv< zvjUvU4B{%nxhx>AGLQf%gX&d*bHRF5;asp@H8>ZnR|CrBVqgWbm^I;CHXw^p3q%01 zGy^-3WHEwr88kqOL0UmZf&hyNkN~N~B4q}b0+|W20j7(|0>}YswgM6$w=!^m+yrtV zOa)j9B*?&mZY48F7f4Ej0mNct-~f_L)i$=E()Wf1Fyj*=l)R*|ohc_6g~`-Y=<7?SJsQ+#oFIa`iV!?Mq&r3Wkb$4Fff3k6BOhu j=Rl%B01IXY22j+3B8rJc4IGUSIS3OJydccPc{LvZJeGlz diff --git a/CH6/CH6-1/simulation/qsim/work/three_line_to_eight_decimal_decoder_vlg_sample_tst/_primary.vhd b/CH6/CH6-1/simulation/qsim/work/three_line_to_eight_decimal_decoder_vlg_sample_tst/_primary.vhd deleted file mode 100644 index 015d49dc..00000000 --- a/CH6/CH6-1/simulation/qsim/work/three_line_to_eight_decimal_decoder_vlg_sample_tst/_primary.vhd +++ /dev/null @@ -1,10 +0,0 @@ -library verilog; -use verilog.vl_types.all; -entity three_line_to_eight_decimal_decoder_vlg_sample_tst is - port( - A : in vl_logic; - B : in vl_logic; - C : in vl_logic; - sampler_tx : out vl_logic - ); -end three_line_to_eight_decimal_decoder_vlg_sample_tst; diff --git a/CH6/CH6-1/simulation/qsim/work/three_line_to_eight_decimal_decoder_vlg_sample_tst/verilog.prw b/CH6/CH6-1/simulation/qsim/work/three_line_to_eight_decimal_decoder_vlg_sample_tst/verilog.prw deleted file mode 100644 index 96ba287e135b9d53e07bd0b0e3c1c66ba742ca16..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 380 zcmYL_O>V+45QQiFlqH)kSdQci6kG_EprKYyb5* z`9svV_7Hh-T`zu{=Uf7XDYWX97JoxZPrzN~cjixKbsYw&^QUxgICk-U1Qzh$^Qn(> zoib9tC1qspJD=Va{>Vz*n%A1|TxvG;FM>OV(&1D%p7j^&8heBv12~A`Zbly2NLQV@ RQju{nZMH~{_)=OGL@!?QSH=JU diff --git a/CH6/CH6-1/simulation/qsim/work/three_line_to_eight_decimal_decoder_vlg_sample_tst/verilog.psm b/CH6/CH6-1/simulation/qsim/work/three_line_to_eight_decimal_decoder_vlg_sample_tst/verilog.psm deleted file mode 100644 index c7630a46e3f037e16e8d965c0ca9270e3ff4b1b4..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 6008 zcmb_gO>9(E6n^*3^meBFJ=*f4C=8u~)nKGpjN+y$hAz73!UZKrZRms&TWHEaS(xa8 zjjo7+#3d#qz)BNWE==5sF4QFpjV#&WQV|`$@7!}{?mT!xiEq)$_n1Ao)Ri#!GYl<+;5%ZQ77nQ9TOgDZpI3;I{9av5O?F4WtU`s7L9#NXB64?~~$ zlc0}-|JEw;cPak-W8$BgU0f=oJ`0WI8PewYWTvF`Vm%*KuifhJLe5`Yx42#%f5}qp zXFKe0!u@33uB#ube-S-?c6pikG)^@`UMCkDoeAgxU0pfsa@Pp zPlB^kaV~(e?@P*G%ICFIA7kD2CG|1Ztv*}dPyW@UzMs6+!)SAUS@yLtvv87nry4U& z($g~y;ZMwm{hwA zv|5AHV1=)OR+5stAo--;sIGgXXYo&~HPA-^aC{kwkY_!}8#Vpa(z`dxgTyhr-z3g~ zKGv(%s*I}KUF`{euPGhC!c^ zn*|>07Kf}?om1Qf?IOR^S_iid(i-q4VSxDC^$~l`lX6E<1JB#oKg8l+DD1veyK%Lvg}V3= z<*_XI*AOuKdqLw7d&I+YHO7~Cke|d0{9B5DQ1R-DzqbH?N$~{-_`Spj-tUS7BJt!Y z%(~<+DsS>1H2$XI3oh_0)O!N_mkRLDE56_YpL6(s;(ws{f(!h@vXcoUs1+PWO%I?DIVR5QS!6G61gM z2j#qnxz79!?YH9yB-Yys1L}o&E!G=Ty)cKxdOQz^HxJrsJ*bCkr^WcNEOCCXd1;@v z&xg>TnP(m1^YCZYUqgHJtF0eHBOott^}=#jooo(1R{M#7J1RKXU+v?z|IojgKOFSh z^)~-J-|64hALZZX#Qa}W|AGVmp`SB3Cj00<^4#I`|$mh`uu%<9qYusp?R5|-s3)eKc(LKeXr(uGiOJ? z%t!J-KKy>)808~8batsfxg z{@dJ$9_mj=@wxfB>QCkLdCsv8c7FBhK1u!IuL6Cphk3Ml?f5glFq8dSqxXy0SKTp) zC13u&g8NhUhcbKgoLZ5478!2BLYlbOxXwD!j(OX8YRjZ_z{ESD^o}yl;!Vqi=m|eT`-M_0}Kq`w0BjAZPyO?>2b)yOpCF zx3~P&zAJy`De@?P@`ILtr85T*%cJWJ){XVxhaTcwVLw`(P@kba2mpCI{!Ryeg7w2( zwZG4v3GyL*k9W_ip2#CTIVbRaC+m<49;nExZW?Cdmps3V$;Zz=FpN*ri*g&-8aIj@SWb7kN;T=kjNNSpI^Geij_iqWl5Z z^{^hSg9-KhqrD%`VFC3>d7rS4ZJxq?pZS`~MGt=OK>uO$#B-H%Ve^x>r+@C7&6CaB zxXR7`p!z$T+gF}raUS`5LEjVlc6v;ow5J` diff --git a/CH6/CH6-1/simulation/qsim/work/three_line_to_eight_decimal_decoder_vlg_vec_tst/_primary.dat b/CH6/CH6-1/simulation/qsim/work/three_line_to_eight_decimal_decoder_vlg_vec_tst/_primary.dat deleted file mode 100644 index a26a55db6aab6c8064b4583e87f125b2493a66cb..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1236 zcmZQ9IK_8zc6V4sZ`-WXKw6`^_~P*gPtHoI=j&B^Gl0O)HH?p{nQk6s1hV(fWDaMz z#Ry{V6l5-uP;_HtSg$$Nk%eVLWWuKdD?7hLGcfRR{bFN1X?MTy(Kn_4Y?WvCPgAm3 zl*C^7ZU2)QU2F{hF4S$}I2e9@v0Tu;?VOG==eNk!?0e1S_~iT%xjFl^xgAp=3?3MR z7slX&G5CRu1C3`{802Q`QxJ}-jL2jcS~`1~L~|KWFkFJ9jMEWh#kl%1>$lH25y z6)z{RJQB{jd5($&D5#x_n1E#OH(`x?vKuT?S-pRL`qc8o!HJ)N=`={5e+o!m)JjCc zy|(G5z$FEc)C8y8&hP9qS!6mG7&Hay^&fgao^iy4ZF7{$7OT)S{>14naE z;L>YuO*2cBI6)?IBGLn`;un^_q)=UW*=UnVy8lmOy;nWA%QM{V9zXMn_-16bvhqmH zMlZ8)?Yr{kk8iv)&S9NY{#09MoeD$Ty~4wqsWVP}cbmT{qkTbz3+vox55m0^kMN&A z&S>hsYx`CKj>rpNH4jL{otXS%+S=!Qn-@vkS<=!W<+JdJ=sexN!j#+B_wQfsWoHub zPu)0~GvoAUi^wq8x8a%Z7PNVM|)9WW>D8ysYMUe*gY{{(r5_v%7nq?|q)%bN}ae-`|f(y{lN1 zx5J#~sCu}R&SSKTJCyrkq6r;LJVS_tE`mW3=~`aO30)AW9wqFNu0tsWnZU~c!h%dh z=>>8F%03{IQ1%765v4cCWRx2xTCGdx_8vL~utD+KCA6D?*uw;O-)1Lmy6V;BHufCcd^TdzoBr-%j@(g_|x?2Q3{9EgP8;LveI@Kb+s$RQ`9 zf&U2KR7jdWzkHHasl5U2gC9;!0)hverpO z;VR! z+NPQ?(>1kcbEf?L;ejQ`yXG7EOg&P&N;@HP!7W$a)V5ViZW^tTnz%JFhU=xFDc`2e zQnw8A+)y+mxmQ%1GpjTO)7D0w4s~t9?xv^C z3qL&7w@YM|4;zhu4kTa_{R-j@`2$Lq1D-n@Hu z{`yr1)a-EjW`gJkjQ@yzVt;uvwfWi`OYYL-w}e3NhyRET>pp=Dp)>T&?()x~hzWq= zpySeXTkM?2dkBH90Y%S_;t4;|J282}RFYQT7{vewr}= diff --git a/CH6/CH6-1/simulation/qsim/work/three_line_to_eight_decimal_decoder_vlg_vec_tst/_primary.vhd b/CH6/CH6-1/simulation/qsim/work/three_line_to_eight_decimal_decoder_vlg_vec_tst/_primary.vhd deleted file mode 100644 index 3c50445f..00000000 --- a/CH6/CH6-1/simulation/qsim/work/three_line_to_eight_decimal_decoder_vlg_vec_tst/_primary.vhd +++ /dev/null @@ -1,4 +0,0 @@ -library verilog; -use verilog.vl_types.all; -entity three_line_to_eight_decimal_decoder_vlg_vec_tst is -end three_line_to_eight_decimal_decoder_vlg_vec_tst; diff --git a/CH6/CH6-1/simulation/qsim/work/three_line_to_eight_decimal_decoder_vlg_vec_tst/verilog.prw b/CH6/CH6-1/simulation/qsim/work/three_line_to_eight_decimal_decoder_vlg_vec_tst/verilog.prw deleted file mode 100644 index 64bf0b1ede405899ff161d2aa2419dd1ca65b000..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 900 zcmaizO-{ow5QW`8ShC>&ma>5bQXJcHx@=i;0d{GcxIa=#t76ebm1Fb<9FYSsGj>Q; zB#XX|KfgCKilU}+HDdSQ44yIQ59kDR2KoT{2KpHIh|O+pceYb@ce8oDNByw5Lp|n} z>g~?uSXZ{B`cTwuee~yNs=w;3S6h<0Psfl)m*@%g^*K_gLNPVLnlUv-zCWDsyx#kK z_i~{=XB%leXP_gz7jl+uj`TUp;T-A zmJOa*_>H*|s^JY+n~v)OxyD<_CGxcDxXF+kyoEeLo~=9X^XDmXX+RrZn2!7WHTb|U zjYeL|j{E%5&nFGdSK)jcXnUpJ8K+BN&tRB_+q92$!KnveoB}XT|L2w^rx9WJ7{eR* JwAlNYv0r$H(E9)Y diff --git a/CH6/CH6-1/simulation/qsim/work/three_line_to_eight_decimal_decoder_vlg_vec_tst/verilog.psm b/CH6/CH6-1/simulation/qsim/work/three_line_to_eight_decimal_decoder_vlg_vec_tst/verilog.psm deleted file mode 100644 index 6a52a84b042ea8f1c3bba2395559ae16b1e9c5cb..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 10776 zcmdT~U5r&%6~1SF4tFRmXJ9DUB8(kWs#NDP15DNG@T1HF4?Oq)4atDawSyggWNrbI zCSo#CVu=z1%0p`~8B>}xu@5HJhzSowYtqD;@X&`EiK&qXv}478?e+WC{?=j7{gHd6 zHt{~N*lVx#t-rn3-shYd?iw0R3JYcn_;r9D=_(XDLGQ=7OZ;xp6m%K*J)o;V7lLjF zy$keS&_$wmi{1me7W~Da-%SdI40JW{dqKB?E)iWy+FdC0fkM2nO!QvS<)SM<->O!t z@bEi-5&g61pG0qp{!#Qz(Z7rSL3B>^Z=!FA{$BL2qQ4WpA^I=Te~QxIq|kkibOC6Q z{{cNvDhzcNQsZ;J58MvWUyCAq{JPIM{Sxxig>eS%KdQx?@AFP8lSj*4z%7qY9Uwha z9Qtxo~8v1C(CT&)fNX zLH_Rg-}`I+ADep2=rkOrzf+2Px>Bex&xpt3d!kY}?0Ih`j^`D}58mN8_D`1gGmgi{ zD^>@DG0psM7Ulk;|4zOBPABB|5>T!e5d6BE(k;TJRE*mUzbyQ9E#hx&6aTZqA8QeR z_h4b#>&pG~F2&IWea7Q?aXKk{=85^~fIRgu#IK(2F13e$K=>zH#b4hZ{^x}MbgTG7 z?cqNz{1;orA8rqSO88e>#oy2#{z2i-wTi#7J^Vw$UxbCz()!ud9{yqBuWb?kR^JQ# z`*!R9^TOZOKECzY%89}__giGw_(#R(F5e!eZc7n|gV zcH@5WAM6jy{%||?pOO8mcW!@3_J`WB&%VO@29niqeYX++df8v!j{Os|zwXZMmt?=x zj{W1Zzw6HJ56b>vJNA#s{!FX(j}NS+ovjZJ*m^4s7lye%2gKjv{Gs{$&CXvxpAQ^c zH=8zwduoIe_#5W)ffM+{;vWg)k&#>XT;6|2w=A}G<@?z0!vm6kSoXM2xxY@%tZF82 zaSkd@moM}@(op_wxEIg6XC5o>4}B09Oy-@>9Z7d*hO(hAbtFGV-Ky2T-Sms&9?(UF z&g5nor%pAWI(-8FsaBURTqe0LB#L9e5hr!(@~zT->A&$@S08a)?^ovM(uJ%?wOTBR zpUmQUi*_xZB~MBUyT#Xxr^k5a7x3;8-mAi^ zG{L(nJktXn@8vO``2{@R4>yH(qzT@u!ZSVK@g5%InP0%mAjfz!Y-rYZstMj}!ZSVK z@!lQdnP0%`72Z1GO*X;1COp#v9`D&Pp7{kl_6z#ALwFNS@Lm_5=>hM$aWYW-;U#c|G(GsaFcxb{=0UE`Enf37x(cF>^JVK zc)!^>iv7m^!21QZkFBep>O7}(qjmO0^$(Xnt$1qX_v>DT>uoj0`I+$foD4pX6Vk-` zB>J2DN-qibY0Nh|Z!Q?WSTa5IH$L~qcy{jup8869U3ll4;LQrp^nk}c5aU_j1)lm} z+J`u}uFp2XyC^)<10J7CW4u1h^F9O^^3ze_ooRyiyzop9czn)`@$9|~JcMa@Q^Gsl z1n&jmnI7=?+!*8Wy9@mT+wjf{?^F}K?+efLfEUJD>U}2I%Tn*(A)YMtzI{cwzQ146 z*!%R$8hd|!MPu*FS2gy29M1bJ^*$WV>n!#Ddrk7*cQLd$_oBc&XZ*S4y5xpIS?}GN z5B0qj->l?3z6%gy9 z_J(nKO!_9@lcef9xxH1IxA%JRN8kPO`5(z2yLV%sxF5588NXOEIry_HjPv}MJp57L z%k8;8?-stkgXZ(UkU#$1==rhlKk)yI{E>d{&kp%xat-Qb^6*D}Gq>mdtd>9e9-Gg< zCV$9?^V2W+)1W+0q@Vk<)A+@b$u+2#$-^J@-Q1r0^B&>ryKp}LTlwSXkmu(C$)7U2 zSt|Y9pHCaVSTeZ=^)h+*qrRQnbAPT2_cvH5+#dt9*OPSUdv!X>G1kwU@(*pv#y`ZE z@A4-e%rt*N=EXB2K3=M}6_590&*L0$xqf`izQ%rL@A1;kK7Pl~qW5{*G0T1I{c%+G z{a$n#^USx&^(2pCNWT-V|03>X8mBxLS+DS2wIhF@u@(Y+ALHljbE<>u={-!H-k|jS zysFjH`;|Jq5$XB4=6dv(d9e7A2mT#HZ z6R#)XF>F8XGp@rghy8M#jgjkYh!pQ*gCAKE>}P==?K|GX_+CT)=6w}x+kfHQ%+jB0 z{ZXFwz9M_YAZO22$V7Or4a@Y4V@lH>@2~p1q4cSm^(=lb>%e?vK|k4`vG9_OVLaw> zNj}*mzQ-xOg!_znFg=_rCI@Q#C=a~H$9XVZ)WvW>Yx6*P=HZm`pz|b;Q-2p#7pM5W zj0gHAE6xL<9b3TPUttO@uT=wfY11XT>blrJwY$8 zGv#UT>os*IKdv+HS8<*D)$jFpV0H0}-}_PMvChIvxW73TUh+s7k9k~@Pd+Zb$0@z! z6M?_o^iW@u12uk>2i`;DJQyzOYdD~LFwgVO*E{8zhch*G_Bi!-Zgp{r->bTnt-h!; z&+oX-qd<@A%=JU+29+FOQG%wD&F9^Zncp zZd~Uz8iUw=_WOnYuCFeB@q4#W9(C;p1zxgMW8v9(g!wU#OY+GlgWQeVI}6{JQyzOYdD~LF(22N^320|<-zx}$Em+ttcz3pUe&E^^+lZlgWrPL Fe*;!`td0Nx diff --git a/CH6/CH6-1/ten_line_to_four_line_BCD_priority_encoder.bdf b/CH6/CH6-1/ten_line_to_four_line_BCD_priority_encoder.bdf deleted file mode 100644 index 85d4f753..00000000 --- a/CH6/CH6-1/ten_line_to_four_line_BCD_priority_encoder.bdf +++ /dev/null @@ -1,612 +0,0 @@ -/* -WARNING: Do NOT edit the input and output ports in this file in a text -editor if you plan to continue editing the block that represents it in -the Block Editor! File corruption is VERY likely to occur. -*/ -/* -Copyright (C) 1991-2013 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. -*/ -(header "graphic" (version "1.4")) -(pin - (input) - (rect 56 32 224 48) - (text "INPUT" (rect 125 0 158 10)(font "Arial" (font_size 6))) - (text "I2" (rect 5 0 18 12)(font "Arial" )) - (pt 168 8) - (drawing - (line (pt 84 12)(pt 109 12)) - (line (pt 84 4)(pt 109 4)) - (line (pt 113 8)(pt 168 8)) - (line (pt 84 12)(pt 84 4)) - (line (pt 109 4)(pt 113 8)) - (line (pt 109 12)(pt 113 8)) - ) - (text "VCC" (rect 128 7 151 17)(font "Arial" (font_size 6))) -) -(pin - (input) - (rect 56 64 224 80) - (text "INPUT" (rect 125 0 158 10)(font "Arial" (font_size 6))) - (text "I3" (rect 5 0 18 12)(font "Arial" )) - (pt 168 8) - (drawing - (line (pt 84 12)(pt 109 12)) - (line (pt 84 4)(pt 109 4)) - (line (pt 113 8)(pt 168 8)) - (line (pt 84 12)(pt 84 4)) - (line (pt 109 4)(pt 113 8)) - (line (pt 109 12)(pt 113 8)) - ) - (text "VCC" (rect 128 7 151 17)(font "Arial" (font_size 6))) -) -(pin - (input) - (rect 56 96 224 112) - (text "INPUT" (rect 125 0 158 10)(font "Arial" (font_size 6))) - (text "I4" (rect 5 0 18 12)(font "Arial" )) - (pt 168 8) - (drawing - (line (pt 84 12)(pt 109 12)) - (line (pt 84 4)(pt 109 4)) - (line (pt 113 8)(pt 168 8)) - (line (pt 84 12)(pt 84 4)) - (line (pt 109 4)(pt 113 8)) - (line (pt 109 12)(pt 113 8)) - ) - (text "VCC" (rect 128 7 151 17)(font "Arial" (font_size 6))) -) -(pin - (input) - (rect 56 128 224 144) - (text "INPUT" (rect 125 0 158 10)(font "Arial" (font_size 6))) - (text "I5" (rect 5 0 18 12)(font "Arial" )) - (pt 168 8) - (drawing - (line (pt 84 12)(pt 109 12)) - (line (pt 84 4)(pt 109 4)) - (line (pt 113 8)(pt 168 8)) - (line (pt 84 12)(pt 84 4)) - (line (pt 109 4)(pt 113 8)) - (line (pt 109 12)(pt 113 8)) - ) - (text "VCC" (rect 128 7 151 17)(font "Arial" (font_size 6))) -) -(pin - (input) - (rect 56 160 224 176) - (text "INPUT" (rect 125 0 158 10)(font "Arial" (font_size 6))) - (text "I6" (rect 5 0 18 12)(font "Arial" )) - (pt 168 8) - (drawing - (line (pt 84 12)(pt 109 12)) - (line (pt 84 4)(pt 109 4)) - (line (pt 113 8)(pt 168 8)) - (line (pt 84 12)(pt 84 4)) - (line (pt 109 4)(pt 113 8)) - (line (pt 109 12)(pt 113 8)) - ) - (text "VCC" (rect 128 7 151 17)(font "Arial" (font_size 6))) -) -(pin - (input) - (rect 56 192 224 208) - (text "INPUT" (rect 125 0 158 10)(font "Arial" (font_size 6))) - (text "I7" (rect 5 0 18 12)(font "Arial" )) - (pt 168 8) - (drawing - (line (pt 84 12)(pt 109 12)) - (line (pt 84 4)(pt 109 4)) - (line (pt 113 8)(pt 168 8)) - (line (pt 84 12)(pt 84 4)) - (line (pt 109 4)(pt 113 8)) - (line (pt 109 12)(pt 113 8)) - ) - (text "VCC" (rect 128 7 151 17)(font "Arial" (font_size 6))) -) -(pin - (input) - (rect 56 224 224 240) - (text "INPUT" (rect 125 0 158 10)(font "Arial" (font_size 6))) - (text "I8" (rect 5 0 18 12)(font "Arial" )) - (pt 168 8) - (drawing - (line (pt 84 12)(pt 109 12)) - (line (pt 84 4)(pt 109 4)) - (line (pt 113 8)(pt 168 8)) - (line (pt 84 12)(pt 84 4)) - (line (pt 109 4)(pt 113 8)) - (line (pt 109 12)(pt 113 8)) - ) - (text "VCC" (rect 128 7 151 17)(font "Arial" (font_size 6))) -) -(pin - (input) - (rect 56 256 224 272) - (text "INPUT" (rect 125 0 158 10)(font "Arial" (font_size 6))) - (text "I9" (rect 5 0 18 12)(font "Arial" )) - (pt 168 8) - (drawing - (line (pt 84 12)(pt 109 12)) - (line (pt 84 4)(pt 109 4)) - (line (pt 113 8)(pt 168 8)) - (line (pt 84 12)(pt 84 4)) - (line (pt 109 4)(pt 113 8)) - (line (pt 109 12)(pt 113 8)) - ) - (text "VCC" (rect 128 7 151 17)(font "Arial" (font_size 6))) -) -(pin - (output) - (rect 536 72 712 88) - (text "OUTPUT" (rect 1 0 45 10)(font "Arial" (font_size 6))) - (text "A" (rect 90 0 101 12)(font "Arial" )) - (pt 0 8) - (drawing - (line (pt 0 8)(pt 52 8)) - (line (pt 52 4)(pt 78 4)) - (line (pt 52 12)(pt 78 12)) - (line (pt 52 12)(pt 52 4)) - (line (pt 78 4)(pt 82 8)) - (line (pt 82 8)(pt 78 12)) - (line (pt 78 12)(pt 82 8)) - ) -) -(pin - (output) - (rect 536 120 712 136) - (text "OUTPUT" (rect 1 0 45 10)(font "Arial" (font_size 6))) - (text "B" (rect 90 0 100 12)(font "Arial" )) - (pt 0 8) - (drawing - (line (pt 0 8)(pt 52 8)) - (line (pt 52 4)(pt 78 4)) - (line (pt 52 12)(pt 78 12)) - (line (pt 52 12)(pt 52 4)) - (line (pt 78 4)(pt 82 8)) - (line (pt 82 8)(pt 78 12)) - (line (pt 78 12)(pt 82 8)) - ) -) -(pin - (output) - (rect 536 168 712 184) - (text "OUTPUT" (rect 1 0 45 10)(font "Arial" (font_size 6))) - (text "C" (rect 90 0 100 12)(font "Arial" )) - (pt 0 8) - (drawing - (line (pt 0 8)(pt 52 8)) - (line (pt 52 4)(pt 78 4)) - (line (pt 52 12)(pt 78 12)) - (line (pt 52 12)(pt 52 4)) - (line (pt 78 4)(pt 82 8)) - (line (pt 82 8)(pt 78 12)) - (line (pt 78 12)(pt 82 8)) - ) -) -(pin - (output) - (rect 536 216 712 232) - (text "OUTPUT" (rect 1 0 45 10)(font "Arial" (font_size 6))) - (text "D" (rect 90 0 100 12)(font "Arial" )) - (pt 0 8) - (drawing - (line (pt 0 8)(pt 52 8)) - (line (pt 52 4)(pt 78 4)) - (line (pt 52 12)(pt 78 12)) - (line (pt 52 12)(pt 52 4)) - (line (pt 78 4)(pt 82 8)) - (line (pt 82 8)(pt 78 12)) - (line (pt 78 12)(pt 82 8)) - ) -) -(symbol - (rect 296 56 416 232) - (text "74147" (rect 41 0 91 17)(font "Arial" (font_size 10))) - (text "inst" (rect 3 165 20 175)(font "Arial" (font_size 6))) - (port - (pt 0 24) - (input) - (text "1N" (rect 20 16 38 30)(font "Arial" (font_size 8))) - (text "1N" (rect 20 16 38 30)(font "Arial" (font_size 8))) - (line (pt 0 24)(pt 8 24)) - ) - (port - (pt 0 40) - (input) - (text "2N" (rect 20 32 38 46)(font "Arial" (font_size 8))) - (text "2N" (rect 20 32 38 46)(font "Arial" (font_size 8))) - (line (pt 0 40)(pt 8 40)) - ) - (port - (pt 0 56) - (input) - (text "3N" (rect 20 48 38 62)(font "Arial" (font_size 8))) - (text "3N" (rect 20 48 38 62)(font "Arial" (font_size 8))) - (line (pt 0 56)(pt 8 56)) - ) - (port - (pt 0 104) - (input) - (text "6N" (rect 20 96 38 110)(font "Arial" (font_size 8))) - (text "6N" (rect 20 96 38 110)(font "Arial" (font_size 8))) - (line (pt 0 104)(pt 8 104)) - ) - (port - (pt 0 88) - (input) - (text "5N" (rect 20 80 38 94)(font "Arial" (font_size 8))) - (text "5N" (rect 20 80 38 94)(font "Arial" (font_size 8))) - (line (pt 0 88)(pt 8 88)) - ) - (port - (pt 0 72) - (input) - (text "4N" (rect 20 64 38 78)(font "Arial" (font_size 8))) - (text "4N" (rect 20 64 38 78)(font "Arial" (font_size 8))) - (line (pt 0 72)(pt 8 72)) - ) - (port - (pt 0 152) - (input) - (text "9N" (rect 20 144 38 158)(font "Arial" (font_size 8))) - (text "9N" (rect 20 144 38 158)(font "Arial" (font_size 8))) - (line (pt 0 152)(pt 8 152)) - ) - (port - (pt 0 136) - (input) - (text "8N" (rect 20 128 38 142)(font "Arial" (font_size 8))) - (text "8N" (rect 20 128 38 142)(font "Arial" (font_size 8))) - (line (pt 0 136)(pt 8 136)) - ) - (port - (pt 0 120) - (input) - (text "7N" (rect 20 112 38 126)(font "Arial" (font_size 8))) - (text "7N" (rect 20 112 38 126)(font "Arial" (font_size 8))) - (line (pt 0 120)(pt 8 120)) - ) - (port - (pt 120 104) - (output) - (text "CN" (rect 85 96 106 110)(font "Arial" (font_size 8))) - (text "CN" (rect 90 96 111 110)(font "Arial" (font_size 8))) - (line (pt 112 104)(pt 120 104)) - ) - (port - (pt 120 88) - (output) - (text "BN" (rect 85 80 105 94)(font "Arial" (font_size 8))) - (text "BN" (rect 91 80 111 94)(font "Arial" (font_size 8))) - (line (pt 112 88)(pt 120 88)) - ) - (port - (pt 120 72) - (output) - (text "AN" (rect 85 64 105 78)(font "Arial" (font_size 8))) - (text "AN" (rect 91 64 111 78)(font "Arial" (font_size 8))) - (line (pt 112 72)(pt 120 72)) - ) - (port - (pt 120 120) - (output) - (text "DN" (rect 85 112 106 126)(font "Arial" (font_size 8))) - (text "DN" (rect 90 112 111 126)(font "Arial" (font_size 8))) - (line (pt 112 120)(pt 120 120)) - ) - (drawing - (text "ENCODER" (rect 40 161 111 175)(font "Arial" (font_size 8))) - (line (pt 16 16)(pt 104 16)) - (line (pt 16 160)(pt 104 160)) - (line (pt 16 160)(pt 16 16)) - (line (pt 104 160)(pt 104 16)) - (circle (rect 104 68 112 76)) - (circle (rect 8 20 16 28)) - (circle (rect 104 84 112 92)) - (circle (rect 104 100 112 108)) - (circle (rect 104 116 112 124)) - (circle (rect 8 36 16 44)) - (circle (rect 8 52 16 60)) - (circle (rect 8 68 16 76)) - (circle (rect 8 84 16 92)) - (circle (rect 8 100 16 108)) - (circle (rect 8 116 16 124)) - (circle (rect 8 132 16 140)) - (circle (rect 8 148 16 156)) - ) -) -(symbol - (rect 272 8 304 24) - (text "VCC" (rect 7 0 30 10)(font "Arial" (font_size 6))) - (text "inst2" (rect 3 5 32 17)(font "Arial" )(invisible)) - (port - (pt 16 16) - (output) - (text "1" (rect 19 7 28 19)(font "Courier New" (bold))(invisible)) - (text "1" (rect 19 7 28 19)(font "Courier New" (bold))(invisible)) - (line (pt 16 16)(pt 16 8)) - ) - (drawing - (line (pt 8 8)(pt 24 8)) - ) -) -(symbol - (rect 464 64 512 96) - (text "NOT" (rect 1 0 24 10)(font "Arial" (font_size 6))) - (text "inst3" (rect 3 21 32 33)(font "Arial" )) - (port - (pt 0 16) - (input) - (text "IN" (rect 2 7 18 19)(font "Courier New" (bold))(invisible)) - (text "IN" (rect 2 7 18 19)(font "Courier New" (bold))(invisible)) - (line (pt 0 16)(pt 13 16)) - ) - (port - (pt 48 16) - (output) - (text "OUT" (rect 32 7 56 19)(font "Courier New" (bold))(invisible)) - (text "OUT" (rect 32 7 56 19)(font "Courier New" (bold))(invisible)) - (line (pt 39 16)(pt 48 16)) - ) - (drawing - (line (pt 13 25)(pt 13 7)) - (line (pt 13 7)(pt 31 16)) - (line (pt 13 25)(pt 31 16)) - (circle (rect 31 12 39 20)) - ) -) -(symbol - (rect 464 112 512 144) - (text "NOT" (rect 1 0 24 10)(font "Arial" (font_size 6))) - (text "inst4" (rect 3 21 32 33)(font "Arial" )) - (port - (pt 0 16) - (input) - (text "IN" (rect 2 7 18 19)(font "Courier New" (bold))(invisible)) - (text "IN" (rect 2 7 18 19)(font "Courier New" (bold))(invisible)) - (line (pt 0 16)(pt 13 16)) - ) - (port - (pt 48 16) - (output) - (text "OUT" (rect 32 7 56 19)(font "Courier New" (bold))(invisible)) - (text "OUT" (rect 32 7 56 19)(font "Courier New" (bold))(invisible)) - (line (pt 39 16)(pt 48 16)) - ) - (drawing - (line (pt 13 25)(pt 13 7)) - (line (pt 13 7)(pt 31 16)) - (line (pt 13 25)(pt 31 16)) - (circle (rect 31 12 39 20)) - ) -) -(symbol - (rect 464 160 512 192) - (text "NOT" (rect 1 0 24 10)(font "Arial" (font_size 6))) - (text "inst5" (rect 3 21 32 33)(font "Arial" )) - (port - (pt 0 16) - (input) - (text "IN" (rect 2 7 18 19)(font "Courier New" (bold))(invisible)) - (text "IN" (rect 2 7 18 19)(font "Courier New" (bold))(invisible)) - (line (pt 0 16)(pt 13 16)) - ) - (port - (pt 48 16) - (output) - (text "OUT" (rect 32 7 56 19)(font "Courier New" (bold))(invisible)) - (text "OUT" (rect 32 7 56 19)(font "Courier New" (bold))(invisible)) - (line (pt 39 16)(pt 48 16)) - ) - (drawing - (line (pt 13 25)(pt 13 7)) - (line (pt 13 7)(pt 31 16)) - (line (pt 13 25)(pt 31 16)) - (circle (rect 31 12 39 20)) - ) -) -(symbol - (rect 464 208 512 240) - (text "NOT" (rect 1 0 24 10)(font "Arial" (font_size 6))) - (text "inst6" (rect 3 21 32 33)(font "Arial" )) - (port - (pt 0 16) - (input) - (text "IN" (rect 2 7 18 19)(font "Courier New" (bold))(invisible)) - (text "IN" (rect 2 7 18 19)(font "Courier New" (bold))(invisible)) - (line (pt 0 16)(pt 13 16)) - ) - (port - (pt 48 16) - (output) - (text "OUT" (rect 32 7 56 19)(font "Courier New" (bold))(invisible)) - (text "OUT" (rect 32 7 56 19)(font "Courier New" (bold))(invisible)) - (line (pt 39 16)(pt 48 16)) - ) - (drawing - (line (pt 13 25)(pt 13 7)) - (line (pt 13 7)(pt 31 16)) - (line (pt 13 25)(pt 31 16)) - (circle (rect 31 12 39 20)) - ) -) -(connector - (pt 224 40) - (pt 280 40) -) -(connector - (pt 224 72) - (pt 264 72) -) -(connector - (pt 224 104) - (pt 248 104) -) -(connector - (pt 224 136) - (pt 232 136) -) -(connector - (pt 280 40) - (pt 280 96) -) -(connector - (pt 280 96) - (pt 296 96) -) -(connector - (pt 264 72) - (pt 264 112) -) -(connector - (pt 264 112) - (pt 296 112) -) -(connector - (pt 248 104) - (pt 248 128) -) -(connector - (pt 248 128) - (pt 296 128) -) -(connector - (pt 232 136) - (pt 232 144) -) -(connector - (pt 232 144) - (pt 296 144) -) -(connector - (pt 232 168) - (pt 232 160) -) -(connector - (pt 224 168) - (pt 232 168) -) -(connector - (pt 232 160) - (pt 296 160) -) -(connector - (pt 224 232) - (pt 264 232) -) -(connector - (pt 264 232) - (pt 264 192) -) -(connector - (pt 296 192) - (pt 264 192) -) -(connector - (pt 224 200) - (pt 248 200) -) -(connector - (pt 248 200) - (pt 248 176) -) -(connector - (pt 296 176) - (pt 248 176) -) -(connector - (pt 224 264) - (pt 280 264) -) -(connector - (pt 296 208) - (pt 280 208) -) -(connector - (pt 280 208) - (pt 280 264) -) -(connector - (pt 288 24) - (pt 288 80) -) -(connector - (pt 288 80) - (pt 296 80) -) -(connector - (pt 416 176) - (pt 432 176) -) -(connector - (pt 432 176) - (pt 432 224) -) -(connector - (pt 432 224) - (pt 464 224) -) -(connector - (pt 416 160) - (pt 448 160) -) -(connector - (pt 448 160) - (pt 448 176) -) -(connector - (pt 448 176) - (pt 464 176) -) -(connector - (pt 416 144) - (pt 448 144) -) -(connector - (pt 448 144) - (pt 448 128) -) -(connector - (pt 448 128) - (pt 464 128) -) -(connector - (pt 416 128) - (pt 432 128) -) -(connector - (pt 432 128) - (pt 432 80) -) -(connector - (pt 432 80) - (pt 464 80) -) -(connector - (pt 512 176) - (pt 536 176) -) -(connector - (pt 512 128) - (pt 536 128) -) -(connector - (pt 512 224) - (pt 536 224) -) -(connector - (pt 512 80) - (pt 536 80) -) diff --git a/CH6/CH6-1/ten_line_to_four_line_BCD_priority_encoder.ipinfo b/CH6/CH6-1/ten_line_to_four_line_BCD_priority_encoder.ipinfo deleted file mode 100644 index b19e3be175f30fec0abce2c24772f0962e31a465..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 162 zcmWe(U|?9w%?KnJ7_xxS7)Xbu78Pga=P4K(>lx}9C^(g7=Al+yu z8Y={QDTJpcDY&L&mH?G

    (9vCY^va=ggz`%wy*Evs1U(d`}f8|Cp`PP-zf-SD?hI0BD{r zMC4?(4!BP+s=h0l9mNhbHR!fEk|9i_<1IH^bd?}OQ|9IdBkSdC$y zrsCzEk3ocFc|HH&MUiXrg-=n~tT+!o5$e83TBkvy@x~^?%_{54?~+BCWfI@1`9|KY zG*xI8x?F)9;{c*@_@rAooJJg_Z02{<|pmZ$26j#*`yLhaF?W2%?3$VuYU>2F9W!c8Mm@% zDmm$W-fQMVTooa6PmkL1oOdwZ7HvMf-;VIDzENpRKwo1)T26NIypHeK4{vs7Mj4xj zh!L6#+!~3wBA*q+wZAkT+eZ?!4qC-qWZ(d_I$Rp3bAu-(IvX;Gb3z|IT#^;V=80za z;KzZ4{Na2YkFB(`ouhZ}PFneF_kS7=cvLKPXP(GOyW3z@OvW$LAx|T;hA5k9LL>u& z@)TT}SK1ksPVPh~U$g4=l2 zr&L&CD3yzDgn)l8iY=L`o?_im*&>K<6oD~oSXyh(l49-4ba7TRRUojGv8;u!U2)r6 zQ++ORtmA$XNrTXc>ySo(KJqYMGdC?K#?v%#WrW6zX&xwp2_c(?C1vbUALHw;s|-*d zUrKqQ!}k-i7GH+w6gk#uD|%md+0gJQV0z*Yx4=Bk+$8GEnknm@xtjHQ!(&6}*l4)| zhp-;w{WCVU%h&rt6nuklWSb`W++5DTkh31d&WtYB`f-K!>Ju`rV%1zw;N1Kz7VQN; ziawC`l97+CLNee;8cGt-J>9xX9h;~h>BANhiB*&pia+U$<87IK$Wq1q#{RUin@t9*Nz|sa~oSc9E74@h-cp?j>gsq zwi6fsPbf`E3!6z<7Hr^B(6Kn=y@zQ8m9#E5hp>RWO}>>6=rtzZtqbgG;}8C~bRFN^f6XfT?W&B9&xR;#6~KJz)A1qD8x5C@`yu%@r6j6R zooV9)_ejXaj=>{~DUWwgFY)-9Wjf5(Qbth29ar{UT|5rv`pz%RKyIrg2(uO)SYY^KEaFV{(7nNX?< z^2UW`_GK8Y9{kZYk@acegQ`+n%ZN(Q#J}{$lCq@H35J+C+-b}p1EV}J8KRH6vN`Cg zs#(I7vpfe8bC95+LwoU;G<*BONeZ1Rpw*m?6Tkgn_k+q}_V={-o~QM?`uM z5=PkK8if&wtkf`Tl{d=dLOWwX<@Skr@y*=~wu*gZC#3>njj413q?C91pgJky0Qu^b zcyj*eVPcC%hXDixs8boI&QW(aDjp;R$pUg$>ckl2BpDXOekeyOMz zKHqd?0zE20@x%krnUCpS&Y3#G;+_DUU_J#-XSI*bQ)Dhd3QJc@*N3hRV=$6Zgof5U zsVJ{B!VTbp`T|d5AmbNtj8>XH0^4g=!%EY`&kg>$ieuQZJAoe-09YR5ct0Eaql;t!%c*BMC#b5W^uy;y~1Z^)=GR-3IsRen8gvtZ%7^P_v(XS;6qK&3r zIr4WgjQ571J4)C*23`Zz5v}(|KH`EOkCD1&&;D0`Dln3rm)yQ!zBaWPh=72sb;H6y zTDWirKA3|^MYl&k+w$|PgK59}u%z$Xihvjbh5bAg5L!R=n$QeM)g1loVqh9Gixpv_ zZ`pEkdvGL$G|Qm^0j+~e4noF#Je-!%mDQ9@UpI`& z^O1(Xc^(ORu-hIqsEQP(ewOTF`Nv4p5??V6z4?aCS}#=GwU?M zG^#0#y7BU=iEwz8Euw_@FyN6G7HAKi2R91?&v=f|^BT+nfWMsp7zDQ_IXIOiW7Rn| zCj9Hh$LRmnE}qB9c?bN-IaE6cRX>2e8+fAkxR9u`LfcSVrE6t;omFd20~zyj0AF`t z(S&=v%xE;XL2niOSQnJllLM$Fs8DqGSZN14n&((%!lRk&d%z}~g-rWt!p3w#d5P!c zwtTRC@^5g5v&QyoFTiTIg+Z%m@SROHpO5#WJvFO>_$k3R)?LUgASu@%YxB9J;aZlF z@Oe}tpiL`OBi~cK-^vVT!#5ZO*F_LZ9n77ZvEyCTvxD z*|CQY^}mH!yC;KsgQHdZZM}3K}FdH zED}nc^$9U@8evnWf7YRpy`iLJ zLScc*#4W+dG~d3*c|P31F!9x#YVeDOVCjGKS!@#p?(0=|W&I=k$(aDQ6wc*d*)FaF z;mHr!G4NT>|7yijfmnf`Bs~&0gJ+XwgN$UeLS|4NF+S4)ZFl8tFep%^V#_V4cpl@1 zbI{Uf`^R;%U>T9CUMYk+m+K@x8ogG)Oey!XE~Az`B|NR8yi&8-GoN}Dx4x2{0#75Q z!;(qN26@PP7DbmdjsLUYHQAJ?^tyM$e9*0@2Z^+aGo_A2~R|ZgHW4G zvWXnkIVUXCNDK1pXFhsQXs5OW4Ro9WTiqRG&EHc-k$OuQu!CNu}TL3@xT_!If^ z1T=>tqwU2t+V(O!O@GTT)vVnaA~rek23+4@SIa#+wuK+|IVK(`<}Pnc(Fw=Qp_;D8 z`n?f@L|~Pv)up#rQ~Yd$CtDnr-f*eG;zIlvY{_(w$A<=rmAsG5fj((7EFblD8+<&Z zyKq-fRCCfFTb%T+dv`vhaS>Wj!oIpTFLWg<9qw^|Nx!PDXD#KAk2HS;saH|EwHb2b z(@gjmbceWx@Ti|koO2=Q)CZjpvIpr8->oL*(eNtDxrLrnc;B-NXx~O|{A!2)HGLb2 z+i+!(mM+L&c6Z7>SO#4^#m7(S_IDKlWh^(*IzWk8G&rmdc{In$bXy~V!0TvZ@ zdk|V%;L`-!k(PJS0Pg+wCf3Ow58)&MC#2Waz)K z2;*v7Kh%CQ_B#rBo4{dDu4r6)oUy>p{NUj~ec?}iNvTYtBg2b#CtBRB?j}>Lb8wZ= zNV?7T|2fa~sidd1LvV02(s9nbcLJT~w4=ndZT6tt{W`Z( zn+2a%OuBEzzMtKuJE>bsNOO(F0*N^S-`o_RgWLGgn&%X*hjmnBN%k`((H^rF|3pVp{}W-OSUob@o8POi5e4tjz=8xS`l2 zMCvy41>O5TIr)M@Bw&jhe1;brzTo>L2sV*{{qYZ{6xA&43zMK-rX3t$6Eh7=69Mgz zzcmNMg!HMkosYpc)5c#3c?k%$h@GSuD9-BDR*Oq{gQbcdG3Oo^9*=77Z3(cW%CV9S zS{IfmehF|7c12KFdTOsOQ8sB5L6OUfdzt8Q6@`Y}41LVqFcR8G=NGO!6l2rbJxp!l zP=BRG3H(Mf|B7^NR$LHA(Nwi0bda-IJXL4&2mEuK1$r%I{|; z1bOe7Vn2D03r}TR@@|jCfD{{iOo6-p{b=9M>es_~Kfc9{sTu~Gg(!&Wcq&2A0AC-T z?!h4%+r)CToqSXX;w;I2^Y{h?J_zi-Kg5Nh%_2xM2)r!{ATBMaXk(xQca|;4^@y{_ zg4WxVDcQR-qnhGR7o0qQ2&jV>3d?%()-sNi9o%eH3-lG z_)4gw2!)*bRF*{7+3A`cb>0CRR3bckT_wnyaA6f%BR&kU%9j_t3WDl*)>~`~2;Kbf z%@H;A1xH^?S$jtmg+B2Cv-vLpUs}dm)}e-fa~e^kVef_`QiwX7kC>Dksl{TCD+mjY zYE^G*yoW{0-7}gwk$&vLdBd`QL}_SQmS(1hz?O@d$=l6jjQ_mU{xfz_zro>s;!71y zj(|;x^lQd6Jv6xPQm8EBaG0=H7AE!LRFs*a^iAu0)EbRx1PJ7N_=*&-r}VHJ{l3w_ zhq|*H5mah~c53(W__XBv`?gB2diTwR^=;{ISnv83Ze7;$zu@(-M?U_q ze&>6CX%Pd#LX?0oaVx`JL!9T?BZ2Dy*g)rZNdk~Ou-5DG8)91PM@XbgESgqyMR+8O zAX(4Iaf<69zjk58u0LpY6^dyi{(&Tc?a-RAWn#G%&ACJhQH8j0A{2)uVG=*MNbKjF zg2jMv6pT%)sd+Pt+g9M8#Ay=0&6N5TYl|4r4(L`m69Jx$1?{KQ{zX^ahJqUkvX}1u z#JDyTM6kZ0;G^uf`+*<-&QEte3wkp~kzjkMB!4>82N}2Gfy1L!EQLJ^7}Bs%9Ki|;VMSv zNDX?JU}cKC9}HU<$f0{^*{Fg|`Y?b91O|q{s6i`rG?YyJ_0f>Y)+#(Wh*NjkBNTCT#oX9%4%EB!cFK|2oL%~ zT93H*DAIZx-fnoS+6RxUUir?S`;EW*Efu2-T!%5HR47s)Hn zi-x{lIY9DM1o7cdvRwaVr(GT2brRi_}l zh45YQ)-2~0%vqB%%XtNJUrp|s1Hx?hE);t!3$WR^6SPNi+zBn4LhJng(Oz(OrJAZB zu(}N6^s~HKGM*H(eHk|4`86Pa$UskZE0(EYN%i{Fuy}tdwT$v)HvR*-;EVLtI4K=< zf|LtBUWU9JH{xmM%ap(K^||Qq)!Dp)o2wnS?iOvi=@9%i+6gz!KufY@rr(zU9Vi!S-$KDfZ^ zexkS7di_uImFoelyG0v{uD?Z>u$n&adq49(`b}?*jaMp$WQ|uQw}w=9ivSmq@Da&a z32?((p`vSs#{8nO$Om;7zjOI$&miI8Ad4r3=4r6PJU>jXf#CMr{uCR@heQ;Oe-?BHp9M?yfZFO zKZI7DWGJziv!NP7+$iH@VOQjx4;Z>ob$yqYCwl%v7F*D;}2n&Tc z*eqAY!O$Zx)q%i9#$Qq&5H>#5V`y}1<~`bxE};xEiAT@wEfHU20=Moy&duo=n7`<8#MHl0dE%;mhNfZ#p(7; zMU>wUQA)QpkI)9dQ_7rDH&S7gAk!>|J(d0C0jR?)cz1O7K=1m)0d*sNRKQ8kA7~M| zvo%o-(v%4jJLoAaEZrl$>-q-zP+YU2077mlTxDA`YI9-n(N|vj)S~5aKsAb=9pmk_ z*)n}1Q3oil4?kX|_tL{3br{tAwDj+ z1C-ifa#=^cYDm&#)P|+$^AFmq2JHY(FogI4zpcq-m2NZFYbV<&DFkVPTs#5V2w74| zvRRr}J+PyT&Kgpg_=MS`IMC z_t!oF>osheUE`B#O|@&X&kuuq|NQee&|dIKwWi)RSyBxK`TpEa=PyzZar4P18a`hX zTVO+>ZWP0<#V%1fS~oU%hF5kkz5L3H?|t!=q=+zaR~QY9ulD$^Oxwi;r|t@O z+2k3VXa?mOKI2ROwNL(=iTA1KTSf^3L>J()PCNh3v4VpoY!WyZ9SQ=4bK(3dykBwf zAANdg9~6c@N`SC5H8o*~s!-|J_$VRxH7FV#L1igcbWRnV>00Yha8*VQkdG4Zd!@s9 z+hbGbUd^HhVmMC!zo8)oCc62u3_Hf z(6d_CCwd!D-UFZ}FM zAnM9h81xRH@Wmw`hz2C(OeBm@C=7id8u*w&1HSuEoGA~T1)@WX zN`XYIRIba({5Y2i458q-zxatWcm8G1aOA z|A9CX-U`$VGi+N7Od#MKn^xu}cteRpO?Y&Fr1}~RYn=q|4W*zH410j9*2ilE3dNx% z4z(34Ad;+zKvU5(og=Z%&as1btR5QR$hgfPTH;V!F_z{*_RxH9T2bQAVCb}Fbt;oz zQRC3KBw|{Ls!>T+m?}?pbbo`67_tCo1YvzYp~&m+Q1F;| zo`Xy|NNX-S85T81FQZmx!?jzOi3QftbW&tCgw`pgEq|D8x)6)m+PWTE%lYy;vzGm1 z*QKuZ>ZsR~r|l*SPdFfu#_^R?MxR;bS11;F^oi+8DO^SPeNnhNs_l!+tBda!`X8WD zi(+g#KKn7U+V+yHEzZ}Ms!^Q9m)jp-zTS>oz-<>_^GlsJ_3~J_{p1ruG>EdY`lHMaR!>XSX>e+9WwqZKMZEC!Pld)UQGU}BTC}4rG!s#$K8`ENN|4g~yCXAB^`a>q2v=A=q$P}t8A8Wllxao5+-QlZK!T*lBeaEZY%hYJX(3=r zVFh#seHImTEqJ>%nG~=!qq6~t`9ilKG$2Gv8Ti9Xgh*bgWwz#}I4;op+yP}ffhW}nd~}b9^|I(5=j)5{R9nc1+zaXmk~T`G zqn9UPIb!uTgwrkN#tN}IsT;U=8Ej{pYeVhuSZ}a@b76noE!trJdSL(d_kZNqd_{tN zB_WJBX?1NW>;+C5P#@TfpPUBCZh^HxYXGE5Eg%r7`aQB}YS;kQb7Gj(0)pYJl&_?k zgW;@{uVk8S#W^WoNfw&noRqKB0?g1T-OHS`Inm#ollB0rzXG}?)64?j7-WZl+yC9( zyE@51IhFRIsQ+$p_SMJPn(7v8mPA=p!Ivo965(sXcpbxOE6lI|rN8pR{JLATf${oV zbV*_Uul~$G_@Zx0h526M4g+J|LanQ5gJf=)U5dHVV#r{fF?clG*GMH3j6>ns8}jRH zhOtVEnXFQ8_l5*xGwk=3ws{ZGYfw6OIyAx93=?Wc>EUEZFg8P!oWU7DBno{4iNXD$ z3C3m!Aq2Ex({SR@1YT*)Z8 z>?|3BM@y&_OHKh3g+)~&JG#F+IHvZ2L5M;+%QDOC_Min#iU=4vES!YQ(%&ES!Lua* zpXksAg++Is{suu=k|F@GtSf0(%hvRFsKY}PEL#vl=&89i{VgIPAI=}h@fG{^yyYcX z1#uZ7Pf)g8AzvMe)d>cgLn%W32`L77-8i`j`9mPvbBvE{Uw4whk_zR&QdQ0r5mn)E zF6I|7c1Z$MsV@oAHL_0oGii_sL`huklMH;4QdECHQX)$dfTMm%37%E;EJ*=Mz$M-O zQnPoblaKR3M&!PV^x+}jG6y#|bFl6fZO+Q|I4fWEcmDP#4C^xo5jj&rKzM2vLql*_ zGY1nh2z=%Msco&jBgZ~y<~*(FGY4UOEFf;6&VQa_@Ro(<^ney~fMYs>1n|`-9 z2)(%wy2bmCa^BDX^}BBW{FZ#zUx`q24-X{tR%YhtZOQitsB?2?1^2HZ`94t?-;(cJ z@;wZ5dHMA6YcHSfJ`Wi(5h0`ImCn0ekjILWW!nhaKscG~3)te>>xpN7{JTH(#UEQt zzE>FQsyTJM%5t1}@;#-Dmy+-8fm$jD1a|Yu_YQI|5-Vb)o+y&~M6A#4BK8bf;HagN zGPYT2_gJ+^Ya>FQ$f^6xZa?h#scE}pPrf6FMw1z%>8UimPri4k=}X;V zGEJW)-`_Bjjc*O&|1s-Ff;u6p|fGsavwcS^8Vl9eVam;wpivp@a%& zncbrUsu>|oFWPtMW-ewDj35vsZ6PfuKE}A;PF;^hO24EL7Si)$S4Z~BSp9N1s?F(f z1K&V4x`KS*mcu(~tG6xrQ~JN@WCOzI@EaW_!sqGpOe|Fth<*CJl*0unFH<+Y?v^>^ z+dV0f`TtAu?NGx7iO_vu$k8I1;M8#oby@7-lMN+Dkze5?r;4k^HkgZ>>cZ2$B^z#T zvSHmV+WedA@o)a*7yitrxO~0djFMb{$#<;bBE`M83BL(OJc7>Rw+GOE4!%8p&SJ8M z&sj+J=y`0qfbtWF?7{Om2i+b!=aHK~bKz>CQ$orVQ^>uDvVvRtQJb74tJHtUQ@Z;>J;Mxp==`jlAvJ;S1b%isbUpj7qU?BnSg23a>M^wmPR9QqMsSg(d zqQ|-NlqYDOW*VW=1D)IZ2x`=a2K>w-NR{hJq98RM&>cjfozcG{mVRoc>vld8=CnB#o}fZ>td0>GOa0Is`58+u(2di~IM z|B*lY9f`1|H^Vx-?FWCg#A#c%Odd}wmofmf6{E7(l>CZEuUYw(487*$S3G*fj_4`* z75*gIIlA$AM+lZNKNj9XS(?9AW;iRqB0pr&a#nwZ^qXiotG~kRm{~rE{)%8>3MyrD z+_xPudn&d}cqp(L=wI@BvRgYLY?iDw0G3m@dI=T_EA3x|mzxIpWo_TMU>QL3TN*R8 z=+l<`j*0<-ua2v0UEJu?hO2>W_T~B8@QiQpy}|c;?u$Y|w;{~e(wF!-j=up}C4D@d zi#xmoo`Q@{<4eEqd+wgziaB1XjvC8G1rvCKyTDf={~T;*f_ZaIa5lkd zFh_#_=DrCQj#{eeo%D)(EDY>*kM<6#M|kx4Ff;F3d%AZ~pAVg%m>XRj-96sBBfc-vDTs##W9#zATiT@TJcHCQzFHM# z=9QN2@!qxQ`=BT3a%XM1u<-$s1A;i&_ zYi=NL!9b{`M{kZnPz>Zn%OSoweh2<~x`b0XkQW1GL5;ysNc@=Ax1Op&GRP8Un2?+A z&+U|=!i?A2C(JOvcYXq|425<3<9vO>j1zscgjvlmygz?#r~O5SIBk~meRW>1yBrv} zn=MxkW@-J7+<^q^! z-{%6Dd_dOu*d|`o`Mb``3sLY;)uUp9&gE`${^dk|U)1QNJ9r6E0xxS~!C zMujIbaKl^hgy>*I!?`XO`AJNN4r&n-V-MxGFBrCnl)ys8kM#jF3gNP3QxE0G$}B;r zg|GuGCHV4|i_T4S7Z^0Sjp=xS22=k9pWot-&|M1OeO1Je9FF4E)S>Keh3VN%+AjnM z=@G4VLB1Q@Uj%M>cW&S)hdaK5dbdWPecjY5l93(_E&J*Hf!mJ|LZ3FOdieW;HQfV@ zP=YPN@?1jtv$S+iFwXPP!u7?23GLIed0N#O!_oVOOz;1nz3YINq$v7B1_K-*NR%bX z!SUej+xR$v0gjyHj+}0QJM;*TBL@is0)pfmg+W0;f}&(m1`tU~PKv-Fh@v1#(xLEG zRaaH_%+5ydfDhN-4{!JXdS_<;+ufC`LCLCtZ`_zX&T~3*7`_n%kCEDRY7LZl9+_EbozKk?cWyRQw`F2v|21kjH$s~@B6G7tg}ddv z%HB%eH~moN4>%s?W-I-gPW`azl4%3#=!gB3K@y5HK|0Da!okI|q> z>Bpe(!>(@w>h#{~r=b3WJz;+^Fh{zw53&XUm>*?OVQoq_3`pId-rM_w2AsB!K;KT) zk2e?;xck}917Yr4m|kTlXn`HBz9Z3B&bJ@Lzc#*wClw8PJXjje z+rC0=-N#1dQ?=^TQ{DOswd?k8agxm^YUZFqec8aovyQYO0~2DCkbwr3hl(hbF_4d? zPUz&~KY_A}t4{_Fo1?^_IAajJa+!gskH|pmqXN=9e;}$OGO!B1N0YAx32Vm9ZEuY` zrVccnS0J$sy1Hba5tR`gXf$sa2##^%;I~&qT|@@5V-a)ehWMa2I}lY78CZqpG1SWi zD$*OydPzn6YgO_<0+BV?+%o)7Nt;~LNjIpF66jJ+C2cYi+UT3Z^&k-sG@|s6L+YZ8 ztbj@fKO-ItQ{zVZ{HH=&mB}dLxq$#wHu%q}7=S1({I|Sx0rMx&oJfD+ckOz{@UT?E z0N(@ayRx@+ApBECQ<94{$?wBYv{CY%X*~c=b#v_9@bEB~?Fqx6kUR5wV7LYunBU78M7qm3&dKrP@jm(_RyfybqpM@azcNPajxy6w7igK~m>!p?9 zkv+|i9Q}?S3Xc1f9%?-QR`G>eM35WK2Vg+<1FohCswG;p>X-)4ZW~P}sA(!U@k`sJsfrlqtl z(&!y`WyU{BCaOx^@g{7cViMj$KW8gOQ%<`U$0B!D+lVpGZ|}RW4a`z>SlwVw7*b=4 zh2{Zd8>mb_SbpI3f4)OiXFm}Jw?B=a$O)>Omu?pC=O6?4C#P@!(Vez{$HZ;LoyQ4u zaF`*Uqlg}bVzFpE3O2V6jUO2Z9h&g?L$DTxiEd#;wAB2H)pdy_r;AYmL zNi72*Hxlj$_7@zeNU>*kAbLGyU={M|$X8W?2!XNu`A-}lmw^yYMNcAL5pwa!L171? z2$g{l0>!3A5Tq%Wses4*GN`u8KscDn9J~m-Tf>)0X8@byGw_haAxipmzYV$b^qPYe zJRg#hDe2U!)JQbIWMr*UHOF0m@1fg-LY0L!;tnZ{pBLtx z{X~6XK4YqJx43T6H~Q7Mm<0fyI%5yByMK%m9_v@*iXaz3kN@^0#OXsulDj>hFIFLeIWrPN#4-~4 zE|yIGd$9{MQQLj=kS( zRTp!t>r5{yu=t9p+Ax!AZbPup94g&C3c63FVz%wNM-5}QC>!&|?op{?F3M4HywCLB zx|_inJp?Wljrl{fsjj@&kc0vaE9~bX(FOd~PLRDJJ35`+O73p^IEEhU{if3x``+)p zMkbQZ0Ex$7Y?CiuUP7M*)hk-oEj14+e<~7}_Za)|xk^!A;?5X}d&~Z>9rl)$xD`tB z5bw8n2V%gS)C<3F*)m4Q`r-XIJt7wPvD0TACxq-7k?LbO*F%JS;QVE^fa7DPF@qXKZ zlt?852aD*T2Vq|uPRtrMUuGkkl^%&z5pn3D@KmG{E+us zM%L?a+AvD-I+UiNY)*}&^dr7I)Z?MNhijHipARpA;N6X8V6WMwymtjGzBUrL`4I-z2q(EeL?4iRJ%ZRBuVwg|Po#2O?HyU>)9tfi zZ*$pH#G9a=4=+I3>&ilqrLAQ-2+P#z#ONP}ge+TWLTRIp@TT`xT7ql^VQqyk$o8&; zFW9%~!v{baNNFoD0G687R_MKRf6#7R~#@*lew{=Amj zNS1!+yK~7h-OcUJexknc@BAm~rt|U($1k?VVB5o)T3OL3Uc^XjX+^u{c1tT7g}+>} zS}yac#31BQU^O$h!7Mis;Ci`4>V!McHMgN61{-u)0qhP;ncJ-lc-P!+X~3t#sRk&0m;);5lMOHS0 zBnQ>N`8=o|-H3XqMM$YSsCuMw`(Z%(3*&oxf6@({w?vPq3TqKt;KRiVof2fD!~3CH zKWlDE-)|s!sh?0{BdRQ)=f0Vvzj^avJI`gi%8=pM;5RVUb?7%}bO*SWDVKx7z!KKu zrLA4pZvbuRum+Ga(zw2iRY;JbuHRs|0)@3?077#23pjIUn|Q` z%5MP0#-^#_Fn9$TM!J3j)xw4$Z7%!8P4Y2&1FC}+^#0?o1I_)i&zbspN`u&_5j-J7 z<{(e&HvlEW{e3EORt9Kn4YZRv$eh8Umu2*>pfAF7fk|D{{e>V$lRF7P!_tOtG92o+39pq`z@xB)x`|Ls7oC6N^+wTD=7aO3TA` zo)#Kg=bN@ZF4ElJ@x_dwqLsO=x?RkLQv)*klsLTQUnoDLG6Li1Wfh5djV5!211W;Ols*yX8lr0{}=b(W72uDR57l^$giEBhYn0z4E8Px%8KKHW3+=iUXK#Y!3$*E6)hsx}eV|nd4YPn2w*IxRrsnR=xsJip)S1 zw{~E$ZW@^8Bp142k!q=iM_fV_9I`0V8H3;nz`luc$?8xE+$l72D3hhxr4Y>uuMQ=s zm1-nJO}E{VvdKJXo^4=1Mz69rci(4*HhtS(g7%Me?k?KVucnwPG@3a9U|pBfVOvVFK+gSA=%K}PQ1K@ez}Te}7; zIFikgI(g0MU4yj_j&UR9A5FjKv;6>s(NhU*4e9pi=&)YghKxNhRZ~%E z6OwT5hqJ`_JZ1RV+he+a>L`|M7@yudpNHp#gHn)Fl473MbGF?pOqux%1a*y44p+3q6g(tuGf(P#Y7aZ$)-)qV%oECX=aAO+oqj zSwC6whF6AGdCgjprZ0d*$fb434CpHZzFO`A17Z~BiJ>AS#AhCpd~-6A z&-K8rHqykK|J1%sv`A;?T#cL#G&+hSxgy_Lu9F0(*RBtkfaVq~{kb7uUhnjYl&w=) zTw3n6mb=+9H<0_?2+IGu%q<&V?lyf6p4UB-0yFnhib_L#I-{uI5_SYVDXUh{L-1Kn zjjq6fPn1h%d(t?6#ZIlMx1IKr<9Xd=t9Dg{ehZpGK8IK}sGNg{F-feG249SFC{H4RX@GqHFs?(HkDA1x{he`AS>- z9ofDpu$tD8yAlyQ!P8(k`B-pkdfbQ^fNT;9JOT$qO7XIn=~`_^waLVfyK zzkOqPWZxM9zV z`6nEqP?UicC<_D0glC-K*@tL9@}IcOTn4s03JHax4s3N45(-5f*y<=GG=^m$WC4SF z4NO-!T#1vr`A_hSC=_L21rC*`T5Iq%gH@dVGH49TKtA6cj7(}*lO9N0ppKMWCpg!I z6SnQW$#W_rp~Dp%kb@@saG6hScSfQyEF;0P1>ZZ2gp+D0Ys}@GjA0oGZUq?$!Qa#u z>PE_=kR%yy01^DXVxM;Yh`}*UXrg=m{|twF$F6(gx>j8<1!bZxNUbj) zvWk_)c-S#YBwKAuZgDB09fEi-l_W!8*a##$klf-t5Ndg#7X-+oyc%nAi^~;@aL@|+ z6yOxvLG(?#x27G12Ud%axooZor?O@S;@%=(hTR$1Xs*g<9NTB4T}Q6is=gZ41-T*w z*jtoW(_!>{$>DMZ3bEkZ)T%>ta*O+IOzsqqwEb(!*l92Qy-8h=yF1Ht%DDlTsEk3ZyjQ9=spJ})u|HXHmzT=1q}RJn*4?_`fg(4CXnrX9%A&V zBm&X^oD%4aMgSGwsn)mH;-;Gt@Wxy|Sh7~5paSvk9T2=?nh1e@pdEVLPPD%4P8`F-aX|e0`)gjgf{iJd#1zpeBr^*|uL%_lg1>;ohGb?L2ZRnZZB+5h z_!`^nb|7jLG7wC4JhUA)xH@LBVYJ&0M2$iR4ngY@G;k}>4A&?Mb|4BY83+w&ITNn} zG55?fqDCPDL1c5@+#vWWG6PYgkb%%Rv_@JFc;dOYi@mj*U{|9SHTaCsG}lN~8Q6`i zL^)+UxMigi{WT{dPtvU;``cR0Gy1%=tby$q+OUp+VUsY%JbHFjn z0xWfCMpoDe{5jAS*8KqKpQ#U|EYoajI7%IJKTq1G^ZATrn@yGLwj(?|uPc^8%yre2 zmefqg&?b6BEOHXM%}oP#@mPf-tOV@a25E7;bj4Mq6Lzx}eT8Bmthy=BM~wlCy9 zyF#wBpQtb7W{i+q?fswM`I5B^^F!0ziwX?BVJI^qV~T?~kf7xTKk-CwaQNat@Iht< zy0K62b~cX>r$V2t;=^beO0c_Sd>DnC41{v#=HX!!ax$>ymRA^e8t>%W2Q@_rC5k_XIEmD%+T5m7Cg@iHu zGri7~US(t_4*6^!R3c(r{snQKHBrgrbWKchtUD%V)~4*2Us+c#*tEFH?Wv)_Zq>1A zq28+6LwihKOnq_x*%kMl{X~6nKV!uG9nXwDyzdAup`TF>{bnZ%81Q{ZFo^ibdA9SlF(0j-8i{G)| zT6Fat!6TC zmXUR7WHLNlteTEv_U2?G%g7oOa8p~f;bA=TXNc7Dt5qHdOS%kH8nJ72S;bGnPVc77c?nQ)eLV}DQA&Yw>0 zJ;~l3YFAX`8mepwx3)|(f2Gf)%9GtIswi*M8O>Z}U$<a5d@c;{R+Od0J6MDxbvly!ys0gV(1o=$lspUU$zcLv(Tr0z|h~TJ|GlbnMlKMeJwK(rKSvo`e>|HDnZ3Crjn(v zm`;)ChaX3Zr*Tj+COm zHR(Z?y)TZPnk%}^RT>4h)ei7lj_4KX~h%3`NNiVAU^8@357HxwR2scotxt6kwSo$_JtkA=t3DFBit;FOutU|}sdO*-|3 z>Ahp&qQ>jIC3?i*#pF6DWA3MSe-4jB=1rzAoSh)UCl|UtK3M+TGr!<@(BK|3OPX}p z9;%i>rhssUAg+-Qav9BU`WC@5Dx%os$=c?;U*!w>bNDh+3~%ZL9=GtE9TX578R6mn#hoKxaw& z0qElbyR)Od!2np)sSmg}ER_dfjoZ(ciUY7h+|SeY?|eS3@0F?pXtQX6f2lN3O7)$A z0qOgvzkdmy*G(iXRR&6_zB4c&{rLvM+^+-Lc&R?7Rw|e3SV9kMmB1>LwSC5zG*!K2U8r@<%@dVR|_`iMIDRr1H_1@oxIk5KZe`Rz?oYiGX-ew2@J z!{5Zjy8hq)Dl2-Px4~<{ucp{ecP2j4BC`@sO(B7711Tuu`5ojSf)a^ZXQf!=UhZ}v z?ngQh4reo+ZgN61DB)#b9ehG?MNDrjl6K?9aBzpxf#o4=H-{;hLtOZ1Z;e}#4jjgA zeRRc2!%=c>#HBJ+<3vQrazs7Wy*2JRa>XiSXz~>sb2ZbMmBVxxdJ*_(JWDQ>SqTpb z3Jpq#DmD*9;bOl|Dzg%DAVI+gSpc$w)NS`MQ<;@Dh;ETTsxsJk+8$|O@{NEYJjxE_ z%W#d(_KS|%`+pskg6BowFV^Ey z-#-v}Mc1tWVWyN>Pw%ZCgj7-IEzu*k_;^r1`g< zF}I#(MW_k!HKcr$E5^ufoAO~!8OPj^HYp#_x`z@ppsP8ZmgZ)1pa_+OhN|fj4?7F= zel_MR|A`ACbs*$hkgvae%10f0I@Y;H&@<->H7&mV{)RBvre*G^JCAYcLJa3?7r z^*VSdz{st)OZk8rRjdSsk)>kQRI3U@ZOvg6V5eu@d?Xj zQFOEa_A@><>Sufm7Si7QM*GKj+tKOvKisTLL+WsRUFI3xj1S1x$ycn(p|G_II^i=Z0BGLoL&^avHOQUJ@4KKRRPBB+Kx_ zgH?!Axiba6ku#&=Ibm(k@`M8v(y1z&PcW&Y4bKTWV)pLF9Osd>D)gqLZn@9OY|POK^T7jf7n!olex*+#iv-|KH@*(Ci#Z&HWLX`y-vr{ZA^# zrs?D#k4N+1<|)1vJh~_48)`V}hvE&+2jHxsmPsX)WMaK9m=gkyKYK$+qewY(U_i>5 zL+_o>Lrw@7f%e6eg4Wo8J^ERKMY7cKfSj%EDsHo-5edrEGegQbYMXG^Z4HRe0iYk=IE4*1Lf5B zFVh}py@&MP`8?#*K=f9hzg!w9rw-vLlN@(GkMHg0DTT+rh3Qq6mYx;JqNxp(Yfh}I zj2ju8e-56%VqrYI)4`B(gKuRpzm-en{FSr@_4<`bH;}jegdDeL)=mdGJ+o4WLiH1J zD6!~&Jt61di_ZF8+|IK%T!nQ@%EVxWjyQJxaJVOnkE`CXXRlU+qZeWKuMO8Ag$L!1 zxT7|o;Cl9IkYz%tA<)STESU5_+y~^=RdD@LzK6|ew^zg+LIy%ZbCYZWhb7X9Qtqu? z&mJf{mdecooydT&>)SWIgCLTlxUqU+2H^0iuQknwGi( zjbQ+Z%k+nwlo-M*jSbAK1%V@2$+A^onLmGW&CA4yVEqatEtM1Ep~(GSC)2Ul3HE{; zs`N|0ru0j{WQ9@kZ(d5TP3Uc&AKZEA{O3JLx9IHG-P-S3n&0ZX-?bESfcsrbcX4xl zGTAvfg1_IjwBNO~9|7ETuYD#TFnOO$EYuC_N%CJm0{E%aeBXV?tQ)Rki)zft+mhhQ z=Wvgy4%e|E3-}vLSdfBw==>)Ln~Jn41Vy{S^LmpTIOPY#mppQz!t;v@sS2V#j^nn%!F&N-JBYFAc8H-^3VkBZ1O1ZNG zDPY0_hli?o{uW#jyRc`jNbwRGXzXopNI-%wIwag%lMOFKLIJWwEJ|EhV!yVD0LnGt zbTFzB0+$3lMdq61+vSn9S{W*&;rl?HJ=D=>M!LUFZDPB;>ZtFu3&iD~gQq2)W) z?!(A3q)%n9>Ha=-iD}l$rl{I}_qH(@bqHu~5E=gM6L)DCpIALL6*gqQK*kf$QTi@@ zw9bjW^+x9dKCS#`uiyGrMokdEfcy*ofqrdsyFe2zavLb1;HUGJ7OeR1;h->F=~eN* zz&q%hV-LTELg;!A-UD8uZ6p+?^L!flqy03Z;(&;wHa}56jcCTC5xsNNw-4F1Z6KgN zh$6p(!>_AVJbweCyG6;oVShDf8>mEx_qq-(*dU_;2TFF39q0xEhKK8>6-^CX=q5$S z4s-(n*wf79#IiJV{rhep05W|_CP4?1K1||+y`mckfL3kLfd-#3=QwRQ5CG{Wobmx} z;B-wP2864K zMfYD4r+oUh6_SKDoV`tGlj2pmrny(6Sk7F#+Cir_NrT9-+M#ion^j=k_fAyaXh}Az z-5|fAC?^&7H_1Aw52VCd_S{c!8>G@GvNf{w-pW7Bvvt!bAmgX2niwd#ExN5XAiJll zPH$ASZ6C+bBjOkv-$CEEey6s+yT0$P-+6b)8Q>lzxs}7+95QzOTH`Lg)LQLkQ*WrL zX(dP9*ltTX>I&SJa?};L>@dLax3OMbIqC}BY8^U{niRewckdDjwfO{B;I@>^DS_Kc zGN%PD#na&#H3`|?Iwf!+%ZZbVON|K!DS_Kk+NUD#dVpvRGMuw23GJ%b8-pv1DS?;q;nYkX2e_z@(DQtfT&+=Y!D~7tz>VrkwxZTF&~VfhlY(otk0BDsHfs-iN~E&>U_dHskltJQ4rzK2 zf4859blf&+qG{`WDAS4z(@s;3ZDBzA{`lUxKRmCipJAG6N?ppfGRtJ0Qu4BMIm$6r zbp_ahoYcO$(pOjd>dJIgSE}c(7xhbk$t#JW6;~E=W7&QQuo0HV{obMzyU882Ie#QO zJM!;aM}f%dynpSe)~{GLizmNPHiKr?JY5A?R9)9qK~h>kT3Sh^5fEvR5+$Tj35V_u zDd{eU7?f_5ZUhNw>28MZq4Pfj@Ap5?WfZvF*k{MuYwfvXbq34(yUOn|Ymy!1L7`=S z(UJR(4sUcv@zV@%o9{VkE!Zm?0*yI{bn2!^B&Jn48^wod-I~d%;UY; zBi=}8_&hLo@guJ$v}fR{$hd=Y(6X|G;$~&b_*Zt-So6nE&&&tIn9ytn4WH1ZG}JLO z+JxpuGrhe1{ps|Xm81u==5<1eo-YO-elkGsZu^?1I>bUh|Kz;pRJv8{#q)Nfm|!g< z21CEOj=Ro^_GcL`%-}fYE?+3CsSJi+S1AVWzPJ#{2B7@fy<3ndP%R zW`UuKV_Ne(#D3l4E4}1OG*HRgglDJCPZsrZHkV(FCw{Z>-xxVvho#)d*`#Mwb(j_U z%%nekh=0;Q{QB)oLs3z-QE`|yD+Ytn0XO}sL~ByE*Yl(Jii*|hb$#F8%HJn((LR(K z9-k6+FH$i|ab8>modsd0TuV;3$aUs-^LDZDJ#YF;+F|(+fdIg)l6^s}%0Esi4T z)b@i^9lT9$6U|NvOJ+&dLZuFCi+}MlYE?|1yV6;7@Wi?h%-~gCVw^aQSRf}ldMCin zFx||vLik@Zaav(tskQ&-5Cq!DSEC#@env4}@$HEOe8ie5b8kUhNr!Qg z65l8X*N=tU_IO#Bz$oW|`M4+%_z4elt^_LO`esRAAeSn-QiODph0&9aBGppzxX*%D z22oY}oE@F!>SW%hZJ%NP=tHT~rzHIrrV~B65a^T}3{gS0Pp^-9{)IimRQ<(PX8*A(N6;d6;conf>bG!6Dr7i0IIxn!7E*?be;KqT+(S**Cnl zGkOB}YB65oPtr?J_f3M;)22I9t~5oABWm<=k*Mn_gv;>R|}2Pm$TeJnR5bU#ssl9@4g$j{fbK`)B912)DJ04JsbSQ#EfFuudH}} zX&)5i+DObK?Egl)Npb1GgMF>_Xmts2Q#xMKlNH%Y+4Y7|>qMP#8CLDTdYKXR;-3mS z>G4RLH9RVgRzS3kN9Q@ZWR`UFd?$SXI;}pr%!sM4VO5rN4g1I(s@!%}^k`_<6qc>x zAUAH(FW#%rEFrXrg5luzs9`;0!L8=#!gl77p|NOX)-8DM443EMKKU+fTU(Z$Zu73r z#Z#?aiT{z3-OQ?$pmROjrXt%)GmiXeWxfPE<39e-p2k7G&0|SFp6ZZbqKd-2uka_O zV*3Eb$se5``q#JWdSau}(?8Y5r!Ot=Z{wU`ACJ}D(+558r}j6x$vEC~Y{hd*um~N< z*oeNe>&P8#J03wyS-cmiCwh zQa^3{x@=LkV1eMX?vf~G-sfr(VsQJQwbvG6vxGHEfYYN-^z%VSyEaoI{IFT7>P8GiLm zB9;ahg@<{0Z|jwl!eMk15esb?rTd}O;NQ`sXb9_(oMZOAML&Cv3n{diAxEJ<1O`Ri z1OJ@-4SB*&GEha_$fwv!uby&D9|qB04zJ@#%MpvsincOV;$GNA<@TH_QCQ@2cAA7f z5nY-=M10FGY;OqW6V%!}6jM4}xJP}&BP*y(^*XTXd(G>PPnJDV8^6$hppP(pD;eVx z)=pk>-8_6h`8rb;y`o0LUgYSId@8AX@WX1e(*Dp(`U!@!P}|28q4ca1g&%E2j{Y%H zDX;k(Yy4?roW})wzmdf0y+iq)6G1y-yHP>+mVxqWQd*!!Vy~Q6N^Zo4m5cR)l64HX z$4%mn=AdL|43nBgiqAeEBr}!2cwOsHExI zcArey{+j-OR(;WZA13eNWU-#jIV`;)h$WED^KmH>4JJYY+B2!iuOwO399gcYgj^xWxy_!STi{zLw@Pzv#p-6`nz3h`v z`n;#I#)ayuYFgW3d``xMhemcQ6xa3%JxBp-eCkwrD9n7u^q^GPqqcrns|D?mf%X^g z_;QTrubD__{d9jhk_s8ce*g0bEvLnkJ3HX-s~mf->O^!RYLWr;;t@V zQ&rKqoTUey>$ot2Y;vP47>ihkWSb`nEKiA=6ifSM3rtIuqy12gwhZoNMyX31bf)a; z+f^#KR#ww}S!S_7)b5EF(l=iYZTE?r^7kD!2wsebog%A;)OILAbwUsStd*Ji68WW! zX>QBH0&Xn+5M{ydJ(31AAH2kSw z=rqtqSe_&$K9G#cM+uN$E$qRot66@wRPkYH@L*giDFXU+DwA9wI_ZIZ&%7xnn+cKp z{im=~uH0`{<)|G7!qHkT6UEc&kxUT+WkT491*Uigl(k0MtXzl9*TC-^8v~~aI{4P% zr#er?=jy-NI*3KzT_v(YCJhQ9d2u#gJG0Txa8Dhnv8jBV`MI+G3A#>sLe;>fUfoCa z77q}{p(7+VGpduDB;Ip2mKW$odt4ce225^eaZB|-btr;_A(pJ!;Eg#7E*2a&%)EU8i^&@eEO&vUpJg<>9dmq*6J$E<2SRVsC9dqEqFZa< zQ>YacGj~4{nGfrVKOdx32mIA`8ng9+5Sz+tny>3j&mzOJ3mwBuv?Va<#Z>|Gsilp|Svh^kx!$+m>tTUlaty|-|;_umGEw>fs@A%8j2 z;z(08GKOv0_+TCL#ZNVFt-OOMo^%h7SM+{<{C%VC zzQLOB-IpGZFAHB+J5=8{%B8_@jkA>_>hxG|A@EZ!7y?;!5(In%DJ$^?wl}v znn9d#LEy(@>`iglbV8ct)Ba_q^2zQ{v)X#{p-M8Vigu9kz&!TOo$O`yWzoO(r z49M1_ZEO&{uL@~tnR~_*YWQ`2ea?%T_GVZ#G{Eeveadm?4tOkucZT2Y@6I>n#%SUV zPDdli?@a4;Xi0HY!|b%69@1~c4Gr>*&80Etqa0*axd~7O$|%bPk7>gQ>69@~_m_IS zC5GoVH@juIM2rjxShsVjC_c;QMo|TA2EEHB|AE7)P(`8lZTCgpU%cKwH1G8mSy|tz z3@IhA^XdCK*|G~jOLO1A@ocF`-E*2&9uvMew;Gf;zWPn5E&PD48m|A%QThXS0q)QS z@3-HNHoP$u6V)EADZs%y9SEO&X6SZ_$^M)jB&WGzHsL!>dz~@Q@4$q3RsZ614a3lD zqxIUzMCM*|>Mt$wF+q172z2yTr>3F3D8$yiDo^LEf9Y_EJvGVTPMxQ~@eb&e?ER|1 z)*Fs0SFk|^E7i(Sy1zRtt81S+czuh!ZP|*SN<%rep01YTqG&=E=o(mx}(R&d^06pF9 zw8)7~+1ftuMzuPNH@WIn%)UDLx_ozO*TzGaN03UNRN&9s-lwZ1|9U=bEGuxgSF6$7 z=^C!4O$l5U7+tMDG>&_XA|Ns>sP2P%C)X15*&X9t4+Ga7cUTRDKq?LS7tEEJRP`!^ zi@TMmas&T)g(}tW%h8v(?kTEpxuE!hdL1$_gs5DsO7={kV6f3&p5XHB*Q3Np^#mAmVbZ9&y_Fs^jvqE7RMyQ&5wMY}@?< zI18R%wN*eKiqS?GB@pz?!tF{zC*M0@C=5}B`0wp1p-Ujp-C2E43w2mlig_Yw=xhVPT|>&o54BY zoCqWQ!7!nJpWRQ%Gw#C1wMp1?kA94Yd?JMQ*D~|^rbbi0dvL_*6VKA->tlT*sXl~f z{kDtKrqy(U+M8F_9+offR+1xdN2>Nz#O5a+AG}0^mvMM~JkESjAn_TWC+Nbvimc<6 zaC1Uz%xkLX5H5`tU1>CNWn*FKDxNGYs-i>;)@w}5u=6hu3e?5O_)*MuAFP?&Fv2B7 zFWPs>`nhEBGDLy}zC6q@CFT)}d=p3h7`!79(m|a6&pfD%4ue{p`psFeq2S-Y^K@ld z-{r-vy1qtzuIZ57{bu`d_t`;2r{3VD&8rT-PcCA+YUlyK$-Abg7;|*q#T2ppfxkUU zis1tzjifrLBYTN|HW%QcKfZ2Vz5My3OEzb>V@Bi<1c>x z-O!zvVRnL)nNq6p$T48JO&JM-&@gIUJ>xpJAy)m4_UhM^3(09`4lB$fiES%gmEu}(`NDU(QqXh_|3Ue2y@Ibp zFrm>ruDJTywlS3AWnuhd{1BAPwh^jtSgGp4k$O^kWY*M1i~n+W*PcC*$#5D*y!aTc z%MzizuRKZrUgQ8{$#|VVLYC^-23D3An1Y8$25br*Wq+@yt*;FCHm6VsJ+?8-c zWhyhyuuIYr=^gDz-{A=HZCc zyriy_yP_rvzTzct9Hn2@fEts)RH9>RAtb7N4;P!EL;ESocoE#}%TnthhlXDjbq&UE zB~Dx%3QbG&v_ck?fTjWlg0LBM`rOS|Q}l+9hj4ri(Xu5#HsPb2z?IbGK%oscWgC87 z{mY%y2)yCIW%+)mEg6P5aXrzA5!w$@{!UppvuHSYr>w?&P}a^H(+OFROZ0B?oabMlUn9P(qb$~wdwx}?<4|=_Z5^%+47b^FZeqk=lnj^f;&sMl?E2)augGVM z6Q;gzuxAPX$M*H#UO)CK?-h?E?u-UK!RFP-S{z|`*fPE6k=+mCUD)s_RAvS>6Wz-W^YDn^{GN|`0SR>)Iu@3Q7cb#5#|1AVT()S+ zS4A~w;B$xNKk^(Wy7B>Ah`OhK!E-Up#E%=|hu|hY zs@qkh?P>fNhGS_mfN`_crAN5Glf~W+3djHNCs@q0CBBg)MK&F{^-)JHTddfS`AzhK z?-{fl+&DH&>{=JTrEz6FN>HW@0*(yY-VB#dqVM0!hFl>Q?unDnD}YVQd3$Zpuk7UF z-3Z|TMgsJ!Kn%&Y#|aNDJIwXe@^&Zj2{zUfaV@TQtvf+w|J**4(e}8lb{P4U8C=eC z(r17VnLFST*Lrg{Lti4is1hTrAHRYkbZOzhgk#Of{=y{WzJHlPIUdQwo2yP3Z+xs1 zlVEaSRF%M{T*nkcGIjs>dTyU}zpLK< zC)mXwY-Q}>XRk~q;OTvD2k>w1GrpiCxVOxC@z6C1(iEVtttA`6lFi2W-2I_{`W8)nS}ww~jnWKCnOl0n^o+oi*X;8}^5a{A0E zlU~1(lh83HUr^AhYwOAHP+(;{NgZ}ggmm2Z^%d8e-*gHQ*D5GM0OGN`t#_O5{=gZQ<4SUgl+z%g}pU19R$)v+4>l&YuLQQ6YCRUJDw`?!&s z89}I!vkEvREso9j;BF$F$ey0?;41KmyF0BJjAr4k`o>xpO+Ago13rrF4eS|G?FDB( zn~J)FrX2PNCy0{}+Yo`ivgR_*Y&hty_a?gnd;S$237gH6foD9=xEyd*eIZ=+r;+ z;K;hy!``J$JH8DA(j>|`3STRJ*7t~(UC%RawnHI=&mF-IjD!sd#D*}l=ecPKSZ=t) z@w9-Ig7Va4Z9<$mVGzGA*w?Da3bd(^<1zz@-!96mk8gA5wVoA@CTveGq_}@@|G;X@ z-USNrEK4BY+$*DsPk@st!*bBvDqRzgLr~)5pd_B3uHfK4K<uSQ$=1;i={|Ek3RQ)&O&3wv!%m|xevWU`6Y z&)r^A>C;)w(`UE&3I^%e3RqXbZokT@gpw3r{(3?CXVNpnO>4g&SK{@=h1oi6`Fj6f zfRPJYDfN%8n428O?z-@Y*q_I1w?XW`tj7+eDC+2nDSGO2#|D`N!`cuEA=jvVZ8w(| z$PMY2h_TP|cbl$pQRZeByq;q;%4(gotj^ek{BT;07T4Nc#FXz;V12mXlVd=~c9*G# zH@#UU;##JjQfBI4FK@G&Fdp69We4Qzp zM@Gy)A6HLqzsD zZ|?#M2@w{lbr$rAv8>jMH}MhZ<7oz=x)GkFSVuXtQ8~?a6MTLM1q4l%m|Vz?Ycck~ z3HA?t;i~n7n(ThFqliH>rZBw_TQ_|X4cD*Wwi(t8U0ymQFn4h`kAmpnjgc-kQl2Et zpypKGv94m`?7Mdpk3N;-Kets5YDiPPK5Xmfm5^IF42>Es<8v@<-l;O*9Xd& zi?W6Zg6dK9u3z-?+DxpP)t*J+JDW{)d2C3N#@z&X1=<{!9jx$LNfukQ!B|Ptk`{SQ z;uA|E4QUxJQV#mOGXVkJhi49U`zSot2ND;MqWthiT&EtXG${6ZwU_Cguw3f5$V?A}m#> z-|!40=s-eDPJQZvfT+<%Z}H*!-{edvk5@diG2wvEX@grHC~e(5Ek;>A9_i7`J7?}z z8H|I{g=rM$6DawA73h=Y5F;qbavLLT!7rz*1fh_o?P4(YU8}E%868aQ3)jKasj&c;}1%CeQ<4POt zC49~a74)}x29uNbY}oeNVF=Bd&ZDic?g=pu#IXU+faqRRkJt~d7pI@QT2&(jWfGv7 z1gPD)Qz3QvW%_IkoP_Qs!`Q*|*mgm!^z5TA5_(zj-})!lbu zvdwg}NQ|o2ir2A({99SGV|bgVq2h6lb43on@5HQuRSKj(+IRgAUOT9FJ^Hwy_Fm2Z zlKr!Ef*AU)gufyZY(0}6O@0(G0mddHB^9 z`~^5WB(SQx%%55f^37bxAYJO4pU<_&gX=#Ym^`iU$NOkpr@ImD>To48@;AiO>T z06QRoz?ph0LfRNFf)JU#qpIvuFMqA- zc2q?Sckdy0NPxQ>e5%QIL1%Z&+eTI=WB@v~Z-8vPDjQr84` z+HW2+Ln%YpV#S*N-$xb5>x~C|B*MLPboe{|h9`a}w5%Lrr4zwE-^#&c>8OagF3gxW zQ>Vos1>hH6O`{WIqE*5>6=FiJ8zaZ6@Wt&p<{oCm6U>8}PsGi%O{o?I(XWc3sIEN> z|IC`xR*rdG=z{SD;C^y9|t;IdX^qCLZz zl8>j*duQAm1wdR=hyVAwz;L-aiUmmwB`@uFaSKn|A$f1z>E;yf&5Jkp)TS^~lv9}F z5Uju@1c<1n`PF;kcZ2O_ncce4N{Xv;rIf3?chEr8{z;dC04%5F_!;OanAI=MQZjZp&rN(4 z%_b;DBpdB(aZhf)-X`%CAx&K4iX;)@n9?f!j7?n2cH}E}zP*Ib5hVZ>W7_t8dyao+ z?Jf%E^c1vs?zfe$a92~bmDWDmg{1uoI3E*XnPBV}VG(dP&L^BJq3_4L?rdOnvs7o4 zg}KP}BpX}_sz&TnlUu~~G1I7UGmk^kne8JcJffV8K=L%v`hrAFvHZNGbe@xglKM!* zX_Pf@;u3*X>Fu^hEj79X1z9d0732nllkeWbCmEd#iUr}ptOzJ#>s*a-*W@}%4HG{h zjLJq2c9n@Rdz+k3h!0CA^eZ+`WAU!MF3cmu=jbA`Vd|+pQ_dqqRZhP81i;0O`Q{ZS zb6(>s?OXjXAe$$TMxo2o*6&DJyoDckx2*c+Yb1!U*DWRv8!5}r+}AlOo4qr$2#XKx zF7sjQn>&l(c^ZyH7@k>%61CYvy$2mC7Bj1 z#=3`G1D7mfTs<)qvX0tx^Pj~0=Sug*ey-+{_XNTGbIN4H8}$n_h}En0wD~Ch57+h_$Il9?n`l=mxA3O z=bj#$$kUW)xpY-!CU%(lAm<-7eK)P+vc{L$)%YE;^1PQ^b-&M+76(n%6ydv`f+}5x z3z*#bq|J?F)+DI&@n1?GKXEr}3TdUom_0qAQW3;3S(6jUr`+r7rHX`-q*%8EgK#Rz z24us1BW1PloU<7nIt&a_F#uAR3x&6+#s{PS@Lgqr!VWG8p@2R5LMp}2|2ciVAZB_t z>BvQq!FU4b3ZMUmmNkC{FDC&ja1u^@4ifKoOhlF?gWsc%rs@;1#co>OcyoumFz&0x zt4x3$ZjknpsM}=naBj%f4Y%Tt%%fo%maGHZS6p@5tw#@z1=YdAkdpp#IdQuSXac?8 z{$(^?=h<9?Q<0jXRZlA45B=t5Pe!1FesqtL06EaS z?@KLx1`0@@BC|D!iM=Dvyhc`G@c8ZvUH_zZ$ey_UNwOLNs(-O%Q7iV9UjlEY@JWyP zafsC1j$?;ei7@7ER^PdBFrsB2Gt7#E3(H9nU~Udg2X@Y<(V3tB$J%Cu#}Sg+clWIpYmo zq!IP%QOGm~=m_PD0s-PZy_?uu1sS!fxUf6y+B!^qneYa{`1_hEpmVRckn;9d?$!(O z;)zzlS+wikK$SyCn-!0TxuA1Zx~lNUH!I3Y=~D7_3@h8qgzUezChR4v9&TNNv*50t z0{L`^T>755bSsg8u$v&VY=R=*bjE@a-CnV(h|iEfS)bL-v$I$04uycH%O;3y$^Djc zReH(dq#Q!fH$J$~8ZitdxiT&-xk+ij64Uq28DdCiDx0DK>2MRrt(D2SUEF8mj&2w? zojW%q=+jTPe9n=iChFvp%(KDh(#DU2X!zjZ;(*n#s+IEOaMNPxC$*7J%%hy4%+~ap zpltSL{a1K?iWj#U$lO?5KAdF@ zMsn@!1_%>meHMFmJj9vv`1dHGZu6*umR~WH=ZuY0`T9;3>CUY|Fgqw691j0&=4n2-{oL<+{<8}FOIt7Sx^Ksr2TvGfe^!l5WreQ;&gi2sS;%-H@Xdg z&@jQKZ`}3~uc=)vKWSR}b=gXQ&a&|=Zto)r@sWpBDXcr~WGsppFrd9CYUG3*ZbS87 zxFJ_40eVl}Vkx5Og-xnkE3c%w^cL#Xwcg9p>l{4+{rplAU=sPZ2GT&pvhjmb??s0y zDM>z4*L;MeOtnG*9K3w6uiCy4y;rvc95vQZ z;s3&>8@JbH_#CglrI=INjmD^I;w)~gi)*>Bk$>{%+39E9A=Ku2v9>cI{S|p!1T}Hd zSsd+n`hvWk3bD`asq7VJ(pMAfWc~}`2JGVnsQUYh_1aq=23lsgkCs>98o)l9ghim| z7S#4UUH@7;UA}|(3j%nDiI%{1qg@CAMjvRv(zQ1fuv1@5x_$%&5-f9_RAV|fd-bVz zmthvT4 zPAp|PB!vTbt|vZ~J)&)}xT^~o4g~}obIwl{qLQ5*E3XqKwEJ`L9thT^ioszrJ;6-{SU4j9ekn)evC2e4*3I< zMU0YXdlPyMOYtC&5MO`^e4+luH=#kKdF0?<839`vsGaa|O%5cq79-_ad#4o0{9q;J z;|!_WLel?@5wIu9b$Pc046Q{r(I~-yk3Xk=HsE#7zmpLJr1_AN4!x3FYRv33J!xyS z_>(rx*R9Bn0otJD3^LS&OPvH0``->)asQ>^SfgAp``i>D{xJ%spSM zX}1hlH(OX_PB!0)(hP=d5o-$a+k;%- zgD8>qZdHb*yCycS?M@&o2RsPetisrv!!m0GO-|o`o;-vbA}3ExxgN&Tc=jurgt9Sw z#GVM5aon^Ld>%Kfk7xSv>@0W;4ND*`9?4g%3)_2Yx0Q}mEPzX%jjz>ujwW3L^osu9jpM))a%!{aUcw1f)08@?8q&hy2FGarD&&rTSQqySLSM zb?c>Ayvc`=bmjv|t)JHrfGt&4RzbD(l20(%KS`Sq`Etb3o0VnHWZ67ot{mcZ%ukHk z&rdzNOpT-x!7Ys%!uclJwjq}54?&}`of<-3`gd}C8^Qy-0elrg;)tVqMUAzzia;8C zD`L*_7A=51d6zY1RKpE8Kv~(wMbf2XiqrsMae_y-Vy-}uo|)Y{AqlRmk)5AtjSOik z5MF>Y@8@kb^G32+fC!IraZnD~*4@Io<%YR|1JaORgz>o+R?b)27z=eL# zV61#unEMV15Ojzsggfg2u zLh>-;CgX6kH>}G#ut+=2SPVV@?I~~~=~OtB#GOY4dmi&9(*gBGZc+2U%8e+#oQW6L zdIs5^F<17A*-Nw{Df*RBQ(O;M`gLloeI-YvRf7}j7xNnirw;PhY$HWx9;6^vIQc5* zc$$ibpI+;f5GVGwwPAmmDaWsbdFA+4o#<*sm$4`H)RU3@)R}PuF}ruZj@TI?N;3Z$ z$k!EiWu1yl*U+y3x~@*cp(6uCUEDp5_<;RPH(3Nr!lryc4H9>kNlw!?`^6h8v|6u| zY15yiN|V~9<(G^zs$N<;)Fb8=J1rLrfd&EKWoa(;^St}Pq*NmVD5`*5heF<4H)Z(C z@I4J5!`g1mxL?`?x)qaVXD0Rr+~B8%Sz8}Np86Qy*Lgxb&SjcZNHzOh%tWhhYQa>R z*b*iA{@r;tj0>-Z7i*&hwpDt#%`VDzW>G$WX!I50O-?c~C&)vPrJL5G@RO=C_9e7| z)93N4 zd57Ah!^h0$+O44-t#_O@FQZJE#^NV>)|Dtq(s#hEM(g96R&O88Ytq}ZPMd5Jo-|A} zN;;xs-$X5{Qha|NFa`?ZJj2F^C-TkpEkq$mf}k(Cxe`fhVuh~2rNMW1Y@mWdupc00 z|KqEFn%}WZPu?AN{8A}|-p8hWwT)W$S1mhNA=_zWRq-VX`b>;!&h7;_jJR0pL(3?X z=*s0pzGMnZ)Lj*jc{c(M{d}UZ-9qb}p7?|qpf?JwUm!KG<1avmI>3I!nK2I(utx7a zg~TKeD)U+`D>Ua1DcI_SIHIS!id%TnRZsE*5x{cn%ODZ;ji)zrd!?f}mki!B{X%q8 z)q84}v}nEGhRc_2%AC-~aRj1MgvTtMy^9I9l zt4%Ei{o(ib zYDYO7h^A<(sUl+~VN=1{saptK!)v!d?5G+h;<--8YX`E3v;b#i^JP~K76GiLWO}T% zTTDc5U&a~!C{xu_?ZnM~Fj{SV40}a#-G3LRSIFX~x@-Np9zQ@IM$wG}%6})$a*;^P zvXx_FCGgt!iDO#!1fn7Uj<=N&+YE)lQsSD+-RAAPH@41Y^~y2ZXBi`c6E zyS-D@{KRwxuuM7o4rLPx<|_`b2Xk~dUiYguRkWw}L+Zjr#B^ls_>MlG54U%;ZKs}2 zmj90xCj|0_-aA!{x~xc`PdQc>F5x)Ba&Q7awn&i!{?@{M(#wlUcD{k22c325G<4bb ze&c~Tf3X8xho*ro9+Wv_*1s7CoOWyoKe3$F99w~p&8-)PV zrR}!Y2**S$3xHnow&k3Uu!87ty+=6Jw=yoGcoue=9vr~)3eE;SvpY@aQ%cn|52XvU zrnwfmcgo}H@_YA^+4`(oug5An65J)~@#kSlrreXLHkvTt;8(5IiZIAkd;ZIUu2Qs7 z!+{Gr5LAdpyhSNZ_U6*P{R|WQfi|S9tfu~lcX_oR`}sSS5UOTKj6;?`jaZ*M4ASIv zCjlVf8H&h+^u!|Dfv5htCfGBU_|Uc9yfu2w@V5*F!<3 zZ`!W~KH~n@FZLuk`$m%#3eVCaDGwweR2Q>Sy1gpLs`mtB^BWXDe}J*f6w;UHa(>n0 zRS@#{w)YH4)!H^3ADsG#4p@uqv8Gwa;GXg-epMhc08JNA*%j}uge`)2{mL+GKv7^~ z7r1iEn?IL%=}`4QhO7mXMZ}Ho_a8UWH#nkohS3wzd-^N=BJ5<`1{NJ%GY!fQc6W;? zhVLAET?Uu{F zh%}J&d9J@KI=C=-dAQIZrB5X2THbQa;{ENmoq8Sij>n7bYCb2ap5&Oq|L!Ofk}6Mg@a3 zZV~;No+@q~ZVU++GnsyAN)!canm)Fi7*s&;Rk>h3Pp|8$)Dgc`rs+iZ$vX}skkIle z4FDzOv~Mp4T&;qdsBZ;j;)=cH$dUK+9r|b0824`Bv`apIqlGf*wcwd*^KZt#W{3C!9Gvj!6RjQoVIPTGuc>S&n zsi>C2aop*-UoJp5LkOCC7YgulGOSZ=;)4$>&GsM8914A{S3O0(2`TdmGYm zT>M_eI5D8=W*TK|9Y8%Sx3ff15NF8P%kij!a`aJL_iNkuUAGP7O|=DFsz{j61m)*; z&Zl@qNdI2EG;1V{`y=`RPfZXj$?LSQB%2YvKF!3(Ih|vup}3=`FZc0dAVnt2rJDtl zmEQvrJr7tL5(|vuXlR9yFv<>OCjA-gxXh5$8Et&<-lM*ZYMdz7qf;5t_cC@L>919W zfeWn#A<^_belvM={-|$2)w86taX&Bvg9;ovS{cmq3W*V*ZwqaFLlji+lvTj-AKSq` zj=)!B2#1Zkdocon3>lTqmkEhP*hdalg1`KAaR*T2tgmHw&l8a`OgiBCvd^d{`|{74 zulEi63R~XFH4>PibDJP4M;509Xhpw{=}&a0K5`7m%iCV#WWuE;TZ)Fm0ZA+%tw{2+ z`fC=>iBr!o;Dp#bic=t@?iu5w{c3n-N#s^`0%hTH^1V-UrRFY|1$1FegUsvmZ<DEU~hGl&6jzHcjw$6 z)z^!iY{vB(9npS{yp4SYJRe9~Myttkl8V1}A(>R)?~HzDrm$`fTAX%(Za($B7u!8r z+7nrWbWo^if3@3%k<$lqOugx{#-b?5e(oc_bzaS`uo$yHiAl1pC+T)BiOr1Yg5A22 zw1o=LIO?6Vz%FZG2IZnTocw*zwP&zn)IA*(*1fXKa`;nTM~aZa`)`>>u0C4Td!(JV zO~Ox$^Rfz<<6L-{`N$jdmRtDDT*yD|PqJV#WPOdLA`t*NA(^d-94?4GkohKZ zf)85Uo zc56U#-T?Q^wWJ6KAEjS~hHWDE0viT~i5NarT{@^2cK(SfNcIAFabM?t3mA6-aeD9o z41TMkF%WCc5g7zfK*4v^A&JI*Zfr}MItdf+XSIUlMcZYAzgNp zaQn=U;&q;&>PiR*ETOxA@^FHaE(40B`l_t?^-2yAmouv>Cpr>iB(Q%n*4~9*yIs}$ z1GC@2seVu2M5}mV*GpcYwA_$x7a_2JKAcuXjfd*H#Jx2^XzCYne5#xQ*JX+fxcXY{ zu7VXDD~T#^LL<$j87sT9$4BRXZC0fCe!ctYJI z?UZj8sAtzeRv!=j`pJ){j%f$t4_c};u*`rFgb5BZ&aw%gy;9tHumh8;C+V2~(nWoE zXHG%<**RxlRM>RfS0FDJ!IrjjWn{|mID z+0w=u*NZrPA?e#O1~B!iQT(G^b|zQ*=zU1pJ|s_^K|a|;Es)qNgGJK%uZ;W}o_cQ#p&Sxq*h?>RIX zgy?uKpRc(@^Ya@{tUIZ-9&D!R%W;mlq?2kn9P-vx`Md>q4@eyK+d~>@TFn_QfuOen z0`XEjikiRd^3|!*t>OgmQ&*z}+tsgTbFZzivR#+SeV)2YPu>t80`R}7rt1U#<$nr}pj&G~WGLq#Fm}yr64>sD;^~R!c%}mXcJn5t3NMCYe@5 zT=;TlThaq50kC!4kac6Fo2Na23g5iwh6+~de&=p)Tu+{HKj~mIS?$Kun^r|!Ao;5V_z0X} z*nb!Ah226kfomGcdaZY!Dvt1xlv1uNEL*7nh>DvV2Q_UD(cgc3#c~zW54d#JJU)htPm47 z>+gR`LUJUaZjuyo#e5yR*k~WmK&ES;7dd<5@wSjWuzaBcG!5jCa-v&~x0flu;ICe4 zc=1`RIv{y~C~z~v7Ev>2<~4%h{OojgA^eD6cpb-v@NBX%Q_iW0O!>1bbA3FRxEfF2 z<&7cXblz)h?UwUA?Z!@XB37cvHdeCr*!>zgr#>;T0Xxdk=gcRj>tK{$BNzsd=iWS* z-Ia%C!yw)sC3pLEPi5m1Zf0leu5qbT1~BQNW73ZLeSx*CoLqX>VnWPOX^;s)#vs(j9ayIc5-~|+V->o+0r2wDO%?Gd(oro zt87=hR%iX34TTVyo}!{}OY#(J3L$zfdW)g4znPlGaidqGsYr&k7`zr}3dO=eKM&R} z_91hp2|DQYcYoE$oNA|L$l2dOa)pg*l8*88w63;^IktvnFyJ&kMgTa2;#ivGu<$;- zUbJK7kts}9c0kdT2=DR6ETfsM=@tB{ZPKhsh@9i#cc1Q}CYIKKOg)#zrqc#eaC7M!@oRS^-}Dhahu=f1n(GO*>p!kaR1J@|<{>ln1yzEzRCIbpq${pQ+%ukjxvxj2~MRoAF# zVPxe|nn{-}eR2W?@J~HYu}hVHkH*RG2$4>xpbAcJ@A9{o_3>fkHsd*9Y1EyqHYkk# zkE-vEr}};WZy9A1vO`ihWMzfKp*lvAb!@VC_AatRrH&Pfh-9yW>{VGEd+$AtnPdCj zujBpsJs!V*$myK(IG#6i{MhNRZJIJ&8S;Kt+)nhpWwsZT zcdF+TY?V^urxz`pwYdVL_&$VZ?o9xr~ zAm|b{sN~@yV)>FgcC6=s3B0|2uFh-Tv-~{&*WAZPi|F;deeeZwv%;wClrk0w|-O<@|Qbkpl58!pN@2l zSRH*btpb&pd>U+c!&+2_+Elby+3nGK)w{t``*&o6M-Ka4Ri}0hZ`sVJly_ac`z9KS zKCk&par3yqoB9erU9^w|$LEL3nkT%?)(BL<9f7GZgKVbK@)0BVk8@xaAKbU0!*BGu z6$()>0~?5N#RtI8(FT@zjU~EMH&^;@mCU;#(W%ED|2;o>;Rj>eJq6%0f6542W68sJ zqb+ZMnmPA@f?KRMKf^uPnkkDr)=Ad^O!E~FZcSM=P=J9eDiGel0iBqm(!4xvKL7Wz z4!*dScuqB!(_8E8jR}o-p3~H-RXJl$qRt^jYybLD}T~ZId%KOJ{^Q(7{qx;v>Uj=Em!SbOekySnmcb<-g%Vfnan>k8 zHMfs9&dOqgH1&6h#`Q!N%|Cj>mSZN?_1{zq?84(lBQHK_?~@L1n>A5NCd71W9GI2| zSA@0b!+n~MbNTe9%g3soiA2K)3QICoCXZ|w#a)G70R z*4}F-->6&8&T8{E)@^E4rB9kzsRbre+}))VR+qxtoaYlOA{6x%5Yaf?6?kK-XrEvd zbRC6Awri15A+BSs$C@J0Jy3Og*o?DaT>f&9Z`q|xO!Xll_{RlV1aW!i@+HJSD6u(dYZhR7MIV_{E3ODBGxDz*Vl|_c+ zqi21!M`{MDQ6GMtA2lO+vGK)fjAP@A_?0?UeT5Mi0h2|q;KSU(jnutSgBTHz(8 z0%nhKI^k(W1tTU~Vk7<3$DgKAn^jtTZ;HB2Vn&8|rKD zv7wvxZA3Vs|3%FEfIpnC9}2kZ^TE8mNgamTc5^KG&7PGR`8_!`Xfm)ajr%*2fZrd4 z9(jv}RngLNWM6lDWCpmQ?vGsRK5su^*pj}q_jQLwW@N-VN)e^`-Qk|7V@>s@y34qy zOX%+3KlE0HGmUm6UuJb{JXMFOyEu<*Np~zZ1ui1hJyB0pvT?keuo4eqBCN(C%*MpO zQ@DVL)0X{4Sifwrctk}Q*k8|`zCb@#(`m^n^P(%5&71%Ys_uM32W_hOoHo zDu>Uu_ejYETK#5VW8ZsD?%|>jgXKn1a(JQjYhu4YT48r8U+i)z%%?vRzHsP0Wnl1D zm?9-P*k&(>eRhX)DWSg|mxDKR7eoHQ| z`0K7(cHAjQ;>O~n3By5ZYa^-(1j@!@Ee$)%DXtluTG^6m#kLYMhj#qUVFA(H935%( zyU3CCues{(mh(Ihqru}m?EXI$l|Jb;rHLt&w7kB8Sspf_;!)jkkr{f^!G?c#EAIaN&hveU)B;qEYI->5S3r{P7ucQV0HOVlIygO zRUAEw=vW*f6+H&*&ZbLDLw6uDi2FN8@S(TpFgpDceq{Ct#>`2L(gjK|J_jiiTxl8e-o1rMsW;Q3$BsFt2g4X-X<8NC zE8b1*91Ij&9v~m3uJfo<)$_QNicB-U@O|M?KQ1Z=(7Kv6J836u-wHf8%Izu6PkAR+t#=b%_CL)W zUlF&U{@@I)aT=}HTvV>hD}j@MAC6=OFNNtb4*)KZ_*sbhr8yeV!mj6~fi-KFp*K0t zdawGT_V^vJf;m==RjFBK$iHQJH7}H;szgz6>t>$8FRBhT7=g%fWVFA2y?;6TqiY`r zUkA;$H+RRkDZF*4>>MZzjeXME3AQpkCj;XV;S=)8Cl1XW@C^cSv%G}9BJ6e%3WOBH zGzYR^iRtPoQ6G*sjVsk!<)2CI5aF8}I>lexTfs(n=*WgAF?OnVg4%J?#Dp zcCML{6n`I|V&n^}3!bw+; zK3VF1AU)kBCSz}p&=U9h0|7P1C6~+@_nFmf{&~p)OZR|=)cku--~}GA7IIM+s#g`2 zBgr^pTq}QNj^Jn%T8G@lt^8G^I`$ghk6|h&*?PjHf0g)~=CR9V{?jO#cKI6Dc+mG}Wfhcl@T5U9nHzT&b{6xo zE&6<+6*{Vnx%VGZMl<i(x=`7F%bPUos=LpUy1|g=Ne=F~-R+HcfKijWdUkrJI_ARJD`w;9uOt83 z)tc}yy0D~!XAMP>ZY$6EIzRK=+}C*$y2~42e|)YLE&_;yK!!#(Lud$R^03p|X@R4^W?>>sRYC8s6cD)2KLeSbHg6eIpe z)vri}A4f_r6Z%TpdW52sayQxj+F&e3iJdzYbxj*xfI@eMBs3s>itC%Jrp#+7F1om> zffc9!Yf}?hqcNRjX97DV1UK0*e1P4iR$6g$qkhSdLYITb+Wj zqtva5c)GZ?ht!yD1Adfjt5#Y0eeh&>V?tVJT|IKj7;sz$`4NlpMPq|0sz?Xa1|e zDLswceFzn3>i2_VR*(P@?iMGaYMF{H3%)0HJpc>_;E<>i=Y;v62`3f>kQ;zkOp~>8 z7Bf09N$Q@}2&#_p0J7oX3?sb;D+bu_0bpXHK*Mj(;}&$Dzcp7 z%Jwz_RdFtQo#H7*h`ug3Mt{a-ulAY3+NHA_SStNye4h2l^!)wj*! z`6>tk;3Wl}X5!;a`a3kyxRy|(Z~{+#bINF3$VDv^9{sq_1>>DHO=fQ z^g2_COrtB9X+f?nQIDvY1J<;m9EAK?4*^?JM=N})AYC2mS2M}4Bxdi%Y26o@$0OL@ z5BNiB!fz?EhVsN`4TowaX<7oCkE$jH;`Y8C5fgF&3y5dUG*8oLi+h@EsFQE?a$us#C_X}v>xx&++q_(W$ZiK z^A4SM7cqg4FTP_VQFYND+dkI{P|}M2pFvY|w|m5ftkud&6``w=pSA)NuwfQ44B^Io zqD8FPC;-n82iUXFuujo1W7u_*x>zGV;`vpwWcBOs}UBN$& z3DQ01bxAb-p#tq+CjhABN#0nS@RO``Zv3A3>^Ytf6lqExB~yEVgoEH>3VY*+4ssB4 zd^fxbN_c?qze5Ivil-`lZGUe}Q&Ymk|A_!@Qd*)K9htGYrBp;W6c}p&i=37|T^ZYc zyLmE5;tT;Naw9PRhBAfKfE*w*5GN>Jp-_D7_?TkLk2MV9Qn0lUiIea3FV^R)&ULcR zl0SX4sngveIx@iQe-tZl@!BrypL5;9Rn>WV7IGDgXm#m?6~|}g|7z}szIB?jYBG?E z{b$A54)uI#7!Mo&H{%&pGJb%4tW#ss$PXR05ydde&lR@l4UOI(gxsf=xe;YOEv>8_ zTN&Q%a9+Qg?k)N|u`z7EObLVN0l!x3w_NE1B5AwN_tS@`O5$$Y@NSVk4Ty{o^aJ8&j zQ=BrC(wu>QcR8sI_j@s{JU}6^Oc2giE_}oqNM?e!;{f6CtjF28%QbLjItBlNL_bGf ztWdFRUwhngxN1Hzg2YiTuqC|Sv9+=Lz0u9jslHnSKj$Q=6lN%n;2P3r7jgaLMcGl7 zM+)*1>Jw)GzV1muH3x8InEjN0PzZAnt5F_QJRX2c?zG?Ht4se`KA+K-#L-7n>Q4ld zY{XQ*TfVF~9!Zzn9ASZ#-gPqYx!Ja00>_t!FqEsK~H36T^Cr(!c`{$yuH4N#A zy8$&3@bp7WQ+M}ZHPoWS>2?pYyMu0A zf*!+1T>Pjq4MbOP4#iUhiDKNnhw{;&V*{n~(7$ZrNZR8s7vqa-(~>|?!YONK4j!o( zc@5V=l7b*TqK)-+`8MyI6tQD@b>L+Gy-|{++9``xQMBJENR-ihN!7xN%46!vswSu` z4UO;P2DC8^A9KLMUB~l@_v0>a)kv65B^B_@P#dFICf7#bGio<_DG{Ozkye;bO7G?# zBKbSx=E7`bf_B`Ba5GsWmiSjkRAbpwip5mJ6vTFiwg1C3l9Vb&-az!>Piw#)l8M1K zmMLAVHLfk~oUyS6F)I%gf#Miz$-MUR7={~%*Ht66ss@$mSB0Y$KUqAk&?4nXT-%=% zis~UXnBdcU0V!{`PEt8Ml4*msY@23)83OD?@o#89Ncs+Pi3%c0kyh%Dz+I1(ools; z$7^qa%>$;p?S9L!Tqj2N_QrSp>+y~Zfh^lr{iIh3*S~AK`1(&7c33=R`&wlmd;6h> zFh2Z-s@l_XuHbA`s~`Y#UJ?q(v6|Nih)eJ@r8?*uxm{E4Qs!vmts3O(b173(P|5G-`}L0Z9eXMz@quF8Q%X5T@%18;ymKzO_VYw|?Hy$%%fn>nS}ZW9za8UO?r1l%-~S+H*fry*Q(+&EwhcM5IaU zbBA21{>Xu<1r?z=qB~UaQu)`i%s>yM2D(Q1yoQ#?gW&HM@*tI=DwviKt^MW7uV)FV z0xn6>j$s5oy#>fI9)Q^z$u?BoseS%NV3e;OcHlVzMC}ldE`z6;BWo% zGpXW;&>;sG^?%1y&76yCc~bJ```>|l;zeBxH3*{JlvhRyjd5)s&`4zFp*ea{tUcxE z2iM9ON7qV0Kkga`VJW_caqejk! zs0UoxwYlP4c^9ResfKH)4uvOI1nL=_=6NnSQ@yIy7Cm4Vk6grA{l@lssm?ENMzSZ z|6@2VCeUhkZ=z8BeZSomOxn8GUex=_U;%{C%7E{8Y>OB{WJa(`>vU$&WC+n|i{fQ` zcF^T-meVS=-8Fsl#}M_Q20NaS2HT3`f-j&aG7|>LPKv&Ih93S5LycnHKOlQwN*RFWq8sW8ad3<+T8uVOYdhk3UxHIuJ=3-`v^4cwJRZMRZcN-IxmGm z>y>BL+avJ#sUU;vuUs2z6dJG4*jG>0G;b7VoLHz$GeV8bD8VH_FS{vbN!SBZ4>@J& zPK&v>%s>c#cGYbU$=nYO9>IZs`7Aa>YIfv7;p7JzzhfONubmJ_d>MlMf~+m@aTSd7 zE*`GO*mV=Q>DV%q4VnTj-9E>%6diX*5eP4JT{aRs3{>no$0(!u4~#YH@)rOFKkr<4 zso0xjG&FVl^(FNR9u-jyMy-{w6;|cbN~;W3-+`+G(pQr;E$&e1NcJw2SRyWj2L8HbrAplbeObqUl#v@8mi?D2zcnJ+!3|;o}13% zZzu-_8wEVsT=W%)AGE?>@6?&4KJkbguCg_~vHe?rG^&D_C2Db|ypCLw+8{nYr;19u zZGV@_PWiPx?tu;0O`!GUZ=UKtKz?Jrd-MI~Wz`Hp?KXD)H1n}sw1zc9+oMBSBFvsH zUnmqUS{N;6?E(U;$NH^V$|QfCwzxu=Kcqm?f z53SLl`+1a{$O8mU`C2)JFoc_ete5}E0LL$q-{(4_ZPjz$)>K|9&$y$FxSnVBYh#@| z`w%UQb0!DKR1rq0IvTe1Yop#UOVcwCa(&1Fyuu(}|tkQX|Wa``A8J z*?lJedE42UZQe#Apud?;v-i6NmEJRkw(zp?S5X)J>Ds0&siIQhC5vtz5TmAJ`M|yHVS=Q3LT!~(eK<*IrC$Kxyj~aYUTCP!motI6 z(1M_B=`aA>8>d4a@U4;wI6x%)IWfZ*t2QM?f})IM&j*(-{Zk9bOG_YAGLcK{%+qcP ze5R7rlGPJ)-|3o>xAff$-Q>}c5bzgI9VJLAns+?`a#WjxM23{NNm)cROK`di1mjyt z4LOg^V02{hF_BhKfz~V$!W{^HpRe**0)EthU<6txacP(4F*!$aAP+>M& zE%1lsj0QYE6x7*J%>TH5Psy(-#e!+P|hK4;RAmmH2>0fWU)|pSXqPDV)h)H zM~6G`Li3#TXYx4OSkk#_zckCa)`y~XUW1MkfFinFz(d5N|DN)Hbfo=Vtv6z&-#iP6 zhb9iB@7}W}gWm;h5n)xcM3r9G?@)}tHb?BWx@AchtUER$1$^fye*BAY>&jA58ofqK zVW^`gPY(4Z`zk|`@Ia+%9}59G;E$OL(1p|c;MxuO8bHgg>9CZ+M6_hmN{6An$B8^> zn2INE*X90fPvYX%We5ZSTAyH*r(K_EHGi)2{!#)(ENsOwar+wGFYAHz*6$evK+^y_ z>zo}>aK8)})hLRE3EXn~S= z9^lM{B_&7EfjDlVxbW*$Bh;!sTel3JD6OUDJUdlS&;Og;?QppNCLlHViS}o~Tm!OHv(puwFq9(jyOt)vmq$Se z3u~t&6?$QT>qyCE6CjL9MK@pg1X19C9>O@9SaF!RPpYI7q$0b}+Ru~xEuID38Xy<7#BtCrPL$@fy>AE3P5yX)Rb~;Oiign1=?+(>U;y2!UG+n`yl5HqjBwR!jzLq4+#&5?l$9mVFv(J}(@H9Xh!m z&|o_4u_F2?o{}E-Caf(`+EAB%$-H=GA9C~|l}to<>^PTyFvfi%8+5GDjwDvMI7{>0 z8H84!1Nl%N&ihZMrDP*ZOmdDIR=vU8o}!N9R#*Gj{%Ojxt5Pg2IGV&%6gdkY7u|72KJiokc!7ehQ|N%Mq!XfkTuDT@9~@y&GAn?34C4VWcyh~1soZ?|uh$nvqw zD+VmGLw{nfgPx>B0br52RC7Y^0s#+la&q8#Xu}^c zG9v9ZNWYwN`;20@XT264n3|+Hex##9_73+bllyP@+o01o8C;?@J5kK1d~;pgNA zIavzMwT1?nU=4j#JTz>dfZYUE0x~4AD?c^5DYzNn@k?4QIls-oXWGpBdl(IU!XG4d~4QvwGH1*E@-hmvBG5 zUq@S8?^6^S$2k7{F}eC1^y*SRC%wc8BgRwSpI(f5%XVTbORHW9K5?CpbQTW+ ztN6PPQmETGS$YYW@KAGFsp0fQI?MfO&09{^iC;0+!Yyf(Vl|oU?&%FNEhb`rYSznV zNBfz|X4_E>dN1U*^Lba|QT~UN{H14>J0xQH$AMpDk5WJ4r-C8J1^(XswRyQb?Opl| zkm^17>l~gH?CD;e4&h#p6}Zg6?9JRgw$#d7z+~>o6yjPgy>xR`kznF$LCIoBxpR=F zQ{}#D(1>xD0QmRO+>#De6BE*S_y4;V$PVnI^{1GSiiztex`}_Be6YbI0*8XfiS7HU zttER?@|54;EL|{;F__?0m8*|%F$Mc=UC6ul^(`pog?tpN79H7G^wG7|6>C(V7-uYD zOn{IvO6U0GCBE|O`g(Ynn&p$pdF)2L)KrO9EU(ddauvnw!jN91_hL#8_gU_3cI_VsayTfpsc!D=xQ)){xHCRzYI1wSk%#Iz z6;s$#Z#D~AyhckkKpBXBqrTg4Flg|2Ky#-G@ti8H@syN(8m@G|z{PXm|$VP4o^8G8W>F!m2ttXL2K}J1S;l z9p>>g56$a;AZZkIkXAb;e!Qw>ccLiU-Zby%Z5bOl;Mr_@GmW-Sb-;6Mi(ImK$j3;o zp98E75CDBfjAm$4*2gp0n+!(o=J*hO=Q(~G)qcHaN=C`&4q6?$W=GbL zq^oBVETUYip?*8d=o=jLNDu?1>yMvoEjdi>6w6GYay&3sPYT6MzpSWS2nQu|K0O3o z%$ZbUk%t{>&PZ&Qj=dRMz!$MxX_-KFM(|u$o_)=?PbVkJ_7TFnoe69Licc}g5|Z+8 zV2c9QmG*e9Fvfa*HNk~#ThOCEqn&YT+N{9L;Y>nJB&&<|D&sZ*mU|n2T~N?&BTMOT zlPGlyU?4#n5xG%gciN27*th>$LX22G@XCz5e;0$#kFS773tF$4r3_Sb+E*D2G-y$D zr_O}k10c(YaGBYr;x0p1#lGI32iS4pcr z1Mvg_cHn`$Z$f>gv{Rt~euXS7^`kQ_)t9XI6H~Y{^i0!l99i=f(JcuUzbg%^Tn){8 zTyLLu0rFM8azGy`gxN<;>6BmCo1eoMIL=@dj%7upXC|)GoCkh1RJ6(eu`6vD+omqx zZs}enpfKfl=x?(w7EsRDRCLS1nd5FiW1#`&7bb-|?VZ`rxOM-8luglyoxu6IvM-{_{Sv|0T3m!SQWOnw@f zTdj&ho7Q=_c5i1nUye0eLLp4*HcR5y$Jo6d?>eN@b3 zua3ACOf_ogdo{RK)NcK&+avCmTW8aW|*nT9!l6U(BBPzi3217cv@WpzY z4fr;zWPvc$0i$RxecQQLBf76esX#)pn!u}5I!4&=BCUK>`i+eQB&gX)xR7|T#Dvpd z8&s*n1Y}nQ%l@nl1%efR%xmiVziWd*r6aBXb6|dY3Rm7}ZtdHmkE0xTl?)uZRBr+l zUGCu9{I`Q|mI*QK+E`sE*Z{FiI@${=D5<&l$Lew=7nGfZ?mdg%sf~^z+%F+g0_G9v zs>Mmz6$&;M|6c}`?K5f5er@$bKl@K*M&wmqN}H=ulJdlwiL@5z@jp1$5%D2OH2WH* z_t`^5$dLpE|1IRPs7E)6iA=qE&(pzHv(c-?cr{1|A%xd@kCKH7wyQ)Q?Nv!VFuW5jvm9^VpAUbA#XvvZzcu8GHUt)6wq)}mkiz>kKE z$CBqy>hJQklb|i{pt-jU)EA!m_9M5_+VKPOh>m46Z+aO&Nmkmk@Tvfo&Xs^p#!Z`Ceni*;0ZuvhSq~JZpQd z4{xiHu`3_*vOWE8I;}xgO$n2naImX^7ue@{hQk-?y4yvu<0S$1)`=ls7{1ydjPBm= z63RTA_oQ2;0S9hvimW;Q5JHeU$70ePItA2J_DjyRQP7O2lqieJIyG)~y%(a5Z>3l3 zx=xXojp7jV+!6|z#^#(SPSpC6q7&E{`%>EdyywL^ zmVZH20a07{sbE;xW7#g=fJsQ;jv|+ArC!#o;`gto9#+xyc;JGQVRy}*MHV|f01csf zQ}bB+`2iDqE1S4l-7(;{F*&=H$1NnMU#yZgSFdR>KE!h9AdgZ}1tnIqaRnulb=~9L z4z>$pxeK{YCbuOfe=tJSn`a?Px(a2%vcpcfjkPv<{TVcx1WiV~22FhRIG&P2 zKG@!*F!(byG<37YB|AM&2QlP{)zLi3J2*-3Pl|*f`Sj)x%B7)<!#L0o?IU4KL2}T0NM{zl)Lq>XC`U)gqfJJR0^oEIV%VDD-H5e&^EV_<7y|AIb zSj~O8FkmZ#J+$D~mQsTwqHo9Ld)av&tvno4h(YfXKp_EI_-N}x*{IUz?vbz?U@p-* zEZNS>Q?0b5;LyznR2_UcPx)~8zQa6Wwilk8L8x2VdoV(n+r`K3!2_>1m*erk`(i8?ult>6mD2yUY|P#=p82ttFnaaI!^JO=0L3)Q`5%nZ z-t#GtWv3pGc+r7wimM_0{0rUY;Gk{*gVh`;f4F;=h|EUENoe5xp&QgLZMp`9zr=g* zBTHp*`QBfubv;qB$Lh}~#Z%$kq_vbIohmPJ_V+bN zLM4KpUx10nN%7OjRkofC?)_4|)J~#&>26k_nW*()4kg}H%E^G?O6jJAg?IbHuR~o9 z;1O~wcc>KNRF{9yoIk7lhP_1Wp=&RE;{s|?y#bmFDN6|3LcQnI9^v7gDWaVXElQFO z5;m|10|cR?hu2DvfAiV?NxB|&X z#@*C|Orm>*XRfWOCGIqMNZ87#|C={I7`TRzwZN;ry7J8*EJ6s#ZCHe2R0=GYMs7=Y z;Qcb*EU>WFic`aY>MN7XzOHZ~duiOSQ%E42WWFRh+~rl42j6F2HMbrUD%zwB>Q?2t+^? zm8}{ym$0^QT!UxnXyUq!%yqAsSORpE61a^XQn|J!Qbu^q$$<;Ho zSQFwDz%E)5I+yXp`dhW4=`ILJKtzLuQ7B;P)YjYvK-7x#)TZKfpK$ zBdgrbsHIca^VY~g&M=3RD>y#D7^<3z%r5 zRJKc9!z_G>5RpEeil68@2gwl#Su~3?vZ#olhAGFEjZtmWrRD|KPq{sk!1Mp)QG4Gu`6r@ngJi-7%{jJ{YH zOh4vISGM8)_<`04occXl2PtBYmpB8omnT}5YL7jEmIeml9zRfBoQ!>| zS);W5_AMF>4x*=-A2!`AEt``Pc2EuIUFb) zQcATt>a5>e>lO5@Ve4^UzNQQT?R*bPJdfslR1O}I7;C?&J#g^4Zb`j0qxZHV%FsTj z-5!0Q^4y`32&XWA_vh+$wo&lwQtr^>+V4_4>ert_^y3V*5D+V;qk;U|d3HH99DgAg z^8jxiMmLdS&H4azMu7Wof^ztNyr;AO#&c}s%OE!vUzxSW)M_8<0rRWQx{B~p*18(Y zvJezhokxX_{YbSgZ4M;;`409S!e8IRYVnOZo)YKDNIY5~1gZ!CLbkCN0)&R%pCFR$ zL2c3E=asDr%c2wAFuIRJOphKOrUSevhy!2lcgvLpn!+Npv-s4YrTN-6yICdUUoF6LFCWn9jO%EHHH7XoG(8r`8r8SI> z8H;`cPMAeU{ztEtVAX#qZ-46NF_Ql}+k@39AVQ4n5gazXB9>^#86!}$v2He${Ofr0 zN=&-!a5{42tb-gv5Vh|%#E}DccA5+O{sI+jweMP}&e@rv5m=nq&?B0^-n>f#g!M2N zaPEu)sk0m#XwVNd{)*Y(TBRjfh7w^YI~fWPqrlBilhz`@TKgw^ysxxWz;B=hQ|%QT z>A^j$fEYJkN*U1?|r_oVgMw^G4shiV=MRbQQq%rSi;1UG&>dJ2lM5kw|hCv!P}+7Yv#f-P_?(k912FH0FRpEf@~ylGk;y|BW%ml-2O~UX<^kajtc>b3VQ=2 zPE_nwpF90FBcyF^^08a_U69PWGcXi|j<{2!Sx>_OWP4)hC3lQ|do!=! zw-EInGuZfwDlU`c*xl)slreR@S7=N`E3ZEmTKPH5ZFq($?pfQT*cB=z!2!WgbY)kB z^gG?CQ|yf6Pma8cpzDN53@NStj(&7FHCgF(Vo$vNOAp!kOu(=a!NGpW==2hG6GYp5`3aW5lTCX~Ue9Eur9dUjJW z`W>YV(?S%Ad?~^fI};ZT_ks*Cuk#4uxleG~0zmc{^*$?KTJpzkC*HVWi_e41*>g88 zr?bGe{uQaJBK<#PIs~%D^bQEZD!-Gqh`2mFJ&^Pv#O=y0hd8Fan~a4{aFv#PCd8^g z9+rtQ><2Sa5VZIH60M-ckvh1qcZ)~h{op8Yj%-L5$fIWoqNX41_uFZHW`CC}$Un#L zc%mI|fGm+wM;7WDBi*AcY zbuyhqL|g9?5Bz!v1V>0!miqC+5}b;-((2YH4!eneSID(EQT>likc8Sb3}qCIu`OO{ z2CJTjy6eWt$jntNHfQT}wHi(BjMSMSPSxegV{c^#x7s}Zis^&YrHm&xCFru5&LU*n zCG)Dy&>M0|DuD1{WH|A%zyl{HzLU8ZrO4EVKpD)vQ6A z#wVW}@H!Wq>o|kZB!>5iUkCnYE&+<*j10EXdyoG!>Q}!iBSL>##&z4!o6R)tywI*+ z7P9GW0~4|nyY)if2TzVL${r@KfO*W$&Gs1#H$v-)TI+J;t*kjw7Zmq@jY`Xtkhqzg#a!NFtRy2UANgI)yvwt&*d2y|1XAWX8$7Zlr?3b~&XaCy$+I z&C2$ZB7T+W?Dy$P>Ey<|2hUpq$_4Q1+MTh5RxRem9!%x#4fduHcLz~{sdLAtGdV}* zi${C`bzP>`M?X;gJ#Kt)?cXapz_+(SRoT#__qYkxE~uSH7UBN}KfZ+f`ORry2eRVE zdNp4+4?KANGUe3m{S(879G93fjn6yGXdhkhUFp$z8R{YZk^r4BySu7z=`dnshK4HM zb`;Q{uo_?5D%nS&Be-U8NEX;owc+4&YU>-&;h@23FYo}0rfc)?jdkD6hyU*2PwScY zwAD|hZ_^Sgef#;EqjE28ZP1bwDFvQL3iQ!$i*0{pk1v~_TRKdBTN)My2DHEdpQ-I9 zfvi8Peuz!;PXn=8vqUk9^2g1I(bqZG%pmEiv_yGSbJTp10Ulgbb+8fL~bM@ zo@|D&_{h%lIj>!Ud7CH<$a~5a7QTNabm#~)qvfga)0Gj50lX-1j0uH;*@Gj8Lw;im zZs*7A;%tSq5u5-9J4U|f_uSKvFnTH|xkD(i)`#8^!TmHjsPxA(?^^d2t@A11)&1-&x@JN}bcQ$eat> zFKh3vptW7Gv*JcuG7OHHKP{a~*~ZC@`FoBigSaMCOPlcbY+RTC;~;M13blUQqt8iK z>&@8GKhi;CbsWeSKMqw{dP30Pj6P(%{iekla3p5WLFXrqf!#o|!3dppc7FxNILkEr zSHBVLx0Ilpj&G$!1{j2MNN&e$!d*u|p(CNk2E-tdyL-Zr<}5@tc=|JFEX~PLo1CYZ z9Y4Xx_z@m%RWCen_Zed5Ozx#CIV5)0*72BG`_BNf$T@v!uD;VcATg5{YNYs4)w7@2 zu9FZlgL8baQf7G(DCx)#V8#hHg7VtxSZuL2T70?t5Sv-C)>K3xA_bi@b4vS7{qJM> ztM>7KPyH_y9$aKW@H4y-?>O>ID=!JkzyHZ%rR-$}!3c?18(ECAbiG1}U9o~Fqliu0ni zcYCesQ9t(@Tb&zE>zj8YbYsO#bXNnS^>`PC!cG zD=IS*m_;AmOq5@}4Faf_n;A{vmKp~X8&Eo_V3II7QMbX*&pP>^3R{@43A50!_tCH& z6?E-uTfcm!wm14Cu=v})34QT`0m-sWe*@_O(}3l*vvrs9A*4Wi(8f#8bv#OcmD+4I;pBN)iMw^BY!sI4IC=DS2O_&$=UUH*IPj*(8mb&4EOA z0eLtyls)?zcKcDySJOc!fhOzCk3H%$fAoRo!}#K=SN1DwKOHzr&$OD}{tJ~ep&b?g zoK{q|_p1=*cCJ|fl5{mrx51GEwU~wsLg*I2VE;=^9mTntGQJ~k1rh^A0-sK6GQ`M{ z83~y~Pn1%5|74jCPNXfyF)b~4t@2CcRfv*!jcp5QyK>XW?fpw{>+7aIQw*y&L|k<# z@+3JX)a7bgkV1uDlHa`L)S1dFq(dN#oPpn7`DLKElH*s|LvwyJLHDsQkPZUAj;82}t9`vwW-f1Ei$L!R z=5am*{JnQWN82**e`8cE-4}T;^avrp{EvMNX*Wm*Io53Jl1Ck#sw{ml=s#clt=hb5 z;uc`F2>`q>5!FomNI&}MD~eG*pY!o2Gb76UDeOKpIjV@$K+ZSVQMsbaB<{jhOHTi( zh$AqM#^7x|6J_Bst@2($Sjq~}Eh)gKHqDn1HY!u9*4^t5zy!TJJ7nzZOn(JAeXO+4 z;(}k{4);nb%XevxF^crJ@t6+N6+1uhY3O)lu4C9TxYtGlc)E(s+561OS<-+@gC1b}Qt1I1ZBp8j{759M9jmRh3G15pAA} zc^u!MF-Sl&PQG1fA&>mit!0P>D4_(MtTs6M4Zy@OL+fN{eFe8Z-L#IHWD zLE?^b9VH@13YGvawwQY%uEjgwpB1c8)(Vkr88-9JgmzyG^ddL2lKOf=I3C6u*8EW& z+)$E9L+LB(e0JS zGM|6HmJ%tO!vFxFvi)9toexBLNJs~2rDIlrf~2&bX65XPpl3s``L3&WW}3koudGq^ zNbxhTYCj?LLCfgI&j8WG{bhCCZJ)BQ2$w@7qD{q9soo3~Ei8r}*H?tShGwa2S+S(Vv{a0tzIaD%vf7zeW{3;>xS|{-Ych zmhExS1;J_KkVy{F8Z!9IF~faepJLxuyz&g=)jbwn@uk(|3l98qe!$B2d^Lwu2qZ9w zU>QXp*#B6=wab~T^D>UjZ>V6oA@|TqH8!84fyQmY<{dsd+9Y+Aa&--`wuA*}al$>! z$sZ5}O*rWJR`}7x670yQsmCq8Bk!8%mp>5|NX0LyoIQY}=EQ$j?Q&K5Y|p`#G2Kf< z8ug;2>+Z{N1`k8?x~8jtw)4RiCq}i_ewiPPRM1Zb)lRWfm7iC4?&;G`v0*u>;+{H@ zJ(buVPmcjj0PbyyXH->8wGW`g6#mmOw6Bt0b!v+FXz?|)NrXsT@P=5s+d`nSj`O}V>2 z(>ZvYj<0W%7}Vw0&ttj=VH^?t`$`R%n0MebF?#!59lt3ixiwU?!AtALnm)KBR7fXW z&PGSolny$VCO``TzR&sG*98fgO5JQaf?pt!DQDIv{HL{~+f=b2u}uHUn%n^K-sJ{J z@fZhcIXdj7c0t5Ds8&$Mvf)~Ob5&ENY%x#Tb@PxwoleWKZhw+`T6bRrrTxB#}TxC;J{R8CJY zPicoH7lKK=Mx%nN(+KiDH**^PIIA5mj(Zz(+?+-42dgzh$D`pp_6nt!ShkgznD0c z_z!y{NgC&NxseCep<7X@N#t4I*3(i@I|6$Ndg?D()=C%;L5|O{NZ1uTS6AFT%=?;m z|LQH$HuXGWU+5uQT>6>)H}fump-4D6q2n(}8dQg)?@J)qS1$h8R-uV0Yf|BVj^#aB z;$`etJu{?tV)GtD(Qs6AHV2V~gYzODXiX#bWzJY5)45U2M^@Ey*Fvj9vb;tv!K#4o z*?D@)raLic)6qfg2b)X^TG-9>hNtq`J^aSfW8C7`M|AFDdVP9-=8)*X-{Nam* z$mHvH!q-45$2<9Yh%@tFrrKXMy2nnh>CUBI#;m%fNNT2&aDj`SiV55t{NTTxqp0XvqJBY^j-U0DU~bv91TA4A{t6qoYHzM`m^gHpLQ-`q;G>pSvw#ZuAo1-Ia`| z+TU8c(aU2Xm-1G5XT?L{Rb(RmJBoMs%T38UM5wh-nW+d42jL(k%aS^xj%&AWBY2Ku z9KNVSG8ZpmVfB(3{lIK9QYl^+_}Qa?ZkM~y^|Y6|`iF}Ti1w?ON^j`J9e$;K^*Zoh z^>vUNXh;y54rjEd3@c5u4y^B$de8%i13v+`88|H#1=Kymu`ipkfd{I+7B>KVLX5K( z<(r-OSQjeDmpBst=nAPtKnbyLPq1wCJE{H~&nLEm1S&wM6ErZ-YX0V|ruMt6E3ee$ z_QqMDB`tBRo{TiRcc2FQ5h6$ja=rZZ{zRIy`_J)>O6cweT=6Ssm+*cEuQh^{#gf8l;CuHx)#vH!u{8FnmcM7TmLqqlMQ z#(#NyV&E&CMp_e`&PG3J`*f;)X*CkDW~sePB~NuX#g_Ty=XgTBGE9c{(w>m_KAGfvXI3gJdp+!7iA4aiNk< z*iWYsp#r?ujyaQ~+s(`|3F*k1hLd@&SkC5MV+sA8|9MTkIWw0qYYnl{eLT&TF0-P`vMo!^=z9YNxd6W@8HycfdIt`3Fq70DPv8Y=Vp=# zn<5g|kSIX1XtpsVclQC9>fly&esU*`j@BUA`5Da=aXfkFOz6P)d}Fy0L&dC-!YxQ3 zOEpTwcP!kc&S$ULH9PNKKpS7b`AJsFmAq$%hiODHzTRs2rQB3V!0!qNHG{HUp%Qb^= z%pRR8kqs{N2%Ow+2z{6*+@GT$6p0A(jmqB;O3qs}6FECiVZpHkwt^qyimE|pw2`hI z+O3Z-jg@Ym>mf>bj&8kyizDh}#XyVooHBHd@ubO*Ev6w@{~5vwa4W7T>Quf*B-{Lz zE{ZGb+Ms4Ys;}X}{z-S7Q>{>`=Y@`ooplPv$((E!?e&x(s>bDgH%?LsIN;CV)kbgA znU*{dH6gBs_0YB?A9sR#QOC-Zs6+l6hgPE+ed1iy%QHN~EQqqAdmNE425BG8GIEZZ!8+@#y( zKq)LSh>_R0{{y9rik$jNMG!e*L!JKiJ;O(!qqn2uFg#ah5gN+&y+(RFd^z8cnf0J2!ej1hX?uDqLH^<)IcpNCF>7D9}979W|Yl=sO zBOXafbW=U}UK}J1^#|bTAebkxc8x((9iA^uC@G^KS`<{mC*`1j)j6Em{`%Tb=LPyb zEfMUYFOA3ZBbO5Up)3L+L>qWMIr)+#Ig_B{y=?oJxCAU8bAMfr7&MWCvI^;f=1ai_ z+ByPP^t?ktzCI^Ja7oIQPX>muPxZU*3gvw?5vzz_Q74^^+h%HQwvFtjrD&hB_{3B; z6j`K6>c%>$+ft?Drk=Guu(T;Lhq<(01VuJtESmdWpxf!<~-8g zS@`GAo?4w{G9m#aMP*vEjR+XcaKhm_T)|Ff7WUjpE@#zOA`4lpK-GnYSG0}sLvGq# zQBZ})nu_W0U)o*_dzFX)G>{YIJ|c_fSUj1nxI1PyBUMnczP?5>g?G|By~XPqtOzb9 zjJyt9j`1*uD> z{kKOUE{wJrU;(|U?K{1A8?G9q^J3ppN*&=rdR7Z=3HAx6!#ZkIHC zo2u}tY`y+($qIF$W0vcO)7q90Pld8=?-(oAO${Qst5z?I%M+y_o&Mo|yP(yI@c6#e zZL>Wco$&JhFDyxA>Q?=V?~)IrV`u6dUw)drBiAl=rYGf;Ho2~#=}1Y3NiUJ!n@T4t zLkY;TyQ0$WvZndWIOI_vRKry^tbAM&cw{_P6hvIe6VYz zSEG||Q|P_X{L{h%f6@#grD+DmByBug^H)%`BUHI1(>GNZ2}rwW10PKOED94Pc=9V+ zt$*{0{-YJHQ$m+`PEhdj4rgk`X-?SQK%a;b{EnShBF^&}r=Js&h7-uKedP8|4dr>q zjSkiRsnJnaU7hfZlE1R`Om~gEr({wtF|4`@!8^oh`&UW?<<@$2-txOi@lKeN^`zJx z-eD6k5aBn9qCdF9mdQWJWf$G)k7@w`Bx32CN8K6r{l0pvhQ;7^blO>lC!{)rhOHGOlO1idF17) z<{PliJrm2`*L5G@Sf6tatPe`oy8{J4PlurA=Yo@c(`HxsNx1g86z9%6_?18HX=H)J z(&h|cGwW>^H|&}4JsfKruj8!$gXgxE_|RrP$@aVN`N;eKN8xbiOh_MnF~Eqe$+);JohLeMjlo(bb7DGZRQ_-x4ySZQ;7S?Xn_R>-ZO()(Z62hxE{|Jvn&0RGY)&q*xV6^MYEcRwbif?||tqiEA z5P~gQaXUt0m|)xq>L1*FZl2fQLvO|f*O8w{?Y8Rh)Pl#H%6;oKy}hE0x3Bkmvrq$m zFGnr_VODDbwv|;zW6hJ}I5A>XPzCma_KZ-zZ~Z%5J3`v|obr_biqd+RkSYTT7}!e; zH~9kkB8@z?(!t@0y8#435RRvn6=}EqiSk1W-=f{szj|eRKPou+-R(r6YYCc(pr4`B z28jjk1)e0%9(BDAwG6)>Ed?9XyNaM_6PIsD@GA89@GXsHb+abCrGyaVfTa7SNckUs zmulrLwzRGr3CwIGUg)Op+}Xy5LKtXkAi%qB`HxzpY_GQ9Azqzo1I?wve~ z_#Yw)-FtN_@`2j|!C(yw2I!-9rS92GiT8N5KGOU5q$H1nb>WB*O*}B{uEh~5mVf2c zAwMbVp!kku`Gu~ym<`AHA{nN3QV)+CMKs)qbX{X3hy2+}L6bGnSB+sHy zN35?vdWaBZ!&};)d%d_<+*H7!gvjs8Q(cQf%JdV5)U7qik&ak%M!00&qI#?<0 zj5GU6sx4Bl0JL)P!Ql5m)4iURgGO!~9_ljH>YlVXmc!2WU~vsMDbAo-#FAwP)dAO|$VWwX3fi1fOJp(|Fy;hJH$ z{$K9hparj2Dk<4)u4~G_`XXKOET9IXkh>p>q#nZQ8P;kq z7v|bc9?(~myQpACpAmbjj!y)k(q5WW&#Ef$Vu^id8tBUze+8^LAq_?G{DRHk(sFF> z??Rx*vPNXQM_swz=S$r=c;(Ijbv?plCpg`$deB@i`dtD-93lIwxz}sr+2bEkBjqwV zxCS@}GZ61@@O(#W#x1^HJk2CCT~XAHhD}m_mhFi`qGfylaBAo{$}&>gz?GX;Op3^g z=F%}~cD&9{M&NYa5WEV6c}+o|l}FhpgBWr*ud<%T4E2D5lK|P~eC?J*|0^?Q?I+N)G39z!8vc zwtXNxcH4ihq-0oT>Txz|#ggvLFwbETnPPxXu4t3wV|%=}fvb%-V?|P*yf~1A(gWV* z@Jr#$lE1Xid#R=0dq3(Qc6uz!O6N;-Ymu(=Ht9zftL2N2M1A)Po&UJ~>YJujqDuwx zzpy0J>hPwi$?zUG;+*~6+DJv%v&bn}$ENuA4$jpattEQStb4P!X9b8rv#;V%IGHcz z3kJt&*p{MkSpe|43rryHg}8!6`%rc7g&oI`cawTkZ--gNbe$YVMpG4CQ)A7ZTTo`E z0>YC?O=|X7{KySO2~m_9#t+gdaN=Qj)1%sv56oS^zy^Ts# zOcl7C8#(s1-(Rl(3MdPc@=kq&G`+A;&X%{)L#pZ+=-)v*Ql`R_ylUy7&}Hv5SY1%~ zGQ6I`294I^-WKV6R8D_ul`?EAhT-h2srg{3k?P1+jIvw~_8bRlBK1;a#N023YyW8- zcK*R8R&6gYW3**DkyJtkS5?-f6S( zHsC_3k$MhHI(cSrF-AT#kz`a7y7n95NP+33#X`3Jol}Gz zVm8+E(>ag!pU2%`3W|{UkTU%ErsIRxk4>HnQ9Vu%l5R_XYV!PpY$9)jPp|&BoBFIQ zk&F5_p6dr)6v5hkRB^SZHiq7wrnn3d8&Ep@5=Cg`!v zc-WoVpd&$P7Fo(9dV58GFOdz}ggurN#A)L(q_#t}VuX*8(-e*mokw^+G=CVyE>uNIGk8s(&R__N0d0otuHOk0{40^zF}H+iQJ)YhMa4Y+cT?3<jkYIZ~#jXf7bhy}L#?Wq~q1L|(QZWJ`)h8YkbxQQU4HX5X z(cU0c?cNptrz^5C@4J$bCKhGkpc_Cw80SA%g^OHr=%%dKne6k-al$L*O-7baVae&N zS$qNrk1{lEzpl9dGm(laV^^GX8RKeHIekUe$?i$eCw%xEi*t-z+W#6nujz&T9Kj4h zd2Z#f{pr^P3!_ZcKP>=F0ZgRxTQDz+agPq!zR2r%&lXX=QW7hd$)Nnlov)Y* z*1Q$5`HiY7y>d~f<3gfMVQ~AvOaDY@Q9Gu6iXyeg?AD$YQT&^HY|pn?stsytttpBf30S?O9@ z5VI4D`j#4vAU0h4(%7z~RChm!V>5CjJ3Q0H!7sYy*1(< zh>>Qy=aPhuQ^K7?!(KP;5#>?(J~cUkpu{>AjNCdPFldLUOLG@D2S}I7bT3{jUr9$#|?Ex z0J6cOzpa$`u|M0U$2?7WDyn>X$F5@e0o>z6#ES1SN%LpxUP;y;;!47|5x8;|yj-60 zmSNXCy3NTt**H^Npyk`~veGee{LNPJAOMGgut&r*H!4NzP|k93c%$y^Pit|cPSdE;r&iT1b6s{vQbd>E$dqL_6$d{QXujaKtx%+Dn88!VS4AGEoO?- zp7z(@Hs6*i8}6go)p*+eXXgG`_#zpS<;vCiqD0HzvbR4%q~My<8;CL_B^eyHI}Gsq zo#fFe*FE@ifEkg~Nd^y8Vw8A)E!^1_LJ-C{gazJJcw}r;v$K^2hZhbO)8f7)a;;X+ zs$;;L+j-t&S=zU>TjB@FiHOgg)kzd#uw9`3Sy^P?U}G)ue%m2DSAev&CQ}mMYes6kF_%UugprGWzaH2( z65b%GgKQ3i5i@>N*ZMkEDBh~b1tT{RS`w?~-h)@G(3~K@ha+@4=|}+lAGn|sQq-Qs z6||MqTO$MErK`#uuOlwC1QtA8=a$SaXbyteZoP1PFxItuQiF5K}%DIw?flxAJT1j*7gZz8h)lD10)1R&& z2Tsrcms&wQ|0*cd15Ru^6_uc$n|j#FcL8>A#FSOV=f3&dWLWuZ`tzKRb7g(faF7ym zeVF4Pa}y+A-VK@~qz~w@7@8*2w}i(MHc4`zo(&KN<>W$yN|B#zr@ZQ!n$*Aggy^GC z?NeI^qzx9=v`+QNrM~M>o!J40X0ABbF1;bram@J+cr)s)%Od5r6ZGn=l*^rdMzzv6+;@bgJ<)QL zq9zjhZQn(%YQm}YlzrbdyZ^Td)+Mx$NcK_g^o6`JIgZZJq&H}?ugjEV)z{LL%hssg zpt%PHLMwb|#_Ux=orx;Lg_sW0*N#i;1mtS* z$FQGe^3fqh>M%a;c}+Wau4jeE?Jw(_Fa8wAp&b_Xhqv`DXIiuw?c&##7is_gUZfg} z+n-Z@1wbmYlosWrb~TR;w&k^NnU1iP%Vltp&BkiBmYkclG1Jv3)h<&fINV}mRNOGC z_dQo#pQ%|C^4E;DJ@cOW{j=It8VSn<``Y1RnmFYp6iaB4g`j_79Llbz)hWj4Ii>nV z3I`?6_;9V^6C{WA$DKyeCqkoMnM{1e>P{--bI z{YtS&>dUgyjPXsv-+j{(a=Hzx+S|;(`@Ap&26>gCkCLB@OYzW}exT$+){ap6VSQ#L zA=g7zFZ<5i;kQsy{YirdC^_9Ir?Ql~`^!5+PFf$-z5GFgYkdc8wFSO0Ra>Tp@6p#+gWTeYmwRJoGX#NlukspJOxOQwSSffh-fiHb{CUSYqT;uxxBZiNh#t4EaOQ@4>CmUAe^ zqS;01+0pF;)xeVxBdPUoxkKxpf%gyyd@B+;p6bY%p*(Vm>L(`^`n}ml`j%%fkIDiW zL`|+|`^ncjsuu9X2!NE)M9i(|W$71_#U=`FsBYYMn6ibK)C!!c&dtM_k5o5}sg^Bt zuVRJ%S+qRC+>_Us?Wi?IuWAm*E+NG_!FK3UX8VmkN9k5`gA2#Y64pzhm6Q#+o`o}U zO6;BlUZd}em%3Bc!uq#p=2FK7?S}9;Z+z5?8!xb~pF62FdGj@Tg>Q&<)`I9@=c5w| z_ViOZ_Gpc6QZd1cjQ%&_SGrxxK}&*-mfVV;&U#;*?^$`~`99})pw$jl)`!d=W);u- z*^7v?K3IP)u6WKn+4-`%hH@{yIlTNcp*C_m|HeCSsEqZ|y~Pv*`8<~xU13zfJ?S&Xx65GZk(Aq^*{|X{j;0R5E zAx6Dms}KP|YW5drrEy|4wIT}T5R>4fWP4Ym-`)D9Qm+YkcrQ*tg>!%ONbxc=u#-lzodFcEibn z>H8ff_M|3jh4)X9+QRQ*LeemoQ#sLPHi5e z*&$tiM>dT7o=D>`d)JqMu5mTe=Q1COPG1_DVf5pNR$u$svBLjp;4DOKBE80E7o;; z-lgao?VP9M(E4nM`|dcaUh6%?ISru}PFK8sI4@xHb(MlMCOZ1}N26QO!qVfN^d?XE z5&AT5b$egpT51iU?98K+m+(~yeZa*~Jl{|8KMt{L=vDjhp-OnS^1Rht^n>3m$E$n^ zn#hAf(Q^=5FZ!`qSI7t4UTG5eo-=6++a?qWl4CfR#_I06ybsAAYK3?+{3)bR3^qQB zxy!~VSzfjRx;v&g8Nfa~9B=X!v|6MytIisUAY3?n4wrVtZ?seN@xqP7eu>FfFZUG> z?DiFd0*fPMS1$@*EPHi=Wp$BQj_Kg1zihxzpKrrKf`LwW^i?TW!9Cq;uKUh|73>|7 zKGsLv4nM{lSA%UGU%%vxj#_0RyL(OZ*?1>iQEeG#L@aKsSM^<4t_`OkeDHN4F|aj1 zZ<_0a4&gmr2*S%kc!sgwrRAw3_u=!~*4VRePMwuWeznhTk>A@kVvVi%=*Tqr%DuDb z(XBXb2Tfb@Kpa=fOZVA82fIH$j0wGfVz*J>kOA8VvuP89n9KVOlB z=Fyhtwb1e8pYkxNfhmtsaxvBJd@|`DnlqU1p7@eHMs(f;e@`B`^-^l!bqw;9yx|(=@#yV>es7SUzQ!zQ*?o)1Pln5xxc8psYH#2CBm5 z4J+-OGZ=faJw=FehkYpkBs0{{OaA=0T`+oq z>)vU(|C$Rfp{ot1_6k|%4W=b+<6gJUv86X_<1===4+bsLGfkPtWTwF$F+s)VA+=K zgd%-<{Kf=#7qDZ3F8Q0hZ}?r3L$N2JRuDI!IH8{K>(?%oWg%~I6GYJiQmYnFy1F5h zo|e~9CYG_c8{>90zIf`D!tgh~+ESL#Ao61u>^avPZ!0T|N+togt?%$km}ieQ8+&U? zcbOA&@$kSq_~MMR_zA)+z*Bko#zOIuGq8HNg4F3B`gSJI#==2x&;mP@gCUosyuaRi z+K0v?N_i-O5U&h!7r`_*?&YhUyCL=@4HVkp0S?V_$}8u_#S0d`-G-`Y)?h2cIGmmbm(< zRFchWQDe_`+2yRM6?464JZkjTVxe(d(vnSZ+0G&&R&xU~@DN-R7wmp!rY zZ50Keal4buXni&9YJQ1IQjqYYlc&bBGs)dGNb$Ku_6Te zGNanO5Fx_rc~i0&VxhpT9A?twp`8U&j()d9RH!2VE6~@|M>R?uNt#@-gO=UYM4dUr zWFoszKv^#ksqi>DHRzdt%y79AI=#6K*B+)sZaoY!5g(*&dnc_+ak%#HzJDairu^~I z=CR=QzT@n$b8qpkr)VzwM1GQ5AGZ#eTU#dX`8(cGYBdI<2h7lH!#&834M&fn$9%GYMiS9&!)kdhzsvd(nacoo6 zT(-)?k$R9jslzi^KdTA9w0UOpyECV5^WW8f_nAeHr6q{g`kl7TzUtB~c^AD}Pxg$M z)j9bgNsuY_fQaXNt=t2mBSkWc04Um8k_RL70`_ZsXbxa3CDm^T0g^J?{$S2Pge`3+ z&sf=|Oo^?Je*oH4G0I>ZEyml9(%? zO{qEPT!d2@AxEv3$jBzaqwh0!Y9pg+s9{)PN)g~`E%fxe@2iZe(#~wq*u;&G(rFIQ z)UE#eE}9=X0CS9^BBP147VFu?|5IwK))UyEolV2l;XnkYjuAZ=9jCNGZ#i}+Dotue zCiS+!NDn=<7SJA%tqisngzs|o1k7Ky_#U%TAIgdB1VD)GiR%1fFqE zf8(BFzCGBiaGHXnuybueKhsYE*03ETSV4|jR=F_v-#wUXO&nL5&$I#TJk3nQ+iw5p z6JAA%R`_A&F0x4hUFDYb(nciss-vfSA|j*!fDtWls@Q1rPwQHi@hJ$o0#)!q)jy0f z-_6y_BAPs{SsY_h)I5R6INZ@&=5)c+YMRRjOo;ZitkJcYxuV!lMsf5=kT6>x3Af`&cQCi$3V)urI*xFqi)E_xq~{wHO+`0?0t$eEG#wA~Ws9lqCEOe(Q-KX0QX$-TYDA7>NAV_u7y zfJGFp-$y@~>lyY88$v-Npa{Yzz;z{qt*j?++QiW=KwZ;@LsMN^+_k1lb}qCcn?G{1 zmcOO;Br??GIF0D*V-|`qQOx(Uv>OvSUM1a?GK~)uP zy%OsEzk~B3=5bmlYnZTc84(l2&nJNy zmu8hJ(9G#Hf^sVOXSj>Ww>$15 ztD}Tce>^Ui4UNum<#|!E=_SGnWv}VJIlHz;K$ywY$EEMC!8q8qIRDeY~epdazwpSz5b|^nPnND_`l=COn^RLD6@p%y^~v9<9@BBq$78E#o3#7dp)ZD z$;Vb$UwL}yB+cQ}ef0ap_t?MjBnZ^2fy$kzT|*2p z51`8EP0yUg7fMR*6tP%)FvPJfUAavZw_3LuqF-F`M1sb14ujc}yim&c7CwUF*(~y` zKQ%H1I>e**65pUn5p*WZ1pd%1NCAmSMh=B4Dbr08+uyibKxWa%A{$liZ)zZ1eU+vN zKV^450UsMuKlV?LSDf$9q+KmR{V-KO@9ihK`%#=vPhP;)KM@&}>Vy>9J!Dzz{)+DB zRfynuxd#?+RDiI8`Ml#0b~7m_++7LB5Q})nJW}l9OXuzeSwU;`p-eH&c9dM9Z|g~O zIcP)P!rSM#vjwVdT$s3cZQ!-OntE~u$hbhV2g$yQ?`K-8UEMl2Ta|*t$l-zE$q0Mg zGX~NDD+m&&vLm!)pA0o!saUoe(hW!G?;pKoEV2(VUo|1&31^9r4DQ~$uv=2#5<3d{ z7H&+vBuVDAiy+79XM%|wqg+9ElDA;&FUQi`l|4Rh@;4%LHb7?qbM;>9+h(EQ=%0oADO>zW*K2mYrf7tf)*LBG{i z&!@g?Ja*&Zwut)2ZILDDD|T~>*D&YVf9p>2?CG7`1_c$e{+Nv7T?0WukZ$;*m(wnb`PG>cX+($q;(C>du7PuQg49|H%Vr~Kg`R`-$!0L%A` zKOBGWy`H@NgJHP<7rB3WDQ(B;8XD;;Y^UD zuj=oG3L|UnR(~MFal6?Db+S*YZ%>sbg%Mg>s;Px-ah0;RP)0{uUh0GGrY2TD{QaX< zcK^o2csp&ul37xFHhjl}5DtGRnP5fssu1~Ftw|fzY`&G4a|a-+6T?|)`}GoddVpBY z`1Or(?K^xC7Ofon=Hc+50ZnAYc52d%e*?n=s25kQXMJz{TZm_}NTT?4yqD3V z?#@$*x$u03|5Ey^(!4d}tJA#2I)K;+#*!V%@RT=9N0mP)X-#Up^X<8Y_Z;IQIf<@& zInP^`3zX$t;Si#hdzMJs#}}Pi1^J(Jzxoc?s!}fZCj87MbfA=ij6{GyJwH8A^YZNH zDET+wM9Oibp6l~*?G4!}$iX=xyfreYPGY-5*6x{!6owE8wz^2mp_uyd+~Jz%&C;NJ zttqqUrkn{9YW5BTSnG_fxf^rF*6~UBFQ)}ry)tJ;s~x0B4thLeR&lAkc`2HIPO+Ze z{}nIy|5HG}AJ#OEunU{tnUgvZsz?^It%{p|U2=L_#xtiC6A0T<#!3sNUA6N$AW&aY zNAdHy42;E%Vs+&=;wC~2t|H4VU`~6FI{L|WPuKrAFio>~)sc<6@8_a%t#3(voUYVQ z70U<51w(%iDI$vpT(q)fe2U;vHvZ0C4p1a#tnX01QDm(R4qZLRtq4ZiOuCnz;W@UwEJ(o_(rY%8Q;pOy$!}k9Gy^>9G zt-1E;CN6enMV{iNnftNj&G1ks&BLB@6*D!Z0a=F*-8cI#E~Q)4 zN|a%Z_>T`m*b*)+Zvm9~a|)ZdyPRJsLE9%M*J|?Tjpjnb!k{PDV#7m3Ck}0CVI!1c zxa}#xFsNGf3G3G4{y@;Ie#9E@OBOhWJpjsAHzD-D>GB%e3F&09?$?4ChJix6e>6-KGk2B zX+5XhO&-;GQY)s6-_?_Ezeg$=J}8qwZZATHcZ_Vvu&0vcwq_bH(mEnX@AV1#P3CFd zR8&mm7@phP$NFv#kjRk0svAn6m%?An$O}?z&}nVf-V5Y7sWh zfqz!;EPlLH1Gz>l&U6aUtqyJf{f)mb@Nng9|4&&`q&uZIME~Pwl_plIeWB z`@EjdZ;nZK;^g_!rWJ20Z)#YlRajRM0H{t2sq&}l| z$;<(cicL3bocpvZeBR*dB)MefbvlQ&$Up}7FovPHeVSMGFgg(EMZwcYH38)29HY|S zqZQL^z##2tCkF;H)NNcwgdK>8OT4s!z^;-FI%IN%N{%e0_;P&Y-XrsK4;uP@CK)c+ zk72q_7~7$H!@5`kr$w+`!&1!0#Z3;)rfexe8=?(!LiaRznd4%*!5!iiX5A}WhqLC77W8?#xBU&5kb~1%Qd~k(OrLNmG0BnjCU(TZ`Lh3D36Sj)A{{r~!tIc_H}|cVNP)o2(;J!DmjKM* zD=7&SU%q{FGfeM{C0_9I06Y$tl=_&kh4*+9y9O-t35FG$xb{AiYiBWO7?wJ+{0!@| zeX|*@#{al1lLnAg{K}(H+@XcJ=FbUFCb-98O*Bi2h?P4ZsGUasK@D@ox-M_kFC&W; zDNqk5fgMmC0XN~^<8;rYy@M%#?4lDqE2;>dicEcvez@h#H69RpdF@mB!>un0(w>>C z!-el(EWA>({x$jNkV`nP3Tl{0#H;Vt#HIvfwXQ;p`S^dX~q&<)mPJ7AVB+X#_$(ldU%0eSEpYfR=ou90| z1dzzAvzQQPbQ4y`w08K|TFh|hptM&=I<}DOgAwzI@;teSYWBTNt^;u<%uxb7q58#b zRXIPy4JtBZ$wJ|@-Ni}L*|p1}E|`fbJKbP$5aEiKt~uumnEK z@!Wx1`lys6iWF~~`?&c5RGt|F-#rTO*nDBPKuDmY{rT%Y#=<$p$ngT6J6_Mne7_E6 zL=67EBYXy0|8X+BFFR@wu?|)#H595F({Wg5aNIl2;t|aqgc}^!)3_9c=~x-~MQ4ZG zyw2L`%3SIPv_9kxyP;0VMNtzkG{NzAe7!e;?LQ@D3)(CSez(Y+8h=o z@avJagM4G~A&^jzo(6C_!Fy|7$!hMr)c8Eh_4VH@@s&N1`Vh2`yt#qR_as;)S8?VZ zy+-C=&+Kr8LOrCP&<-3|rh?sL+;S3L_P;@h)1-n6Biy3n;7t5uP zDY&mHgTRE)VLusbHvB+?gU#JPpw^VPw|!Aq5|#mcoqo&m_gdyGUKM52hL!LsS+TXb z%c>0f3h8=w0|{=z{6-rs0olD<30pBCvetbdo3TAPY@BkO})d zXMQp{GY!u%d?L-Pw!fJj#*c)GalttO^C5U8k_Wl7jqVhT-ttB>d%m!JP)1$RxH_oU z&LPm|FxPKDU=x}OOedM>rg&EvJK*leZ?)>?Ad#eCLqq?OJF@RVL*c?Q;Hu>uNI`qsb3@0D}L-r| zA05mJ#J!8S$Al?1?Np)FB_)9^9NaXkOj5_mJZ&aDig`hoH;q@@=Cjjw$pDT;^uA!8 zo2y%`mtOYB@dXH3n)7}<74kB>3X3Bb`hLBn`T1y2@wQ5j>GA6T$DXyjnHcm5dvLTl z*4{7DL`1fM&-gTDSB64n%;2|D%gA@S)e1jk4c4(rNXoTp;#G?>*Hn@Ni+Y5&poq|X zob_$TvvlUMyA;ZasWudL!0mt&v*p&%onW@wq)&u_xbB8M!h5@cV)U`gH6<=@&ZoMS zU0>gv?;Z=4H`&ohOo(B)4UQ|$JKrN_mTpxTjUCM8kw;drUq?zUNjdDdZSra-lQF5u zEL@{E&K@tu1)13@{BV<8_1*AFODZgyLMVQ@yNTUNJK8IcxVgnI2)D<|T4UU9NUDvCZ=Q3kjwl;Shs$RP_EqxWx^>^9p^_gvF|y6h^}$n7$aUi zyUf>yC1p|+t?dv~8~P5dt#fcxL=$F3(T66z>$5qdf9~B2#YP1yUe{~yEh=;*iDxjq z6FGD-nzvV)6CCTSw?&0r9Jxinjq8r*t(A_tXb_7C&yW09X}S9DX9?&V(k@J>%kpT= zf$=1UyVLWqXsq-K!1%ol7Pmbq$~rpyvF{-r9@*>&JY`MUI;5QJd$>;!$l~It&S8eC ztM0dPtuDvPdmFSU2ojW=q^0e@s4s+2ytN7&rxz|G5}KKQm}Su z`;6@*y+)5`r2Wt%y;&Guu{o~F3;Q8BDmx&0VyEDWnV~wVPiR>q*f64A({F$b7KU0E z^46VEj0$=leN!#Wdz^6IbNljNJ>68blUIcyrF_)0aZ`BS`OiSOjSQSZWt%_ATPzhb ze|P@B*5K6`;k-pnMiP0#*`CTMPE9=UZRIePDcgA1aKBfH~roK(bfk9zQt#*QwH%GzBqa zVo!1Q{|EH+T0GRo;0+kbYfti1Gy0?cGK}?U@11=>gc&fdFj{}Piiv5wVmn!_FK6E@ zzW;NKXsE{!SB*c#at>45!CwSlzndey5*hzO;fz?NT4{WBhO8t3m#n3-!ermoQsZa~ z`Cz(LBW;C8zA_~q4O zkyfNdjZ@<{&I&VGJU@f9u--PuQ|Xy_8_Q}^4ln{82io#WFvNLoixK|^P{w)wm9nCa{J zsLc*;C=L-RZJbI9{)4dhGbTt59rCkcx3zVpbZ$9-#2P2`iVI}d$$!jiK;3XX zMiuin@h6q7x&6vCY}HrbwQ>&7rx|5}`O|O!J*f?CpLC7C*6B-heiNly z7R{$-x2o)3YI&6X)_qei`|aVO5u+V-jf)vj0iG~;*i4!%TsqhHu8Soq#Dqmuf_>i& zg{tb+jQi9n@%yR-fE!h8e`ul&w`qQ5GQe6WyHDD=Du*QBBX@dkn>jMo%V{!xCG$O{ zyJ++i@t&E8S^QfXeg@C)6H*wHz35c>P=(IXU!Zbzg`Wkegp{Hx%F z`cW#IODxiXmn?{bB_c!!vdqV$>Yk1DB`i*`q{JQ}E{OHl`!!Ybxd=(m=a{U56qcx<<0?4B6vRBJn%q(?NZ)=;?{)TX+@ z?SmnQOmXhBOEN$WNVUNV^+csA9$YGyYF*-jXB44!pba5?Nl7+XUsdNvLkbbsfP{|= zUTVK#^$nBz0Y%@#OL_m4c}9r8&Ib6|H*&Do(NRjDKoGYg7~4XXoA@SMs>V9 zqJp1@18UV1%s)9#dfWwQ{e*oruzH_wt~#4a@?ZAWq5#THTg_^}J(TZP0VLOwj@d!n zcAWMP8;5Q|gXo;J;hp=o)x5})T%}X5)0ieiDp@Z&sA1H7f+x?^|8Q5@Q>4UkU;w7r z$a?>&c$PEuU*kmH9X~94SJ{j7nXrLvxAEt%`YSGfDceTug4C_D)A+K3N{tfce?*jZ zM2bDOzgs$E^$r;~FKSa-(wPdAGiB!LsP4G+X>Mhvgjy#L7Wq)M{fL-I^t=v3$A6iA z-5lw=^yv-i7e3YV=4F!%(STu|1c#f3N*F8pj-*}7sbM~+lzemR`2>lRjAw{9bO?75 z;KCxXNaz~7N>7+v~wahb!uu^ z_TKuojwM(32SI-7TRAWx2s`Tek1J-q!e!@ajPtxOoqcPM44^xiHc@37;2D3ueA5L| zeju=>VQ%R$pZ*=bJdS&Y`(O7tkGdtlr}sxQ?{n>RdmSE5l-J&Fw6X1;*VZfx6bLmr zlQbe&H2lQQMP9g6-M5%cVP9!ZSuij?0Ulpv=Zbw&Ul;j!!R_9Q3Vz2KE&;ZeEuyV2 zch;|`*mQ~=D9vrRPO{?7$6RZ=(r~j;wq)t}fS9evBgyU8lsfT2hW=qzU(!V3YVmOb zRWa=-Mir$FHLf$Up~L-f>Xy1s$(;f*Gxc>`hNHLLuvVV>;bdu_HPF>q$fpPd-H zX}lO=`3&^7E82(Of*c;Tl&p5AbWO4$SUYH3zCQg}?Hw~RMa2i3KY%|eqIa(^u99m; z33~+wr@263kit#RaDmb-N-43vBCKzs`0)JoE2l){tlL4?VMN+VKW3Vkho2&MAjUVo zLKaspHO^!ChwPj93Mv)50NF}Uqd#~5PJ-Fpof>O(&s5G@m1<=%;Ceg4Fq*#Ufoce zH!7sT&0%&Zcjci zD~JC_)py5J{lD+`u0#n*$c~bdk-d3mCK-`rMcI2hwzn-prR-7m-g|~5Ss~jovpLA# z-}`m+`8^)LKR!P1M~QP@=kQeNg!{r;yeAWe2_Po81XjyYC;{d{9^Bu_-rU(sKmTQ5swe zWZa9SBLx^@*vmzoq1JIS_+worxLo;w2gV_ zM2?9N>>GYP>@KS+haKY()oq+_d16Ush^4Kjw5?zx660>2`8O;lV)bHoqj;vf?4wpW zjpKT<4By1dGV(b)^S4thr^0~ANpc2?dh)d^opN{29vh&Ug5#vB&;2}J%^q!4D1{+o z8*#^K`0whZSVK_LW3dwBurSHGwsBg!KR(sY9bYu0;s!^@j}!=Fz`TNp8|BLwI$lA*`&myl#ViS*?;^W@51BJ#vvKX)fwW z1BI#@KoVyAp8sbMI=>%>gpw9iuQILCtD0Aw9lPF5$|@ z4$zijvqs&j(*j86rX*!c=B-Bs5CK*mW~l5IuU)d}y^$^br_EwlBKAFP1wM%~0Q$vtFuSJZnPH z+vvrn+t0BQdaGilORlbM?RJAJV_mP1?>y$)!OP1-B~_)L$T4!CIi(VIt*`;7(4HafOzx3+y5X~< zxfilAu2-UM+0JIldc$ccxW_}<$PqGDsMqBk+_I1x`KaeE^DEAg1q^e9calSiu=k&jFx5CU5%6XWZ0E>3?Qr z_s48(6zhEsEUKa*Z2{?jYi|Zsos}9k%l~()w6NKl7VGt1SmMV1t9VB^;Pnm57gvF3 z0sX~s8mkOc;MkqXMd>i$VBn?JFlWDi8aA-}5*a=Wp@ieueooly+4>zhBcYUXEtJe9 zVBC)-02NVft}VIoBQ7i}!T1LiKO;FG^RXXzvbWcJg5Cn3T*fQtq?LD*1Us>mmJDG4 z{Sf+TT&od-%0ujwO_9{kSr5dl@l*VPMNCur_$Q2WF?cAGkxR~1t7xAURvxtQaI^7(R`ZgS$$;rRf&r`#&57`tCRf8s?}e)_d?E@oA#@&NmW_&=p; z>Kz@4WBQ*X4e7&yv!D<4jAcs>%y#W*hrMYtrgDK3VLrA>&-MeFB0uT|pXqlqf#tQK zEV!^&gc5}P;I$hw@m~!X9;W+Gjh*N|#y4~igTI8=8#t@zN>gm)W*_of_%_mt!FF#3 zj-jH8jfXPHX(+RlRZR#>KzV^uk!Ff|=*f)oIoGlH*Tx^!5UrC`HaG~95gxdw8VdA) zz)C=J!>9Hk0Zt+YNfSUiOJ|yaf4Nt^7YA8S1=fwno=I={^Xd#fhn_O@lmlvksW{rG zTYDvLlEG^1Es_&DyXPFg_&A&0Cytt)(q|a_NmVVCCa=Cs=C_@bD@gn`k?*UdE+3D# z@mQ|Wm%skb8NmmjPg!=yXkQ)ugIbil4+=U-F3=o}_KM}P3tq;hLRsas z7mB~j_c2Gsw4n!a7ae$?O48}~0%D#IOhBZQ`9&D9@@!z>Vk=3x8JZ`#y}*T;YHjSkP6 zYb>n1Dihdv5@wAiivn(|FIOuNjU~cQ?wq~Uc_=gzW$0n**F=1L_}f~tpcg<87X|_9 z$qvjKWD?}PA(6pH$UTD<6Q=SOKbQ49Ia7Ltn@A7n(1maGsh>f#&QPL)>9m~ZF9c}- zIt;nb$iD#tBbn(?%JiX1HKQfN39)fPe8-dC2SD5=yD;HzPl>$8am}BNwQmuw$UOU( zc*RKfWrspEQm2EfLjx@|1aQba5!;Cubfw&hhsuS7#(=$UbywRm#j?E?`SPi>jkt_W?2r|b|JbMxG_FIycyQy zm0#k@3D^CYR7e-n3rGsEG1UVcxhK38M*NGCqXMuZjd+%5laTd9q`w>@Bl@2((=Gq2 z=VHrf1zntnOA*6&BZj~qZg1?%qn$2jbZ}u+zT4~X1Y$!F*C_iqvR|WWk3enVm1uK0 z06Dp&gzByUs#CHKFFx_~Xe(pl%Z{1TEP;^6Q$alb_MwYw_=M=3tCNP+X2aT%)z5f{Yy>1`LiWLh z?E(o-NUffw&6;= zS4uSABvpTU1GDqM7_91)({{MiF|Jc5HP?Iiu$E0=hGfBr1&QV@xo`g!I6QRiMk3q0n;$h{T*vv?H4(c1s&&eID`k$stR`H^fsmJ5~OBt>!i8 z#GJ@w$B4(D(h0bEkfElrrf*eLE8Gj$c?R9nFC-$N;_zOn2$VSvF-EZB-!rJj6=sS4oj*m0y218G>;#93 z!chXOznty`=zdbr`2qgatPXe6cV-eRxcH)mDVX?A2crKkaAgC3t1;SAsB{(=%)Ag_ zPvh70OWym zcM!|gzh);^LJ8eQG2gyE*(1uVvDouZe$qir&Q?z(;o!U0)N_+bMoOt9^&XoaqfMEn z4lKWYp`|Uod`<|`mfH(M+pd4yDSahn_UdNSaSy|S3K-Nvq8P6O+kV{zlr}1la2bpp zkk@CA=E)G=r1dPz1hUdd0=nO09TK*uJD2CiB3J%X-F3by;~dQX4HH%_fUsJ|PwdC> zAxD*uGb-3M4duDqCuFVSqqgpxvju+%C*$ttk-~Q`i6Dy;D_KyJbKQLSt72GYhviwf z8)B*i-!fwMc9W|(!bom^NRz!;RPX1BHg|+RkVK%`OWh+;5H8|CIfB3I=jh^ncW#Xd@D0wLA}$L#V$L2o;qHf~T@nLwjC- zX+Pktqz}fPT^pgx1Ur{cj!UbdXk&Y1H9GvAH+gq>uObaGg0+UKSPwR^motyN#C82G za)ou@>C83-NO?Ul(9*7^#+B{Oeig~DI0H>E5|74185QWbFH`ZM7g{!GT&F|>#7jO+ z;^6;JYjn2qLvMd&RvB5Gq|c?#R7OvG;i6i$I6Q7cF&H~K5|na})W5ho3QA$9{C;9! z3coz#Ihq6YmI(|kHLPcxuXJc~(5Pu}!L*c}nw#Zs@J^s(X0A8~Z%UP&_i6WGW^Y&1 zSvNm$bMK{5>;4mmw>;J}`29W)SOXlK4ls_zX7m|0!_oDLDF`wM{aJLOEKjMLp&;dd zT-eIDzCgs_ptoAV8}=)t$~)My;|m2a1g?UYe40!Y8+#5diF7GQOLf+QEcJb-J$9cc z)&esVQkkcg&L)-i>m>KGDH8})5%SNl>Og^*l^=F||EG<0`#4?nrYIa(ZmhKp483MZ z#$?z+gvEA=XYPZS)&<5k%HF`_hr^%T1{ddvx-$` z9}x)%%d!WAtyttl%o1bY&QL%Z)4`jr8qZpIXt2Y_k?ox$FU&ZbU|CTsJwvmw{P6@K-O*WvZkzPvOijGuL5g*VNZ*xvb1 zKe@!r=lVi|Dq+8{d|^#A*Krk#vc%|3@n`436y#~*rPai#Qt-&)W64hbtG8cA{XiUx z{}+*jQE5Q(gTaQ-u(X7-V`Ood%T$G9WQF%Qh;;sdvc2}g&K+m4)()6-a#0yg{_Wi! zx23!7@AJ#2REX=MrO~)eNsuADc4VsIF%%?rv)9D96fC`WDVQ%xp=Dv2^oUhB|1|tI zHpQ4UMY6PAcs$Quf^++>I6`b<^5q`xCx`)Dr9k-FCI-b?z)aLXiada_i9F)JK3{qsVJAb+WL~1c| z?h0#$ZZ+8O!VL}y-%ARCvpe%n0q_}0>b-cI6y4cDm67UK9zUpr=9-^}Z5%Q1FP!*V zB+zySl)7)L?7nWsf@qMXsI(0GZ(u>=O}gH2)TAn$k66EePSqLh;Z$f_G&JOn7*j%{cSuHveQgS>ta$EE(4h z_1QlD7iL>;n$V>v-ubn-2r`l`0}}$#jJzbjgD|OVXYfOZfu8K6oMriXr-s8vI6SdT zTz8+DuF34QQ`Y|yJ&rkX;+Lk1BsS)?(wD@UOzoG6T7&*ekTL*r75wXut#p2yN0`mt z?yzO$$?8LmKBFxcaNT5SJ5}CD#lGck+v=}G$;L%kMwq4F>ad!Q9RJt1t4qCz?D!!0 zEnToqEs3m@N^9vB3Gq}R>hm48452^gwEvSas7l^ZIK()NzvL=^jB5Aa_L+SycY#M# z+zJA`&f3u=|EOHOhQgPFaUTz(-TLJ?2!eVDk1nYH7kddd;Zf^z&9;pLX!!cL9zSN` z`V4{C%pY?bwRF1ffkv^~3k+`g67+GbMPYOS#ZnD*0T9YM&-sU`L*qUi-?pYH-z}}k}P23;uOA=OJuziW*o`dNAk;sj9zl{ zha#A{0t`C9VcO;KOC!Z~3)_$9Cs=n}UDmtMg4uDwBl?9q?tB_!xe4)tJ%-3H0b!a#H~EWgNAeTr>T*8T~x zYEa{nI0-nYV!qSpf9Fh#CdD{Dy*o@qxEiooirPaNWf*sVXMU*DV#WQnVXt7X>vSgr zcRN%>v2W<7<6csc2C^>=rFMPX6z>6$k5IVR!LV?3gI&q+O0-;eYz!9mWF2&*lx)*7 z)FrR=Pf6OtP>r@yiDE#C3^JdPnt;ji$GUNi-_k*k?{VkHm|{-VWKO^m{%AL9viiW%j>E#V}{3<5`*l z4-nSVgvaTu6slp`{U94QVX$^ivmux}Rrqyco1%YTKg3F&jbE4%hPGHg2eXMHIXw{;XHhgbtk%21JJk+$S0CLcb_(k}@l-gUg2PI%z`}oY zp!bqZ>?eJf&IqCEzhk3U3rZ?ZtaI>c%)}alz8v$MIE3`J*S6@?d;&Gj~;R@;eN1m)^n zn3IH5u#94pb1S6kBD4Ec=Y=S|&OcOGSP8Hu5zn~Lq40VYq_sqDRlz+8mO+ayH6_@l zLLSK>W*=)ND=pBl2{})fqh}I0)1w5Wpe?L!f)FhsC(l~IH2~T~Bs93BV&=9l^^0b9 z8@B(j`s{meOVdxL4rE^+(1$V1(b?}`N*`;lBze10Zq?sbi3HTAk}sDza6L6^G3b&( z$Va%M@JHQFhz_C_`3AqaiWD!n-NW|h?N1lAG`vcBF15v`C4wsm>*_&D(B=!yx+Np%UwdIFx3)S0;O1D)kR+MY1qTUK4$Z18%X7<92d@@ z$3;MO4UKmR-)#rE1ZUq-zW1aL?R}x~k=iKDsCDlE>?hX2g?bvhxK%|j1``yOe9LpX@ zb(8iLmUGpa16MK=b~Rg6eK)AdiM^F+eiq!R1!5y2yl#v^aJti!Qaq}O@}B%0iZ{W9|4f*d$#qd~*Fy^S{;RB6$7` z@vS5ZC`*PvvOW*#jP}L+GkI^xEX&x#0xK}mccAUTmzia$LT-r zFjkrV{LG?;cf`GS{Rw5Mw-n5L&rixF+m64L5)Qz#=}s`tG7NS3Cw&ZYFtQ80B7&*o z3cv%Gk>}j6lWUTapFkWU7Q8vh^m%(j_+(dD{Oq0=w_L2r>hvwE`>s?#3L_>Y)S;2L zUZFgXb*3|nzdnomhfl5MO|TmBnauF**f(mvQa-0bOCNs3Kw|E7k zwVK?4Gp{LA(fEG3HG5BYg9j?{T}5oJ8iTr0Oa3i`bIy*Jw-w?O&E0-!y=l}1eGFpi z(D{W@W~Cj?F?bWUI)YVbe5@Rdno708D?0t*F8G!hVqbD}_(8beKa=B!nKE4i6I{E) zoML)+X%^P~(xXcq;!YyGWIaNXHRX;g$~&7QG(HOUvzJ(v?(0AdSq_V zOO6+lXS?ptwA_3Z=DGhWJ?Kh|>31E_aoOwvYEBY6jHbQ zg_krMu;@W`H$i8N>L*m|mrg!@c&BVOsGj@pco;)6tr3R(7@cSG8Ko|=6elghAm(TGDPkA|S{#Q5!Vu2_p6sg=5>6|RPu@?x47 zcvj27755f7uJ(10eN<$?T%zO32<@!)Jm%w4_`;b!dS;nxA2@vABw7228Sg|_-$Zzk z>il_3*Sl7*vNvoBgj5bUZ*iTIoc`S7oj`4m8zCl7>Rn_Evc>cYR~<+p0pj;K_NNIz5d+JJ+cM`7y84^}&dU zxu|b?@rI9Zt2vZlfiV!7!`DgazKE<8nH6gjlIFc3Mh%t!h)-(BQrjWFb>tmGE7(Sp z^OMhsI(FQ*3OzlEFh* zEjFo__$d%O)`IC$oIokdC7lW~K(mWjundC(L)3jfqZLU>Fc{VrA`TIqREihbQ;(23 zM5?Niy$BBoV|5=Nf7n21&?^NMRr=q|Se&{O{GnqVqVn+94PX@X%qmz*$cv zCcRAM@{f4*%drRVbxVtw_yb0#i(Ix~@=k?D!<`P5E3x;~lsoVMi!f?p4C zEPlp?1cyYYyxgkT(?Ll}WZ;GhWsU7ROS?ak48}aXC-n9%fd;1Irb?FBPn?Ix>tQc7 z$4AS~(8EFnYaJLFvwB$CcD8jy14o8V++FCA#p`w<11Bv8Nc>>6*m4F}Cb^;eQ*N{5ebtZj#mCxZB8bFaUx*n#|=X%w4sDh$Jo)OtKw!i?vug zzTql)Pyj_0wZy->_DbvH{Av;Z`XrnIfQ8b;-@3Smn4f~fF-&*kVq~(769Gapz;}V0 zM|SGgVo)K$=C}2E%J_qh>%3GZqeCo@7@lW*RTBa>06c$4M413#;1( zfw}&D_+@QYMGho#_?BFAFi{}AcWPfPO#~UM6Y6acA{Bt2f1$oti;uIWMbsjpwdZm5 zAIP8<6b^BhKGokoPEcEOYDq}cXI&Qg4ih|b6-s2n|H!Q-L>M<>e}rlYRc!KMPy6sX z=il5i&8Pgb%$@uknor`&`=qus#&9=w~1 zLL5s);kH4Ca0%v3RNL&+B>c`Vpad{_An2?Y+F*QI-0UB2w4q?rCqZe?L&q`y2BRxHvl2vAZtQ3 z0S50xP%^Z|FMpsLXH+<-{uHxiAZR7{p3Ri#>nf5~4q4feSK|0ZCO2Iag9ld)l?+nD z9;79#{)+CAvVzU{^r%04h5H%1eJC-Oo)d*sx zf`tRZ#Zu+%H9|ti$$B3|RwfheKW%$hzV$sH#>*D=^sU93x~zApV2F?ILp^S zuCk)9Hk7#F;r1PI9e+C;jhzQp#vWW@75vjB^pqRqMbJM7SLb{wVvLy*OaDuU_^l_% zIlKuPpo`}4EKMW7;3(?BfLS0>>lS2eQC`k^QK|U^kv$xHXTSGyH|NuO2g3Yfh$GP` zo=8Yr`9MXFOpj2Zttg=V-FIv-Kc)U54Y5rtC&`%|Q+r3{S%Xdm?Hq~xXEviHP{{xg z2<9anCbiYEx9A(px{_;Yk+Gk7m_Ro2&-`(`JV@2BXY*aIi&P}*!(7$bSPp_gL+gt6 zk6MSwmqSSiu=<8sdTTPYaz_0YESP3T@4Xpl=yJ#>D0BAx$@+n+ewV~)Pqc^F&fb>RfSr5S#y&K`qyKaGcjeBz{idHl`X2LX zGf~Ihs^e!7(}e_8LeGXSUKa&cA&SPT0csW?JUf^D$9;#mUK}}o8`{X|pKrWYUr)=& zej1(L;kt5A$V{175sAZN7mr8lAYaUQqfEZ*PF{pzXPg(f0b%oOIx;zOM?T}Lp1NnN zmMD`zD4>}U6S7+d;t)svvi8P!x$scN-V2{UzqL>U`zd`zAJ^gTb5E{CVs4&>4DXFw zNmx@^jlJ>MMOrAtOu547{$QupoLA;q)v$FrjW_;7n@y}g|zRXWzZyujmhp_u8fTTl`|4Ed)} zW`_`~!;s3y=_f1g(9^>4w{Spx)6=Z1YQJK~VBUj5MD&u8XUz++3*+pXPw@DeUR9OO zxABp(3dmz*V#^kwDZOGiRcnOo{$V?~F&D8>E*8<9+kYopRzYf3;>Smf{P$;et_SoU zm4!|Vrm4e(T)$<_J*qH%yGe`5TedZ7mfY=AS`ZoC^*6EPr+GSuKCg{!HtJM?XT|RaS>(2o3c6%gD9YzeN-lh%~?_ZMBvtl&vT@D*;?VbtLFz!w^P;(twGIyNo6 zI@Ie;r_C`YiB_+lhUIFX(T?&qB&U}();#fIfZaefRpVRpsn7%zHvCws=t%&D-?1s38(&RYwOTc*Xt&r%sH4*MnZ@cFkJaQmY%DW?VLBC017OP;AF04*e$n=F6(Z*${bT)!Nd%O968ZE z8|5Do?BRXVGXvG-roZb3yXy@$Zb2-xx)Pp^UY7jITx)0w(KYTnmjQKai~! zupX$JQn6=vnEy9b3V-;-Yb3nGXiK5{6p?Nz83@1Uba?YZTm}RmK>N%PDCw{#ytw<^=_#W%ton3}?eotA^1O_~If)l^e5&OpP76ivr5uc2NRt+0De zr+2V@K=_0yd#tfdVG(~bnN|%oIe{zD_Sd_-T)($9Oif<2zMjAEwk{rO`*zq!w9Zi2 zE&Uoj%6)gFaYOJ1N5gTrBs-7CJKMFSlI3u}itO<{jPMc5$H>;JOX3UGjS%W-?4XB= zsJln|Gtxq7JuWs_P;2{{E;>zcWuy^G^L`gdlOhI+1t*(^&Xu;Bc#xaB+4Oz zJYh;E2-!x>T<_i0MshD;P1CksUPWS)N|L)?oioi3$4YX#Wi4iF2-Z{g#*Dp!P}9}Y ze9w@DRAIgEljA41y+?F^X7j`0hKPW+-LKj@HO-Mi+U-p&dSJKm;ibv?U}NOJSjxGy zq&S8Nx2W^`ToKdj&vz7Q#RTv_1{|_zI$=lJP!sts2{pUUIkWGD6NpR3gAOpp-7eAo zM)SpxL&VJM62Q2J6vV7CJ7UyF#Q~jCY8#&_L8Q?U3ZcftkAvC1?`_ycq$FhuoH1)P zHWzyHWYQ!w7_Z@0OL3?FIN?{uSdZyvMp@NhA&CZ!-3J$Diots{6|@l&TDXo=uLPbP z$h{vbct{|*+wPzX1JO_ne)ZyYgM->`9+fPnxKe?;T*f1pi|GNx|WmTdgkX*Ua9|f(B#L*NF%?HL4$kA z()@!{fM{z(X#x932!4nk&|{^D)25rF^EqZMh`3z0$!A(%+D7A-m0+#xD5A4ZQs>MhNH>Rc9#W-2 zYwio%PG427BX(|Z#@RV6#@ydmKwx>O{2kfd1Z_#izY_o2n-y}e?rY=GA6^g|F|bv% zdiI}}$o0N67B8nn^qwfw9(&w=^kdqFz;-(`u(K7N-l(wf-#v$U4|Oq6sx!Qwetb9xmjGxeiQV&s)Z;n6EV@7@IUbBCi%BB+zTg@nJJ2xT7P^=#_t z0J?--=`!%7%{?{V!0pYr%0~?>sOqy$T}V$%0hucFpNk0_;Y(V{X}CbX!?qR7+sppi z4|NWfx+EfPv6XkFpyV z!`d0=ZPqS=i)W($OVnLLqL--F9P{{VR|lfs+Obkx?JA6TW0eyWD?9xvUAH9;D?007 z57&A~9{0OW1#y`JhAd>@4QE>O$I&@E7^-1Y$EQV4{aTG9ik9R7bK}m4f_PRyV(G&$ z5HN4D=*0}67EB2Qrlyj^&6)*KW1o|nOY6ny3sFrs0>5ELXAMesY4k>bO~o@7r{80J z%rQg9?W=-mem-W)N^R?M!U0#j6N|x}KQi&A@0vHeby{p?;FU0Y7|2Xn|4n`6!WCJ0 zA$y@?lxOK}Qy7znw~7g@Hd0S9h+FSGUjEP3y>pQ|YQ@uOLTv68&c#^*IDSk#_k6)4g^7-fXFOKnH2_ zP%BLZxKzxVNYH{$M?1`-atMwBMyr3Jzg2bxSL5K1LVSRedzRj>&-$#TmAJ4~r8`*c73+fbwsys1 zqz=P_89X-{QktSuis^-wPsHFM700UDzeN7d$BE+&gB5exM&ov}Yv?_u_+SHKgu+Cu zyYdb+I$Z;!=B}{LZ%kT}vSN<`|2oBmvUM!`vrA9v78j zxt&Y%DFT6tB7ifZsiXuA#}x4nfLmAtRzJFHkIDuePa-UWS(%lJQom_;FQ<16-1=Of zFDXaU>m3QJU*_)=6Z=BK)?P$bgqPkz1T~z~-#oRgy(|l*tUSga-E=#>15cijPi(T; zC?XgV>54aT6kd&3z?S!4tJghpsf%oN*P93seJ-N0?C5*lAmUSYPcst>q(Rqva8S5TtmbK+^!MS%lQ4=oLvA*@2Kg^2Ppu86O0pQj`0Y7Uz-Nkdlsgvj~qz0QQO?|l^_0x^7-1l*8q zus#u$Vk2uq%n(;XczZ$|x=**%gVXhodA8oLUF))mp~$E#(^;V;m$Gg5*~4@E{?lSE zcOUgz^fL4J(v0g+S%lXzxg=TG+7COzpa>UcDq(W!y{z(j4I>WXQ;W2@->C~VBc;Yj z(mz{po{EuPq(cN`nw(XMJYq5c0=!7nteRLv(3(%g5{K&_c&rXtAUk0yp)T>@Hcqby z>gYN5fg^V@*!43%ghs)r^!S(jrOC2@Zjl=qD~k;i36MV~zH3A5d*v|hZlkC1<5vPk z7Jf6niez3hzDyzBGm<_`Uu-L$t6gve!g8T;!l~nKh7EyH{5g~!R`}fme*W>C1?l)c z(ZMs4Odc28E(-STpGArUke)HGvp+pza@wviR~5ARjk()vNJAdyZMrxSiweKGxn*_3 z_r8R7o6ubg&Sb57!tn%RUFguRrE;orrV&~4i9GDsLz4^jYGdqvIxef&Oc< zECgCe;DH;zdlg0cSQ4Gsx6Jal*!b&^>@=y8PwRT}D#i4O5H{6aj5K2Qqgc5Z3uwaN zI2K}2Q;?}8(q%R2vY89hhbVbO2isV7qysyR)esa5uQWz|h$Jc6@FqQSq(T?vX=`O# zZro@M!_}K7hKR^rWJx_P|8l;w!@IwC-d@-d5qZ2M(P4y4G2x!qwFii~v6d^(c5Z3?T2yjn-4u((_OrGi3 z;xQ~1Qv7iHUD>_U!LhVNLEFYCV0`7hIm*$OOG{duE4%OZO*7(awO7^gPx`#RYYbAc zy-3!JSy3bG5W#k++^!x1zE_Ta_sjgxfS7ymC^vAn6zRmVr>$gg?m^8P6T^h*_oWD2 zqSnp1)1;`W5uW>f^1#mHi+YN&`)7RyC%3zu3^SUkBoQ?X0>SoUXh!5kX*>qy25)Q5 zpK357W2RI^XBaCowwO-wfw~tC8~_BxM*U{rm)^e@3dvxc)cfq{`;R29iG-(jpBN@z zy=VP>qrBdGC_Is;_z^O#g_<~ztxsA!)Oho!*zg$^#4G{tGO@Vo2YhzK4MNA}fnU1R zr`V{Lzt|XBb$OU~y6il)%3zR8XP4(S3a*XjPG?=BY+amXv9aL`tH7gar|_l9nL4^@ z-9~GNR3GomiQ{KRP3PpSUs3~;|6j#(LcZL-E8g(`K=~rxb!xHx1`5_@Vdew5{#%qx z3-fmm-V3@x???-e0#{M5KFwh7g7K^%Ra#GX_mCB7Ka1pH(`D`(r}xrfgRJ1Nj+2=X z&>z?q|JRIy?-dWw46y^(hA>4NEywlg$LvFhm1Bv|t4p$<^G)7g+y55+KB=POx$-sCbD&)>>LeZZ ztd?KRMawG@fAlTu)CCI7Y)%w@AIl0|TheQ(a6Qhg3ykte)_FulkYfbZ+h!4Y(j7L6 z!CnxiA$#gDbr=&S*2&;H?sqIEuoKmb<8H65+zDfmG}R3gJ*0(2i+$;Ny#LF=exjr<>knb4$swOM-ozmmQ5r5g zOuKy!lww}qL_3KzM0CmUQ{W{A@lI3dKWftStd+;z{%Z>yR>$A^;aKp`KYx)>e4>^< z-(v$E-3KcjnIjJe{AZP0`p^kB!b|2A)9i62TVaFmKj?t23b2>k4;6;@tCO;z0f;An z{O6cHK4YSe;8Se=TL&={Qd z)8jYJxt0vOzYsrrOt5IVIU-q7uoXy%ckvSKM;Rf}BQFJRA$@!n)_Jb;4MyDGZ5;T( z+b@mo(!(B}3=kF)sK{)zualBz$R&Sbc0r?(3}A2(pa zIvzMs;jrC@osaFK15LE>kGs8kzvSf$tginohAIQXl496@b-UAZrE$B)Djn2}@WHhS zd9TV$8`ENy5?EQvx;DlN%sZbU+C!wfWEMXXDm1^iAkceV)fIO!e=5C8QuN2F>t%(I zW`|Mj5C-q@pQVH#p}>rv+fwWP`eWo5S}XhI2e$)HbMcn<^JU}Rg}w+SOX>v|<`Yn| z;86|+k}NIvRz=w{hRf#$qwd!o5WEt2F_ZPA~Y^ z5c}zE1c|-*7KQ+-$fGmkE5&;|N#rT@M-S`^9fq9xd!)ICR((9T0J|pUWDo z{#+|tz#16H$#wak5LFADD=@z(s1wQ@!*8pFMs!#SBA3CTeA+EZ=O8JWdlyJ?s8cm- zG%3Lr^^@Vyg<|nb(Y$-M%VRkjU5j5jv2yF#S`NsXN@MSGtoUN)ev9mT15s00m9 zYCcFzNX?A0w>SsV<}}fu2t1nu)4{X&RAQka7qLIDBaZtVarFX!rVqBBf=u$I)78Q- z&m&800%$X|P{#TyC6f1X*t`0#HjGr@G#EY4yCTWON+)e0vDQ#K-}qtGFneH24j8MQ z)Na;Ob3r-W#1n(!KF5;_#MOMu=iithWG=`OQp7>h5xcKfR&g&zRZKus>oYImV`##P zKZ09a+YVu9g@wuow*XJkgkNS@emJ7v1}wy4m0xi~8-aB(b=6~kuJH>sq<;zxmzJz2 zHj1pw#t(sxKdxkYI%P*&PpDU+rN^tODAV)_{?F+Y>GEbJiUj!>I*qZz? z?2E_|D^nsawnhW36%%ZIwV&z*t7cEvn~ZnAtLN3dK@EacXBlnS&Q?P!@d3B@t==~s zegm?e;`7Jr7M;c&Z^X?09@F*Lh{}rNwvT<_r4Q^B%(*Dhux`n0dSs*ba6ozkQU{ zkCBYcAvl$K3$fU!IgN%~Va-F6rph#I5?^7B!}zMA+PGS1+xaVRy!R;QyPE;W8v<*l z8Z{NzW2l8-?26Ybr-7;XHv(`pGzfkd-|fJk;h+j4RU&H)9rb>ES1h=C9q^+~a8*gv zrc0G^lgdm1PAZjS0Ck@H(~YmmMmBsN&yb6CSWY?FTm2PT-~t`Euk{?o^BWs>w^7;3 zmoznAM2i*hUcUFNG2)r)`nui+9|ODkC` z69ZA4S&K@dHtPH#uIICZe?no`)2OS7lWBjsPSN23&Byt0mW-XPMherG!cS#7L{inl z_2W9S#fWh%^gxJcbUZae0)Sa5B!x9+IRwW+OaCx^(K1x;!3ujlrBTL-&6%uCFLQb? zi!B%BNA@n{_B2Eq;5{q#)xoi?Si)-Xkl>orAel(08jKGJ2AieX^O=v|2;)pC^B3Aw z(&H5Ow(h7=fXYY#SKifdyrX-)Ddb6aV#wR7Nh6Bnzrk^7&zt2q?tD1n zZW@$;_7&Wo@HQ&w#}`#3)RyLvdFd6mdVNOQ%}y#FJ!P?@=LOu5Zi3#MOKQJqlf8em zUT@Zm0s1K(vk}9VW~1yQODP7s6>6OinRgb+OcGf`1=nwCDVzLY|MxzH+VE>R=9S^r zJ8R!j8^7G1B*z7j^@}`x1`GEw~`*5{cTjR!p5ijov4#PFg^Qhnj0f04D>)$PR#<_E1d=1zb&VS`$`%&zZr*?Av z@~`7}9UOpL5JzRtmIHBw?}k`p{HRCBG5 zgzsk~jit1f)V*Ic)m(Gh{dl5WJ7+eBkNoh2UsPUV#jBwbzV;(#dQ6UC z?eoc1H!@qFbm@uAiC)p8UpkAH?^gUIBC%tbhSuGSqSGQYwz!EpqJ9%x{EhA}zTK(j z-TBf<;uSdi(TuR0lWuh@v>qNjM-z$5%UE#D^;y;4iw>E}z_WeLSjhg3Pugm8MMRru z??2UuP!~r2dL|li^KhGrg)djJW^82g2NW0zZ50uLhYE=6bdaOXxty(}j90W~B70sK zy3Q4THAU|(b;kD-;T708IiVAD9Mf&!_R~JO^t7&3U@D%(BR7I)Zxh#hh7tum z_GderaAE+jP4=dWrorgxA~&2s2q3`SJO6mpej?zYIG&E%uj}7u4eSN9>Nu;*W8ayh ziWC{u{ciey=86T8(EA_|-?dXv~>4d?E@;J}G&bLk^WdAO|ad1vUO+hRmw|V^=F~vR`SVi~_+Y z@NzPzJXhPE-9cTJ?$65rpHifaXMzb4D8Hoj2j@UN3^2VFUD(t~#c%gJ$3*a!ps+RB zzKq*jvC|j5$wiC49Ssh0J>a9SJB(kkaHDnzh$K0yvTx-?joyM$PYI9akhiT`!qIAI zHkv}bAESXpUG?>hjRbSBW;hw2Kb_85SFEYyx$sA~Yd?%i(k(Yy5}vZWqj%NHwoc@5 z(QDuLWO;1MnXCo)5p_(^vuTOtS>IxEUA~snW_;2Hzq{y5+;QXod?4{V76#7-NAPw| z3qA#nFZgosNG40wAN-f{;&jQDt622w!olX<`!EKb@Z&gY$yOLqUl#A_Lbe{3tg3zs zF3)})V4)6zO*bqYPTfr`a?T=Pk{!w#7CzfEFnd+p*DowKVwjDt%6_L2Gw$+|M4QY{ zj2~coa@auGR&nNTd01`qSUAAdKYKed)lBvz%U||D=#*y8h^jE!9b(Y|stSuKemlOf z!!7p08Hs*ER@r7d)Q3KskZ_Wtz8W6JI)9K^tXJJ{VgpQ+Qr?4<#Op*CU;o(=aWDt* zAf__h*U$oU>$B%?<*z2)PuQpSpCTRBk$h_St(Sv8Yk|SNe|$&ws>`PHMaxN~zxvO-PZr0A zgLHgMEyG2DdDxbNrxGlh8wZwtkA4RLB9)J`JpnWSsjVxf^ad7>pk4A)u$&bIP5)_- zi_}9Nh2OV>;Ga(V&Ah$KLr3$v3gzjxl+yZq1;s%%%(J(}Lm-EdPEDjyIV0cAzdzKYS3t|@qm&fXCDJ#0#Ufo`%XMo!@ za+7DKD>zlkN5x%!0m5+=5vy~egg2!rwe}BbbGyMZygZv9ByNa1cwf&vm!R~Pvn8V> zl{&4FvpB4x_*c~2+_w{eA^xv___OYLD*yyQ5RC*<&TBI?zRa`;#NB)($sa{srH>@7 z5+Bynepgq4$NV9?o%sSio@nx?Gp1Bg*?3=7eLu=l&!AoBwH){)khZQubC6qoHmY;| z2CO51n;Dpdtk_LhmW{6rjdlp{$u)(184>;ZIcb>M1ShPo&zy=yqR@lBkhrL zk%T<g)z>yD>-|Npn4ln{mNC@CDWL#U8sRrWzb_TJe=$p}T+ zqsZR-*dZh;!9Zk~!X`fGhPLwW zHQN{H_QK6fXhDLu2G=CafM_n_>g+7h?s2uE*(#jy_{#6QY=&6tst$!!MyV^ zJxb+To~;}HPod7BH1o-c={J)S<2^SIJ_R74u1ec{>%*YiQJXaVeQL;k2RxCX0?6CU zocMJ8B`%C={W&dAFN7w>!HHt>Z2M)?!XrZLMup!~f-y5eDyz`q8Ncu3I+MLo?+L17 ziis&s&nVybYq5MmNh6Ig9YRMYiAY{FvKg)nGlhhRXpA~ouRN1pm=NK}(_W3=D^o?k za<#@>r9;B_XhYV$+ImeE<~#&xgimW$Otirc4Q8IkB1+Tk(Ju+3F=8d3yRS3g)Laoe zv*%PJjNE^{K#Y{3x8YW>@Jzr9T&nkoKxBgj`Ay9)6d2wbm7X(G+E#<30}ktI%pUFn zyyUS#d!4G78T-#HYk2@hr-y(=$%1?|6#?`0q&k@@N~x4~&J=|gDW_x^gbmQscljBt ziWXRZ3_Sp74j9D0ii%AJi^=5P>}VUnL7(S$lr;qwkJ3$%Wy!g)GPqWkIJ}oT`*QW1mT@Bpt6? z$rzEcgIAZF+?bYp3u6C}nOZm4>u$KbYVREVi&)OZ?K6N^BjQ^&e=$5Y>cgaq<2AaL zxxA)U1dqSy93P)j##`2Tq9X%nxMXqgu)z>vTeXQ~!2j&Et9#~On1wmUwngp<0*S8J zpN!WX2?Ykw(X;jNWs3RBKd!<~UJ*c~5oBIL(SI0jtZMFA>E^^q$_nxoD(=e-v$5`Y zgE$dq@gUJ*E*_k-S~Smnynial=eu@sOwx~AdG03#CRoF1)JaDBGYjR!v8-!5$I)h2 z>I2$#YSE9EfFwjUWGQ#Wu=DigT1V=nZ?CUcY%pD}eRo)p9p&P@E73LbfJys__Oc2f$cp|!wF^^g^FphO*@-7|_pio1bH_@BqkH3twW{Ibe;wt#GtgPIdaIa-eaI-|d zJ5{ALKUaTg>w-swoWBpUIVAreS_ocrM~j`NT`g;uOvYjo$C-aQNYtB4cKNFqJPle& z)jIdCbb5=s?dkO}ktucB`2E8(c7LrrE3@}5$!gh05iK6SaA@U|fQMNH*dW1*CAh}! zdi_s@5sX0EeX5|)!#|6LXUjC|x9 zqLyR7o5uweX(FTpM3SuU^aff=EcdXS8ELqpoJeSj^3`$;C5NH z07o5fg4R7(mHn;_9e7TlTk{R6s2F>MsDqz{(&KFom3Fqn7dx^;GkP-XETxS>ox-Ih zt$v2@4P+v1FFgntU2M6-`Z6Z9j)dR;&4L-VrTVio7b|#ZbR#GQcn89!vuOPKeYQOPt;?3yI7FqyWcos4@5DlzvUqH%&N}>Tv(9~%lLqP#A}q#{NP@0$aNg|h+w4j zN>v7{th>bVjmXx_7x4k_Rqxh;X~CuG2F+}qb#aq)L@ofIeudM+5Q(GY=N;6b4M@O)lX#31$^O$(57WFQ!5L@)5;~i_Q6i)fhHmL3Gq3BZ# z>2Qo8atBJs?_M-juS=g?u16+0Z}J0mZo=P#f837>8jB9^MVyN$6l*qCo!$EGx!j`u zG>=NS{|v3|dNh7@gXH+%`0Pdr%r#F$^gG2L-YNcqXsV*VJ}O$~^qjNH$%s3)j9-1k zB;tL<#PedchNM(ZKaDo(TmFSlFaMjP#xAx7LIRPpzrOU*5t6%!Un09_t-eLGbANnU zs8-qg3lY%@V5F&dcRo(hyRI)mkP+`B#ID(QJGdMFB5Bg#l><9%pC#QK&- zzq++oQwP`5_yq`Y&WQhjNhcyh+Luwz`6~~V27G*X;p2-o{~`WjIqbH!(>%#v zjIKa2KUq8;?cITM!NqEP)*zz88YxIfAc;9J<6D#{98p1Mr0OduGcUChwBAXWRK zImhuj+2%+pb^Cs0$yfK#p@rpJ!K2+4b5wI_2?x{mU&VQ{oR-PIjonAoYG>9E=pg}PS`oMx0iTvKF?Lnl8&z=~sIqwOEg=8;3=!XRsh^*eGd z+lS1`=UT&yFtwBqCq2qU-A`Am2lS77_zIQ$Tvm~RbK0z*99^v5^y#-G%A z=f!s~1bx%LvJ%MzR%J{v%Wm5kq%Bo!U}5i$FA z5tsO6(rPhm_I;UB!^%Oo05JwczVk?m8WG5VAHtgfM8JqjXFlsg?Rra1YTA9-f@9Z!%FC|9A^`!#=!ai-d@%QYHO4 zt~>V0K7HKjwxaDrYf<`-9GnNpA@`ReInZ-`t==y8i(KtBXV_uW`Z)by89yxI1tQres&ZGBFOue5A!zs=rc+|7atZUat-1X9Y{6+4P z#Np8&aopm51!}%#Q)^f+HuC>e@=VRMnKbza}!(bFT8%vab?+5>X&6b%TJ@Vue^}ex)gv2ciaA&Qc`8Q z&`UT?FZx-^Upxki3bk~M#?CpT=Yc5=-@@2Px~@vNc_9nPZInoy51HA*73e!k^ysoc44C+`+Abkj{)I=(eIL6*(|44V2^ z`WwBS`&VMU4999OIaFKINtfKk+lWf}n$Seh%ZW`K^ti|fR)@D$NLrl0(!h4N|004; z9B|8uyvKi@ru8HRoG5Mr=T2i*>3h~h5d@b2TpjQfw&#mq|9khdYWcxBknV5>ls+vc z|2YtprR5bz?pTufE_+zC$h9z%fazoAa-rUcj{oXW8$kp-PRn?-ib8ZuvsDiA6RL#A z-D4&12?EQbYO0$5NgOiwdC5n|ScN%3w+02&FmJi;w6>KGU=M! zCzhvI%zZpptr`cJ#mk6_<-Nt#Gw)?nQEabXkH!t(O8K4VNYn+e=RRf2T0eN3_2ZA; z)0)Y*_^~%h+Tscu&XL&EwyBci8EAx>slC*{EGsu1lXpew-Wulbop5Tr0ZT6a5!&_H zfuLl?3tL`xaE})tm8L>ydb+owmy^FJ^I=OYatDH;{{%asve9UWRe#5rPrc>Nn~fvl zBTI*8jX%tl@A_;Ml&^7Pl13bFwD%nToTPGEX?ll|8KnQRN45K6<<;c=QsDvw5+lvV zCVv4EEv~}zqZ~%SmCi}3UCU%>KcD zDCjtw?rQBo#^v15(kpDzzs9u2CZ7Gb@(9azNMmOUeggpU?sm60R&d>5k2{!fnUd}J zL{AX=JYZiHu?hj%?I-c=!j3^>VAR~_5M)0Xib=Y)i=X;B?%7_D z9xig-yDpT+$2p>hCFChIOT$0mdXwM2v^>XgrSJLwKwA~FT27j*14+kx!;kcj@{*34 z<0k9U&bmM3{$AzjXE#Nm8vzW#bL> zpCo5))&4UM#XcX5v^nbS7`)X9IEWQRbKi> zTs)7~DfTO3Oo%gdl$VjpB^TqEPe$HLL}%PY5j{(68=}9rCBEAqyL~%iiVIhR6Wz4Z zg*!I&VSKVJ4<9i<$LD?!ous=SiX0Mmg;&H7XfqVK3>+9_l#I|?a?nV*GnZ*8m$`4=Sh zCgoalFUObD5ka7j(g8RZkGhA z?wFR3kO2porV@C}N2$PP-+L6rX@DC-2t*z6bt4?=J3y6x{N68B8fUS`?_2yX2UAhp z5q|1p!@tFR=~&W{g}*;$Khd!B)0K`m zfT&;+Qmwo9YMK4n7Gg=&9QpY&owLD` zR4&Z|z1W=M>Z9dMg|^ZpCf1YIc;Oe1vaHZ$b~HrgPW2;ip`izmI$9XZ?sQKq!1h$a zM@*ejiVJ$<#na1l^#uLQL;seTqwR{P5=hlw;6_~N;E1tTF@!ODf63tqh3Zw?z8KPR z+qq6%dXm%GIMc6lX@g4n^nQBVZSZxEvD;$Xx?#?>`Pu06^6o5;3d*XF^L-v&s}JcJ-n!3Zd6zB<889*YY~uAU_2{0mId*^# zl-^rU9s5vxkhj}rjN6VgMu>LMx|6q!%TE2#4#UlcrLH!)J3>A6R&!wXsqZb8FQjdB z^lQhYd%Q9#D9<6_ad(i?@2_PY%UYj#sQU!yVY}IvmJ`B5R=Co>!HX2)k^$<#>r>Ix zfHWbNBMi8%LVRN7GDM*vkE6^?)+e6=g+R$`kCFEs@>xtAnTu111Ox|HUuR{G9v-bN zD`n@e`eL8tnmd>5S9)Cx9eNwI>5>)2H$wJcw_i~%H49nPOz|gJoZAQL5-cihQ!{%5=$o@jmCBQ=N$J`Pu zB){SDTfV#9pA=622`fT|znZVLu$ShWt7m(x=VM5YOO~a=)6;3gpS3Yc9}zwnN%J5d zIjnK#zn}eI^So^9l9nj@>#T6=Wx-T3uAI|{CWCq{TCX4IWYtpMyv}!u7y>HTFY+t5 z{k4-HsZf>-l^CNwH4nEPba9kJ9mAm-<8x##bZ09-ZbSmmRbzl7PtFC{mpT%>FxvXv z_^BYs=Tbz39FT> zv>kMholfk$TdsPOwff^+G?!Y0=-<%q12a!+tm+2cu`J%?&)qMygw+>medLzYjkyMQ zA0H^TzobRIL?QQNm+-BM_K%*!OuAI{x>VLkwoJWI8(Y22Ph3`(v$SsgUDMUIgm7`c zt*rXoo2e81_6@d;;JK4sF~OC%lc3d5%%+nWmk=V6I3#o@jNVa+#QGSqGJjbWMt)Fs zxv1+Of;H_4caxdLx0lg4r^}`6jW4{-^;N>jqKYqup*f7w1gYhf?s(WiyyRu45k$@5ivm%OqVo{4>gjDWo&z9=B*6imx); zRy(2bks<_hiDD9Wk%C7Fc-Gl3{WtjeH=>q(j!;h9B$n5?67htFW_TyRj(pWcTL3zq zu}j_*)AptnZ9v-WwkcAQE;)2It}=Y*hn2r~mIEl8dtZojrN3MHxbZ~~iTczexx6xR zId8_4W6t8Z@~$};M?G8#f-4e<3TUfdH9$#{yvv~CK4JD2H!w>B~0>? ze9JY_#3SXQ&zCC%PdDDol5%H@hfDz@&@Yt@iN!p@%B<)Dfnl#+1eP5vsm>4UGirq& zlOUh?e&T5VOM32#B8B#QJ_yl+EaQ>vqVBFPhx>Uj2S6Z^LxH`VKuYtJ2ok)@#g z*M=QL4&}A?E%IOAokhfz9ooE2&L=j{@!pp3Dgd_!S zYjPzX#Q%&2^=5ISF!7xDDApZtC)Jy`tgxx(gXwX2DS2{jo|4l~$Jssexp`XmN>4&A zoU9)#TY%{*Isu$_{DxX86M5MFnn*9kW%&+o!o{Tm_yc$fnni(Ix6yR(@C_ye+4xi`~? zPn~kkHaQ8FRM7S7(QH%-lBoH;>RD94dF?_u*cQ_J@Rt?iFn0w+?r@;tp5OqN@jrNX zT%SX4t%`PO{>f^qHtE`PTfOgfc>yE&sQs*AR1m=#L3nNkfjMPpR-*K_Dg|WyB6}3Ab@%sX>u=6fT!(iYd$G2?>0}QV+8EW89}kA$ z@H;>q+b;r}-Ds)@f7~EQL_=cWKsLA3wpl2xQDqIRL1O9tCuwx-PL5wR z+2Ejp7kk(4Bm&^kY^_`OokGeR_Eu#;F_qs7J>GKRA z%1iSjO=v)!yYFbXd5hD?)P&Zztn{AQTN(^6eZWkF0?WfO9ASe3wGFB~<3|vmWjf+K+zD1IaJLlXhh{xUWghMvJ!RJ#c1$Q2`7rXfhcBkw z$+^&T$Bo19Su&=i^yd8#3H=(&&kt&qRN^oHj_u_-Cva1gLyZ95&6zPhM*J94uk?3C zaV)VM!sk2xA{ZWes6+pq_Y7V=^`T5lNMD{ko?7ln@siN*R_p? zC!{|rzQn2L78iTQV%mOx2qDiBKCu_JHs##MA5{8ycP!;6;4eq_U##|f>d@wHr zSue=9tBgs>OG1?=CVJ7FKr)hiyYC9@Q0LP)p8scHR;qW|n7f+7^tI7}FGUyk%143Ku?*q@_SC|KQ*^5!MK%8IG0xRnfa-rXU%mnH${r?fgwfHLr*KpNe530D@L=RE#py}d49JqCt#uwHGZJ`kzPUoCxK z2LwUHJkGFO{p+)~to}RdH@X!|s5HNq@vZ=*;g0rYf3~)BDkpF!knFw@)J9U zaafH3N$_!8)78Vjcp83iI$@q~8<|FvT!dMg^`yHS_fMmzLnBXIkNrm8k)3=guz%m+ zWuvvYiSi3ZNbUL}b6Qqc3x(?6;=SSFViDmj8da=?YNi>$L%2Lpg=>`pA5h$KjxH5= zpf4X>VpN@P%`fSlP+JSl2IhdL*oe{TmR-ls?a9P*?kij}r>j14Ilk0^tr>yJq6dFA zBR)I5|AX;SN5Gk`vMjc-0_Jz1-<*^fK0mjR8L$n_eRy_>SzMTEjyh zt@+wSL6X}zsA(r~$;-KJiu~Khuwz0|U;H1|0cz2!x><|*TH4Yr7G<oK4x(tIrwwDPgj zOCk`;WlnHIz#VS>6wmgAzqB^6w>Yw2TNI+XhF*dp;yQyCU%4I+?>{PjzFJ# z8t*AC6@|#(IO){wPwGT2y*{gkTFNXISc%Dln_y$e#(vG^@*G$@{-bx#SwH!I10#mR zHM+~BP^0~WFgtp`e(q)wN|@|sznNfsun{uBcvA8&sl!1i{>a@nYVdN_3yu0##d0iZ z+%dHz9kZZzEG?n70r8ZMfOfMpI6a$%GSjMn2?iXTxGcKbD`XoH!Db)M(~F!EaIe&H zoZL6ddMMR$v^D-~XGVQyMKc>KA5B>ESK5*m7e5m4bUpE_8(WwQOLve6?#U<5xbptZ zkem?xGE@g3BXnap2b%}SmJ7jQ{%}b8DjKu=>E#KLEfeo)Sz1`cR|vRs+fWi&?yT3v zM_+}y;~2pCd%M`?5C*1E>d*l&eb};(?THe_-2wJ@xo0GP~}V%6}D=8*!&^1He+_u;0Kg| z(5v$|PFf7F^%YIpYba8x`5BwXD(Z_t6q&xyjAg4!(WFP}Ev$@L11A^+>&xUa@mIAsfVUf%*4p?V-cuNqMBWsTqt zgmpfIl)SQduOw~rnG#yE_PK>*ef50X)yL&;Q*o7=%8OTixlh+4AV=<*&CrhN?9l3c0Hi72a2CeD(qXykcyrrFLFBED?witg4Y zZ*%e6H<(@_ccvi`0U7Z0ym<=kYEw_!!>nzfq8>F%YG*rGpxBa^+I>`?)Q8%OpDS_D zDE@xhb!%}`Fk3Ro;s@D)n?I4BE#C(^*X+CcVxVAzM(t3JvUf)llG>sLAGU$hViPLi zsR!Lk%m+@E{ZSK|D1L+T3OZfI-IhKG3vGxPo)HbqZe6TYXvWE#cO@p z{LOAovLYU=IdBzs+=A{tZ-MY;monlCiF9tmaehy}lG?FGX`BNuSzy>1tmL3t3#vHa0q2s*P%Zp|lq0`}DzjpB$?X_|(W9kDE~UIw4i*UE=2EYj*LO_vS@< z=twHG(nKD3XUj2*Z?}2nU3@U<{NSg^^Z#TA#+^grs=xyS(Qev!3av53y*m|riP1g{ z_a!ZSIebuGBfQk4J{nUslyZqNiVFld0u2yZG=GMj3c z)93>%h#`3qNEdd>U^`|SA6oj7y2F_MU0=s@O@?q`YL)s$%I;ym#=&*j&283xy0o~R zQyNcm>NIihGFp%}dIimu8SV@%rnsMl`;}!tc-R9uQDo^((OI=&b*&KrH;$W?h0@DC zQMI*_&c;SoGc7_qlinuo?;?69_f=V4`5JB4LKyVLBz&P0WhX<VIfeu-kfY!z9fl!>m+WO$yp{8$VDbX)NB9`tUSSU+Ma}bwcIl`S3g8CVsXV-w>SH7lW3g zr1%ePVcz6;>(7_|rz%eHtSU93bzyq(%knx}=>Ca3qQwnIEB?IiI?t}`*L=Qv-cw;& zpSAdqEO&Pr#^G_Q^!7ZAI?t<`&GGJb_tdr+d}M?jK)PNwv~`#~0b>1G#*a_e%1?PQ0j6+xW?zuS6qv7lsq!mgb{7 z)3>PBQ+)O9nN(g31ZNJX#?{saQDbCAR)X&M9un^N?0*?4b~%L^@bJ9p%S}t$)3>Bl z&l@0EVbxMYaKg%}Xk!ddAx&1(j^BOJ*>L&T=W%W1_m$d_lvB>{Cs!dpzL}MuM~%9| zl_pd9C5S&rD5U*}G~RlyPESg6KYufX<+%J&oc^+SJBRB0;}5nU`gd5*Y`OT2m)Z0% z5zMrz^a~?+3o*=4gB3}ALvPzwPjpCzVuO~( zd7!xupXzOc-S18s4g5&wo``f3=iRUi>og(c95N|Ecxe#>k` z)SEa$tKx+hMr(0c3s_&kB%soEm_gtqvP_vZ^ycw{ERQtWu8TSgV9|RnB0$oi*=2%V zVO4VR@a;73XDtNLfz1#f*H+b_Rj3xD12yHkBFl2gH8O|ju%DXKU+VunyiroDS;)s# z_~C3@HC-_55hRGNzV%)ZhTbN2Dh4qTGc#`cjwS!HL6$BUJij*|`!O*AY^t zp*4v#_du}EYtgLmF35Z0U5;x_b3Pmw;Ru;_a6S{6=N4{}H^iW`7ZvdFnqZL#m|zRj zK+fvBiSZcy-yh#WhPlTj@S~Xvvd;Q>0%P!`=sAObDZFl&OWcYn@tDVcXCX zmAvz}s{7lk=~*!<$gc)at$R6%ns@fnULWk8=Oyu#Ct9=^@~BqkeIIC9Y-V52i`;RA0^2*LE5YDc!@F0#Q<3gNu-CJkSA0+Z&z zP!>v*7mZ$PUG2}gi6)!{mWb}FLBmDv{`crA;3y&-ilGpVvT-w7yFes^3H}1QUtTmW zzd>~Q`&2d$v_s%6A|V<7hd-M)w>id2vL{B-qhvP2;S*TMMt&F;YCFrXJyG+y^rioq zqCzc!&U73KmpkkJE{E}U>|j8Ik{E6nf|L9&gpRgjmfzcOO`6HQ^`m0DF@X7-cFGE1EE@6nxAbPxXAmCpaCcG%>Hkt6;M?^DarRjT9{;`OV57s zb}ja@s(%SMm@2+LQZUCbxmvDG@M(ohg8m+nsG$`dmGnb}qqEWk&qa(qeaSoTzGjzS zBj_13eY(AQBMPN+ysL|tsZbENIT|%&qvUabU@UzLdw_c5N{42Y^8J^K=KAw;k<9Sz zcl^l-wJD4x$(oU-0xsM(|DBhs-qpHBOWR}#c#aJmrKMh@Ji9HKvqiX%i0k*JpJZ_& z*72>iEYhICIv@fFxn)Q0DlcTNW#&QLamGP?gWiI>jO#NABy7_QgE82+r>0RmE1wm% z#PV=&O;a`d?3iJDK=VE`!)}-`B z+X>HOu9r=wgeA3NjP0?f0Sw(;)C?G2c?wsFp#4X`bEfO1o2|#a`8+1 zWnC_0H07jJFr4-b!6U?AA{s zsgWLXQ85I=1i8<|N++JsF;0yWr5Emb4N+N#38iUQnd6L&A%D|&yzHeLztAt@@Bg)G zz4|YNTub1zf!}!Lpuja?Q(4F_lDv}N`1w%E7Ts8PGMo2wG+|8!U*wi zM|Rp28x0JGA&?y496?E%FT><{2UUnE`~dRBOR&;=U~oMS#U5m~G}qOKFU{?qE@6B# zd0jGyYQFeF$~TOCL#wUC$6??(>!OVvZn0IKP}x8I1x?qSq7K>l!@AsW9ON&Q68 z4(@i*OSDB7eZ}J{`p19m2@W1DToyP3^A2uM()JMH3JGA0*P?~>fK0+KcS_tY$4|VtDxjTy&yJjIK zGTG%;`_Qng-NmG-(yjcnqBR~vgFVD^=Ev8A{tc7XS<|AB%h(Mn`0|yU@siYn7A!&5 zLEYe(!*e8nbu7rwRS@Jgdk|k->bls@LWvcQ-M^uhEg6~fqKM$|r^k@ja|imk74LF8!!P`1*jj5815iCM)u26&~Pj9t4)Xpu7QbY3WqH%{s^9 zq-Nx&;*Mwos&D3^F@G;b6ow}R>JYSQInnQ;C#kK&2omEcA8G7uyrcy4AvpgDS*@cE zJ_+!zNAGD6+ev?RaT1_uBYcQvI(K0{_GtStEgNasgk&yRn zis3&h+-BGt*H(<;im5~Sjx*gG=Q;-&59hjT)78SBmn5r&*M&dNJ4E_E{JfU38LSK< zUBua>N(o}d)(jfEfyUeO5$>VsE?L^gs1cT1*KgnkM*aH$4O)Sm3{ z&=CX9unEuazH)Aw&{AiEKgRUSS^3g?qq`T5Px2GVC$bOPOFM;E9+f!!g#&(A25?2Y z>vIrEal}br!NRlK$XiTU=~2?aK_qkJCN@&X(}_=Hz2u zm#S;%w{A}e_Hh-py|-ZGofPHA4_7}DuGf>v^Mg`lru=@2YVaYR*1eD#Qb1-K7b(+c z-2!~cdDK01?;tB7$d%1tkJrC^FN+T08$j6<~PdpC^EJU~D*P-0G(n7n@>!!6HrumgJ)q_K%+vNkYB zI;JKw%T2x7&h|JaJl{FrV++x|$e==QeQTeN|EETc$zzhFsce5Dn@>Q86U_5l%hYIw zFTSXvyBzf)^&2J-OzPahsSdrp^G5>OKw+?gK|AI3mTjJWCbl&Z^N0`-D40>h+4-;9 zn4B@IPB?RnlRDVl#~9d7kX!;L0*>G5alhkfBZ-pgr+V_3k^q~r?LINXD=n?SXhASc zVeA+p>9_x`XVTfX{3|wgjm`=cin4yPTqh zWhEWRrNsS+wgyrd!5Fs+9Tc0_`Ns?8z@X~?T!;*$2Mm(&D4T8Vr%@-0R-EaR@u$7i zDrZkdAqHQxz1Yyl?6-QI1tO--{FHbLl$49_mWA|Q6mf$l8Vt8Ume+W07qG*hPFTlt z46w7@1}oqIk+(9YF3W_Dssa1=4DMw5&*a>UK0h7$zBF9h;MyhX@-gG~pVnpb#T++? z+z;nX3TP^VABhtXqa@9|+$ZC{^WloRyTbhQ>no#KD@X46(dRZ)Cc5hbMU8?UKYd)} z`Q?_BdkT+;>;sMKERUIk7%URrkP6tv`^Xfh{9>R?3%!saeZ;Kb9{Ttt<{7O*8P}J~ z){e2|BjuH?Mj2WQyU|@H8KvE7v3C2dD{f2Wu|}fKV)-&!->=$@JZsB!{X}F7y6(m; z39i^uEL7`M&rd{Dn_5xav>S~Ue<&J0aCjQdMVgVsFWxV|7~ixRd3&WFrM@>*-PbRb zWI+<|@h$$-Oe=C)mLK-@7#oEaTlggCO`LyAd$= z#RAo5Un;s!$j7KI#IkeheWm^n^h**j*gY|aKuhAkK(kdLYG<)Y#0N~PFT z*kr(}0b_7j$4VutA>-F5QeD>gT+Pem&a`=DNPf``_$@4EUw0&!K9KHBe^&K2Wb{|i zge}hp5n2b20I*v`1S;XOwT5APAteU-3T<=~6-=PoD{X$eZ@1FfqwO0ya_ z;t~L00#S1W>+Ty$w>yQK!oUN?-O>#kjaCk~1k$xCN~NV*eZtcJ<~4!+8*KSWP$)_Q z>BbmfDZoS;pCr6}-<{)ijNPzAD+`ryn&H`!^~aR>+ZL(EyxQvF6cZ~65C-9?zt>r) zdp-_yFgF_w-OfR=-?nvhNfm@@_i$)L7`gjb7p+&S?zT}#a0>-VTw~f*_ofS!ZkcEz z#k+8`MlJvG)Du zxf8kXV@XvS!#NwZ3}T*m{kD7hC#8cj%iBV)GvP=g z++R{_+JmwdE~wxJREhkTe@?1K?AWrFoIW1M*H#jM)vEi>B_u>+khU?7$MN!6`ZWya zoo(;_f|@ExuGNMws^qfCN73wlFq3&<>XKy%Kc4@RFLZXu7`NN>eR!1l^X6eBX*}{K zZ%}u(doY1XwXnR~CAiDs!1-=M8oi1S_ zPs*W*05<-!m+b7$lT_N1|8BW`+yQoyS{PNH6^00KTsOU%HB!~S$Vx4lX4u4=NCLx9 zvt(7fHFNU!qyvwFm+iT%ArfN)@Aqm3xs9E%G+nu)bZ)&i#LnCG>5^*$FaBkw|p_ zR0Vgseb-jFUHiflGnL|0(fu7o%9A5s<7g+`BE89b)-%W^q=)u&jGPVBY;!13&tD0O z~i>ias@r<)0)up~wJ3}~l5WmD2KcJJAq9dBi&2|_fz z#m6xXxdu>*s6giD9AnMg9W*^hyq>{iR#4|{R27(s=Ov?D^EkjnW^c$U+B(cidB$k& zvs&`kAf)GRymyi2Fp$sNC{%IbJA|enFkzm7wm-;JUo#gH1}%>l#9Kjb3@$e^Mi0l+lvtHzQRsoyM#>~;a@O*F|Nc+2R^F|adEJ(IQ?bglk82) z%R{So|L%t)e^+}@;EQ{N|)|JLvR+n>O1H%HYwnl3%a$M{f zr<4Dxkg9e_nCpaN2}ti*(dwZ8PE$kFOBn8R&9md12#HeTG%W_G8mCFEymLNoibH|h zNVq#@%WFMnOQv2ZGlZ`SmiM(?GiioZ zjkMQ8Tj4>)(GP>HRj$D2F0k_%@v%l$wo3;AVM*aCEHmmPz4|KJG5V2PHFr^hHY8j7 z6AgBp^3sh1ard9MyDso)BKSHytyVNwdl+Z$5EV_r9{`=2vzWhkZzL$J4kXEl)GLn< zuBU@I;b|kN9&lD0MT@&WHJh>Y>k)i3scPkN`kPn6Tz)Nt-0V*7i~HAwoE}zrJknQq zOLjukB%BH{uw#o`vgeG2D)3J6rIh%Dg%5rM*8h`GRjd21H|p907qsjo=5HR@&oZ7A zO~dDiOm1{jXgntglI|o4-J)+Sihq{kMQw9`O#LaWc57OV&;9HoomCUZ_tMm|>#B)WuHPw>lqEdHuv*&3R2F}XY<+$aM?$O zg>0!3kN?i`>37zVsbZs&hNr6ZUO=YdVj*6hAl*NY{N_d|H6 z-d78E)U>K2590e*aM02!h09&3bIBd#S%ySsGV-bXw2h{J`;{R)+b|Ou)?BUcnoj~; zGFJbze$1*xZk>WdJS@Y20H#1JlFO z#*cJav3a7RHI^4Gy7yz|D|vY8Wxlxp&krvgDF(@oFC_BTt(3=O-$ayr{<(MDsETnx z7kJ1kG2$jmk0phVDi)7h8bVd*7y0Q;%2$6FsV+!Vihd}F$(X``6;1!Qo2rw7950T1 zi_z#C6pX2w9&fJFbP_FTgoC_ZrR{mN|3Mn2sw~ski1V0j;KoHNsXrEVtD_5t2rK0u zVkhJrvURj8gofG5-x(&Cn`qZuE+eCIOi*p%I zla9SYxH+_Z-u$SrTfg{^dnWCc`r7t)vI`%3HFpm(N#&6x-OEp28H|e4yiNK(PkScN z@=I$#gl|pX3u(&CzhsdkWLh>(c>YFPgDI9chkKG{{byfHSA-`W%Aej*y7l}MVgC9vDiclLwGyL=8+u-LET+B>)34)wAR|p}|3JnsV3%xJZILh_|I>oCn4?Mf|^f~#MmF6QxN)5P}md3*IrN-Dp6XTae>w2#THc*_i=9oeh>V5)+T2# z7Cwdn*A{NfL%X}&PM)S_G(F%7Y(nq~(3x3!vTF$7t zB9}Hb^(uW0l{#Xh!CW*!)AQzQ4MB2wuy7P16oI}nr}f&FOI_`8nXE3Uq{ky|eFfv= zS3PC=-bP4a&Ezy|dThG;?n~%kTpZI+yQ^UARV(V^rO2dE@y%P2Y3I%L%$=#&-VK7` z$iMwS~!r7NK7)KB?K>>Q_g~K%>QD2b#Ch&zwi=B9RI8;zgCVREyO(RLNz> z&2tEg#9M#sq2GM==7zGd(O9*vYtCQ@>~LXKAao?6Rur0tr9XwN4r+xvP7z631saYd zxP^Kmgx`YvUWbqTSn+5@p5whh_=I__?^TVi7s+TSSfC&1uRV0Kepas1VjLA%n!7q4 z)27g~)4s+uGo~CdH$*Iy(6E$nv-pKpjGvRvaZ^(^rZPx&-nsC810D`v@ITV@FM|w4F4P@(+N)Dv&uFW#gfZ~z2kYk`WDr@te<%bR+Fvy%_E#szm&xxJ~O2F z$k6zCQEZ8U<-^JYxH51}_Sbrvmy(jiEwdR&BLy%m`Q29nEOFnnTGo_0U|@qwyS==f z8{4b3-@pFp3-i^n?`D_SdTR_c2Wb6`BuWf0hf=15Op@OT|Di8tMbQJ2<<86YEsqeC z5VYcU$oEAY1e^<4?9FRJ2&{)u=q)bg(_0R8+}zuwNp#be!Y z?+4PSk#+ykSd^^d|GShXp31l4&m!l;1mO8NJS-F+imW%zH*kc`{^u#>y2REU z7krG20#kn_5~T^?(g1DhGd&_d-hxk}bcmK_?}-f4_S z1SkknO|H23Rx@BUB2gNEecUHH`Rm&iVZTz2lxvadByZ(6Yqi-$8#9?iO=RL7#Pdg+ zDXik671vw?OqXP0I0GLDsTn-oa>6e0GH_MP=ee7+I1cvgEbz#4wBy#+I$2G4>MKQ;e+Py`Rzd{h43S zF~@V<_x)V=b)DCBo~O%OZssU_J&VWrTg9cD`t5PH43^h%c~WP!NsEGt5IYqS86wrE zk()mq&G_QBTf7*kCq1K`oB7F+cLzu*+7`*>Jah!iGKaM8A5iy)K`ZII3%4~je0MpbG&2%YF0=9VtCfOdEVSh#g_G7)X_a?Mp5`bI zSCi`5#o=CC=WJ{5+c@ytoR ziR=^Opx+v+WCwPonx=D0fe8Vi@HF1Ir82LXfg159OD6HKB&w*mM$eeSP-GvilMf8- z12%v0*|6vo^?Ba%q$iceTu`y@!B{+5l6dpP(s!TC&dD!ow*3TgH}@w-A`C%#gGYIi zxZ}Jt3CST^A3}D=0|qp-ehd*J#`zV;wf|T6-baE3fj{SeU;a-L0UnF#P)xwdS;-AK zHNNU^B&YLhk)#JW(t`bKMALNYx=6Eb7=Okw{4k5M=P~2NbiA6qzqpp4OO^%ExGi6_ zUuyHmO1*&!U7(^ib;C5#U&;yd$7?mnVa=;;X=A-h!f5NS(_Zr%e8PltK+;B08GM<- z=nCs1ICIZ}vy>$Wef5Py806m&+I-lRsBUAxE3nEjGe(!JkpL;&DGcAG_yk79`~gO~N+{p~av-UMEl{T(-G3_w;Q zfp4}Ea;RyC&|T)K>|n3Ras2P`#WEl~z~U?_W zO&NpXxt8Qh9g#k&(Lc^H%nAG#ey_zv^;5XG@c@0g*Ffv1$WWwOiLU_rD}a8L_Zhfe zK_s{F4a}&yohsw_bB;O9#fNkW;!D?i<^3kd$$@aky}9d?6F!_>i$6hqqgh?{gfmevPs$SB5uEt?zKq}{@SOVaU;E& zK4LDNN`?1QSZE)hQyQsuWZrF?1}6e4(41zFqUldGODIi-_jeI|ls^}IJ>Z?^*+mXf zOn3Wpb;yUlJ{Y6`6n1jU2-w~Xh%03XA=EpJ}Ao9DNWu6L@yH6;ByIRq9whfhP-Pa6VMlx#LsD3y? zIarr1KsHK)ZJfr?O6f2VTnDmXD^;#LeP{wx{~^~O7n*R~V{Y2~1iTeYpn`SL@%2{F zMv#ZqjKOgPAxD6=@*$H(HE*6!^n1X?t+opn*=%A4fo<$xK!QNn@+frHz~9fDw4x7J z41Tf#T|8*y?Lzot^$)2Y-D4p9K*#NMXR~}>Qi9|6gf7qZ1Epm`tLQ^YZ!s@i{d7LA zs}yj4{X8j9aO}OMEk5hf<>8qim|lPA=+4Uomjrq zHeux-@PUC8M0RjeEPlAzOMMlf9ng!1t2xb1IloXrgFzAjP{YU$Rv}Cp&%Mh*J4#UQ zST#&2>gQSOScfVV!Rk){z{l6I10jp|UXB3zPc+UPpm~H#7qu5kev$?I!?LuPHHgS+ ztkWuVhW59d6xO{-V@CQ3s@j5LA2hSwX)^KI-`up~`cNvjz(5@u#+1jlgFEz5Gx#Z5 zD?TiXuLuM5OjP2ibDgDUU^6Lo=hW3;8`ciRT-$njeEycmRLpLw_whS21F3Dhy%Z0! zMb^qIJwR22d<`0HBP+Z_d$L9K$E0tisPDFlGyozwJfe;FWM*IF*)x>we#7(ql7}P?aS- z)`~Tz#mAY>%+HzfK%p$oq4?;Hk{LEHaNc?Y>~6~}oC)5vBE}$~g}v<@JNZS(xJOzx zm{nf`gx$LnZuj9i8qK5U6SvEqxighIp?PjRMm%QWJcq=je^fKj-1(OJ1R8iWr*+8? zO!#WCYpGPj>!De&-vyynRD~>B86N-m^B$Eo^zTuzoq8G@(SWr9<5-{*3tVcN}l0mQR#?0W|eTIqO7Tp zn~uW|fzUmxQo-;MSl8YB`2@Ji$lnPuhsM@fq%e z;J$vzWY^?|$nWTB+4)*cr!o<=yLdHBm=*;Ry)nv{q{DpfH`|B)EJ&_0h|sNPNXrQc zKg421SV}wJE_$??s7kNzNXtE15u{2pzaw>C3er)LAB;%_*LKdbv9ay!Jot}YOuIfz z?odc?IQ~2Qkm+85o0aagV4}55W^~uyNIQoQCt-A>X`R{Q+@uRPt7O-t1HbrAk4o`U zlP%C;NWA3F7w%m?XO#5d_9n-~mY30P4I!ned_*ixxxdMg+MT8>NNH+$wtrq<1@V5L zi;MKY%6xm0u4AF^;eTkIa7t@tE_#q-P9WuttiIXsp4_J*pUXI>dKO(8%;hUq&nG2N zsu6~an>IKFgj>y_&u~4J2)AsX^Tr{Ox^)7fuuH}bPM?H_mnF+3$fd1zJ%|?;zBd#? zFU24vqTD3JZ`c;SgYs+hP?|#H=RZl~olFV_1PmJxc#Hv6_ zmH62Qq4<-BOQQC%*)@kfI;i?ycK*z`h=J! zz$72=ifA;fH?~b(bk950i+N3dX4cad&}#sHsnILVeTDX`L`YXpNhjW;|JFwb?LiA` Xz2Wz{8CRJva!zp)%GH-Spgs5(uh{iF diff --git a/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.eda.qmsg b/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.eda.qmsg deleted file mode 100644 index b38ce3b9..00000000 --- a/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.eda.qmsg +++ /dev/null @@ -1,5 +0,0 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1571925482712 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "EDA Netlist Writer Quartus II 32-bit " "Running Quartus II 32-bit EDA Netlist Writer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition " "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1571925482714 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Oct 24 21:58:02 2019 " "Processing started: Thu Oct 24 21:58:02 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1571925482714 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1571925482714 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_eda --read_settings_files=off --write_settings_files=off three_line_to_eight_decimal_decoder -c three_line_to_eight_decimal_decoder " "Command: quartus_eda --read_settings_files=off --write_settings_files=off three_line_to_eight_decimal_decoder -c three_line_to_eight_decimal_decoder" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1571925482715 ""} -{ "Info" "IWSC_DONE_HDL_GENERATION" "three_line_to_eight_decimal_decoder.vo /home/timmy/Git/Learn-VHDL/CH6/CH6-1/simulation/qsim// simulation " "Generated file three_line_to_eight_decimal_decoder.vo in folder \"/home/timmy/Git/Learn-VHDL/CH6/CH6-1/simulation/qsim//\" for EDA simulation tool" { } { } 0 204019 "Generated file %1!s! in folder \"%2!s!\" for EDA %3!s! tool" 0 0 "Quartus II" 0 -1 1571925483272 ""} -{ "Info" "IQEXE_ERROR_COUNT" "EDA Netlist Writer 0 s 0 s Quartus II 32-bit " "Quartus II 32-bit EDA Netlist Writer was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "348 " "Peak virtual memory: 348 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1571925483350 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Oct 24 21:58:03 2019 " "Processing ended: Thu Oct 24 21:58:03 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1571925483350 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1571925483350 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1571925483350 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1571925483350 ""} diff --git a/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.fit.qmsg b/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.fit.qmsg deleted file mode 100644 index 3bca34c6..00000000 --- a/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.fit.qmsg +++ /dev/null @@ -1,45 +0,0 @@ -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Fitter" 0 -1 1571925454885 ""} -{ "Info" "IMPP_MPP_USER_DEVICE" "three_line_to_eight_decimal_decoder EP3C16F484C6 " "Selected device EP3C16F484C6 for design \"three_line_to_eight_decimal_decoder\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1571925454893 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Core supply voltage 1.2V " "Core supply voltage is 1.2V" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1571925455013 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1571925455015 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1571925455015 ""} -{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1571925455162 ""} -{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1571925455185 ""} -{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP3C40F484C6 " "Device EP3C40F484C6 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1571925455571 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP3C55F484C6 " "Device EP3C55F484C6 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1571925455571 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP3C80F484C6 " "Device EP3C80F484C6 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1571925455571 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1571925455571 ""} -{ "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION" "5 " "Fitter converted 5 user pins into dedicated programming pins" { { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_ASDO_DATA1~ D1 " "Pin ~ALTERA_ASDO_DATA1~ is reserved at location D1" { } { { "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" "" { PinPlanner "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" { ~ALTERA_ASDO_DATA1~ } } } { "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" "" { ~ALTERA_ASDO_DATA1~ } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/" { { 0 { 0 ""} 0 47 9662 10382 0} } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Quartus II" 0 -1 1571925455585 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_FLASH_nCE_nCSO~ E2 " "Pin ~ALTERA_FLASH_nCE_nCSO~ is reserved at location E2" { } { { "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" "" { PinPlanner "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" { ~ALTERA_FLASH_nCE_nCSO~ } } } { "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" "" { ~ALTERA_FLASH_nCE_nCSO~ } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/" { { 0 { 0 ""} 0 49 9662 10382 0} } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Quartus II" 0 -1 1571925455585 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_DCLK~ K2 " "Pin ~ALTERA_DCLK~ is reserved at location K2" { } { { "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" "" { PinPlanner "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" { ~ALTERA_DCLK~ } } } { "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" "" { ~ALTERA_DCLK~ } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/" { { 0 { 0 ""} 0 51 9662 10382 0} } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Quartus II" 0 -1 1571925455585 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_DATA0~ K1 " "Pin ~ALTERA_DATA0~ is reserved at location K1" { } { { "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" "" { PinPlanner "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" { ~ALTERA_DATA0~ } } } { "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" "" { ~ALTERA_DATA0~ } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/" { { 0 { 0 ""} 0 53 9662 10382 0} } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Quartus II" 0 -1 1571925455585 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_nCEO~ K22 " "Pin ~ALTERA_nCEO~ is reserved at location K22" { } { { "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" "" { PinPlanner "/home/timmy/altera/13.1/quartus/linux/pin_planner.ppl" { ~ALTERA_nCEO~ } } } { "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" { Floorplan "/home/timmy/altera/13.1/quartus/linux/TimingClosureFloorplan.fld" "" "" { ~ALTERA_nCEO~ } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/" { { 0 { 0 ""} 0 55 9662 10382 0} } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Quartus II" 0 -1 1571925455585 ""} } { } 0 169124 "Fitter converted %1!d! user pins into dedicated programming pins" 0 0 "Fitter" 0 -1 1571925455585 ""} -{ "Warning" "WCUT_CUT_ATOM_PINS_WITH_INCOMPLETE_IO_ASSIGNMENTS" "" "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" { } { } 0 15714 "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" 0 0 "Fitter" 0 -1 1571925455591 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "three_line_to_eight_decimal_decoder.sdc " "Synopsys Design Constraints File file not found: 'three_line_to_eight_decimal_decoder.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1571925457712 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1571925457713 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Fitter" 0 -1 1571925457714 ""} -{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Fitter" 0 -1 1571925457715 ""} -{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Fitter" 0 -1 1571925457717 ""} -{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Fitter" 0 -1 1571925457717 ""} -{ "Info" "ISTA_TDC_NO_DEFAULT_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." { } { } 0 332130 "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." 0 0 "Fitter" 0 -1 1571925457718 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176233 "Starting register packing" 0 0 "Fitter" 0 -1 1571925457723 ""} -{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1571925457724 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1571925457724 ""} -{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_BEGIN_FAST_REGISTER_INFO" "" "Started Fast Input/Output/OE register processing" { } { } 1 176236 "Started Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1571925457726 ""} -{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_FAST_REGISTER_INFO" "" "Finished Fast Input/Output/OE register processing" { } { } 1 176237 "Finished Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1571925457727 ""} -{ "Extra Info" "IFSAC_FSAC_START_MAC_SCAN_CHAIN_INFERENCING" "" "Start inferring scan chains for DSP blocks" { } { } 1 176238 "Start inferring scan chains for DSP blocks" 1 0 "Fitter" 0 -1 1571925457727 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_MAC_SCAN_CHAIN_INFERENCING" "" "Inferring scan chains for DSP blocks is complete" { } { } 1 176239 "Inferring scan chains for DSP blocks is complete" 1 0 "Fitter" 0 -1 1571925457727 ""} -{ "Extra Info" "IFSAC_FSAC_START_IO_MULT_RAM_PACKING" "" "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" { } { } 1 176248 "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" 1 0 "Fitter" 0 -1 1571925457727 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_IO_MULT_RAM_PACKING" "" "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" { } { } 1 176249 "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" 1 0 "Fitter" 0 -1 1571925457728 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { { "Extra Info" "IFSAC_NO_REGISTERS_WERE_PACKED" "" "No registers were packed into other blocks" { } { } 1 176219 "No registers were packed into other blocks" 0 0 "Quartus II" 0 -1 1571925457728 ""} } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1571925457728 ""} -{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:02 " "Fitter preparation operations ending: elapsed time is 00:00:02" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1571925457752 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1571925459361 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1571925459480 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1571925459495 ""} -{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1571925459840 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:00 " "Fitter placement operations ending: elapsed time is 00:00:00" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1571925459840 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1571925460103 ""} -{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "0 " "Router estimated average interconnect usage is 0% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "0 X0_Y20 X9_Y29 " "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X0_Y20 to location X9_Y29" { } { { "loc" "" { Generic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/" { { 1 { 0 "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X0_Y20 to location X9_Y29"} { { 11 { 0 "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X0_Y20 to location X9_Y29"} 0 20 10 10 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Quartus II" 0 -1 1571925461071 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1571925461071 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1571925461134 ""} -{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" { } { } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Quartus II" 0 -1 1571925461135 ""} { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_TIMING" "" "Optimizations that may affect the design's timing were skipped" { } { } 0 170200 "Optimizations that may affect the design's timing were skipped" 0 0 "Quartus II" 0 -1 1571925461135 ""} } { } 0 170199 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1571925461135 ""} -{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.18 " "Total time spent on timing analysis during the Fitter is 0.18 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1571925461147 ""} -{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1571925461213 ""} -{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1571925461737 ""} -{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1571925461787 ""} -{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1571925462368 ""} -{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:02 " "Fitter post-fit operations ending: elapsed time is 00:00:02" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1571925463009 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/output_files/three_line_to_eight_decimal_decoder.fit.smsg " "Generated suppressed messages file /home/timmy/Git/Learn-VHDL/CH6/CH6-1/output_files/three_line_to_eight_decimal_decoder.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1571925464737 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 5 s Quartus II 32-bit " "Quartus II 32-bit Fitter was successful. 0 errors, 5 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "536 " "Peak virtual memory: 536 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1571925465005 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Oct 24 21:57:45 2019 " "Processing ended: Thu Oct 24 21:57:45 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1571925465005 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:12 " "Elapsed time: 00:00:12" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1571925465005 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:12 " "Total CPU time (on all processors): 00:00:12" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1571925465005 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1571925465005 ""} diff --git a/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.hier_info b/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.hier_info deleted file mode 100644 index 79c57556..00000000 --- a/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.hier_info +++ /dev/null @@ -1,34 +0,0 @@ -|three_line_to_eight_decimal_decoder -Y0 <= 74139:inst.Y10N -A => 74139:inst.A1 -A => 74139:inst.A2 -B => 74139:inst.B1 -B => 74139:inst.B2 -C => 74139:inst.G1N -C => inst2.IN0 -Y1 <= 74139:inst.Y11N -Y2 <= 74139:inst.Y12N -Y3 <= 74139:inst.Y13N -Y4 <= 74139:inst.Y20N -Y5 <= 74139:inst.Y21N -Y6 <= 74139:inst.Y22N -Y7 <= 74139:inst.Y23N - - -|three_line_to_eight_decimal_decoder|74139:inst -Y10N <= 33.DB_MAX_OUTPUT_PORT_TYPE -G1N => 7.IN0 -B1 => 11.IN0 -A1 => 8.IN0 -Y11N <= 34.DB_MAX_OUTPUT_PORT_TYPE -Y12N <= 35.DB_MAX_OUTPUT_PORT_TYPE -Y13N <= 36.DB_MAX_OUTPUT_PORT_TYPE -Y20N <= 37.DB_MAX_OUTPUT_PORT_TYPE -G2N => 12.IN0 -B2 => 16.IN0 -A2 => 13.IN0 -Y21N <= 38.DB_MAX_OUTPUT_PORT_TYPE -Y22N <= 39.DB_MAX_OUTPUT_PORT_TYPE -Y23N <= 40.DB_MAX_OUTPUT_PORT_TYPE - - diff --git a/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.hif b/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.hif deleted file mode 100644 index bcd7e18d3a56c093573a35914a222552d27e9b2a..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 411 zcmV;M0c8HH4*>uG0001ZoUM{wYuhjshVKRa4}#vb7k2gGsLt;Ck+j*;WLq}xQdrV) zEpX&cmJ6lrf1m6II@lNq3`rcM_Z*#mUtiyDgg`wg!Am?n3L!dq76L>`{=OkkeGV>d^FKtji9hbse}aB7KCRb=!Ll zva${AV>VT$LPSuJDbR#*UeReb5sGG2X*d^}%O0F*M7ONX{@%`Hxy~gy~Lgc6Gf#5TG8PB$*_O0yTXAe#T8nK#pxaS#--{Ek4 z^e}vMPkf`D5l~jDNhZuWCk*lma-jgI5-L|y0=X9d0npg&Lo^fqNlLfdhXw+mqhIug FAD4Zt$fE!N diff --git a/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.ipinfo b/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.ipinfo deleted file mode 100644 index b19e3be175f30fec0abce2c24772f0962e31a465..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 162 zcmWe(U|?9w%?KnJ7_xxS7)Xbu78Pga=P4K(>lx}9C^(g7=Al+yu z8Y={QDTJpcDY&L&mH?G - -Hierarchy -Input -Constant Input -Unused Input -Floating Input -Output -Constant Output -Unused Output -Floating Output -Bidir -Constant Bidir -Unused Bidir -Input only Bidir -Output only Bidir - - diff --git a/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.lpc.rdb b/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.lpc.rdb deleted file mode 100644 index 45b47e5f2e16cf9ff5fed3788e2a3eca5ffb8b5c..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 399 zcmWe(U|?9w%?KnJ7_xxS7)Xbu78Pga=P4K(>lx}9C^(g7=Al+yu z8Y={QDTJpcDY&L&mH?G*ff&Fb1EuGJ&4UpAP_YWeIsLv|hYSQ-XZLnJcz-GMT#};* z%iW5GgDqA8YN|8!s;bqOO?i3E;nSq|zHw@+SMemTn*8S7zQ${Iibh6kn@lG-v%k3< zVJ@t!zIkfu|L0R)s}|@MxymcvJN>cW622)C9cv#+Kh%FUxqQ~MSKiVM z6Q#~hKO}GKzjEhC%~0u|;mK=`?W{X>)$QH49=^pZdLp-XtrGZ elj^7Ndo=S*b_o=d5qk3Sxg38eFEF(J{|5lavY6ul diff --git a/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.lpc.txt b/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.lpc.txt deleted file mode 100644 index a4638048..00000000 --- a/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.lpc.txt +++ /dev/null @@ -1,5 +0,0 @@ -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Legal Partition Candidates ; -+-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ -; Hierarchy ; Input ; Constant Input ; Unused Input ; Floating Input ; Output ; Constant Output ; Unused Output ; Floating Output ; Bidir ; Constant Bidir ; Unused Bidir ; Input only Bidir ; Output only Bidir ; -+-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ diff --git a/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.map.ammdb b/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.map.ammdb deleted file mode 100644 index e93ac1af1fe66612e97c409c5531b892acdf5bb1..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 128 zcmWe(U|?9w%?KnJ7_xxS7)Xbu78Pga=P4K(>lx}9C^(g7=Al+yu w8Y={QDTJpcDY&L&mH?Hqfb}ylltF1GC=H?-pzI39q=bY75a<7Y5F5e*0MIuV4R5F*Y(F zF)%MOGcPhQF*6`jN+4HdVjx9iX>@6CZifZ{000000P6t&0000001*KI0000000000 z0JZ`E000000C)lHQ%y_5KoG53#4o&f_wJ!?KSEJ&V!;YUJyfqtnrxfJBwMyCqW`%+ zKo9D?Z8k{-MXLyc3&ZTbyqSITl2j{|$_~Za55_X}+R9>=@+Jk=(ky*BuP<1)=zZU< zTOqw06rRT~k2`1QybgCQa^MhnWRbQ*UTA>_w_3LH;;PT<+YYLOXrX6fg4ML$EQDfP z*vwhD!9oQK!YpJjoh$T;_O8$?dchIr3YzrQ_<<6OR3ey>NpjClrC~kc>$G;=?ey45 zw~eSZm`npL5|J7|=HY+^DjCaIgnaC4BaKw)gqcVS!DE>UZWI@C6d4|hKqe>=feMA* z*Gie92J=D?w7TU)mN7iTCm8uUIXn{uZ=MVe+FVUWjc|MsJBL)wfV z3Y!l}&W<^f1Iz86s2hHgxo7Vg_)oOfy#fFL|NnRaVr5`tNMT@LV6j^f&j_TMfLIxb zodSI0o%}=nTpWWU;{*JILgIZL{T$t0gW_ErLmZtPgI$>zbb#viJ=M7)45USXSQ4tw z!_&pZ)eluWGs6U+Z2FGu4ImqsftU}fI>0d~#52Ux-;afX1t>6f3Kw#Ny0kAhRelFWn|NBe6&aMH(a$Us9BqSyBv^wbSu+ c^^Fe>@rifz@$q+d4Dkvd%K!iX diff --git a/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.map.cdb b/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.map.cdb deleted file mode 100644 index 267f2a21fb91a39de1317d4e0ef2e7b6aaad90ac..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2773 zcmeH|`8yN}7srQ2M3J?O#vNQ+V;@7ZHL_Haok3%gEn~fQ#!|MJLdlZdWDR3i7!1mi zLbgHE7~QgFxfFx3-0AI~cz?Lhd7g9559f2f=Q+=Lo+AJN00>+xOb-BHmfEu=$j0jZ_lrr8*>o~J?ArblW8 zlZ}c;6Sn&asfM-$bYm2CKY(1=baWRR(VH?$CYQOV?$Jl@Su}ke{h^6{!H|(WB9JGi z6ex3*s7-}tgb42^#S`rB_!I~x4(G}D7tr-z7s%gpeLqi!dwY%-HnXQ&DlUh~vp}~PtikiOyiC4M zbzdOZz=X-)7u<_vA#P1^WcFAfL6Mxq7g9{V3wO|YAGPNRGWNc*N9tt8Vtqz=^}Crg0CVOQGkEuS~>7QQ8h!5 zdephS9H?1|YCd{oa#nQRerogT_ctc638IO|0m`LlBix2Mykh5i@_3;!ssS!ntTS9bzA$Y#Xe@M=M6%;}9VRV}c3CyaKl5c@-$8GoBmmy;4D%IBMA zC5~~`8yeUw=d&FH$u6Qi%4Ifb8O_bX71{^2d?BTWmy9+of?hhP+qM_b?KI7C(JGT7 zy(Vc~2=bCjuyyYG}8AR2Y7OZ%!F$)l~~?*@*!QvTP+OscVX(Mq&9U) zJe|aj+P|>eRzsakYJTqJiJytwcRWh>UY9jGurqnPzIfmsw5UcK9MCe(DZ+0&dyFkU z@)##%sT6dGEE5*IGW`mi*h;b}-b?WxYn$0AL`F#8)T&p=;>Tg`^~XFsJHDwr{Ttx+ zz4|j*B*u#2Q&SXUhSGB-2YJL=u>oaY!O?&gT@8dhb7B2OV>UkO`?AtW@0VBHU#YSV z(Pk=d6&liqq~?cb>%!FOv{~Vufpe2!+~?QL=ZwbkBQ+*{B*uD2mt!#@i2`r1%MwKU z&SMXwpKGOi=7Ccxj6@lY$w(tMFA4^}KNyTkkSTN!?c~$N=u(XP2_5BYZ)Y`p)`A>0 zY8dSc6*EB&XYn~vYP>#l{rpCp+s=o$LHzL7Z&i?UM>@sbV z6qH<~e!8}dB!rF2J>+%6zO)My?mo6(<_*rm70rb2)RMQ+ei%_FqHfGl0_A32)J1lK z-A?JAu8V`VP@)4m<8VX>aokb9pdm-%9Qm3p)g{-nRrOKPNVfnZIB0)a%VFNHpvsI} z7s;(?t#NwwT>)4UEP%K1zawZ3-;Ss?Kn=}%;$_Nf4bX89tgS9D?TsO@4K)kzZ15b- zjhVIa-|NEVn>bss+tvfQk-VAc_}wC~^`es5qXO{!;|8lTleWYr>8f~`g_zbaVesJ9 zkgBjamci}S3SS&i5D~2p3TNB53`liea$0fC4Z&)R47YYb2lj5*PN9w&l~l^{iD3Jm zGYa43sRsaRX@O3cF7tCAABVC;See|jM4X*fO)lFRv7(g0r>|vjmaUYsJD+ZEe|_DC zK3}@w?WLCie>Db#W72R%*WyKi23nzf?Hm@Hkc%8Pwc)&*;xS0XKV>2EF)tcD)j|9q zl@FcSzMa`KGgN@Tn4;dmcEF=4wl=mB5q>&=8is2SUExi=7j-y5F0tSk4`*cNKzyM8Bq}{Btr8u`9Z&0hN;6?bRVe z!uvj6st>h?slAY%6OP)cf+#|&*+EaN`qTZXD$X7EMBBYL&N*HTM4teK5|C1}4=d-| zB9?xXh&0LIWzzvoq~K1q6V-SzWnEJHL?_f#7ogXW93yl_(ht{vja4-1@w=~9#`+lV zK8}DAZB;cP@D)Vj07-MxS$YWt!MvXrll0sCfM^1*(mFHIReCE*nO$CKwPv44TI^{ix-OX_5I#^|K6E9 znLK%NCV6u5BWH3ZA%KB_sX>SRhcGa9{|e8)R?o`S&DP10nwy7%n}ds5+QZh~lA4FZYIpnjoi= z4`)%L!qR3kg+{@n;7I+NVNfE0uUbputsf^t7~ns^{9tPac5I=|vOI7P#vfTdxpfim z?egof0Ux*xkyyCS+?$clh+B}?3*oCKyYJ{P@EI+LncU4nW1G9&yU))rDlf6`R!oC* z^&_&#VurDXM%)QnukS3@?5)2z(`5swrY(_IYN%#0ET54h{36<^D8}Nu5$nAHWQyia z!~EzQQLD8BdI=6?U#Qk-ZouVKI@DNfh}e#9^*PWDXVUCA2L*c-2)@VUcNgkdpD?01 z;1^U|)GYyi_1|GKX`aJD$E;$RG9_Mvtvz1$)C&T<>p_%ms96aganev%_)fSN(rb*` zh!hJ}^9cr&6QvncIl-~5mW2-Yee!yn$GZ=F(5#@q(DLj4y}g+Fm7-}FEW?SoBG?6A zdztOr(Gq*I;2k}(J7Hc=)HiKEhIreCj)ql_)d0`$_Buk!Nr<%QvP6+=IEK`Xl9nN3 zaQ~l%IXK24wLUi(e`)i4r|aF3x4X5yZ9@n*)?FA3Wy}NG#KczUP2rFKr!I))6KHld z*IUfWraYW5G--Pe%65k*^;SaABjnP5y6WQ}BmEE>#jsaJ=}%LT{Iic^`TUyRiNm72 z1Ow_}-osIZMLI|<9bIZDyBX_{(S0>LTN@napCupMNy$H+bM)4<-DK`n^^X5&?9c2U zV_j&!{|)n|dw!GCmLJcS zqboW2!{qatuhj)%jZjFVK&k^j*wgJZ)lGJy_76sCN*1Psz~luxPsv;sw0Sqb^dn34 zKUL_*BX8B`w&@N+^qv%AF{mN*?|BN%WZE<49Tp48JQXi#{(0Z82$}*r@6GP=P>(p7 z$--77RMKKqpn0Z#?KV9 zw^3Q@&wU*OhVssn9_z+3!{)QTi{#!&3jFcfJ}E*8>i1lvlRp{Y+*+p7zRNN=ci3V{ z4+bltCR!YQC*=mx$S+4Hd6?7nh;r@yHq+JTv%~p7HateJWtmeh@1EG%IVs!vx1RRQ z&miel%%1rvdcE&})eaGx)eiYzMV+y<-I+oJTdci7aXxE#iX!9G&c-?jo8rq zO9LsPBDk6D99n@t4Cqn+tl)jPaehGdFM^6XUv7oftyNFPTaNmUHo*hLGJ!p3^KVS# z=$v`MQz`RRf_#!}+Qe!+9tl<0cXAOixDW2o1Nvfqsv;B5e1RqxXYU1#%ZJ@l6refP z09#m%3ag7zq(kYaFO^F%4RIOao!^|x@p>m4^1P<_J4iNk7Q}wpSSzHI2UKnJK>x;$ z2~&iFqvYmOqOl@`;;vr4E5~9Wn`PUw5Btsw3!le>brej1^X-L!wgGq>{Hu`Jj|bYe)~S2$#&k}S;CC|Q1eJ|?0a9u zq!uvw4LW=rx0!hC;0h5UG=I$!d|VUoDpyrht?&p8QoQ|1_45u*JUfEQiY?8n!<+v( zB0r;-%or)hU_>^@vtO?kLt<-G8tN==dA!_x?&#})8!#$4N#>%un1RpGyE&d~N>%t5 z#jX_S@v1Vmbu%F+6NAwT8Fhr{tGGYErHdk{u)3<@qn@6u z>DIWK<<3~oQEbh|hSM89H_D#%Sxh9ipiW-h3m?{u^zItE-!{@jjn2_}My6nEN4{b7 zIsUeLGpY}Z0d77r==eI*XVPcj%SZ}ou6NYvl*)}Ue2@I{*ee@9B~M7^P{O;GBJ%i)o9Rh?yb|^#ZlDMX8nX zRJrFHLy-w9U*R_GPKX8P*RFHHH7gOGYZ_t($|lOGliZE%Rd1Rh(O*|)k*@av&isj^ zYSV7VyjjK_%&5HdOP~?-&9;3|CnHvCcDHhaxoBz7>G$Wq{;T0W>unfv;O^Hekw_k0e#e4Tkx66 z*RodfwMm8Sxp7U_)MDpXj}_lq$txmyd~peO;%mrD#BA*Q^jFzj!gNF`r0@CJPgW7- zPfOFw;#Ac;;OxQ4Qu){YN~~dz@ufO%!&--!j5L`x_*jKp-y-Bk2YqJM4sku^M=kN& zg7WPFX#f3!5sCZ;Z}l|YQ@g#qSj@QByyjo7PS?o+h>|A%PIH=WQJ|Tx@_JD+$+?8t zeJLApcq?X+*P2+Y8m)e)%WoovAfUUMg9sqkOx%Fkw7x~V#8M|3+oNTzeG{0?$(BE7 z;B4I3QZXb!pJ}(WV$GP%Ztt#X=t9Lj%8~pA1ni)EwW!$S@-@n<|80cYVdj$TI?2vj zc5Z+7Lp94G*POL!sed`1zq1~wB%;jKtliP6TU6s9I%wZ7{9`PrVc(@-^J(o+7hLu+ z*KmZ7kYn@o>no}5UoVFvvU=kjl=LI$jWtJ+7ng1J+LKF%l{MK>@%Uld+3nFp(|nqd z^%-_+qwCSysq6V)U{4uN+k8{8i#;&Jv~gZ54mY^EBt=z>-=6@L7zaF%x(F+j8{-qjv&vdN9{QEil^S{ z<@`I^jiFoF*@#0bBJ(_Ung_1uu%nF1_f4FD&*<}II&bRVu7sGVGbTNSOuM~V^ZqO= z)fuh72(oN=a$9t+3JYwXX9dA$z7+?I^AjEKZ<~d*E4()ks~8#b*%Nur(ycfh8$PYL zg@||5oTV?gTowu+LrI7v{xU14G+mm;EVE9j;a?w5Z+gAee0eVq?@gcNSQ`sr^1;R^(rPCn(+@tg(NLzJz-WoLP zw#kXGC2Jbl#uYGXxXxzNb{zcCP|YvmQAg)FFb@zn6QefQSJdT&^L^f-F9rfZBdc>df!rZ-d96wItWALo$I zxd`|3CMArIINr1DA9dPxEYI{Eo&>sHjqegBEDBtjxZVg8Oc%BSQ_h2GA0DhZ^Qr^N zL|!eQN8Rh*byjwkB+5G9I9`q4PAcCmCrzF@CQP23oSw!ZC%=M9Gt@nvJN{%}94*~* zW&~8S2Q|L5wY_mXrm+80G5!T&k@F{Aq4eTmF`A6o}I9Ai^?GS)Aj-IB0 zcZYAzK%3Y<0M`H;_Qc;f{vrQ&X5Q%ATP(~tY@lpDhvP6osK5K2`ikl;{Zu{>5iqq# zr`*u%eQDSzS)!bnSdl*9q(Mj`^xkb2H)hl=xuAS1HUCK&(4zaKGb~wZ{$dttV5{}8 z-xNG#AO1559okO{!3dnH$}e~@84isNX4I#b(Vfw$t}3UTTC6;|%e14^312`}nrfZa zmjES%#yVIu010@=L^Oz(iWx#p-|^c^42|xhByKdO316|pR1(WV-)Jo!^JU@4+r7^zBc$!R;II=p*5rPpet@ljoVN3VaO@*oF}am zNk$VEBr@!i+u2$pWFEiDa2%m9E(E7ahTB0cFz~nIO)Eqw>W!R_iggQq5RP?CXS9*~ z?A#x<&|IpSZZnT{tz>xN-BA8H;-kIPH2utell$k0U3y!)=jTDvUlr4B?lGRYK+WGs z8^mmA-UywON`a*zQ3oD@LrHHKXv~Sg**sjy&0Sdp#b}%QBo7&hn7S9DQ_UYk&CCht zig5T(pCtySd4mlUxl0Z9n4FRkGCO(T($EtTILP24fxu1kEme4)GD!pME0jnN8w771 z+Cj0=sxX4#LH9SqNJ>QU)@HbPBkqrDM4j34s_0-Tz-%L*m9*-uIrF zIu@@^7oNS9>dBndnIl-O@9(O9?H?bGrQ2VN+Qp_GS3kTxX43Adzd?NF1~*y(i+m9) zSA<_*VHPCAYaLu-9Q-)h#4B%4B3nvttCl%RgPK7lg+tc6`D2-f8YXrs3dkqh6!snYa@v7OfZ>mGcuQ4d5 z`B-{laM8M)gNr)sURM#Z6~^M%#0gR6TE432VXhTQc9s5H6EZa_hPaL~%o*S5u=yey zZZtmH$+h#sQ;YQ?2>T2Njc+~P<} z#JgsKVmkWCo@-SOZ}P|CXfKr7ZMKcvl?$j&r*H6!DZc`%mLyu^DAN*wx}t_A3Lk%! z+HLhu$V`*hL@KW?PRnco8XyI=s5K~U1OfvN*lneb%o`)4i&KGU22&2$|4iI}NsiL@ z9Ru1vZUsVWRa6jbn~_-h25Txd%pI%r;r5oB@w8|udA!mWK83vP-zV^?G26ujCTnhp zT~yK2RT10~6cJwU6?$m$OBxt_1AmFJ$9a@Igipej75_g(X9ijDu~2LW7ku z$6OR_&RfurO%`tLq{4G;?Oq3+Nrv2aI?=yO*jFlwirSpZh{WnI`-1f#rK`JCPSP%- zbe9Zo3yhO4KO~eO__f4!70iMv1V1WP^2cRp&d??ni?|*e!$TWKLYwHHR4vZ$r50l|0Ccw0!k zyAeZ)julN76CKstwHB$qslZ^vC@ni&^Zl61_EsZA#li`HeWx$N2&6eg~cuq(ANpz`LLB&x?-pD&m zSS|G6UlDZ`$3QJWgB%P!?98shlYRmiqC4!t0U08aXm`>PDH4IW{y zna{`xp(3RiA6c-yE7ZY)dMs)GF=W%TNebK;%5O!ef6ON}l}Mkq4o6aG1jLrF^?RI+ z^1!dX_3v%dTqqznTHyZ_vn~60$kwFTKRc{C+v!T}9h#U0nDDQz!K8DH-FQ z1$1%jjmc!^M6u}!Bb~pxM_FC+s!A%w=s+GosSs}IE&?a0hUg~0xVZT&L>Yw<5~$!) zKSaxCv`qfGc{~YHfS1=|twW^bRG9PO1o?w2DoW_L=NG#xRnA7lzH!-}bRq!uFsn2( zCg@BVz$T7qSqq#*U2sfFlRdM8^x4AN-_!jpiHrY!kjX;4&DV3!D>j56n?_*>hZK28 zz!G~qSC6z87J55`r3MJmO;aJc6$W(%kfx^sbIxiu0`H ze59V-D-c$|!K&2-5JYN(f?YWPlpX-J0zfZ;tE|A)Dj-SD*7;=@EG^f@1#tB(_d7&Z&}kN%cLhP$H_C_kpmb|5b9CSnwHLlhW4`uHQpKoJw5h)K{W z+z85AmYtoFmk{kC9ANgqvi`uT9^bMa->Uu_aFrHd-+-a_0ZeaM{|Qj7hE$c&PYN@b zXMvipJyNZL>}Oe@HxBAe>~9?djhZ>{0!`q~+!e@#k&p35RYDkH z#;og2V{=dldbQU^aI5L9QhwrS=!{q$Ati0f1Dfc8s~a#D?42v_#VH?`5#!oo7Da|- zmMV=9*I5G9C3M4tF_}WP^dd7~yJFXZyz5Dy`F7)iuWvhz5hsc_l5;ASb&R~URYp9S zgTC`Cuz9AaX4icJjR;Q<5@pi-!R?bK-vHfz5uDh)Gx!hi#F*ocL{5hXp^!e&0iX`S z-*grdeHvreHeff9EyYYKytVaz^0YLTl~nNLw$^s#!O^}Ag()n~uk|!GRkZMJwF2Xx z^}_K&s6AMigm^9>j&@%iA@;3i>a4Jn8(~^KlOcr7^1mz$59qTir-A9reGj~z3flVm zz8);wX%N*7@UQ4(X1&l*hZM&%HILSc;kH)7-)UkwGe>Q@`8xXbdC@xr6c~-PC?JWb zAQ^oC=U}cH6^PQB_^Ep-sC)hF;OYME^3}@5Pd%HDyjz=L-z2!B=(a0_MF^U!jip&i zUiddvX3+>slPdD~=o8)62-5EhRnDQ)xtvD+5lJ7*HCG*DBdqz(8vF~N%pfNFEc?NC!5r3()=KWp zdhqpTN3LB+?vXOL!i|@{8wD5XMp`tQ{bhpfvO!(#4leOYHz>I@fg2479?qLgefAAK zM+e?oPTe;Ry3?&vaq-8VG4#VqZ-z*3N=a|_OK;LhZx%>znn`c&^(j&Ce}=n{#H&|G z4;Di+cVy@2VNB1R>+lUs-p4NYn(tnZBPnpzQ#_BDP&d55%T*O9Ux6UzK(0VK)`(uVU`5YG@sq6Rb}rP>G#3)P zvYba!SEIzn(-)$AU&J-^bCU5C$vKjRC@yKF&}kVi8$?7l#BD_5w--LoE!zEs@4N$; zTSPf~_nI~T@qmo~+GWlErVaYYv=>7vWNCfAtHJ*e7IGbVOy75-^`okj)o4A#;=G=9 zX)A6iY4^ZjS64tiQJ3GoE*^f0j`K4Jl?wUN$}`#WJ%nx(Lv9l_P0MI}J z6uX$oFVI3y;Z>*HXRXp#%MROBivWGXs|WX)mr@NvBDYXZ()<31f^->&+s_@-)3{tYV|m29{+yuOjpTfZY_i|bSeIeKB5lGTZNedKLL+@W8nL;3=Yk8t zr(I+WNye~#*~3S@(59`(LsI7YawVX;dHfI?yJllmUl(b)&17+p34m?@SG_FjFDF1d zx1jj5Y!Mi@w*FUO7WNnj`;!$Urc%LbDv%lcipCWMeou&SGm(Cgk9@8v``lnH=s^4H z6}CRnnv00`{gm6y`1E~zVcNswK_Ad{@(uci-JU3{s>6E^r_tDk{F!LtwM)0wvutHh zQ(k0hAQ)c@xrpL8yeRhj1zIXKT5Wp&Olp51HF%2+yah*c(q7TzI-5?~f{roW21{lx z42CiS``ac#USl9H*byU2o6_tqeXHt)+%7BfOITGrwF|=i3;g|yjEcX(iCf^ljtwXc zF2oEMf}4+!v#$w&as!|)04OQ|sswU^-vZ*xA%Jtte!tp4x*SK?*3r}2onUhw*Lc8=q8>FiZJ z6L1Z;XF!UJwEkR}SQ&d2JCDj?U#t5a$$`uUxfq9au@Cru^^Et2{Qi_9?b9Sbqn}|d zDG{P-9;zobR(7ujN()^4rPzBw9-j8jU);A`U>#!p_yoY2E$F!5&t(hP=ZctvZ$#WT z3g(A3=7$NxV;e(?fxa{5^hFmdeUGr7qRx&F?|?|Mv-#sE(Z6bTj#!g3NHt@NWOGQr;0AKSlrx%ZU_1K}Aks z_<}8nHDew3cwj;FIC6N6+UFVJ@6+ptUH=XML6{ToFEDavzVb)I zY3}LMgWmNS-n@eM>Q+%Di-e;1^wQ#+c8ng=#D0-M ze^EV}pFx2GmtdR`re!aTQ|w?ol6`9cG$NSWn2t1qcX@@E4fWI}b60`()e$i|0m-X0 z6*d*Qx%fH<#_uQy11Rpcu`nM?>|3JK_N~ft@sN>rks=rA$qzp(zI5iI`AnwRD@1vY z+zWn0zS;Lk4EXsk9ML|be90w#!3A*vB~Ae)K>;OR0VQ!kE`l=XWzsA?pni!>nU_t8 zt;(U?NpaDP%D^CoY&#I$`#EJEQ+NIxZYl>3V-Ob}dner>qr#}m({Nk8-T9PQMSTnV zvFb`Ub?PSl{tzH#8c;CSb^zv9T`G)37~xWORPneq@|j^gzAaE0p5v;0ly51#fpt9p zG#%;Ji%Zhs%uHBiq(^11m{PBWnS~vsciyJ{&{UcFkLidfu1#~e*l5;I{x#|P>95*6 zc*8yaY4jf{yqTYgo=^~BWuZE+F83#BEmSST649I00Memp_w!`HeMfN;_@&c=tw4Nm z`@FDEz$(Zst~pr{KT1B}a~Uz}2eSBc!d(|Gn6)xW-u{Q1b;1GzYNRxHu;M&b@l$+z zQt+4{^^p@^#z*vxEdCJ#2EXpBAf6`nabEDN?rOOTy})wRlj{MuPqt5|>Lp((0Y&sR z&*P~pPaR1Rep!NvukDW*FK(aVo3YWuBVo>w!E}W{Yopcr*2W$1>|^BYr(TEdlbSLI zcDKs0XYoMwsLuL;sQ`90mYM@*nnuUa{H6dafmG^u>V*4*e4#0kz!9lBmp9+%S-@o< zi&NfL3je5_se6^`{LC9(&fd>YFI;(nJ4hj=9TQE%!($IWy!>l5KVx{+MJ&8L()@xq z48kCqs#+f5wi+fgYJ<3h`%M7kx&fdH6iX2h;77vHiZ~)m67@wklUacWvjBkAW*$8;xmINr zE5=pp$*Ec&-|(AKYe`#L6E(*b6mrfKK7rgo3gZCn(nIGOP`|$#UPW6*YLuhMaE!gF z{~%gD9p%k6h^=1<`5YQGHsS-L{!yV}f3w2UV)r)b=3|$2pD6ywssE~7tsd^uaY@EX zNM!klFNqVUQMt7%3U|%>wJfJy7~5E^=d}h3sq%>5!<#@`NNhQ&sKyVnP%>MSp=4#z zA5GN_xV?#N%|%s=O$BEK9wDmwP77S?yLM-{+H@=42`UW-NKk9RXlv)Mf_^wXy>ow` zmE5I-Fln!K*AX%`aYNUP8y3S1#y1oiVNfP|onF2oC+;OO zXxkgbkO>R?(1hZEAZ0T~A^oAx6VwgU%_XjLYU)`?)Cx`Fi5xF`tJbnu;d|NBawE-j z|E^Jz^?^gBgIo<#LitRi>~iaKKN{R;+(zL)T*tPbIFoq8tjfEsk8-;=ycCejD9ZAf zWP8~YigllnlC60Du@v5$y--~VcRm@3ztG4f>5bgJh*x#OR?3zZUio%T_bplVd*yy+ z`m@*^Z*KMDzT4>iuDoFB0CgJ?3Cy55+*jD&+BG)EN`I=2^5uqNTh)s(10BWv9O)#j z#`cgt5zLY;wDUzFN-_i~zB0xB{NQjl=C0jrrGJ$7_|Bu0ZwsXVWq}UC&Q~kPhQtY1 zfqK%uL@^`Sp7}ZCHHS@oEfX7-;{~S8bU)~v7@#B}Hpi|X;F&7GG2C2wxc^S+(&(k@DY{lQrvfCi! zjAMno9QJ1;$egmb^NFSXkUL2^BAjK6!OWRDUbg#q)Wl5V$J;Qf~?j9S{dz`6>xmeqjf0;D1(iGpp}_Y-YkC$?F7iLesR zK;U~Z=H^lX0m;I#3mfLZp0n!kp4p2cp#OljaP=qi9B;;E!Z+lgas^h5b!kFRJhivH z)2gO$lKo$kjfW!Bx`KiUGwU0^=2g5`yc!5CaYokbE!_8Pd;6D`G&rVqpIy07csP>Y z`lK^3QHcYH4MiSZ+F+;)tT0j%tmJY{EiV!JRMt04y*AQ6Ygqm+r<-m0Bf*Ge?SMf@ z@sVZ&JWFV-nC%tlc1tk9wP;Cm#Z4pp^1HT*Dm3*={d58RrX5G}ry;Fs&m=A0ued59 zTt2(!vvdXwbe`C_N6e0rx;lK0J2|?u2WgK-9t_5~KO-CvenZhGbCWKp(B2O&?4(te za1#mC*O~~tnG|+!En-m7D5G6II(iLDZp90{wQHiw4-yR>G+$;ss!pH8d_qP4h z>tUe8od(^A48Zyz5gyE?eXQAVcHfeCvrpk4Z04q%w~;O$Ni_@ zwP&f(*S;xuo<+*VSuLMxj7%Byz+qy104>Dn-|r&Aywa-JGB<0qlq|yc1h}4*1(zUt z!!?)HMQ0~WDkk&|e>HFfw0=f_cp7WykE+z5+tc;mL}T(?mqxPWE2G5TexdOeGJ+qd zJ3E=l;HJC$pc&66YD@rg7p!o1Q-spn&Whyzj8@39v27H>D0!QoqETmWSq|;qDpg)6D&cO zCS~Xiz>r7zWY}@i88)$B@R%k=Kc&DKk=GX~C2T0lwB|C(B;|_lF4U)-&Chs_+|0?? zD-mFM`CP7tPGZpcD?oK<3}f0VVdV|(DPQ>)2JS-Gy&`r|JGLDxOsM5j8-)tbJ|)}U zp!gLiIVKmGBjXsX=bc}jL!MB+G`jn_Ls^J)Gs(CxO^&)kXHiul-?OuxuAjln^m8b( z0!Nl|V0gRa)=3o$OrhIY1jigT$o%|CJNSeA@8MK)DT)w*V#CFEjNo)=kkAt5YtV)X zm+m5>%C^88`)j5BpuG0001ZoSl(PYr`-MhVS_m!uKX|0y{N140h;lqp%*0Q>%+;VtckS zDD=nAnPDGngRzr9ubxK&ZO@*S8NlipJHcZ>R1;ZL>=e?|m_j_#tf#d)gQ1+Y7qC(< z7$P~_30X=3&x;Pc#EKzl?1BVkC1??jb>>MQZPgoCy*Z~A1p{>O51 eVMg;u%{!sj-P!dW{9&pulJD(feenw65twX5gKMn- diff --git a/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.map.logdb b/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.map.logdb deleted file mode 100644 index 626799f0..00000000 --- a/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.map.logdb +++ /dev/null @@ -1 +0,0 @@ -v1 diff --git a/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.map.qmsg b/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.map.qmsg deleted file mode 100644 index 30311e3a..00000000 --- a/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.map.qmsg +++ /dev/null @@ -1,12 +0,0 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1571925449129 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 32-bit " "Running Quartus II 32-bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition " "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1571925449132 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Oct 24 21:57:28 2019 " "Processing started: Thu Oct 24 21:57:28 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1571925449132 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1571925449132 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off three_line_to_eight_decimal_decoder -c three_line_to_eight_decimal_decoder " "Command: quartus_map --read_settings_files=on --write_settings_files=off three_line_to_eight_decimal_decoder -c three_line_to_eight_decimal_decoder" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1571925449133 ""} -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1571925449484 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "three_line_to_eight_decimal_decoder.bdf 1 1 " "Found 1 design units, including 1 entities, in source file three_line_to_eight_decimal_decoder.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 three_line_to_eight_decimal_decoder " "Found entity 1: three_line_to_eight_decimal_decoder" { } { { "three_line_to_eight_decimal_decoder.bdf" "" { Schematic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/three_line_to_eight_decimal_decoder.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1571925449633 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1571925449633 ""} -{ "Info" "ISGN_START_ELABORATION_TOP" "three_line_to_eight_decimal_decoder " "Elaborating entity \"three_line_to_eight_decimal_decoder\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1571925449736 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "74139 74139:inst " "Elaborating entity \"74139\" for hierarchy \"74139:inst\"" { } { { "three_line_to_eight_decimal_decoder.bdf" "inst" { Schematic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/three_line_to_eight_decimal_decoder.bdf" { { 112 480 600 272 "inst" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1571925449746 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "74139:inst " "Elaborated megafunction instantiation \"74139:inst\"" { } { { "three_line_to_eight_decimal_decoder.bdf" "" { Schematic "/home/timmy/Git/Learn-VHDL/CH6/CH6-1/three_line_to_eight_decimal_decoder.bdf" { { 112 480 600 272 "inst" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1571925449747 ""} -{ "Info" "ISUTIL_TIMING_DRIVEN_SYNTHESIS_RUNNING" "" "Timing-Driven Synthesis is running" { } { } 0 286030 "Timing-Driven Synthesis is running" 0 0 "Quartus II" 0 -1 1571925450723 ""} -{ "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "0 0 0 0 0 " "Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL" { } { } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Quartus II" 0 -1 1571925451122 ""} } { } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Quartus II" 0 -1 1571925451122 ""} -{ "Info" "ICUT_CUT_TM_SUMMARY" "19 " "Implemented 19 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "3 " "Implemented 3 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1571925451221 ""} { "Info" "ICUT_CUT_TM_OPINS" "8 " "Implemented 8 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1571925451221 ""} { "Info" "ICUT_CUT_TM_LCELLS" "8 " "Implemented 8 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Quartus II" 0 -1 1571925451221 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1571925451221 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 1 Quartus II 32-bit " "Quartus II 32-bit Analysis & Synthesis was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "371 " "Peak virtual memory: 371 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1571925451237 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Oct 24 21:57:31 2019 " "Processing ended: Thu Oct 24 21:57:31 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1571925451237 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1571925451237 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1571925451237 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1571925451237 ""} diff --git a/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.map.rdb b/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.map.rdb deleted file mode 100644 index ee4597311afaebd4c7cc5708c197befb29928eb9..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1316 zcmV+<1>5=*000233jqKC0001L0BQg;00011WpZ4R5F*Y(F zF)%MOGcPhQF*6`jN+4HdVjx9iX>@6CZXF5$0000001pHJ000000I>l80000000000 z03-zf000000C)lHR!MIoMHIFHfoKq7KOlsj01X-8WYRWU<`zN*1to(a(I8G%xx3mf zR7R_O$GXAy|LcDkf!H_bmDq5ne8D6=HeOWxu84=ff%(X^ zofV6vr=6qwR303ZyW{x{{%e=bB#v32LobSf*6GGVn#HAX9)@+5L@;f;nd@ z!91_2HdRnu`^*VBpMrJx(Sk9yI(F*r7q!y{+^r(k-Be}&4FEie(ZKbH#TynymEU=Bv zH9{jQo(jJNv|v*r$2vj`%u}~YKISa~Pk}iDg>68E?Tf%iOA6^gM5 zl6L808R%QoUIrgHzmc=904it}&i2R^6cT-#YDezLwt!$mFhn5ljOeTZwnL^;o-(0u02 zjK|?Kt9d09lW{jSw^_&A*e*=FEBPgtbgaGb-9Od!0(3oMO340iLL87sT_ug?2>T=( zd@C7@g^{cGdYz34ez0_B{MmNT(lFeXPp~8Xq zMq)o7<3FHmZQ%Lt^IfS4PIgIt{AgIojrgF=`XwgCADd8`b86axzo z!{q&)yj-0_m>G@$#l94oJOJqjVId#}>38$@ad8cbhbUuVI0FyH8{u+=bC$Y!xK z@Bn4g_kX(zQY8z-Fb6_Zx%#;Jy84B1FbDvpWJ2LsfG! z>;S5G@SU4x-6lx}9C^(g7=Al+yu z8Y={QDTJpcDY&L&mH?H8mP1VV!2&jj!5&J3c+u<-u?ogFSN!uuQ)O5mXr7wiASDzz z;lZJ$r^QwtN!8Zz@W?!)I`PO7`P2D_*^`^!TYovG$-dUGZO&2Sv)1Kl?@De}*L}B% z*E?O5zGnTgsA<2GZ6#hmjJV(X^=!ob_0ItiA28pC3O? zeth_Eyrp#be~IjQ=XZa*zPHcem>Rp~54kVj67r`@em&Q*kmu_8H|o2Mgu^y8iT>T2 z5dOa>;#APIH2<4ZX97jmym-&L`&asrplO>-s!y#u(;~Vi!L?rh##MXeX`7}jy%}7V zs`YGWh=X1K;x??V zchgDL^xnMu%_*OVgIr->zBk4GHBQp>j+|wi8lHBDD{RA}{o-$4%@_2JJay@f=BU#~ zLyh9JW;{7sexKCqx^GXOKKpab!cM~e^zV(i>-s;tKQ7s~w?5tOSxxonXFs|N|J+Wy zD|vi>{N`h~Pw(CMuFrJ--*=1uC&YB+-rIlo+55kjXMI24Ut?6hy!iX+KOXsf*Z*GJ zRlnz5eav_NYkxoP`k#5%UUqxDXTiMlxpha<)pt)0UtiAu?BUC!7FP9pcU#ndef=)! z`?Ehkd7c)mscm>%z+18}Z~N={Chzy{{5!SK=Kt>g?e*W6NFFZxykySZGtWOiE2;i- z^k;2V;kUOoR(mT7%bwmgS)aGfpZD!9_nf*~xqtlqKZF?={{OFFtO@bW5Ox%qXVSau z+xd{}W)8PCAqCuVuM&H?e;eQIIrnba?#pJ5DLreqbW53fItsWPoUJ5h!&xQJ^~f>c z!-Dj^o#KKmT&(@`_N?F#t1&KHlv}pBk%6oOKF_BAazV{(j=y^NkLg}9#4l~i2_y4WW%!?W}^qe^S#7C^+&hef}9bXsexWrrNpXOTXCX>|q(%JIB9iQGf zcJ--k2mN=2T<3P|P@dMG?aO=Sg?qbVvda9sVOhHqb+e|WIi2G;YICSZ@P2EfNE720 z_DiqSHBt@U9obskEnczMa{24@RlOBra<2<>?d*34tj*5N6nuEL$~4a`<=O?CHy=9! z^Ma&qY`FX(-zJ^s)aPIOBkXm=vU@A`m6hqSzs+~&bD1_x|F4L~#Xc+Ue zBpq_(H~SOCEe!nf`{Ha^{rZv_{_KCuC$opSw)w)P!xxobm0Ss(D7mBqn>w&^`9R I8t?yq0L-4MiU0rr diff --git a/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.map_bb.hdb b/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.map_bb.hdb deleted file mode 100644 index acee86e0c4e762a6da4b5d77b0988ab3d3d3ee23..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 9595 zcmb7pRZtv2vo#PLg1bv_U)+O3@F0u(;x3DO@L<6`Sa4X}J;5dT;_izkxZQmJ_wc{n zs$0|3JvG&JW~!@Zx=uF%92{H?2K+w_2WS6p@cy&9Aa@Tt7iStCUQQlPZW?JXJ4Y)T z9svLi4>uQpmkYqn!%L&}i3SL=ppmn(^Ze(ksqtTgG^+mx1XqCbU;7{J&+y;14?HXn z&4)rEZCD{;SLiN~i5m;c4QsW7eH9*|cxEJDmMmswB<7t}Qng#PY!om(FP!4zKyo+^ z!nU3sSB}f*a2n!35XCk+mJ({adV@Z=-9=9?;Um`S)7z3~ynlyH<;LHMk#Fla6+b$w zf^IgdfC~%j3sDF1bjigyq$UwU?ap)=;|Z9F`wc0(%WIbpr;Lpf9S3pToMioIe>zSlCwu*k zP{M5?g{E?L6gG4Hq9}Q+I9O;Y){|Mp@fa54?Bzpr7!PpQ*|(`ik%~~8CJ{uMzu6P` z1beQmynxr+jO079pt^CiFG698Qc&RP|4hvj2^lkz_sch@+=(`*f<^6v!QbDXEBddk zZrtqB5r>SgM>Di{(4FQVnU z;&$X4JgIF4!k&1ynfsp+0T?p(12LQf86x-6(i|WuqYt|yrH*Qr-x1_Ok3|v%xC9`C5V~mOhKAS zK}AikChQ|A!_nS@!xMn!W^Xc3(!2aygy6AEZR^{Mv7o@V49;>Ex{FGE4vt0LSZl{n zGEJahoOIEiu~b~4t9^bVa{x!&j_n7T4aN~@khX;Ra8p6bbi`EgY!z1i!pMGObm|_K zij8XBn!_sfpZ521phH@hi(tn$v)6^zWjWu}8vra?wx#lDv0VmxDHfD{ZHs*;*p0Fv z{X@yL<~nsWVIda`dOG6cY@h6SK)~OGh5pfy(X>qNTw!_fuo=aY5Nk32h6Tnr><9v? zHLAqnTHSe!5fi$HpFPxmucsWO*IF|(Lbzt=U5K}6P*M?y8zth2>GxA8F!NeZSkM9T z8_+SX{@nYldJ@DX#y6*dZ2VQ8I;yRT+AkiwB)p-q(`r}>BePW3aM$Lsn?=6D-fsc z$-^h}cyiM35?uu)2$^wa70<=~)X{j6Y}n0H!L?w-Bd-8=i?&Zx#U%8vOtRYYS1~c| zlQIX*AM-c?ELPOvV#pkuY&rYRAOEg2qk*!9`x^Y|{l<~kUu{NMK^I8BE9&UWQ-Hsv zytEAxZXM^^Hix1$gwIjTCvDa$!46z?C} z{_Rq@8Aj22y5MsD6DsZh`eno;@g`bwXiS*I2W!AxpydxfpKpQ+fg9&gIVf6?4!{vv zj?CtK3HSZoQr6-WVuJOW?Or5)+fh+>TynFHI`aRFjY!q=`e7Rc&p=(qZU7tB$EAwe;K`(X>+DZ&$XVj z+h7>yac$??=`EDQXUty#X(=oE0$wQ0CN}c zJ_);Rh;LKrXW~1+Z2m=%Uw2Hj=WF_|3hmR`m*DNs>N#|QL&ymthIyarTZ{y6%=6m; z-!xmLJd6FwDIQpl5C%q-@=NQDgx#>$Zhy6M7sR{a6}e_O0Vjv`#pNlB^z0lR>>Vg4 zYU~`H?etfhy1bND&9qKeLJQN=TlnM0$rey$gX~1SFdVgNxOBRXi<-|)T88U!`fSnv zzS7CAg{5iRPj3B+)P^dtf87&QJ`sr6e($T@h$% zDyl>eQ(N5g+;5J*pz$?O?mcLSBCb6-JMvEdl#VEYIQZ7 zKiv0M>d3P8AG1nSot|9U!4 z5!?LH^f}BkXI7iBswh*H#~)fI8o`T$Hd0S<6-MF#l-j1h-G7M87gMS{o@k=9s!Tav zkIISX^H;vSmFd4INu2%Dx=0_kk?E7UWski~mY7Hn9F`KH$;yL$xo zkrr&Y?(n^A#vJ0hjgJ46Le|b<89A+2L)lnF{ z^Q1t?HKrP(HoEP>t0pI}GoF<)L2G%YJ`Bko1b#sSe2j;umk>X*Hr+pDH%H2hSyF$l zgM@1=3YK5=SL^wY0-3agwesySdG@nzqq?Shj2a5Ep4+Pq_X8JA8n9OaUmm`_^8M$~ zmFZNQZ+7;*?f_wDO=}b9u|x8M#_EcIOjFZ0i1Bzc$7TKOz>}KbALEw=oMjOhq?7&fhTLfpzf!PNy(c<)0*czr(V_I=7)vHVciXf&8z@*IMcdq zub-&FKD33@*1wDlOVKLJHIXIrv`T(uY^43ASK*`oI7JNVFB`FZcK6o|t5Y<_L-(4Ayh&>ckI80+YDT1-G7YX{B5_E}#o^g& zw!_)S%cZ)kBlh+(98sTllw&(;M&b=oP{vkX(68C2Vdzg7S=pnun)lFVn*QV!RTL-N zPS@-Ro-g7AbI6Bf$$8;qg)> znf0nTMl_nO60ocXjEOgAv`5|X|J}O~gs&ZGIONMWk++3!U<+%I4wO64k9dr|$J5qw zht1lHnhYG*&wMVxoRyOcIHZ1OGQc#L4@t6Li$qf}^2Y5XqTa5`O}xz8zmJAP12=;U zdx|q%dT&NAE1H?^t>)?agSu)Wh#11l_B#FqQ@jN_;;gprh-jA8pSs_@R5P6!l{np& z)X1%zJJYtfi?iN=xl@z54Ue6Pmon9eyEW`gKoGEezs z!CUkJdt-3CAw=4H(;CMwkW8qYQ(>s0BItLI#Er=v<@~9|qf2+^zrclE`28Syzke## zQve3|`E4nXa>+#4i)x2T5~lXLHF5S_=`Pqdr61hoabn@!(7hk< zMZKJ>A85tIkSgKVh3{0T9(TA@W4v}4(YvB+ztXQ7fF4*n(5QZsXmsRfO#GA@`l6QI zQ3)moH{Yc$Zlr=aBuIOFS*f=jK5gFgx`(e)qxi4h5)fxA*F3X-}H3Cbu_+6soCZ z2yb5B8B;NA5DWb@($ZNINkQeL@bu<)nJeU#sf7tA@}!N>bol{iI}R&ob9sX3d-mq1 zd6Nv|7@x?_@OopS>ktn%<^@gYHp!GnJT>c}VpBtOvWCR_m>r(>Pr=YthQEGp>iJ~B zU(OnMyCnFX$n9C24ZXVf6D+qkG+_1XRVWp21hz10-eKywQl_fY4A#I(&Wm`!yjN;+ zW_G%k$6tBT`R2^z@`zG!FqETtm4`pjaVj;pZdKg+E-DY=KN0k`!J&TX*SVIzWb;yK z6*7mQ_Pc*C_>8L2znkdG`mBL18VJ^5BfQQJHHE!cHtWQ7z-#WiO$Dt1d41(MPTD!> zukycnX-f1#eut)UM@pbReT1S6NZ{OtLa@IC(!ky|AHNg3LYMjfv?VivO2~h4xsk3> zm|TRf&Btu;!SX%sjdo6hj_OiHGk&XEA)`11Hc%qPpc&&D#GIFcol3czxM4f&XHH)$ zC}i5zQEyvSVXNFdr$QR*$TFstI6!cnPO#rh=)|#LXtd*&bC%1KM`8Si=G=+EWCiwN zD3FT8f~o#!Km)S3!KoobAZRhYX^OW?d`)a*X;GKAfprRB|1XC(_AB;d5hs_K@H zsaVixcJfud5nql(+GD=z8lu+@51-8qD(CTZEm|>>4_AMyT|MmU8;RxZru_qC+}2W%R7yzlSU8?E%mBH*4^+o=!j=NjP#gZ+ayiKF|Ro<P)FpQZgtD*IC zNCz_oTDqmYrKe-K=-@adlG>tqBKX5fZGHl-`*0Zk{eciExC_(26jKz9L}A2}ZZQqk z_%#W`3ZR~DUN!>J0zvHnj)jVi@&-2)S|K`<3mTtak1sxR*-tXj_6%LPEit7hA!X0<_zwNUL0XItmv|9C1l zPyP|@d65P0_5xsD;0}@h!@uR!cOPgtg#b#a@DZ8GQv_KU>u;Anp8*`slgtxGA;Y1| zqPO^TCrY?C)e{i?BkHh73FF9aL@N{Gzw-!FkNw$)tOYSl*AFY$~j}`79*%@L>G@2Mp=kW zV)K|%VTC*#rlM}T*2HCH_4x?|6$UsqV~P?(XSk{8jn#vHv`XTiDKY`w)(n!*kJU+| ziUmityGSzwJ%cdHW24spgb|D(v;1A8i9ik`Ad@W|yyp0(QZEHK-jk-F1fW@3Vj{7n zV_590&_qmOTW@vHbVO=0L$~h{Qk%RNF{IFjVsMionz;P5TEZ-Y0#^9av=Dcujen#_IoCqyL8R@@|b5aRat50;jFiPJA_0XJek z0ZGAen4QLvXYd)MT|cV0$uSWPI5Y23(Q@8>wW)l3R$<%53;e+hLrT|TQ;nZFPkT-Z zp!FGTr^&MJ5`+lS_>14dM@X(NJonIOC(T`IZ+$#b`Y;RiN9}Dv5{+aF&BmXFLj)y#ze>VbpfZh&4Cq zb069}blSU5uCrL=H6eLGczV|?^_wB%sO4P8WvQm-5ePPVe}gwtB!1A;Q0bN!9E`j zg9rK+2r@$VONDH_fSxx^mgf+z_8JRAKgWgOTXS#kkhU%r>^3d9k42V}u>@c(73BK1&y|6;v4j z1Cb6L_MiEqZ>qAYA1h}fYlH=wr7J?I5+IC?E;jGjR(YGu{XcydrfUp}J|B~DW)018 zNBe_#vyn8i;nZ2ON}Sv0Qb!!?AFg7AsrMidvCP^OSKg+=ASwPaioKswOrgi14J_82 z=lYXBhg#*BV7WzFwuV4cN#h%lxbla1F8vk5@8qf9y-Q>V{HzB2Ku~g!oNC-x_L2QC+op4?Fek^NEZ_K^1x2ZM#cR^v(g# zSF6AbLC_FP@>Fw&5Vw*cI}dik*0t`+kV&1s+L{pYUIef)5{z3f_gH~j)B-$!M2Omr zQ@w(Am0MR4{uQ-dleU#AH?S-pN0XeQQs;$D*%;%Z-gdUo;8Txjsj?G+W{xZwmwS2X zkmcSlx)VH&>g1VwWlBxelNw)RhC2F^e=+ z23L_YfhIK^gK*~hhdl}ASwCLp{u$UHojG+f+EY2^QUcr9de63~#4Q`rIbLH{JUFJ-0va z^CGTw`|r0r%bc^0%S3=%FkbAFr0cE7Gg2z-Ec7tK@6CC>s&h_Ro^^BoGM<3K73P)6 zR&)!`K!OAutHgZHB*RM~xweVLTF1yIv>_G~^7Q2UQB4EA??73(n@?soP7J7xV znx>a_1Te{ZVNw+0ZLqB$?Jymir#dYUbV4tP5+3|;dHUNIGsHPy5me&h<7w__1rX%H zF@~@T@`wR~UPpTdYW?{)KbkMrekFr>i3H54*kg{n#EiPcjduRp^ZvO<#N(To*+rE{ z4cRvzzKba*mz}qc0`z{qvrDd?jxKi*)sD$=uQhRPmB})d?WQIW&2k&|!(~DNm-T!o zG9l75lhD(FssPiLPVy&@w!Nu=o?TP{pz&xOkmls)4py2aIe z3d8#w`yxAVORR|!UH%RfN%;W}933s9ElbH*goVOzdFnQm>=E%Ge}0A4!b;_RR@u|| z1qe476eHNAg@#Y;^;7=`np(Q~l8AxRW>hB@MdJ8v9nhGIaT8@$qHhi9r>p#y`+~O= zdiI%#**_vFJdeiI#&=J47L+x1F$zJkf`$WNw4}G?nEon};+%u|a6?Z>aZB-u2Sn;v zi3uhRKEE#a{xQtHf2HPp9j=5rM@u7Ulh5Gg(NJ>e==N3pueUE=Z_CxE&sR#}K}(~% zbA7krL5Bg7=n*~EV5LBL#v2sm35HjFvlEu2d=(<~L$9`m07{qwAw)8x;th%WIZcGY zQ|AGQY|g3hIuz1=awBTyC>Vsx>82(&ld}y(TuouQX`-f5{V4|oP^o%WVB-t9csv$p zc`7}3t6WiALEpw8lK%vLcVRnnG$!7GQBZBq2>fJl&yY2d0fOq1w!DY-Kq>|E`UGk2 z<=aPpo&gFe0+4oH!o!iA@&vl0f5dRGYj`jdbr8Ggz@hkg;nE0gbY3< zN2kZ?!wWor>+VH7Lib|vdyubDtM99!j^<+D<3v)Wx#>}WTsVm+_ zM@v#@6j$#_Z&l4GII~yMTbczp^(!&mg1R{GTSj_1rLJbSJf(#{-h|YC=)pIuefHM) zI=yy#2i}S>v8&+NS9M?5qr&y7vkZORkZlo6pZefLP1j9bia2>CZuYmV9w#f~kHlARxz(^fzRaICg6Dv?>4B$i4SFBQ$VG37^9u#cE) zV5^_JP|uJ z4K2^^`(veA`J&S;XVwg-%?;j#!)h_-$9-aOodtt4`cLMS8Ebbx3=TbKyhUE`;#)u% zQ}#E0HR;|v)D5=!HWr|`#`OfRJZD3bSb!k>tTKM@XsNnKSHsq0`~8gFjULtR(E;=# zJvgkfd%5&Y7vfN_`j*<@z&ksf^p;DX!UR!}no@6d-ZYsGOdrk@{@u^;#_?@ssKbA> zqrKHG(do&IyBU%*d}uIoHP+Uo=HBf$aQ4__S{h_*_@mpmzXEhcXIht&E{4LlpE150 zq|G^)^l(q&>`sI*nbJ? zHBT;g9xc)1%^=Wtvj;wlFs3H z&`qdWHXF#Da*!RYXdRp9QScTP8Gr&I(dF__B&Hd~o^1TlnF6n#MHn1p@EBP$ToUL~ z;iJBZ5|>lpBwU?5>`#hXO1wF`SE=dIdrnn#!-5|$E*vi$SLa_ZBQ*^DfrB`oU2Bd@ zl_vNX4`*|~pslC?c~$JN39mi<20q)raXp(5@}&c8JykGR&4^9}RhSsP@Zqq?DTH>Vu3U!bVZk1Ory=^JL4 z8H-qEg;20`NQt}+TN?7zJPx9-9nkK|e@eiMlY+QG(+4kc6i2^j%BHb8ke%C#9JcQk zZu`j33lk7g!OfF6{~MEihBe83Dhd_@=#W2 z`}oyG8>pac2xL+sAF#{PP00CdzzRCfc39qc(iqgcK49abT8)c!RgIK0Kih{>iF30+ zQY>&1;fn6And;PT z9AWeNW`t*-sTlG1XV=O*Tl|%9P?*|Ge<0foehO+s%hTiw46W9oRhV9fT_kI&`%?R~ z6SYs#LqJq%9Gq5BiYp+e9cF8MKe4n%-IkG=o`+?C)n69d9`a%vJpFMoCNKohTdpt@ zX}5zzqfXT&XVa(Jx@=a$TXAf~cc;RaEsC0Ts_5TbI8K_n-$}G4GzNB^vL$VRismNf zE9HKalUxgBn!LcF#SIlqi@L*0@~eXq^4*SP{4IY zSia|NIbC^=)iV8zA`DE7zcN>0;&QKQ2wf$;;ep<;<950H1Rmd>vNz$YuH3{z)#AIh zRYW5loszDbPQ$V`dN(hW%m>f=xw9n+c;uq~OLSgtRe)StGVXSZXe&7-yvih#@bA-7rZT?whrc$hxAz8lMiWNf8|@q05XIs| z4`#4ZhoT76wYls6a8?E$%n}thD4OZZ7uoRSemN|8Z;xUgr*wLrZ}^U6XuSze-aF$7 zNxQJ^5}MCvF=J7i&DX@kW@7uXrVkV9{^zD!tf@UMU7BsZym;vKg}1ZkqaWsne7G0V z%$a~lat}EaKzBNAE-k0 zyJK{JH6*y18L%@M&D>vxv_j}<6nKi4e=BSa{$|e(4%`sD?jRp}ODOxU!-u52A^6G> z$e8i)%D(p`&oxx3<5>^mNteH5v*Ta~yKa0rNk`rs4j7h<9Fi{f-%<>Ah2J)TH9vx}o*#9l p^;(*?o(Lp*8-2Vy7YQnA*eZ1Ac4Gxu9?AOf*q#|*$^Vn({s;0Eu#5lz diff --git a/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.map_bb.logdb b/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.map_bb.logdb deleted file mode 100644 index 626799f0..00000000 --- a/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.map_bb.logdb +++ /dev/null @@ -1 +0,0 @@ -v1 diff --git a/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.pplq.rdb b/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.pplq.rdb deleted file mode 100644 index b9c4e156a4305f663bf7b13873622f6ed74da757..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 295 zcmWe(U|?9w%?KnJ7_xxS7)Xbu78Pga=P4K(>lx}9C^(g7=Al+yu z8Y={QDTJpcDY&L&mH?I3f%P*m?1j>OP#Q$3LkwrAU`$F(NO-`QB$1Gk@_>C4v(aY} zrXr!wpMttY?l{{fZaQHSpvv6LAh4OyaKd5EB}_uyULt>lih~<-cn)xEI&OO4#?1?7 z&K@~*fRb9>0bl23>uexWdXIh330Rf=~3-RBGfMENtasQ**7OrkKPL9-E-0WQJoYayY zHumP!TznvEE=~>*HwTE5i52$<7tAd-Vc$o`F5IT$PE5+8Q~9up9u548 zwtfO*KiuCx*!1xI4b(ZttM07(8)kQ(q$3$=B5LC%g^iaMW>?NB2g!@UhQ z#5fz#AP3Aqd37hrRm5|Y{wh2&d@H)4ew-*Ox@QRaVDP}7-d5VJk%GwCIrsitJYrr; z(sWiS$&Y*Z`jTT3it>toMJxR0TO*1wxzF?OKjH43?O03q-YI@O9Cp!+U^6o_YdNE3 z>#O=BwZc{3HJ8%C6k{5zCyds! z&-kD-MYY;$dEDc?!E@aj@kJ5=7i6<~>8RNwIT&9Kk(y%_O4y$+-oGSO{8dJ1!r5vf|;Y%hJ zn^b9je(DUta-I?cpQ7JaBee?E(4nS;E`uZ3X5(U0+ws5+V!|V@h6> z`}&AO7t7tFSNzUW=`P$dBr=T4WJ5TwycUsUwS0s4u5&Gf#EU z6MSdrGP0iue?w3^dgDP*%+M{t9$1iR*STL(FO9dQRMMfj<5#JDO>jS|yem5q$y~Ck zNoT~w4EL6{lo8gFn%DVLwiS?!@6*g+>^O^>^vI$ZWw4yW;Wa0l^r#V#fUdAO@yRct zr$im1g0y4)^Il?yp;ERmNtI?-c;ID~_JV=laP9(=>7+oUSFJFkM6TEGr#}w${3Oas z;yIr5ob0i)()@P5S~qRO=9RD|Z+!Nq_@!6PM$ggjAd1tBS>fh0sN?&E5aZ05^(`G$ zwp!0i)kqVD>gHO%2ix>1TE9P}TUugn8_orS8%G@PoMM>hdE5ReCh*rZrSD{T9LB!` z!ph)hcO1`B(#+My;w8$@OX zRWC~CHg)+9&fU`g?FXU4n_0HJ4>;RnDe@x5I~nnUL4ZC`#q0|sIt=&ZDejz|2l=4Y z7ISvtO8_2;Mu6_kY+{WQP{Z>JlKEog<3ed!E>4V183Tl+76D+*QhRSZe=N@loN{g# zM$$8=0I4m^N4AW9`kUg@@vTAZJiFqUf5;@L_rmn(O)OVO?RwDNM}jii!1wX1gy)V^ z#pzj+j4{zT`lC$}<iL)(|m=2qaz=C9$a!*jN~;E1|p*Y zs$05eH=pobu!gn2x(NYi^CVgg4g32^Lpxa#n+Oixeh-UfL1nc- z^}#nBdMCy?(Lp}N8x-e7Yx-_0II?4VYr~WAt7GUql}zseb@$|La9(l}bv63$+tfR8 z*24Y-Q+)bkvKU62EM4iSp&_8=Vm5N_8yNOp&52ly4h!54E^K1xBOnnn-4)v%o)+Jm zq`?ZBBbd%smjSZp&ne0OCzNwA6X74AC&E$v<))A@Ac|FjO!nvuHj0g3ry4ZQzs%C;!uO+Ip8 z2n^u$$$z=b854*fo(hj=Z`*)W{qe}B!l4gtqpWgej0zS}d%H;ZTAXj&Q1%`Q^90ql%iR`+Io_gE*c@==O-Wx@v~=iq zS8COUzThzq4I4>y;3#o7#*Mb5gmzEVvbU$sR>|*orkcaY8(kk3)U_x1hi5N;ls_9- zoIDI%Ucq6Ezl>6KRHpP-K1rTl5P^SGIoR{DFRl~3*2t8(x*B>k%%s_M>wBkPaw}xF zJvjaFtVr{!xq^17DQ49i|1u}%j$dAO6!x3j<9_$qvZ+^kSkYT{A5rJEd~K-6txCFd zg1@7Yaig$SNN%rLJ?p%gFKc~?pW|l+Xe=(KwsHB%B|+D4RoE-CgoXd55eEv&7S0xN zaNiyMv{ElN_vGJp*ezseSv6%6Q ztg=sUloQUa8NX77_x1=T8@5>R`K&Lg|H$LZ%M%&OC{tcHXTChTSL(<89gvsz2=+T; z!deS%aEG?(9CGjps;;xfum`rx(X2D9UJPbTS~k@jx6d!EX`}H^HB4-}wEfNJW^*9h zz?p}e&bk3d?Y}H9uhoqCH}GBl1vNbPPH?X;tZ?VnJllIV%qUC$mi}wHot3J!uJfUA ztqe(ZD#LzC@`yxe!N((^+bGY^v*7^HDa$k&cOGC_m0#nuyhjesQa1XJ&?yzw%MV(L z{8?~OBKHa?1(Yv$VgV}Zz_POJ;XIaY0vKbVI$XVgI^>-|pTXv@Rp~#>Dp$BGjQs-7 z2J;qS{f0#=gY;8*s>)xeuHeq%)pfPvs5kv;iO;J$*$o6;zuo>Edkoc>*aBi zEWSX`)$73wDbvG0l)eFEW3yF{X1zKrg?ur$64?{0x3`tM09C7Xug`Ig&FwYgRed83 z9=AvLMY`EO0Si=$`)O;A1?}9>XW_QH2L~*RBdg{tE0_ilY9erKIO&vp5e3729=f#k?#Sd{vW%`UrBCwZec9HyYKwR=tbT1t5^OYZ>@GJV_(J@u zKW6x{$y}$^71L$?T3)Aw`uO82uxJeC_4osxpL*yb*cGVk@i@0EX1Kk5V`Nn25A%4? zf1H9E1^#%M@z`3(+%SB0Ydkcbu>l#e6PbFIRDAGDuDf7 zqtmZ(WvXNYY9u=IvfDT%Jyw_YN>LXt`sl%M4J7B*P1jD6=On;eU~$tGj+FDRjWenJ-$nYyxFCj z3_a@BDQ23vwEPD;srj($X;gUXLBVI?O2`EXZU!koCH}xFpc?P9L}X%pe|%)3W_m$p zHXVH1%rbn1;%i+LlVuwSpHgdXKpC_dIgD2wkj11fz#X!&TU{Ds1ESR8%))%;ku;rv zm_(IoHFvFuec+eO7S}V#|N9v}?JQf&?)DQsU{Cdpg~6pXcidUzxG5aV*K(}FlZHcJ zHiE|>CXUBb8uz*ht#s*u6Zcw*?1SX|!uUs^lB?fGS|Bt!)>~{o*;}($Q%S*uojU{q z1U6Mjev4%0(OTg5>Bw%Jh(({h8`V>YcLv4DN12zG`XR(N_pOFgl?kEOTb=L9=gJ8@ z(w^R{t=sR2;ExJn`_zSBSOT3C8J$osO1Ik+OJfg)v++k)kbZMZ`8-jyi%9u!&^UQA zp8D)$z3)KSrw1hN4=+XFrN|X?=aB5->+SgQ#eM|k;Ln&DGi>QI0Dtm`n&r)KW<=PL zshTdE3FGbPnC@Vh2-2tzO3e;CSMof+)jgba`MDVp$a`(clgeDsLZZ}fwdd727Vo`B zCS}|}ySH`)SMcm-7fD#PmmBwct|FGjpCa`Txa2T?lnRTZu=z3kMuqBFA`_}hbPUS4 z`jB9o;r;;^Mk$>U8J8%{UIdI}2CbT|DbbGYL^8;EOZT^N1BC}^`#Qf<;W9;|8G@V@ zuQrnhjgIV}U1melajxY1(|P(rNqi(9jzg_UO-K*Y@cYe2BC!SD6+X;vzPL(%q;(bG zr$mkRR@*VH5vm^Yj#T#kXt{%N-cVtF9l71cQdauGE#8&vo_nSCvT8JoCB)2zrs=^k z#=#gJCe**u$8+(#xtjsx!cRJU_Ab@pNo6VlWU~_SK_A~6OV183Xrtg~3DdHCu{A6_ zSl^5lON`R{5n>u=-;()Y!TN5q>&kUSYJNcT=&&xVI)I1G94Hz~HNjkQoKcZlq1zt{ z=IWcfQm`Er-~Ea=lS-dLSzu5ptDIH`_9ctVGM4trP1 zPgu4xud+K~T1oS10l5<&Cg?R1is~&?`F73Xv65YnfU5|CiaCi6a zJN2Q&lu`74WBfB%){dk3W-kqCd~qH$LqGiIH+dXg8qNpTtV*Dw!Enha53o4XN+q69 zaVjQhY~Ytpv`-#^4XuL*aV&cRvpaak99tZv(h!^Ema}Qi(BT_V zf{ACw@RC0!=aYc@V{@z?#TBt84QcuVGTLAz=SCUuyyC~exn}79YP-L zf_1bDw7wky?gC(Us!3ZNy+7l<#aNSi?_@$73s816 zZ)=@!CHki|4oMMoLNI;i{ICSS1~Ddp@}~IhH4`Rt$v&M^af_MJ)?0YrC6+^)YK$e(9Rp#ay666ugB%cZji*wf2XN_Cug1 z&RB;^gt0?Hrj3#w%qP12rs~TO<#`KG`WWIi+n*bID=QN%(Y35oM)RU~UukUKFj^l- z+Uax^X~pr@jA5~~3FQ<`d{Vo>|7l;V_@Pp*!L>}2d223OhDS$fM!iD4hA76mDzmWD zG}=p3X$BE980>`vZNDg&)ZtjItl_r00~hysj09kjtVV=exHM9cQw}37yw#MN(|J2%i+2tHH_I2K zz5O-+IWwlAi^a(y_60tSTKW0B+BR~sR;9OPewY;Hvq#FIuf&1pf?E(l>^mu-Wgl=Z zQfa3g8>&EeX@CzG&Kkay8D~d|<_NUP&YnH~U7MEcf?U-V-nulZUc{bsa2o(PQm;~~ zIkpg2(B7jubNOK}XEb{ed6ax6Vb0hD*{*nV`2Nt(O`zvWWeENx74*aKxlddxAlEaj z?yFhLOHyT~F_YQLXTTA2k2$d%RSMS=E5vrbd$4Gos18%_zJ&OP1(sjk zh%_WcMv1*fsl7(Yy+-N1FYFt~WYcE}7)JRRo>>ew*k8l)S7y}%g4hJYOy@5@dBvdjf7+4ihs zXK^V$T-k)xrX9>){MMK~URugqS#vymAP&ZVAs;pVAn7k2+D6W(n6YSA9a!Jzp8N8d z?otsrCpIEit{gndHYats$YUAz`gjp{-J3t$m@beZeV$_H9T<_(YI|Y6M@x z`a8EDz;2X%N??2vm+wE7-UIC8u{~KKT_fafFCahlntSi2S?$P;tjHp9wgPexj1SNWdh4 zt4)+?=TB6CBaEIqEFQ9m2j4|UeTR2Xa^eEWR}Q3xr#~H&6vWhkCpv@wMKtjLsq_>STUW;eaj0yG7ln|R!mY1E>{id_$&jXD$aFD5ySz&tIoeI2HN zyf$vd>ioma_oZ(vg`uALZ!FO}sp>)T4xGNkG2VLyWe{{*?>wV2uDRyBs{lQSn| zH9`0X5qCCM)V)*=7Y#Y`4yAbQPmQPv2bM3J-xg{{U;xBwybOk%wN?7V&waT#}LfkzW?K+79t4J*zxzgzNtFd-Sx0z zZZ!T(X;D51dmPm*8fMl)`1A{>3d2g*Ty*3T6wbL5w9M(jBw}yTz9=u=L<)28mQ59sMSPENTZ)prp66EWujHHam$p zMbLETG0r=}CM3c`TTN&jk)2OeM1YbGSD)kHawHyXKbua<6Ku;D(JA}Nm4S0VfKmJR zC~r0?ZbTK8D~+JNh})>_NfXyW^7Qr@5;&cCMlY5oka1o-f0;9LoC&H^~c zAYHB+t+p7cmXGual;y7<`KaVmp*-OENUpYJQ`8}rs|FbdGep}CdF5a!L zv54HE*k<1rC5%t$f)HYn)6Y3F``$8KArG;-S^>Ktu%Vv2Sk%hQD)O1d*#Zvv{(_o% zq*tC1>S_!foi}>Y_enKLw#nojo9nF~X5alRt89ft@BBv#v}6NXQU)#In%7ey4u*Ys zaX)>dT;!Q_Jy6q=&Xp^1^DgVpig|thCP; zthGI~wcP^#EIE8a`DfL^vku)OAKgPt?W@Xp5cbV??3+aFn|kbiy_DIPzZ z1Rp-}Z{Ni+^#7y)EfSWMFu7lsAxgDC*$<}0&VcQr~Mro?Shqxz5T}q zt`rs6ZrgJDp3txBIELcGLy#g*vRu5C<)5Z8ixQF^x1>D>{8NSO^(NE^)WSMzJ|c`f z>Ey;jV#LAgH4OykVqJUx@e>T{)aXli4V6>}Ml}u+^bM}~TMrzZsR^7ooYsAx}ll&B=i zi^~h&V4J^0+gsP(g`DXAbEJ%IkG$a6o;t`wVMUKc22}58#c=4B2OF3lM5!C;4;{oY z+phi@OU!dtg5ZUGA7+wlyWxim9KewZ;6I+dBjCsga3l&i`sdn70FLkhM;V}{qM(Xh zW3UbfC8>d>-O`43(6d<9o!BTubp$enFgO#HPdSpG_b)qkUKOf{Rb$(dU`2B-u1b?J z$zQb}`aDIiM-}^&qI8yTQEz2Y-#rYOLL6jB;ERv9*XM4tG4EOMtQ+y}Vh?dh?}Z{j z$(n6XhqsgL0~#kvw=!dyBDi}a`6eQGp>jG@;qL>3)Sd?7V1uN)?U|w8yB`|^o~=*A zyOL%kCd1A91Tuo%z#8u~j9T#t$qR&5+JszfPre`;TUlm(dlM(qe;c$)b$>kZJLh7| z$d9m|IR9izqn&PA0w4a4yc_o?sFG|tJBT3j5bd9tB5pe;>9ty$n5})42^TaPz%E*2 z{dM~O`(3}QoDo3$Ucn79|FB8tWr%t^Wq9p)?97mjX})Gx3uJro4j*3Dh5A4n-+BBy zzV_?kWf|;0!H*ZhpltKQNiN7p46QmgpCqkIF|-XF`VfZhk$~<|hkl)ievPh%v~7&p zG%j16ouOCH*i;-KVYZ!&N=}>L`C{3$SaM(U-Qr#=%%IE52U;?{%1u@EF&-PL!=yIu ztKz-|y{VN{+{9Lcd0bUS_zedgQ~8=mfCfZXW@cuy!C7q01XG)(^ef90uSob&t?iX@EkpmZWsi^c> z9iau%8i>kYVPrPo7S*WrfT5Q8W)vsn2a6O6D$)dKlm@%g1`Ok{Mwtu^%}#Wa`jSN3 z3JIMJ(9W(BapITg%zi&nYhc| zhwvL77*cTp-;=9U-r)IY>Os|+>b`RSxs3s33dfLU%4^cgy~yy~{l4VX)OP4i)T|xF zMV-uj_v5t>w%tNskJYuEnHjkgK;XnUxTrW^J7&{c^_c}D2hCE7gL2adE`Kk)D7V}z zym$}YUKbVNY8hnd>1(E)t=>0~hHJCD)+h&V@|9mMKxZ_QhFUhH=fa2&3AzjvKRKIV z^RNB%aoI1WOuHIrp33KO(%kvBRB}0=B0j}GDjKyq>VNtA?{ClRt2bh`j-9=x3}IgS zML_pPy*xf6xFCovwd8oMy{R3xN_@Ls!$UBrj`F@(5-hHUEc=ePLZXNy5CXJDAtHP$ zul7n(v{@!b7)Vm|0r){yzFL|KUwD62$jhr8{53)O!|eLygx6$_Ktk4g?LlS|v!RVu zqL3&NWHVr_JCL1qWtLULx^yVFxbVXDMjv2CHaJfngt5TICn8=M&PJFv4MoE;Q03AR z4Prx_UdTJ-MWB7!K`@T3fgFl!uX)}NmLmuOd)jg$(Lhhm9%mOB5MZMVA~ol%Mh@XE zY6I8Vz3x4<^U}l!!OWeeI;<)r%JwmuC0krt(+pYZ`xsGW2`)|Pgjnv8Y)s;ttQihO zObMwGAKdlF87445=%5j5ombVmd!iy*SmyarI&RsN|4Xt+%e(V0&=bB*a{yroBaKa z$k=|7!pTieXXNj)m-<5ufV1wW0&*#Nx<-l>uTwn3wQTqyKh(owWpirMekfh{aREAM z9Zb?4c`^yL_aasxD@5fFJv@+{@lezf9y{-rBOOfPH}*T}56}!XW5yO-5XI@K&+Pdo z{$PIj+vk^IlVk9E7nT{pB*Ldv?-SuXLCQ4YH*CR=%C@Un{lGKBm+8m|6GD3%D=;*r zkPTMpJoTEd2z;Bultihg>x=Fn>C34#&BWa5^!AG>ro_T^M*!ZyM{^_J8b0EvJI&?W zU$e)jg?Os^vQ61ga@EY};Ch>C0_npdtM1weKtW@AX1ZA@eZ-$xrc*J&B_UmSzj(ng zN9E^c2&xd$uttOv0T+AQ0MbPLb(VQ^b(VE=HMM!|Snhk}-6qD-Tg_JwgJl{s*@h9* zKsUrMd@e{t&&~t#B=sSJgO=_v?wMsihG>CPr9X4g=W* zshC=b)l@)<-1jf=a^)7buzPl4oE1%B%Dx1`Jz@H-=rJ=!_i}ygc7* z$?+lnX`zEI@jcYCqrJy}V62t?%SAFUxipzBmByb`qC2iD{O3(VO!s8(%}4Zbcj?+q z%vZstR2gydPR)A+rRIUNQH!j~K9Rf_SK%~^IRVpiH}9O#3<0OkR z`DO%JuZ(tpIZgZ7v?y}J(ws#yKy9$vya2HUn-;PXr*k_t$)IS%)U4Jb$b7UR*07IN zJS5fs^Xm(?^7*XSn^_6xzjtX~J09ZcVP_}!b75)bE<3+GP(Z>S#XPI2-%I1Y^z$3@ zP^y@rHR?90R%-W-Y~ z$_R>!h#a>DA}iphC^jTH=HyCLhZ)7hSe9K+dUJ#juS5!a^fK;(r*-L0S|4AYG_4-= z*OO{NseU9^Cw+4;NwM@3$)c#U@^Hj`E^dEc0sI)*1F4kXQIri_C@ceZ`L5E_H^m5d zdR}L^s3gvNb?_2sDj!ShP<;Y_VIf|Ss7t|^j%XMyjWMf(g2L;l5v2rMab4MBg3P$J zewT12g}4!s8*#Yv2YC}18F*Q{b)R-Th_;c`xi`-38QGyLGv;j(crNf$g*IP=|B~yL zUy07Ri6+1%^Ef~m!@tTl1~8{}`^^hc7U3Gxyg60%HnKXi{R<_ms9~vij}>8%%0eFI LwBG&S58rQ;xs diff --git a/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.pti_db_list.ddb b/CH6/CH6-1/db/three_line_to_eight_decimal_decoder.pti_db_list.ddb deleted file mode 100644 index 6c4406c81781bfb2812cb76d737e43684133c612..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 245 zcmWe(U|?9w%?KnJ7_xxS7)Xbu78Pga=P4K(>lx}9C^(g7=Al+yu z8Y={QDTJpcDY&L&mH?GC=H^%LfI9JNr??$_W%Dtc2YvhgT#b{3xa=w z4|6P;*$^Su!R%!7ICjpXTG_uccVn9$F~>AF*)}`J{B?R{`}fYDnGzNaSqThF&P#PX zjy3wc$-DS*w|CH*HC#f*-8n%*-jBSEij6;CdR+WDIHlx}9C^(g7=Al+yu z8Y={QDTJpcDY&L&mH?F|gY`2oEQQh$P#Q#ig|aIclM)>m%vgk*m-2{cp49O2?LB|; zjCZg8$$tN{KIb(${XKopo$)=xc=4y^O4k#=tXd=`93+66|NjSSO-e}dNK8m5m|DAK zhM)jSd*>_vF?KsB1^VhHTox2z^%g;X$xW3>)g}AyJo7e&=35J)CSsz{6 U^XSZ%Jy%3p&+HKbx#jMHGS?NZ7Gi?UtrXK%+ix!*na z`|f%5^gtj`?HaK12?8BeHV0)72aYGk9E*q8Iau3S+d@3BG3Y3WoufU(&eq1>!N%U! z&H>`T4-yC*g6xTkNm9B-f>$j?xW9I(1L=MUxHKWH`(R4}^SR$2GwRnTMOK-&PhE{0 zw9Ikzqw?=S=78i)$24{tZSm~$d~st1@`<WRes1VLpx0=ZD$qG5|yw6~5c;35d zgfvXx^lOZCcJ94cAQcehJ%Tyuw4$9(4;#7ZCZBoLBvw!suFD>oEdsH?&CaLW#5@-3ak6&n_jPq}@Tc)!WaV8zF#m(ot$_6V0ux^|F z8REPCv$+L%gv{QPkAG{JK^4;@E-b|2i}PkOZH?czdDR=P<~yUQdEBn<-8XGozt|F9 zx0|Z#k}CVrtM|cU-yf%BOgKv`u7dx$!`3$KRN}U`BAlov>sg>6b94k}^FVANFo-fA z{bfF6!CxN^=d`4Us9iV-*p3#kwNa~@(mA(x(V_>-wmW}-Poa09u>q?xTV`-3G&4`a z6UGnC@|0Jn#$@F#yw~Vxo=$D00s)%!d{wmE>ZW zqd(N48hrzff^)vB{bNUC7^i2vTe{{PG2SUWoH+j|ByekJ!NVGfj8h)0AT&vJxJBVm zH&l;o<~pBn)~*ANdw5AYvY#W{aF|fbFZy!6<6@)q%XbCH3=Wyf93oy~XZCYwloGSPvibYm%D zckxlOCO%xs`&@ziPGbEX>Z$Vq-ZtHr#dXlr#$+KO_UnU{_T}j7!BIxQ7P5r{6JE8a z#n186jU{qe_ee`gco>Btd0}O0GS`;hmlh>}qY7&Sa?$1JYX<>O&c5buN2aF*>{E@; zI#zIGLjwYhvFf?|M*Wb`^fQ)M;_nF!t;nVRjvTT4w!Te}O<%DGlFvN&flHkHO&_ym>xQ*M5@x21pigr(*WUq0JR5F1@YNC|(aa!(B z^XSC&G}_9^u7UHi$3_4bY`Z+A{wu!Le#nfq2Toy&OJ4W1x}kzTYm}N)Bk{h@Jkv-% zS`b%wHlXUEc}S%J$CI-RBQDUiwd``U4~xedf7%0g*UG)kAg$2L&(GMp)pYeSeu-P@P)$FRo&GWN9V?nJA1Yzk{*j*=1-L=^mxhax2JgH5* zRIg5>V}xF#tB;Cbr0uJLdtwF{WhtlS;MTlG^x_mxR&m}6HYkk5H4XZ zWicd^X(wpp-3794!kFG2L%roD^^ebjIHhac{w@D@&-es**1%^N4=`I(UT!rbP8~Q` zA5#8k(1wR?e@VuJRX^iA|9I&|>(`Lc@vaFr&1pJ_&gT{iPbR%Ad=-QpPlAWKl)?fJ z9O)%LLo7~9lL)8dVmoyb%-z8nzV6GS)o(EJprg6HD6L_-#*lT)MGD~}(keU%Tie+r zX2o)W{|KL6O@5cNvKtwJN9c4Zx|i05q{fVx5Jy_49HqVQA_et28O>A1 z*@wr!)4N|)VqT*U zNtm>i&!asZ>i&+7?S#(yEy|MgIra5pMs^vjri;r0MQPaaO=eVpXSE%Lhc&|P|MUJU zt#$0(bg2DJmq;?4I@#Va1>c`ruaCLpB#5~TiNHM%KvN0cBosL2j0H-pl(C_z3iWUNCpc=otzX5J;g&0UtUN5%nS>A|m>IbU91? zS~01}C_5cVd6|w>SxEONTHgYatV*rXB5m6K(DIMP`~SKJ-B_O!+M3=0tktN8}2nXQwM^fn>03I#ZW3q$K;WPT7; z$AGm}8xZd|5UAqRY?H+qpQ?@bYo-a`Dhed)hf#(eMfY zXn1+J0esv59$r2g9c3B=YYQ4VD?5*WLu2gz%Wy;ge~4i8aQ;7)&)JjL|r{slO1<4c++p-JN6eG`>_o^)%|{P z?dn?BzmDO_A4Dy}Hk1@Ok_>5@r7XS;!9^qDp)(Nue+6_F;3~`22i;-(6H0=nL%?bd ztC$!MYC4I2Rm=!~nueh)5YoLg$L%uh{|C7g=$npC!8qfw2cEFTJP=;r3YZvgB$arryvx7u_p}p6A07zl@C+QO zgIW*nhukAg#pqEwG_z+~(G(tUk&obJnVz_Xij<(4P_ELs$%otqj(dcK-$U;VzsUoMB=#Ff z&h+q*j(~48Z*;Lo$GJ!pN8g;D+C{7@0#kLZe^KAI_){*Y+T1*-xU!&^8S48Q=_@AJ zMuq$;=$cRhq^B+!s4r*=D_I^eptCa@=?afqu#fA&692ZS4!ZsGhY`%rmcRFv`o*D) z^t&>Zalc(!;Fud_)`~O8!Vlo-{qB?Vi8048f5p&#a}MXuStmpu;L?onojsVHPq`~& z#F9WmdDe5R3I{LMz>NTN`x_-;BEhG$@T~VErtD zx{$g`lY9mQ6@smox>%6954Ya=J7C$ntu zt8y~ zOOiEc55$JwHtBDe8BLaM&{!{v#fOV!xm6OsJ%&9~NY&({cF^wcMpeac>}J)B+G_Tf zHt!BO(I(`ArEa~P*2d0dU`5xtD}6w_lv^Bi$o)K}y~B+KpNuaK3ULP24ed-G@0J}4 zk+J>g4db;nI8^+EtcbKTD&mkgjTHjPD}e1^*4Gg{`zxR+&2%#JX4w^+FhpP zx5|q&tj<8~>skqb~p<%3fsqK@CD0$6dr0Z2mu)r%Yi2+#o3 zwjTH?DUk-lkhb=MS=jxmdq3C~D5mj*x<>H%C(oVjySBxTxEEpFrKb@*F?yn|(+0K9 zkh^yzPUHTVLvoc7B^Z=ETbLAtxJMiH^pw>p-Gdt+B{H~sK5v_zQFPVx3`1i!`t8-kVbQs1SOzLB&K(3`^}k%M>iIRvVF=zONDS&`K7^| zR!uyEhRsGS+5!wDXB?`h0V2*^M5+2A?drRt;@jceHGP< zm}-mDD5Yz>#EFWW31CL?jb0$~t6-!8A8I*kJEwUDONNip>uIY_q+tSREsV0WkUvLN zCe?b=)_bQ3dk|o6e`2X7J7GS}kp4F-!w#KhAAQWps3Q30fkNa zUffy&Cz|E9R8iLGS)Oj<6BC}+;dL^~GW1Z|7X0<~h5z;F)?O}PJde4Kotqhivypji z+qB>+bW=^1qkDv$SY|z^z(+Y{d7F3VHLLNx?DJ#7*Rq+q(f%jXw$hVEs2riL!LH?m zDqmBi>WEaX!`7YkmDQ5F?c53XpXYIHjVHuWdMeVzD0iG6=AVCuz+q$5Oun0MUnS30 zhmy!I=f=vqh$m2({FF&e{vQu+1{TGhzdUSbkw5 z*)t1S5%@}hNW#NeyVCO<;gWZBP^QgHLyH5BE@f>;@3;ZE$x|*UE^$t9*c<%fMj^;1+VdJXGqoi?BMOWuYtJ)!U_ zGt`DHpy>fAo9PmMl@*S9a>JI6^8zNjV|=TA!S~&ekRy?_%y8;J_Ib58fNA}ntEjU+ zv5e2_6Y}vXQ8(SH`2Pyx&^_f2DHe#{RWN z{|DZaOc4dWALg|P&-9o;i~}=jP_Bu|*XYGs*`wZL%hRbAp#TTg*jvn-JAW=mNrUX} zzOwy)5`NXilKPg;DP!#|f0Zx6p z>EVwV9$VrcD$^(9D%!{IM*1FU`_f1SxwwDBCh>(AgWVS?JaP@7QM*9vbzR4~q25Dx$91y{ ze#ZC^x&!Lg1s=AW9f*k+CRVgwx23P2nwQpgOr2rRdp4!(vCo#}B1%^FI}{!*BK++c z@d$7LbMQUa&#ZcM05l2&`;bm*IQd4ql)X3Z+S2uEZQI3a>&@DI)%8Vu=|i3Ob$%7b z`H#rC_$y>at}_1CDhU#H>#x`Ca{-y?sK1wl2F~J$FW--3kdJ!L>LcH9riZW6HnjAn zi|clFkH&MI<_~5wL}Z`CfZKl;)*U-~13EXlAg8|$g**6#)H7e)E6SZpA;q6e9DUVg zv(+?!-QAu(JPS5dCQL1o-+kmEzP1&u?S<1^0$tH8xhN)%VyP_?&l9q3&$_=(9#bxi zqD<&VMH(;XS0__vxdg-qS6Rw`@NUdHLR+C~kUUHF*71Mq$K(P?*8}$3pD^icRfcda zcMRTFw61TgR61Ehy0M&n_&6+m4lMJ5+X>T)TOC2D7eUJaFI7)JCTQ#>_V(KCX-AcV z2ju(j_1kr&t58!)tc0aS_E^(GAM2;Vs_o0F?Mmor;FsU+U)uxqFSe`VXW0Ej+%};j znS=Cazo`5IamN>HpHqh$wdU*(1Y++$=1y-uJk%VJs@ZOPU4QD;MFwUYL@v^wU9R6g zCkx!Z)Jdkyuy-#?dwE$s`*_SlA-EIAd~MmboqWAx?Clx)d0daqgiw`AVAmftrtDUG-~%?!Cwdt-y@54hgH zq_48=$eFbStr;+dz8XLEuN;VWzZyd|CZIx;Tm2PX0rnS#4cD%=+>Oh9q9OtHueJ(} z{l@QqL|px*t{UEi*P+}e#C-}%&p%#f_1-^Csyykf_Hn(cywv9Q+m|=$NoKxOn@X%- z94)vga2JB!-PUbO4pt}L+gElN`&Vv0UF?@|pB#zX&Nf14q=%N$$o3@FwVx`81YOyt zG|Tj9UhB^oUnv$g6ZJBIU2ELdGq{jO{nQ!wB4Mo1|q4Wun3uzsg3HwO|ZyGH6j# z^YLw7YQE%TSyZs&?`g@IY%<^{pkRVeR}-Yu`S_N)fi@A4u*PI!?eP|HQOpXlegm4H zBQ>5A9F-o=a9@G2jeJ#ydniiap$1|KVQ9Jnh)Bn5jZf*08J3*{gR0yyHF9Be3T0XD zHSb$=750s$WYK3jU8m`|(hvq_WIkz&+Oep69nNS4Le=3kfBTj5U@%t;S$H>&8SA-63UWA0Yx z8d2l)OQh1psdNz_IM`V3tlRe8+N{%FrIuI z*8O5TkdR)^8%3SxkS3@<-6igbSPFx#naz*Ow;{_RqHA8c?QBnV^qucNw40cPLGls$&#` z2PvVnZt^bCnGM+|rbsd2A$o?DeB3==D$+~DS8qivvEvlEPFZR$hjYf66>33o&`AkZ z^jeEe77o3JVt+o4nvAMUFCZ+7gS42|R$4cRYt1YH%_fZNXk<`|78}i^&J!6*g58XY zJsKxQD*W>_Rb}vv_ay^kw zzqd8rW~QmLUsYFDOaL@Jcsa2=Ty-e&kENk?`zu~LfDk?Vi>OUjj)aUFX_YZ=*az$J zG6j~*8ZDiJGkq$1mFXBwH9I+$%oe&$v85%@ftF*Fh4kPFEQT|y+=4}jhgkCM7Zojo z`5fLvHeIIjDs$eCOzGEOAWJ5h)nVSoUXg&@oP7nEFB};0l`%_>5$vHCH(loPARWdJ z@YOrU0H_cW@ZJhvQkk$;Qx)|6{}v%!t4->bNB48)Oo%(hH*>nm3D}KPk82Vu6YWf|1w0*2<-cw!@WEXl_(Exkb{kasM~j)L*oXD{Z5!au@RsN6R37oMVZjjJ<+~Ezy`$Sk+o8>iLay zsBk%DMEGI=f8|ZGr;3F|=nygypW&VO#ePDY_zAV+S=c9ImvPtcy`e76rn>+8pBC@(nmDW{ihE z@>TI|%RXW_@;3Xnz*zF2pm52oX+;vVC#-=i$}mcf(0l|DHwR#Ih_MHX8!+bISz4Jj z%QCJ1D2DVcHwoNRqE;d$2^W%IQJ-Eef?$*nA0^f91wSG_<>+OQYcp(_wB=`YE`dn$ zM$r}UX`~M83B4vM8GLHpghX10%i|@-9mWb1cZWUrQ-+8n#)CwjE+Kd1*LPDS@^r8O z{9yx2zYLA$g*cr}=496OiCU+P!FZNaI`}Uj9LUtaL1Y`xgmN=D9^8CYf9%Y)9buJx z69;^)RCL`o|E$nMoiSnlLMNT)mP438od%Xb$b!)9r15quAouI!N$~U=Pi$Y^!oLc03a5#|0dMY;n`-DyZECc<6hdmqt=|d(hMfONcfE{^p%pw z%iR$+W&>E6eIkWI0FgryU5MoG%$Eb6NO4Xj$wyd;h@c5IY>5GLDMBa`q$!^QO(4~0N$FZT|5 zlO`_QZ4|n|w0UOxD-du!*~yNztwGf?x}I}_KG=F!ZR{5^k4Se*{bVcU&a`bwW#{_-; zm9_?!y7S8~2?DiJ;|eIPKe)21q=zT+wW_1|*oo$idF52fmD}|>O6o0Q?qgWD{{@g) z5S+JA*S050(M)>3)OO6|?Yb0WK^XTpI79O}L!o2lM=&D~-aO9jo?h)7j?vNj3mqvvQrUqRk+z>TBMGx ziidJ^OQP0v+(f*7#G3w(qL9rz=h?6Ir*UM4Q2wFrdJg_malq>~d#H&`dUbmMwPs5C z<8y#97bJPLO^mLa!ykrpf@96w9^5r7vSWm{`9V> zH`SQL`(B0dmC?K> zR3n@rO1QkK&t^yN9!>_-Y(tbtc>@BGljeQ=;IV%pgJ2F6~46K{Tt(#mX%-<17j>0ouZlt}g>v3}=ghJ0lh}u8YIM#_aOq7Um3uT3J>hfT2H(NfbW44$YWWQpvaSNr!)f$ z#5Tz2u8}CNk!Y@wsIHOdu8qWd2{?NR21I|VTa9xP_IyJfs0%9`4^AIpXF%##kPzLqn}x& zh-AJn6mshXerwDOU@&B{>@Y+E(uJDr)^^O;zp{~|W=3##}Z2$O40;ngM;V;uI|`=PRe)F*ONm z^!4a;h4#!R21^V>xbmmu1b5(|06b9^*a^oD>l~igWs?Waseb3@ z^$|mA|I2pc&0ft1DWOWUKXD$BaMGBOxCz80xJ2sy7}li~_SA&}rItcVGVx~#E2aaej?ImT zD+s)SLKr-k3I*FS+0$7=FSIjRI0^7>)HDYB)kB9J>Ahdn&Q^Y-4!GMG_S*ZnyV#s8 zAeohjAdJom!g5sm<9`X6u!W6n_SR8~x4y2zV@Yp~`Y<(UaBLVF?oG8+gf&gzQ)trr zTH`0zrJeOBbXX6C0Iq!nYcF6#XST(7W z)}iw#k$b=s@9)URHe{fR1Ch>f$Hf%Fd}C2O8&sQb{SDnap`>A*a3j~~iKX0EGrQ}9 z{tB_5>ogTE(whTvF;yyDNa3k?(9G0#1!lR3+gyzU>0|jQV_aSIaXKVvlaFbhv z=a7x~Klf-^rYLo%Vcy$64{2niH=A%eafQ4psc?%09;@V`^l@E8U?Z<31^y)mgv5_a zHn^vB$wOXGRl_U7Hx{_3ctusUdXFjr`lQgV?+rFhF;_Q6{Pr-3ALqIQ^zZXu9-=g_ z2#dM!i?^Aj1Ej;A%1}JpQJ}0v45{mt;ooP6RGiq_AxFV6x!dvl^ZeIS%01eJojiQz zW=Y^arWBvhxOG7eo@9s-A%#u>p#Wd2gEpwrm={s9oVOAlZpt-qLZ6(6I^42J2X;{9mf zm{_QvU@!2X_6QX4*7wzz6C+g&-7qedgvn>-=M>NQZSZi;5YCeVx~R-)q2oD5a}W|& z1s2jNx72t+xUUk+gLSR(#c<&Ir@*thxP-$Gw3<6uj3FewT={X1Kxee)g zH(>1nc3)aNsN*4|qWCK)z?Hm-w}NjhkOkkr8{=yv##d(Z9&9iD&pxX)re_-$T%@Dj zu(1~w@}5B)LtFGiTkJYl613gV-`qRb;pvt_>6T=qP(_9Z0c-StwNk*EC17nIu%-dy z4!Jj!+N|1_t=gBXnheHk8(@~3YRKSy&cnE8Bt1U^ta-tt|Qr zD2AbQCuX?C&evM^-42~kEYE}XUU1>y;Xe02e5x9GUBKJFzvQ;xy8aTGZ>inKpg?O- z+6h^fenRJF1V|lK8B&2xm!H(}iz{L^yQ4I_V>G*?HTh(#w&beBWvj&Hs

  • !=NVq-q$F!7vNpgmD zypG7e$p>nrsn^>1#3nIAX1R35o7D(fIl_RJ3)mj^=6JaL7+S=MkJl_%)`-8XBC(V> z4I<>H5N!o7P147K6#isEC16!qIAJ(|Zu=>88?R|n@8Pofhb<1=&|TQ`vvkli!@WrT zmb%N&Kc0R7hAhkLvvTBH2JB<>0+N%J?^>@y`ie*~f^n)ML#1Y*aR7MT~ zToV2{LFO0Kr($0v?lqkzTAnFJp;uL(hMMDBjlPyg{g4nFja*Ud)~ffWp9&zwzGrLQ z*oe%M3f)KcE4~%@J{FsBxmO~h(f`v)LtH*|=2)zV z3HECW7s}Q{`)xzz+Hcm?4juCjyv`;2sF8TAaQ>}e4q(*`d}T}T@^ymL+3;gUgt6KE?dK`?V`2@tEh~xI#o(B{? zRp4r^2Xh?+#M6|Vy-jo_TD;KM!pd=@gvOzN6Mt6xvUHCeJ6*RV)HArMxh?E>IRRF+ zHk&yIk7A+@+>ivE$Beil#eV!qb2@PeAx=ViDpORDlxVY>tDHr4>nhZY#9?Kp^8{R; z0x=R(lrE@uV^*_QJygg{v=CgniGTGom+Zf zz;`lk+KmLY`UBMu*&b_dK`x_AOIYNDccRaN;tDG>u!eiwzR>gosXQf-n}ms{FPxW3 zi@ge|bQ>$jB@1Aep76nkhQxX7-287NO+FMg^BRSeFlTNZi%1XKhztCy zY;`@2NO|u<0be*sN*HRz?mbkLe)-EkO-J zUUN?Bc08GkaJBHl+ePDsagC-K&u1%ktAVsW3)zV1dqqlbhR_=@-Gi-djqE~<>>`N> zwAs}$^OTZgj~gMRRzabz)}bgkkpa;Pfmt9NO4aa9?L@6&UY^~4ZXD(G9fsq&l%flU z2Z6{p2uY3v<&o(;XjN|;F}=lN>yKWu+v!R3RDKSIjT?W?)8MKBG@0bMChs4;&m!YE zo5w0#cHf8_L4$YZY>^c!$FH&MY$Y?o^Y~nWk-!E- z884@neXtbpnM97F23A#XY(egw<&pfZ=XqOZ=U81kwoj9KRY2vj(((w6O)m*3KOW8} zy0!OardGY`Euqx4Y|e0dN1ZfbK-2 z)pIS;2%-P&SglA#m;;3Exk8b;qonEaR1GI_sP!gTq(Z3B_?aNq@KAH&2hI(HjY)ib z?A|+1cqy+aBOR&M+$G#=rtf3oL$6-4e$)TX*d4)}-|yQv7_JZ2GV2g(7t0=7{U~w( zt6|Rpi<Dijm7#ZMKx`7jy5Zt0yh?@H)k=Yz*u=vIsASmWY^4bRqgJ{)n{Y zuczO;;J5iIuTvNkqP`ZnXQ5WG$4MhmanzUJ{ZAZl*>us!|4=EmLeuI1Di-sg$J*_$ zLrf=I0QfVY58+$rS6yZE1u7$^USib)XS=C=gPe|u4aiZar?ZPjfAiGuXkpkS=5^!sEl2^EF{QIOeUiLy6ld6tX3j< zK#c8PqqVazTzsAJTcn{I!l&5RQSRBQXH9vP$dmh2`GlfsaHgMA%PM<_7Lxu$IaGP- z?!v9j7--1($a$~y6i#@efzw6h1^8zx#$WySgpVWe;bAW3MV{)b1-n;4!rqw)yPaHn z?VeAc z$B;uTmQ`;d*-O@u7xle*vnb3H$Uh1f*Z^uCc_HA#$G&+VwB?#8usOp|<+>y~;NG!9Xl5 z&d+i#u=EEkKLDF66T+?N3c`AnJcJd;KaT3TL1K8O0FC;3pBl0YmlvlgdZZV_4HXx@KAFMh*9Q~Wnq&lckar}N;Es(bpqAuTFjUNY2FI(~)@@M_cw&A{cvc~AoS|mx90ZBaWG01G@eWzh9 zvQd;SP5qgKWJB3FOqmM>4@N$R9j~*yU6-?_bMzIO?w9M)ox%8~So|AL%B?@5tYMk0 zo_h|v1Gr6qA4qaPuEpHF&^&TmaA>?)GA|M&8Yy%iOc!?^wM(-I@RQ zF@j5xi9Dz$#J6iCV%v85&PIMX#3&Lfl+=88Rk$DE!&gb_G-1+PRf&$M~A#@zdP z5h0knah40Km%UnAPG0?LQ0((GfHizzQQD>f*eKm+!LKS8QMl+P%wnW$El!FRkRcJ% z*AH60Cz56R^-qSEB(=sG^wNfZmhI)Vn06U-q>&&d*V%`hy->|Up4aFda{SXS%3~r(4gM-c%`fEHxiFVKG59B|v;hznWECq(&;P3Wy;JGkn1m3z( zAy?Dmm-IWbHeGA7+-{GYk@TNl^Xoiz;%+~lg8bYtvmr)5-Hl)zVn#=BdT))qycv~2 z{piGEwN)~=x0q$`=Ou)h`CL)-MorFz-2{Jfzn0VkrHs5O_iE=(*`gW*nXw5)5jA9d z`?qD}$xvU8xDT^n*5>uCW#*4{u}BW*b{I@NQ$1+jG^p3GN;?!&nRJUzu2O%Y?kR2M zi|i;?Gv3a~tKlk>YgP6CJ#h1FgK`4K5n5Q@vPtS37WZ2j(kf)0>|#7Z3^qixiE;H( zl%U9>&P~*|Jc_!-75)b0zLRfgL_iZ$ID0NRvd=h0fqghmnwW>U+Dg{-RXJT1j;p0x zgq;h>Q1nuVqw*h)myB1(wcR30Iif8J*06c`WC4_ItUzNd^i(NtZLr0 zwJebf;U&hayd^1Eb&FOva1H17`QKmPmD ziwhVof^K+ys-il}BV8Zho&zT%vo$IbUveWZun@(GIAXY`-+wOyJ(oad?Rl5JcK$Rp zp@5ypL{x3qq8}X0c)z;Wp}0HWB~bpaQ*2LCxbKDm!;pZ|l4*L!Nb&Mzj3OUe| z_`W55`uvB!oXsQqJLfpFv3`yYT$m6X0_y2pCy`SOczm%1_nyP9B(HaDb{7`ie%XTW z0t_9`r)$;sYe|~$&GcAl4gRj5JJVfD40bxO*nj%19`0=7$$ z%Im`XiX!!#S{g;vmN)HX#hh-m*iYXm(U2u%9r~=DNz4fU4`Cb)ImW3Mi3wxIY%?6h zkE&8mqe4)o_sk&B z31DHlvS+AtT20@1?MYX)`kOhY1 zrD1UHM^V%LiY4UWr%l3Dg0WBa#Tw@6o>Q3E(z}a8R1Wmi#}HKI;b$Y2G#`&E;^ktt z3~PGpVxs(X!hc;+I}P zLvKh<^WgVIQnX~j@5}qHsmX>hmT!4^8J`OOYpmNRCmov^A(J>w*h^o5!rZx*Cj&v) z=6_oMZ{1I`LF)jkKU{vhow8a%;;Il}^0X8$d#!n!)u2^`I6tdO%Hw#KJD2dDP;!lz zm{2-j#Xz$DkFwlpJe-;<7qw(HMj{WIa(eD>z_H$O*%}WRP7W26JyE;A8nY z@!p632vh;ok6OovwE&b|(T)<}Dakk3lhweniX*8#4sE3;xdvZjJxEsm#Y+qrvasyF z^)YG_+urgqdSBY~hxw=k+Eqv=J8Ab*u{poA2iBE)SfB)&fU@*~yS81V*&QCP;S{ez zR#ZSPnG7Kbv0rl$N6%vcG8hjGS1sDgQK*J`n1q%y9^2ot~4GU;I-NY7tZf3zyN zt%N!6ov{r*8@MPpUDZ1Ey9iO~MplKrc zvb8z5=3VK4ab>;?xd0$q(8RkNg>kf9 zZ9&l&RSRp4cV2OCL9Bp)WR5N@Q0}(|vTL(@6QnH>(l8S8H6&vB;C8|Fv1NVb(!X)P ze)NNqCyK6>W~z`_b}IOi)cVuQxr8Lds|w`If?2g!Ghp;xWmvbLnjSz_%jFSj0fm-t zKZtzHKS^l%x0XnKF2Jw+W1-rx;WKa}bIPRtr1{UGjV$G!>(nhWz*# zseO`d=qcbe`>Su-(Nurnq%%l)f`+@@U5PQ82;HHc*3N)}w0Qc~v{qsU*dn8F=FI7zyhem`RFa8z>4fsWJM}*df zUaG<(&zjC$&-7|=^~MAntNns7Aq{Dz30Bi51ok`-8cFCpD1P_jWcXJ0T0t9bHQeM% zDBelzSmRcxDSX1MGn6VU6W!m5d(^Oh(RCLONYmbM=tb3 zmW=bNsptgb;-`HFlFR$M^zaUKgcrnp4rZlPjn5TjV;>M_*)N}Fw|+)*cN z{g##XAdU>QI;9RWeV7H|BXsvYq!A#7*MjC*Xiw__eOA&9#S;5Fp9D_Je*~qK3~i#do)mp z4&Vr8lprdKk^fVZWb<7dX0+Pu-2+x5e^M}!Pt;~xi|TfR zGgZ-)6Nh>oil=!5SO8S@(;mZV)Str9h&ASsFDAAWP8=?Np=Fc+p~33-^D&X^t2;?O z>q+@WLx{d%eSnocR+>UZsC(c9T}0%HC7_>c{@f}#kA6X$criDrnaz!bEX_RnAxjO^ zc{PC>QicnhkQ)TJaDLdchpZ^p2Wa|aK4Z>Hj*QAPPaPS^sEUrj>*r3*G6N(AQ z`tr{=eQZ#Wbzd;4Npw)CMvQ^Ef`A0cU_;imd+$~t9?Y2blHqj*k3|TxyHr8Dd6qWk zxXzJ8PUzZp_#+avX1z7gq_ zZhkG1K%;WyoHqsz&eHD(yTahi*A>>zib@56v3o5iszQqwRk(N{ChzD}*SHuEK9N-g zzXR0(U}@9oHL;P8Vz8v}A)ULYA;}XeBx@BgU$e+4@Org7tViYH!fFzqOz=5*xtfKn z$!L_u$(pg_Jw|_3qyh_MqC%t#BimppNP^x#6VgW3nylw#vgOB{xNu*(%kVJvaVj&y zHDJChy{b2F-kp0Q0&L?f{T*4ahmR^QxuIpw^i0sbNXaX^N-h$_^mVc}UuzVUSNTGj z?tQ{Svhfq;i|}zZ>R@66CfW)S)u?- zhc9WDJuS!YP8@s+7yMuY<1W;+Ma=0L!592NzlB6Vy?nH_1sO^qxaqcoWYRtEM;_2quJ=RjpyUhEq$ZH;y^|nk><5eb{dh$hj(VY!o2U(3 z#glcCzMf68{Gf@2=`)@@B}LI_1JGx+HK8Pn&dQ)k+UMQ6-`s+1SY`f!DF|tUio`^G ziONC5_#C?#yNNjGxj(3;$2tKYmv*>27vg&1Ibdqh5+Jv!!5u9F5ueL8MB>HOQ4JtN z6q>kXyR{7_s5;KQsWs)D%3k2D$tDDXNTg^O_$VAD+_xj&;ZY&&izfH$bzb?`Iz(_U z{?SXt@DJax@(Y>?ed}0@ZRPR`iDtd~oDF2Gw?yA3ViU$3$Ex$i)ohoS1%PUyiong2 zJSg(C3}AyHaAg2}fOZP8Orfn8h=-r4#B{x5UnE0L(QZeXCgnM zJ*n@ntmMy&F*}(XHxn;DzxZ7`_2~ZJ?vgkIVN;6xy{oc+yh^SJw)M@{&S1 z(=>WAv&X9vFi*3*p$%DZ6rcg|WnG zLM;PYK&6K!AJFK|=BR;;DZrfd9ozYW)iZ#_!n$oakwBXnUO5~I!@C$?CmLpIA9neg z%Sb@l(E0pgQj8N=EhdVv`RHlinMv5N$DY?S@ORFXc0IG3ABQ$~nH7ZT zfHM=O0YYcU(*`j-|H2*-DLI33aa8*l{-eRe+Y|Tng(PvFAr6F^hV;x#A?GuW33Ct2 zvcD18AvJzYz7L&Z^yFXF+tzb*9}^~jou~V+OR_srf9vbtRqDJ>gi(426$w+4?PU28 z7rl|SUKM$ItAy$IFQEAou7LNhVQFTUBu0%D^mX3w#!OAVYTL}uNdkT->ET=eMl5EQ zV$Q;S5VZmWf0WVl-0-I)f|FdLQKknR>a&PD9SMmrf{t^XY)p76MTqWadTqGL%MADHXuijh^Zj; z%ieg=Bm5%%na1bRbt{4hA*2M!_*lgGK{mn61E(*p5`$)VF&)mY(JJ2i3d+8fh^zBB z4Bn)tjMkQjQ)l4zq8$eR_9?JwJC_xa6k`$25#U0thJz38{sJ-uHz47#Tpn9VUGI#@ zd@h{aHt6ntex5GFR?k0|A&J`G00)p=rrK7b0oRS{=Y{KIxH>^Xcspn3aXPi>?EQX) z({bLNPAD|^kCH(Kk##DnHymty>T5oPUK8$WuZ-u;^)N5AyV=ZPvej8axNf-OMVn~L zVis}SozHZiBJ5$|N?*;tnyncsg7qH#bEP}^$q9Lc0Zd8LK{|iB<&`e^+vjb;?=CP& zD~D zAj}H2?t-3Nlqr5JV9q~l*b#`>$!*5~s#GP9y$_*62J1We(p&4_L(c-}MxPM?#U?pU zWh7TyCFOE?qH=)DoW}FEXd4R+|0G<(&EtKA!L!&lXIUx_I*r@ascI$+8uU%kTwEB& zqNg@dC3EM-E-XnT`9n`jE2C6a)r&|RAsQ(vcs!@k6$@9ch)0(oRaC^u4VvQi-T)Fp zjWDmPl?vr}r~1sxwfgU7oq>4hf_a21kK(ou>q8T1#xNlqEZk=@AHh7VgYT@_Ou+tt z6P4#Mi2X9&DVjokcXDXTt1$Xmz} zat$F1qAoJWgfmLTSC@F%!O8xha}T#5SP{ecQTrw%ow@fO z0pcr`1S0|g7ix8xqIm8^_QHSC40^{<;|Co#E4W=?h7TlRZ)r; z-@)FDRLCT4wna1(M}FM309oKVWk9$|_+Q>uG6Rz@C_eghqJV;U&O0_bfMuLypF3x<7CXp$5JK2H(xJ8az(r%J1m<0ig9i58MmAd zlmx;3+^vF*X{4*;YtIY(S`uiX2-f~TuLInQ_nBOTzhkYP z_Zt{fO7f=82E$FQfzU~yG}~*d@#|G6RQG$g^0wdlj_qGGxdsR0``G`7sP_&_@_pZc zGfOQ8TDfxIsLWic{f~L19 z$jIUve}7}BolF(iY2p>K-s^SUP+pIsUQchOhg6@I#Al`b2ix{BV!zaLO;*Zc0S%Z2 zCI?uqKer4=cAeyBrJA=tCg5Ufz*b1b<&KS({$Qy zn%x@7>`oh;Rt>|kDb3g-?qvYK9GxVJcE8{dQ!M6Bcd-e&27Ed%=)xK{7F zQ|ashKp_KAp|2?uBCDGoPAp51A~p67<&iV{#^)x$gKOu#yu>oV8TF0PF)5B8x8b7*!fnULX_4xkzaJzB1lx#u3HYE^x8mC479lv+B&$#K} z;TCin5tOCfOcss!@>X%EkA3E?x+1u;JW!&Bdm|sOzv8C3ykO*aOHGtZK@Da5q%q;E z%zT~F8wZ55!^@}R1|NQla(bI(!?Hp*5csqu>0=zH7ior6%{%Up)m3iN@Ow1^VQ`Ea zvJbCq(>?OZQ8MBIP0M`DqK{Z|bAJ|WbRU#dihMomV_0j$?^+Tu@qm-c-tAt^s@=X@Ox=&mz} z!o7QydadcPb5<+>Q65n5#r^sgXSg^2c5T_*V)x3aPJ)AeOe_*6cfZe2f~=HT2n&%X zXXQ1ftpcvz3nRW)?WxP>^{X5xQ3*iix~u)XhyMN?#n@h6T1vLUsq9CCd|uoy*yC+2 z>58|*6e7AX(G7dptjFYoWI}w z(GioZvcSiQo9daW4A?=YKBS^F6Gz1ABnc0EL5ur7SV>lWM7hjm-)LCZ!@U5H&@4};5hCBK>7&_PudMHm5Z*^DD ze|cKS)Qg#8HyA#$`}o_B({_8|davSRmTW)H)Df6&)0)gk$H?vbg9U(})`*0cSyn{Q z^Cs!6hk?m_0F@Wf&0dA43k&Lwsyj$v7PFj?XY1waT9`N2aS(t<3$lsojYOih(j3Kh zz+f;4A&_8Ub#TMl>hLEf9&JDfHg#kpy9&N`%imF~(7(~ak6q#3y|F&I=ZH!|F^{P- z+ymAeEfxE)p~uEWml&8lw{IN26<-x6_h!6Ppd4y_@d79!C>G$)MSKngiuh(6jgC!= zKr~jG{jGkQEt;yw6W?3}r|t|%-x`6Jun>h`K|^>NZUMP7=bx3lELwdG-H*@nW+<_n zEE}iEVDyWxxizBc`4!2_H_c4g^8ZkBUoMYKv)zcB&U_)GSCrz2?Hv>-fsb!(P^@>Y zMdDTy2g-}rf0ET}|B+l)qH`TeMDIu28EtzRI=WP0Q7+FC56o7(pmVg* zSnb4eoBVt8?qegs|3YlkotozdlZH2X&rKQLpYQ*^?sR=$AVYXQPdepg!c$Kpk^Gnp z&9+KapSg%U`f2z+uY2pg9!WP2-OX5<+4x-57~L)ojlh~!t5Mq#$V<|}Bh&aWQJh(p zYKlbmQ)jW+1jyR+!x?}iH9Ld)pzR%zjr7R+%E!SEH1$B{O@9T#^j`h)xL2k!+UfpD zw9pannrOAXO{Mv*K#T`i@!IiWjf859mY!eJ(KS5ezcBtlbFX8E1-|;CzVb!Nq3KA3 zplS1jNW;rt3Z;T3_ z_KjA<)JFK1RNK+2Zr8j--v``WaZyQurOP0G{6}?{@{v=}*eoiAl$zTby}H7pBX-ac za@vvc(x_AWOFRAhNUrFNWGsYX#iOz&fgYCzagpooG10?qVPzIx+WNluATtDhLrW(d zVQ?ry4xuv`jiL;$++7z?2*uLYE^@We#G#r8s3+f-k zd4+qpf}61)F1uRpr>MyDS7e4OoWDp&YIS#zf-XCdfJwvx0-EeT1)}vEK5@nL6f*ippJ@4Ebz> zFLR~YyjUT5TC=iI#g=;bc9jQockA~acnT(yTg^Ec*TkqdHzGXQ-xr5|QdKzJiUROoXBkdU66)4ul5xKzWdy(p@wPLKOV_Dq zqH0G+g7C@n8>BtD`ScR`d@E_EEXjmpv|5rhfmq$9ZQ`<^rYAjUFE&CSp&k+uxzjab zgjD-fNgpWD*Bcd$FbzoiLieYucq&H#dmM?}s4l;DzxYwpbGRbeb!$V;UI)v>Tga%a z50QWM1~Pyzy2+WSv#oIv5mrkBc}l60Kuk2fP=0^S{qeR?@nbRpxlz~f|B{72884s} zxDr!^lRJUAm^FdZi?^~fy$Q*iD};$@Fxj$25P&EfTaVLt;MC$PhU781|Vm=<}dc9P3{plCScG{ z9+yA)E3(Sy4$n8@9J_t3oDft=AaI?PbfZL|6x59$xL<1Y+iYJPswSjhbR%dNJpseg zNps(}3^~Hvl51yMB{8j#lX_AH6}5eNgo^wdE091ycbbCR`}-SZKN&B%aZgrS!8^O3 z$*I4rBBLh-xM@}6oHLX7R!`jwXc$M=@jvDpsBlNsP6)3m=IxkXzCjj-h5$pQB4warsFxBMxfM%Z$v`eC`tMoGNvz@{nH46nKv3(cxV#CeIxlmd(f-i z>3(*?Sf*xv#)sRTse&*TzD()-vlaI@KvgW65t0pEr4AZdmwhPl?Z{RT2Gfvjbj+Au zUvxZ|a@(%{`NtM`ZzOaQ90RxmK7D=RK}?bb^*rhYs9&81tLu{M!X~XcY&5Ze_vsto zHl8S_5{i!A0Kp!asg3;=@Xfe0lW&_2pqybtu3Um`^#D!-^g>cDp7&>sE*m)@ig6ZN z>wmJI=A~=^TzqzyTU(|wXYR~s2k3^%J$4zx@b<+-0#36b6OInKhem8ywNs{V+~{0# zfl^>s*vsL3Em=H51C|99Zalz~YHPRJg)~sLC&M2ATq7bc6@6`SzR8^9;U$}~{M<$B zBUKr7O!f_R3f18r=Os4*PAD4}+2z`sLLt>h$S5b$N}0Zn{alK zID5^tBPWa4S*+Fv)QL4sVVB zhjA7W_xsQqs(XQJs@)OJrkk~{`5zYxSDdbAGw$nf2c7g;7pYVihiwvVRbS+XOBaAF;NFn7JZrIzb5eP`59T+@iN!P)$Zo@ z8-A*a7d;M5WQF@TVK-}lsK=a5=+f(I>6#JUiND#qJXgk4zahUrRX8@DZ|&euN-PGk zJ3MVW=)v@HY;0+ox%dbQRtlKx#u-X7>nO+5Z{i-ujeDVUD5F0WWE4rhAW%m+(SJ18 zF&Ju!HDsE4NzL^?3ih+`{tP3I+S}bFFCcAO2^5;J! zrp(nUY?@m@^FJ)cLO#SGta6|J>C68QOw~M8`VSBF*7PD)2DDN#-(K~lv6!61Gb-lt*UNAQh=%x+I31l*6%OX>FH z`}yI_)-<9h%kn;vaC(P>PeJp-&c*+~X<305k={E<>Fi671l|z7`;W{i0H0l6NIf4L zS6Gu#c~yH2r1t4NZ;egEnDec`h?@NO5!m!djuZJ7zirdv3=)tdU)pfYW*3ah=1>j6 zP;JugmM2hC>zF~0!>1cq7^ReJNk-s@UC0-wTG-cG&48tSGPlsHwr~2ph*lKk)AV-B&BxCH=`$ef8atRpI?*n!@xuk z`sbbwXO=n?R(~9VB^&e}OCSGd-s|OEW_WSm*}k&-%8ix1oBwGw<`R|Hb-v2CBAUTw zve}vp4}BP{LFI1WYusW%+6EiuWsD4Xe~p5j`1}>ZlHAv#Z|eWP9wSC^8Z5n!-jTa6 z-jXR2(1|dR2yMk`FT~z3;KK-A2z%XrmH8~uy;JM(Z%h+pvBlY` z|Io-i_l?Nldm12`u@qDEW)E$s@>AWys*;?9K$DGZ(6;YEd6mZA?wl$jYw3G_L}!t? zPZOqC({Je|uuaPi^+;qKIUd>{PQK|H-yqLt)?Gi(n=EW`^hkL|WI$6UMoo*0?{x)` zZbiju7>^d=cty55&HDO|N<{Bgh~#m|o0A}SU%9G-*3*njdsF7h|7IClmqcp$bN<6T zPtD&Pqdrc6|KV;OiUye;_U-2V$)&4i>eMPRhE)BlfQ!Jwi|+(~^N26>@}zl%b!sFV zW2DEtJ75FU1=k|BYr&Hu#$;=eOw~irWQEs9UaBw)$6`G@gN6n3oALBqjZh3ot9 z{xsEX7L#xpL}GB?7>RwlzD1hMj#e3T7s$u=Ip5q4C7>Rfnk>1kcApit>Oo8j;*<=YO-H9r17c^l&8D194y5UH&n#2NL%lVtf{@7==D-o4U$ zl6Eaw`B%c70)alEo7=8Sf%~i3T^eN55woF+)>KrC(M3$|r@a09j~_jFGhmf=M^?o9 z^NP!l0J5y0T~t*|)@JG?^GHuQr?A`MI^_i7Gjk{R9T6c`8Pl5&P0wiksbBsQwpuqf zo5lV+AwI@faUe?(UDc``oDy=QhpZm?F3NAijLMi zP}OQezD}2J{>rsT>#tE`Q`KxA!-r^ArNxx23Pmd3`k6@d;ujhh_HstnS zD{^G(({!HmiztiS#q&mwn!;u$#q$E~aSe0^ZLA|q3D?dLm*$b`M+EG5yx@AoKGGAfh8F}WA3+;asg zb^gDc9aZGALKMbU7u_H8qJ7uj~DkH$N^(Gh}Wec!xPxg zLlQnJ2|LYME=u2%Z1|Wk!p#fh)-uXa|9m=*n`Vsr0sUCq_{5^kl8vZ|s(7UMAQKiM*&` z^YZ^u+jswK5j)RL@ZDE?FYxUF4~B#{YHG=;%V}}uSzlu^7!tepQ|N^<)YVWS`(!V^ zY54zz85GR6S-jAer0HMeAt=w?zeiMOyy)Kww0`M};eDf1%V(~)6PPa|v&0TYrcKy^ zmL~8vK62FWarsO!cQOhIo!~dEcJx*b;Hy7dW*A8hRsdH^vj&Pf9_|Nq6Z~@F zPZZRH7_x>$7i$S@JF+hSOC0Ppn6C|N%^sNuX!^Swc;%RWAWK&cUXDhTt%rAxF>>c; zc>uxv5qzN=%STbbp~J)FUws#4R`K0Co~jd3!66>)n#V|x$}x$?>&Icgt!0>h-oMD= zl>8do@9D44fSqHBHy0>M?1Fy6M?_>IJOn4f)OTd~+IYMo6VcCzUhokK)QE+Imb z;7|<`^CXz{oAZtpZu07S7qXc7ASFmR%&R_Of6kP=oQ|PH$<@hrqEnAv>IqujfOH`5 z2$Mq1(ljtDGA8;8Zngv?Pv3Ek^p!J?vYM#UZ@ZJ)@_o*;FhO}Bvj0bM>GouFq0ep_ z=6&O3E%zjNP>#&_Iq2OLH_P(Kst6|=?^tr?$T$e|YFkHW!2gJkEI$1mdZg*Y{_+*+ zE4MTGNU4JUjfF(Oid~%H5gX=uFPS=J^K>;0y!{91wZHCI(ihX`mxW!m3edQePd9lcq6sfA=pJOEfv0L;Chx;E6NZNgMG3(5IQ7n>sO+wiJ4Z_{!~8!; zS?>FBASo|*ZPZNTBB%J_FU$S`rjJR-6z^VE3MxmrR)E@B^(Y#8VFs&th6a>Hx43nP zc0F^Z-mXJrFX366$H`xo;}!q9^f(Xf)CYX4_$u8td(B+CSCG23YLC?GIwfu%_pi{X zdUyYdl~9LAqPyYwe3h23fRvw6}weSDnNm!nv0aW(e#Vb5)H5hw0PJbbOuVImu| z!Y=aQI@b(ASJ*qy#hofArO7?oKK55fNB}vGexYjSQkE!wS42ve7g*Dq!V5~j9flW9 zR$TmcMCN>(hFeFG-v8shA^-8-bI3}qye;BIGcP?mr%^ir?`!mNh{Iohb{jQa;JA(Q zl^z@++z}zR?zcWU-qW@88+klEfhcw*K>m?w%%F3~zfAzHr*&@MrO;jIJAVKCoWiNz zKTW)DLO(M`{}_iJ;@?!}X8JC@IgPz22EkqnjUy|S+1bV@3vygxn@JYhk`EFZF$BIX zk0{8tN>!+o|6*V1tyWr{`t&1No=5%`O@MRqApPGn>6C^T!t{VH7$JJ9_utTSlxEeC zto!mH*LuT-v}@R`be7I#c6(%H0sxm&?EQ!Sc;u1+DZGhH!h=_F4_5W<6Qp|};7}-t3$>{8#tXSk}q!YB}E6RXVMdn+>ZoiC`at0>fVFoJbZ{+_VPzvP0lpRO1*nF>c zr^ND?$4B<;AfMIvE;_F~tDRXMcz!VWOTN`JMRrSgGYdWfZ`YZ3wB(SU_8sJKnK#iC z6aGehv;XWO<07L;Kob=?Q1fIpL+^5BQZ7AdZ>YDfYxapkW{)xEerAhfqX7Q1z4$sH zExHitE_p9hm~6u6h7TB@qv?~1fRpWchVO4`xx5b{-RK>~!4t(+4U!4>x-nDbd z9g#;rmx#FQKp$s9E@~PVJw0)t`MP}P=7=b}!I-0s}?#Z*UkgxN1w8q~`%hkYzWRMmhg@)9p>LV(m9?X3f+@Y@E9&=cWE5wV)z zv5e)g=+}6?p8&x9?X-`HNY6WI8=B9U7X97!v3P~LPL3qz3*vy{jR=Q}nvo#8G$+`| zj0)-TI=OU*PNok!;2%Cmge}@G=jO^+2YJ@PQ%&x_8xRJ`5sSdKZ9eeKsP^&W%y1wl@Pg;&{ZVciZF&+Z!Wj41PiyA4by>Hy|9q~Y=JWCx z6;RSn#&&&HkQ+J?ZM(V`z>?UXVS*|FnDFY4wql_l4@?ieRKs6PWhPV;Wa_MpZ=tL{ z8-q1v8g4UaEeVzG4&Ai8jWu`jXLw)&t?W0q0qq9qG)XrKjGun@A|16AlEdpt!Be#* z=YckBQg1lh4|dWoa7YR|xxBZC+<)?g-5dB~zj{N$|4l5J_D}d? zjE7cW8X0WX^!L|z4{cUsiK3h`reV&t`pb_U$~S2<>N55sL9tz9kbFhk+k1f_1n|85 zi+6t}^O1$*Ed7bZeDS4Hd2+k3$nL7iwNw3;VEVdPg(4fK9ogVSJ1#YXxu#6%XKA0} zmcMwhd_Y5%(5*?!YxwJU533sD;~Ho9D$cia1siwzWzRbS`Db0(a_NY34#C=z8dP&O z`};q(dI}=4lhF3LnC;NvkoRZImiWn97(++a1-_fM-R)|k-aaq&&XQj+g+3U3Tq^CBM@T!* zZPlNmQ1O*iI{UY!%avaXGFf9Bl<-6QlIx_+!Ael0yJJ1IIRlYu<@bHIN^=5*`6W$? zm~>NT|6H`+k`IyfUJI_Y+JWfWiKqn!=uQXpuZknhikA+>#vC344;!a|#Xw(Ph_CX_ z_Ayd0g_p&a=&iXQCyJE+@3Hz)_Wr3FDdS5}9$dHoe=7_rXnS5113+=>k}@PvP2=FA zbr9#StbNq3cz>X0_Ta}w9*a$JSnNBRgS+&WX)>XN8DIg36xW@GNP=-34*~LzZM2)VI+VRAU5Qn9g67213Gf*WlsEY|dY zb)k+sEo@xWB4q5qdJcVFX;>rVDW+uj{$|{eV)Z86p}O;h9idEv#C3c-a31HlVsg#G zh=3aywk?KcFSOBIMjR3YxI#{9tnb@X+?CJNV9Ww|C?4MgY1FTot>~Bx^^#o;go|(= zP~5wxKBEWn6Qvh7jvv)N;?;z^7JlBYy{lBgL)x+n>l|b5_uEVoj~_!QW0oMmaM8Q4 z*fU`@NhwG<`ZDeLyP&E5xV!s0_3j!5p?gZz9NQPq$H+JM*3>^ovv6Cv`F5V<4zX8u zeh`++&W}+;njOjpDR8|SSW2XghHSD^d57O~nJ;#G$^>(5nU+z`M=(iw@Z^dDAY02n zwPvn&Hrd}!DL(-n8fz>k4)k}wRQwOw=s(Vyya{XIA1wEnX#;IH@J zb#bpQk3zDq-4mK;li6uy>6e3M*tu#2!&F7qlEWmw0U&WbF(rCq2?=SnB1Y%f>IDvO z_uw*M(J*lVA=cOCDL&ceEyi%}Ik$j2f&1|iWnA~gU58@0U$Iu6to>>N2>Ac10`ekp zAA2J=7il11U3u{=Oz(Sd@G1FSX#)9k9RGbL4wfNxN`+k6l^6ph=6xc+lx1~$8(;fg z8&g}TNp!Z=A!+H|1PWxh)@b}z^L_dX+uq$efRW5cs_biBq%jD^!V=q-#kHjQ-OW|& zB}Z1)tA(PX6;Bj9Wxp$&(63%VbLGwjHQv{*1|8KqPlnx6Pe<~DfcwkU>HW6Q*fi&1 zTv|F&=Ncq-ttg>-Az~cTaFAD{ZWZ$|>j!a3xR0)A5VWojFFK0oJ1KW89C&JXzW0RV z!>OlY{J`=JgL79+Uol*NSnn8Ac>Cgs#ohIQ6nTp$3n6FP*}>q*xk`so4wQ@L`=g7L zeLKbU?4~&RbQ@)k9zK7nd0x2Kcj_A9|27+A#_T;Z6^}pVov(F!e$=yXC*L6NUhvHU zlvdma(HToaF#J{RdKwA9l(f8fu;_(VwMm^L^;Nx8MKD+$qn!9{>l&&ByQ;2V1BG8|BY3DI_GG0!UZ3hYHet7r$Nv1MnU?V+U>5 zn$pjLLyy>90DdP(`M!wOOJ|`&USuFqelntxKl5DXS8Uz)`h+g>DiC9U6uOZ_LKbk| z7_%!{BA*{g#NZckx7YB)eK^vOz^v)PV6eUMZVc?>&H;4W1oPMlaRxaU4#P437xdu8 z>#W#$Oas9tgc~(JLFDZtm|5|Cg-$&@gol`_e&{7Wld3M2gEGIg)JQ#+5X%!#*`F>a z=dS5@NFL{l_UcR6)$xr%emwy#@N>U^%GCq)mCyCQE5LW{yYYUjLr6#J+lEFDZTtU) zDj?)uK_i{gn|e_q>-3jY1j-|-57~%-5iq)yvEK$MnNOOFzaDw4F@sxU#)M(SV{v>6i2#0+Ujuw?^p0y7H`xZZW{Af51nEahdZSu-5zJ=zEd8e*jMh+pu<= zr|4d@L(Yso_wPq*B1s$g|0V?g--N-`gdTKE^=*@R@;eaG(=`$D;>VIL?!*8s^5Q4Y zqryaw+kb7;a+S1P6B!&o+j*@pmgU-Do#)iDRjBZ;2nocInbZh`O^?;~T`>@9r)b}T zGU;-?D)&|+DNpXmtUvgel)CfuEous-`S@CJR=j(}V>prIMg+|49YdKWKsncjl>*)0 zRgnTq-UpMEW0WAK->N~<_JMO1(wh;=U$2PGhx>%|Lu;!DH>%W`AB~;3qqBFnZ zA(fT#H$xbOJFDWm5k9MyRiy~M=?iT#HnTKSYx4lgziC;_TaxBrw$Q&^ID|x9Gb?7_4^_}X%BWJ9p z?d>K<6Zf6X3xhVfk&D2Wu$=VozfuGuJ!4=@K}KnM2Mom9;_&^ELkHgBm+K`^v){pO zh|L?j^U45Z?RYX(HPzBeTiH^C%3uE$_eOoKq7GMr+UD(UvEaiGTjFPSH5mWFB&qZA z5s*gQLGu(ERWH{UkT5%`mqcdUz3Zr_1^V;3QAEp}4wCaA>GR?&KLvA&xnkp=iZ0V88EcF*z(sf7rB zvAVI)YUtgaH$WstZO>IeQx_K~gahMv|!1AV4}A&9*}2RXDo*X=E2 z6W2rKLhAho3Nuc61f%J=MLl*4=>y{Hp`ugue^=x!0SDAW_PLi7bbHs{R#7xoX|Za= z{r1>X$nbM-12j(RtHnSKDZCM(z>1)mGHA%RH`;*pUd(YwHkQQVt1vKOx?>O_QYh`= zesr0bJbn9VLddCr;xk(In1clhx(onP7Epmk1L>t|X}gWeab`iDLrVCeeaoAp-9h%w{5j`$dmD)=uH zyjUgL%(L`Zo(UyKcLcmdA;*^D+qx3k7!E=NU-5nHt1AMmv} z4dH8D=Ou~p-h1tn*Nej}{3~hae0n2ua(`BkdkKJV?e?E1tE)m+)`-lk4)Q~oi0!Al znP#Wr+*eDM-TUV{g>295*SM&<7&?Db(oJ;~nsmiB#A!U);7LH-p7%KNZlllk1y9?# z-#zY_i9mbfpH)@+UXPe52)3tcKk@Zj^G_mN39!2Ueen+wph}wN znY<#$Spc?7pg)PV@m;PoymDq?25$J)+Ge}>R``~`Cy7dt2S5nO76g`ZW}iQBhSJ1( zVUfgR;3QLfhj-$%Yh$?XBDfcBi0J8pqa^3NM}66KiPD5%YI~ZeYCzkkRsq_vm$8zU z0g*)_$02(GM&2a4ld^XpxOSY#px}C|@Icd}6A^Q%;BzTO1vM;yu*-7X0fpg{Sy8S@(UBv`mggVMGtQ0g&U^`sT%>ssODHDZ5Hl}V93#X5KM}Rcvi2l z1lojDXvxNZYBjgHby(v}r(>q#AKJjU8D6TNR828%|L4oVd1LqUtUQ zARwOsRTlMwT#VJpHgCfXN$|qU^My-gWhCvT`{nHOY#<{5St>39|B&9`-RWZVtW0ZZ<@F%uiemBewwAXJS>&!@>YtBfB;wys1s9LdM zKeEM>mufo}Z`*?XjWB}qo8R@Wxg-}`fwG}(vLS79W1AT5hDkLh$kUd`6J#o}jnZ9W z@j4G&Ea7C+mU&p5k^{k}#}?ca#x0}w=X4OdV3vg}^#q%2xcaS+^auUP@*7zktjD`c z?4aDR5?GAWk7>C%w`Exay<_l&`tzmXUf&PWSd6A?#`bFQpih7?4Y@lh_2Jt`?en3q zHT=Gd+d)u*pV~6Q;>mX?=kAj>?mP9Nhe{^D8$)olUu>KsC@9^JK7>dU0-0h^=|xQ7 zv+Fn7)6V=qO`V#Ew8y9*W~NtS9e`}mAt@MgG&uYp?h=>M*3zgi$-&a~PAiYtaJY)r zJBVk(e|)oDGgVAqS)u+Fus^(i-Y*5Su-3N_J(5=kOlYkg!x(Xmzun8_^2&A1^eR_s zXU$Odzt)B^($z3x*3R1TaYmW4nI(OC!y<=SQwHvIB?T@PeF>{+#i^!!OFErM7gSt@ zk4zV&kqQrk49=Vuj>iwLk%sq!G`DJIlVXh~3!Z)q(V1$QgxYXG@^_YrMi)j=VR%^)7WBf@Z#f{fWEdl z@pMt7`a@K)6=Q^6io>lf1p(x9;J2{A;F_KB?fcU`lT*fdqp#QSPQ7OtpP&xVwgK=l z)qWc{m;fM-WWFj9qPm1rzqJ?+BX3|_BMoSZBkG-*>Y%d$;e9-aB6SD;_1-ek6i^l= z+q~&qHU8@}#XDyky3KP&P+@>Bx=LN*8>S=9YbT)H?W%8b*JTAksb}kANF8IWR>j)P zr}hl6h$_|o{n%k?=>})%bjaS1#}{3sG{V|ZQ*bPL1r*SXu+U4gJqkGS4{W%BqD2SS z_F$_?{q*jF&$;DTsqm<4oJmT`x{LG!t1I$ zvNSm3ONv zgwdx{GI_xi;b+ewcz-HN&MK1?9Trz(;^sAX%Yr|+rrt~#O-VfEZ>dR2te0=t3IdK; z(Z(yXUeU?k3+fg0%|`h?KdSZk&A|1?B{wLE1YdzBeJ*b)k+{< z3ghw`5^E!*(e<;N$wUiUnL`@%#~chX(7;sax7uz{Tu?FC10x+6K({Ra)-9FXC7VFA z=8!sB6ne^3R-v!IgZ+Y1GkYt*;0rH9YmbCFWjE*?P_$kJ{?@yMyEJZ0FGB%IMSq-B zxP5$P=^CWoO+lG)UG@Vv2v){8X||KRht?dA=)7JbgG_p1dm(L>w$!8p688q>oHHMo z{O$O$wXh+&PaT!Z(vyT2eM`zmjwkP_1|v*Pt%p$UuuIcq^d;1nh{W}*Bh->{0b%ay z38AA$b-_D7r}()DDg9-ub@TL4a?5P2 zPz+YA`^|#BePArG!2d%-P+_kp6O}@dYnR!*)ecavb5I2~@Xl#S#6<^=?c$0FLl-yi z0oUQE^+U0c4Wven7?u$b0#OK9_!=-CXJw4g_E$)t{rU;K{o#yqck*sbh_w#o+p`3` zp$u~0oAt~ZO6y4a88P@qy3?)yZH!-ckZyO2P~I_y!920!F$-0bSea1vyLlh`@CKjm zLFhptP0QmhZy1}ixBOIe02uie8DEvYqdB|YZiv>|@^TAX`K4Q?)f=exiKM%g0}K%R zK|P{Zs9;(h>U!`2g*O=DU*8A-7r`wgZ$aMg6(%dW4(zZ!C+=^&RxS?Ld9qm6`&S2L z0(PITRcz0sYgx!TKPJr}iBn|WS@#f?*X&r*yBt$tdn7L;p+I8=ZrU0~ocUEJ1O;f* z$?a2|chFwqU>t8{u8`y6;N!5%bx;>PLGP6RZC19d%l@i~rH$xERB1A6s4~!z;a@9f zhZMYhuB@QQzJN?FNeWBNA0Z`)0#2)oxe7O+woN zNi9%@u9;E_wkEh4qw`hZqW0Bhwln|GVjUazl%lq97Cvza%NG0uY;$oN_LO7DVHnF; ztN!Z2OjZSqb7((el5EIX6X7POB^Ph)=_@h{Ax$iaH}T@GOyaEK%y*tUQWS_08P+mG%`l)cp4OQ-b^wyPlT7hJ>z_#ki zm7+fPZ>@D!4*tZfur8S~R&6R=9|V`B0mT&7^BN?J*A(4E4J;S|_`p^(TP|D#8-ETl z_R&>EaW~5HoargT8uoG1TG$lo(7mo?&bL)reJdVE7pE#lc}bLtWtT16%e*)}u9$Cl z7T)sZkXnz!yQVeJ>#tdRIrWAuH=iC6yu(a#_b5%hENEAbed{tYpW#j>UA!G!CW3dI z^)`de$Ii%Y3w;!NWQ#!HZpAG2&+7O$sIuNL5w*)7;;Yq_!cR!9|Q@Kap0{rQ&~Hsw)_HZ<4sWp^dibp+0r{o{NB7wCkqWx9Lk z;xhjF)!Fjd`Api^9Lxp(rAikjKG1SY2h6=qDp<1Xcf~1jxYQh_(=~0il{K*8*gCi| zvFU*MoiKgUmHmk^Pkk!^K?#1*EA0XBZu7usosGUypZVn3^JN4x%ND@rHVta!m&X7wS=9TT(v#wV9El^9a#S4S0=ESDuakx_IixfrQ4NzuR*U@i9WX7jm~9D`lCWVu%eF zH6%yn6{VSX9zuYaKPODC$%rLG)(O|bh7OrfZlBxInC{KkV6%b#z-JDsxG0`?bGS@ahV++2V3yUw2;r~jn(Ni)Owy##9uG!+}a1m<#ogW>vxN2VgN zuHJ9yq|gwx@CZK|tW1#i*9QqK__(l(eN@5lK1^k5GmDcbJ(VGL#tyxG2I0fLgeyI; zd7VyZE~nlt34B)6^t9JiV(-)LSiPt>dYSd?#xDr|kNKMhYX1)r#A$)MfWs4`raq*) zjb$@K2vI2X2Uu}5;2;L|V3G^~nF{THT*@yNy?vQf#(7$OJ?r z?Lw(D>{XLtOy>PO9nao*kTmbSqxner#X5vtg0d!>=}#ly7Zqcseoy+whdi3Pl#;(0 zBiL(X)^ql64$!e25ScSRrEJsC9_HA1{N;1W8~2N!io$5m6qTRMP@!6kC-+O?N{d7no1 z&WE#Xx!mNy)Uuzg_SB?IJ}m$QxQ;v|J%gV~-@5eM0uB@MnmLOv(P;mu!yWC26U+m| z-@kAO#!tAn=e&$)J$S|H`y^5n*;PKRAo}|mhMp)b(d?b?;|E=oClJ4MIG^ctS<)h` zF0O`Cf16YKmulTe3`N!k{$^o?6|_MfXSs$wZJe((i@BaPADn;ThMQF}~WT_~cE<`SYpS1LB*A6ISFPYA>_Zl50q=fLxruVYG>p?pM5% zUz_25E&@*G1a)~~p=4~&S^sXZE8t@_{gL6>p49o`&+XX zbIWnI82T)?1K)nwgnxQXL{G#B0KC)cpLhCYqSsxv;T3cz$^H&jnI~xFR6&kd4o$Ft zlGI9<#E#@HpuEVPpzGYvtN>GDcQ$^Mxd{RkoE}W^Z>bGnSTXzaDZ_-0l=Ykui2M)5 z$Czh|hKPwj4Q%Kxq$e>4y)Ya(*#R%uIkTvS>AdHt$LLI5e%9?%N=A=$d^JW9)q~Xq zvrIEDSekXRN-r1X=KXo#zWcy$B%NPT`ACW%S|NX6YMPWw>WL6T6!GdW`%HAi<*1AK zbqq=l$j+hURDoEt`E7|x2z51(A;{-y7* zURg>mPv0uB8iJXnGP>LUF?Sb`TpZ9Zsuh?DgaOW$RJVOEOui*dF`yn3|7 zQcube1-_pUv4u@z2E%1DZQ(2~ug=}p4doEEC-;Bg&H`;mZ=5ZTmy1Gi^#q1HEv<@Y zS(i3tA^Y?Bp+kA1zenz!;Hgr``T(0xcuT*$IU~6N5&|KKZ0|X-{2jTBzBae^M)ar% zEJwKwtG3;dyN{#b$+W9rEAx2Ey(ry|lC3J;<@NeZeRlMThgvxo(|I~0zR~cYTxT52b?RCBl&^XFW2@=n%nv2II9T& z>@cL}`~mgC`yvjON2JOfZ5R1aZfY))?0tebmquRPGX7BgY{lzJhb>#FG%| z-)%Yk-lieKRrEowHfuoGB^DK!Q$ z$^Gjgj8ffd{%g(EU0oZ>;j}Dio3D`yL2u^sG6d;w@bq|90oE|{F*Eo7AI8r8pXvYq z|3#>rk{rq@3CWov=b|V=5h<}DB&VFljIGEi=g1+4rAXy8=bSdnF{de~Ic%#O=CB#Y zhS_}gdVl_b@8xmXFWd8SdG7LjJ`VT$^?tkG?=fUE(gk<%+-RF|*7w4&pMf`Po`NyE z&uMB?GCaCaBJ?nTk*W!7?hW_!dlP+0qta;8XcsS+`l?eP3p}yeE$|LJVUfbU%}V_< zt<;UY*#3fa9z6#jed@k966bh?m8QG661Iu$VpuVucUx03#T?VtoQ5#%{!u0^Z(7tA zR-ZsReG7fN3|{_4H~W%I8gvnr1=>;(C;2>*h&_eEc_U_?zmq22j1K{+>NYFd3v#P;7x#qYpbNFOyi1Ui z(<2!ua>JWJGlN)|L#6I{XIS0-yo8aXrUw11^BlBI<=v88hDrb10okYZB14|%2%qUz zY95p$GzrK(vC%49(tWhT=>l^;Fza^Zw?+(llIPw!VWA_LDuv-)+WguuQjlfC>=wP? z=m6%eZ!a%ZGY0+eS~!?}8`^xlNw7Bgm~%cBaLhR-Z=IPgNBc0k<1g6Y?1PHFy5!*M zCdmbV_b@BzMl&P)yQHCN-W0eP=qs{Hmv+BwhL;xnD12|S=`-@ZEcT-N*s|%^8 z{uI(|v1NjCOK(?(HF>8v@Y(of`rxhpG0?)JLFep6BYDb~$Hfo-8F0Tvx!ehF9QV|8 zcW9_tZPXvoURWn6VV)CFHJXGFhFS6a)#Rdp14#WlXA@`ZrUamCLhX=o?XUEN-)S+L zyVugx^ST)NZhBp4h!lkfKi?mcE}tj1cmw`d>QkfP#_`k#w%~z~(8|CL{taHUTRyH_ z=L{7DQbAqK(Rk^D9+t4(Ch;0%LriNmZ}9S#!DRU139z5XXW6k&sP=ZRy_3jkq&T4% zJa$MiEGNTz!6~SzOt``0g1y$yjm-8r+nPqL`wz1d&dvXKyY1LW?4lNYYB8@Ve}kpd z)W_f|xaId2NGA2&!j}-}uPYtArv|rz`4@rqnXv{*sbVCMyTJ*a18}{K#AWA;yKUc$ z#IvHFa4gNwMKJiIxk=LVoZBp zI$Ap&gO=e5+ti=e?FAh4#2)^uNta>%a#MrlFLL=i_{NGjxjOh(I8~I5uRd;HZ9KQ| zT)rvLAY@0~>8x5g0(XP8>Lk6-+N1qxgLUb1Iu~Q##kly(9BmDJ?A@(C8eN2a&7HGz zmR1`L&1HD?KGcqU@#F2-GNGn_E#^=&{x5`r0P{t}6AFY2l(3@R0m4Ho0rK2zy_6oL?+stDM*f81=vc)^#n3 z)`>hbsnfY}T_D~IaCs1GP#M)f5<+v$E!QWDbf~W8&TJM{Xdga7%FZK+NB9`OIu&L) z^N)d2PADO2`o+VfYnh3%QirjIF!P1Iwr=jW=0v(FfmS6mqJ7k$OS%T|btE?j?Pvl`YCm>u zCP!Cy)1(GE20#mC4O6qv!5Q09?*iw7Un=RT%yjl$CZNV@LaFjylXEVe7zLa&1! zCtl+&JP-ID?usO&ud76mTUnj>QgvZ)D{k}4#8xK&;9Kmw_)n@c%ES*rJhzI6RaDC? ziRM+fTYC>i%>(e1UeqR52WA!zuOmPMj`+SPb?cb=bCuN<6&R{>gravBPu*YJiN^fe zGP0;0323`6=>WHabqrH{mW(2Bd=Np_k0}oA$b*2%nvd#IGkFntm zdt^-MB4j~!uHzzyoS2zf*f(|##*+i0JuTsaxoSxrh>8#k4J>bff4x| zi#qNUF+b5-8`|_s=*n8x5x)?I&Bi}RNK{H7x0&>Tpw>A5K^I*BMYy$|E4PDJ#UkxX z)k!x-mU~YY@{c@XIS{tCr@^wmV>#pnlarv^LTthFFOY`F_U~7<6 z6us^IFSU)Zqw$-=(ap9#_uf;I8}dDPPH;0{wMS#lc~I=cU{VlAp)@4BPb?+a<864ro}qN$~s4Q_N2%Wy~8a-YvQns_fl#cTEqsZtCkJWo}GY z?nBmG@e+*|6~^B#QSAK&9sf;q3W^x6Ww(D(W+kvhjT<`VovsSoifqN`=`9U!EN&nL zo$mp6{v4g*2o^Z|&;U?@{CKIxk}!5jeb&aAeUkX@t~ae09N(*e%+BRCt2{Uj1?bJCC&d1Nfd1vw7TkwA2>++HuAjE1H!o+h_iEj7qore z*MX$GiVV`t4o}-gU#8!e?fW}uvPF%RD2)~?K|c33Oy8O*5DYtgC|cGYIvC-)6D7CA zqttlLTTG|8R!1hrhzAl5RXB0jE9{*gNdk!bCNNZlXL!7af%E8TxdVqPfqWs1m58Rc-jA1xAj{kghY4D1 zNnJvTYOZHn98?a70 z^sN{=7>Xq@^`T4Et4)3h^#0^Mezt$Bm+R8{xjjmnbh6-{hhr*uheZQ=r4oW{_}crK z?xDrPgJenK#Wn{vOzq|?s7Jes6Zg%DdgcwPy%x=!z#+e#C&#z;&&CH_5{&9P)R3Os zoD*DqxTyE>+hA;a_K187Uy<8N#)$6QpH_EuOKhYon5hea4LM?4xkLcr>e=fuev8#d zNJn3$7X{N!XyI4Es@QuKX)uDnx8*m){Z*vd?)KDRIcl9;tKt8}_7R{Ln`mtG9&`M) zt=pRM%Z$`-n48`CvBe>$t4K;yMmeq`^Ufr_$*Ddgn#QbzdY59y&gOC7+@h5%fwrs~ zau-j1AI0F{o@()@{$8Ue@bso@9m~A9_1L66p9%V_@B)nMkRnf@N#dSQ7M`7I=SL%j(D$|1IRZj7(xAZqZyswmbxo;-4hnBY&2I_N1 z>L1A4xBl*Z*nIzqzr@g=L0$4tlLtUi=LSM14W#+Z!6$J(-<^f_ zY#MkxjzeK%(sNil-4hejkpqmCZKI9ZU%yLAO)xc$4Yx^I)m90Ov2b z`V_n0&oKH1OJn`6#XHUSCu0}VI!uS?M}L(YDNKAcC9>X#hZw0pkRKRpd-ex)f{!LN z`j>7@`+)R50YY{h$0En&KeT0F6^}7wYtv6Qr8;ncYntBd8-Nsa%n(&c*1~=lY73J7 zLd5ELD6pg*&L!H34N*#`bdm>#H$Lxv|C+v3C^VtZfsFv$(mMo0LoQMb$b?R)JeHA& zlf^oAdmV4$uPsO{DFk;TXhBFxE0P9d4ZE2m5ZRL^2YNj!Wk$MjmkYcWL#3Qa6 z;P?^5w<|N-U%9PqoF_D_4TSPl#ag@mDsbMeC#6P-=Nges_DhRYd=fN=!}69Gk?;ol zlY1{v9Dh9IhY3WVz34~YC4tujql>SMx5wkZ_DQnS<>q=*_0BBtlN0pxSCb$wCA$uU zv2+P1X%s6U3WQ7@+4EfL``LRYit*YUJ`|Fpnj#ZTeEP|wYFsL$F4h_pZ4)2FtD2%i zWBe%B4ZYI~x*~ab@o`sSfTH`2?dCfZn`H3#~P9X1y@(7 zsz8|wprnR(HDh7cEUDkokC%}aq~w?)OOjO#n)QYby@?1(^mM+yv`CKELuoGQqYf#Q z{TkKt>QM7qip!lp21~-vOh#|eIjAiL8Vv>-Lo$T|OwPR72iFrCk7z{mOzL(bK5w;) z3C}*90fM_m)ruNudPQNz_mEwSRvt;$a5qeebZZ$kY>hh<4TYWYb8rti=A52~zh5V% zxzjikhjkcAj%2>dThjve&BUTwZMGZVxOdfpZl-vR-`e}P=bZ>mq$9u$)JqT2U1-~+2xd1VV}x?XW; zdUx&NDr$JLhrBd(LQsJ!HGnEg1OvDZHI}XyYXV+?LDNNY;-tk7_DB`m%A2|mx2mmM z0mqlLSQ^}xv`_qge|ikOcxkTgCL(?k?z$&*lJaJL($0fgM#;BGbsV6tK;NwDH2-7L zXRRdK_iLG4hcDyO8bi1jc$X^F9t!`rnuXlEsyqs+2tO(TimOgi>agKWyPfzoF)0a` z1l$Gxh1QVsQcJDtl0Vl%;QBPcvI`L{`87m$#c8=h-L7wyMQ_c{bwqg4MmF)U{AZ?- zd?s9#fmN3LE{tquJej}i*wWDZNgt5UU7z&7hP!$UQS8H4EvbEEkn=X+wVTsQa=}c(&00{rCF2Xv0(^$U9ssE(5ATr zSkD`Z;GdWJ{QTVfS$x}TqfS>5l4`f>#rV)6U5(~WMp5|}PK|bg=J-)8<`}2c!1aPZ zVl#^zR(+^7eKC+h%*^&x z`0XI+E6*M^_>&rmEzV&OGFU34Nb~GL$Cv`gGuZwshq8q~cxbA**I z@bBF5HNAFDEZ{4rhDk6VV?08ghL#FJwUlf!hwFASI)?pE2Z))utSy#HhDiyWeK~@5 zz3}u5@=V52VBRc;w)Q_0A~wT5*YkiwYJuBn*SEA3xoUOJcC^{$aGrgVyaae>mUpf$ z0A#{!e7;~bKVUwNxT#ioc6dUs9>B^-zOA}vFd%*YTlv~UX9%u$D>h1O1 zzNNoqW;meL4JLP;|1R`H_21m5_>!$SQ>OU<=oHdiDXu1ShoHddyS;a=s&hZARc7?| zTCV=8$?~E;BjzKNVb5A!wh-AFY)S`>B(>I1t}{JKciE=@7irdFO@8N%H zp4V8rvihf%)6m*OBqAl&k3JHlXGkz08+|D+2M-<1UI{~ocd#O%wo$oznkNW?`JThX7-7$I8FGG4&L6={1m;mUXJXT5Q=th{V97< zBCOitNgio!3sP~){QkIaV8MBa3r<~eb!Og!N19(Uusme%B(v@P&m+hX56GN)U-Uv( zE|Lxgn^5<@Y!3JvK&y%RXoV0yJHVP3W?dcAUSkRVYehI{*@*jzx)zR`okCrNsg)BG zEBW7b)P7_Ar7h^sn7OSSW*GQI1&tVWQ^ko~V+d z#!ANJkEF{O@ejz2pf`bQrJ%u(a%SbP(couoCLuO&n8)B*(Eb0_4(nf_l{kG0NFW%L2Jd%Ua7@puN_!gXmT+>iz7b5X}riJzp2&WzX4)?3tEu z`H?t&W{bx1W0P=)KI)?{gs*JOeT!)hNbwu8<%Y1<`353|`v}zU^VG)lR&+={f`% zHD?wn_C#(uOa`7e=$IYcIp}^-yq2lynlM!8Mj&j!yf9h(pyl|otO>n*{>O{L3JF!>I(I^)R`iUJ{ZBx$fIQv~ z8|vGim`MN0eDM+5!K}0lS!7P<5C;ld*AJXC!>S=q(!T&K$#dxs!dd(c#xkT9(psrt z>!F7-v=tBg1nt-X{KSl78oR^>$$Yp0l=EvIA+Zm{Lb}@m@8n2d5J}Gz;gKk%qVqt9XP}mBO-P!|S1tT%= zE@;N@7kI%Z^qs)*zzrJzu5${*qC1X5#<8(Q@xYzjf4@rx)X>}Z&Ew$W2JA|2+>dZ6 zue5^=9#cuh0}h?X^Vj~)vfN~nDODG2_y@WSr564D1TDGQKdAkg4M?S=(yr=#hX;@T z3=jTDXoby(GNiWwKp}&2;@u0on(sY`e;E4m(9@)&fpsU&lknJ@A9@PFME-2SQ-siMXbP-Xw*QnRi(Gm;^pOLyl z()-p`8*KB>irBK$)gcos#@yGhEd%FguoVH4Z7UKE(R!-;bc!DE;ghT&);o;Pd-s)# zJXWncO6_Hol~94*hqaV;J?V)Hv|8-M4_ymM+cuMdb7GsP1#|(&ZgVWX5$e+}OEk)7 z^y?`IW+aUy8lBzrtVo_Hpfi5aM&Ajo)#(bFn^jt+tc9g}?kfxs&eEocDm*ii$F#m~ z{w;yOR4VGbIK%VE`pssCSXMwCFxn&NRrLec_U55n$GsF<-O@7>C8qhAT%g%+NoPJC#r=(uvfv{V7v0i$TYrhx^R|Brxm(*hCCH3I)UwW7Ra-q-cgW>)3=9nh z|AN&cPcnZC*N0u((T)-@6xg}$Jtr3ruq+EPKoTVmeARpZynx?G6~9j|D&b?XjYH_T zQLiIzO-!@XZf0Qey%XShO7U-}Hnz=q^>L*vI}l4#T6w)+b-TFt>^K^J;})aZpJAMV z`vZU+NIYXndW-%W;@F?<9e+4A9Vo;3Epbz4ZY_O7DIky~dBDrMA@iLnzaI$kw);Ue zo|MV!W1a50Jmo0yqOwR8>&2$il|?(wl_qvGvA&7FB(2P+`l!B+qZ``EBqBr8>2(b|kzKRX;pOJ>z1jzV&N}dkL;;Q&38K4L+_l?60Lj!dy z)eVA1PKAwlbasN0xlX$u?No?C5$aAHT{;$Goj&9}DFpPMiI#a!3-w5SJJwWBxa~YE zz0frsVMWx zrKl%9;u@mgf7xNlr$4!~1y7V!tMkBEfzx&#O807&cZny^)#Sw8RXJl_!}U`joj~ny zK9B=2`2TMN<+4BbGRZ1y%S%JB@FU{LsdhB*mB_ zkGnVVOg}j`%CRNQ#}wW2s{@J&GhPD4PBHR;&a`qgN z4|c3=>Lt|QtWIl$aT?4?2U6!%q_7XU4BlhiV)SrAF;Zr&a=h5wq*s{oAlGyL`F4uU z^4)6^lR2Xaqq%wEM`NYAye>>-lm))O?#BPRp(YDImKKN5B=;Nplv~c^=6ii)Vzi9l zQ|PgVp&HuH@Q>Nx2>6v~g6v)D=D$ln>BGi8eYa;|+jqw3Qoj_*SAG&H)J*|HDuqf1 z&uqS3V#5-}JMU4Lu`T*y(EhUu-rFAjc^)UOA5J6k-2L$mjv@L6wYEUQDW}}_{f~t0mx9pGTqs3x{z=opt4|ecCRo4|ojE#u z2OKz&92u;%LUNjmO=SbOautD=+fLM~sUD27rr#+s=+@`JGdv46b|FTe4@zRBZ1q#w z<#>;6x#RwTHF>x|2%w^n!GCH7DTTbgs=k?Hi_?mwg43L=LMs;MEIhBq_f>QT_PSQ| zl@(*<#pnd=jhG@+5)l2t>RvA9I7p!OZ3m;1+a)K7CuM}Z*ht~hs^gL>EBbLhcp&=85WkYjj#_jg>XRyr zClM?Ddsqo*d%Q`1W$9#-Xe|%dY=#p)d?;)>S)BIopaToL<>GasNwv1+Hjp{_EG1p3 z#pKvq#Cjj0K1DiommI7y2U4h{Y_f7moBHZ#%5FU{`84Ab5N}>_N5ZH_XFqvVb*LR& z{2sioWP@TX&a_#+cJ`z=&8kSfEn{TrM8=q| zFfXfY{N$nN#lvC|D4-OQK8Td zAS7%3^#3ha8QJ)j?5RL5_siU&9W zwN=+Xcj$EMol=S0;rj5Jx9wT^5BHi?G?{5-iC;$^r8wQmy)u}4nA7^beMqRX6i~SC zRx+Cy*5aZeqs+akEu8NMnIw`x$19`~vo_R&y7`Dqau3xC5in5~-LCs483C}IJ`jfL9=NjZ%mO*WdQ-A2mZjK?d&iy{?cJK zE22%alNT_$!n5}^hH8F!Yw*yGwX^b?^hk~$x(;wF`pKAWJ&m#>yc|gRD4}53*WY4C zJ@)Eo*XaRI1))dV`WCpcpV>+Qht9$PkCG6-N+4a65+5_Hpkt#^?recbxKqA}v-OKE z3Asx+N-&5@@Rz!>e-z2j_|VC>uh^tfYr@-frk2RXR&a=PYgn`oLCuW2klGQ9w2@jX zoui9iXY2YtY*un*PSIaO1rzgXz~f$PpoDL#FcgWuz)`f3`wOQ-U3Tzc}H*uzvlr;kDS;}x?UJ84a4%)*d=zo=}1V5=E zT`?i#=#(U53cfQUsaAx{X<)Ks`?nz9E_~n1OD``#*r&fsN~abyEgq=FNuqIp#5A{u zW54&M>`82KP_?!45)5dUi4z;|KqT94gjWzBHKe_HdP_979bq9$(TZWd68Cdt2`tNx zs$Rt2gFABpTqPHpxoY0$#{O~GI2nCzKA%qADF2W9WW^F0SWe_&=e9(IAW67;M3k)? zI+){FZe&$LBDq1 zQ;1TRLcKGp8zz&rPb+;L4$*9~_$f;NwkgC$(9{d1j98$Ru!O(&#f^^jvHNwpeJ!u? zO>mb>JYzR?@OM>q#fYar)XzULrPM#p!kUbmOh)f|-7yI!T}CTtQ}kl?pgHUC*MflE z4L6mF`IguE!*UI%tF!9NgOuXr&H9|KU+QhIVB)%evR&w*OK;|j?0hGrz zvJl%3&O`OK_We_{uU`E=d0k?{1DEBYBlCPsy0CGZ*(m4VH8igW_xiCw)VLp5x(B{% z8v-s!xY!$MZfLYXZTOU#?;~wCJ!X?}CEbSvwckgMJrXlGH{(>kcx`mg@aNPn|Hh{u z(c<^|Hfl_z=9(`Pn7<8d8q3+_R+ZObO+76HQu{u{#n|N!iaG%1JN)$rwRiLF!*$G* z(!o>&A=;yKO*blzFqLv(R;=k|I@vMAS~!$2r!dcLq8kIBG1FGFCILf1*(-JXRmT3G zqVa>&-W%ImTMr=|J<8q zS~0R)!`Ho$a>b^WtFdB?_OC*K6VGD(mpuQz;_vxY<1rQCm=Bord{u& z4Au%^<5b-*^~E>#8j7yzz`I)1uXjJSJVAX#985-6d|tm0_k_Lv+nTRNyknl63qKX& zN(qei&~mFzVXBTET%Y6?GU*?2e9`gZQ>AQ^JUfP%h|0F>7PR!S80a0oAR%;Ep~yY+ z;BWHaU}H?`u|o~V2$k8y#_YGV37xsxB=qiR)n-X&tjEt-n@s*n7Y*B+IJsh{?(1fU zsz0lH$?I3n_OQxfrvd7%fraA zFX{dpW4H?rU2g1R&J{61F3ral8>%>Ov8 zExIme<7bIR;lt|_*vaplx$Z%0ksAAfmVuw7BkzZ%QRR21AXQBo;2r0`4jbz7=>XKZ zls8YxcU?+gLauC>^*CFrG$j5HyyvqlpjZU_C=)vtQ+3y`7>Ha)?>);!!Q^p=$D0&t z`;JLikX+F^il7Q0QWWKi=(rP}1Y`(FT(V)z-Vr1CLYY5M&j`g~QHnq7*`;|{Zj{{w z-x=1`$yRjkAKryOr~NvE(;9R=&E`Gh+e;t(8+_3#EO{~lx;0rK^c>VA zBegsWqso0~ce$#lz^GZAO$yv9JI|U-$s42W7!D#VzQ_1yM!Lz&IM1)iJCInI5nX>r zEa6<8I0GzXaW?o*ZE1P~VbuCf*gmv)4dvKNs2x`q%v2xMb3WxOU!6Q_X%!T=+A4GI zz&FrDepl=u7BBvl`7#6b-ynIPoezXia|4pC#28?u9;m`^% zk8xHzv3zt#URY1HTqDGk!_1D*stol zcSUpB{k;u?pDdeb!%%wRqQQ)fZjWI2-&7J>ov(_HZF}YaT#zRE^8e2+0C$B0F+(WM z&8(Maw{7`q@h|ylc95yL1^eO41})YfsCG<(9sp z-V5j_z)9@RcG!D+9*zGnLacVB#(J6mFiwfBdM{L(HToCB8(k9w@6F>sf}No4iX#*k z%S>Po`W<$upT`9bb7bLGtT=rIh|0_F&4@h+Z!C$^A~9SwGfzLmcWFL=2k?5ztFCsF@$}?Y}Vx zmI`+@6EJoYKs!~qS&v$WyLJK2gg`6rGtozg6ZF7>bbxORsjD4cSx} zRb9Qwn47YtC?qjT{~0SS$9~5smdRtwKON>Uh0BwQqe7NZXXtvL&&*q-$l$I)yQ97S z0(e>k4_m)u_<|8a^F718y$R&kN7yc>RE)nFNdes?2Z{w|CAz3$Z@Sxa&8A|{ph1eF zn zZ_16p6QU(O9%1{sj0N}s%fBi&?M zV{b06t9GQBcZs#td}0ZjImT7c-|j$G=bPAzW7A2ZcMKbolggUvO}=O?cspJ&4(l$D ze`0jeW8mI&pBZa_Xi|L|ZxKbwj<;m3MzX#X?LF=0seTyshqWKr4}k2e@9JtCy2CVR zJZ|=hO!UaC%xmirvY8O$n!AjL%jeq33%!AshdzcUkWZzv|EXYQWuXy8oly4>IwiaJm6V9r$~-uG!va`ZD&&7Hbuc33gpRT zHpa_rXr|pMn7CblVpk;1Z~7Vyhf)39vv!B|1fEqh4%f0kmY*sMLGeAaKXfZdyWuT- zuSri`<4C1v>arNX<)S%7Q%dO>567Ii5}EofoE^_}UR+SLEaXpE>{S*(Z(X)YFDm#Y zGgaJAH;q{&-rJ7EevIibZEZf)#Q-()EW&+!`guu5+)d7q=DSBk{9x`xUQmw1>BZ>l z?zMpHcjvd^W`2MYD#OV5Lf%;P6GW9?a8mePZ5i_#>P@!FrAfF}f@?Mhs~Q6aKRnr_ zyb^HA&w#C4XtIWHjjAP2a~ivP_4%gEcUZLb4_e-~X2 z<;h&PDVfaTlf| zcmiirnyh2%v)#PM!GG9aJGsRBUL|ieV4EywT|Vs1lyVPxzoJ|^EyDn?t4@jUuiU4} zRQ`Pl>pJr~6np?)@6!ux|5E$cG-<=n zcx)M;7pQ^s2DV;_4c{814j6{ksJ|P=VS0`Cy>es&Emz&vo&~`fS?^K8=vNkNsf4k@zPyCOr(sD~uPp_GrO(nNvQA|2Ha}vTF!=Xg z%-U3evHpU$V=<1LsORQT}&NI z>+XG(2c~Ej#lu|XL)$U}T7kleI2CppPp>7IE?C#TBTwNRf;6^!cq8YHOzEdqs!!=x z?p@ciMkIAlzH1lMrg)k*E3Q~lTr9SXf7{|uQ);rpNuA-@18onMX&0nsre^zR!&Scy zdQ=YfnCj+(8&!=cw@jM_S0;hrU1|^n!HTMQmrUni6ft?Q!7)a*erM5Yy0XV`>k0+M z^poz8lc2Mj{fIFaQ$HEB+6BkQfrka7>^1_wi;`qSTV*nBrdGX$$FA-QCP|$KV=mH^ z+-aC?=Xv>E&bIjG``D{?t5@2%XMQtJ8p|=~+u}b(qt53Mc4)WIHu5+(cI>C}&6b8b z6hC0@;#$X3$L)8*{lCO8_ejCM7rll19pj#lCKtKTIhV)5Lhi~RWq18CP9|M+mg5#O zH59|!B&nHN|J}H@JT5@qJ^81B>tBPV6S&Kz5Oc&t64MURm}qnv+uALC%FoPw>ckRp zB0$Vf;`i_wKV^6AV^r;+GHT!(H;mXp+l?*v8nHY|pJ_bp0?nu-#8{bo$gE)zuUsww3-}W zVm{;gI#{#U@mQ>~9!=DHJ5{TAETrYVGPW(R`OzZ=k88Jatfs`_c%F^gL(B=>?49zu zR~^s{L*>wl3<1U_E6wG0Iq@n;{&VnEliCc~HjK@#m{zu3z1Ft$z&#qK-=nzZYxzlA z#jJIAG)*2<@_&%r_%6vJCOR8C9n)fbuVZO`7XEsqZvhngG0jLBp+;Wr7Au2V)wRF7 znP6lsHCb8sEzKo=aX2&ldv&Z!|4w{*Y6zF|N^5w1`(7!OYA?9r)TXlnm0hg5b2seY zk0D6%XiIgM{@hygSt?!uyVT8n4(6iZ01v>lPu&I^2#>XN26T^{ZuLxD`MwzJP~PG? zv1PY|-Obn-C%&FBH@0YXT#gC=H3OXyMqVeIL^M?~vr##t;C7A)w~hHO4TI6B;X#Sp z@gQ$7;T;{ChHd7ef^q5yr7peLzPGRkh(>=ijvdHNL|CGc%71V5G#|sf9|8x?C;A+e z@F%VmiI(Nvl*n2SP@;5nuL})Py1rW>RPTf*1D%BmWdF;J6Rix*?X4~yYg0ckPEnQ* zT*0SY&DY&;z{#B4{B-cH_a$r6@mIP1<(-v0Z(S2i0ElI`6Hy9!wetlYR$X_DczHO@ zs0@6^r||40HJi!_yRE%w9PvunyOIE>ibmmj)KlGx$CJ`IL5&Ugt;UHO_R}ZymZo}a z#f+Pk)q-*saPRe1;FM!DZ)j+1_>P_|^IrqNtG3{Yg z^CtL4mZ$wu{zh?RdcI6=4!6kc?2nhWDc)~tUxOnqVEpw&Pohi&t8T+^giW)$3IV^%K22EqVAgj&7y6} zX7R~71f2ACoOUWfjfdwHqXa0!wk*wu9^z64Mkot!oGBi3J+3HA6d8|_*FIPn*T;nbv+&YHvj?8p{4)3TRhyR zarBeRm63%BZI&t4)}RmirbC4go}|t8@ABKTEs1p&&zU-6tHt|J>uURAQ9pTiIT8D^ z(9aCeS9l*XPbrT=d^cjV^0?~Fjz&>m7_Y8Kv%7qg_Fb=we=TD)sP3u2qa=idepQ<_RP0N%KMC_5&*CVzf zql*?H?~${ZeaK_v;H6yfaVl8yCD^eVC8$}vX>2P&lDcjC$h8KZyQ4eV(b1Nol`ilU zM^0y|&j<$#lc(F@f2GxOy-|uojB>p2Qgrv2jo-qc)?)Z8{wCO}Q7|ij63gt7{l)n6 zL^nc)R0Dfn>i8w)$=tD>@U^Iig9#I@ZTQWG%5W_L^4c*byW-)~8R7W_smbDH z(T6%uFR%OmARUcDPoLfJe81UK`@Q)q(WWN(wK^l?Av92Umq-u4QC$dYdmHeL?fLXX z1C{>!#68J}RcxmhO`H5H)=Spy-;>z3dZ$@z3b;kmkooj2Te%W<0hG6=o^Na>lZi(gLBS6RQNVPpgOcja#~+$G}eT5~*7(Pb`Zl%cZuA}#f= zEq)e0*GEXa`h;2Ztp27}<(F3fSvBx3Y23ua(!B5vJ#G*LrLVoTF_k%1Gbgra&EgSNb+zD*yS==sb>N*}dV~h?yXeJFPYiE7<<<{8;+pBq@I&qcP*^(BVwd&Z)Y|$A-e` zYa)?V``=yV%BGeE#6>nw+RtQC-Hn>@fcCw_hfdNMt8j_el{-kv2dPKYor00FsE&JE zN^iagE8Tjsj}Kaz55S@c{1RGcv|jJ@)oTSt8W8!0_x`!hR zFJsc-H-T+fK$kRgdYs~!`57gWfhTd6^e>{`a3!3zn8%|zF>dfLYG4q+$r35HTbOb` zkSJb8D-Bozc#(bH3nvU@?w~Sv6T@P@krAx%AXC+#TuxW8J*K95}E`}*lOFhQW zsFgWEyYKGJD;jp^YquDntGDQJ7p#1;ZKy^#QZ7QKJwb9v2L_Na(JA#ixQj?l!l_~* zNjNzt+=9I3;cZ8#(s%PXZh{-)ZQ^0-_k{W$$ot-+vDr~Xas3~ z)Z9$ey_u8tu{}b@(DC;ZZX@!|%=)hUKm=2`70oC|%`*OIZmrkUM%fxX`u&8}AxN7l ze(XJ^TSfcd9ty?tG_F^N8>+4q&55Dl(oDocq$-dbv%9sBkS zGgc{E0?=*f+aY7lsTTZ(@KZPcXUvdBpv%3yI2W!~NMeV@vh+nA8w7+G472p(EGe+| zlS3aEOp1_Xe^)7DIlC<>s_%3ix9|_Y@P?j@c+(WhB2VnT`~AQwgrxKP6+I1H6iZj) zqCn{A3`Vvov2^^~$Sa%u=EM*@y5!f?&+W`}CX8#*|!uF!G%_AkdBL#I7`I3VK zT8v}}zxDF3sh)$eFV+$Lxog``T<~j{no|zwhg{?RlQ>w%6;~_xOC?pZELo@wXzpa>iC2 z;qm^hbACm`S&Y-`4rVfa1z-e8`Bw?d1nk};%p5{yWE+E{9e$1%VIaYk&dRsy709VH z%K=Vw#``04GCghcVK@GaQa1RTg{EOMKAZCf84~Ib$^y0yFGMa?@b4d$%$V6fQ^kJ` z6y5uF)6C#NU^}sXGf@rRK9m%?-*4R6cl@{haY)BKGqn%ZTKr2lMPIXzx+}F@8SZru z0v1U@_2iKxTS~p4*LxVoV%6VL^7&=@J&os=duvns0#b+Z@9Uzo6qd&P@C}@sLAX6m zs#vH7OxB0{TYj44X_8cgi=~fhvx=OiV|jcW;$AuC)HtL(Fb?ro^MklsUKxupD>q)g zeqk~6m1Oj$F61VDJ2CmQzICBd>D|0R=E@Ds_L~Ln4zVlCwny0!t~deqXII=A(B1Nx z*jwSvh8_1%n@3Q2REv=7_Dn>pwZ!;fcG9Y;@^^p562&0Yl5ZYta{J$qwb|IcTGu53 z*u(OaSmo$M^9+`v=7$$J+AF`agZk&w*c(^H}TR7+a;pHl43dHY&44X<5F> zkq-t+*9D%VzTugqZobs;@sWdwy4Z zwJ_(uSR5!vvHncAng#BAMKL3{O8W7yct*`);C%XkOS`WlYg{3Htl|2+?95@28?a6V z32|H;ax!XXR-qZ#s6wLqjU$O@Mf$z@oPOg`6Y=K-OvF_+oTdD*hrDuIh32q_kbu*i z%uSs5`uIa~tsIeQ(!2{_xo!Xg zgIjgv*F>Y8v4YQ12IB{7KRiY`-C-zT^2;FeMb9L#{r2(;QeW8q!1TE))_%hu;!9ia zy90$T_Uir+w1Jdc#v#rafVa}iqIj?mHWd@J!MUJB`@gq@bUv1JN#1tFTi3cKMx@(K z$(s(X2#j2ek?C9AvKdaUEpBg?lef#1qUJ z-)b*}{PmQ@SDQBfyRzQbiMR$oVk7qSK%$^=#bV^qSe-02?iyHK(k}X8ACloNv3E%orl>l9v6HTd9SBko?Bn=d4Y?*ph1(Yv8-{p z#TkPp`JUF~=)m-nC%9}`hlO56LzEP9YD$>TMcw9)+~dpGcZu$jfs2!Yi|g$?sJqK8 zd=jd@FXpLmYf4f}&8&ZiB6PtC3G&=W+1CQ|WMK`X0dVc-qahzT>`U~q>k=sotyX1%hu zqu|(Q!A<=vd>Gypw9$kg(Rt?}ubEidRC|%^YdtyFY8J`^&7_5vBZ+OMG?=~8?{KdV z%)EZqWc2Xp0=>7!@5KM~>5*Aoo0kzLfpKuJgh& z8G~)S?-x)Rws?5_vdlVqr z*fETcl8&i%j|XQJ9`{hQ95JOv*#_rVUb3X|h>nM5B^_hlzj06fH`w~-_yyakrlp)D zzo&K~<~vVi$U10UyCo{45Qjx7T5HnB3+uFuC`*k`O}&=%we}^k^*;nQeM_x^{Wh!( z15fsVqTx^vzOTn=Dl+b)@Z=m27FmihrzL@2ts<1xP#()jfo>bbTHqHaxw56{j}g8< zHUUwxq?)AS?kZ1HEmU|A8or-6=rGW`J1fcp6Yb5I=j`P8%@EJi;Te4`W4ArM?YsCKH=4v%ZWd* zzJku!PXnZ!tFq0*a*SBlrBg76@~t?s>fih|T$+ibrU+I&3v`U8v56&Z$Ss?6Hb_!( z{>BocdRb?5izQ}oi}hWb>cZPu-CyWK{g32X+Aj8za{-KCur+||2XwW0pA#nxm%Qi2 zUX_+sR^Jc(D6oIB-6Sj5zqJA;qvJbVN+oDj=Jg;fOWdE_*@uJmTsHA8*px~U6>nM8 zc`y3~Pu7y4Q@3FrQTc&`ah-DGV;qzrW#YnA>I_X@EfPt*=5VLhP*zXG(y3c@wrTAm zWbQp{X!rLhnwvb9r?|o_;Kf#{z%;ru7m;H zDW=eSMan9XF=$h41=VP3h_i!zl4BfAVnx)K%*I4WUdIEz58J#?f9m`Yvt>UiX*Hv5 z?1zsMp;Vb9`W-kPhkTPFeG;l7YF51}bJ-rlel2rh7&gSy__x0|+n=_{T8}b^YRw$| zusC%x)%q;qpMG?@%C7d%oImWxONswUdFGT0ZmgDZO`ht#>>~{Wf9>(go!?sUyc%mg9pz zmpy|XDEZ7kGH%BYAl`1k0xO9Rf`v}cO1fIrCdX{a5k#%D#ve~Fd!SVxLD4SEUm05i zn~2>cbWmo~-7i4|`Cf2-9u?XaxCeUc+w1Z5g16jgmd8%-9+|9q%hxY}F*wKkgUF;+ zZ;PH&4Nk4Ltniqx-_nKwv8-kP0LHU_>%v!^aPCokT4wt%G_VJFf@;Fq#Uko#%Dfp( zn2ZUKrU9MR%3d+0DnC1-CM~O+3ihr7Kl&%|i{&Oz?-1_*OppMEI`*9|j1@7TIu!s8Av`~~XA8n1i+xcMP|MK$lT9KleD-vE`>EMOo#h3!{!hnNNDlP;V?6nwYp zUzPgq$>$#4>d2NNei-D#%Si6E2!ZGyw0YF#*%!js*c%%=FJA?=tvLe0gf~!S$)sos?{$xnQsONE-pQj z`L*14@TL37ZnRC57o@a6lHQB=XH0EzZ4%D!u9@P!zx5X&f!+!J(DZX2P^7gI(Dt2REyr*7q%nNOZG-u zBz?LcBY}rfB?W=#N7i5PP+omm!9Jene~YIf_EAi@%|uH^66Tjw;vSIVd77^~yUu26 zcso z!Sie>C-ZrBGLZ7;(dopqWFajT`riC7lk8JFZ$(M-#ZwE2)EcVl|-O}fNVM&b&$_`obo^BGG$kmyni`Hq)fZjlfCf$ z;XK7jS;3z~vQ_M5z0Xs$o-A>9A5-vH*laYTvv^6BPbSw=bFHa0-YD_U0td?fyI z<6n_(lZVbWnk|?>(Q!WLFA?=T$I2q?`{lIaeSD0=?~980?1Ej0KXl5psZliK(xB$< zN%o5SM@-Xj{mk<`HYdk>_emrA55M-2AQ@23nlE~8yJq0CL z(BVe4+zZh#3*kL=Yz|&rX2*kW&J${jp}pQv$3j1YE?I|u*yZ2N6rNK+?yCb=yXR9! zCm-M%g1~PLBsaLDLlLZ3>ysn?xgJs=8$!=WYJaAGWrdBVf;s{ck1@N)h1_S} zm``|NSexfqZYVK$r#sYA++8GW4obNhnU~4nbQ zGvmhm1?kArN&>GwhYu(0O4ZL(K=KUx6E&a;#be_sqz8ae=k!7VrV?uk$Q*Vo4qXb|?Tbvf9Bp{}qHiujU=v(8bVQBitn4JZUUl-PH&bioc z8hmd|J@Hrdb+=qUF1Sm7OV-;F!@z-zhpiu)?|gUPqel&H*W~}h&jafcl6bteQ6?~Qz+O+Ng zTV#95Tzzxn>Vpkm@LOhE_?~td|G4qly=VCywwWVqjvzyMgl_Lr>YAeXLby-n2%5Gt zpZ^?uV6RtpP(})xPFqgPe&q1N9Vi{c=AbQ#mSXP+YbMXR7X?=mx8cdDZ;9Gvhsam^ zpFcWVs+e!=av!pCTNHoe3dFmB?B+G-aw$j_6#zzE=v(?rP)o?$z15iXm+Q$A2rEB9 z<;3n43^c}!_bj3NQ8@=j2T}X9>OaJP5MS8g;sMSgPl)4dB1DVf)C>)Omzg0}_?{o5 z9nLxayJJHquywEd@bqB&smMPz)u-7tTgs0Fh1gGuT zDg8jw`8yj;Mq(w*D!waAD9hvO@H`!4`t{Su)H3J^{VFivz%4u zywxC)KCN(NY@v@70VNgCd0s_l$BrG)S*hA9CPWgPjdG51=8bY*$b23?76VSviR^1P zo!MWzjcKt3IbT|RbTbo|9IfDYi{2eeJdP;a$Jw@)^arL0aI=vF;e!3((^PpZWKdfE z{|jKah5FlrQcROnqPEq5YA(ctSZqavXU=$#5iiX4e{{ziPONQ9X4Ton(=r1Nl!K=A zDyYTZbq@X^?HlhK0c|s_cxiR8v2HQUBx^cSe8!(Uz=Kd<<_oFFdhIOY^PN8<*-}^LN0@)#>1Ee9_ zR&I#!CVfK8eKFxe1|*){?{*-eTOn9>^-mcUyfD~!p;xs^s3qK~H`FVx^dt-D@F@nr zV(@7l62B38p`G%7cJ(@je$DF*v5l+OYDv&rZ&*5aWq0@pFQs;5C6REH*QeBSuxj2qT=n(e zcbv=AM&orO^T@;C-zP zv}@48;>f^IhQo9gB-oVWhJllEckJ=1b2>RN@rA1w>91RZ{JpNZ{ko@57;!h$m!D0L zCC@~Z7%w*@F$4clYLCG#RZP`+mn#^k_W3KWxGNq^9M`qqp4O9$MG^CTI3U*6^_$w~ zbbA|9)ajWWLuRenXM8-|XxwQ#JzJrDzkzH=p%3*`NDtxdxyJydU?UQJqQ)`@S#%0^ zdtPtVN#3(X->6oNw*R2KCzgCMjY8G6xl)T**lQhEE1Tr4?K*#HPqPx)B6rJlV)j#+mI7Um)w`~MEoXLaQSFhbdnrkwT7=p{KsJfZJ5Whs z?(CXTomKkOvNI4yZGQ&Sn=XhSMN>ytk6_aLYC69$s_OKwr1)5VXvrOK=sLD6 zE&JYB?)~WTvw=UQP>c9ph#OG#Uh}n%rx~_7?5COy@UBn)t6DbyN*KZQ2Q8mO``U1^ z)24a=5B^pCg>u-Iv%z;cd{j3wtylG`q%np$!>i`@Koq>IJQcJ=Q!Of zEK0Nc7Du2oPc@hB@M}o)c;IiXPmB6xej@fUu)b?y>4Ue|-1)1h_i#-s!#?$ov;tiu zV3l*mof}W;+AmWS*XVmRPij|%R)%#Je8|mT6YIG*;pSgMRr-CecYIv5uc#)2cle;p zY0jdVD%J42e_QNNrJ!`4FVtd3!?k`laI7VI@#nG0id_9Lk4CNbupiE%@GqM_=G*t_ z1~8ixGA?W5{O;vNqNy}eaLYf}fj?UM*zUpZ&j;I!`GN$zCN6nTiZUWu$kO_H;D$223Bkkl73-)wUj%>2FLyn%Zwu3TxcjehwsE%Ud%YNG zt3AX`c#o(Wa}lWUJa&2KbX%0R^pLysQx8Lho%{6MdDBs=ww1mCwDn-x#oSq?C-eB{ zOS+jLR#8@Hw4x_!19T&_h~>|tB{}I;=35ul#>&kBp*RfCtvaf2B5f%P0wIg#HpZo6 zal*fQ>nqAJ(R+fM!tBFB)Of&NA)eaNq0??JQc3&;%yf>grYwoH(X=Che9ocSg!h{L+Nokx>lx>#lig@q&`=gG z&rvj}POLX!|qCxLvaFogl=xHlJTEj1y#3mzU+JzDZW$Yq(B2_Fd&IQ+G@UsK<5r z|NjJ`hFko}&m}i-Dv*>pV0+@y!SgibC$*#$%@V*~jwfLwST1%}Tr~;{b0=!lDlptN zq7~yDMfk=QR^q^x?*Zfx@HjXKI~-7|2NQ$bK)*urm4aq0LocM)si~y2+6f3N#j2HZwWYn-J$xJgPjm07 z&uYlNkD(B@NE*F&rIDsX&1N@Iv$a(4H!-K&MpCLxgy)2>%!e)~S6xDcgKvesB2Kln zABeg73-u=oeeib%m`0k!kdtTvz=bJ|TUIG=!u zv8mw?&YCe5?klDI`-2n5-%d-*iO37J&^AT+K&{Y2YY@o(5dn~dnS^d6@v;x87wm`( z^2VLgiurU5IbMN7C^gwEx0h*bMy{y^JmW9; zs2*=9j8DWqcbq3`E{1l-wOx0s?W%d@$*~n@9=1J%@;Ch6+Mmtw)O)e>AFU#_;R%tv zs9JZ4+iye9-sC^Cbz}9knv$`AJ@e7D>)e|&>O7tg@+65O|E{+nH@E~Bva&=pxU$WT zG|Y-Ti1mL}d&FP&U(Oo*Z*cL|Z#v}qy%}nsqkK=c?WAJ)+imuAbuEuy-nVdBfoO)> z%|nRGa)m8J&nrW!!CBo<-k!cDwGM?d0X&ffp;xM0icod-xH#{jQ9E~!B+Jhd zAV0#t@>Z#C_U0qJN^u(GDvQ~CMj%)5-fm0ni3=ub1=rs&57#i9_vb23cNX;F<~eke zHOm6;-77(N+1>WKNpHT8D4VtL?A?H|+u{5^lSIl=IG>mZ{Yt8CK@ib!>fk4ms@N0W zREO^`RJQwY(WY6(zIpi^WS*YptE6IUSLhhSQ*+N(Q^T`hi$_hvz#K@J-sYvj8Ai>I z)-4rqsVlj|A3w65xAo7|g|l1>v7l~hvo8L_GZz)J51X+a&G>B8me$?7HZEz1CDBWS zx6Y@QB7)#5`?-=jJm1@misV7aVqa17lHIRb-%H&8(Q zXx&3<52RZK$=9ckbV7w)PM6F%n62Kv{j~Mw^qEs{mk!NKUHaykIUtyUQYf;R(+-Uo z+=5f~Udg7&KiGcGuF1Nc`zE)aQWumgPA5zPnv9iE#KR4-7a}?{gri?SAZMN zX0iK~dW29sPv)J&xe<=&2iMi^Ih~)SNy;=?y>UK->wcFz_AGP7b)mlx}9C^(g7=Al+yu z8Y={QDTJpcDY&L&mH?I7FoO+X_za;Ke4#XmvV<7UP{Ej#n2=z=mc*@)mY|W8kicXt z(Q;5^!r>zan3DGW=Vz+_AMAZkAc^-v4Wq$p!5cMhbG`)z+TLh?@$X==UFa3%R`syY z2bva4XfX14YVXEv?@{CTm)~R}bIP0I4K-|cYD&I-QgG$@|KOu{ZsnH`dpXL^*H5T@ z@WnO%XKljolm6!yTwnq^=l_48Ly{6wHY6k@SWHO$&?x9(%(#(3!1~v(W7cgyejoe& qYxj}e7KVle46KO^O9BKsygzptU;Z2vEb{2F@n^3yJ|Iv1{|^8<7jifN diff --git a/CH6/CH6-1/db/logic_util_heursitic.dat b/CH6/CH6-1/db/logic_util_heursitic.dat deleted file mode 100644 index 3a875fb9b16c9e679b4fe1a931fe479706309766..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2948 zcmb8lXF`Di007YM5SfwW3T2fY*&{2Q!AR)(uJFD0`#l8VMZ(u03O5Ef4mSZe3HK3h z3T_(i6Wk2kXSi9oFK}~kU*W#N&BHCgEy69qEyI0>`vJECw+gogw+^=fw+Xie_Y-a# zZU^oc+%DW6+&4R5F*Y(F zF)%MOGcPhQF*6`jN+4HdVjx9iX>@6CZd48c000000ILE3000000J8!B0000000000 z08j(~000000C)lHS36DvF%b1a_y;P4D5wxb5zs(3SwJ)iG)Pf84uOzB0wiw1aVRM{ z0tcYr02GJ<0q=P|#!kHXEGPoRM6`tQg7H;U%G88ZTGGDpv(iybSv+WaThT4>+%?Ct)pf$&}ks@nD>${X`c1Z^T++? zNj!%c7k77=3rh934=yjQe;@C5)%wyYb&q+;x!N`s=Btz+FCv!D#$WE&@!|S2;$zqU zmHriYnbw}<(HUQV5Bz?fRKKbJi7x`=1vIvBoiMx|hLcXX{g`OKP_ZmeHqg4k3dOG9>yEq z8LE4R%zsK$X}@CmRB7`Rq-qg9`n3qIJ=_7}bh1>8>7B<>$+49_j>K;Yez$T%SkLk& z_yTNsFSsTBeE-Vm3#8i{f}f5sYN~P<~VVHx|-z$PP>I%D=Xt`_O0Tt|0KiCx!&%el-wY2T9{Iwv5X8)NxF36S0Ao0GCCfQ zC&TOr(szNxkOwW~_-`v+tZr*W(b1uPWRUi=UD_-zmzEZz#nM*0I@`8qqMs4R5F*Y(F zF)%MOGcPhQF*6`jN+4HdVjx9iX>@6CZdwEY000000P6t&000000KfqN0000000000 z02u=S000000C)kcQaet=KoAWOP$VdzqoCL_6^QsxXFCNsg(HX~Swc24rr;)&DLDd1 z$Pp+ha|9~Pd*keCErl*Cy_q*NZ)eB59uB&70XNzMw(SP&ceV$d>xS@$KzssIlrN2Q z3KF=tZg9u&2+?dJ))DKA^~AbjBe9{_fV~pk%Vm`6$YV6sk>5Y(3N=$=AYwb~>1=9e zU7bxxx)}@$4T}tu4Wg5V!hBP844aT~h?qo=CUu3x0T2RXkQJ~29|F8HIN3nWJMaO_ z0saTa05v|mw5N!LdteRxv~A1W6flA{lAk~bd;z+PXNz`qNo~P@U0hqVt4m@FIH>w< z+e?6)cQgxZg<`N>3SJbvEb~3g>ZL$L5ivu?&!6Kf8FP_~qBp3LT4%^b(Yp|4^->_B z=w1BEI)z*m4)>68j&DmrE{ch*7*hr51|a9I*cR|b_2Z3D(2pnQ+#u29W%g8*dDc|f zvU;t%AW5g$b6r$*(Y$4O`B*LT`ubt9`~v_0|NnRaTu@6+!!QtaN`Q97cYzQ{-P1i% zK@}!(6H9SY*LV6m2y72;z1?e*cw!VVg? z5t9me5Cuw09tX=0F4;uDbN01k$M56&oGLbkkTXf87fxW5NDVw5Cac8o*<-$pF~HB} z*NYn{tIK}>A}zBiUW;r#JDpyoMek4BRG=!-tSam7peLBXl_H=~tvHd(dUvo^Ywo^( z8dqvtb}DkO{g@Oyk6z9U9eUtGxyoF#kWeU0dYjm1`AmG+^$dJA8<$J0!%~ X-SPb+rkc=B{vl+*H514R5F*Y(F zF)%MOGcPhQF*6`jN+4HdVjx9iX>@6CZv7qr000000H6f`0000009yh80000000000 z02~JZ000000C)k^n7@xzMHI*H$|7n66EKDlwIB+F5W;)A@5fS@xEKpVwji;wu&}V8 zpro{<!oq^WL<$NLFjAOEj7H#m&bjB^nYr`sulLr(+030EXTIlr z=bZWVcC#poHzgmH{Put>kVQHnwGH`ut?b#5@}%|^#k*zSp`iR^Pa6#L?oujBnxS)p zz9?Rizc{r)cMJ-Mp6qb7wG{L5q6qdW`8e!w! zFqn--+ruU|&?i&1b|mzp)LUI?flE*Y>-mnvI}WJt36Fe=Din82y7RdDc<;g9I|Fe; z>=AG7H!{g)o=CO4q3a;H9ic8<;c)MvY5njUyGmF5c$S(^gI5i%o=F3AL4WN9sf}W`%QS~ z*u;NTJoiaXf0O^i`Qz(#7&6o@L!TJVJo!nb^4ca znW^M)#u0x@-=`%q`zSH!zZod;!#GBB(Ah`?MR8oe)yFw5gH7go3ICC?=u=+?oo%U^ z_bOvrBcQ35a)jqbn6(*dAegO|w-tevFtyEfI?}oRHpJpY4W$;lke%)*^!LOnOVj7+ z?po^Usj5ge*s zN}KFx+#wyNF2S3bMJ$A=4T`DJ$o5BwqYjos`xFgHFs}~P)RGGIgM+>oCxR#asSfqS zJlaDxp!|ZnCfV)Tj|s;`L!$<`Zv%UFD0Rb~7p(I%rQL8`4nuG^#mGJNhuiIDkIT{R z*#oK@?##gX@qlo9ef;wEKzrW}v^V634eN#bjgn+5xtl+E*xKVrq4Md5`yrU0RBMkj z*A|xWBe%yP=D^;&7%ECQqR;lS4~p@t%Hh1K{`hrJ=jXAr8N_mAewD;$mW^h9WZOsd z#rRm3m)ipy8L5tM;twas+gTUmkvj*|6U%)~5M$1voPG43mTakxe$q5sZt_!+pU=o$zxjp%F&;Vkv2FV#meY@Z zUqd-Oeq)@SyM25Ik5taw7{mO| zNSVsvhn)RE4xb|zq(8X%dEPSo^)h>#Hx$|1XGKod z{P!Oxu~5xfCdJx)k$oZMgL2$d>WoL{HOV-UwvPkPrl5UY>MRoKH>6IWse|2>d{OdU zY5yX5PwHdI&!oOz_I)F5#@>?lWhu#A0&w|C>imvjlM-kT=1Two0RR7Z0c=q_OT$nY zy?y#_he;ISi>nS>-)sz-^9#D}(W2_ktQ4Fp2R zEz%fah@EIR(PTH%yQ$$;^g)tA?lJos2g&MDu-NqHV6JOEO^^=an{Q8B z;+k)lgNwReCuO_w_VY4SvfVVe#%!)HIYx;Vxp)!vR~_8S<>wWpkRoSj{g6~=A}A4$ z^|w?w`)}!xl%qm^VnJbdtGV0QXs)ki8qK}5bgmUym=F@7m;!<|U`179mTpt-Q4=dt K&;J4d0RR6Gtd@BI diff --git a/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.(1).cnf.hdb b/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.(1).cnf.hdb deleted file mode 100644 index c9d0a0a145a64ec06129b075f21f34c2dbec470a..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1161 zcmV;41a|ur000233jqKC0001L0BQg;00011WpZ4R5F*Y(F zF)%MOGcPhQF*6`jN+4HdVjx9iX>@6CZtMvF0000009pe8000000KfqN0000000000 z0Eq+u000000C)k^RV{BDK@fG@sIsbpK!bunOO>ko+7GkZ2?(+R3!|#43=IPd4gC`W z0}Tre0|N~M0}Vsk>w9xI(;F#&Agj#z?abRZZ+Fkm$*3Ej@iBfy(c2!;_s3Thr)nIZ z?+_PDK4X?=&1dd1fp{kPeEF7`iB$3#5}!>+6r5yfETk&=)DXu?o?RxKAXAo7NRnEJ zvNTUo)=Jw-ib3+B>nmM?aOzI2=+va~Ty%t!I}egBJDtvnI8UOP1xHbivrllv-nmqi zvZ83Y&}c5yigH23!$}0aA+08<%C4g2geW=d8?0Lh#*-CPmSTT!RyAI%ENA|r^-bn9 z{_krjno3%litRUBZf2+vBrk(52v&@SYD1Nw($IyWXd9nfZei%$P?T+);V|AHj)(#t z)`$rbv=e7|jNT*2cxc;}a}HuMa>*GH8NUaNL(fQg$hZXU__u8z5og-PX>3r@Uo2v3 zhi0fjWzIRYOQ<FUdJwfuScx48wA^Cc(@U;uFLH#qPx1%^_=D#=z{JoR0|{>WQ89dx;g- zsnb2MI=%1n$#oeIG)Ai7?uF}A<3Tmt-ENWPd&(eY_vr3rjSA267O?^*BRIy6N7N5u z(Qv!v`b)&V*C8VD>6~N*krpC-MxRzB8 zVlo=XpqVYwQ$z-)H}?`|m|Mff0ilN;oWx5uqGRwVXn}*6HfqQCI$#i!Q8@;`B3#cw zOh)M$NIfE+5C;VQ@b8*@B5v%6b-WsO(APAIePwW$S77cLz|7B`h6o`m1EORs9AnoP z`9Vy^xnr;cJAFf3_lzj7=?BbGQ#R?#_a8t10RRC1|9AmhP|ZriKoH*4sO?En4)g3vCNcg$cx|Pc=_5;7Yeh8_UqE-h1f1UogRxq9Fs8XgOISF1B7@?jIZ2 zKIVpP#H2zVM1j(hC*fkiC7TF%%0IW8^?R6~QpLs)aVDwsqX~>ssevcMbd?ys=9!T( z8U*?L^7;y@`n=yi%c^{eVVTco$J2|f^!~I>1?n=(>&kWqo?rsM6a$T|;#4l`-NBmG z+Zqdm^W(-A3`o63hd<4j-cG b4vDaKdwBPN&Js48zX=&|&BPY~00960^MVh$ diff --git a/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.asm.qmsg b/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.asm.qmsg deleted file mode 100644 index ba609e9b..00000000 --- a/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.asm.qmsg +++ /dev/null @@ -1,6 +0,0 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1571318781102 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 32-bit " "Running Quartus II 32-bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition " "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1571318781104 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Oct 17 21:26:20 2019 " "Processing started: Thu Oct 17 21:26:20 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1571318781104 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1571318781104 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off ten_line_to_four_line_BCD_priority_encoder -c ten_line_to_four_line_BCD_priority_encoder " "Command: quartus_asm --read_settings_files=off --write_settings_files=off ten_line_to_four_line_BCD_priority_encoder -c ten_line_to_four_line_BCD_priority_encoder" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1571318781105 ""} -{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1571318782617 ""} -{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1571318782658 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 32-bit " "Quartus II 32-bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "382 " "Peak virtual memory: 382 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1571318783131 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Oct 17 21:26:23 2019 " "Processing ended: Thu Oct 17 21:26:23 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1571318783131 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1571318783131 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1571318783131 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1571318783131 ""} diff --git a/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.asm.rdb b/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.asm.rdb deleted file mode 100644 index a5e67dde6e76f454d3ee5fda020b75c3e1a8b1b9..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1381 zcmV-r1)BO4000233jqKC0001L0BQg;00011WpZ4R5F*Y(F zF)%MOGcPhQF*6`jN+4HdVjx9iX>@6CZk`PQ000000FeX$0000006PHy0000000000 z0A&RL000000C)lHR^4wKMG$wOrF@i60Rn`?AR$Nv4z?4867k}k?IxkA+t}?xU##_c z&bjtJ+}*tp_kTy?H@6r2E{RJMUi!dF>)9Fa{$_UPw{v@=R;%4ce+OOK+FI>Bh_*2t zk0aK(@!ZeaO&C5w|Gd<{!uTz80DKCu0H8q@$4bv(yU+w@O%_qnucH8B;rTP*lsvPw zVKOy0V13jc970su*U;A9#t)VH|Asg7JdmP-UXr$DlJ9ZCT3Mb@FO1koIxk6evD9r1 z6m^3o=3WJ}!zZE7)SuZfP0S8iPDW9f@W`g4AkDN>cD>GMro&W+c0S_7PbaK5Od6~R zL0EV_exGf;%I-rpMooDoyEozQ3V|yVZ@h@jRPLl}=dQ6;HZ$&@A0Y;r?<0aBi>OY+ zU8VHoqHjE$8MiXpWV70q9=rb(tnzu-;i+b=wq$KNh}$r^=pYo4VD*h&DG zL|2LXJ4`sctGQDR_TbizLu;&N6&KtpyDX1|jUN2UGT~8->-KLxg{NMW`p?PW*5XD7 zsfO<)2&WlphQLhntL}j0!?&MU);OYz773Bb+X+v?2|)62m)PTil~TZ(`Q*GB6vK~UX=gU2%pjvuf!vhx!Ij5S zI)!Mf&!CdCit+{4dMnTFW)X?=U)6qCv69p!p;vrXlr6w47&KJlEK-_=d}{N`PwN}j z26w236c3f2vZeBctsqsDRvGq4{YATBg7?Z9s2*Pj^nMw1k5AQv{V-M$tqZ}TI--n~ zy+i%X&a%Y@j`=jrU=^BPX%9m5vX?Tfu~JmjWFj{Q^U#D$jeJxduEVkl#80!t(j?UE zFYA&p>+#Itl*ix0bi@%WVH$)sG-m9H$?C9kw&sf_)W z(Ku~18s6Prx8rQ@LwLvRKQ~!S&U71MIgS1S00960cmd*IU}WH6U|?waXrs#rq?v%2 z8;FBkoZ^FA1N?(Rm>9MI`3HHd41g2^I}pR<{hhpAokN%zjsV5J6q!5#=?7sUAO`7o z^Y?La4T^^-V_`T06h5o*u?D705~?gX)YsQBC^A08(aFaZs+yJI1WnQ`=|X>W{3fb??ovZ}z!opKpJA ze@9J5M&_oXEO?ZW2?Adz@a*9q9zh5Vff=K=7;iCx*&ZPr@`D*~HGvr$Ax%(76C-03 z%-tEb*Z%;_!H*CL{`51x{PG>XHCR5$kbgccA5{=Pzah#_Tr9q2$qzpRRC%4+`IWxn zV@Swr!jw`hZK5BT9Qu*Mu}L_YqB3yGAJItp_mK^k7lSfN!`)&xRi0rtxZSPiGh8;7 zdzp*a%p{w%JLsoY@Dsu56WaQR%#%V~tPIyid+L>AAs3bNFS@&Kx9`rWzlE#$#%^@D ztyLwvRKMh3l-py_GW6UX`$5o5q(n&|CnPGf7TS>jnifVFWG+~xst^)xw}1}O za}tiCod=82LO>C(1GM%8gw3>>TZj4^&a)H7bVXe~W7LsU0kH5Lo*^371r|dY{5hnE zq9Ni%M_;c8vb!0J89Ndd`$A|Fx*Z&>5LvRG*F+UPWs5$U2I^lR%1IvBVFsKD2-vNB zya*Rk&Di6SJ4Ow!#qb93Krcox)y@#i;1fiBZ7p*z_lgvO(>(yE2*WpHi27+mW;=H4 z8Xn0xa(f37&~02PG8pOMn^i_=HTYR^C$CCrPlhE> z>1nuY5aVSIXjE-mJZON%B)K^fNoqZtQU_YiY7tq}dDX3P7Y-KhqXH8%X177(UFuk# zT1_)4sRh%m{D>Z!hCECo8N7<54(}sxIUG84n6#Lpc@0;kN-T4Y*o^PRq8r?j>qQOC zjtZC2@DoqQGI81W^h+9!a4UiOhgyq|UL+ay#rj_%%72eYJ%+2IE%b4nMSJcWxm1i} z&OIt(G3GB?m+jOXTK70G%8O>ojFQ`rDKj0}M?TqqQ|F{>4|Mr6S1#e?kYXnyP^%Ei zql?hTBe<0+s|_}M(JSK2``GK|&w6MNStQc=rjMqhV@NN4-1@L zsQfro#_wiK4nh_&DaZcfQ#BAvsW=VZ1$e$rj-^`E!I;$9pgR|DV9bp2iEtFD>U&1OtLDtm3z8IZn{7K6_`=bvO zc}-f7L?|KRO02CK_~)NGKaW)C%8mJ4ZyNkMOJ(bNTQmBloU{tV>@R3ZJ5!sVT&L^n zg>Lub240>emsT7%AT|kr&jI-w`2Lrn6f9bivz>zBc|Y#ztGzK#jbB41$1w81G2gbJ zhjkfZ(Wgr8M`*Wq9iXgujKCwN8cYhRT55`g1Cy6o{cD50N&S1k2l8s6AgTk)Q=WLe z+J7&CCKyU_Nir8yuRPCXX?{om7kOv0U?A8QX5W!JdI?%5c_{4&-&S~J#5f_n9()2q zErf8uklhREMgjc`(s4=WOB#pL_4VH%P#ibj89D!^W?gLZ*Qi;P9mH2oFJ4^;k*Dd% z?YHcpqnl~8SN&kZaV=R7CLEU+h@QkQbk@rdV`d_yF0b|elsZkv3Cpt$!{>Z9_e?!> z=<>Wr%%+~R`9awU;~I{vE{$z!E|z6r68Jt%d&phEt`(6Lo|C^iTUb1^R_;rev;z_5 z2X|iu30HU*Pj>u05Vt?c_avB2aUCCPEuPO$GLl`3LCwm4g;P%4ykW9cXY?tK$VuNj z8JMCBW;%K>g%=mK}fw(zN{ z>iom~Pbb?DYp`tys;%Y)EP?W!(w?*lavm9K6Je`%u~mzv7rfo?>`PXYlD@p{)hFpw z5Zs~det(}u#=tnUnnCB1AZZKd^9`Vx;R=47FR8;e$Z(EdNhc#YGV+^6|S@s zWT5*~KCz#F#Cn_Ljs&Kt$iZ8N?YT(^koAg}ITFHNQg!rR%zAY714lvUYUJ$&jm@eG zShRcz@s4Z6Wk<)pIs@;!R4sE$>+!sMxT+Q9uW94Q8E=?m=5%s@)#l^lHw?R(pH1GJ z^{7JHJ<|i)kSBA?k%tkv#7K)zna9_D%A`Y6zQMg_+XqM9X4_!M{wW6hSBIDwAP0>8 z8jzcm{tti*V&4B=Nxzp^5v$vm06Ch!1jygV4hN=OvN2-9Pu0=lF08OJUyZcp6bc)g z`#3oEovi8g&)+1e{hhD#mLCPF#`V|QjSuE{ChLaFm=_ss2M^62g_B^VVvqF;7Mb$2_@{rt1c>hm8 z(%OtqpycK+Ij0KcNCEk+qE-sXVqGrr$dm%|Z#O`sfGi#>zXD__L;kI1nUo=m_1MHK z5-A`{0r{7$<##65Qb3jhvIJa_q+Wo4thC&+IF?4$ijf0F9~*V@JVAMO6j8ANDi z>m;f=9iT4O*6kcR#5dV(IPEIzuYbUoORY(FEOn<@YT{_5)xKY9U&FCXcEd|BN3CsT zo`8)Tm%z*ucR;D>mIVeyfhuHgH7Enss<*YLcLoS?$?CnB2}KCB-I8fCq>Vrc{E&fR z0uUJ)03ga9@b&TQ_4V=b5im}lU+aXDErvlYKHxv(Z!@p6h)$`EU3|bp8Q04=w1;gO z-PYJxeONv%8n - - - - diff --git a/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.cmp.bpm b/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.cmp.bpm deleted file mode 100644 index e5f3fb4176757e6c6207724531bc30a7112c5c35..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 752 zcmV4R5F*Y(F zF)%MOGcPhQF*6`jN+4HdVjx9iX>@6CZo&ot0000003`wd0000001*KI0000000000 z0Pq3;000000C)l1RZB|)F%YirCm#F(p1gR_t*wX(3Ti#2)-Shr2-=Z%*iwIKQlPv600960cmZN%U}Q*PU|?XeTM^F) zq?v$N8Hk+%eB+(`L;YMFgCgSt{DVT`eI5NA-Ccv?T^vIkog9N*nHY3{>h?X=xgrFl zg@ITSs?WpI#l_VRRXa1o1fXpCj_eH}8<>HZ52`xAF(||{#M9r8g@FYq1Op()fcTkt zC9DiGFi{{4l86A}c$dt)?9$x$;*u0AtE9x@%w!<5C^IkJCOIRqNC!n4Bobdzl$cpk i43@Ri@pbi$4-WB(cl7b`cXkZ%4}yp**Z}|l0RR7H3r=$Y diff --git a/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.cmp.cdb b/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.cmp.cdb deleted file mode 100644 index ee38ce8efe96c9dd0efac8a9f6796850b0e63f2f..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 5283 zcmeHH2U8P_vc(2U?;>4MRFE17MT%4vDI&c~6e%I}D(wRVgiu7JO6LLsNvH{gDg>k^ zG$FLmiwcAykc5`YefI~vKX7Mf&e=J$b9QEDcR6WjXy6Q&E|7-C?IHjdZ0_Xa>+0zt zECY~~k(3tJ^mlcCD=Y()6_$~fk_AY~O3MI*P4t8d>+po_|BPrt zX#dOq!4l5@=0TUXzS_YA%(!|tGPnm7E^BIxx&0L58O&_B%I2vqtaiDdAF~)2+==GrIWi`yi3Ezrzw29_I6T~Yvjr?Hs2qY zKMmNJ3n4=4YpLm5_0C7VGvI0@-g7;77AKVeDoW>obM{o2<7(>q2GHh)sQuc?^TY{B z4i1h0C2vjdHnW08A1kv)yYY>f^Pt4WUL+~RX0~lJ9xP9z+Q$UJ zou?6V>LzDH)F!S>@AVdmUs=S^A2X=quCvq(MM)G8K`_Z9MQ_u{A-Nsrk&5Ileqb#4LM;GbHUm!)sj{_q*Awb_$MJh=6&oH=SN8_ehfZq9|;s-_W{>ZZ0o1u=9h1(a_Iakwq=4NZj5{RLKZAk1?3){HyEqRsXw2l3h{%wgwn1YG4#U!vR7_#pY=xrsHJ6#077YUgH; zlA{lW^7*iC>=?WNEnb`7kMuW+5qKYZtA38o`b@ucFFqoUYm_MHJmoP9gm~Ka*%{X? zO>q$W%W?FZxl^MNr`L))uxTVKJg#aanL4A2uGz|isRW}aPER?9ucW72sIi^y$0m8y z?{~rp=I(U}rU`e;Tphcf|^>IrM zf7i~o;JA0sMJr)>_UxXbK+#P&!I!SB*UOb1yskC`=bRPDVZ*Cwcp2d8F}h)>Fv*qT z&jse^N)1v6JN4nDds?TD&emf{gA^=m?#-JrSSV>YQ8KOWlrePcuLvV_UfiE zUVY}$M}a4dFYR~OUX3#Wj@p+bJc09>H@*FV22z_2M**ZFa z6B_b3lhWQ?uz(vJx**RTh%8BVdzAGT+j~l{$5FGtZ4L~xgqCG|skQ>sq<1gP!inFu zYK&dJAks5*Eu zRJOryIZkst&9#C*$B^Bz5a1WryaS6$Y*EqJN6U=LJ zIJ!4H4KU1-`XH=|a~6l(NJtqM*quN~o*^n)9HtJj0C)2rkDwua&*pXLM1<;68?QQF zbxANPSa8q8WOuh)I@(K+Rn)Cr%$HZxQVcQX$H>rMXK+e z^_QjTUk_PLQ(5CPGeO<;4ZfTz6OGaTdcR zO*Op5x~gPehzUe8oS0y$99Rj=+`@b=^U5DbTj1A;NEyp9&bo(rAx;p>7LusmIiL!7Fts3muCjS@{>i8MP($DCKyFjW zhEJuuECMBeJX~?GrO+1cX;4~2-ES#5WSRqcY#!o}lJ+Z^>Ml!l-dXh%aW+Z0ZfkI< zYp2vX#2!fscDHNwl~;g-n3MRsm)F_A-dJmcY@GF3>A-hXwbM>&=}-VXG7Lj@3PiWH%sbMkVG;0h|L;`*TkK`nWSF8RB41XBLo!zmSxM@sP^tD}vttWFoU`<;B6 zt6Pgbt^vc_k7sm9*4>%-zlgA+canHsf94Gk+~_Oz1*{cV#|jgZe* z$kM8O;p4dRfT;8(f9z$N?UZe)R z%Po*%iXHF?!AVh9q4$inO_b+cMEse{o{>UsLtb+4MVnDTx7TMJ)28fP8bFYga`PoW zBek1?VRXLY>)ur0O?sEPbkH)Y9p^n!z@wKUbaRWiOHMG*+4J`mm|qEvacJGT)7K7? zJy>$Rd+1Zz-Wwg=b-jI<@dG!1cV7^^^Wz^kpP$zKj9?cBXzzZiHMC8j0vQh4H~_D| z4CM_P=_~DTW~qf6h39Hwo~X!uZ$$)1m$l~bzmQ;4XLReIz!Y*H^V1?juRLaS3qBIK z$f|R+kU}#4Bk^w(;@p%PIRziaX-GskR66^qLK6DlE5FuLAKZE`JTm2=yQv(?aH?jr z&;>tXLHe)7{?&GW)6}b)yxb-cw!VaZgs%q{(dhxZBg`w6g>!1v2Cn%ph*}Vw7 zvq##p^L<-{v&M2u`W1X!kO0EK6@{DrlC+GW6!-a-|}P#IR|Qq-(KW}AF+pH zIU^eD0(Ze>Zq1JmXHwbhdJ_@;|9G93rYO*L%fsC8BPnl! zZfaiXa1}xH%fkdKBCTqfhO})lIjOu;OeGZb6)WD#_E=`VAtq*L;0LC2|5h|~6j*Z? zxSQN*BsC|}4o$YycDS1r#njI5P6XlT_lPHnc0NPV?EJ?nukz^#yTQ?LOV2CQoTk{( z@LkKr=W^tbZ{S~3F^}gb_btg*Zxw2pN&=Tk;&o2*h9SQ`>b#BajS?utF8s9B>Xn>J zQ$5*uFWL=4bDc-nw7KA7iG!7sKM1$i)>!7?yBR5k4;_&ppG( z3q9A>O&ONya4SS^K3($dv6}li2KBSjVd@R30oU&nSW=l@Y%8AwSAdDTTC2|uk-oe= z2*=~_MF;uM99V!-n0nB=TD2~r+-Ccyc^}rkzm^p3m34owfP4%o_Le7+f+et6e1|>z*Av54ML8BW2!^>Xk79pNKR%RVOnLi9o#uy) zkVy0?3k?mG8gwc2i_J4r4W55`Th<;@$K(S@1c$_2%4G@k+Tt?&Wf(~h`o>WSxH zDz&zV@kli3H^r3Y~d#^U_`T%lq!w2uL1sHmX$uCNTR>m5xL|Eg>ErX5*RUj!={ zD1)$1ydD>S4H~&hUu0bTrAU<3Xssa`^P^U5Dx|Fvvm|q>_*z+Hv`HYNihuiRy!a+A zv9DTiep-TjeERdQSlK1_9Bq;&G$@h&Ck<%tjo58V(|GNaWP$RMJq&YiQRHZ!u*#1+ zqqjE}cb^Qk>kS#Yr~p~xQ+z505spc;`ssR=ZhfC(BPgx?$ovIxT|Fr17BpEmw)iQt zf(AMLS!9|G^nNB!PZ!&Sv5FdfJgr&1SP%Wz>n{=OnFj|0i%~D%r477_tE=6FEdi7W zwdMDj3i;>}Yh@d6UTj?ZWNM}$qk6SU&#sHg__AL{w7H}$-(j=+;MoZ?l+Eed4>2*u zzqIp-5)tls#n8{vZ_r2o$nSQGYAx79Ys8j1^%94n?!S~1zw62|Mp2~hGfUS9WVke~ zvHioTP*krU5Qu*W6nON0@_GEj)aV55c#W{nV;=>h{u%rToy|`_5t4b`L2}}4; z_QbkI`a~9ATxH@?18$1Wf5%BT8go`~GEGy@I#zsj1bd2@9;#>1d;Zbii$Thb($l*W zxj3o(+WAIsts$YCL5NtWt6I70FFN+YnwE@is~?{js195t)H*PaY(?G6@o=J@W#wBE zT6p8BEnLN8UnLQm5r%xR^3VP=ZEA&vN!sNQh|1Rud>+T;zR0-wCzdC4|2eUL1Mp3{ A2LJ#7 diff --git a/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.cmp.hdb b/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.cmp.hdb deleted file mode 100644 index cbd6ae8e2dc77a0e7ff6a53605549ff3f39aa5af..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 11585 zcmb7qV~{4W((c%{ZQIzfv189Wwr#w#W81cEd&jnRxMN#)&pr44{i?o7Rd-ic@}w&1 zbe?nt0|W%51|IaE1Oc)B*VzAQ4KrsKD+hZLR(2*_rY5>^&wHg;w< z7FKo=Rap{EGh-47Q!CehUCV6#ivUISe}O=LqW)L^M~X21*Y*Ux@Pkb!kn(b$OpRf# zZ#V+S&uVBGNGld>NfZM}Hj!K1SbMCC3Be%HU)HvGwp3NS`x%*1Bf&NVp@7X?q6o_n zq4iTJ5+#DLjs~)>y^Nv^euu?FY-WG)M&Gu4ziW87t;)M;2C#RL*A&-U*mQNCea^g9 zc6Pm`4++fj!)Bc79j-T2OdJj`X_>iqvIz-tA6!>6yKB17$ji^lPZ{XrW^Hf@@^Rg( zxMbcqqcb%DtL>_cw%8FsA^MQS9*EJg>D)69ry^l{>nw=j$v`%WvjeCvXRb;Ad z5Hhg$@CXYVQbsS>8HFq}M|Y>^LUDdhiHG$dWDsPmbI%%2zR~MMWag|j99Y`|!512T zVysV0kwYxHAN~eR-ih8R&M`}tBJo-Iji;HdKB-;nkXRC;$A#?}udTbZA3Pc`rIPN^jH=A2A#!2r&64P~Vg4i{XD;@QFT2}$+Jj&RywMXx&M?$gJ|hufEp(Ig{ZJlV+N ztKCHx5dH5O`2GEcWKERPiM0s=L8GOB(aU4*GlHuZl?Zm4pMO@J3kO)8ukn|&C+6~$ zKiuWyAHvpt3ysaTlfJyQ(*D+N?A@~(5V7U4G1}gcXG}SL6NV=;=L$4VG+edr5Im_(R+)oT@{b6M5Q+imBaTZs`~(=poeUQ z7EFeWuOp!oY)zlc&j;4iY1T1I?Wd&=q+UJk$*fP_@AX&gkKE;r7w?}dcfK4B08Pq5 z964_;e!1l{!Ms$QU5(VrPZ=G?-@9i$;aJxnr0dNW!ZC`4+xX7HV0Hy~zQmMQHu^<6 z$y%>9c=gCy=Is+>ucMwj=%CPwG3csY=BH{&ypz6FX)-3-nj~{^bjunNreLdC+v4TF zw;`}f`t4!kLAC7B$u~#Fkysm5qxHxo-6IlgM#kOHD0~zkiVs%9mnh^CQXwLF*vh-y zqr7wGTDl~1Wd3$;GomrSDsQPqn^U2Tg?86f(OmiJ=ll_;l^2-7bXS_4zRFe=(^iN2 zo(d{Ek1#Z(?$x61?Naphn!bvt;Co>9Sc-6{L*Lb=?yXY%=;XV#IUjDLJiyg4*9po= z;Ps6=ZfvM%$Vgakn2!{qa|E0ZEARi&xOSOzSn96OtG2zktbKS!M7W*1&RcmYZ@(#O z-~N?bu<)Zm1?S?b$`v~luBJ=>V9eq;V1+8w^?7)u!wFV!)|BHjG6i5cgyEPknKme6#L5Fo=hXPi396!NlI#)ZN${ z4s!=9dxvlo3{9{V%`4z}Nu?p~9H8g2AQ)08dogkVWP3lvJ1i-5DIE)9%`*Mm+VVec z=hoa+8uD+Z@)x-{&8S1wjLYC*&yMcyd&Iab^l;^a`=t`#)8IB88rwoBp(m+Hy`SN^ z_0D9jNc3)Pp|+E@*C>pBo2~zh*|!Y`+>b@mH9~*Si{N5i-4O5Wo2Le+xqtAt^rrsu zQ6=FPJH=R9PP}G*4*d*Q}AJ5WM^qI)Jcv2Fr z+c27g&siH4&uM3=*W%kw*b769{~d)!HDOwtB9n6!IqxB8>7|((;VNKMS)%{`H}islVEb(i^d&igf!^N{>?tcN>i!Sn;SD2mf)os1z+_wQ9%qn>=^3{m(iXx zaB2zqZrwt z<)U4AmcS!8c}U~7A2AvugfD2dHBqsQ04@^R9=zm6D=V_!j$wB3)17sz6TKQ)%wKro zp%IM5v*X_WuxgLt_>x8yac4JjsU4c}8Y=k}Hd#i!WJI-`0(6m8q)*f7CaduKP#KaZ zlvBhQLYwT%pv`!a4Rivb(gww3Rtby5f#$WfA1(u_YT)PB+Gb@<(Sd-rDzU*a5~l!q z2Kh0R4mbS(EFq%9lAtcCgzfa=3K9t zHI!6|A^{+-C@3x1RN`p-SH^JX2gcL-%+!gcr}mSaZNtydGcMWEq>eZR=^?*66MU}) zTt1gPbg-NuwoDAAGf98%dMZR?1A7+qGIIhrlgb$Lz7^=CJw4Oc;!%gXBr&vAYUb~8 z-8Dt*TG3ROV}y zsWpUlXW?=e5yahpHOkBR`V?O^^tw!cN4Hy7%!ea*zWnR@+h z?P|YlLSpafz0Rg!Dj&CvjqqX`MiQBi7QrOk6z`zll};$4pR82!WFBPhaUY|T@+40p z`y@9LFN&FJ*IZjThk7XlDL)(1aR`NFzDlb(5d5;l^Nyb>_#*URsTA5MUf~Pm&NhGD z$VU#0L_%r`Gp0t5?2GB^3)weMW5N?+;JN5IKUPo(QKp-(pWh`-Vl#DCPYZciHGfka zl>FSWa{6~$cI>o!+`6CAx2$4j$=p*Mac#6F8*jMf?pwR8@7J-nTL^YKA_i6QdK2!sO+0bW-ea2ADz4dm`DU~%zcGCA z?BgB8w00Q(9%-7tohui>)-STFZP>TAQOk{*d462ft42s7lB)H0Z0R`c*wAa`-Z)N7 z)4Uh=o$C6lXE%KlCAXtuZL^d&eWP7;yI*J%T8E#qN|Q4gYW@I}GhnxsKI!-zY44aR zu_&@*(tmQznuOBqyS5T!_j)9b(-U%e^kLt~EQpG-SivG@X6oZ8+I@!3&6wRcshIC| zdtG#!a%$nMjEb6}bf9hNu(g!jRB7}Jm@C2cTSCZXGVB^{uByTHHW$G5=yiWxTyFbv z3)s;SyTeXS)ty~_d4yip?pu0Us`_5E_S0c*l$ga6Oi>Qd2@Wuj@27sHuIM%RM3$R7 zS%>gDJNll65Te#~F7g3iEf3RC$dk15vA1m>Raj|#cpnhnAtdbGo%Bqs4tl@ev0CXK z!*QKXt8FzOmRk@+57?@9jIJZrF>zMfJU)N9(<1Z}rkc(h8(nz$`gE$+m+_xC#&{Yu z9F2`LAGRqH_;mWn)DqM`X|8RRbaR^L^v)ZWII(t_=C0*RL-gOwIlF`jh?aCQ=hOdS zExY4y`1>{bZv zo^1Z)?`3$IyJX&*0dhuPa#3;3Pt7mdmhURhyP}siT%SQ#eH`yiPlsMj-d|C9eNS%R zjIJLg({a$=@1mbwb&Jfdz8B|D%x<5HqbDk>!U}!OFLF%*1(#NDB`syAeQl@Mm4=yL z?>_$k4Kw}Uy?vSv=%Y-u7S%WJE8Gz?vptA? z>P1&Hi6-!Q-7IRT%h$jqcA=h4jw#Zzw+OssbkmilI(-CcVGcG@>a+eLA-){swk|b- zr%$hjQ$V9LC#{a;laa2IdBLrwvl*&`wGm%qPSvUsP2x~;v#u(kx&5#>HBz@sgu*zO zMWTVlQmqn|`y)3GhPGgnIQP4gY)MV?M$Ay1@Nr@TE~=Oov%pEGVL(ezs{*xvD0Qql z^7Gg5#payvWP4?#;6VcvhD%~O5eMprH9$RkG|NG!suxz8C=yrKwUMq;AXQOR91|8- zQ@!|JtC6DAX(i!Cy3&rU+J3K%Y8ysERPA2ycxg#xyOP8!g>jHZFJDV8PEc$9M_>BN zoyTsafO&;K7pi>c^Q*d&L}B+dVNNBTJS~>0o4XO&V4S)&tTv8b2(NDxx zdCZC=@lQZ-gk{xHfq&tUc*xhBieeq*&pslPM6FCN^Txp0lag_D+9lB4{jifof7$5k}Q>(>q`b%##SFDqKb7o@Ra(9m6nV1xyr0 zZ!lpU|2M2J!5ZVrzR0&mf)@2Fc?(RGl=p~iBx{$7=OMSuLWvg4BoDlizN}U_6y3r| zDSOLIX?4ZUzEOliUA-rRq{N%ouCeq_fvLR{kL4<3hL0m?K>;iU2Er`Xqwc*+$~-Q! ztt(vJ>Yp7J!(1dIna-JoZ_hZA$KiZEt%H0f4*ghB!;vKC4?gW0$Wc-nKet_?{=}6I zuNl*{s(ES!W!0whX=I2oZ2u0ZzlQ2#yHfV$RUt0yQ_zhK%-Wd&Q_1+24=C=s=@%^? zlj;`!>vZ`TW<5KG0UL0rNlvuYw4Gne8ysucywjGhgSoNc8F%?g6D?eM4;|i8YQa@w zNFyS5I&dbDvmNh$vgF*@Vs1*x5TKQ2AZyZ8WMFWf|4oU$*q&qp+&wfpb&_XP#gtJ@ zbPb@bsX*yju zHIm6P^q{{q5{{s0%Y<>J=R3-^q z01fwrQW5EAJF&aB*0|(Q5If$Wq4PGfw=f4O!$pp?LG(FI%T@le=AUwp2i_c^AO#`j zE%y8LPWHl-sAX5yTG&N9nP;ia(o>beIXL(Y*KOugfd$k|O_z7BZIjc?QgTtLU%zzX zN{EXVN{TlVuNEJ85EWqHTUsCfqQ-|yx0$)3dWP%|iPn^!63MN)vZ53O8x?3Qj>IS4 zmK%hx<$W}Gp^nOR3Ny*16t4VryRmj%zEkQh*&c4 zQP1*zqO?7)w$@c`SygW-GeChqT{-3IF`KN4Z;P9X)@W5!bm;mBPUu)aoiH;|HDM=j zGAT-lsL*c`cPemmADfx|HMzBhjAqwka1D637=Q2y=x9^1T}Cu5jCYvhsx zR3~4ZOh|p8$lW&1ExBPW<`9&tgr~%^Q^}zc>HQhKRURxp=u)&+s6e-r=t+be#v%{b z^M~$W_GS&6f4hs_^8^+X&ck zQKmjfB2P2{*RpdLJ|+Q-UytpwOaX!zGbDvfOE=YBw+-k)u$7T#Idf&puinLhnf!OZ z{+qPIO=#08o&11A*w85r+-e0Vo3sHw5IL45krrj}15pGIh7DWaDM! z)EG$c@b$JI-PJa{sJ+O}NYBkk@9AXYYO(WnwUvb5TLBbTuJVT9HFyER&FjAzaWC`( zOP)uCC(^g+fr2E7ulX>K@i31t%B~)si9e*Qz8$2<7mL=2!t5M&`;SGHYS-F2`nFVY z=*9>rFm}G5(UmEuX`n7n*w&aq<*s8bf(oBzOtuDoz+m{OgPfmsjVp7z#@0@PQt^)G zAQ8+G`cYe3+t2TLd41h4;+CWQeLe$O>8zAcb*^g1#k80JSb$2ZP}enq8#uYdv7+pP z;_L>{JvJ35b9FYyy5B3^Zw)t@Jt;hF0pCl^};koRN4gHwuO zS5f<;^BiGiBiW7K(02B7gG`Y~pKkAhF|7OO^mhfKA?rf{DbO^5(^w9a08w6*G{GghtI4k=BQyQH2 z8z7uEkefQ-PYM(y2Y#wRnben!y(a`xbWG#yN$~<+%RQ7pqKP$(84kIU!wRwZ5fmX( zT_ok~t<&H=&>R~B{UrKXFUihKNv|;WAbPz@z|C>oE5TFa9P6C>qmjHA0okO`vcGcg z022satw0Nkb$%%*eoZKTB`AJFC|z1$P2zyYB!H1ks|sO-3fM9UAY{{`2E0V+!j4Pn z3-1BUA-40uu~_eSNE5yM*e3QK@yV8mCo$g35uW0Z(*MK+fJLJ(9;(jTkH9u0`|HwG!q8kH05Z@hspDbTWeZHQ#%0hA_BtDw~* zeuytl{VxLsOi=0*JtIL2$12y#1!eo4$+J>K?FyyS)C4Rh2m*ftaYc#27)a|8&5@~# z5Deldipc1D9C$F;#fd2R)q8$Gy<_&HO>wCCG!3(?67zk+&Wz<*6=P4?isw9lK-7!iW)fXL?JA|qjXcfo^iW37~rml+`<-%}MO zUwZ=}hiY-^ZDA7&GB|g-%oyYXZ&xe>j2!E`OOo*!5R5FaW> z+IA3+VurvgCLOYVRMY~Z#E_2e5{M_*j-u_oi=@RV2D+4~2J`<`WEs2k$Ud$x7S`EE zes$9BsBw>g&?G_y&rbsbod){0bcqHV0Obz#mM@#c@RG#HyzO|dLu_j!Na+O!lt}5M z4=VizL4H%NenVoPLt-6QL3da)y73-uTh+oTwNrStmgs*Gxd~@ax`l{i7c0^5xWjSM~z+oT1IidABx9{#d0i6Lhm34%hU0)XK0qkHy-R;|I}Z+%i>E?XJpm3&bQgfj zlxQDdJ}!X4l3Q_h&(0X4J1np64O_3LV`cZ-ZpXD^T`fugL3)Mv8j%1=IhYXYnmlmO zaAWx+fy`VA^p_2V19&3oP~$^M|2+LLtM;0&+I=HO^`Fb4#d=iTYaGC7E|YF&khd2h zK^!DjfK{yk6AD2SNm3j|og)i7gU}8rIJY2rHV6(s)e}|Fz?C>QlgbRgOgO)GIKM== zPYH@gC5lHT$}`;98{o_PVN6YrG>?tKVP zAb``K@A<$CqX99bbfbZPfkn=?RuovGd&3FMJ``LBKAKhdrzE+cl{iE4IRG0_8-JZi zP-C07X)O{HIR<)^T}Bk8G++Qj5XW3|S#{xpc5wS6HdCBn!*xHVL^XbMgW2-DJHLrO z?VKLzv4$D(P-ite&&I||zbs*EC6~xfOh4FXRm!!9W^j0ocj0C&{XhAPO4*z_TEE4` z+q{+S%=L29OrQ)rce72s^u*j_=C)#;Wf{*8Qs*TzEUr3QNj`R638!0#;<;8LA#Gm| zZf9+=jmRbpSW-Y*9lI81vW+RY_i7Md550;FCASt*p^=jzhW<`cd@CTdlCcC0bzu%@ zPwec7PO%Wu)H*$P6Slwqng1%j2rz2WIo%Q10**m4(RMo6`5|Dy1_7~hgknYc&bUy| z(8-o|!BY-P|B-+PUR!hwcCa_5e2A$l_kINXUHQ}qteh`!$e^if9zf{Sw|jrHxNTol zHC2|n-BT3usI(^-PiUPVQjly;u6R(d{&_e0%O}XP^*!6@9CG4M%lXqVnmG$QMlSZ4 zfu{@*tY?f|(DxFAr)-3$ZiLaXL3A)QMk8mT&MIc3RL~R*QBrEx;_&qW&?b#Ghcxh1 z99*3SF*K3azhD>22|Bx??RW8;x`PTGi9SJZj*h0TV+ISjNW5q~LAyI7rfF#n^dPA_ z_vaGjh4QA{09R@1t3BK&T?^=vKz-dQAT883RDwOeM7aW)8ZM1YoB_@L3c08qlq?Ei z51F|ioB1c(T9zrx*Gxr!un2DSu50|m0E^@4?ewl0=3n;)1osGOssLgx{U+`xWnWUQ z{BK<_t=anfb7ZhyF+K;o3I};Mzo8QLFz!1*;hRO zW-wEg@J-U;O9&>vzc*5Gi$A--)WW=x^>qi5VA*dWUGA*o{Q6|cPsC}y;@Q1AC=GD) zJF~~etHjHzf(j)Rk6yDD)v7psftlvZeEWo;RY+WCYVe(0fFlEDpE8({ycNakk#q6# zf6Mk9q1;C;+G7~<=9ffqOz3Q*{%7XMVG@sLC+2sjoVOr@TNJsKEfp_GY>8YZ#|* z!gB0YL@&WfubreoN>^aR*=#`rbJ47t; zO7KTtgS47qi!Q%uiilJH1SSE1^T=V8MF^j9AhIm*_6Y+_i3386K)+E?_AMu9fPfjj z{)Movwblu7A7a@Sq;2pyLgVZtM8E(jd%NE}D7)G_8ld~g>1T(JrRs>+hD#idN49ed9 z^pB=+;$E;qPt`x12U5PQ)bGjvKvNC{wTMuzLoKKUr>lTQcno09$SC-FC!9j~6g~{Z zWfT54UR3zcKe5^`8&n{5&>s)M9+C@*lg8A#hx(P<8-rQ>d-ItW@w5&y*8{xG_Ux*n zxmI`8zFB)!=zDK&Apbq`-@N^v;g_^_w2wS>Q(uJAJd3(58}Y_g&-!6&zT%#;Bu{QV zFS5~2E|l#{?7pv7;{v!LS-0A0zXu`+>EV-_UJiAxE*MSN(UTU_a?n{3!(;Hr^FUc^ zUO;1g*5>0s3{cPf$d(vE zCq8AjrNq0xG(z5N10y?VE!I3O0-gK9-zf8OOlN`~85EHga^A9G9=l;4!IbA1p0uHc zMzPK6y%OYW-%E5m#sBHL)q+-)PxlHT^pZTlFe1GW3ty7>TYyZ(nW6OPteMIiPIoEk_AjeVd z7gp&_rFbue!3MYSUY=l(lXnyIK%9_oArsca9DPbdy*D5{VF0@MwmA9O+w9!!&8Ol` zA8%Zcazml>Jo*uyn8yLkVD1#-Z_u(YP!PK`+@4x=GyLhth@$en!LJ_LAm@MEm>Up* z-?-32MFYO(-rlW^E~e)0!R#ORzY0(rzro;$X#Wv&=*TmiH&x$aUhzhh;sD4y zh~#%4I0;NAOy9ozV2{vO&uSHvjYgXoEe-aD_3S}SDsc{^ldhDb@}*fX2x-Clsp1G>{Q4H(d@Tx6wfka(Wt8#KeZ!m7{gGI7No6=EX#D3sk z2lN*b{ydy4`CrQiD5eaa&PKpdv$btf{$a?x7;HFC#h!_<*W#h(vqLx$r>4uk%r19q zbtxQNpNW98FruCaUmY&=4oy@oWKkD%q0Rq&V^7Vf{(bY;*!NdJ-r5LUtcNg?iNI3B zlo-$TXZ;78>C6|yy>&SCediQ-8q=b3suPv45?*SaL`Kc2^ zSrC@n&QK|`vw^q!`X1DbP6qQ*g{8C%vpcSH*Q_0Dc|pG6TlUw#?oCXweG!XC96cON zF&^37?R ziN$W~$A$N@<-gCgD3+PKNWKmDnB34uv_*nQ9v*><9}Z)fBQnjz(C{mq^!MqF$FHwm z_K&QgjqS6%p}ItMQ;gWjQ-0fDIUr@HLyTB321uFg23qZm^aEwuy_QtFHcvl$vQCpe zQ@CeQc}KA~g>ug`1jcNh-0)|4-8ym^R}CmVRpY!brXW8aKiark1w0fNUrQ#wQOuL)G>M)OO8JMg|TVj%;vGI;mxf0`F%V*CT6DC*mcWM z>Plc3;^6GODn*JSGC4$wZ~_2imJk>Sc=3RbqQXCEw_$O_qvb!vi$sknBVqS=Eske| z%PtWo03Z86TcVE?Krqr{It|hFthV2cw58)xx6T!l>pIVlZat!^^Q2Xgc>r)ca z;4`*#0ffFVv8@bKdn{H~6Qw3x1Mo){+<{i({lNFBTLFo_K^OETZH=x0TMvGUT9xu# zGa_0!F7_lD!cL>NHVlNO#XkTQ;3gc2bSle^30@78-1US?pnyf7bV2s>J_9gf3+*lOcrm#ae)5C5}LXjmis*E(z zxqAO`pk;jjY7u)SA=XYcR9JtE8fe8D%L#mlNL_THz+-O^ZidN9XuUPvJ1J$i2m@Cu zC9t0-^V}&Pt$}3#VS5!@Mjo8tU1)uB#WvG5*SyO37M2BzL9d7>%G7Kl_7e@5b9m5( zd`l%qPl9Qzd|sBKKyR$gX{EqUxH08>9!w&1AOj(1Y+8@aN+m~-kXpmgfGy-4STrQN zhDqreW?|fR;DyMWI1c{UmU^QrR*=VVt*XDC3$)Y6~|7zM)khH#Ky{smb1ZR8_Y$H`X$<~uh!Mk_2R{&m& zt~QVC0hlr6PSR$8aG*oJ#0^FfAE#Tg<(zSYL1mU|RBl0M%gRG(LDt6Ya;E=S`S@b3Yk+Wj(s%-FI;> zo7gakW{<6$|D0Y})$yFRk4~&Z3WO4<)ZAveS*c#ElQ}GQ06%>2i}7M1`0~v#BOB4$ zUpCr5h1Vpl=)`WYAZR+rEF)U(haHfLUoUZ7?K<>|KF-?AHY8j&9$Q~rktna zx%)V7va#8;v8x1sAr&W>*m`Z?k~y%|gRSqw%=K__TnF*QQ@P3ioEy%g-JOT#vDpCq9R6YhFp{j#4>^SeT6P3*cQ3<#NM{;KSWUW z;B$A=`#i@8xzE5p@mV?_9$K0hX5$YUFh?mPw#H#3yZEdfg=`H45`1{mKq$v}W(aK;^El6VfKS zL`lEFtcIQb@Th(W%F2TwhTR?tMd&klW zAL=x3Gux+rZOXQb|GV+WJ%>igU-Qi}M%X_d+e})Cdjh8P@F0d2l@Fr7vlvRJq$m%Q z90wy(T-$|P_5JZHMj#S?Wy8nN#5P9Ov-f(BY0}r}dp$`ARPfxk27}pvJdWsEN{aM7 zx*=DEUiSIVb7L*SUyt(|F5M7A(RL4PRKZWJU~@*rDA-SMv~JbC^!@wu{QdoZ0GAP- Awg3PC diff --git a/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.cmp.idb b/CH6/CH6-1/db/ten_line_to_four_line_BCD_priority_encoder.cmp.idb deleted file mode 100644 index 71d17f2b1c75ef78f9cd926b0cd00a75c6f27a88..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1200 zcmV;h1W)@E000233jqKC0001L0BQg;00011WpZ4R5F*Y(F zF)%MOGcPhQF*6`jN+4HdVjx9iX>@6CZaxbD0000007L@-000000A&II0000000000 z0I&oA000000C)lHmCb4tK@i7#va9hkCK!#Mu{8$#j7Cv&F+@EDggr?PUNR(mSkc+I zGxzKRC_aKdf#69GqKKEg!-D7&cosGp>o-$9+itQ!WI+TQYX1MK>h9|P*UWC&7&9#9 z#y%k>HR5Hl9b&{8ffyWQxcGo_kU^ZagUSW6ecgO=ZVovY_iOF+;{HCny!dYACj_n7 zS+R3sm&B|X{({)3SSn*g@sQ$S#WBSriboZXDRN%cA6MM3NL}JXiYFBhD2^*~e&(H0 zx$@!R_KX#Aew<_fWG+XOCCcj)}Sdlw1lHA98H;nmh6Fs=tnJ(y+GEYCmcP=gVY46 zg}!hrMjj-O&Tw=l4iX1hhwgB6ryj_eLF&>6IDO!*AbI)$rys;Y;`9YhU#LT0;PiuD z&e?(_iNr^V12%@@Ri0eK+Qe8t8|7%hj5<=C#&BD?Z9T&g~)M#+q1AH>y$yo%#t7uS!`nr+NYetQ1V?e*||I5(E8 zr;paYq&H?-Y3tdP8NK_i$h&!ef}DBSe3Ku&@piV}f4g(FdL)W!uM74s5PPR{%Eb+1 zUTIt5Zn&89yK}vK*7eGCOcSxxz+sZ}e>sM1rf9CtaQ)JCJ*LeELKU%dW;uo7Vu)g(hg0 zY=iU_UOyv-u@R%DltLl@hn^!jP?HDgJOno1v$?S5Nftiu##aU+h?XEw#<5tPD~Egg z(pu2m(fw^ZX}T73u&!N8Xd~!HG)LA!%iI_vvmcZ#8QpV#P??f3qoRQyL_@98io+e~E))|&)))*u$(L!FF3t>s z&f#+Z4^rn1<$`@QKeH`vgg;Ji+X=%SU7CS^?_{q$TsHc_8Ib&MG5~rI{SzA@hQl#gqmW-8!NI}R zyVrXAvD1N2u-gN2jn2+^Y!;g>i_D?3&J~*<-i4o5_s1C%g*i~Z0V~b7&nYi&8521I z@8@^V-tTv@w{i#9&o`0iFg>zP3YMvzs%%waVNX1WpE!(aTFY#gFMD;Cyk$NXlGE8q z=;Px>XiDZz1um1yTGoCRc|I>qi6pWSknag}E@ZJO!U8Y_O0 zH-bocE&c)4CV6A8xv8H!X+E|rMNds=RBW|Jr0cNxQDU&ojwMCb{hCc#zAT+L-$<3c z-t-MW)48u%ubTmhSHpvK>s;iH#AAg}6u9>f9K8VPA*X-8DSfU|vK?Md)~3d|q%_!% z<=%2-XuwP#v4Zgsl1Rdm=k!{xs>ZSJe5yjmdS64g$eV%?ik;|3w156tD$-Q3^7x4S zDu14i!}r246CDwLBnykC`^--iLy2#((3a=Oew|vOS?{V_;p%CwbQAIYczCC1#7G3 zVn>T*DnUK~5oh~bA+9S?Z`-LnQ<2Ge(TynC_R4ujN+`O!jy8Lb%^0GVcD53Q`Yq1D zDBZuY@o#xh7u;6}U~rSuKkGD)%X8zL+Zq|C}^&`^DfakD*5= z#W0(QKuZg}&dqVzU?|@()O3x7dwkUo z(zRhatI9PHXJ;7W%7{HCENrt$)#V+wC*Txjf50lgMOq$^dXR=+hA+$V78LN=v# zkYw`>)91zUw+KOR{+n}wq`5^nuxfHWWe3Rpa6>W+RTu_!6_mZg+9G`U1Y3*>OLk}7 zp(ct(gK(4}+3Ch%+tcGvBlmL5n51iP$A#rJ8sAeohcDmGmy-MZeU0Z--WE~uPnctafbaWBMXap-q#(tCVBZ|TSfAk zRhbgk=u%bS;e~L_NDWa~yky40@EoHOhyd*o&UKsisE%mWy?)kLLT|r*qi;}-V&Fl$ z`=-+%kIZty(%TueD`C6*+cv@6=6H<&sNLXbp#IXk01J`+&k1SrqMyY3(EJAkgbllT zEBUYDbQ12fYNQ1^2uF~0qz){@upeL@7oJuEpU3up(pSY((!bDqwSPW)WRRO|0*;4H zJRS^J#$tWl7h4H43aLQSgq?>pAhP|5@~&&gwt8X;Jsy+7bN^g;1q8R^tQr`8ZeL=? zQCV(UFD1xsw7Mi5r7l<&GSo>=|9HvBCik{IhB3FAyk!^`OXdHlH}`t&!A&fCw}v1Z z@?Dmp!6sandV|F0yl~iY=x|rEWP_shR}d3tDuX%j840BKawXxJ%m zDfz+p#N*P_D5e;4WjW%G#D?(<+?x|7#X7Lt`HDIy8edXrfVjLqGdUI6&{+$g`8T-y zo6RM;{P*%pv%023JLAS{?;K{tqVvYVUOc~uH5G$wp&B@0{gvuwEf81~>!1?}?y<6u zpFnh3kfV=kU`U%1k5-Jthpj>bcZMyHRHL(#%vNP z$+(Qb@e?KmNxTwhs-=sKagXG^F-!*Dw`8P3&8e($hr7=l%i zNzQG$Cxu&o`6NX#vaZqKl4VpNoqArdze`FU&{@H!Yxv>9MpiV_?K+5sTWCPgBZJ$x&87B_ zc3qSzoFMMr(#D#D)jOXx?v0fVnQW!femu`_MEMFGbA{yES7w8LWaC->hC4ST`!Y6W zJAIcRWU&X%4dP%J8UdnJp+f6z_++=Hi0MnChN_e$mGfPHFQqgQ06r9q?N{m+KAWI9 ztC)U)fA^Wi0OP!*#giIuO1na^k&U$8dA6~3QJNwJ?eei#Q)juwqWSo{hIx(^M-1xc zzKzMVU6S?BPB+~nJ(?|k2FX+OpR!AasK?3>Gz%uapV_3tu4Pz@DRFjHU2G_%q)BA{ zFx02N5|oo6Uh5vnqT-#S>Ijr9^_aY2BrYX#e~&}JNEl1#yOBvOk0rARa|WMNl8LV>taV_=gB>^H2lkKzNMko@ht%tvRP`_5&WirXF&K zx;KXUZ)PLM8dHuNk1&Qbr4n;F=-htQJ526XiyNFUrDRjDP`k)i`1ZobVo7|US8tMY zb@V*VH)&0sW8wlK<-87Jx%)x9F!moyT-4s$vDt=pOJg!^Y{<^3OCd6j){X$Cc4o5c z$6vt!rjKZ&dvwv=Oh=l@+8~Lv&>#-;10Y!j>#!m^iTf=_@?A}WOoky%0JmP|#1_u@(QZbgk1( zo$U-6lQtWj3T#uhJDN_e&#&lJYS&9!EX09`+|7nwspmo)oy?nvwDT7_osnyJ^E)Yr zQ}}6D#)*Zyb&uzoI3jen{_H+Y(@Kj4*n z$?6p&MD__Wsb19fnl)sC^LgL7?{zRcQ0Vrq>D$ zl2UemjkIB|!yk0p&K;UcZGR7zgIVdiuj<(Iw|0AQcUeu(=Kx`;GH`LnP8wF?!g6jg!?}`@?xJ69;1OjUXNq; zDs=n*dB*0`MNcE};lBUJ_49r&#`lSQD46U|QwHe~@ibgk$jXLt*|BfWBiL~5ezPqv)#&U!A97#tzo9lz=uOjQS`di+ zuU)N{p3}=>vDUB0Sk9LObEs^TdFw{(EU{u>C-iY=u`{02gb*jHrX5$aOo{W>2S|1M zBZIh0S5Zz|ou?gtiW^2)949_`5_cV$#U##`%%Y>gXlApPnp>dqaTZ_b{B^#_@Su=a zVB^+F76T1|X6OF}(twk>D9}@?Pv&O(z{+{^MMExJ(@KwPz^9{F`u`iC-7L6bvpqC? zVZ2C9^xKSkton~s#Qf;k>bnE8Py0&(jgN>cZ2~2<2oXYn|G4FRcKMQ?CUcmNCt~#; zKm0})vgE{IM*lGfngP1H?Ww5M9m$J}y zG=%5_=j2!xT!BaXw@G{4G}Hez6uZ&p1#gP$sC?-nYx!(bcbzs_yqn{sbg(LiJCXVS zL1zbi{cSQ_KFjA#YgxlXxXi>}To~uX$GJ$ow?d19g_h_u_qywI8)=Tu+&(Wo)A8Ly zQFiy0K!gHVI)uhaWY5js#M2w5K|U^z_LM9(C%`7gJin6f^btPIJC;ue+mw%sdlrFbMpH~f^tKtX~p@KP~I8J6`9E(W?!6v@2i7NM0 z=7bl9_`|SE{4;f%MWgMF_l*sdx!WfJr{4{de##-IO`B`?%hcBk?cp03MXKjBuZ^oG z(vw8?*K4=W+xpk%`u3;GZu>}m_~CUu6aCz#ZUK%Es^2t^marCig2d<=de!CE%kKvF zn)>~14jYbt5BOMOERqw8t#|% zNh;uIS7{Z2uZPnf@+BU4^_|wLV=fzdhFlQLuqlVbFI1J>bUeDO)1C}L_U4sVlH*c` zNn{lOd~cgA;p>TFB^_<7@~zO>x75be&b1`U)W798EOI#ZOq`cZveUhb+6V5=`wwk+ zLiw(?vIQo1+fBCZ<)65G!hx^HDG+L{N7PE5I4E$or=2WhFLcz^ zd2Z6A&HWLp7?+!*IHD*v^3msYHkROmjQyo&tJ5jex$s7xgEGj*%+x|$J#q=; zKzYP!t68*$c(5f}uM08Z=n>Sb3nWnrqjALYb^C<&rITaKqEVwvQaBwu<9u!YX<=kZ z=SmP;M;@n)t*${p@y=>N*dZrr8JnC_ndkB2=K01!_^GL9YBdIYPMIuE%-`K^Bh8&9 zD@nNCw$TCNMji{5YjKEHE(BrqDxGNs{wTB<=WVn%Mqe{&iK8-m)JTV})!_iLeIs$M z(z(k{BH2PqZMn8SRA&!QmV2*YE^kzHT~LPO=kvK{0mYwm85`ka7HGtR1emSj^&?bF z5Cy8pL6bK)WbVwJ#gEOv%dE-%`GQLf&!qi7{gd<7)F5u`596^?a!XRbib~Eci{|eB zPz0c!p+-hdG}O>jTHV+<7C5{bINILVd;^>|=Q=f>t*z~fi_AXrntE@iiM^_T4-@EP zmG=@iysSmpK!uY#M~hnIvYUs=-6saldS3@GI|r|}TJMv(cvBjkrmB3hsMp2GxyY9G z29(9M$^Ql403LsT{M;o|^ODDa5)iSDl6R-49!{FC{OI3|ct|CIkR=GDNJ}y8D<85B zQXUtLX>yfwp%%V4z5V=*2~3?EtQ#zNu~4{ZmMfG1+GaBF^~y$ug}`MCT-GzSC*|a* zJ`3RFjALfw+g{x@H&|3vhFCu&=m# zzIyl`x7-=b_dY_sQ1Q@pTerc#{w)cQmT-);8J;M%) zP!1G!G@F@@-EW2p_=)f}`#B0!*&|UIlc9tPeao>`jYZkp;uW^~x~U9|?!)#0n7x)P zS?()BsZ`B#Q1RETMC7w)Cw)Y(u{1jfu8CE(bq~lL@@(j1#QTSaP-Ac-9YM5eLrz5p zmOcf$)4o1esqAl#U+)e}be;8vS1x*i8HZ~z?oz~$870X3mh$gxJMHNH%j0OPeN!-u zUnvYeJe+>99bB9w^6NSx7QP)@t6TNH+N1X5II!cgtmlyIGk>G@s=FgC5zNV&1LTvm z_4%y3G)?vL^U@wKw9@b=q#!->or`4A-HK$56CbK^Sc~WJwx}&-A2t%X6|jPtm^|gv zJ@2No$%{Es69gqDC6%}*Nx4MGaP4RWB^u{4W1ZPy(pNVg|2$=rzqYCLf!SQ^uySsj z6uvA*uk$TDNi)ENp<>BVB+WBucgBKovnlDcB@RV1iA;TN+PsCQGVHLzsjaOuKJ3wn?MmK38 z(j=MYY#OaW288UE!tO!2;-xVznz9FduMli1LYF;P_6s6>WKG-xE(`o_E-u$eg{>{& z0A>WlcKvL&Z&bSDKR70JX>nTWgJlo=YeS=7wZq^e${0=V9}(mHED!RxI$3oY%1>G= zIns2i)?0*Imkio zsu>|w#ziqqDBv+4k0WOm2jJwG+aty$WtCb|5eXaAR7z8WG)2cB2&Z8AIw(2pT@BuZ zh!YGWmngTzb2$fWePc@$hXf4?Lm9dwR%Nw#(Aj|CO*DYh5DBjtmF*17TX_jiNriC}a1WaU=*ZYm1|08JTko)v z8QZ#bQ6k8F7=z;iLUnX%^M0T^vnN{UFbe3RE!1P%Ru(aSfa>;8`yNHa^_2Hkg#00J zfJERT;|`XuTq`rU54;;3!_6%UQwufEDPLUP{5f@R1QV*k7K0F__fHrVQG-D#$D0Zy z-k2fp;bDAE=}29jZ~)4u)ztsd4Vn1}WzP7zT#$)Bh*-*C`TZht>H8zR1R}AmL z|9bDyW}|^TiuS#AThj$sN5@f#_{pY~8WnsZ5d+0c++FZo=U*P!8G^og@!Vy;zy(PE zQdPn4z4I>C(U;`T`#%OVz#;hz&s-I#?ziBEsnpwQ_Xqnp9tmq=uDVSd)|kV$4T8j zz|iyiCYkfW^?HD#Pv+P^frTST38f?Z#9f=^-um_uqI#QY5A3D`5#JflbYYnnulm^VrB2p6)`FYt3 zK(;*^gLJz;6;)EPp$PR4pc?>d{hgtexY>ez!aSb+p`x5I8&9$6yV+S?+33K~z=Na* zG1uLu5F5{7&@p8*Hai>DXD+v3Q+j$QQ+~3P$@v-)2HfKvGDbb4qdfB7$Y*`Hs@vgG z27ePzKC|}~pEL8gH+|>n(IVRnbld?vo4;h1>!BDquW34mb~K39w{RihCS99O`T8@$ zgl740W%_$s1aRsL@Rm9?Juvm?6D#por z?>0<(W{Gh1SJ=02MYeANT|6rWejWLKz`EHp789v>b-Bw@T!{ukcb9SVv z4NRf<27_ALv_s877~0c6XqS}D7=~w+PDY9baT&BI-MC+8wpU2(;xGiR5Czz4z5koN zjj7mtG_@P#6AsyT398(m-e;o0T~z|Xb!TU>#Jj=_HVm%?qJ4-N-Si#o{QOnuypZrW zP7_Hfr0s%@{DiSPq)|Sr;|J*9rHA$rNRl9uw6jP>17^&$Sg?Xt6}sPeNQAR8byxtfAyNQT3mjl@U2v^&|fSgKS6^D z8x1gFT8>3I8?2+{c3PSugt*B^^hV+aAfNJua0*@f_uH`q=&ugJz+;}OBdDWlDixgg zNyyzhMNJF^Wb3>C-GiBq%aHo(bEvuKB^c_vqm@W3(Z7gZHD&>1ZH1MysSa)@>X9#C z9RM$kIA!tFE&$1b8Q+VlzOkW_wZdIdzv#L4!+pZ9LOd8>J{aejJsF;meMGF^Qv4|! z%%7MUPqAB9i9Ouc%bOb06$_U=C?vzr5Rq5#J0Fqi-%Q=MC-!J`=B^FsRflevrD7F=@5D8*v{0%NJ7xmR2 z6_<)9z$h9;yW(FL9%uwBJCf9;!t#k&LUi7}@3{tkB+|#XBGY&<^?|XV$7MB54q=Ek zfl`i4>YgO+xjQg8Xk`_6d${o>xE8p=Ao#K=o0uL*m?s(;Swpu4rSAUg2N&)|kY*vK z4^}4Ug+5mS`xt*^HSG)&0xp864rCNnAq01%pMrvz~d`TXQ4SYN8? zPE*T%ml(6Xwl-8d`rInUMH#^1rYbL#fO;x1Ywb6d!cwjfZ?VWV=YeAhE_1!F!C>-k9IO^s>>XyieM%Tp>IS(W!Bj2xRPJ@!e9Jo zMUIp|PnV$m5d|sW*XV!%hH3@_bMSd+KDQ9V@VPsVSe|^%_P;Jul@Nrb2~_3`=%vKcg>K9-9qlX@=d^E z>np#VDnwJ)(%4=-q$jdZ%+?_tTioi2?m9a!_^q*ejTn?gH=B2z>uASCI}UE(L2dKa{6Y{y zQ&GJ2uhDVD@69uRhFSss>BF7lerA3I$GvOldiEYsZXdDpgJ(bbiQwy*#s7d`qPh?y zK3b$4v?b-sOx!4yd?%utnVj-*nyIB|UqjM4o!q5||I9vU4EP1TKPs_jre6!z-teBR zs}Sk0rZq&j0AZeqA8lLfbje-E%}~~tB=yLIR@)xkbSRZ7?OyvnUwt8uq5?xBm zB;2pI{O-FbxA5y`ZwsQ8%* zHHry)mtA^d5*&To?0RUBQ(38Z@b(*8$OOMVKasIekSM?hVN(wU;2w69Q6O$ODYqed*A(} z3;SI2Ox14905YBcb*u#vXb6X^c}l8uQuP4qlLqqZc_dAE~o-L^@iPTCkU@Qz6D_ z<)EP5#y5yMlWJN9ab@)!ugqyw%{~j^sx$mDYk4G$V$p}%0A7X8MZ>nh8PeEjkPu?U z<|Uz&(9XszX7c$}FhDu747xQR4}!ApsSni=;_!F8QdvjY$g}zkoui7K45~k00Lm%| zRc@`vc|dxYYh)3im^e+7K8d{S&>oNsmnWyVOHF;yqnUU$BLEd8SP(D{vuu)HkP~R39jGGHZVRzVVNrXSiBo1&y61vEk_%Y1oWz5WSDSyNcze}TUcePrd14^fj-%|hlI5NF zu3f%hiy_L|A7#`*p(ef&UG?5}`M2576YOVlb&{9;vkw}TYt~gF-~)-w0UUUSk z!hS=+7{nSfOO$Y*@?WMQ?CO@&VF?W}SfmbwTmfY<0DKPO^lv>*}*h0vq@nr-X6fc-+y?e0iyX(+7T2Ty|f75G8o0-&hc5Y(Tp5sBZ39NmfM!~N2n6|2_IC!ik}R!#=-GfU;9Ns z_x3;y+|+GsCD6M4w@v*NT+OxX~kZ&~L(?80#ecfFE{Y~e0$l<6Yk)u*6yd9Oh z99`GteP5?}fQt7948{HUY$l=5^W?lHIDuGHR)6iLAcU6O!Ra|FXELm;E_X!JQ0c!6 zV*3JfUkYLc)t8El0u(kG11B2Y;9;F=q1t7>>|Gl*YGARB9N3R~K-W_l9~UKs`XpQr zC)z)}?&PqDT1g4vL6$5ZCh8`IPvN0=?sh1RndpW3{JzjUg2q#I9~LFvTQ$ggs#)+X_9lyJxJ)l3%AmAlLpj*+g1hU=7cxHm#XA+LLe>nthEelUjo! z*h+vq28tq)3wRPWo}=H1yWJV+l|CA!O=VR1SLw4p5@>R6eKT&|@-9*wLHxu=h&1}c zYNaj~E)YXJLU{C)Z}`JBVNy*~^7xhHppTWxkip(l74R`Od-X)=Ue;i{Z-$K?pl{Tp z+ki0JA+WBsI zN6oIf#gWKaCmW#KOMsvLdxN)Msauc?;sp^=>v2=gm^e5Q zKgB57ZZ;jZqeHDVh93V6Ivk&=e5zMsiV4CV4@11qH3ZEwH&gY;VVz5xff}-w{UvF9 z&-;jk&h{ND%oL$3hs6|G1x$wbo-Xw#cWXpmBJ|*a?J}!!S~wb_iWvWta5_{;9R1Gj zvslQhXcRXzFyRfSx>-3cY?<04g0=y9uZqb^BRU z8ep~!#lV|GS%+F&f#Q(cHBid!FZIb%67|8!a+vimy0SI=>KH$C;v^jSwX3Li{r8r< zv%uLg1>`v48IFH&93{kUWajwE6vtllw~2Dc^YPTH5Ud66@tpZ*NVe0d#_Xku?(pJ)A0Jb}w0s z?1WEIg3kc>uq7_rMeFv;gXpSN5J2^upqatB9mhJFZb5>&dmvP{GMU>Ez*h?pF(3w2 zV)IdaF%ZI4`+Kr)QUs2-vB6yZA4w+W(d^N6K&??$GDt#&G zQEwKTyW;pAl+5a=WJX6EDP6bB9l(jFq%1Y|XZ+rsGcReegsb@x_Y^Jl$@yo~+;-K# z@kqBOgFVCAR?m*=!o*>1JySbW*@C`CdqIRSk$3n7F2zg3-*FNyGWC&a0tGt88!hm5+T^MB2guc&_$@pS#fIARdceF8*6Ge|S zrf-}X$v8@CJjJ*U1pWBOx=OCoDo09#87s&W`Ql*#;0Nc0EY!}!!e-i!RCu1Wy z4OyV|Y{K*H;b+*}2Dz+cqyTzA4GSDn7R1p@xCFT`rjGmD3yL)u+DUZuU3E(}c=er> zuj)^`>SNWnbbG% z%8Hk}NRYCK`a)G}mok4es9>sUk(r@58zHP6tO3n$I|%jTnsrPW?Pc%bTB8-$D)!bN zSU!*ygkHZyjFB4dUN5_vf7?ABtf@##sM`xnMV6<-QJ0#w?ydOf))-~mH9 ztc^nCv@4@mQlm5AhU&(gib4gi9$nKTRDgy~hwjUVW0Hx5&MscF1K3ajXqRQV*<%1( zN5+QK0+3s;rMv{HhO)pU8K9pI?UM(H6{hQImp_4~f`g`@@iSVGjzQ+uR@EzdAI`d# z*7{os*WtNX@hBoAUOQ*^>DfV+`sE4OaJzl|WS8e4bw}DzG22V{H2A+Q#vb@qR-=nn zlS>>;YhFg27gBW?y=eT)e2B5QZDp66(b6%h$jr69Zu`j%gq~t)<1r4z9&C^FjS@fE zSeHDo_8ot5$$im~f{%C*cd~>?;sST4D7i^Iju)oC+L+vfp6c?wTPFweJ5=vo30Dg8 zQI3}2Xe8TM$vBm;jH%4W9AJ!T9Gu|QgSi=G=3&O7mJ$nkk$=xVx0aq@7Xpt9;u=ZF z!N@pm=4#MnbxAB&A2AA)3P>}b98$Q-)LTgGJ3Sj(Nm{a7zJgqah0cE^-M)`VGR0A^ zu2%nz;$I4SNcv(-3RM=pDj@V$L7(XgM-RflO)iy@Nm-x}TL#s;d-8eXFlL2SBeFHmm0fhhVXxxb2oI;ow0c@Rn!nUh zxr26CSKkJPcC1yT(a^E)bR1}s1BzLvHgwfPh^R7G)0{kaRhYvmzPnM5od~~l8-HvmNupYu!&o7*GI)K~rODv15< z&mxH^-Mh0iksP%7-jv)Tht;~^7ZDppFdWJ<^o4dJd&wEgZ?>V|+43egxuM*v$seQ* z@{|${cf#Z$U<0`<&(;%@(esx)KI4y*Pq*XqY#B*vB~TPxToh0c;(@5x2t*0eXgS(# z79m;|{aRr8@aW#n5+eG0(0^avNC7``=^&*i;KuU-fH&)}@OB<4`V=dvR`X#gyUDc0Kj zxX`RO8F{YRM^HE?=xGB7S+6R|%FoX&s3Qj2NJ6C6uaQzp`lyAWXZFeylOCBtOY zeWC2XCCOMYA_6JNn58`WrN946u)ff>9}QFx8#F0VMnw~uw*=azm|KKjSyaVb1jeOz z@1YXQU#P~=H0a46TKpL@^kKa>Pd&9qA&3Y?o+j*bytl9%%)j)9ze_6`v~WBGLS&y5 zAVh?gESs{wh+lodz(D3mwzsDAa3DRmN}bT+yu3kGT=RkJMBOfKTLkXs0e$}lh z?EKd1il#wRMg6HtNMq41X$wV#6s9T3tzeV(z4pNYO$LDl2Ll=VmOXuj?+it(ejE%2 z^Z;)^e{agk5!kgd?wo9)#~T%;B@wesV|1jz7Q4YTTG=mz4u3YcFhtzAzGh}?C;u1u z&_VD4ZxhlApL4(|3-uEXhlh>8sI8vAqI2U0IHjaP6}Q5@lzJd2A(K{IUCYmM(w}M# zp5^o))Q09FQsaFfrACJls#5^)+=RqmzeEZJdJ~HTtYJ_g10^M^5|g&HAV9(!6B!!w zFFW`6DKC~0>M)cKPQZ2_tT96=d;RByxqqVuI8RWMo44FCHr`Fo&{B0TU!xDM;eycR+Gp^SWU~ zA>YxsF>EA0O;q^r2|RZ&77yqESc{@C96;K?RM0H_V6d&iG6&+Fg)wLMr;tb7b#k(?jM!>-qQc+O= zB3Jsg;rxGQl*j0)h((IvQCJcM&0U!jQLxEz%=-)7c3?uAQGhb7v?eh;XVMt~ze9K; z51Hc*R^cmu$jY1gD=`WAy}{Xe)KfVsSu03Wd{Cjr;{w_*mYdYkl-RP~bw;f8)+Pdo zDYJPgCBv#t0#ih05NLNtHx4p&X1P*fzh$E8mmF!|E)AStYoE$blwIoEvXe#^+$1X< zjrb)KfAVTKBuWBudutD!b;a~gqa+Z^IP>C&XA_B*%HWzpahZT0e)1A4Xx?^J>_`Ap zXLi(}1cXTNJl#Y+I?^CXv&0-K7*$+c>M{jgwY{!y64G8)I3nH47%6`?+1qH3H2LPc z!@2!COVr+iG|f`ElCv5^&%Q0eCnST46$1r@`d5VCVlI=%9-~GtKTk<-wQA#hLETTH zu38ba=sSRDb)iU1(v}6`mfE+EbuPP-&F~;C;G;}=D$Qw{)3X%f-*OacFBLajlgysL z&9$Mi)392&BG2H5qJT-s6I3j5kIq2MA{aRaCRyB>Yfe4CB}gRnGZ&g@`Yo}`F0^C1 ziSC}F0ZUL5f>O(FXYuAw1PE+s7;((M2$x7yf!jt903kZR_e>K#h{e8%$_xkWzR3*s zxawGo23iLitYF%OOWen2A~DsG)+<5~ns=kALgE;$ulUfOyxye-E_9N;($X{Ew?(qv zI9a#--nJ3=7zg)GzoX^kXBl>A5vV=w?A9UiuqJL-n7w4hA+A8m7ofL$fhqM^D7cVB zblp=YI=IvpXkW?}p$ALeEv`SeBq2TY)X+)O338K-Kgwuhdfug(MfDCS7be&A~R z)7q0ud09Mdz}nLPpcfp;x3>k?-vu5Zc#k$+Ei7?;V8Na~C8~Hp1@1i?cLwhH=;vBI zDIO5^W5Xs`#c^{LeHPWZGkZjUX4+-(*Ap3uxk@fripd0No5iE3&m>6ehszm*X;CJ= zs|7S+7JOH~*}Yox1H`1a(COHnNiK?@f5A%e<xjBx)+)LL0l-|5bf>&Wixg`)ToO+#)eDf2(UjbRy!DP|%)G8jFFkK}4}f?-lk& zJoK<6pv6Fd@@J1=>GfH>6ZnW}!5UJ2N*Nh}ceJBG4@$n{iqgJk_0AzjKi*R!B^957 z^pS4wJ-)uAo%g>LDce={3n~u9Zze#ZI*0Xu1nnPXxaYHH>_26*z9aN!KwVgZ6h#%htTDcXWNbVC2F6(Pe#!Czr zt`C$_V}$Ok1`A*mMguk5E*1Y_l^#Cl`7_5fTcDZX$ohfjupjhvCF{T83z_$h=|&8{ zRPv<Le^vsOfNdp1pp7g2h&5TtQdJfZc(-OQbe2N*jB{O%l{TIdDk96a2iHb2<;$ z!HGqHSQ8i|$>C!DX;eaS|X!TXcEBv0Dc+wwVd$XX(UENJ$ObO8Wg;Kr8aG|0)@_Pj&=A?h()E;De zGm43D2?6_v@rOC-iyxhpml)7{Fc&1h2P`_Q(YDSPtX`5PJd0C;_?|%RoU}Q3ISlTV zK=^SGC3gTv@adVmK^Xp`7j9E!HB5#(Hpr&gekB%Xb`f1|lN+f=xGvGKNf4Tdd8ceX zk@gH9L#6|qcx!KNru=U_in?QoNW4R<8qg|7NqkFEQAuh)41a*hy;OnAae%=FbS6>} z#e)Im+^Pq&KixJv4W=VKXcsOa9*07})gvoVng98^wCw7;g4i{+T#C@)eC-=im}#;^tftSY2h=;Hox>%#z7o zD{GP4m65=61t=S6`Nqm8v}#;=4!7r*7;{$wHw49FHGRJ0ay8v6{erpbnt*__Uk3wB zc+f!xv=9-NRM5Hfww%y{C3k{tj=P<*lOe8!<4yKNXj9aSFV8V-PdfV=9?&vDaW;f} zD#!Y6VmNRCkv>RGc+m4$pr`D4vj`ArijbrAN-M63EP2z_XyEMDG7q)_84O1e`Z5<2 zbLlI$TB!Zfa72vz=B*^^&oO$7u>W;vxDH~gA@)wrV0>v!W(8i3^ubZiZ z&gy>2f6Lg>x5BA|dEgNp1d&~Z^GMNS@Kag}>Wbd`7Q}ml6&RxVxw%Y6=PR@pZj68* zvRK$2`*zu?iQ>0g>u(YZN0)-(cr=0H5rV|9YexL3P?8%c?ws07~*j7x79(&Q?T)W zJa19{yS5hzENrl7#m9f)`M!G*AE!f~Td41G2++HEQHh;PI++4@qG8~2?rjkQLij** z?#|OZ!kzF`mmR8~IUH`8aGq<@$c!{mHnkL`B)P9$GlG=7o=gsPs|x4$wPg(Vb+&pl z%#E9}o`StW;@gS$ zXq$XAl+DIQIV>fYRGQg}OCjv((Od8!?G6I~%jP#~B=m;Y66l$C2_rb|Gu!d}w2&_m z0*nD5vYYhYNof(>sNM#dYQX#{s9SKf$?PH-SUTo={MAT@1Uv8fSAV}I%nDNef&vRx zi6fJ4`vqxdktUME^FOWcbj`P}95|>s`!yf=eRQ4=&G6qx*yI_}bmf+NkzEoojRx*0 z@?AV-Q}5Za4m^wGfojI$e})d85wT+qo#7F*kHk2`&x>o7#>*@G4NVsWaExn;HrfCb zfZ(67q|Y%OB2Ys=C0Z+cs4{;%^sI{lpUUDNmGt%GIneYd%RYO4b3@PzhG7-Au0IeO z@6t?LMECygRQP=djLdidM4Me$B*FnEY@#0st#?t)y(3e(7tmwk?152XLcrpsKB{y< zSGP#3%%jAH0`d`PY5r)9z9a}wrCmvMKpsX&qk6K7Dcd!T-I$_#d7smxpb9!0LCUtV$9QstX^6|xZZF&nVEhArs(){GfAe@Wbozup?c24=>*M}vQh#^$uw!I; zd;r361CcNW>kWqtAVnAauz&f+Vs-rjrSC-FF!tO017s8@(4qPgPf7JR+xO0$?Pb*n z$fpoGPY1*`^wwyFY*SD7OGe|W@8L}rJTxS>J#^u2=0sC|l772Ig<&|_MP#TD+-cPa z+=IH`K4Hmd?a$tdpQ?cOL_Q-!B6&vD?H0n(XHb7=1;P5NmI{dLP!2brBKH)LSbC+9 zoF~MY50I4MRvJ6Oe)JX%pbeoN<8pwY5Is+}dBk0Rf52RsM)B}k*H5Q17}ovU`KKgk zA}}?G9~*NijJ4TRd%wZF4vvSn1`G-hI(%qMg^=67-YT`ni|PQ+0?8Tt-f%XWE{z zJCo6z_pHwW48$&@Ud6eeyK$@-_3Y^jF&jp>Y);?KTO-eb!0|1@%~Bp*{4jKWIzNG1 zA@jp5@&bFk8dk{y>~AhU=>NJwTK0<6;^eO)s93tMPe0;Odwj}IZW@jsgbL zV$tEOp;hx$M&}tLVL80$wan$m%D(|Zc`2ubl-vvi3TqPro4b?BI0;^XNmAz4hIIWw zGKVIML@w(2*}@bQ_|Ej;;8+dal=(-lNF$=ti|%_BNH8M8(pB(LL~v09BEUg8eBEIO z^kqxJ)PzJ*^Ly*Q0!JpPRn4Pp- z?Y7kA4uR>;e)V5*uMIoPI3^)MsJ2Q|VhO_dZ@0!KiX^@?Ijn217ax**#Qyg@i~8as zs@I+Fu|l5BJ>yryB;DTHN>t8l86ZS6*2%*t5LNHEgsxT$_G%U-O4+o)|A^%$ z{qQ5D`$^(!oDBnViVDg{jx}idbdO~Q%NW-CKlELWZ5I1`Pk#_bT7dl3&f9YCs0b8{ zxFlV<*K-bcDt>i60FiQ*G*K#tFhxXwn7#8yssIY~h_kgtI4do9I+>TZeVir>liYV0 zxSJjeKIU9yEsx^b|6Q<^CGxZWx1+1u2jnNJrJVKqwzGC>9^aI-XJ9u)qL~=KJS5qE zebL>peRMsg6UKS62gJpHFz$43P9mqN{ud7UU?NH)=*vh+jN5t{b@0od=*kiTFm1eWEx z>O|yvtj_@>!fAJbWzh^LALFh*ipKkY*WEK=(<5qAeSjhsO%Q@4uB$5* z?K~m`j>G8Ynexz^69U`}@q~xd;0Keo9CMWZiCzn(Lxt~0)5BlABe{=?*bggUn?(