File tree Expand file tree Collapse file tree 2 files changed +5
-1
lines changed
hdl/fpga/platforms/bladerf/constraints Expand file tree Collapse file tree 2 files changed +5
-1
lines changed Original file line number Diff line number Diff line change @@ -26,7 +26,7 @@ set_input_delay -clock [get_clocks fx3_virtual] -max 8.0 [get_ports {fx3_gpif* f
26
26
set_input_delay -clock [get_clocks fx3_virtual] -min 0.5 [get_ports {fx3_gpif* fx3_ctl*}] -add_delay
27
27
28
28
set_output_delay -clock [get_clocks fx3_virtual] -max 2.0 [get_ports {fx3_gpif* fx3_ctl*}]
29
- set_output_delay -clock [get_clocks fx3_virtual] -min 1 .5 [get_ports {fx3_gpif* fx3_ctl*}] -add_delay
29
+ set_output_delay -clock [get_clocks fx3_virtual] -min -0 .5 [get_ports {fx3_gpif* fx3_ctl*}] -add_delay
30
30
31
31
# LMS sample interface
32
32
set_input_delay -clock [get_clocks lms_rx_virtual] -max 6.0 [get_ports {lms_rx_data* lms_rx_iq_select}]
Original file line number Diff line number Diff line change @@ -306,3 +306,7 @@ set_global_assignment -name RESERVE_OTHER_AP_PINS_AFTER_CONFIGURATION "USE AS RE
306
306
set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION " USE AS REGULAR IO"
307
307
set_global_assignment -name RESERVE_DCLK_AFTER_CONFIGURATION " USE AS REGULAR IO"
308
308
309
+ # Drive Strength and Slew Rate
310
+ set_instance_assignment -name SLEW_RATE 2 -to fx3_gpif[*]
311
+ set_instance_assignment -name CURRENT_STRENGTH_NEW 16MA -to fx3_gpif[*]
312
+
You can’t perform that action at this time.
0 commit comments