diff --git a/blocks/Parts/IO.ice b/blocks/Parts/IO.ice index 7627384..08c24bb 100644 --- a/blocks/Parts/IO.ice +++ b/blocks/Parts/IO.ice @@ -2,9 +2,9 @@ "version": "1.2", "package": { "name": "IO", - "version": "0.1", + "version": "0.1.1", "description": "Puerto de salida", - "author": "Juan González-Gómez", + "author": "Juan González-Gómez - Modificado por Diego Harosteguy (@vascodh)", "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22256.637%22%20height=%22422.393%22%20version=%221%22%3E%3Ctext%20style=%22line-height:0%25%22%20x=%2218.222%22%20y=%2275.656%22%20font-weight=%22400%22%20font-size=%2221.071%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%20stroke-width=%221.756%22%3E%3Ctspan%20x=%2218.222%22%20y=%2275.656%22%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%22101.936%22%3EOUT%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:0%25;text-align:center%22%20x=%22137.004%22%20y=%22171.758%22%20font-weight=%22400%22%20font-size=%2214.606%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20text-anchor=%22middle%22%20fill=%22#00f%22%20stroke-width=%22.537%22%3E%3Ctspan%20x=%22137.004%22%20y=%22171.758%22%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold';text-align:center%22%20font-weight=%22700%22%20font-size=%2270.662%22%3EPORT%3C/tspan%3E%3C/text%3E%3Cg%20transform=%22matrix(2.4991%200%200%202.4991%20-214.27%20200.775)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E" }, "design": { @@ -1359,6 +1359,25 @@ "y": 592 } }, + { + "id": "ba1d44e0-d1b6-42de-a191-e9c01396f615", + "type": "basic.output", + "data": { + "name": "write", + "pins": [ + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true + }, + "position": { + "x": 1288, + "y": 792 + } + }, { "id": "684e4f5d-3d2a-45db-9eb8-09a973dbe20e", "type": "basic.constant", @@ -1715,6 +1734,16 @@ "block": "13f83e19-e124-43cb-accb-f4ff5c8d23ff", "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" } + }, + { + "source": { + "block": "13f83e19-e124-43cb-accb-f4ff5c8d23ff", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "ba1d44e0-d1b6-42de-a191-e9c01396f615", + "port": "in" + } } ] } diff --git a/demo.ice b/demo.ice index 204028d..95762af 100644 --- a/demo.ice +++ b/demo.ice @@ -11,27 +11,6 @@ "board": "alhambra-ii", "graph": { "blocks": [ - { - "id": "7a232fba-e813-4214-9071-f06a4fb2f7f3", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "resetn", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" - }, - "position": { - "x": 608, - "y": 440 - } - }, { "id": "c03c71c2-0255-4c33-babd-18b5d32b66d1", "type": "basic.output", @@ -83,7 +62,28 @@ "virtual": false }, "position": { - "x": 1800, + "x": 1856, + "y": 312 + } + }, + { + "id": "7a232fba-e813-4214-9071-f06a4fb2f7f3", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "resetn", + "pins": [ + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 608, "y": 440 } }, @@ -542,11 +542,11 @@ } }, { - "id": "0f3f4406-65e8-4575-9e83-1a7b89f615be", - "type": "basic.outputLabel", + "id": "b714efc5-ff02-4df5-b969-bafc7429b0a2", + "type": "basic.inputLabel", "data": { "blockColor": "fuchsia", - "name": "Ready", + "name": "io_rdy", "pins": [ { "index": "0", @@ -558,16 +558,35 @@ "oldBlockColor": "fuchsia" }, "position": { - "x": -24, - "y": 624 + "x": 1672, + "y": 592 } }, { - "id": "b714efc5-ff02-4df5-b969-bafc7429b0a2", - "type": "basic.inputLabel", + "id": "7740d2b7-2532-433c-8b20-443e9a0e6285", + "type": "basic.output", + "data": { + "name": "", + "pins": [ + { + "index": "0", + "name": "D13", + "value": "64" + } + ], + "virtual": false + }, + "position": { + "x": 2216, + "y": 616 + } + }, + { + "id": "0f3f4406-65e8-4575-9e83-1a7b89f615be", + "type": "basic.outputLabel", "data": { "blockColor": "fuchsia", - "name": "io_rdy", + "name": "Ready", "pins": [ { "index": "0", @@ -579,8 +598,8 @@ "oldBlockColor": "fuchsia" }, "position": { - "x": 1672, - "y": 632 + "x": -24, + "y": 624 } }, { @@ -957,6 +976,25 @@ "y": 664 } }, + { + "id": "434988f2-0076-45b4-ab13-edebb010be60", + "type": "basic.output", + "data": { + "name": "", + "pins": [ + { + "index": "0", + "name": "D12", + "value": "63" + } + ], + "virtual": false + }, + "position": { + "x": 2216, + "y": 664 + } + }, { "id": "57ac3531-7dda-497a-89f7-1e606eefeb6a", "type": "basic.outputLabel", @@ -1155,6 +1193,25 @@ "y": 680 } }, + { + "id": "fd43d646-a3ba-4896-9eab-f113d256c4f4", + "type": "basic.output", + "data": { + "name": "", + "pins": [ + { + "index": "0", + "name": "D11", + "value": "21" + } + ], + "virtual": false + }, + "position": { + "x": 2216, + "y": 712 + } + }, { "id": "910a2c8c-64d2-4183-8573-1906b36d217b", "type": "basic.outputLabel", @@ -4358,8 +4415,20 @@ } }, { - "id": "3e640151-3b7f-4b14-8637-592306431cd8", - "type": "ab216878d49f727e515a71de6a3f56a10b5ae616", + "id": "c9e57801-86d2-4dd8-884e-4fe9429c2cdb", + "type": "dccd52713240167a29ea40dd4722a87a9375c41c", + "position": { + "x": -480, + "y": 608 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "a082d4b7-eed7-41ee-834e-15286428f873", + "type": "4d249fabfd69a9088d45603efb62d23bd1e95635", "position": { "x": 1528, "y": 544 @@ -4370,11 +4439,23 @@ } }, { - "id": "c9e57801-86d2-4dd8-884e-4fe9429c2cdb", - "type": "dccd52713240167a29ea40dd4722a87a9375c41c", + "id": "2a6ed2b0-e034-4859-905c-95d8d95fae7c", + "type": "684daf209a39fa6778251e4cfb5a7edc1232daf3", "position": { - "x": -480, - "y": 608 + "x": 2048, + "y": 664 + }, + "size": { + "width": 96, + "height": 192 + } + }, + { + "id": "df46f5db-5b30-4f71-8e24-beeb49d10ca7", + "type": "73403c45b7d6c8a2f38a63b138254c4d2f2449b1", + "position": { + "x": 1848, + "y": 760 }, "size": { "width": 96, @@ -4756,7 +4837,7 @@ }, { "source": { - "block": "3e640151-3b7f-4b14-8637-592306431cd8", + "block": "a082d4b7-eed7-41ee-834e-15286428f873", "port": "4e7ebc5f-ce87-496f-b62a-81490d37356a" }, "target": { @@ -4770,7 +4851,7 @@ "port": "outlabel" }, "target": { - "block": "3e640151-3b7f-4b14-8637-592306431cd8", + "block": "a082d4b7-eed7-41ee-834e-15286428f873", "port": "8bb9fbf7-907a-46e9-b9e7-d926b02deeb5" }, "size": 32 @@ -4781,7 +4862,7 @@ "port": "outlabel" }, "target": { - "block": "3e640151-3b7f-4b14-8637-592306431cd8", + "block": "a082d4b7-eed7-41ee-834e-15286428f873", "port": "dc9995c5-4627-439f-a4cf-29a160a7cf48" }, "vertices": [ @@ -4797,7 +4878,7 @@ "port": "outlabel" }, "target": { - "block": "3e640151-3b7f-4b14-8637-592306431cd8", + "block": "a082d4b7-eed7-41ee-834e-15286428f873", "port": "f4133731-0cbc-44e6-92c8-14064232ca62" }, "size": 32 @@ -4995,13 +5076,19 @@ }, { "source": { - "block": "3e640151-3b7f-4b14-8637-592306431cd8", + "block": "a082d4b7-eed7-41ee-834e-15286428f873", "port": "cca03fca-bdcd-4209-98d2-b9434a1ba420" }, "target": { "block": "c03c71c2-0255-4c33-babd-18b5d32b66d1", "port": "in" }, + "vertices": [ + { + "x": 1720, + "y": 496 + } + ], "size": 8 }, { @@ -5010,7 +5097,7 @@ "port": "constant-out" }, "target": { - "block": "3e640151-3b7f-4b14-8637-592306431cd8", + "block": "a082d4b7-eed7-41ee-834e-15286428f873", "port": "684e4f5d-3d2a-45db-9eb8-09a973dbe20e" } }, @@ -5056,10 +5143,89 @@ "port": "outlabel" }, "target": { - "block": "3e640151-3b7f-4b14-8637-592306431cd8", + "block": "a082d4b7-eed7-41ee-834e-15286428f873", "port": "8412e7b3-0a35-4702-a69b-fb7cdbe9ae7e" }, "size": 4 + }, + { + "source": { + "block": "2a6ed2b0-e034-4859-905c-95d8d95fae7c", + "port": "ecf5ac0b-8d85-4d7f-8822-b7d985403b4b" + }, + "target": { + "block": "fd43d646-a3ba-4896-9eab-f113d256c4f4", + "port": "in" + } + }, + { + "source": { + "block": "2a6ed2b0-e034-4859-905c-95d8d95fae7c", + "port": "342ece16-750a-4553-b62c-7fd018a2fc9a" + }, + "target": { + "block": "434988f2-0076-45b4-ab13-edebb010be60", + "port": "in" + } + }, + { + "source": { + "block": "2a6ed2b0-e034-4859-905c-95d8d95fae7c", + "port": "92aeb3f6-7ca1-446a-942b-99f8ca485cfd" + }, + "target": { + "block": "7740d2b7-2532-433c-8b20-443e9a0e6285", + "port": "in" + } + }, + { + "source": { + "block": "a082d4b7-eed7-41ee-834e-15286428f873", + "port": "ba1d44e0-d1b6-42de-a191-e9c01396f615" + }, + "target": { + "block": "2a6ed2b0-e034-4859-905c-95d8d95fae7c", + "port": "214df71e-70f6-4d38-add6-8cf2e5fc4215" + }, + "vertices": [ + { + "x": 1720, + "y": 720 + } + ] + }, + { + "source": { + "block": "a082d4b7-eed7-41ee-834e-15286428f873", + "port": "cca03fca-bdcd-4209-98d2-b9434a1ba420" + }, + "target": { + "block": "df46f5db-5b30-4f71-8e24-beeb49d10ca7", + "port": "1f5c81aa-ebb1-4cd7-87fd-b9092de9a34f" + }, + "size": 8 + }, + { + "source": { + "block": "df46f5db-5b30-4f71-8e24-beeb49d10ca7", + "port": "e91fbbe5-8055-4686-9ff0-2894026e8306" + }, + "target": { + "block": "2a6ed2b0-e034-4859-905c-95d8d95fae7c", + "port": "6405ca4c-ec02-4197-8cd0-c054b22b910b" + }, + "size": 4 + }, + { + "source": { + "block": "df46f5db-5b30-4f71-8e24-beeb49d10ca7", + "port": "bdc69e70-a23c-4f1b-99df-3cf0ed126746" + }, + "target": { + "block": "2a6ed2b0-e034-4859-905c-95d8d95fae7c", + "port": "f598b2a3-ec88-458d-924e-429dbc22658e" + }, + "size": 4 } ] } @@ -17468,12 +17634,27 @@ } } }, - "ab216878d49f727e515a71de6a3f56a10b5ae616": { + "dccd52713240167a29ea40dd4722a87a9375c41c": { "package": { - "name": "IO", + "name": "Smiley", "version": "0.1", + "description": "Stickers de Smiley", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20xmlns:xlink=%22http://www.w3.org/1999/xlink%22%20width=%22557.333%22%20height=%22561.333%22%20viewBox=%220%200%20522.49998%20526.24998%22%3E%3Cimage%20y=%22266.38%22%20x=%22130.179%22%20xlink:href=%22data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAAaIAAAGlCAYAAAC4DvLXAAAABmJLR0QA/wD/AP+gvaeTAAAACXBI%20WXMAAAsTAAALEwEAmpwYAAAAB3RJTUUH4QcfCCEsG8LR5gAAABl0RVh0Q29tbWVudABDcmVhdGVk%20IHdpdGggR0lNUFeBDhcAACAASURBVHja7Z1LUBtX2v5fgQADtloeJ/NfIamc5ScB+ZYjKJSlMVVo%20dlAkQVlBiF1WNji2p8py1fjGJkrZcfBq5MQuvBtRRfBymsKa5UQgviUpSd5N4qQbG5uLQP8FiGDo%2001K3uqW+PL+qKU+QANFqnec87+04isUiAQAAAPWiAZcAAABAPXHiEgA7k06ni4IgEBGRIAiUTqff%20eTybzVI2m63qd7jdburu7n7naz6fj3w+38F/h0IhB94NYFccCM0BK5LNZoslEclms++ITDqdJlEU%20Dfva+/r6iIiou7ub3G73gWjtCxoEC0CIADCa2KTTaTr8by6Xs/Tf7fV6yefzHQhVKBQqiRVECkCI%20ANADQRDOptPp1XQ6fSA2CwsLuDAMN1USqf3/feB2u3/GlQEQIgAUig7P81QSHqs7nFo4qJIwhUIh%205KMAhAiAw2Sz2SLP81QSnqWlJUO+Tk9HI3k8jYq+J5/fofyLHUP+PV1dXRQKhQ7ECWE9ACECtiGd%20Th8ID8/zNS8a6Ak277mEQ8Li6Wgk7yGR6Q226Of4xF3KrGwf/HfukFgtZ7ZJXCuSKO5SZqVQc9e0%2075YgTABCBKzreHie1z3M1hNsJs7loM5AE3GuBuoMOPf/bTLl9VtMbe7/u3Xwby2Eyuv1UjgcplAo%20ROFwGKIEIETAXPA8X0wmk8TzvC6hNs7loECgiTr9TvJ0OKkz4Nx3NfZqi8vlC5R/sUOLqS3K53co%2092KHnu8LltYMDg6WRAluCUCIgPEQBOEsz/OryWSSksmkpuE2T0cjBfxO6gw0UW+w2ZaCo5TlzDYt%20r2xTZmWbllcKmotTKb8UiUTQ1wQgRKC+4pNMJleTySTNzs5q9nN7gs3UG2ymTn8T9QSbyc1hCpWW%204vQ8tbUvUtqE9kohPIgSgBABU4oP53LsC0/LnviYNI9jyvdS3KXnqS1aTG3SYmpLE2GCKAEIEdCN%20ZDJZTCaT9OjRo6p/1vlzLRAegwvT3Pxm1WXnXV1dFIlEkFMCECKgnnQ6XUwkEpRIJKrK+QT8TuoN%20NtNA/wldy6KBtuTyBVpMbdHc/AY9T22RuKZ+nRgcHCw5JQgSgBCBMrvi/dBbPB6vqtqt5HoG+ltQ%20WGAR5uY3qnZLHMdRJBKhaDQKlwQgROC4+4nH46or3jiXgwb6T1DPvvNBgYG1Wc5s05Onb6oSpb6+%20PopEInBJAEJkdxKJRFGt+ymJT+l/AKKkRpQ4jqNoNEqRSAQuCUIE7EI2my0mEgmKx+Oq3M/5cy0H%204gPnA7QUpdHRUYpEIhjKCiECVhagWCymqvIt4HfSyFAbjQy3QnxARczNb9Dc/AY9efpW8ff29fVR%20NBrFaCEIEbAKPM8XY7GY4vN7OJeDRoZbaWSoDWXWQDWCuEtz8xv04OG64l4lr9dLsVgMeSQIEbCb%20APUEm2lkqJU+Hm7DRQSaspzZpgcP12lufkNRObjX6y3lkXDIH4QImAE1BQgl9zMx1o5ya1Azl3R7%206rWiXFKpsCEajUKQIETAqA4oEokoOmbB09FIVyZPovAA1I3F1CZ9O71OPz7bhCBBiICZBUhpCK4n%202ExXJ09i0gEwDLl8gW5PvVYUtuM4jmKxGEWjUeSQIETALAI0MrQXfkPxATAqgrhLDx6u04Pp9YoF%20CUUNECJQY9SUYY8MtdKVyZPI/wBTCdKTmbf04OF6xXkkr9dLiUQCfUgQIqDbB1MQzsZisdVvvvmm%20oudzLgdNjLfTxFg78j/A1DyeeaOosKGvr4/i8TiOooAQAS2Jx+PFWCxW0SQECBCAIO0xOjpK8Xgc%20BQ0QIlANSivhJsba6MrkKQgQgCCVNmb7FXaxWAzuCEIElJDNZovRaLTiU1CRAwJ25NbUq4qLGpA/%20ghABBcRisWKlA0nPn2uhuzddECBgW5RW2Q0ODlI8HsekbwgRkILn+WI0Gq1oIgL6gAB4l1IfUiVD%20VhGugxCBozs6BdVwpUkImAMHgDTLmW26/Lc1ep7aKvvcrq4uSiQSqK6DEMEFVVqMcGXyJCrhAKiQ%20ufkNunxtraKChkuXLlEsFkN1HYTIfi4oEomsVlKMgDwQACo/Z/v5o9tTr8s+F8UMECLbuaBwOFy2%20GMHT0Uh3b7pwDDcAVZLLF2j8olhRuA7uCEJkeRdUaS4IYTgAtKfScB3cEYTIsi6oklxQwO+k6Xtu%20DCUFQK8NobhLt6de0YOHb8o+9/r166isgxBZg1gsVrxx44bscziXg65MnqIvxttxwQCoAYupTbp8%20ba3s8eWorIMQmZpsNlsMh8Nl+4J6gs00fY9DMQIAdeDW1KuyxQw49whCZEqSyWQxEonIFiTABQFg%20DJYz2zR+USjrjgYHBymRSKCQAUJkfKLRaLFcQULA76SZ70/DBQFgMnfk9XopmUwiVAchMiaVhuKu%20TJ6kq5OncMEAMCCLqU0avyCWraz7+uuvEaqDEBmLSkJxno5Gmvn+NCriADA4grhLX11bKzu3DqE6%207UCjSpXEYrHiX//6V1kROn+uhVL8exAhAEyAm2ug6ftumvn+NHEutumZnZ2lUCi0mk6nsZuHI6rT%20rqmCMT2cy0F3browpBQAk5LLF2j4099lCxk4jqN4PE6RSAShOghR7Uin08VIJCKbD0JzKgDW4fI1%20sWwT7KVLlygej0OMIET6U0k+6Py5Fpq+78aIHgAsxNz8Bo1fEGQP4EPeCEKkO/F4vPjll1/KPufO%20313oDQLAolQSqsM0BgiRbkQikeKjR4+Yj3MuB838cBqnpgJgcSqpquM4jniehxhVCGJH5W46QTgb%20CoVkRSjgd1KKfw8iBIANKFXVfXePYz5HFEX68MMPKZFIYKcPIdJEhFYXFhaYzxkZaqX52TOYkgCA%20zfh4uI1S/3pPtsT7s88+o1gsBjEqA0JzDNLpdDEUCskWJWBKAgCgkrzR6OgoJRIJhOkgRNqJEPqD%20AACHEcRdGr8g0I/PNpnP6evro2QyiYo6CFF5EolE8bPPPmM+zrkcND97Bv1BAIBjjF8QZIsYurq6%20iOd5iNERkCNSIEIBvxMiBABgUq6IYWlpiUKh0KogCGdxteCIVIsQmlQBAOV4PPOGvrq2xmx+RXk3%20HNExotGorAidP9cCEQIAVMzHw200P3uGWVEniiKFQiHCwFQ4IiIq36g6MtRK0/fduFMAAIpZzmxT%20/+BLOCMIEUQIAFA/BHGX+gdfMsu7IUY2Ds2VE6ErkychQgCAqnFzDTQ/e4YCfummd4TpbOqIyonQ%20d/c49AgBAOCM4IggQgAAOCMIEUQIAAA0F6OeYDPEyI5CBBECABhFjJ7NnqGRoVaI0T62yBGVa1aF%20CAEA6sHQJ78x59PZKWdkeSGCCAEAjEolBQzpdJp8Pp+lxcjSQgQRqi+5fIEePFyn5ZUCPU9tERGR%20p6ORAn4nDfSfoIH+E5hWYWAez7yhufkNyqwUKP9ih4iIeoLN1Ol30sRYO87gqpEY2WFQqmWFiOf5%204kcffQQRqtMHq9xRykR7k8yvTJ6iL8bbcdEMxNz8Bl2+tnYgPixGhlrpyuRJCBLECEIkRbnzhDAx%20QT+WM9s0/OnvZRexw5w/10LT991wRwag3DEGUpuJ6ftuGug/gYunsxj19fURz/OWDNFZToggQvVj%20MbVJw5/8zpyrJQemmxt7ESwHIgy1eR+setKrpT71giCcjUQiEKF6OSGVIkRElFkpUP/gSxLEXVzM%20OlDuqOtyfH5RpMczb3Ahq8TNNdD0PTdzavejR48oGo1aLoxlGUckCMLZUCi0urS0xNxx/5t/H3e6%20DuTyBQqGflUtQofpCTbTs9kzuKg1RGk4To7Uv97DwZEabezkpnb/4x//oEgkYhlnZBlHFI1GZUVo%20HoubrrtpLUSIiOh5aotuTb3CRa0Rj2feaCZCpXsBrrZ6OgNNNPPDaebjn332GfE8bxlnZAkhisVi%20zKkJnMuB3IOO3Jp6VVVIR4rbU69pObONi1sDJ/vVtTVNf2b+xQ6NXxBwcTWgN9gie+x4OBy2zPQF%2006/OiUSieOPGDYhQncIHt6de6/Kzxy9iMdOb8YuiZk72MD8+26TF1CYusAZ8PNxGVyZPSj4miiJF%20IhESBOEshKiOpNPpYjQaZT4+88NpxKt15PLf1nT72ZmVAn07vY6LrBNz8xsHTca6iNwFERdZI65O%20nmLOpVtaWqJwOLwKIaoT+8UJzAq57+5x1BtswV2sE49n3ui6kBER3Z56hXyDXpuIa2u6/vz8ix3k%20+jRk+r6beXzEwsKC6SvpTCtEoVBoVa5MGz0N+qJXSO6d0MNakR48hCvSYxOhpOFYLQ+m17GR0JD5%202TPk6WiUfOybb76hRCJhWjEypRBFIpEiq0KuJ9iMXiGLLGRYzMy7icBGQnvcXAPNfH+a2WMUjUZN%20W7xgOiFKJBLMCrmA30kz35/GHWuRhQyLmbk3EaWNBNCOzkAT3bnpkv6siCKFw2FTFi+YSojkihM4%20l4Om72Femd4spjZrupARET2ZeYsLr5Uw1FjUxbUiJi5ozMfDbTQxJp16yOVyFIlETFe8YJpVWxCE%20s+FwmFmcMH3fjQq5GlCPSrb8ix0sZhptIrTu+TKi+NmBuzc55nHjs7OzFI/HTRWiM40QRSKR1Vwu%20J/nYxFgbpv/WgFy+wDxNUndX9BSuyKzOMrNSQIOyDsx8f5pZvPDll1+aKl9kCiGKx+PF2dlZycd6%20gs109yaHu7IGzM3Xr0nxeWqLcvkC3gS1EQVxl+bmN+r2++GKtKdUvMDCTPkiwwtROp0ufvnll5KP%20cS4HihNq6krqGx6rpxCafxOxocsUBSW/H2hPZ6CJ7vxdunjBTPkiQwtRKS/EtKY/nEZxQo1YzmzX%20Jb+AXbU1hEBcK0KMdOKL8XY6f066ed8s+SJDr+LRaJSZF7oyeRKTE2zkhoj2ihaQa1CxoRN365bb%20gyuqDdP33cx8USwWM3y+yLBClEwmmf1CPcFmujp5CndfDVnUeZyP2V4H3BCEyEjI5YtKw1EhRArJ%20ZrNF1oVDXqj25PKFuofljOTMIETqENeKmMqtI52BJuak7qWlJYrFYoZ1RYYUIrnjvqfvo2m19guZ%20cRaPzEoBI38U8txALhKuSF+uTp5i9hfduHHDsIfpGW5Fj8fjxYWFBcnH0C9UH4y2i8Vipuy9q2e1%203PHXg9Cq3kzf45jz6Ix6fpGhhCibzRZjsZjkYwG/k64gL2T7HbURX4+xhchY1wqOVn+8Hidz8HMu%20l6NYLGa4km5DCZFsSA5z5LCjxq7aEtcKjlZ/BvpPMEu6v/nmG8OF6AyzssuF5K5MnsQcOSxkB+Rf%207GDKgondY2YFJfi1YPq+WzZEByE6QrmQHEq164dR+3bgiipzs3jv7IubaygXojOMKzKEEJULyQHs%20qLGrts6CjzxR7ZAL0d24ccMwja51F6JEIoGQnIHdkNHyQ9hVm9/NYiNRW+RCdKzz3WwlRIIgnGVd%20CITkDLCQrRh5IUOOyKxuFhuJ2uLmGpinui4sLBhiFl1dhSgaja4iJGdcjL5rRZe+zCZP3DWsmzW6%20W7MiHw+3MRtdY7FY3XuL6iZEPM8zZ8lNjLUhJGcIR2Rs17GcgSsy6yYCjrb2sBpdRVGkaDRa196i%20ugkRKyTn6WhE46pRFguD71rzL7CYsd3ilsHfux28STXG63HSxHi75GOPHj2qa29RXYQoHo8Xl5aW%20JB+7e9OFxlUDYPTQjhkcW10X+rzxF3qEVmvP1clTzOMi6lm4UPMVXxCEs6yeofPnWjBLzihuyARV%20TRnkGZjkTOA4cnm4onowfZ+T/PrS0lLdChdqLkSsAgXO5aC7jMoOUAe3YYL8i7hWRD8KAzPM40N4%20rj70BluYvUX1KlyoqRCl02l2gcJ4O3k9TtwlhlnkzbHAox9FymmYI2SJEu76cfemi1m4UI+hqDUV%20IrkCBfQMYZFQt+hiV21WpyHCzdYNucKFb775hrLZbE1DdDUTIp7nmRMUEJLDImH1RRfiLOVmUWxS%20TybG2g1TuFAzIWJNe+0JNqNAAYuEeiGCIzK1OCPHVz/cXAPzaPHZ2dmalnPXRIgSiUQxl8tJu6G/%20ww1hcahi9w9HZGpxRo6vvpSbuGApIWL9QSNDrZiggMUBjgjiDOrIVYYrWlhYqJkr0l2IYrGYpBvi%20XA6mLQSgYiHConsMMxUBoHKu/vQGW5iuqFa5Il2FSBCEs/F4XPIxlGsbF8xwM7ujxfsHlDF9j93k%20mkgkdHdFugpRPB5nNq9OjLXj3TfqjnrNXAlkjIoxsaNFaNUQeD1OGhlqlXysFrki3YSonBvCPDkA%20tMcszawHrxehVcPASpXkcjndXZFuagA3ZF4Qtzexw8DCDkzoinQRIjk3dAfTtYHGIKdlXjBdAa5I%20NyFiuSFPRyN9PNyGdxtou5itYTEzKyisgCvSU4gUqS3ALhVoA8KqQE9XlEwmdXFFmgtRIpEowg1h%20lwoAsJ4rYpkMwwkRy77BDQG9QAkwALVxRXpNW9BUiFgz5TiXA4NNgW6gBNjk718eDtzurkhrIZL8%20OvqGAABMR4uNhKlc0ezsrObnFWmmDqzzhtA3BEDtQKEJ0NIV1Woyt2ZCxHJDI8OtcEMA1IhlFJoA%20DWFN5n706BEJgnDWUEKUzWaLjx49knwMbggAAMxJb7CFAn7p4dTxeHzVUELEdENDrZiwbTIwQBQA%20UImZYK37dRMi5nBTuCEAADA1Hw+3EedyHPu6lmN/qhYiVgNrT7AZp68CAIAVXNG4vq5ICyGS/Dqr%20Bh0AAIC5YK3nCwsLmpRyVyVE2WxWsmQb43zMS8APFwsAeBe9G1yrEiLWCxgZhhsyKyi1BwAoWde1%20CM9VteogLAeAwXauHY24CEAXeoMt5JG4v0RRrLpoQbUQsYoUzp9rQck2AHXC44EQAf1gVUInk8n6%20OCLWL0ZuCACgBM6FcLBZYIXnqp0/p+oOyGazxdnZ2eO7sY5GTNkGdVjIHLgIJgZtHubBzTUwUy/V%20uCJVQsT6hQP9LXinABYyACwMy2xUUz2nSoiYxz1gkoIl8CDhDQCQESKpNSKXy1E6nVYVnlMsROl0%20uri0tHTs6wG/E0UKVhEiJLzN6w7RBwZqIkYtikyK5kIENwSAceE4c+XL4L7NychQW32FiJ0fQpEC%20qA+9jMO7ANw30Ml5B5okj4cQRZGSyaTi8JwiIUqn08VcLnfs6+fPtaAj30o3mR8hVtM6IpRCgzq7%20IjXVc4ruWpbtghuy2GKGTYWpd6pmApMgzAsrT6S7ECEsB4xIbxBtA2YFoTnz4vU4NQvPVSxECMvZ%20aWFHzsXUiztcBqgRWoXnKlYQuCGARRcuQ2tQbm5uWOE5nuchRMA+iztCO8cx08gjs5Wbg3dhheeU%20NrdWJETZbFayiRVhOeveXFh0zYuZChbgaM2PFj1FFakIy2bBDcEVYdE1ojibZ3OIaSzmR4vwXEV3%20LCssh6S2hYXIJCEv9M1IibM5FvcA+tUsgdfjlNy4Li0tVXw0REWfYqkjHzBbzuKLmUkWCbMsuhBn%20ideJsL7lXVGl1XNl7wSe54vSvxhhOUsvZiZZJJBjkBJnc4QrMcHDSkJ0gqUf2ggRs1ruHITIypgl%207ApXLo0Zwl6eDrx31lkvWiQLh6SiaWodkYT1dyBJbHHM4DR6kKM09fuHsKq1YH0eWVG1ioVIEISz%20UmXbCMtZHzM4Dcwpk1vkjb9RDKCZ1VJUE56TFaJkMrmKnSh2OIbd9aOZlS1EBl/kOZcDPYgWgxXO%20r6RgQfZOYCkZyrZt4ooM7jhwH8q5DWM72gBC+9ZbL2TKuAVBOKupEHk6GpEgts1i1oTXZ+JFwchT%20J7CJsCYyza2rqoQom81KTtvGDQSrbQQ8HY0I7ZjYdWDYqVXXDHVTFpif5HQ6Lfl15Ifsg5ET3tgQ%20mfsaYaqCNZGpnFMnRMgPASNvPBCWM6/rQHjfuri5BslNhlT1tWohwg2EXTVeFzYReO+AmvdXrp+I%20KURSCoYbCLtqI4CG6up2pxBIoCesaIVceK5BiXIhHIJdNV4THC0cEVDpiBQLEW4gcLCrNtrCz6rM%20Aca/VgjvWx9WPxGrAI4pRKxvQDgEOxwjwOpVAMZ3j9jM2gOpkLAoiszziSoWIoRD7IuRJq1jR21u%20R4s5lfaAZVpYJueYEAmCcFaqkRVnh9j7pjLKNGe4IXNvJLChtQcs51uxEKXT6VVpq4WwHG6s+jMy%201IY3w6Tiff5cC6Zh2Ga9UDZhoaHSJ2Ikh90Xs/rvqlG2rQ6vx2mIMm6E5eyF1D2nxBFJCxEWANsL%20Ub3DcyPDrXgjTOwkIUT2Qsq8sAoWjglRNps99s2I64K9haS+IR6E5cz83rUiLGczWOeFSWnMsTtD%20aqICTsIE9RYCT0cjXHkVeD1OOn+ufmIEN2Q/lDS2viNE6XS6qETZgM2sdqCpbrmGibF2vAFV8vFw%20fTYSno5GCJENYRW4SaV/GspZJjllA/ajHoLAuRzID2nkSuqR58N7Z0/cXIPk4YxlQ3OsQgUPQnPg%200K661vfDQP8J5BdMKgqcywE3a2dXJBFOl0r/VCRE6GQH9VzMrkyexEXX0NHW8ghxbCLsDWsQwtHK%20uXfuEEEQjn0DKuZAPRezkaFWbIQ0xM010MR47RwKNhH2xtPBFCK2I1pYWJC01gDUazHDQmbejcTE%20WBs2EXZ3RAHp9/9o5VzDITd0VvoHoWQWHOfq5Cndc0VYyMy7keBcDroyeQoX2/aOSHqNOBp9OxAi%201ow5FCoAFndvurCQYSPBcLKnkBsCzI3k0XqEBpZC/fGDIERAmoH+E7o1SU7fd2Mh05np+5wuPzfg%20d9IX46iUA3/cD0ockSJrBUBJMLTON5w/14IGyBrQG2yhiTFtm1w5l4Om77lxccEf94TEhvJoCXcF%20jggxesDGzTXQzA+nNd09Td/HQlYr7t7kNJ2WceemC3ll8A6sEu7DdQmyjghuCFS6s/7uXvVhntJu%20GiG52jI/e0aTz/rEWFvdxggBczmifc1ZPSZEUmDGHKiUj4fbqhIjzuWg+dkz2E3Xy9V+f7qqEOvI%20UCvdvcnhYgIJR1T+M30gROghAvUSI09HI0So3otFoIlWfvqzqjDdlcmTCKcCGUckrSOHe4kayt2c%20ACgVo9S/3qt4QRsZaqUU/x7uNYM4o/nZMxUXMOxtIP5EV1FmD2QIVOqIpE7MA6Ca3fW/+ffpu3uc%20ZHk353LsCdC/3kOZtgHF6O5Njlb+8z6NDLVK5o4Cfid9d4+j//vpz9QbbMFFA2XvKSkO1yU494VI%20ekHxY5cKqnNHpeT1cmabxLVd8nQ0ohLTBHg9f1Qv5vIFyr/YISKC8ADNOFypLbsisGJ7AKhxScC8%20ooTNA6iGnmAzPU9tMR9vOGqRAAAAAL05XCDXcNQiHSaA0BwAAIAqKVeBLZslRhIZAABAtZQLzZeq%205nClAAAA1JRSxTaECAAAQL2E6A9HJAWOCAcAAKAF5VqBkAQCAACgK+VagSBEAAAA6kKpYruBSHrg%20KQAAAKAnpR5WOCIAAAB1hSlEXhyKBwAAQAMCaosVcCgeAAAALSg3HAGhOQAAAHUFQgQAAABCBAAA%20AEIEAAAAQIgAAABAiAAAAICagvN/AQBAJ3L5AuVf7Bz7uqejEcevQ4gAAKB6BHGXMivbtJjaIlHc%20peWVAuXzO5LiwyLgdxLHNVBvsJk6/U3UE2y23aGkTjvfPLlDN8xiakvyud6OxoPm3k5/E3GcgwL+%20JpxeC4CNxefBw3V6MvNWkeCwyKwUiIjo+aE1KOB3Um+wmUaG2sqebgohMpE9npvfpMXUJmVWCopu%20nueMr3MuBwUCTQe7mIH+E/iEAmCDtaR/8DdNBKicOGVWCvTg4RvydDTSyHArTYy1W3YD7LTyDfPk%206VvNdi1HEdeK9Dy1dbCL8XQ00sz3p22xewHAroxfFHUXoaPkX+zQ7anX9GB6nQb6T9Cdmy7LCRLz%20r8nnd0z5By2mNmnok9/I/7+/0O2p1zW7afIvdqh/8CUtZ7bxaQXAgixntt8Jn9Uaca1IT56+Jf+H%20/6VbU6/sIUS5Fzumu0nODb6k/sHf6Mdnm3W7Uax2gwAA9teYFWNsMsW1It2eek1/Cf1imo3vYmpT%20nRCZBUHcpcvXRAp+9Gtddysl6iWCAACdBUAsGur1ZFYKFPzoV3o888b019bUOaLlzDYNf/p7zWO2%20AAD70Rkw5nL5+UWRRLFIX4y3m+6adnd3/+GIurq6TPcHPJ55Q8GPfoUIAQBqQm+whTiXw5Cv7au/%20rVEuXzDdNXW73X8IUek/zOSEPr8oGvK1BfzoEQbAqkwY2HU8efrWtNeVmSMyQr6FhZELAibG2vFp%20BcCiXJ08RT3BZlwIhSyW0RNTbt+NKpIBv5M+Hm7DXQeAhXk2e4ZuTb2iB9PrJK79UcBQanLvPDSy%20h4iof/A3XDQGPp/vDyHy+Xy0sLCAqyKBZ3/ETznx6wk208z3p3HBALCJM7o6eeqgLNkIQ0xHhlrN%20KESOd4RICkHcNWQHb0+wuWZl0iPDrXR18tTB9SgNOFzObJO4ViRvRyMN9J/AiB8AbEhvsEX2cUHc%20VfwzJ8baaKD/BN2ael1x9GdirM3Q07zLDUiQfeWZle2yF7oefDHerliIRoZaaWS4VbFNPhzbdHMN%201BtsMeQ1AQAYj4yKJlhuf515NttCi6lNGr8gP1ZoZKiV7t7kDH0dpAYkcNwfr9mUDa29wRb67l5l%20F35kqJVW/vM+Td93Q0AAAKZb61L8e3Rl8iR5OhrfeSzgd9LM96dp+r7blH9bqYfoQIhCoZDkE43W%20SXyYj4fbaOb708y6/oDfSal/vUfT9904gAoAYFrcXANdnTxF//fTn2nlP+/T/Oyf6MXq/6N/8++b%20JiVQVWhueWXb0H/oQP8JWvnpzzQ3v0H5FzskirsH1Sos9+PpaFTUBGvW4a8AgPqznFHeZHrU+RzG%2063GacmMtAoYiiwAAHwdJREFUteYerk1wEpmvofXobkFJybTHo1CIMLkBAKAScU15sYLX02iLa3NY%20iBqIiLq7ux3Sao4jDQAAAKin3OTtAyFiq3nRchelU8UInkouJAAAHF87lDffB/z2OFzzcG3CgRD1%209fUde6IV8yOcRY/aBQBYA6udvlpJnkz2L7ZifkQuEVjNhQQAgKMoHUemZn0yOqw8WSgUchwTosM1%203Ycx42hxOdQkAtUkHAEAQPFG2YKFCpXUGhwIEatyzmquSM2OY9HAk8gBAMZETW7Za0lHdLzW4Ggq%20qAJHZC0hUlODj14iAIBS1KydVnRElYQnbeeIiJQfXodeIgCA4g2sinWj02IVc6yhr0en+TQcesA2%20vURqKufQUwUAUIKakD7HOSx1DVhDX48an4Z3L8LxQaJW7CXqVXHC4vIKhAgAoMARqQjNWW0wMys8%20eTQV1CD3IJGxjwxXi5qChQyECABQIYK4qzg0Z8XSbdY16O7u/oApRKwD8lDCTbS8gl4iAIB+G9eA%2033qnBEiFJzmOI7fb/bNiIbJasl6N/X2OEm4AQBULcDk6A9Yb7SMVnpSKvL0jRKxziazYR6Nm94GC%20BQCAXmtFpwVnzEmZmLJCxHREFuyjUfOmo7EVAFAJz1UNO7VWaI7V0CulM0eFyCFVOZezZC+RciFC%20wQIAoBy5fEFxtbGno9FyJ0lXWjF3TIhYT7JifkRNCTccEQBAj3XCioUKrI370Yq5ioWIyHr5ETWJ%20wfyLHctVEAIAtEXNxt1q/UNE0pXGXq/3WMWcMiGyYFiqB64IAGAAR6QmQmNGQWbpS8VCZMX8iJo3%20f25+A580AID0hj2zrW7GnMVKt1kRNCVC5KjUZtlRiNBPBADQ0g2dP2fFsJy0ELFahCSnf0odG27N%20ggXlN4C4VlR1zggAwPrMPVMeMbFifkhJoQJTiOxSsKB2N4LwHADgKIK4q7JQwXr5ISln2NXVJVmo%20wBQiO01YULMbmZuHIwIAVL9B9XQ0WnK0T0YilcMyOIodkRULFgb6lQtR/sUOxv0AAKoWImu6IemN%20OsvgMIXI5/M5vF6vLRyR1+NUNX79ydM3+OQBAIhoLyz34zPlkZKB/hMWFCJpnVDsiFjfZNWGTjWu%20COE5AEA1bohIXS+jGYWI4zhmRbasENkpTzQy1Kb4exCeAwBUI0Tnz7WQm2uw3LWQKtiQC8upEiIr%20lnF3BpqIcyk/Kx7hOQAAwnKHjYry/JCsEHV3d0tO4rbqiBs1NwXCcwAAtWE5O+WHVAsR65utmica%20GW5V/D35FzvoKQLA5jx4uK74e6wallOTH1IlRFZ1Rb3BFlXVc49nEJ4DwK4sZ7Yle2bs6IaIpFM3%204XC47PfJChHrBzy3bHhOefXcj882cTQEAHBDthciVnSoXFiurBCx+omsGo5SUz1HRPTk6Vt8IgGw%20GYK4q2otHBlqtWhYTl2hQlkhYv0Qca1oydLlzkCTqpMSn8xAiACwG3PzG4qPBLeqG9q7HseFqKur%20i3w+X9mS5LJCxArPWbV6Tm1PEXJFANiL21OvFX+Pp6PRkkKUyxckz2GqxA1V6ogkx3ZbtYdGTfUc%20kfpYMQDAfCymNlUdgKd2fTGjG5IzMoqFyO12/yx1PlFmpUCCuGu5C+rmGmhkSPnNklkp4JwiAGzC%20LRVuiIhUrS1mEeajcBxHoVCookkBFWXMWKpm2aIFlbsWtTcnAMBci+5zlSexej1Oy10P1mSJSsNy%20ECIGvcEWVUULz1NbmD8HgMVRW5z0xXi7Ja8HSwcqDctVLEQ+n8/R1dUlufBalYkxdTcNckUAWJdc%20vqCqXcPT0WjJI8HldCAcDn+gqRCxbJa4VrSsK/p4uE3lINS3aHAFwKLcVhl+vzJ50rLXREoDBgcH%20mceCVyVEkUhEkS2zhCtSaaUvX1vDJxYAuKEDN/TxcJslrwmrl0pJWE6REHV3d9tqygLRXnhOjSv6%208dkmKugAsBhqN5hWLdmWW/+VhOUUCRFL5awcnnNzDaigAwDQYmpT1ZlDnMuhOt9sViFSGpZTLES2%20DM+pvImep7bgigCwCGo3lhPj7ZacK1da97UIyykWIrnwnBWbW4mIvB6n6ia08QsiPsEAWGDBVVMh%20bHU3xBprpjQsp1iIWGpn5fAckfqKF8ygA8D8qM0NWdkNsZpY1YTlVAlRNBpl7hqsSjWu6Ktra5Z1%20iwBYnVtTr1TNlLNjbohlVHQRIlZzq9UPiLtz06Wqgk5cK9LtqVf4RANgMnL5Aj2YVtegbmU3RCR9%20BhvHcarCcqqEiEiuaMG6yXk316C6r+jBwzcY/QOAybh8bU3VeUNWd0O5fIF5JLiasFw1QvSB9IJr%207fE2avuKiIjGLwr4ZANgEtSWaxPtRU/s5obkDIpuQuR2u38eHBw89vX8ix1L7/zdXANdmTyl6nsz%20KwX6dhpz6AAwOoK4q7ri1cpTFA6ESGLoq9frrfjIB82ESE79rO6KvhhvJ09Ho6rvvT31CnPoADA4%20t1UWKBARTd/nLH1t5uY3JK9NNW6oKiEKh8O26ymq9mYT14o0fhG9RQAYlcXUJj14qK7loifYbNkJ%202yVY7Sh1EyLWL7d6TxHR3nlFPcFmVd/7PLWFEB0ABqSakBwR0fQ9a7uhXL7A7B3y+XyOan625kK0%20Z22tP2dt+h6nunABIToAjEc1IbkrkyctefrqYfQoUtBEiHw+n4NVtGD1OWtej1N1Obe4VqThT3/H%20Jx8Ag1BNSM7T0Wjpcu0DIWIUKYTDYUe1P7vqGkOWGtoh/HR18pTqwoXMSoFuodEVgLojiLs0/In6%20jeH0fc7S5dpEe7khPYoUSjiKxWLVP8Tn8xVzudyxr6/8533L29XF1Cb1D/6m+vvnZ/9k+QQnAEbm%203OBLVUNNiYjOn2uhpz/8ybbX6Pfff/9AbROrpo6IiD1/zuql3ER7hQsTY+r7BoY/+R2z6ACoE99O%20r6sWIc7loOn7bstfo+XMtuQ1Gh0dJS1ESDNHJAjCWZ/PtyqK4rE3auWnP1vetgriLgVDv6pOdPYE%20m+nZ7BmsCgDUeIENfvSr6u+f+f40DfSfsPx1Gr8gSBYq/PTTT9Td3e3Q4ndoohBut/tn1vEQUgku%20q+HmGqpqZHue2kK+CIAabx77B1+q/v7z51psIUK5fEFShPr6+jQTIc2EiIgoFotJft0O4Tmi6kN0%20t6deW77/CgCj0D/4UtVAUyL7hOTk1m9WOqbuQiRXym2Xw+GuTJ6igF99ccb4BQFTugHQmfELAmVW%201Pfxzfxw2vLphpJr1LNkWxchklNJOzS4Eu2H6O6p3yntjQASULwAgE48nnnDbMyshImxNttUuT54%20uC7pGlnRr2rQpFjhMKFQqLiwsHDs69/d4yw/lbbEt9Pr9NXf1lR/f8DvpH/z72PVAEBDqm21sNPn%20UhB3yf/hf48JEcdxJAiCQ+vfp7m/tHMpd4kvxttVz6Ij2mt2Hb+A84sA0IrlzHZVTaucy1FVtMNs%20PJl5K+mGtM4N6eaIiNgNrnZq3mTtKJRwZfIkXVV5/hEAQLvPop0iOkRE//Phf4+1o3AcR9ls9gOt%20eod0dURE7BjiLZvkioj28kXzVfYG3Z56bZtCDwD0EqFqKuSIiEaGWm0lQqxxPtFolPQQId0ckZwr%20stvOotp8kR2vGQBailA1FXJ2zNfW2g3p5ojkXNFtG7kior180chQa1U/4/OLIsq6AVBItWXanMtR%20dVTDjBvnWrshXR0RXJG2O7PSh6Iz0IQVBoAKRKiaMm0iotS/3rPV502uUk5PN6SrI4Ir+oNSvkjt%20QXpEez1G/YMv4YwAqIEIfXePs92mj9U3pLcb0t0RybmiO3930Rfj7bZ6o5cz21UnTuGMANBXhCbG%202ujuTc5W162ebkh3RyTvil7ZboJAZ6CJ7tx0VfUz4IwA0E+ERoZabSdC9XZDNXFERETd3d3FpaWl%20Y1+3a5+MFpV0cEYAaCtCAb+T5mfP2GKO3GFy+QL5//eX42tMjdxQTRwREVE8HpdW4el1yuULtvvQ%20aFFJV3JGmNgN7Iwg7tLQJ79BhKqAlbOPx+M1cUM1c0RE7Bl0I0Otthmprscujgh9RsC+IlRtNard%20owuswwG9Xi9ls1lHrV5HzeSf5YqePH1Li6lNW36Qpu+7q5pJV+LziyImMACIEERIMZcZKQLWem16%20Ieru7naMjo5KX4xra7b9QM18f7qqM4wOixEGpQK77OL9H/4XIlQlc/Mb9Dy1dezrfX19mp83ZBgh%20ItqroOO44xUpmZWCbXf0pR4jLcToydO3NPTJbzjPCFh68ay2BQIitOcoWQZAj/OGDCVEPp/PwRoj%20/tW1NdsuoFqK0Y/PNql/8CXECFiOb6fXafjT36sWISKyfcXpg4fSo3xGR0cpFAo5av16alascKDE%20gnC2u7t7VarJ1Y6NZEd3KVrEvbHjA1ZDq8IezuWgOzddti7ukSvXTqfT5PP5ai5ENa9VdLvdPzPL%20uR++sW3hgtbOSFwrUvCjX1HEAEy/OftL6BfNRGh+9oztK0zHL4qSX49Go3URobo4ohKscm4ck62t%20MyLaK5G/c9Nlyx4JYF4WU5s0/Ik2oThECPaYm9+g4U+Pn1Rb63LtujuiEolEQvLrmZUC3Zp6Zeub%20RUtnRLRXxNA/+NKWzcPAnNyaekX9g79BhDTe4LIKFFjrseWFyOfzOa5fvy75mF0nLkiJ0flz2hyt%20nlkpUDD0KyYxAMMvlucGX2o2oR8i9Ae3p15JFigMDg7WpUDhMHULzRHJFy70BJvpmc0OpWKhVaK2%20BEJ1wIhoGYoj2gvzz3x/mrweJ65tapP6B387LtR1LFAwhCMiki9ceJ7aom+n1/HppL0JDBNj2iVY%20nzx9S8HQr5jgDQzjgi5fEzULxZVEaH72DERoH7meoXqLUN0dUYlwOFycnZ2VtNUp/j3cTPs8nnlD%20nzMqXtRi1wnowBgsZ7Zp/KKgWWEOHP9xbk29kgx1dnV1UTqddhjhNRpCiARBOOvz+VZF8fgiixDd%20u8zNb9D4BUGznWNp9zh9z404OjDEAlkNdu9FlBJ6qaGmREQ//fQTdXd3G0KIDLFlcLvdP7PGSiBE%209y4D/SdofvYMeToaNfuZmZUCBT/6lW7Z8LBCUHsWU5v0Px/+V3MR+u4eBxE6wvhF6fmT169fN4wI%20GcYRlWD1FiFEJ+EiNe41KuHpaKTp+xz1BltwkYHm9+ztqVf04KG2TdaojFPmOI0UkjOkEGWz2WJ3%20dzchRKdgx6NxRV2J8+da6O5NF8QfaMLjmTf01bU1TUPKRPY+0K6c65SqkiMyVkiuhKHePZ/P55AL%200dm90VWK6ftu+u4eR5xL2/vqx2ebFAwhXAeqXxDPDb6kzy+KmovQyFAr/Zt/HyIk4TzHL0gXNRkt%20JGdIR1SCFaIjIkr96z1YcAn0qD4q4elopCuTJ3EKLKiYXL5At6de6+LWMbhUHlaUxIghOUMLkVyI%20ztPRSCn+PeyCmDshgX58ps/g2J5gM12dPIn8EZC9Bx88XKcH0+uaOyAiVHiWgzVLjsiYIbkShlzN%20fT6fgzX7KP9ih76y8Ymucri5Bnr6w590CdUR7YVH+wd/o3ODL209JR1IC9CtqVfk36+G00OEJsba%20UJRQxoWyTmn++uuvDStChnVEJViNrkR7pZqw5mz0DNXBIYFaOSCivVDc9H03DfSfwAWX4S+hXyQ/%207319fcTzvMPIr93QQiQ3iw4lm5WhR9MgBAnUQoCI9qo3p++7EYovw+VromRZvFFmyZlaiIiIeJ4v%20fvTRR5KPoXSzMhZTmzR+QZScvAtBAkYUIM7loCuTp+iL8XZc9DLI5YX++c9/Ujgcdhj9bzC8EBER%20xWKx4o0bNyQfGxlqpen7btyNFSwgejQTSlGqshvoP4FNgkVYzmzTg4frulTBSW1opu9x6GGrgFx+%2073gXqU3BpUuXKB6PO8zwd5hCiIjkS7rv/N2FnZOCBUXv3NHhXe3IcCtNjLVjUTEpj2fe0JOnb+l5%20aqsm9wtyQcpg5YW6urqI5/kP3G73zxAiLXf0MoNRiYjmZ/+EkJACbk290j28cnSXOzLUigITk+yy%20Hzxcpyczb2t2f2BitnJY/UIcxxHP84aukjOtEBHJ54swj07dgnP52ppufUdyLmlkqA2FJkba6Im7%20NDe/UTP3UyLgd9Ldmy5sIlU4VdaRMP/4xz8oEok4zPT3mEqIiOTzRSheUEetihmO4ulopImxdhro%20b8EGok7MzW8cCFAtQTGCeuSOdjBTXsjUQkQk31+E4gX1fDu9TrenXtUsHHN0EzEy1Ea9wWY4pRqJ%20z9z8Rl3e64mxNroyeQobRpVRDFZxgpFH+FhSiOT6i4hw6mhV13a/PFfv3qNyTmmgv4UG+k8gZKPR%204rWY2qK5+Y2ahmGPgonu1X82WUe/cBxH2WzWNMUJlhAiIqJ0Ol0MhULEKl7A5IXqFy+9hlYqgXM5%20qCfYTL3BFrglha5nMbVJi6mtmlRIyoH+Mm0Y+uQ35kbCyHPkLC1ERESJRKL42WefMRcwTF6wjiAd%20dku9wWYK+JsgTId2ypmVbVpMbdFiaqumxQYQoNrAmpxAZM7iBEsJEZF88QIq6awrSIff40BgX5T8%20TRTwOy3/fi+mNmk5U6DMyjYtr2zX3fFAgPRFrkJudHSUEomEw+x/o+mFiIgoEokUHz16JPkYKun0%20EaR6JbqViFOn30meDid1BpwU8DeZ7h5YzmyTuLZLi6ktWs5sU/7FjuFEBwKkL3Lje8wwzNRWQiQI%20wtlQKLS6tLQEMarVNa/RzDE9FkvO5aDOQBNxrgbqDDj375HaC1UuX6D8ix0SxSItr2yTKO7S8kqB%20RHHX0IJzlJGhvekZCJNqvxHpH3zJrJAz0+QEWwhRSYzkJi+grFvf0MGDh+umWjzLidVhd1XNAlsS%20lxL5/E7N+7X0cp0T4+00MtSK0HeNRciMkxNsI0RE5SvpIEb6spjapCczbw2XRwLaEfA7aWKsHRWp%20OkcbWGXaROavkLO8EBERJZPJ4l//+lfm4xNjbXT3Joe7XecP0p4gvbGMS7IznMtBA/0nEH4zgAhZ%20oULOFkJEJF/WTYQeo1qHGJ48fVPTAZpAG86f22sqxmfFGCL09ddfUzQadVjxb7ekEBHJl3VDjOpD%20vUfLgPKURi1h/p+xRMgqZdq2EyIi+bJuiBFECUB8jALrSAc7iJDlhQhiZA4WU5v7orRpiYoyo1Ma%20mzTQfwKn6BpchKzUK2RrISKSn9YNMTIWuXyB5uY3aTG1Sc9TW3BLGrqe3n3xQcOpOUTIar1Cthei%20cg2vECPjspzZprlnG3vDOzPbECaFwtMbbKGeYDNcD0QIQgQxAloK095EaWPOWasHh+ftlQbCQngg%20QhAiiBGoIYeHgOZe7Bhm+rQeeDoaKeB3UmegyTZDXi21Bom79NW1NYiQnYUIYmQfSnPcFlNbppvh%20VnI43o5G8ngaqdPfRBznQG7HAiIkV6JtVxGypRBBjEDp/J49N7Xnno7OhNPDVQX8TuL2Q2aHZ9h5%20OhrJ62ncH8KKyQUQIfthSyGqVIxw5Dg4TOlYhspEB3kaABGCEGkkRhiUCgCoZvMy/OnvzP44iBCE%20CGIEANBVhFhHOUCE3sX2sQO32/0zz/MfjI6OMp/z5Olb+kvoFxLEXXy6AABlmZvfgAjBEamj3Dgg%20nPQKACjH45k39PlFkfk4RAiOSJZEIuGQc0aZlQL5P/wvLWe2cbEAAMe4fE2UFaHBwUGIEISoMjH6%20+uuvmY+La0XqH3xJc/MbuFgAACLaq4wb+uQ3evDwDfM5o6OjlEwmHRCh4yA0xxYk2cP1iIju/N1F%20X4y342IBYGNy+QINf/q7bLO0HY5ygBDpBM/zxXA4TKLIttqoqAPAvpSrjCOy7vHeWoLQnAyhUMjB%208zx5vV7mc1BRB4A9eTzzhoIf/coUIY7jIEJwRNpRSa8R53LQ/OwZjGgBwAbITc8uiRDP89Td3Q0R%20giPShkp6jcS1IgU/+pUez7zBBQPAouTyBfpL6BdZEerq6qJ0Og0RghDpI0aJRMJx/fp12ed9flGk%208QsCQnUAWIzF1CYFQ7/KFiWUyrN9Ph9ESAEIzakgmUwWI5GIbBFDwO+k6XtuhOoAsAC3pl7R7anX%20ss+5dOkSxeNxCBCEqHak0+liJBKhcnmjOzddOE4CAJMiiLs0/OnvsseCcBxH8XgcRQkQojrdpIJw%20NhKJrM7Ozso+b2Sole7cdGE0EAAmYm5+g8YvCLKl2V6vl5LJJPJBEKL6E4/Hi19++aXscxCqA8A8%20Luj21CvZKQlERH19fZRMJjGuB0JkHCppfiXCNAYAjMxyZpvGLwplj5S/fv06xWIxuCAIkQF3UoJw%20NhwOry4sLMg+ryfYTNP3OPJ6nLhoABiESgoSOI6jZDJJoVAIIqQhSFpoyH6/UdkS7+epLQqG0HME%20gFFc0F9Cv5QVob6+Pspmsx9AhOCITEM6nS6Gw2HK5XKyzzt/roWm77tRyABAHfh2ep1uT72SLUgg%20QigOQmRiBEE4G41GV+UO2yNCmTcA9XBBleSCvF4vJRIJhOIgROankgZYIuSOAKgFleSCiPamJCQS%20CVTFQYis5Y4qKWTgXA66MnkKlXUAaMxiapPGL4iUf7Ej/xnkOEokEhQOh+GCIETWJB6PF2OxWFl3%20FPA76e5NF/UGW3DRAKhmEyju0lfX1mQHlZZAbxCEyDZks9liJBKhcu6ICFMZAKiGSosROI6jWCxG%200WgULghCZC8SiUQxGo2WdUcI1wGgjMXUJl2+tla2GIFoLxcUj8cJE7MhRPYNG1Q4r44I4ToAypHL%20F+jytTX68dlm2eciFwQhAkfgeb4YiUTK9h0R7fUe3b3pQnUdAKUNnbhLDx6uV1QNR0Q0OjpK8Xgc%20uSAIEZByR/F4fPXGjRsVPX9irI2uTJ5C/gjYmkrzQER7p6fG43H0BUGIQDmUFDNwLgdNjLfTxFg7%20BAnYisczb+j21Ouy5dhEe2G4aDSK6QgQIqCUZDJZjEajFYXrUNAA7IKSQgSivTBcLBZDMQKECFRD%20LBYrxuPxstV1RESejka6MnkS44KAJQXo1tRr2dNSD4MwHIQIaEylc+sgSMDuAuT1eikWi+HobggR%200Asl+aOSIE2MtdPIcCtySMBUzM1v0LcP1ysWoFIeKBqNohoOQgRqAc/zxVgsVrEgoagBmAUlRQgl%20Ll26RLFYDAIEIQL1EqRoNEpLS0sVC9JA/wm6MnkSfUjAMJT6gJ7MvFUkQChEgBABA5FIJIqxWKyi%20CrsS58+10Bfj7ZjUAOpGLl+g21OvaW5+o6I+IAgQhAhYVJBKhQ0D/ScQtgM1YW5+gx7PvKloFA8E%20CEIETEoymSzG4/GKc0hEf4TtJsbaqTPQhIsINEUQd+nJzFt68HBdUfgNAgQhAiZHaVFDiYDfSRNj%207XBJQBP3Mze/UdF5QO9sjDiOwuEwBAhCBKxCOp0uxuNxqrQP6TAjQ6000H+CBvpP4EKCisjlC/Tk%206VvFxQclAUIZNoQIWJhsNltMJBJU6aSGw3g6Gmmgv4VGhtoQugPHEMRdmpvfoAcP1ysev3OYrq4u%20ikajaESFEAHbLBqCcDaZTK7G4/GKS78hSoAlPnPzG4oLD0qMjo5SJBLBKB4IEbAzPM8XE4mEqrAd%20RAniowav10uRSIQikQjyPwBCBN51SYlEYjUejysq/5YSpd5gC3JKFiKXL9Biaqsq8SHaO5Y7Eong%20VFQAIQKVu6RkMqk4l1SCczmoJ9hMA/0nqDfYjEkOJmM5s01zz/acj5qcT4murq6S+0HxAYAQAXUu%20KZlMriaTSZqdna3qZx12Sz3BZpSFG9j1PE9tKZp0cBSv10vhcJgikQh1d3fD/QAIEdCGbDZbTCaT%20lEgkVBU4HCXgd1JvsBnCVGfheZ7aosXUluIy62MOeL/vZ/9/EB8AIQLmEqWSMHX6m6gn2Eyd/iYU%20PmjMYmqTljMFWkxtUmalULXwQHwAhAgYTpSSyaTiCQ7l2BMlJwX8TRAnBSxntml5ZZsyK9u0vFKo%20+EyfSiiF3cLhMEquAYQIGI9STonn+aoKHSpxTh5PI/UGm8nT0WjbQohcfs/ZLKa2KJ/f2Refgua/%20p6+vryQ8yPkACBEwFzzPF0uipFUIT06gOK6BeoPNxLkaqDPg3P/X3C5qObNN4touLWcKJK7t0mJq%20i0RxVxfBOep6QqEQhUIhVLsBCBGwjlvieX6V53nieV53YTpKT7B5b5HtaCSPp5GIiDr9TcRxexv8%20WjorQdylzMr2wX8v7ofORHGXlvcFJpPZrqpyTanw7IsOhUIhNJkCCBGAMBkBzuWggEZuKp/f0aQ4%20QCu6urpKYTYID4AQAXAYnueL6XSaeJ6ndDqtesIDeNftdHd3H4gOCgwAhAgAha4pnU6v8jxP2WyW%200um04ZyTkejr6yOfz3cgPN3d3cjvAAgRAHqQTqeLgiBQSaBKIqVHlZ7R4DjuQGjcbncptIbwGoAQ%20AWAUeJ4v7v9bEi0SBIGy2awpQn1dXV3kdrvJ7XYfiM2hfyE2AEIEgJUc1WGhOvTYO/99mHJiVhIR%20KUpiUmLfxRz+/xAZACECAAAAag2mTAIAAIAQAQAAsC//HzL0oR/uyDq7AAAAAElFTkSuQmCC%22%20preserveAspectRatio=%22none%22%20height=%22526.25%22%20width=%22522.5%22%20image-rendering=%22optimizeQuality%22%20transform=%22translate(-130.179%20-266.38)%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [], + "wires": [] + } + } + }, + "4d249fabfd69a9088d45603efb62d23bd1e95635": { + "package": { + "name": "IO", + "version": "0.1.1", "description": "Puerto de salida", - "author": "Juan González-Gómez", + "author": "Juan González-Gómez - Modificado por Diego Harosteguy (@vascodh)", "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22256.637%22%20height=%22422.393%22%20version=%221%22%3E%3Ctext%20style=%22line-height:0%25%22%20x=%2218.222%22%20y=%2275.656%22%20font-weight=%22400%22%20font-size=%2221.071%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%20stroke-width=%221.756%22%3E%3Ctspan%20x=%2218.222%22%20y=%2275.656%22%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%22101.936%22%3EOUT%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:0%25;text-align:center%22%20x=%22137.004%22%20y=%22171.758%22%20font-weight=%22400%22%20font-size=%2214.606%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20text-anchor=%22middle%22%20fill=%22#00f%22%20stroke-width=%22.537%22%3E%3Ctspan%20x=%22137.004%22%20y=%22171.758%22%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold';text-align:center%22%20font-weight=%22700%22%20font-size=%2270.662%22%3EPORT%3C/tspan%3E%3C/text%3E%3Cg%20transform=%22matrix(2.4991%200%200%202.4991%20-214.27%20200.775)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E" }, "design": { @@ -18059,6 +18240,17 @@ "y": 592 } }, + { + "id": "ba1d44e0-d1b6-42de-a191-e9c01396f615", + "type": "basic.output", + "data": { + "name": "write" + }, + "position": { + "x": 1288, + "y": 792 + } + }, { "id": "684e4f5d-3d2a-45db-9eb8-09a973dbe20e", "type": "basic.constant", @@ -18419,6 +18611,16 @@ "port": "3924e842-5646-4d03-bfa7-e141cf32635f" }, "size": 4 + }, + { + "source": { + "block": "13f83e19-e124-43cb-accb-f4ff5c8d23ff", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "ba1d44e0-d1b6-42de-a191-e9c01396f615", + "port": "in" + } } ] } @@ -18791,18 +18993,10269 @@ } } }, - "dccd52713240167a29ea40dd4722a87a9375c41c": { + "684daf209a39fa6778251e4cfb5a7edc1232daf3": { "package": { - "name": "Smiley", + "name": "digitos-4", "version": "0.1", - "description": "Stickers de Smiley", + "description": "Controlador para display SPI de 4 digitos modelo COM-1129 de SparkFun", "author": "Juan Gonzalez-Gomez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20xmlns:xlink=%22http://www.w3.org/1999/xlink%22%20width=%22557.333%22%20height=%22561.333%22%20viewBox=%220%200%20522.49998%20526.24998%22%3E%3Cimage%20y=%22266.38%22%20x=%22130.179%22%20xlink:href=%22data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAAaIAAAGlCAYAAAC4DvLXAAAABmJLR0QA/wD/AP+gvaeTAAAACXBI%20WXMAAAsTAAALEwEAmpwYAAAAB3RJTUUH4QcfCCEsG8LR5gAAABl0RVh0Q29tbWVudABDcmVhdGVk%20IHdpdGggR0lNUFeBDhcAACAASURBVHja7Z1LUBtX2v5fgQADtloeJ/NfIamc5ScB+ZYjKJSlMVVo%20dlAkQVlBiF1WNji2p8py1fjGJkrZcfBq5MQuvBtRRfBymsKa5UQgviUpSd5N4qQbG5uLQP8FiGDo%2001K3uqW+PL+qKU+QANFqnec87+04isUiAQAAAPWiAZcAAABAPXHiEgA7k06ni4IgEBGRIAiUTqff%20eTybzVI2m63qd7jdburu7n7naz6fj3w+38F/h0IhB94NYFccCM0BK5LNZoslEclms++ITDqdJlEU%20Dfva+/r6iIiou7ub3G73gWjtCxoEC0CIADCa2KTTaTr8by6Xs/Tf7fV6yefzHQhVKBQqiRVECkCI%20ANADQRDOptPp1XQ6fSA2CwsLuDAMN1USqf3/feB2u3/GlQEQIgAUig7P81QSHqs7nFo4qJIwhUIh%205KMAhAiAw2Sz2SLP81QSnqWlJUO+Tk9HI3k8jYq+J5/fofyLHUP+PV1dXRQKhQ7ECWE9ACECtiGd%20Th8ID8/zNS8a6Ak277mEQ8Li6Wgk7yGR6Q226Of4xF3KrGwf/HfukFgtZ7ZJXCuSKO5SZqVQc9e0%2075YgTABCBKzreHie1z3M1hNsJs7loM5AE3GuBuoMOPf/bTLl9VtMbe7/u3Xwby2Eyuv1UjgcplAo%20ROFwGKIEIETAXPA8X0wmk8TzvC6hNs7loECgiTr9TvJ0OKkz4Nx3NfZqi8vlC5R/sUOLqS3K53co%2092KHnu8LltYMDg6WRAluCUCIgPEQBOEsz/OryWSSksmkpuE2T0cjBfxO6gw0UW+w2ZaCo5TlzDYt%20r2xTZmWbllcKmotTKb8UiUTQ1wQgRKC+4pNMJleTySTNzs5q9nN7gs3UG2ymTn8T9QSbyc1hCpWW%204vQ8tbUvUtqE9kohPIgSgBABU4oP53LsC0/LnviYNI9jyvdS3KXnqS1aTG3SYmpLE2GCKAEIEdCN%20ZDJZTCaT9OjRo6p/1vlzLRAegwvT3Pxm1WXnXV1dFIlEkFMCECKgnnQ6XUwkEpRIJKrK+QT8TuoN%20NtNA/wldy6KBtuTyBVpMbdHc/AY9T22RuKZ+nRgcHCw5JQgSgBCBMrvi/dBbPB6vqtqt5HoG+ltQ%20WGAR5uY3qnZLHMdRJBKhaDQKlwQgROC4+4nH46or3jiXgwb6T1DPvvNBgYG1Wc5s05Onb6oSpb6+%20PopEInBJAEJkdxKJRFGt+ymJT+l/AKKkRpQ4jqNoNEqRSAQuCUIE7EI2my0mEgmKx+Oq3M/5cy0H%204gPnA7QUpdHRUYpEIhjKCiECVhagWCymqvIt4HfSyFAbjQy3QnxARczNb9Dc/AY9efpW8ff29fVR%20NBrFaCEIEbAKPM8XY7GY4vN7OJeDRoZbaWSoDWXWQDWCuEtz8xv04OG64l4lr9dLsVgMeSQIEbCb%20APUEm2lkqJU+Hm7DRQSaspzZpgcP12lufkNRObjX6y3lkXDIH4QImAE1BQgl9zMx1o5ya1Azl3R7%206rWiXFKpsCEajUKQIETAqA4oEokoOmbB09FIVyZPovAA1I3F1CZ9O71OPz7bhCBBiICZBUhpCK4n%202ExXJ09i0gEwDLl8gW5PvVYUtuM4jmKxGEWjUeSQIETALAI0MrQXfkPxATAqgrhLDx6u04Pp9YoF%20CUUNECJQY9SUYY8MtdKVyZPI/wBTCdKTmbf04OF6xXkkr9dLiUQCfUgQIqDbB1MQzsZisdVvvvmm%20oudzLgdNjLfTxFg78j/A1DyeeaOosKGvr4/i8TiOooAQAS2Jx+PFWCxW0SQECBCAIO0xOjpK8Xgc%20BQ0QIlANSivhJsba6MrkKQgQgCCVNmb7FXaxWAzuCEIElJDNZovRaLTiU1CRAwJ25NbUq4qLGpA/%20ghABBcRisWKlA0nPn2uhuzddECBgW5RW2Q0ODlI8HsekbwgRkILn+WI0Gq1oIgL6gAB4l1IfUiVD%20VhGugxCBozs6BdVwpUkImAMHgDTLmW26/Lc1ep7aKvvcrq4uSiQSqK6DEMEFVVqMcGXyJCrhAKiQ%20ufkNunxtraKChkuXLlEsFkN1HYTIfi4oEomsVlKMgDwQACo/Z/v5o9tTr8s+F8UMECLbuaBwOFy2%20GMHT0Uh3b7pwDDcAVZLLF2j8olhRuA7uCEJkeRdUaS4IYTgAtKfScB3cEYTIsi6oklxQwO+k6Xtu%20DCUFQK8NobhLt6de0YOHb8o+9/r166isgxBZg1gsVrxx44bscziXg65MnqIvxttxwQCoAYupTbp8%20ba3s8eWorIMQmZpsNlsMh8Nl+4J6gs00fY9DMQIAdeDW1KuyxQw49whCZEqSyWQxEonIFiTABQFg%20DJYz2zR+USjrjgYHBymRSKCQAUJkfKLRaLFcQULA76SZ70/DBQFgMnfk9XopmUwiVAchMiaVhuKu%20TJ6kq5OncMEAMCCLqU0avyCWraz7+uuvEaqDEBmLSkJxno5Gmvn+NCriADA4grhLX11bKzu3DqE6%207UCjSpXEYrHiX//6V1kROn+uhVL8exAhAEyAm2ug6ftumvn+NHEutumZnZ2lUCi0mk6nsZuHI6rT%20rqmCMT2cy0F3browpBQAk5LLF2j4099lCxk4jqN4PE6RSAShOghR7Uin08VIJCKbD0JzKgDW4fI1%20sWwT7KVLlygej0OMIET6U0k+6Py5Fpq+78aIHgAsxNz8Bo1fEGQP4EPeCEKkO/F4vPjll1/KPufO%20313oDQLAolQSqsM0BgiRbkQikeKjR4+Yj3MuB838cBqnpgJgcSqpquM4jniehxhVCGJH5W46QTgb%20CoVkRSjgd1KKfw8iBIANKFXVfXePYz5HFEX68MMPKZFIYKcPIdJEhFYXFhaYzxkZaqX52TOYkgCA%20zfh4uI1S/3pPtsT7s88+o1gsBjEqA0JzDNLpdDEUCskWJWBKAgCgkrzR6OgoJRIJhOkgRNqJEPqD%20AACHEcRdGr8g0I/PNpnP6evro2QyiYo6CFF5EolE8bPPPmM+zrkcND97Bv1BAIBjjF8QZIsYurq6%20iOd5iNERkCNSIEIBvxMiBABgUq6IYWlpiUKh0KogCGdxteCIVIsQmlQBAOV4PPOGvrq2xmx+RXk3%20HNExotGorAidP9cCEQIAVMzHw200P3uGWVEniiKFQiHCwFQ4IiIq36g6MtRK0/fduFMAAIpZzmxT%20/+BLOCMIEUQIAFA/BHGX+gdfMsu7IUY2Ds2VE6ErkychQgCAqnFzDTQ/e4YCfummd4TpbOqIyonQ%20d/c49AgBAOCM4IggQgAAOCMIEUQIAAA0F6OeYDPEyI5CBBECABhFjJ7NnqGRoVaI0T62yBGVa1aF%20CAEA6sHQJ78x59PZKWdkeSGCCAEAjEolBQzpdJp8Pp+lxcjSQgQRqi+5fIEePFyn5ZUCPU9tERGR%20p6ORAn4nDfSfoIH+E5hWYWAez7yhufkNyqwUKP9ih4iIeoLN1Ol30sRYO87gqpEY2WFQqmWFiOf5%204kcffQQRqtMHq9xRykR7k8yvTJ6iL8bbcdEMxNz8Bl2+tnYgPixGhlrpyuRJCBLECEIkRbnzhDAx%20QT+WM9s0/OnvZRexw5w/10LT991wRwag3DEGUpuJ6ftuGug/gYunsxj19fURz/OWDNFZToggQvVj%20MbVJw5/8zpyrJQemmxt7ESwHIgy1eR+setKrpT71giCcjUQiEKF6OSGVIkRElFkpUP/gSxLEXVzM%20OlDuqOtyfH5RpMczb3Ahq8TNNdD0PTdzavejR48oGo1aLoxlGUckCMLZUCi0urS0xNxx/5t/H3e6%20DuTyBQqGflUtQofpCTbTs9kzuKg1RGk4To7Uv97DwZEabezkpnb/4x//oEgkYhlnZBlHFI1GZUVo%20HoubrrtpLUSIiOh5aotuTb3CRa0Rj2feaCZCpXsBrrZ6OgNNNPPDaebjn332GfE8bxlnZAkhisVi%20zKkJnMuB3IOO3Jp6VVVIR4rbU69pObONi1sDJ/vVtTVNf2b+xQ6NXxBwcTWgN9gie+x4OBy2zPQF%2006/OiUSieOPGDYhQncIHt6de6/Kzxy9iMdOb8YuiZk72MD8+26TF1CYusAZ8PNxGVyZPSj4miiJF%20IhESBOEshKiOpNPpYjQaZT4+88NpxKt15PLf1nT72ZmVAn07vY6LrBNz8xsHTca6iNwFERdZI65O%20nmLOpVtaWqJwOLwKIaoT+8UJzAq57+5x1BtswV2sE49n3ui6kBER3Z56hXyDXpuIa2u6/vz8ix3k%20+jRk+r6beXzEwsKC6SvpTCtEoVBoVa5MGz0N+qJXSO6d0MNakR48hCvSYxOhpOFYLQ+m17GR0JD5%202TPk6WiUfOybb76hRCJhWjEypRBFIpEiq0KuJ9iMXiGLLGRYzMy7icBGQnvcXAPNfH+a2WMUjUZN%20W7xgOiFKJBLMCrmA30kz35/GHWuRhQyLmbk3EaWNBNCOzkAT3bnpkv6siCKFw2FTFi+YSojkihM4%20l4Om72Femd4spjZrupARET2ZeYsLr5Uw1FjUxbUiJi5ozMfDbTQxJp16yOVyFIlETFe8YJpVWxCE%20s+FwmFmcMH3fjQq5GlCPSrb8ix0sZhptIrTu+TKi+NmBuzc55nHjs7OzFI/HTRWiM40QRSKR1Vwu%20J/nYxFgbpv/WgFy+wDxNUndX9BSuyKzOMrNSQIOyDsx8f5pZvPDll1+aKl9kCiGKx+PF2dlZycd6%20gs109yaHu7IGzM3Xr0nxeWqLcvkC3gS1EQVxl+bmN+r2++GKtKdUvMDCTPkiwwtROp0ufvnll5KP%20cS4HihNq6krqGx6rpxCafxOxocsUBSW/H2hPZ6CJ7vxdunjBTPkiQwtRKS/EtKY/nEZxQo1YzmzX%20Jb+AXbU1hEBcK0KMdOKL8XY6f066ed8s+SJDr+LRaJSZF7oyeRKTE2zkhoj2ihaQa1CxoRN365bb%20gyuqDdP33cx8USwWM3y+yLBClEwmmf1CPcFmujp5CndfDVnUeZyP2V4H3BCEyEjI5YtKw1EhRArJ%20ZrNF1oVDXqj25PKFuofljOTMIETqENeKmMqtI52BJuak7qWlJYrFYoZ1RYYUIrnjvqfvo2m19guZ%20cRaPzEoBI38U8txALhKuSF+uTp5i9hfduHHDsIfpGW5Fj8fjxYWFBcnH0C9UH4y2i8Vipuy9q2e1%203PHXg9Cq3kzf45jz6Ix6fpGhhCibzRZjsZjkYwG/k64gL2T7HbURX4+xhchY1wqOVn+8Hidz8HMu%20l6NYLGa4km5DCZFsSA5z5LCjxq7aEtcKjlZ/BvpPMEu6v/nmG8OF6AyzssuF5K5MnsQcOSxkB+Rf%207GDKgondY2YFJfi1YPq+WzZEByE6QrmQHEq164dR+3bgiipzs3jv7IubaygXojOMKzKEEJULyQHs%20qLGrts6CjzxR7ZAL0d24ccMwja51F6JEIoGQnIHdkNHyQ9hVm9/NYiNRW+RCdKzz3WwlRIIgnGVd%20CITkDLCQrRh5IUOOyKxuFhuJ2uLmGpinui4sLBhiFl1dhSgaja4iJGdcjL5rRZe+zCZP3DWsmzW6%20W7MiHw+3MRtdY7FY3XuL6iZEPM8zZ8lNjLUhJGcIR2Rs17GcgSsy6yYCjrb2sBpdRVGkaDRa196i%20ugkRKyTn6WhE46pRFguD71rzL7CYsd3ilsHfux28STXG63HSxHi75GOPHj2qa29RXYQoHo8Xl5aW%20JB+7e9OFxlUDYPTQjhkcW10X+rzxF3qEVmvP1clTzOMi6lm4UPMVXxCEs6yeofPnWjBLzihuyARV%20TRnkGZjkTOA4cnm4onowfZ+T/PrS0lLdChdqLkSsAgXO5aC7jMoOUAe3YYL8i7hWRD8KAzPM40N4%20rj70BluYvUX1KlyoqRCl02l2gcJ4O3k9TtwlhlnkzbHAox9FymmYI2SJEu76cfemi1m4UI+hqDUV%20IrkCBfQMYZFQt+hiV21WpyHCzdYNucKFb775hrLZbE1DdDUTIp7nmRMUEJLDImH1RRfiLOVmUWxS%20TybG2g1TuFAzIWJNe+0JNqNAAYuEeiGCIzK1OCPHVz/cXAPzaPHZ2dmalnPXRIgSiUQxl8tJu6G/%20ww1hcahi9w9HZGpxRo6vvpSbuGApIWL9QSNDrZiggMUBjgjiDOrIVYYrWlhYqJkr0l2IYrGYpBvi%20XA6mLQSgYiHConsMMxUBoHKu/vQGW5iuqFa5Il2FSBCEs/F4XPIxlGsbF8xwM7ujxfsHlDF9j93k%20mkgkdHdFugpRPB5nNq9OjLXj3TfqjnrNXAlkjIoxsaNFaNUQeD1OGhlqlXysFrki3YSonBvCPDkA%20tMcszawHrxehVcPASpXkcjndXZFuagA3ZF4Qtzexw8DCDkzoinQRIjk3dAfTtYHGIKdlXjBdAa5I%20NyFiuSFPRyN9PNyGdxtou5itYTEzKyisgCvSU4gUqS3ALhVoA8KqQE9XlEwmdXFFmgtRIpEowg1h%20lwoAsJ4rYpkMwwkRy77BDQG9QAkwALVxRXpNW9BUiFgz5TiXA4NNgW6gBNjk718eDtzurkhrIZL8%20OvqGAABMR4uNhKlc0ezsrObnFWmmDqzzhtA3BEDtQKEJ0NIV1Woyt2ZCxHJDI8OtcEMA1IhlFJoA%20DWFN5n706BEJgnDWUEKUzWaLjx49knwMbggAAMxJb7CFAn7p4dTxeHzVUELEdENDrZiwbTIwQBQA%20UImZYK37dRMi5nBTuCEAADA1Hw+3EedyHPu6lmN/qhYiVgNrT7AZp68CAIAVXNG4vq5ICyGS/Dqr%20Bh0AAIC5YK3nCwsLmpRyVyVE2WxWsmQb43zMS8APFwsAeBe9G1yrEiLWCxgZhhsyKyi1BwAoWde1%20CM9VteogLAeAwXauHY24CEAXeoMt5JG4v0RRrLpoQbUQsYoUzp9rQck2AHXC44EQAf1gVUInk8n6%20OCLWL0ZuCACgBM6FcLBZYIXnqp0/p+oOyGazxdnZ2eO7sY5GTNkGdVjIHLgIJgZtHubBzTUwUy/V%20uCJVQsT6hQP9LXinABYyACwMy2xUUz2nSoiYxz1gkoIl8CDhDQCQESKpNSKXy1E6nVYVnlMsROl0%20uri0tHTs6wG/E0UKVhEiJLzN6w7RBwZqIkYtikyK5kIENwSAceE4c+XL4L7NychQW32FiJ0fQpEC%20qA+9jMO7ANw30Ml5B5okj4cQRZGSyaTi8JwiIUqn08VcLnfs6+fPtaAj30o3mR8hVtM6IpRCgzq7%20IjXVc4ruWpbtghuy2GKGTYWpd6pmApMgzAsrT6S7ECEsB4xIbxBtA2YFoTnz4vU4NQvPVSxECMvZ%20aWFHzsXUiztcBqgRWoXnKlYQuCGARRcuQ2tQbm5uWOE5nuchRMA+iztCO8cx08gjs5Wbg3dhheeU%20NrdWJETZbFayiRVhOeveXFh0zYuZChbgaM2PFj1FFakIy2bBDcEVYdE1ojibZ3OIaSzmR4vwXEV3%20LCssh6S2hYXIJCEv9M1IibM5FvcA+tUsgdfjlNy4Li0tVXw0REWfYqkjHzBbzuKLmUkWCbMsuhBn%20ideJsL7lXVGl1XNl7wSe54vSvxhhOUsvZiZZJJBjkBJnc4QrMcHDSkJ0gqUf2ggRs1ruHITIypgl%207ApXLo0Zwl6eDrx31lkvWiQLh6SiaWodkYT1dyBJbHHM4DR6kKM09fuHsKq1YH0eWVG1ioVIEISz%20UmXbCMtZHzM4Dcwpk1vkjb9RDKCZ1VJUE56TFaJkMrmKnSh2OIbd9aOZlS1EBl/kOZcDPYgWgxXO%20r6RgQfZOYCkZyrZt4ooM7jhwH8q5DWM72gBC+9ZbL2TKuAVBOKupEHk6GpEgts1i1oTXZ+JFwchT%20J7CJsCYyza2rqoQom81KTtvGDQSrbQQ8HY0I7ZjYdWDYqVXXDHVTFpif5HQ6Lfl15Ifsg5ET3tgQ%20mfsaYaqCNZGpnFMnRMgPASNvPBCWM6/rQHjfuri5BslNhlT1tWohwg2EXTVeFzYReO+AmvdXrp+I%20KURSCoYbCLtqI4CG6up2pxBIoCesaIVceK5BiXIhHIJdNV4THC0cEVDpiBQLEW4gcLCrNtrCz6rM%20Aca/VgjvWx9WPxGrAI4pRKxvQDgEOxwjwOpVAMZ3j9jM2gOpkLAoiszziSoWIoRD7IuRJq1jR21u%20R4s5lfaAZVpYJueYEAmCcFaqkRVnh9j7pjLKNGe4IXNvJLChtQcs51uxEKXT6VVpq4WwHG6s+jMy%201IY3w6Tiff5cC6Zh2Ga9UDZhoaHSJ2Ikh90Xs/rvqlG2rQ6vx2mIMm6E5eyF1D2nxBFJCxEWANsL%20Ub3DcyPDrXgjTOwkIUT2Qsq8sAoWjglRNps99s2I64K9haS+IR6E5cz83rUiLGczWOeFSWnMsTtD%20aqICTsIE9RYCT0cjXHkVeD1OOn+ufmIEN2Q/lDS2viNE6XS6qETZgM2sdqCpbrmGibF2vAFV8vFw%20fTYSno5GCJENYRW4SaV/GspZJjllA/ajHoLAuRzID2nkSuqR58N7Z0/cXIPk4YxlQ3OsQgUPQnPg%200K661vfDQP8J5BdMKgqcywE3a2dXJBFOl0r/VCRE6GQH9VzMrkyexEXX0NHW8ghxbCLsDWsQwtHK%20uXfuEEEQjn0DKuZAPRezkaFWbIQ0xM010MR47RwKNhH2xtPBFCK2I1pYWJC01gDUazHDQmbejcTE%20WBs2EXZ3RAHp9/9o5VzDITd0VvoHoWQWHOfq5Cndc0VYyMy7keBcDroyeQoX2/aOSHqNOBp9OxAi%201ow5FCoAFndvurCQYSPBcLKnkBsCzI3k0XqEBpZC/fGDIERAmoH+E7o1SU7fd2Mh05np+5wuPzfg%20d9IX46iUA3/cD0ockSJrBUBJMLTON5w/14IGyBrQG2yhiTFtm1w5l4Om77lxccEf94TEhvJoCXcF%20jggxesDGzTXQzA+nNd09Td/HQlYr7t7kNJ2WceemC3ll8A6sEu7DdQmyjghuCFS6s/7uXvVhntJu%20GiG52jI/e0aTz/rEWFvdxggBczmifc1ZPSZEUmDGHKiUj4fbqhIjzuWg+dkz2E3Xy9V+f7qqEOvI%20UCvdvcnhYgIJR1T+M30gROghAvUSI09HI0So3otFoIlWfvqzqjDdlcmTCKcCGUckrSOHe4kayt2c%20ACgVo9S/3qt4QRsZaqUU/x7uNYM4o/nZMxUXMOxtIP5EV1FmD2QIVOqIpE7MA6Ca3fW/+ffpu3uc%20ZHk353LsCdC/3kOZtgHF6O5Njlb+8z6NDLVK5o4Cfid9d4+j//vpz9QbbMFFA2XvKSkO1yU494VI%20ekHxY5cKqnNHpeT1cmabxLVd8nQ0ohLTBHg9f1Qv5vIFyr/YISKC8ADNOFypLbsisGJ7AKhxScC8%20ooTNA6iGnmAzPU9tMR9vOGqRAAAAAL05XCDXcNQiHSaA0BwAAIAqKVeBLZslRhIZAABAtZQLzZeq%205nClAAAA1JRSxTaECAAAQL2E6A9HJAWOCAcAAKAF5VqBkAQCAACgK+VagSBEAAAA6kKpYruBSHrg%20KQAAAKAnpR5WOCIAAAB1hSlEXhyKBwAAQAMCaosVcCgeAAAALSg3HAGhOQAAAHUFQgQAAABCBAAA%20AEIEAAAAQIgAAABAiAAAAICagvN/AQBAJ3L5AuVf7Bz7uqejEcevQ4gAAKB6BHGXMivbtJjaIlHc%20peWVAuXzO5LiwyLgdxLHNVBvsJk6/U3UE2y23aGkTjvfPLlDN8xiakvyud6OxoPm3k5/E3GcgwL+%20JpxeC4CNxefBw3V6MvNWkeCwyKwUiIjo+aE1KOB3Um+wmUaG2sqebgohMpE9npvfpMXUJmVWCopu%20nueMr3MuBwUCTQe7mIH+E/iEAmCDtaR/8DdNBKicOGVWCvTg4RvydDTSyHArTYy1W3YD7LTyDfPk%206VvNdi1HEdeK9Dy1dbCL8XQ00sz3p22xewHAroxfFHUXoaPkX+zQ7anX9GB6nQb6T9Cdmy7LCRLz%20r8nnd0z5By2mNmnok9/I/7+/0O2p1zW7afIvdqh/8CUtZ7bxaQXAgixntt8Jn9Uaca1IT56+Jf+H%20/6VbU6/sIUS5Fzumu0nODb6k/sHf6Mdnm3W7Uax2gwAA9teYFWNsMsW1It2eek1/Cf1imo3vYmpT%20nRCZBUHcpcvXRAp+9Gtddysl6iWCAACdBUAsGur1ZFYKFPzoV3o888b019bUOaLlzDYNf/p7zWO2%20AAD70Rkw5nL5+UWRRLFIX4y3m+6adnd3/+GIurq6TPcHPJ55Q8GPfoUIAQBqQm+whTiXw5Cv7au/%20rVEuXzDdNXW73X8IUek/zOSEPr8oGvK1BfzoEQbAqkwY2HU8efrWtNeVmSMyQr6FhZELAibG2vFp%20BcCiXJ08RT3BZlwIhSyW0RNTbt+NKpIBv5M+Hm7DXQeAhXk2e4ZuTb2iB9PrJK79UcBQanLvPDSy%20h4iof/A3XDQGPp/vDyHy+Xy0sLCAqyKBZ3/ETznx6wk208z3p3HBALCJM7o6eeqgLNkIQ0xHhlrN%20KESOd4RICkHcNWQHb0+wuWZl0iPDrXR18tTB9SgNOFzObJO4ViRvRyMN9J/AiB8AbEhvsEX2cUHc%20VfwzJ8baaKD/BN2ael1x9GdirM3Q07zLDUiQfeWZle2yF7oefDHerliIRoZaaWS4VbFNPhzbdHMN%201BtsMeQ1AQAYj4yKJlhuf515NttCi6lNGr8gP1ZoZKiV7t7kDH0dpAYkcNwfr9mUDa29wRb67l5l%20F35kqJVW/vM+Td93Q0AAAKZb61L8e3Rl8iR5OhrfeSzgd9LM96dp+r7blH9bqYfoQIhCoZDkE43W%20SXyYj4fbaOb708y6/oDfSal/vUfT9904gAoAYFrcXANdnTxF//fTn2nlP+/T/Oyf6MXq/6N/8++b%20JiVQVWhueWXb0H/oQP8JWvnpzzQ3v0H5FzskirsH1Sos9+PpaFTUBGvW4a8AgPqznFHeZHrU+RzG%2063GacmMtAoYiiwAAHwdJREFUteYerk1wEpmvofXobkFJybTHo1CIMLkBAKAScU15sYLX02iLa3NY%20iBqIiLq7ux3Sao4jDQAAAKin3OTtAyFiq3nRchelU8UInkouJAAAHF87lDffB/z2OFzzcG3CgRD1%209fUde6IV8yOcRY/aBQBYA6udvlpJnkz2L7ZifkQuEVjNhQQAgKMoHUemZn0yOqw8WSgUchwTosM1%203Ycx42hxOdQkAtUkHAEAQPFG2YKFCpXUGhwIEatyzmquSM2OY9HAk8gBAMZETW7Za0lHdLzW4Ggq%20qAJHZC0hUlODj14iAIBS1KydVnRElYQnbeeIiJQfXodeIgCA4g2sinWj02IVc6yhr0en+TQcesA2%20vURqKufQUwUAUIKakD7HOSx1DVhDX48an4Z3L8LxQaJW7CXqVXHC4vIKhAgAoMARqQjNWW0wMys8%20eTQV1CD3IJGxjwxXi5qChQyECABQIYK4qzg0Z8XSbdY16O7u/oApRKwD8lDCTbS8gl4iAIB+G9eA%2033qnBEiFJzmOI7fb/bNiIbJasl6N/X2OEm4AQBULcDk6A9Yb7SMVnpSKvL0jRKxziazYR6Nm94GC%20BQCAXmtFpwVnzEmZmLJCxHREFuyjUfOmo7EVAFAJz1UNO7VWaI7V0CulM0eFyCFVOZezZC+RciFC%20wQIAoBy5fEFxtbGno9FyJ0lXWjF3TIhYT7JifkRNCTccEQBAj3XCioUKrI370Yq5ioWIyHr5ETWJ%20wfyLHctVEAIAtEXNxt1q/UNE0pXGXq/3WMWcMiGyYFiqB64IAGAAR6QmQmNGQWbpS8VCZMX8iJo3%20f25+A580AID0hj2zrW7GnMVKt1kRNCVC5KjUZtlRiNBPBADQ0g2dP2fFsJy0ELFahCSnf0odG27N%20ggXlN4C4VlR1zggAwPrMPVMeMbFifkhJoQJTiOxSsKB2N4LwHADgKIK4q7JQwXr5ISln2NXVJVmo%20wBQiO01YULMbmZuHIwIAVL9B9XQ0WnK0T0YilcMyOIodkRULFgb6lQtR/sUOxv0AAKoWImu6IemN%20OsvgMIXI5/M5vF6vLRyR1+NUNX79ydM3+OQBAIhoLyz34zPlkZKB/hMWFCJpnVDsiFjfZNWGTjWu%20COE5AEA1bohIXS+jGYWI4zhmRbasENkpTzQy1Kb4exCeAwBUI0Tnz7WQm2uw3LWQKtiQC8upEiIr%20lnF3BpqIcyk/Kx7hOQAAwnKHjYry/JCsEHV3d0tO4rbqiBs1NwXCcwAAtWE5O+WHVAsR65utmica%20GW5V/D35FzvoKQLA5jx4uK74e6wallOTH1IlRFZ1Rb3BFlXVc49nEJ4DwK4sZ7Yle2bs6IaIpFM3%204XC47PfJChHrBzy3bHhOefXcj882cTQEAHBDthciVnSoXFiurBCx+omsGo5SUz1HRPTk6Vt8IgGw%20GYK4q2otHBlqtWhYTl2hQlkhYv0Qca1oydLlzkCTqpMSn8xAiACwG3PzG4qPBLeqG9q7HseFqKur%20i3w+X9mS5LJCxArPWbV6Tm1PEXJFANiL21OvFX+Pp6PRkkKUyxckz2GqxA1V6ogkx3ZbtYdGTfUc%20kfpYMQDAfCymNlUdgKd2fTGjG5IzMoqFyO12/yx1PlFmpUCCuGu5C+rmGmhkSPnNklkp4JwiAGzC%20LRVuiIhUrS1mEeajcBxHoVCookkBFWXMWKpm2aIFlbsWtTcnAMBci+5zlSexej1Oy10P1mSJSsNy%20ECIGvcEWVUULz1NbmD8HgMVRW5z0xXi7Ja8HSwcqDctVLEQ+n8/R1dUlufBalYkxdTcNckUAWJdc%20vqCqXcPT0WjJI8HldCAcDn+gqRCxbJa4VrSsK/p4uE3lINS3aHAFwKLcVhl+vzJ50rLXREoDBgcH%20mceCVyVEkUhEkS2zhCtSaaUvX1vDJxYAuKEDN/TxcJslrwmrl0pJWE6REHV3d9tqygLRXnhOjSv6%208dkmKugAsBhqN5hWLdmWW/+VhOUUCRFL5awcnnNzDaigAwDQYmpT1ZlDnMuhOt9sViFSGpZTLES2%20DM+pvImep7bgigCwCGo3lhPj7ZacK1da97UIyykWIrnwnBWbW4mIvB6n6ia08QsiPsEAWGDBVVMh%20bHU3xBprpjQsp1iIWGpn5fAckfqKF8ygA8D8qM0NWdkNsZpY1YTlVAlRNBpl7hqsSjWu6Ktra5Z1%20iwBYnVtTr1TNlLNjbohlVHQRIlZzq9UPiLtz06Wqgk5cK9LtqVf4RANgMnL5Aj2YVtegbmU3RCR9%20BhvHcarCcqqEiEiuaMG6yXk316C6r+jBwzcY/QOAybh8bU3VeUNWd0O5fIF5JLiasFw1QvSB9IJr%207fE2avuKiIjGLwr4ZANgEtSWaxPtRU/s5obkDIpuQuR2u38eHBw89vX8ix1L7/zdXANdmTyl6nsz%20KwX6dhpz6AAwOoK4q7ri1cpTFA6ESGLoq9frrfjIB82ESE79rO6KvhhvJ09Ho6rvvT31CnPoADA4%20t1UWKBARTd/nLH1t5uY3JK9NNW6oKiEKh8O26ymq9mYT14o0fhG9RQAYlcXUJj14qK7loifYbNkJ%202yVY7Sh1EyLWL7d6TxHR3nlFPcFmVd/7PLWFEB0ABqSakBwR0fQ9a7uhXL7A7B3y+XyOan625kK0%20Z22tP2dt+h6nunABIToAjEc1IbkrkyctefrqYfQoUtBEiHw+n4NVtGD1OWtej1N1Obe4VqThT3/H%20Jx8Ag1BNSM7T0Wjpcu0DIWIUKYTDYUe1P7vqGkOWGtoh/HR18pTqwoXMSoFuodEVgLojiLs0/In6%20jeH0fc7S5dpEe7khPYoUSjiKxWLVP8Tn8xVzudyxr6/8533L29XF1Cb1D/6m+vvnZ/9k+QQnAEbm%203OBLVUNNiYjOn2uhpz/8ybbX6Pfff/9AbROrpo6IiD1/zuql3ER7hQsTY+r7BoY/+R2z6ACoE99O%20r6sWIc7loOn7bstfo+XMtuQ1Gh0dJS1ESDNHJAjCWZ/PtyqK4rE3auWnP1vetgriLgVDv6pOdPYE%20m+nZ7BmsCgDUeIENfvSr6u+f+f40DfSfsPx1Gr8gSBYq/PTTT9Td3e3Q4ndoohBut/tn1vEQUgku%20q+HmGqpqZHue2kK+CIAabx77B1+q/v7z51psIUK5fEFShPr6+jQTIc2EiIgoFotJft0O4Tmi6kN0%20t6deW77/CgCj0D/4UtVAUyL7hOTk1m9WOqbuQiRXym2Xw+GuTJ6igF99ccb4BQFTugHQmfELAmVW%201Pfxzfxw2vLphpJr1LNkWxchklNJOzS4Eu2H6O6p3yntjQASULwAgE48nnnDbMyshImxNttUuT54%20uC7pGlnRr2rQpFjhMKFQqLiwsHDs69/d4yw/lbbEt9Pr9NXf1lR/f8DvpH/z72PVAEBDqm21sNPn%20UhB3yf/hf48JEcdxJAiCQ+vfp7m/tHMpd4kvxttVz6Ij2mt2Hb+A84sA0IrlzHZVTaucy1FVtMNs%20PJl5K+mGtM4N6eaIiNgNrnZq3mTtKJRwZfIkXVV5/hEAQLvPop0iOkRE//Phf4+1o3AcR9ls9gOt%20eod0dURE7BjiLZvkioj28kXzVfYG3Z56bZtCDwD0EqFqKuSIiEaGWm0lQqxxPtFolPQQId0ckZwr%20stvOotp8kR2vGQBailA1FXJ2zNfW2g3p5ojkXNFtG7kior180chQa1U/4/OLIsq6AVBItWXanMtR%20dVTDjBvnWrshXR0RXJG2O7PSh6Iz0IQVBoAKRKiaMm0iotS/3rPV502uUk5PN6SrI4Ir+oNSvkjt%20QXpEez1G/YMv4YwAqIEIfXePs92mj9U3pLcb0t0RybmiO3930Rfj7bZ6o5cz21UnTuGMANBXhCbG%202ujuTc5W162ebkh3RyTvil7ZboJAZ6CJ7tx0VfUz4IwA0E+ERoZabSdC9XZDNXFERETd3d3FpaWl%20Y1+3a5+MFpV0cEYAaCtCAb+T5mfP2GKO3GFy+QL5//eX42tMjdxQTRwREVE8HpdW4el1yuULtvvQ%20aFFJV3JGmNgN7Iwg7tLQJ79BhKqAlbOPx+M1cUM1c0RE7Bl0I0Otthmprscujgh9RsC+IlRtNard%20owuswwG9Xi9ls1lHrV5HzeSf5YqePH1Li6lNW36Qpu+7q5pJV+LziyImMACIEERIMZcZKQLWem16%20Ieru7naMjo5KX4xra7b9QM18f7qqM4wOixEGpQK77OL9H/4XIlQlc/Mb9Dy1dezrfX19mp83ZBgh%20ItqroOO44xUpmZWCbXf0pR4jLcToydO3NPTJbzjPCFh68ay2BQIitOcoWQZAj/OGDCVEPp/PwRoj%20/tW1NdsuoFqK0Y/PNql/8CXECFiOb6fXafjT36sWISKyfcXpg4fSo3xGR0cpFAo5av16alascKDE%20gnC2u7t7VarJ1Y6NZEd3KVrEvbHjA1ZDq8IezuWgOzddti7ukSvXTqfT5PP5ai5ENa9VdLvdPzPL%20uR++sW3hgtbOSFwrUvCjX1HEAEy/OftL6BfNRGh+9oztK0zHL4qSX49Go3URobo4ohKscm4ck62t%20MyLaK5G/c9Nlyx4JYF4WU5s0/Ik2oThECPaYm9+g4U+Pn1Rb63LtujuiEolEQvLrmZUC3Zp6Zeub%20RUtnRLRXxNA/+NKWzcPAnNyaekX9g79BhDTe4LIKFFjrseWFyOfzOa5fvy75mF0nLkiJ0flz2hyt%20nlkpUDD0KyYxAMMvlucGX2o2oR8i9Ae3p15JFigMDg7WpUDhMHULzRHJFy70BJvpmc0OpWKhVaK2%20BEJ1wIhoGYoj2gvzz3x/mrweJ65tapP6B387LtR1LFAwhCMiki9ceJ7aom+n1/HppL0JDBNj2iVY%20nzx9S8HQr5jgDQzjgi5fEzULxZVEaH72DERoH7meoXqLUN0dUYlwOFycnZ2VtNUp/j3cTPs8nnlD%20nzMqXtRi1wnowBgsZ7Zp/KKgWWEOHP9xbk29kgx1dnV1UTqddhjhNRpCiARBOOvz+VZF8fgiixDd%20u8zNb9D4BUGznWNp9zh9z404OjDEAlkNdu9FlBJ6qaGmREQ//fQTdXd3G0KIDLFlcLvdP7PGSiBE%209y4D/SdofvYMeToaNfuZmZUCBT/6lW7Z8LBCUHsWU5v0Px/+V3MR+u4eBxE6wvhF6fmT169fN4wI%20GcYRlWD1FiFEJ+EiNe41KuHpaKTp+xz1BltwkYHm9+ztqVf04KG2TdaojFPmOI0UkjOkEGWz2WJ3%20dzchRKdgx6NxRV2J8+da6O5NF8QfaMLjmTf01bU1TUPKRPY+0K6c65SqkiMyVkiuhKHePZ/P55AL%200dm90VWK6ftu+u4eR5xL2/vqx2ebFAwhXAeqXxDPDb6kzy+KmovQyFAr/Zt/HyIk4TzHL0gXNRkt%20JGdIR1SCFaIjIkr96z1YcAn0qD4q4elopCuTJ3EKLKiYXL5At6de6+LWMbhUHlaUxIghOUMLkVyI%20ztPRSCn+PeyCmDshgX58ps/g2J5gM12dPIn8EZC9Bx88XKcH0+uaOyAiVHiWgzVLjsiYIbkShlzN%20fT6fgzX7KP9ih76y8Ymucri5Bnr6w590CdUR7YVH+wd/o3ODL209JR1IC9CtqVfk36+G00OEJsba%20UJRQxoWyTmn++uuvDStChnVEJViNrkR7pZqw5mz0DNXBIYFaOSCivVDc9H03DfSfwAWX4S+hXyQ/%207319fcTzvMPIr93QQiQ3iw4lm5WhR9MgBAnUQoCI9qo3p++7EYovw+VromRZvFFmyZlaiIiIeJ4v%20fvTRR5KPoXSzMhZTmzR+QZScvAtBAkYUIM7loCuTp+iL8XZc9DLI5YX++c9/Ujgcdhj9bzC8EBER%20xWKx4o0bNyQfGxlqpen7btyNFSwgejQTSlGqshvoP4FNgkVYzmzTg4frulTBSW1opu9x6GGrgFx+%2073gXqU3BpUuXKB6PO8zwd5hCiIjkS7rv/N2FnZOCBUXv3NHhXe3IcCtNjLVjUTEpj2fe0JOnb+l5%20aqsm9wtyQcpg5YW6urqI5/kP3G73zxAiLXf0MoNRiYjmZ/+EkJACbk290j28cnSXOzLUigITk+yy%20Hzxcpyczb2t2f2BitnJY/UIcxxHP84aukjOtEBHJ54swj07dgnP52ppufUdyLmlkqA2FJkba6Im7%20NDe/UTP3UyLgd9Ldmy5sIlU4VdaRMP/4xz8oEok4zPT3mEqIiOTzRSheUEetihmO4ulopImxdhro%20b8EGok7MzW8cCFAtQTGCeuSOdjBTXsjUQkQk31+E4gX1fDu9TrenXtUsHHN0EzEy1Ea9wWY4pRqJ%20z9z8Rl3e64mxNroyeQobRpVRDFZxgpFH+FhSiOT6i4hw6mhV13a/PFfv3qNyTmmgv4UG+k8gZKPR%204rWY2qK5+Y2ahmGPgonu1X82WUe/cBxH2WzWNMUJlhAiIqJ0Ol0MhULEKl7A5IXqFy+9hlYqgXM5%20qCfYTL3BFrglha5nMbVJi6mtmlRIyoH+Mm0Y+uQ35kbCyHPkLC1ERESJRKL42WefMRcwTF6wjiAd%20dku9wWYK+JsgTId2ypmVbVpMbdFiaqumxQYQoNrAmpxAZM7iBEsJEZF88QIq6awrSIff40BgX5T8%20TRTwOy3/fi+mNmk5U6DMyjYtr2zX3fFAgPRFrkJudHSUEomEw+x/o+mFiIgoEokUHz16JPkYKun0%20EaR6JbqViFOn30meDid1BpwU8DeZ7h5YzmyTuLZLi6ktWs5sU/7FjuFEBwKkL3Lje8wwzNRWQiQI%20wtlQKLS6tLQEMarVNa/RzDE9FkvO5aDOQBNxrgbqDDj375HaC1UuX6D8ix0SxSItr2yTKO7S8kqB%20RHHX0IJzlJGhvekZCJNqvxHpH3zJrJAz0+QEWwhRSYzkJi+grFvf0MGDh+umWjzLidVhd1XNAlsS%20lxL5/E7N+7X0cp0T4+00MtSK0HeNRciMkxNsI0RE5SvpIEb6spjapCczbw2XRwLaEfA7aWKsHRWp%20OkcbWGXaROavkLO8EBERJZPJ4l//+lfm4xNjbXT3Joe7XecP0p4gvbGMS7IznMtBA/0nEH4zgAhZ%20oULOFkJEJF/WTYQeo1qHGJ48fVPTAZpAG86f22sqxmfFGCL09ddfUzQadVjxb7ekEBHJl3VDjOpD%20vUfLgPKURi1h/p+xRMgqZdq2EyIi+bJuiBFECUB8jALrSAc7iJDlhQhiZA4WU5v7orRpiYoyo1Ma%20mzTQfwKn6BpchKzUK2RrISKSn9YNMTIWuXyB5uY3aTG1Sc9TW3BLGrqe3n3xQcOpOUTIar1Cthei%20cg2vECPjspzZprlnG3vDOzPbECaFwtMbbKGeYDNcD0QIQgQxAloK095EaWPOWasHh+ftlQbCQngg%20QhAiiBGoIYeHgOZe7Bhm+rQeeDoaKeB3UmegyTZDXi21Bom79NW1NYiQnYUIYmQfSnPcFlNbppvh%20VnI43o5G8ngaqdPfRBznQG7HAiIkV6JtVxGypRBBjEDp/J49N7Xnno7OhNPDVQX8TuL2Q2aHZ9h5%20OhrJ62ncH8KKyQUQIfthSyGqVIxw5Dg4TOlYhspEB3kaABGCEGkkRhiUCgCoZvMy/OnvzP44iBCE%20CGIEANBVhFhHOUCE3sX2sQO32/0zz/MfjI6OMp/z5Olb+kvoFxLEXXy6AABlmZvfgAjBEamj3Dgg%20nPQKACjH45k39PlFkfk4RAiOSJZEIuGQc0aZlQL5P/wvLWe2cbEAAMe4fE2UFaHBwUGIEISoMjH6%20+uuvmY+La0XqH3xJc/MbuFgAACLaq4wb+uQ3evDwDfM5o6OjlEwmHRCh4yA0xxYk2cP1iIju/N1F%20X4y342IBYGNy+QINf/q7bLO0HY5ygBDpBM/zxXA4TKLIttqoqAPAvpSrjCOy7vHeWoLQnAyhUMjB%208zx5vV7mc1BRB4A9eTzzhoIf/coUIY7jIEJwRNpRSa8R53LQ/OwZjGgBwAbITc8uiRDP89Td3Q0R%20giPShkp6jcS1IgU/+pUez7zBBQPAouTyBfpL6BdZEerq6qJ0Og0RghDpI0aJRMJx/fp12ed9flGk%208QsCQnUAWIzF1CYFQ7/KFiWUyrN9Ph9ESAEIzakgmUwWI5GIbBFDwO+k6XtuhOoAsAC3pl7R7anX%20ss+5dOkSxeNxCBCEqHak0+liJBKhcnmjOzddOE4CAJMiiLs0/OnvsseCcBxH8XgcRQkQojrdpIJw%20NhKJrM7Ozso+b2Sole7cdGE0EAAmYm5+g8YvCLKl2V6vl5LJJPJBEKL6E4/Hi19++aXscxCqA8A8%20Luj21CvZKQlERH19fZRMJjGuB0JkHCppfiXCNAYAjMxyZpvGLwplj5S/fv06xWIxuCAIkQF3UoJw%20NhwOry4sLMg+ryfYTNP3OPJ6nLhoABiESgoSOI6jZDJJoVAIIqQhSFpoyH6/UdkS7+epLQqG0HME%20gFFc0F9Cv5QVob6+Pspmsx9AhOCITEM6nS6Gw2HK5XKyzzt/roWm77tRyABAHfh2ep1uT72SLUgg%20QigOQmRiBEE4G41GV+UO2yNCmTcA9XBBleSCvF4vJRIJhOIgROankgZYIuSOAKgFleSCiPamJCQS%20CVTFQYis5Y4qKWTgXA66MnkKlXUAaMxiapPGL4iUf7Ej/xnkOEokEhQOh+GCIETWJB6PF2OxWFl3%20FPA76e5NF/UGW3DRAKhmEyju0lfX1mQHlZZAbxCEyDZks9liJBKhcu6ICFMZAKiGSosROI6jWCxG%200WgULghCZC8SiUQxGo2WdUcI1wGgjMXUJl2+tla2GIFoLxcUj8cJE7MhRPYNG1Q4r44I4ToAypHL%20F+jytTX68dlm2eciFwQhAkfgeb4YiUTK9h0R7fUe3b3pQnUdAKUNnbhLDx6uV1QNR0Q0OjpK8Xgc%20uSAIEZByR/F4fPXGjRsVPX9irI2uTJ5C/gjYmkrzQER7p6fG43H0BUGIQDmUFDNwLgdNjLfTxFg7%20BAnYisczb+j21Ouy5dhEe2G4aDSK6QgQIqCUZDJZjEajFYXrUNAA7IKSQgSivTBcLBZDMQKECFRD%20LBYrxuPxstV1RESejka6MnkS44KAJQXo1tRr2dNSD4MwHIQIaEylc+sgSMDuAuT1eikWi+HobggR%200Asl+aOSIE2MtdPIcCtySMBUzM1v0LcP1ysWoFIeKBqNohoOQgRqAc/zxVgsVrEgoagBmAUlRQgl%20Ll26RLFYDAIEIQL1EqRoNEpLS0sVC9JA/wm6MnkSfUjAMJT6gJ7MvFUkQChEgBABA5FIJIqxWKyi%20CrsS58+10Bfj7ZjUAOpGLl+g21OvaW5+o6I+IAgQhAhYVJBKhQ0D/ScQtgM1YW5+gx7PvKloFA8E%20CEIETEoymSzG4/GKc0hEf4TtJsbaqTPQhIsINEUQd+nJzFt68HBdUfgNAgQhAiZHaVFDiYDfSRNj%207XBJQBP3Mze/UdF5QO9sjDiOwuEwBAhCBKxCOp0uxuNxqrQP6TAjQ6000H+CBvpP4EKCisjlC/Tk%206VvFxQclAUIZNoQIWJhsNltMJBJU6aSGw3g6Gmmgv4VGhtoQugPHEMRdmpvfoAcP1ysev3OYrq4u%20ikajaESFEAHbLBqCcDaZTK7G4/GKS78hSoAlPnPzG4oLD0qMjo5SJBLBKB4IEbAzPM8XE4mEqrAd%20RAniowav10uRSIQikQjyPwBCBN51SYlEYjUejysq/5YSpd5gC3JKFiKXL9Biaqsq8SHaO5Y7Eong%20VFQAIQKVu6RkMqk4l1SCczmoJ9hMA/0nqDfYjEkOJmM5s01zz/acj5qcT4murq6S+0HxAYAQAXUu%20KZlMriaTSZqdna3qZx12Sz3BZpSFG9j1PE9tKZp0cBSv10vhcJgikQh1d3fD/QAIEdCGbDZbTCaT%20lEgkVBU4HCXgd1JvsBnCVGfheZ7aosXUluIy62MOeL/vZ/9/EB8AIQLmEqWSMHX6m6gn2Eyd/iYU%20PmjMYmqTljMFWkxtUmalULXwQHwAhAgYTpSSyaTiCQ7l2BMlJwX8TRAnBSxntml5ZZsyK9u0vFKo%20+EyfSiiF3cLhMEquAYQIGI9STonn+aoKHSpxTh5PI/UGm8nT0WjbQohcfs/ZLKa2KJ/f2Refgua/%20p6+vryQ8yPkACBEwFzzPF0uipFUIT06gOK6BeoPNxLkaqDPg3P/X3C5qObNN4touLWcKJK7t0mJq%20i0RxVxfBOep6QqEQhUIhVLsBCBGwjlvieX6V53nieV53YTpKT7B5b5HtaCSPp5GIiDr9TcRxexv8%20WjorQdylzMr2wX8v7ofORHGXlvcFJpPZrqpyTanw7IsOhUIhNJkCCBGAMBkBzuWggEZuKp/f0aQ4%20QCu6urpKYTYID4AQAXAYnueL6XSaeJ6ndDqtesIDeNftdHd3H4gOCgwAhAgAha4pnU6v8jxP2WyW%200um04ZyTkejr6yOfz3cgPN3d3cjvAAgRAHqQTqeLgiBQSaBKIqVHlZ7R4DjuQGjcbncptIbwGoAQ%20AWAUeJ4v7v9bEi0SBIGy2awpQn1dXV3kdrvJ7XYfiM2hfyE2AEIEgJUc1WGhOvTYO/99mHJiVhIR%20KUpiUmLfxRz+/xAZACECAAAAag2mTAIAAIAQAQAAsC//HzL0oR/uyDq7AAAAAElFTkSuQmCC%22%20preserveAspectRatio=%22none%22%20height=%22526.25%22%20width=%22522.5%22%20image-rendering=%22optimizeQuality%22%20transform=%22translate(-130.179%20-266.38)%22/%3E%3C/svg%3E" + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22494.245%22%20height=%22277.134%22%20viewBox=%220%200%20130.76886%2073.325074%22%20id=%22svg8%22%3E%3Cdefs%20id=%22defs2%22%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path880%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleInM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4321%22%20d=%22M-2.308%200l3.46-2v4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22EmptyTriangleInM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4339%22%20d=%22M-.508%200l3.46-2v4z%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4297%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22marker4456%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4458%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22marker4428%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4430%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-67%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4179%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path919%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path998%22/%3E%3Cmarker%20id=%22TriangleOutM-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-62%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-99%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-5-1%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-3-1%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-5-0%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-6-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-2-4%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-9-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-1-3%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-2-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-7-1%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-9%22%3E%3Cpath%20id=%22a-6%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20id=%22path880-9%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20id=%22TriangleInM-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-2.308%200l3.46-2v4z%22%20id=%22path4321-3%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cmarker%20id=%22EmptyTriangleInM-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-.508%200l3.46-2v4z%22%20id=%22path4339-0%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-3-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path4297-6%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4456-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4458-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4428-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4430-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-67-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4179-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20id=%22path1228%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path2109%22/%3E%3Cpath%20id=%22path2111%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cg%20id=%22layer1%22%20transform=%22translate(-182.982%20-60.687)%22%3E%3Cpath%20d=%22M313.751%2060.687H183.395v73.325h130.356V60.687m-10.999%2065.178a5.296%205.296%200%201%201-10.591%200%205.296%205.296%200%201%201%2010.591%200zm0-57.03a5.296%205.296%200%201%201-10.591%200%205.296%205.296%200%201%201%2010.591%200zm-97.766%2057.03a5.296%205.296%200%200%201-10.592%200%205.296%205.296%200%201%201%2010.592%200zm0-57.03a5.296%205.296%200%200%201-10.592%200%205.296%205.296%200%201%201%2010.592%200zm-15.888-4.074a1.63%201.63%200%201%201-3.258%200%201.63%201.63%200%201%201%203.258%200zm0%208.147a1.63%201.63%200%201%201-3.258%200%201.63%201.63%200%201%201%203.258%200zm122.209%2057.03a1.63%201.63%200%200%201-3.259%200%201.63%201.63%200%201%201%203.259%200zm0-8.147a1.63%201.63%200%200%201-3.259%200%201.63%201.63%200%201%201%203.259%200zm0-57.03a1.63%201.63%200%200%201-3.259%200%201.63%201.63%200%201%201%203.259%200zm0%208.147a1.63%201.63%200%200%201-3.259%200%201.63%201.63%200%201%201%203.259%200zm-122.209%2057.03a1.63%201.63%200%201%201-3.258%200%201.63%201.63%200%201%201%203.258%200zm0-8.147a1.63%201.63%200%201%201-3.258%200%201.63%201.63%200%201%201%203.258%200zm0-8.147a1.63%201.63%200%201%201-3.258%200%201.63%201.63%200%201%201%203.258%200zm0-8.147a1.63%201.63%200%201%201-3.258%200%201.63%201.63%200%201%201%203.258%200zm0-8.147a1.63%201.63%200%201%201-3.258%200%201.63%201.63%200%201%201%203.258%200zm0-8.148a1.63%201.63%200%201%201-3.258%200%201.63%201.63%200%201%201%203.258%200zm0-8.147a1.63%201.63%200%201%201-3.258%200%201.63%201.63%200%201%201%203.258%200zm24.442-16.294a1.63%201.63%200%201%201-3.259%200%201.63%201.63%200%201%201%203.26%200zm8.147%200a1.63%201.63%200%201%201-3.259%200%201.63%201.63%200%201%201%203.26%200zm8.148%200a1.63%201.63%200%201%201-3.26%200%201.63%201.63%200%201%201%203.26%200zm8.147%200a1.63%201.63%200%201%201-3.259%200%201.63%201.63%200%201%201%203.259%200zm8.147%200a1.63%201.63%200%200%201-3.259%200%201.63%201.63%200%201%201%203.26%200zm8.147%200a1.63%201.63%200%200%201-3.259%200%201.63%201.63%200%201%201%203.26%200zm8.148%200a1.63%201.63%200%200%201-3.26%200%201.63%201.63%200%201%201%203.26%200zm8.147%200a1.63%201.63%200%200%201-3.26%200%201.63%201.63%200%201%201%203.26%200zm8.147%200a1.63%201.63%200%201%201-3.259%200%201.63%201.63%200%201%201%203.259%200zm8.147%200a1.63%201.63%200%201%201-3.259%200%201.63%201.63%200%201%201%203.26%200z%22%20id=%22path8-3%22%20fill=%22#e6321e%22%20stroke-width=%221.132%22/%3E%3Cpath%20id=%22line14%22%20stroke=%22#fff%22%20stroke-width=%22.815%22%20stroke-linecap=%22round%22%20d=%22M191.543%2071.942v43.995%22/%3E%3Cpath%20id=%22line16%22%20stroke=%22#fff%22%20stroke-width=%22.815%22%20stroke-linecap=%22round%22%20d=%22M191.543%20115.937l-2.445%202.444%22/%3E%3Cpath%20id=%22line18%22%20stroke=%22#fff%22%20stroke-width=%22.815%22%20stroke-linecap=%22round%22%20d=%22M189.098%20118.381h-5.703%22/%3E%3Cpath%20id=%22line20%22%20stroke=%22#fff%22%20stroke-width=%22.815%22%20stroke-linecap=%22round%22%20d=%22M189.098%2069.498l2.445%202.444%22/%3E%3Cpath%20id=%22line22%22%20stroke=%22#fff%22%20stroke-width=%22.815%22%20stroke-linecap=%22round%22%20d=%22M189.098%2069.498h-5.703%22/%3E%3Cpath%20id=%22line24%22%20stroke=%22#fff%22%20stroke-width=%22.652%22%20stroke-linecap=%22round%22%20d=%22M303.974%20128.309v3.259%22/%3E%3Cpath%20id=%22line26%22%20stroke=%22#fff%22%20stroke-width=%22.652%22%20stroke-linecap=%22round%22%20d=%22M305.604%20129.939h-3.259%22/%3E%3Cpath%20id=%22line28%22%20stroke=%22#fff%22%20stroke-width=%22.652%22%20stroke-linecap=%22round%22%20d=%22M303.974%20120.162v3.259%22/%3E%3Cpath%20id=%22line30%22%20stroke=%22#fff%22%20stroke-width=%22.652%22%20stroke-linecap=%22round%22%20d=%22M193.172%20120.826v3.258%22/%3E%3Cpath%20id=%22line32%22%20stroke=%22#fff%22%20stroke-width=%22.652%22%20stroke-linecap=%22round%22%20d=%22M194.802%20122.455h-3.259%22/%3E%3Cpath%20id=%22line34%22%20stroke=%22#fff%22%20stroke-width=%22.652%22%20stroke-linecap=%22round%22%20d=%22M193.172%20112.678v3.259%22/%3E%3Cg%20transform=%22matrix(1.13156%200%200%201.13156%20161.655%2060.687)%22%20id=%22g883%22%3E%3Cg%20id=%22g881%22%3E%3Cg%20id=%22g42%22%3E%3Ctitle%20id=%22title44%22%3Etext:VCC%3C/title%3E%3Cg%20transform=%22translate(68.4%206.48)%22%20id=%22g878%22%3E%3Cg%20id=%22g876%22%3E%3Cg%20id=%22g46%22%3E%3Cg%20transform=%22rotate(-90)%22%20id=%22g873%22%3E%3Cg%20id=%22g871%22%3E%3Cg%20id=%22g48%22%3E%3Ctext%20font-size=%222.448%22%20id=%22text50%22%20font-family=%22OCRA%22%20text-anchor=%22end%22%20fill=%22#fff%22%20stroke=%22#fff%22%20stroke-width=%22.324%22%3EVCC%3C/text%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22matrix(1.13156%200%200%201.13156%20145.36%2060.687)%22%20id=%22g900%22%3E%3Cg%20id=%22g898%22%3E%3Cg%20id=%22g52%22%3E%3Ctitle%20id=%22title54%22%3Etext:GND%3C/title%3E%3Cg%20transform=%22translate(75.6%206.48)%22%20id=%22g895%22%3E%3Cg%20id=%22g893%22%3E%3Cg%20id=%22g56%22%3E%3Cg%20transform=%22rotate(-90)%22%20id=%22g890%22%3E%3Cg%20id=%22g888%22%3E%3Cg%20id=%22g58%22%3E%3Ctext%20font-size=%222.448%22%20id=%22text60%22%20font-family=%22OCRA%22%20text-anchor=%22end%22%20fill=%22#fff%22%20stroke=%22#fff%22%20stroke-width=%22.324%22%3EGND%3C/text%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22matrix(1.13156%200%200%201.13156%20259.421%2060.687)%22%20id=%22g917%22%3E%3Cg%20id=%22g915%22%3E%3Cg%20id=%22g62%22%3E%3Ctitle%20id=%22title64%22%3Etext:RX%3C/title%3E%3Cg%20transform=%22translate(25.2%206.48)%22%20id=%22g912%22%3E%3Cg%20id=%22g910%22%3E%3Cg%20id=%22g66%22%3E%3Cg%20transform=%22rotate(-90)%22%20id=%22g907%22%3E%3Cg%20id=%22g905%22%3E%3Cg%20id=%22g68%22%3E%3Ctext%20font-size=%222.448%22%20id=%22text70%22%20font-family=%22OCRA%22%20text-anchor=%22end%22%20fill=%22#fff%22%20stroke=%22#fff%22%20stroke-width=%22.324%22%3ERX%3C/text%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22matrix(1.13156%200%200%201.13156%20243.127%2060.687)%22%20id=%22g934%22%3E%3Cg%20id=%22g932%22%3E%3Cg%20id=%22g72%22%3E%3Ctitle%20id=%22title74%22%3Etext:!SS%3C/title%3E%3Cg%20transform=%22translate(32.4%206.48)%22%20id=%22g929%22%3E%3Cg%20id=%22g927%22%3E%3Cg%20id=%22g76%22%3E%3Cg%20transform=%22rotate(-90)%22%20id=%22g924%22%3E%3Cg%20id=%22g922%22%3E%3Cg%20id=%22g78%22%3E%3Ctext%20style=%22text-decoration-line:overline%22%20text-decoration=%22overline%22%20font-size=%222.448%22%20id=%22text80%22%20font-family=%22OCRA%22%20text-anchor=%22end%22%20fill=%22#fff%22%20stroke=%22#fff%22%20stroke-width=%22.324%22%3ESS%3C/text%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22matrix(1.13156%200%200%201.13156%20226.832%2060.687)%22%20id=%22g951%22%3E%3Cg%20id=%22g949%22%3E%3Cg%20id=%22g82%22%3E%3Ctitle%20id=%22title84%22%3Etext:SDI%3C/title%3E%3Cg%20transform=%22translate(39.6%206.48)%22%20id=%22g946%22%3E%3Cg%20id=%22g944%22%3E%3Cg%20id=%22g86%22%3E%3Cg%20transform=%22rotate(-90)%22%20id=%22g941%22%3E%3Cg%20id=%22g939%22%3E%3Cg%20id=%22g88%22%3E%3Ctext%20font-size=%222.448%22%20id=%22text90%22%20font-family=%22OCRA%22%20text-anchor=%22end%22%20fill=%22#fff%22%20stroke=%22#fff%22%20stroke-width=%22.324%22%3ESDI%3C/text%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22matrix(1.13156%200%200%201.13156%20194.243%2060.687)%22%20id=%22g968%22%3E%3Cg%20id=%22g966%22%3E%3Cg%20id=%22g92%22%3E%3Ctitle%20id=%22title94%22%3Etext:SCK%3C/title%3E%3Cg%20transform=%22translate(54%206.48)%22%20id=%22g963%22%3E%3Cg%20id=%22g961%22%3E%3Cg%20id=%22g96%22%3E%3Cg%20transform=%22rotate(-90)%22%20id=%22g958%22%3E%3Cg%20id=%22g956%22%3E%3Cg%20id=%22g98%22%3E%3Ctext%20font-size=%222.448%22%20id=%22text100%22%20font-family=%22OCRA%22%20text-anchor=%22end%22%20fill=%22#fff%22%20stroke=%22#fff%22%20stroke-width=%22.324%22%3ESCK%3C/text%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22matrix(1.13156%200%200%201.13156%2075.149%2053.204)%22%20id=%22g982%22%3E%3Cg%20id=%22g980%22%3E%3Cg%20id=%22g108%22%3E%3Ctitle%20id=%22title110%22%3Etext:TX%3C/title%3E%3Ctext%20x=%22107.64%22%20y=%2225.2%22%20font-size=%222.448%22%20id=%22text112%22%20font-family=%22OCRA%22%20text-anchor=%22end%22%20fill=%22#fff%22%20stroke=%22#fff%22%20stroke-width=%22.324%22%3ETX%3C/text%3E%3C/g%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22matrix(1.13156%200%200%201.13156%2075.149%2053.204)%22%20id=%22g989%22%3E%3Cg%20id=%22g987%22%3E%3Cg%20id=%22g114%22%3E%3Ctitle%20id=%22title116%22%3Etext:RX%3C/title%3E%3Ctext%20x=%22107.64%22%20y=%2232.4%22%20font-size=%222.448%22%20id=%22text118%22%20font-family=%22OCRA%22%20text-anchor=%22end%22%20fill=%22#fff%22%20stroke=%22#fff%22%20stroke-width=%22.324%22%3ERX%3C/text%3E%3C/g%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22matrix(1.13156%200%200%201.13156%2077.651%2053.204)%22%20id=%22g996%22%3E%3Cg%20id=%22g994%22%3E%3Cg%20id=%22g120%22%3E%3Ctitle%20id=%22title122%22%3Etext:VCC%3C/title%3E%3Ctext%20x=%22107.64%22%20y=%2239.6%22%20font-size=%222.448%22%20id=%22text124%22%20font-family=%22OCRA%22%20text-anchor=%22end%22%20fill=%22#fff%22%20stroke=%22#fff%22%20stroke-width=%22.324%22%3EVCC%3C/text%3E%3C/g%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22matrix(1.13156%200%200%201.13156%2077.651%2053.204)%22%20id=%22g1003%22%3E%3Cg%20id=%22g1001%22%3E%3Cg%20id=%22g126%22%3E%3Ctitle%20id=%22title128%22%3Etext:GND%3C/title%3E%3Ctext%20x=%22107.64%22%20y=%2246.8%22%20font-size=%222.448%22%20id=%22text130%22%20font-family=%22OCRA%22%20text-anchor=%22end%22%20fill=%22#fff%22%20stroke=%22#fff%22%20stroke-width=%22.324%22%3EGND%3C/text%3E%3C/g%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22matrix(1.13156%200%200%201.13156%20297.004%2060.818)%22%20id=%22g1020%22%3E%3Cg%20id=%22g1018%22%3E%3Cg%20id=%22g132%22%3E%3Ctitle%20id=%22title134%22%3Etext:SCL%3C/title%3E%3Cg%20transform=%22translate(7.92%2010.44)%22%20id=%22g1015%22%3E%3Cg%20id=%22g1013%22%3E%3Cg%20id=%22g136%22%3E%3Cg%20transform=%22rotate(-90)%22%20id=%22g1010%22%3E%3Cg%20id=%22g1008%22%3E%3Cg%20id=%22g138%22%3E%3Ctext%20font-size=%222.016%22%20id=%22text140%22%20font-family=%22OCRA%22%20text-anchor=%22middle%22%20fill=%22#fff%22%20stroke=%22#fff%22%20stroke-width=%22.27%22%3ESCL%3C/text%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22matrix(1.13156%200%200%201.13156%20297.135%2060.687)%22%20id=%22g1037%22%3E%3Cg%20id=%22g1035%22%3E%3Cg%20id=%22g142%22%3E%3Ctitle%20id=%22title144%22%3Etext:SDA%3C/title%3E%3Cg%20transform=%22translate(7.92%203.6)%22%20id=%22g1032%22%3E%3Cg%20id=%22g1030%22%3E%3Cg%20id=%22g146%22%3E%3Cg%20transform=%22rotate(-90)%22%20id=%22g1027%22%3E%3Cg%20id=%22g1025%22%3E%3Cg%20id=%22g148%22%3E%3Ctext%20font-size=%222.016%22%20id=%22text150%22%20font-family=%22OCRA%22%20text-anchor=%22middle%22%20fill=%22#fff%22%20stroke=%22#fff%22%20stroke-width=%22.27%22%3ESDA%3C/text%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22matrix(1.13156%200%200%201.13156%2072.01%2053.596)%22%20id=%22g1054%22%3E%3Cg%20id=%22g1052%22%3E%3Cg%20id=%22g152%22%3E%3Ctitle%20id=%22title154%22%3Etext:SCL%3C/title%3E%3Cg%20transform=%22translate(107.28%2010.44)%22%20id=%22g1049%22%3E%3Cg%20id=%22g1047%22%3E%3Cg%20id=%22g156%22%3E%3Cg%20transform=%22rotate(-90)%22%20id=%22g1044%22%3E%3Cg%20id=%22g1042%22%3E%3Cg%20id=%22g158%22%3E%3Ctext%20font-size=%222.016%22%20id=%22text160%22%20font-family=%22OCRA%22%20text-anchor=%22middle%22%20fill=%22#fff%22%20stroke=%22#fff%22%20stroke-width=%22.27%22%3ESCL%3C/text%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22matrix(1.13156%200%200%201.13156%20129.066%2060.687)%22%20id=%22g1088%22%3E%3Cg%20id=%22g1086%22%3E%3Cg%20id=%22g172%22%3E%3Ctitle%20id=%22title174%22%3Etext:A6%3C/title%3E%3Cg%20transform=%22translate(82.8%206.48)%22%20id=%22g1083%22%3E%3Cg%20id=%22g1081%22%3E%3Cg%20id=%22g176%22%3E%3Cg%20transform=%22rotate(-90)%22%20id=%22g1078%22%3E%3Cg%20id=%22g1076%22%3E%3Cg%20id=%22g178%22%3E%3Ctext%20font-size=%222.448%22%20id=%22text180%22%20font-family=%22OCRA%22%20text-anchor=%22end%22%20fill=%22#fff%22%20stroke=%22#fff%22%20stroke-width=%22.324%22%3EA6%3C/text%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22matrix(1.13156%200%200%201.13156%20177.949%2060.687)%22%20id=%22g1105%22%3E%3Cg%20id=%22g1103%22%3E%3Cg%20id=%22g182%22%3E%3Ctitle%20id=%22title184%22%3Etext:SDO%3C/title%3E%3Cg%20transform=%22translate(61.2%206.48)%22%20id=%22g1100%22%3E%3Cg%20id=%22g1098%22%3E%3Cg%20id=%22g186%22%3E%3Cg%20transform=%22rotate(-90)%22%20id=%22g1095%22%3E%3Cg%20id=%22g1093%22%3E%3Cg%20id=%22g188%22%3E%3Ctext%20font-size=%222.448%22%20id=%22text190%22%20font-family=%22OCRA%22%20text-anchor=%22end%22%20fill=%22#fff%22%20stroke=%22#fff%22%20stroke-width=%22.324%22%3ESDO%3C/text%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22matrix(1.13156%200%200%201.13156%20112.771%2060.687)%22%20id=%22g1122%22%3E%3Cg%20id=%22g1120%22%3E%3Cg%20id=%22g192%22%3E%3Ctitle%20id=%22title194%22%3Etext:A7%3C/title%3E%3Cg%20transform=%22translate(90%206.48)%22%20id=%22g1117%22%3E%3Cg%20id=%22g1115%22%3E%3Cg%20id=%22g196%22%3E%3Cg%20transform=%22rotate(-90)%22%20id=%22g1112%22%3E%3Cg%20id=%22g1110%22%3E%3Cg%20id=%22g198%22%3E%3Ctext%20font-size=%222.448%22%20id=%22text200%22%20font-family=%22OCRA%22%20text-anchor=%22end%22%20fill=%22#fff%22%20stroke=%22#fff%22%20stroke-width=%22.324%22%3EA7%3C/text%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22matrix(1.13156%200%200%201.13156%20210.538%2060.687)%22%20id=%22g1139%22%3E%3Cg%20id=%22g1137%22%3E%3Cg%20id=%22g202%22%3E%3Ctitle%20id=%22title204%22%3Etext:RST%3C/title%3E%3Cg%20transform=%22translate(46.8%206.48)%22%20id=%22g1134%22%3E%3Cg%20id=%22g1132%22%3E%3Cg%20id=%22g206%22%3E%3Cg%20transform=%22rotate(-90)%22%20id=%22g1129%22%3E%3Cg%20id=%22g1127%22%3E%3Cg%20id=%22g208%22%3E%3Ctext%20font-size=%222.448%22%20id=%22text210%22%20font-family=%22OCRA%22%20text-anchor=%22end%22%20fill=%22#fff%22%20stroke=%22#fff%22%20stroke-width=%22.324%22%3ERST%3C/text%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22matrix(-1.13156%200%200%201.13156%20261.828%2060.687)%22%20id=%22g1147%22%3E%3Cg%20id=%22g1145%22%3E%3Cg%20id=%22g262%22%3E%3Ctitle%20id=%22title264%22%3Eelement:JP1%3C/title%3E%3Cg%20id=%22g266%22%3E%3Ctitle%20id=%22title268%22%3Epackage:STAND-OFF%3C/title%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22matrix(-1.13156%200%200%201.13156%20261.828%2060.687)%22%20id=%22g1155%22%3E%3Cg%20id=%22g1153%22%3E%3Cg%20id=%22g270%22%3E%3Ctitle%20id=%22title272%22%3Eelement:JP2%3C/title%3E%3Cg%20id=%22g274%22%3E%3Ctitle%20id=%22title276%22%3Epackage:1X02_NO_SILK%3C/title%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22matrix(-1.13156%200%200%201.13156%20261.828%2060.687)%22%20id=%22g1163%22%3E%3Cg%20id=%22g1161%22%3E%3Cg%20id=%22g278%22%3E%3Ctitle%20id=%22title280%22%3Eelement:JP3%3C/title%3E%3Cg%20id=%22g282%22%3E%3Ctitle%20id=%22title284%22%3Epackage:STAND-OFF%3C/title%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22matrix(-1.13156%200%200%201.13156%20261.828%2060.687)%22%20id=%22g1171%22%3E%3Cg%20id=%22g1169%22%3E%3Cg%20id=%22g286%22%3E%3Ctitle%20id=%22title288%22%3Eelement:JP4%3C/title%3E%3Cg%20id=%22g290%22%3E%3Ctitle%20id=%22title292%22%3Epackage:STAND-OFF%3C/title%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22matrix(-1.13156%200%200%201.13156%20261.828%2060.687)%22%20id=%22g1179%22%3E%3Cg%20id=%22g1177%22%3E%3Cg%20id=%22g294%22%3E%3Ctitle%20id=%22title296%22%3Eelement:JP5%3C/title%3E%3Cg%20id=%22g298%22%3E%3Ctitle%20id=%22title300%22%3Epackage:STAND-OFF%3C/title%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22matrix(-1.13156%200%200%201.13156%20261.828%2060.687)%22%20id=%22g1187%22%3E%3Cg%20id=%22g1185%22%3E%3Cg%20id=%22g302%22%3E%3Ctitle%20id=%22title304%22%3Eelement:JP6%3C/title%3E%3Cg%20id=%22g306%22%3E%3Ctitle%20id=%22title308%22%3Epackage:1X02_NO_SILK%3C/title%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22matrix(-1.13156%200%200%201.13156%20261.828%2060.687)%22%20id=%22g1195%22%3E%3Cg%20id=%22g1193%22%3E%3Cg%20id=%22g310%22%3E%3Ctitle%20id=%22title312%22%3Eelement:JP7%3C/title%3E%3Cg%20id=%22g314%22%3E%3Ctitle%20id=%22title316%22%3Epackage:1X02_NO_SILK%3C/title%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22matrix(-1.13156%200%200%201.13156%20261.828%2060.687)%22%20id=%22g1203%22%3E%3Cg%20id=%22g1201%22%3E%3Cg%20id=%22g318%22%3E%3Ctitle%20id=%22title320%22%3Eelement:JP8%3C/title%3E%3Cg%20id=%22g322%22%3E%3Ctitle%20id=%22title324%22%3Epackage:1X02_NO_SILK%3C/title%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22matrix(-1.13156%200%200%201.13156%20261.828%2060.687)%22%20id=%22g1211%22%3E%3Cg%20id=%22g1209%22%3E%3Cg%20id=%22g326%22%3E%3Ctitle%20id=%22title328%22%3Eelement:JP9%3C/title%3E%3Cg%20id=%22g330%22%3E%3Ctitle%20id=%22title332%22%3Epackage:MICRO-FIDUCIAL%3C/title%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22matrix(-1.13156%200%200%201.13156%20261.828%2060.687)%22%20id=%22g1219%22%3E%3Cg%20id=%22g1217%22%3E%3Cg%20id=%22g334%22%3E%3Ctitle%20id=%22title336%22%3Eelement:JP10%3C/title%3E%3Cg%20id=%22g338%22%3E%3Ctitle%20id=%22title340%22%3Epackage:MICRO-FIDUCIAL%3C/title%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22matrix(-1.13156%200%200%201.13156%20261.828%2060.687)%22%20id=%22g1227%22%3E%3Cg%20id=%22g1225%22%3E%3Cg%20id=%22g342%22%3E%3Ctitle%20id=%22title344%22%3Eelement:JP11%3C/title%3E%3Cg%20id=%22g346%22%3E%3Ctitle%20id=%22title348%22%3Epackage:1X05_NO_SILK%3C/title%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22matrix(-1.13156%200%200%201.13156%20261.828%2060.687)%22%20id=%22g1235%22%3E%3Cg%20id=%22g1233%22%3E%3Cg%20id=%22g350%22%3E%3Ctitle%20id=%22title352%22%3Eelement:JP12%3C/title%3E%3Cg%20id=%22g354%22%3E%3Ctitle%20id=%22title356%22%3Epackage:1X10_NO_SILK%3C/title%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22matrix(-1.13156%200%200%201.13156%20261.828%2060.687)%22%20id=%22g1243%22%3E%3Cg%20id=%22g1241%22%3E%3Cg%20id=%22g358%22%3E%3Ctitle%20id=%22title360%22%3Eelement:LED1%3C/title%3E%3Cg%20id=%22g362%22%3E%3Ctitle%20id=%22title364%22%3Epackage:7-SEGMENT-4DIGIT-YOUNGSUN%3C/title%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22matrix(-1.13156%200%200%201.13156%20294.762%2066.318)%22%20id=%22g1254%22%3E%3Cg%20id=%22g1252%22%3E%3Cg%20id=%22g456%22%3E%3Ctitle%20id=%22title458%22%3Eelement:U$2%3C/title%3E%3Cg%20id=%22g460%22%3E%3Ctitle%20id=%22title462%22%3Epackage:SFE-LOGO-FLAME%3C/title%3E%3Cg%20id=%22g464%22%3E%3Ctitle%20id=%22title466%22%3Epolygon%3C/title%3E%3Cpath%20d=%22M73.548%2054.382l-.137-.022m0%200l-.266-.02m0%200l-.267.02m0%200l-.198.052m0%200l-.183.091m0%200l-.162.126m0%200l-.133.156m0%200l-.114.207m0%200l-.068.227m0%200l-.02.236m0%200v4.298m0%200l1.382-1.555m0%200l.247-.226m0%200l.286-.17m0%200l.106-.039m0%200l.11-.019m0%200h.648m0%200l.387-.035m0%200l.374-.104m0%200l.348-.17m0%200l.328-.232m0%200l.293-.276m0%200l.25-.313m0%200l.269-.477m0%200l.178-.517m0%200l.082-.54m0%200l-.018-.547m0%200l-.058-.295m0%200l-.101-.283m0%200l-.143-.264m0%200l-.256-.323m0%200l-.32-.26m0%200v.251m0%200l-.005.041m0%200l-.017.039m0%200l-.084.104m0%200l-.11.076m0%200l-.058.021m0%200l-.162.017m0%200l-.16-.03m0%200l-.146-.073m0%200l-.259-.187m0%200l-.12-.104m0%200l-.103-.12m0%200l-.083-.134m0%200l-.061-.146m0%200l-.018-.093m0%200l.004-.095m0%200l.047-.156m0%200l.086-.138m0%200l.119-.112m0%200l.144-.076m0%200l.145-.041m0%200l.15-.017m0%200h.173m0%200l-.008-.007m0%200l-.123-.096m0%200l-.143-.063m0%200l-.1-.043m0%200l-.066-.029m0%200l-.248-.062m0%200l-.256-.024m0%200l-.246.019m0%200l-.238.066m0%200l-.221.11m0%200l-.22.158m0%200l-.198.187m0%200l-.122.171m0%200l-.076.196m0%200l-.024.21m0%200l.028.208m0%200l.079.195m0%200l.336.498m0%200l.413.437m0%200l.108.128m0%200l.072.151m0%200l.031.165m0%200l-.013.167m0%200l-.056.157m0%200l-.094.138m0%200l-.127.11m0%200l-.16.086m0%200l-.172.058m0%200l-.18.028m0%200l-.21-.01m0%200l-.2-.061m0%200l-.18-.109m0%200l-.095-.1m0%200l-.063-.123m0%200l-.029-.126m0%200v-.13m0%200l.029-.125m0%200l.025-.049m0%200l.04-.038m0%200l.237-.172m0%200l.045-.024m0%200l.05-.01m0%200l.049.005M72%2059.688h.058M72%2059.616h.122M72%2059.544h.186M72%2059.472h.25M72%2059.4h.314M72%2059.328h.378M72%2059.256h.442M72%2059.184h.506M72%2059.112h.57M72%2059.04h.634M72%2058.968h.698M72%2058.896h.762M72%2058.824h.826M72%2058.752h.89M72%2058.68h.954M72%2058.608h1.017M72%2058.536h1.081M72%2058.464h1.145M72%2058.392h1.21M72%2058.32h1.274M72%2058.248h1.338M72%2058.176h1.406M72%2058.104h1.485M72%2058.032h1.563M72%2057.96h1.649M72%2057.888h1.77M72%2057.816h1.89M72%2057.744h2.78M72%2057.672h3.298M72%2057.6h3.549M72%2057.528h3.696M72%2057.456h3.844M72%2057.384h3.96M72%2057.312h4.061M72%2057.24h4.164M72%2057.168h4.253M72%2057.096h4.33M72%2057.024h4.406M72%2056.952h4.483M72%2056.88h4.547M72%2056.808h4.604M72%2056.736h4.661M72%2056.664h4.719M72%2056.592h4.771M72%2056.52h4.812M72%2056.448h4.853M72%2056.376h4.893M72%2056.304h4.933M72%2056.232h4.974M72%2056.16h5.015M72%2056.088h5.044M72%2056.016h5.07M72%2055.944h5.094M72%2055.872h5.12M72%2055.8h5.144M72%2055.728h5.169M72%2055.656h5.193M72%2055.584h5.211M72%2055.512h5.222m-5.22-.072h1.626m.313%200h3.292m-5.226-.072h1.409m.785%200h3.043m-5.23-.072h1.282m1.039%200h2.92m-5.236-.072h1.193m1.217%200h2.836m-5.225-.072h1.113m1.35%200h2.774m-5.215-.072h1.055m1.435%200h2.736m-5.205-.072h1.01m1.5%200h2.692m-5.166-.072h.957m1.542%200h2.665m-5.125-.072h.915m1.567%200h2.64m-5.082-.072h.876m1.572%200h2.632m-5.021-.072h.834m1.56%200h2.625m-4.957-.072h.794m1.528%200h2.633m-4.87-.072h.773m1.45%200h2.645m-4.777-.072h.78m1.327%200h2.663m-4.625-.072h.735m1.192%200h2.683m-4.372-.072h.533m1.11%200h2.715m-2.777-.072h2.762m-2.828-.072h2.811m-2.878-.072h2.852m-2.92-.072h2.894M74.19%2054h2.937m-3.004-.072h2.973m-3.04-.072h3.002m-3.059-.072h3.02m-3.068-.072h3.03m-3.08-.072h1.962m.256%200h.81m-3.075-.072h1.826m.57%200h.622m-3.067-.072h1.766m.754%200h.49m-3.059-.072h1.716m.906%200h.379m-3.049-.072h1.668m1.017%200h.3m-3.02-.072h1.618m1.102%200h.212m-2.962-.072h1.582m1.168%200h.124m-2.903-.072h1.55m1.229%200h.035m-2.824-.072h1.517m-1.527-.072h1.485m-1.493-.072h1.464m-1.455-.072h1.427m-1.418-.072h1.404m-1.392-.072h1.394m-1.366-.072h1.38m-1.353-.072h1.374m-1.335-.072h1.367m-1.315-.072h1.36m-1.306-.072h1.367m-1.291-.072h1.368m-1.292-.072h1.426m-1.338-.072h1.811m-1.711-.072h1.62m-1.507-.072h1.365m-1.22-.072h1.054m-.82-.072h.558%22%20id=%22path468%22%20fill=%22none%22%20stroke=%22#fff%22%20stroke-width=%22.072%22%20stroke-linecap=%22round%22/%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22matrix(-1.13156%200%200%201.13156%20313.751%20117.75)%22%20id=%22g1259%22%3E%3Cg%20id=%22g1257%22%3E%3Ccircle%20cx=%22111.6%22%20cy=%223.6%22%20r=%222.052%22%20id=%22connector38pin%22%20fill=%22none%22%20stroke=%22#9a916c%22%20stroke-width=%221.224%22/%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22matrix(-1.13156%200%200%201.13156%20313.751%2052.535)%22%20id=%22g1264%22%3E%3Cg%20id=%22g1262%22%3E%3Ccircle%20cx=%22111.6%22%20cy=%2210.8%22%20r=%222.052%22%20id=%22connector39pin%22%20fill=%22none%22%20stroke=%22#9a916c%22%20stroke-width=%221.224%22/%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22matrix(-1.13156%200%200%201.13156%20313.751%2060.687)%22%20id=%22g1269%22%3E%3Cg%20id=%22g1267%22%3E%3Ccircle%20cx=%223.6%22%20cy=%2261.2%22%20r=%222.052%22%20id=%22connector40pin%22%20fill=%22none%22%20stroke=%22#9a916c%22%20stroke-width=%221.224%22/%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22matrix(-1.13156%200%200%201.13156%20313.751%2060.687)%22%20id=%22g1274%22%3E%3Cg%20id=%22g1272%22%3E%3Ccircle%20cx=%223.6%22%20cy=%2254%22%20r=%222.052%22%20id=%22connector41pin%22%20fill=%22none%22%20stroke=%22#9a916c%22%20stroke-width=%221.224%22/%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22matrix(-1.13156%200%200%201.13156%20313.751%2060.687)%22%20id=%22g1279%22%3E%3Cg%20id=%22g1277%22%3E%3Ccircle%20cx=%223.6%22%20cy=%223.6%22%20r=%222.052%22%20id=%22connector42pin%22%20fill=%22none%22%20stroke=%22#9a916c%22%20stroke-width=%221.224%22/%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22matrix(-1.13156%200%200%201.13156%20313.751%2060.687)%22%20id=%22g1284%22%3E%3Cg%20id=%22g1282%22%3E%3Ccircle%20cx=%223.6%22%20cy=%2210.8%22%20r=%222.052%22%20id=%22connector43pin%22%20fill=%22none%22%20stroke=%22#9a916c%22%20stroke-width=%221.224%22/%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22matrix(-1.13156%200%200%201.13156%20313.751%2060.687)%22%20id=%22g1289%22%3E%3Cg%20id=%22g1287%22%3E%3Ccircle%20cx=%22111.6%22%20cy=%2261.2%22%20r=%222.052%22%20id=%22connector44pin%22%20fill=%22none%22%20stroke=%22#9a916c%22%20stroke-width=%221.224%22/%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22matrix(-1.13156%200%200%201.13156%20313.751%2053.204)%22%20id=%22g1294%22%3E%3Cg%20id=%22g1292%22%3E%3Ccircle%20cx=%22111.6%22%20cy=%2254%22%20r=%222.052%22%20id=%22connector45pin%22%20fill=%22none%22%20stroke=%22#9a916c%22%20stroke-width=%221.224%22/%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22matrix(-1.13156%200%200%201.13156%20313.751%2053.204)%22%20id=%22g1299%22%3E%3Cg%20id=%22g1297%22%3E%3Ccircle%20cx=%22111.6%22%20cy=%2246.8%22%20r=%222.052%22%20id=%22connector48pin%22%20fill=%22none%22%20stroke=%22#9a916c%22%20stroke-width=%221.224%22/%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22matrix(-1.13156%200%200%201.13156%20313.751%2053.204)%22%20id=%22g1304%22%3E%3Cg%20id=%22g1302%22%3E%3Ccircle%20cx=%22111.6%22%20cy=%2239.6%22%20r=%222.052%22%20id=%22connector49pin%22%20fill=%22none%22%20stroke=%22#9a916c%22%20stroke-width=%221.224%22/%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22matrix(-1.13156%200%200%201.13156%20313.751%2053.204)%22%20id=%22g1309%22%3E%3Cg%20id=%22g1307%22%3E%3Ccircle%20cx=%22111.6%22%20cy=%2232.4%22%20r=%222.052%22%20id=%22connector50pin%22%20fill=%22none%22%20stroke=%22#9a916c%22%20stroke-width=%221.224%22/%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22matrix(-1.13156%200%200%201.13156%20313.751%2053.204)%22%20id=%22g1314%22%3E%3Cg%20id=%22g1312%22%3E%3Ccircle%20cx=%22111.6%22%20cy=%2225.2%22%20r=%222.052%22%20id=%22connector51pin%22%20fill=%22none%22%20stroke=%22#9a916c%22%20stroke-width=%221.224%22/%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22matrix(-1.13156%200%200%201.13156%20313.751%2052.535)%22%20id=%22g1319%22%3E%3Cg%20id=%22g1317%22%3E%3Ccircle%20cx=%22111.6%22%20cy=%2218%22%20r=%222.052%22%20id=%22connector52pin%22%20fill=%22none%22%20stroke=%22#9a916c%22%20stroke-width=%221.224%22/%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22matrix(-1.13156%200%200%201.13156%20313.751%2060.687)%22%20id=%22g1324%22%3E%3Cg%20id=%22g1322%22%3E%3Ccircle%20cx=%2290%22%20cy=%223.6%22%20r=%222.052%22%20id=%22connector53pin%22%20fill=%22none%22%20stroke=%22#9a916c%22%20stroke-width=%221.224%22/%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22matrix(-1.13156%200%200%201.13156%20313.751%2060.687)%22%20id=%22g1329%22%3E%3Cg%20id=%22g1327%22%3E%3Ccircle%20cx=%2282.8%22%20cy=%223.6%22%20r=%222.052%22%20id=%22connector54pin%22%20fill=%22none%22%20stroke=%22#9a916c%22%20stroke-width=%221.224%22/%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22matrix(-1.13156%200%200%201.13156%20313.751%2060.687)%22%20id=%22g1334%22%3E%3Cg%20id=%22g1332%22%3E%3Ccircle%20cx=%2275.6%22%20cy=%223.6%22%20r=%222.052%22%20id=%22connector55pin%22%20fill=%22none%22%20stroke=%22#9a916c%22%20stroke-width=%221.224%22/%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22matrix(-1.13156%200%200%201.13156%20313.751%2060.687)%22%20id=%22g1339%22%3E%3Cg%20id=%22g1337%22%3E%3Ccircle%20cx=%2268.4%22%20cy=%223.6%22%20r=%222.052%22%20id=%22connector56pin%22%20fill=%22none%22%20stroke=%22#9a916c%22%20stroke-width=%221.224%22/%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22matrix(-1.13156%200%200%201.13156%20313.751%2060.687)%22%20id=%22g1344%22%3E%3Cg%20id=%22g1342%22%3E%3Ccircle%20cx=%2261.2%22%20cy=%223.6%22%20r=%222.052%22%20id=%22connector57pin%22%20fill=%22none%22%20stroke=%22#9a916c%22%20stroke-width=%221.224%22/%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22matrix(-1.13156%200%200%201.13156%20313.751%2060.687)%22%20id=%22g1349%22%3E%3Cg%20id=%22g1347%22%3E%3Ccircle%20cx=%2254%22%20cy=%223.6%22%20r=%222.052%22%20id=%22connector58pin%22%20fill=%22none%22%20stroke=%22#9a916c%22%20stroke-width=%221.224%22/%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22matrix(-1.13156%200%200%201.13156%20313.751%2060.687)%22%20id=%22g1354%22%3E%3Cg%20id=%22g1352%22%3E%3Ccircle%20cx=%2246.8%22%20cy=%223.6%22%20r=%222.052%22%20id=%22connector59pin%22%20fill=%22none%22%20stroke=%22#9a916c%22%20stroke-width=%221.224%22/%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22matrix(-1.13156%200%200%201.13156%20313.751%2060.687)%22%20id=%22g1359%22%3E%3Cg%20id=%22g1357%22%3E%3Ccircle%20cx=%2239.6%22%20cy=%223.6%22%20r=%222.052%22%20id=%22connector60pin%22%20fill=%22none%22%20stroke=%22#9a916c%22%20stroke-width=%221.224%22/%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22matrix(-1.13156%200%200%201.13156%20313.751%2060.687)%22%20id=%22g1364%22%3E%3Cg%20id=%22g1362%22%3E%3Ccircle%20cx=%2232.4%22%20cy=%223.6%22%20r=%222.052%22%20id=%22connector61pin%22%20fill=%22none%22%20stroke=%22#9a916c%22%20stroke-width=%221.224%22/%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22matrix(-1.13156%200%200%201.13156%20313.751%2060.687)%22%20id=%22g1369%22%3E%3Cg%20id=%22g1367%22%3E%3Ccircle%20cx=%2225.2%22%20cy=%223.6%22%20r=%222.052%22%20id=%22connector62pin%22%20fill=%22none%22%20stroke=%22#9a916c%22%20stroke-width=%221.224%22/%3E%3C/g%3E%3C/g%3E%3Ccircle%20id=%22connector0pin_1_-7%22%20r=%222.218%22%20cy=%22114.211%22%20cx=%22220.096%22%20fill=%22none%22%20stroke=%22#9a916c%22%20stroke-width=%22.31%22/%3E%3Ccircle%20id=%22connector1pin_1_-4%22%20r=%222.218%22%20cy=%22114.211%22%20cx=%22228.202%22%20fill=%22none%22%20stroke=%22#9a916c%22%20stroke-width=%22.31%22/%3E%3Ccircle%20id=%22connector2pin_1_-0%22%20r=%222.218%22%20cy=%22114.211%22%20cx=%22236.307%22%20fill=%22none%22%20stroke=%22#9a916c%22%20stroke-width=%22.31%22/%3E%3Ccircle%20id=%22connector3pin_1_-9%22%20r=%222.218%22%20cy=%22114.211%22%20cx=%22244.413%22%20fill=%22none%22%20stroke=%22#9a916c%22%20stroke-width=%22.31%22/%3E%3Ccircle%20id=%22connector4pin_1_-4%22%20r=%222.218%22%20cy=%22114.211%22%20cx=%22252.519%22%20fill=%22none%22%20stroke=%22#9a916c%22%20stroke-width=%22.31%22/%3E%3Ccircle%20id=%22connector5pin_1_-8%22%20r=%222.218%22%20cy=%22114.211%22%20cx=%22260.624%22%20fill=%22none%22%20stroke=%22#9a916c%22%20stroke-width=%22.31%22/%3E%3Ccircle%20id=%22connector6pin_1_-8%22%20r=%222.218%22%20cy=%22114.211%22%20cx=%22268.73%22%20fill=%22none%22%20stroke=%22#9a916c%22%20stroke-width=%22.31%22/%3E%3Ccircle%20id=%22connector7pin_1_-2%22%20r=%222.218%22%20cy=%22114.211%22%20cx=%22276.836%22%20fill=%22none%22%20stroke=%22#9a916c%22%20stroke-width=%22.31%22/%3E%3Ccircle%20id=%22connector8pin_1_-4%22%20r=%222.218%22%20cy=%2281.788%22%20cx=%22276.836%22%20fill=%22none%22%20stroke=%22#9a916c%22%20stroke-width=%22.31%22/%3E%3Ccircle%20id=%22connector9pin_1_-5%22%20r=%222.218%22%20cy=%2281.788%22%20cx=%22260.624%22%20fill=%22none%22%20stroke=%22#9a916c%22%20stroke-width=%22.31%22/%3E%3Ccircle%20id=%22connector10pin_1_-5%22%20r=%222.218%22%20cy=%2281.788%22%20cx=%22244.413%22%20fill=%22none%22%20stroke=%22#9a916c%22%20stroke-width=%22.31%22/%3E%3Ccircle%20id=%22connector11pin_1_-1%22%20r=%222.218%22%20cy=%2281.788%22%20cx=%22236.307%22%20fill=%22none%22%20stroke=%22#9a916c%22%20stroke-width=%22.31%22/%3E%3Ccircle%20id=%22connector12pin_1_-7%22%20r=%222.218%22%20cy=%2281.788%22%20cx=%22228.202%22%20fill=%22none%22%20stroke=%22#9a916c%22%20stroke-width=%22.31%22/%3E%3Ccircle%20id=%22connector13pin_1_-1%22%20r=%222.218%22%20cy=%2281.788%22%20cx=%22220.096%22%20fill=%22none%22%20stroke=%22#9a916c%22%20stroke-width=%22.31%22/%3E%3Cpath%20id=%22polygon201%22%20transform=%22matrix(.63265%200%200%20.63265%20184.026%2064.019)%22%20fill=%22#323232%22%20d=%22M0%2020.913l201.732-.001%201.984%201.78v63.819l-201.937.001L0%2084.186z%22/%3E%3Cpath%20id=%22polygon203%22%20transform=%22matrix(.63265%200%200%20.63265%20184.026%2064.019)%22%20fill=%22#646464%22%20d=%22M17.293%2027.125h22.14l1.476%201.473-2.954%204.429h-19.19l-2.947-4.429z%22/%3E%3Cpath%20id=%22polygon205%22%20transform=%22matrix(.63265%200%200%20.63265%20184.026%2064.019)%22%20fill=%22red%22%20d=%22M42.386%2030.073l1.475%202.954-2.952%2016.24-2.954%202.949-2.949-2.949%202.949-14.766z%22/%3E%3Cpath%20id=%22polygon207%22%20transform=%22matrix(.63265%200%200%20.63265%20184.026%2064.019)%22%20fill=%22red%22%20d=%22M37.955%2053.691l1.478%202.95-2.952%2016.24-2.952%202.952-2.952-2.952%202.952-14.758z%22/%3E%3Cpath%20id=%22polygon209%22%20transform=%22matrix(.63265%200%200%20.63265%20184.026%2064.019)%22%20fill=%22#646464%22%20d=%22M30.577%2078.785H8.435l-1.477-1.481%202.952-4.423h19.192l2.95%204.427z%22/%3E%3Cpath%20id=%22polygon211%22%20transform=%22matrix(.63265%200%200%20.63265%20184.026%2063.339)%22%20fill=%22#646464%22%20d=%22M6.958%2056.641l2.952-2.95%202.95%202.95-2.95%2014.761-4.429%204.431-1.474-2.952z%22/%3E%3Cpath%20id=%22polygon213%22%20transform=%22matrix(.63265%200%200%20.63265%20184.026%2063.339)%22%20fill=%22#646464%22%20d=%22M11.389%2033.027l2.948-2.954%202.956%202.954-2.956%2014.763-4.427%204.426-1.475-2.949z%22/%3E%3Cpath%20id=%22polyline215%22%20transform=%22matrix(.63265%200%200%20.63265%20184.026%2064.019)%22%20fill=%22#646464%22%20d=%22M11.389%2052.216l2.948-2.949h19.192l2.952%202.949-2.952%202.952H14.337%22/%3E%3Ccircle%20id=%22circle217%22%20r=%221.968%22%20cy=%22111.99%22%20cx=%22209.507%22%20fill=%22#646464%22%20stroke-width=%22.633%22/%3E%3Cpath%20id=%22polygon219%22%20transform=%22matrix(.63265%200%200%20.63265%20184.026%2064.019)%22%20fill=%22red%22%20d=%22M68.521%2027.125h22.14l1.475%201.473-2.953%204.429h-19.19l-2.947-4.429z%22/%3E%3Cpath%20id=%22polygon221%22%20transform=%22matrix(.63265%200%200%20.63265%20184.026%2064.019)%22%20fill=%22red%22%20d=%22M93.613%2030.073l1.476%202.954-2.952%2016.24-2.954%202.948-2.949-2.948%202.949-14.766z%22/%3E%3Cpath%20id=%22polygon223%22%20transform=%22matrix(.63265%200%200%20.63265%20184.026%2064.019)%22%20fill=%22#646464%22%20d=%22M89.183%2053.691l1.478%202.95-2.952%2016.24-2.952%202.952-2.952-2.952%202.952-14.758z%22/%3E%3Cpath%20id=%22polygon225%22%20transform=%22matrix(.63265%200%200%20.63265%20184.026%2064.019)%22%20fill=%22red%22%20d=%22M81.805%2078.785H59.663l-1.477-1.481%202.952-4.423H80.33l2.95%204.427z%22/%3E%3Cpath%20id=%22polygon227%22%20transform=%22matrix(.63265%200%200%20.63265%20184.026%2064.019)%22%20fill=%22red%22%20d=%22M56.709%2075.833l-1.474-2.952%202.951-16.24%202.952-2.95%202.95%202.95-2.95%2014.761z%22/%3E%3Cpath%20id=%22polygon229%22%20transform=%22matrix(.63265%200%200%20.63265%20184.026%2064.019)%22%20fill=%22#646464%22%20d=%22M61.138%2052.215l-1.475-2.948%202.954-16.24%202.948-2.954%202.956%202.954-2.956%2014.763z%22/%3E%3Cpath%20id=%22polyline231%22%20transform=%22matrix(.63265%200%200%20.63265%20184.026%2064.019)%22%20fill=%22red%22%20d=%22M62.617%2052.215l2.948-2.948h19.192l2.952%202.948-2.952%202.953H65.565%22/%3E%3Ccircle%20id=%22circle233%22%20r=%221.968%22%20cy=%22111.99%22%20cx=%22241.916%22%20fill=%22#646464%22%20stroke-width=%22.633%22/%3E%3Ccircle%20id=%22circle235%22%20r=%221.968%22%20cy=%22104.614%22%20cx=%22246.644%22%20fill=%22#646464%22%20stroke-width=%22.633%22/%3E%3Ccircle%20id=%22circle237%22%20r=%221.968%22%20cy=%2290.048%22%20cx=%22248.849%22%20fill=%22#646464%22%20stroke-width=%22.633%22/%3E%3Ccircle%20id=%22circle239%22%20r=%221.968%22%20cy=%2282.88%22%20cx=%22282.716%22%20fill=%22#646464%22%20stroke-width=%22.633%22/%3E%3Cpath%20id=%22polygon241%22%20transform=%22matrix(.63265%200%200%20.63265%20184.026%2064.019)%22%20fill=%22red%22%20d=%22M119.768%2027.125h22.143l1.475%201.473-2.954%204.429h-19.19l-2.949-4.429z%22/%3E%3Cpath%20id=%22polygon243%22%20transform=%22matrix(.63265%200%200%20.63265%20184.026%2064.019)%22%20fill=%22red%22%20d=%22M144.861%2030.073l1.477%202.954-2.952%2016.24-2.954%202.948-2.949-2.948%202.949-14.766z%22/%3E%3Cpath%20id=%22polygon245%22%20transform=%22matrix(.63265%200%200%20.63265%20184.026%2064.019)%22%20fill=%22red%22%20d=%22M140.432%2053.691l1.479%202.95-2.953%2016.24-2.953%202.952-2.951-2.952%202.95-14.758z%22/%3E%3Cpath%20id=%22polygon247%22%20transform=%22matrix(.63265%200%200%20.63265%20184.026%2064.019)%22%20fill=%22red%22%20d=%22M133.054%2078.785h-22.142l-1.477-1.481%202.952-4.423h19.19l2.951%204.427z%22/%3E%3Cpath%20id=%22polygon249%22%20transform=%22matrix(.63265%200%200%20.63265%20184.026%2064.019)%22%20fill=%22#646464%22%20d=%22M107.958%2075.833l-1.473-2.952%202.95-16.24%202.952-2.95%202.951%202.95-2.951%2014.761z%22/%3E%3Cpath%20id=%22polygon251%22%20transform=%22matrix(.63265%200%200%20.63265%20184.026%2064.019)%22%20fill=%22#646464%22%20d=%22M112.387%2052.215l-1.475-2.948%202.954-16.24%202.948-2.954%202.954%202.954-2.954%2014.763z%22/%3E%3Cpath%20id=%22polyline253%22%20transform=%22matrix(.63265%200%200%20.63265%20184.026%2064.019)%22%20fill=%22red%22%20d=%22M113.866%2052.215l2.948-2.948h19.19l2.954%202.948-2.954%202.953h-19.19%22/%3E%3Ccircle%20id=%22circle255%22%20r=%221.968%22%20cy=%22111.99%22%20cx=%22274.339%22%20fill=%22#646464%22%20stroke-width=%22.633%22/%3E%3Cpath%20id=%22polygon257%22%20transform=%22matrix(.63265%200%200%20.63265%20184.026%2064.019)%22%20fill=%22#646464%22%20d=%22M171.151%2027.125h22.142l1.476%201.473-2.954%204.429h-19.19l-2.949-4.429z%22/%3E%3Cpath%20id=%22polygon259%22%20transform=%22matrix(.63265%200%200%20.63265%20184.026%2064.019)%22%20fill=%22red%22%20d=%22M196.244%2030.073l1.477%202.954-2.952%2016.24-2.954%202.948-2.949-2.948%202.949-14.766z%22/%3E%3Cpath%20id=%22polygon261%22%20transform=%22matrix(.63265%200%200%20.63265%20184.026%2064.019)%22%20fill=%22red%22%20d=%22M191.815%2053.691l1.478%202.95-2.952%2016.24-2.954%202.952-2.95-2.952%202.95-14.758z%22/%3E%3Cpath%20id=%22polygon263%22%20transform=%22matrix(.63265%200%200%20.63265%20184.026%2064.019)%22%20fill=%22#646464%22%20d=%22M184.437%2078.785h-22.142l-1.477-1.481%202.952-4.423h19.19l2.95%204.427z%22/%3E%3Cpath%20id=%22polygon265%22%20transform=%22matrix(.63265%200%200%20.63265%20184.026%2064.019)%22%20fill=%22#646464%22%20d=%22M159.341%2075.833l-1.474-2.952%202.951-16.24%202.952-2.95%202.95%202.95-2.95%2014.761z%22/%3E%3Cpath%20id=%22polygon267%22%20transform=%22matrix(.63265%200%200%20.63265%20184.026%2064.019)%22%20fill=%22red%22%20d=%22M163.77%2052.215l-1.475-2.948%202.954-16.24%202.948-2.954%202.954%202.954-2.954%2014.763z%22/%3E%3Cpath%20id=%22polyline269%22%20transform=%22matrix(.63265%200%200%20.63265%20184.026%2064.019)%22%20fill=%22red%22%20d=%22M165.249%2052.215l2.948-2.948h19.19l2.954%202.948-2.954%202.953h-19.19%22/%3E%3Ccircle%20id=%22circle271%22%20r=%221.968%22%20cy=%22111.99%22%20cx=%22306.846%22%20fill=%22#646464%22%20stroke-width=%22.633%22/%3E%3Cpath%20id=%22polygon273%22%20transform=%22matrix(.63265%200%200%20.63265%20184.026%2064.019)%22%20fill=%22#c7c7c7%22%20d=%22M1.779%2086.512l201.937-.001-1.78-2.325H0z%22/%3E%3Cpath%20id=%22polygon275%22%20transform=%22matrix(.63265%200%200%20.63265%20184.026%2064.019)%22%20fill=%22#aaa%22%20d=%22M203.716%2022.692l-1.984-1.78v63.274l1.984%202.325z%22/%3E%3C/g%3E%3Cstyle%20id=%22style2%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3C/svg%3E" }, "design": { "graph": { - "blocks": [], - "wires": [] + "blocks": [ + { + "id": "92aeb3f6-7ca1-446a-942b-99f8ca485cfd", + "type": "basic.output", + "data": { + "name": "MOSI" + }, + "position": { + "x": 1680, + "y": -624 + } + }, + { + "id": "aead05b3-8b63-4175-a842-30afb8d7dccd", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": -568, + "y": -560 + } + }, + { + "id": "f3275548-2df9-4421-98f8-1630ec0e338b", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "pins": [ + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true + }, + "position": { + "x": -376, + "y": -560 + } + }, + { + "id": "342ece16-750a-4553-b62c-7fd018a2fc9a", + "type": "basic.output", + "data": { + "name": "SCLK" + }, + "position": { + "x": 1704, + "y": -552 + } + }, + { + "id": "7355c9e7-fd35-44d8-ab1b-5148aaab6149", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" + }, + "position": { + "x": 800, + "y": -512 + } + }, + { + "id": "5278b926-708c-4fd9-beda-382c59de0326", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" + }, + "position": { + "x": -448, + "y": -448 + } + }, + { + "id": "8cb185db-b75a-4f5b-8f6e-b8aa4d9d5221", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "done", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 808, + "y": -448 + } + }, + { + "id": "ecf5ac0b-8d85-4d7f-8822-b7d985403b4b", + "type": "basic.output", + "data": { + "name": "ss" + }, + "position": { + "x": 1136, + "y": -432 + } + }, + { + "id": "9f16db15-92c9-49c4-ab62-7fd195dcc591", + "type": "basic.inputLabel", + "data": { + "name": "Dig3", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 216, + "y": -408 + } + }, + { + "id": "fa27935d-4049-4613-94cc-5cc6e5db30ad", + "type": "basic.input", + "data": { + "name": "D3", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": -568, + "y": -392 + } + }, + { + "id": "543b2ff6-ef88-42bd-adfa-fddc91973e4b", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" + }, + "position": { + "x": 1376, + "y": -392 + } + }, + { + "id": "e9ddde63-1594-4fa4-8a7b-952ea635cd9d", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "load" + }, + "position": { + "x": 808, + "y": -376 + } + }, + { + "id": "da7f731d-282f-4b37-877b-b5bb432fb309", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "busy", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 1744, + "y": -320 + } + }, + { + "id": "ffedd358-2267-45a1-bcd4-5bab5fbd61c2", + "type": "basic.output", + "data": { + "name": "busy" + }, + "position": { + "x": 1904, + "y": -320 + } + }, + { + "id": "ad06afb1-8e14-4fd6-95a0-27d940401a51", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" + }, + "position": { + "x": -440, + "y": -304 + } + }, + { + "id": "80026d4f-6c24-4076-9fae-6f6eb7e10519", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "Dig0", + "range": "[7:0]", + "size": 8 + }, + "position": { + "x": 1000, + "y": -280 + } + }, + { + "id": "c274408d-8cbc-49ae-a452-417a4defecd9", + "type": "basic.inputLabel", + "data": { + "name": "Dig2", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 208, + "y": -272 + } + }, + { + "id": "b392f3d0-bdd8-4aab-ad10-09acf623e4cd", + "type": "basic.input", + "data": { + "name": "D2", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": -568, + "y": -256 + } + }, + { + "id": "5179500a-92f6-474a-ab6d-3620642d0863", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "done", + "oldBlockColor": "navy" + }, + "position": { + "x": 1744, + "y": -248 + } + }, + { + "id": "ff344f8b-03bb-4983-a8ac-057cb3cae836", + "type": "basic.output", + "data": { + "name": "done" + }, + "position": { + "x": 1912, + "y": -248 + } + }, + { + "id": "d8363dec-9c8f-4459-b77e-c097314221da", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "Dig1", + "range": "[7:0]", + "oldBlockColor": "fuchsia", + "size": 8 + }, + "position": { + "x": 1000, + "y": -224 + } + }, + { + "id": "9a96d0db-09db-4ed5-aac0-ac53ff528296", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" + }, + "position": { + "x": -440, + "y": -176 + } + }, + { + "id": "01dffb3b-55ee-40ab-80aa-76362ffd18de", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "Dig2", + "range": "[7:0]", + "oldBlockColor": "fuchsia", + "size": 8 + }, + "position": { + "x": 1000, + "y": -168 + } + }, + { + "id": "f0c81d0a-1362-450f-a24d-08946eff749e", + "type": "basic.inputLabel", + "data": { + "name": "Dig1", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 208, + "y": -136 + } + }, + { + "id": "f598b2a3-ec88-458d-924e-429dbc22658e", + "type": "basic.input", + "data": { + "name": "D1", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": -568, + "y": -120 + } + }, + { + "id": "87cc44b0-6091-430c-b882-7c45f553596c", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "Dig3", + "range": "[7:0]", + "oldBlockColor": "fuchsia", + "size": 8 + }, + "position": { + "x": 1000, + "y": -112 + } + }, + { + "id": "946c65e0-ed95-4f96-a3dd-abc0665e03fb", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" + }, + "position": { + "x": 384, + "y": -104 + } + }, + { + "id": "0084caf7-25d0-425b-b817-39eed3f7191e", + "type": "basic.inputLabel", + "data": { + "blockColor": "navy", + "name": "busy", + "pins": [ + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true + }, + "position": { + "x": 712, + "y": -96 + } + }, + { + "id": "f6498688-61de-4d9f-8295-e04bbb78d772", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" + }, + "position": { + "x": -432, + "y": -32 + } + }, + { + "id": "fc673c92-72ac-4b6d-ba7b-cce130589df6", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "load" + }, + "position": { + "x": 376, + "y": -16 + } + }, + { + "id": "7fd0da9f-655f-40c4-b134-de510b33296c", + "type": "basic.inputLabel", + "data": { + "name": "Dig0", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": 208, + "y": 0 + } + }, + { + "id": "6405ca4c-ec02-4197-8cd0-c054b22b910b", + "type": "basic.input", + "data": { + "name": "D0", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": -568, + "y": 16 + } + }, + { + "id": "2ba41c98-7e45-4a36-9dbc-fe0d1c4ae79c", + "type": "basic.inputLabel", + "data": { + "blockColor": "navy", + "name": "done", + "pins": [ + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true + }, + "position": { + "x": 728, + "y": 48 + } + }, + { + "id": "214df71e-70f6-4d38-add6-8cf2e5fc4215", + "type": "basic.input", + "data": { + "name": "view", + "clock": false + }, + "position": { + "x": -576, + "y": 136 + } + }, + { + "id": "a5a8e7ca-1839-42d6-a5f6-9b503606cf32", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "load", + "pins": [ + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true + }, + "position": { + "x": -248, + "y": 136 + } + }, + { + "id": "272428b0-6175-44d5-9943-cf2399722a95", + "type": "basic.constant", + "data": { + "name": "N", + "value": "5", + "local": true + }, + "position": { + "x": 552, + "y": -144 + } + }, + { + "id": "def6463e-6b77-4faf-b31d-03a262bda923", + "type": "basic.constant", + "data": { + "name": "CLS", + "value": "'h76", + "local": true + }, + "position": { + "x": 848, + "y": -184 + } + }, + { + "id": "62bb38d3-11c4-4a4d-b863-7063c3059b4f", + "type": "basic.constant", + "data": { + "name": "", + "value": "1", + "local": true + }, + "position": { + "x": 976, + "y": -544 + } + }, + { + "id": "f40a8e8c-9dbc-4453-8827-b0cf9bc9eb53", + "type": "basic.constant", + "data": { + "name": "", + "value": "8", + "local": true + }, + "position": { + "x": 1512, + "y": -240 + } + }, + { + "id": "f991b08e-b6d5-46fd-bbb6-5fed209482d0", + "type": "14b29ba80619727d3f275dcfd3c37353983851c9", + "position": { + "x": 1512, + "y": -136 + }, + "size": { + "width": 96, + "height": 192 + } + }, + { + "id": "6174961a-1a81-44e7-99ba-a0b5bc30a673", + "type": "basic.info", + "data": { + "info": "### Controlador para display SPI de 4 dígitos 7 segmentos\n\nModelo: COM-1129-SPARKFUN\n\nCada vez que se recibe un tic por load se muestran los dígitos en el \ndisplay", + "readonly": true + }, + "position": { + "x": -488, + "y": -824 + }, + "size": { + "width": 704, + "height": 144 + } + }, + { + "id": "c5cba3d0-38a2-4a18-bd2c-a99bbd024349", + "type": "basic.info", + "data": { + "info": "**Máquina de contar**", + "readonly": true + }, + "position": { + "x": 560, + "y": 88 + }, + "size": { + "width": 192, + "height": 40 + } + }, + { + "id": "ae93a66b-6c4f-4efa-9537-4a3f878e8920", + "type": "basic.info", + "data": { + "info": "La máquina \nejecuta 5 pasos", + "readonly": true + }, + "position": { + "x": 544, + "y": -192 + }, + "size": { + "width": 144, + "height": 48 + } + }, + { + "id": "4fe45d8f-3405-429d-af77-778f42be972f", + "type": "basic.info", + "data": { + "info": "Ejecutar paso i \n(i = 0, 1, 2, 3 y 4)", + "readonly": true + }, + "position": { + "x": 952, + "y": 40 + }, + "size": { + "width": 200, + "height": 56 + } + }, + { + "id": "1bb487af-0725-4df0-8ef8-8cd5cbe409e7", + "type": "basic.info", + "data": { + "info": "Fin del paso i: hacer que \nla máquina vaya al paso i+1", + "readonly": true + }, + "position": { + "x": 1432, + "y": 88 + }, + "size": { + "width": 224, + "height": 56 + } + }, + { + "id": "22a61502-50de-409c-8ac3-b9e926cfd986", + "type": "e308ae53e30f77d7b8307a6ea2745aae28e71f3f", + "position": { + "x": 552, + "y": -48 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "0f873fb5-8f10-42c5-98b7-768093efc2fc", + "type": "5ad97e1e35a295d0ec722addd6df97c806fc6b7c", + "position": { + "x": 848, + "y": -88 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "0d5a778a-f1d8-4052-9af5-0c90cb9e10c5", + "type": "76e9bf5917324c0b23b09d1e053d27b97cd37958", + "position": { + "x": 1320, + "y": -272 + }, + "size": { + "width": 96, + "height": 288 + } + }, + { + "id": "ecc51b4d-3c2d-4c55-9dc6-218adc56a6b3", + "type": "basic.info", + "data": { + "info": "**Multiplexor 8 a 1**", + "readonly": true + }, + "position": { + "x": 1296, + "y": -312 + }, + "size": { + "width": 184, + "height": 40 + } + }, + { + "id": "52e01f27-94d8-4a69-a86c-a2f2a946c535", + "type": "917222cfe011f858474de6c5cca950f371ad3092", + "position": { + "x": 24, + "y": -272 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "0ce19dbe-6fca-4767-a4f1-1882012cc1bb", + "type": "a0b8df28f3452068e469a9c210e4e62ae8c78900", + "position": { + "x": -144, + "y": -480 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "955962ba-13ac-443e-bb39-cadbaef49929", + "type": "917222cfe011f858474de6c5cca950f371ad3092", + "position": { + "x": 24, + "y": -408 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "dde39785-b4b5-46f8-815b-16ae2a86cfe6", + "type": "basic.info", + "data": { + "info": "Poner a 0 los 4 bits \nde mayor peso del digito", + "readonly": true + }, + "position": { + "x": -136, + "y": -544 + }, + "size": { + "width": 224, + "height": 48 + } + }, + { + "id": "c11479b6-6d49-4755-9508-605858c77a34", + "type": "basic.info", + "data": { + "info": "Entradas \nNO usadas", + "readonly": true + }, + "position": { + "x": 1200, + "y": -264 + }, + "size": { + "width": 120, + "height": 48 + } + }, + { + "id": "c4544802-786c-49be-8cb5-3f14670f1605", + "type": "fa0a5e4acef8e9c148dc4c7d7e8f918f565477cd", + "position": { + "x": -256, + "y": 0 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "33a021d2-0b4f-4ab7-acd6-cae5263e81c4", + "type": "fa0a5e4acef8e9c148dc4c7d7e8f918f565477cd", + "position": { + "x": -256, + "y": -136 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "337b8ee6-95f8-40b3-b600-6ba7bba8d132", + "type": "fa0a5e4acef8e9c148dc4c7d7e8f918f565477cd", + "position": { + "x": -256, + "y": -272 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "a7685f0a-f311-4e73-8d25-22cfc56d5f8a", + "type": "fa0a5e4acef8e9c148dc4c7d7e8f918f565477cd", + "position": { + "x": -256, + "y": -408 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "28692093-2605-4ae0-a0e1-329601d64f12", + "type": "917222cfe011f858474de6c5cca950f371ad3092", + "position": { + "x": 24, + "y": 0 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "62347e2c-8be3-4b01-bc59-eea2de6e0f63", + "type": "917222cfe011f858474de6c5cca950f371ad3092", + "position": { + "x": 24, + "y": -136 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "2bd82239-02f1-472d-b80e-741ef8bec689", + "type": "basic.info", + "data": { + "info": "Comando para borrar el display \ny llevar el cursor a la posición \noriginal", + "readonly": true + }, + "position": { + "x": 760, + "y": -264 + }, + "size": { + "width": 264, + "height": 64 + } + }, + { + "id": "682ee572-be45-4a46-a26b-1018fddc9d71", + "type": "b959c256104d1064a5ef7b38632ffb6eed3b396f", + "position": { + "x": 976, + "y": -448 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "6db38ac2-e434-4298-a67f-f893c9230da1", + "type": "basic.info", + "data": { + "info": "Control de la señal SS: \nAl cargar un nuevo valor se activa (0) \nCuando se termina se desactiva ", + "readonly": true + }, + "position": { + "x": 744, + "y": -616 + }, + "size": { + "width": 296, + "height": 64 + } + }, + { + "id": "4ff8e71b-84a7-49b9-92de-2a5060a009ab", + "type": "basic.info", + "data": { + "info": "Tic de visualización \nCada vez que se recibe se \nactualizan los dígitos", + "readonly": true + }, + "position": { + "x": -552, + "y": 208 + }, + "size": { + "width": 232, + "height": 72 + } + } + ], + "wires": [ + { + "source": { + "block": "fc673c92-72ac-4b6d-ba7b-cce130589df6", + "port": "outlabel" + }, + "target": { + "block": "22a61502-50de-409c-8ac3-b9e926cfd986", + "port": "8f591b24-0427-409f-aa6e-ca1861556cf5" + } + }, + { + "source": { + "block": "aead05b3-8b63-4175-a842-30afb8d7dccd", + "port": "out" + }, + "target": { + "block": "f3275548-2df9-4421-98f8-1630ec0e338b", + "port": "inlabel" + } + }, + { + "source": { + "block": "5278b926-708c-4fd9-beda-382c59de0326", + "port": "outlabel" + }, + "target": { + "block": "a7685f0a-f311-4e73-8d25-22cfc56d5f8a", + "port": "096f61b6-6d5c-4907-9512-e65b25969458" + } + }, + { + "source": { + "block": "ad06afb1-8e14-4fd6-95a0-27d940401a51", + "port": "outlabel" + }, + "target": { + "block": "337b8ee6-95f8-40b3-b600-6ba7bba8d132", + "port": "096f61b6-6d5c-4907-9512-e65b25969458" + } + }, + { + "source": { + "block": "9a96d0db-09db-4ed5-aac0-ac53ff528296", + "port": "outlabel" + }, + "target": { + "block": "33a021d2-0b4f-4ab7-acd6-cae5263e81c4", + "port": "096f61b6-6d5c-4907-9512-e65b25969458" + } + }, + { + "source": { + "block": "f6498688-61de-4d9f-8295-e04bbb78d772", + "port": "outlabel" + }, + "target": { + "block": "c4544802-786c-49be-8cb5-3f14670f1605", + "port": "096f61b6-6d5c-4907-9512-e65b25969458" + } + }, + { + "source": { + "block": "28692093-2605-4ae0-a0e1-329601d64f12", + "port": "0eaf305e-e0d9-4382-9f75-39e9d87675a9", + "size": 8 + }, + "target": { + "block": "7fd0da9f-655f-40c4-b134-de510b33296c", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "80026d4f-6c24-4076-9fae-6f6eb7e10519", + "port": "outlabel" + }, + "target": { + "block": "0d5a778a-f1d8-4052-9af5-0c90cb9e10c5", + "port": "9961181f-08e5-4dbe-b97e-8635380f3a0a", + "size": 8 + }, + "vertices": [ + { + "x": 1184, + "y": -216 + } + ], + "size": 8 + }, + { + "source": { + "block": "87cc44b0-6091-430c-b882-7c45f553596c", + "port": "outlabel" + }, + "target": { + "block": "0d5a778a-f1d8-4052-9af5-0c90cb9e10c5", + "port": "bc9544e0-2199-4d6a-a6b9-6550b7dd7633", + "size": 8 + }, + "vertices": [ + { + "x": 1120, + "y": -72 + } + ], + "size": 8 + }, + { + "source": { + "block": "955962ba-13ac-443e-bb39-cadbaef49929", + "port": "0eaf305e-e0d9-4382-9f75-39e9d87675a9", + "size": 8 + }, + "target": { + "block": "9f16db15-92c9-49c4-ab62-7fd195dcc591", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "01dffb3b-55ee-40ab-80aa-76362ffd18de", + "port": "outlabel" + }, + "target": { + "block": "0d5a778a-f1d8-4052-9af5-0c90cb9e10c5", + "port": "350946d5-2f29-4190-bcf2-e14e17224bb4", + "size": 8 + }, + "vertices": [ + { + "x": 1136, + "y": -104 + } + ], + "size": 8 + }, + { + "source": { + "block": "d8363dec-9c8f-4459-b77e-c097314221da", + "port": "outlabel" + }, + "target": { + "block": "0d5a778a-f1d8-4052-9af5-0c90cb9e10c5", + "port": "fbc03b1e-bcb0-42b2-9b09-33968aa35d0f", + "size": 8 + }, + "vertices": [ + { + "x": 1160, + "y": -176 + } + ], + "size": 8 + }, + { + "source": { + "block": "62347e2c-8be3-4b01-bc59-eea2de6e0f63", + "port": "0eaf305e-e0d9-4382-9f75-39e9d87675a9", + "size": 8 + }, + "target": { + "block": "f0c81d0a-1362-450f-a24d-08946eff749e", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "52e01f27-94d8-4a69-a86c-a2f2a946c535", + "port": "0eaf305e-e0d9-4382-9f75-39e9d87675a9", + "size": 8 + }, + "target": { + "block": "c274408d-8cbc-49ae-a452-417a4defecd9", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "22a61502-50de-409c-8ac3-b9e926cfd986", + "port": "6a11eaf9-4bd5-405e-81f8-44418365326b" + }, + "target": { + "block": "0084caf7-25d0-425b-b817-39eed3f7191e", + "port": "inlabel" + } + }, + { + "source": { + "block": "22a61502-50de-409c-8ac3-b9e926cfd986", + "port": "49221b19-132a-4e3f-9a1f-97bcd463391b" + }, + "target": { + "block": "2ba41c98-7e45-4a36-9dbc-fe0d1c4ae79c", + "port": "inlabel" + } + }, + { + "source": { + "block": "8cb185db-b75a-4f5b-8f6e-b8aa4d9d5221", + "port": "outlabel" + }, + "target": { + "block": "682ee572-be45-4a46-a26b-1018fddc9d71", + "port": "3ae2d46d-7981-497a-899f-b60bfae0f43e" + } + }, + { + "source": { + "block": "e9ddde63-1594-4fa4-8a7b-952ea635cd9d", + "port": "outlabel" + }, + "target": { + "block": "682ee572-be45-4a46-a26b-1018fddc9d71", + "port": "86eb8c81-17fc-4371-bd21-51f429191f3c" + } + }, + { + "source": { + "block": "da7f731d-282f-4b37-877b-b5bb432fb309", + "port": "outlabel" + }, + "target": { + "block": "ffedd358-2267-45a1-bcd4-5bab5fbd61c2", + "port": "in" + } + }, + { + "source": { + "block": "5179500a-92f6-474a-ab6d-3620642d0863", + "port": "outlabel" + }, + "target": { + "block": "ff344f8b-03bb-4983-a8ac-057cb3cae836", + "port": "in" + } + }, + { + "source": { + "block": "946c65e0-ed95-4f96-a3dd-abc0665e03fb", + "port": "outlabel" + }, + "target": { + "block": "22a61502-50de-409c-8ac3-b9e926cfd986", + "port": "cb426e3d-ace3-4a57-a74b-155caed0c14c" + } + }, + { + "source": { + "block": "7355c9e7-fd35-44d8-ab1b-5148aaab6149", + "port": "outlabel" + }, + "target": { + "block": "682ee572-be45-4a46-a26b-1018fddc9d71", + "port": "9f09a4af-8f7a-45c3-af7b-293a244e76d9" + } + }, + { + "source": { + "block": "543b2ff6-ef88-42bd-adfa-fddc91973e4b", + "port": "outlabel" + }, + "target": { + "block": "f991b08e-b6d5-46fd-bbb6-5fed209482d0", + "port": "d4d72900-cc12-412e-8cbd-b464ebb23315" + }, + "vertices": [ + { + "x": 1488, + "y": -264 + } + ] + }, + { + "source": { + "block": "214df71e-70f6-4d38-add6-8cf2e5fc4215", + "port": "out" + }, + "target": { + "block": "a5a8e7ca-1839-42d6-a5f6-9b503606cf32", + "port": "inlabel" + } + }, + { + "source": { + "block": "f991b08e-b6d5-46fd-bbb6-5fed209482d0", + "port": "8eabcfcc-4cf2-431c-9d54-a6d3a889d4c5" + }, + "target": { + "block": "92aeb3f6-7ca1-446a-942b-99f8ca485cfd", + "port": "in" + }, + "vertices": [] + }, + { + "source": { + "block": "f991b08e-b6d5-46fd-bbb6-5fed209482d0", + "port": "d220fe6a-8d0b-4368-90a4-9e64e21ac4c7" + }, + "target": { + "block": "342ece16-750a-4553-b62c-7fd018a2fc9a", + "port": "in" + }, + "vertices": [] + }, + { + "source": { + "block": "f40a8e8c-9dbc-4453-8827-b0cf9bc9eb53", + "port": "constant-out" + }, + "target": { + "block": "f991b08e-b6d5-46fd-bbb6-5fed209482d0", + "port": "2c949c25-40a7-4ff0-aaa4-121abdf4e0c4" + }, + "vertices": [] + }, + { + "source": { + "block": "272428b0-6175-44d5-9943-cf2399722a95", + "port": "constant-out" + }, + "target": { + "block": "22a61502-50de-409c-8ac3-b9e926cfd986", + "port": "d0005413-fea9-4b0b-8a18-9b15d4977bc1" + } + }, + { + "source": { + "block": "22a61502-50de-409c-8ac3-b9e926cfd986", + "port": "73948305-b05b-418f-88af-11328f400cdc" + }, + "target": { + "block": "f991b08e-b6d5-46fd-bbb6-5fed209482d0", + "port": "a76635dd-f560-4d9c-be23-3942396b0e37" + } + }, + { + "source": { + "block": "f991b08e-b6d5-46fd-bbb6-5fed209482d0", + "port": "aa10732b-2cb9-4777-ae88-f5876d4c098a" + }, + "target": { + "block": "22a61502-50de-409c-8ac3-b9e926cfd986", + "port": "ad4d0fc2-118e-496e-b292-c435bad20e7d" + }, + "vertices": [ + { + "x": 544, + "y": 144 + } + ] + }, + { + "source": { + "block": "def6463e-6b77-4faf-b31d-03a262bda923", + "port": "constant-out" + }, + "target": { + "block": "0f873fb5-8f10-42c5-98b7-768093efc2fc", + "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" + } + }, + { + "source": { + "block": "0d5a778a-f1d8-4052-9af5-0c90cb9e10c5", + "port": "820e19c9-d979-418f-b2e5-d806fa3caca3" + }, + "target": { + "block": "f991b08e-b6d5-46fd-bbb6-5fed209482d0", + "port": "545d789e-e3c2-48d0-a904-988abf31eebe" + }, + "vertices": [ + { + "x": 1440, + "y": -104 + } + ], + "size": 8 + }, + { + "source": { + "block": "0ce19dbe-6fca-4767-a4f1-1882012cc1bb", + "port": "53acced8-0736-40f7-8db1-7103a21f31c2" + }, + "target": { + "block": "52e01f27-94d8-4a69-a86c-a2f2a946c535", + "port": "57c25692-5ca1-4121-b89c-9f8df7d3a982" + }, + "vertices": [ + { + "x": -32, + "y": -304 + } + ], + "size": 4 + }, + { + "source": { + "block": "0ce19dbe-6fca-4767-a4f1-1882012cc1bb", + "port": "53acced8-0736-40f7-8db1-7103a21f31c2" + }, + "target": { + "block": "955962ba-13ac-443e-bb39-cadbaef49929", + "port": "57c25692-5ca1-4121-b89c-9f8df7d3a982" + }, + "vertices": [ + { + "x": -32, + "y": -424 + } + ], + "size": 4 + }, + { + "source": { + "block": "22a61502-50de-409c-8ac3-b9e926cfd986", + "port": "2885a59c-c338-4e79-83bc-771f79ec7d2e" + }, + "target": { + "block": "0d5a778a-f1d8-4052-9af5-0c90cb9e10c5", + "port": "44e5ba90-81ba-4bca-9da0-20cee9c72d70" + }, + "size": 3 + }, + { + "source": { + "block": "0f873fb5-8f10-42c5-98b7-768093efc2fc", + "port": "a9d6830d-5cc7-4f63-a068-35181d2537bc" + }, + "target": { + "block": "0d5a778a-f1d8-4052-9af5-0c90cb9e10c5", + "port": "1f4c1c55-ee75-41d6-8590-b4e650d636cc" + }, + "vertices": [ + { + "x": 968, + "y": -48 + } + ], + "size": 8 + }, + { + "source": { + "block": "6405ca4c-ec02-4197-8cd0-c054b22b910b", + "port": "out" + }, + "target": { + "block": "c4544802-786c-49be-8cb5-3f14670f1605", + "port": "ee31ca02-b981-4474-a62e-85f20302435c" + }, + "size": 4 + }, + { + "source": { + "block": "f598b2a3-ec88-458d-924e-429dbc22658e", + "port": "out" + }, + "target": { + "block": "33a021d2-0b4f-4ab7-acd6-cae5263e81c4", + "port": "ee31ca02-b981-4474-a62e-85f20302435c" + }, + "vertices": [], + "size": 4 + }, + { + "source": { + "block": "b392f3d0-bdd8-4aab-ad10-09acf623e4cd", + "port": "out" + }, + "target": { + "block": "337b8ee6-95f8-40b3-b600-6ba7bba8d132", + "port": "ee31ca02-b981-4474-a62e-85f20302435c" + }, + "vertices": [], + "size": 4 + }, + { + "source": { + "block": "fa27935d-4049-4613-94cc-5cc6e5db30ad", + "port": "out" + }, + "target": { + "block": "a7685f0a-f311-4e73-8d25-22cfc56d5f8a", + "port": "ee31ca02-b981-4474-a62e-85f20302435c" + }, + "vertices": [], + "size": 4 + }, + { + "source": { + "block": "214df71e-70f6-4d38-add6-8cf2e5fc4215", + "port": "out" + }, + "target": { + "block": "c4544802-786c-49be-8cb5-3f14670f1605", + "port": "065ea371-8398-43b3-8341-287c234a3acb" + }, + "vertices": [ + { + "x": -312, + "y": 120 + } + ] + }, + { + "source": { + "block": "214df71e-70f6-4d38-add6-8cf2e5fc4215", + "port": "out" + }, + "target": { + "block": "33a021d2-0b4f-4ab7-acd6-cae5263e81c4", + "port": "065ea371-8398-43b3-8341-287c234a3acb" + }, + "vertices": [ + { + "x": -312, + "y": -16 + } + ] + }, + { + "source": { + "block": "214df71e-70f6-4d38-add6-8cf2e5fc4215", + "port": "out" + }, + "target": { + "block": "337b8ee6-95f8-40b3-b600-6ba7bba8d132", + "port": "065ea371-8398-43b3-8341-287c234a3acb" + }, + "vertices": [ + { + "x": -312, + "y": -160 + } + ] + }, + { + "source": { + "block": "214df71e-70f6-4d38-add6-8cf2e5fc4215", + "port": "out" + }, + "target": { + "block": "a7685f0a-f311-4e73-8d25-22cfc56d5f8a", + "port": "065ea371-8398-43b3-8341-287c234a3acb" + }, + "vertices": [ + { + "x": -312, + "y": -304 + } + ] + }, + { + "source": { + "block": "a7685f0a-f311-4e73-8d25-22cfc56d5f8a", + "port": "f655c5c0-0157-4195-89ac-f92c5b3f8e0b" + }, + "target": { + "block": "955962ba-13ac-443e-bb39-cadbaef49929", + "port": "e2fdbdf3-2829-4da0-be8e-767ac683d0c6" + }, + "size": 4 + }, + { + "source": { + "block": "337b8ee6-95f8-40b3-b600-6ba7bba8d132", + "port": "f655c5c0-0157-4195-89ac-f92c5b3f8e0b" + }, + "target": { + "block": "52e01f27-94d8-4a69-a86c-a2f2a946c535", + "port": "e2fdbdf3-2829-4da0-be8e-767ac683d0c6" + }, + "size": 4 + }, + { + "source": { + "block": "0ce19dbe-6fca-4767-a4f1-1882012cc1bb", + "port": "53acced8-0736-40f7-8db1-7103a21f31c2" + }, + "target": { + "block": "62347e2c-8be3-4b01-bc59-eea2de6e0f63", + "port": "57c25692-5ca1-4121-b89c-9f8df7d3a982" + }, + "vertices": [ + { + "x": -32, + "y": -304 + } + ], + "size": 4 + }, + { + "source": { + "block": "0ce19dbe-6fca-4767-a4f1-1882012cc1bb", + "port": "53acced8-0736-40f7-8db1-7103a21f31c2" + }, + "target": { + "block": "28692093-2605-4ae0-a0e1-329601d64f12", + "port": "57c25692-5ca1-4121-b89c-9f8df7d3a982" + }, + "vertices": [ + { + "x": -32, + "y": -304 + } + ], + "size": 4 + }, + { + "source": { + "block": "c4544802-786c-49be-8cb5-3f14670f1605", + "port": "f655c5c0-0157-4195-89ac-f92c5b3f8e0b" + }, + "target": { + "block": "28692093-2605-4ae0-a0e1-329601d64f12", + "port": "e2fdbdf3-2829-4da0-be8e-767ac683d0c6" + }, + "size": 4 + }, + { + "source": { + "block": "33a021d2-0b4f-4ab7-acd6-cae5263e81c4", + "port": "f655c5c0-0157-4195-89ac-f92c5b3f8e0b" + }, + "target": { + "block": "62347e2c-8be3-4b01-bc59-eea2de6e0f63", + "port": "e2fdbdf3-2829-4da0-be8e-767ac683d0c6" + }, + "size": 4 + }, + { + "source": { + "block": "682ee572-be45-4a46-a26b-1018fddc9d71", + "port": "1cb167a4-9e2a-416b-803e-da7b6151eaa5" + }, + "target": { + "block": "ecf5ac0b-8d85-4d7f-8822-b7d985403b4b", + "port": "in" + } + }, + { + "source": { + "block": "62bb38d3-11c4-4a4d-b863-7063c3059b4f", + "port": "constant-out" + }, + "target": { + "block": "682ee572-be45-4a46-a26b-1018fddc9d71", + "port": "bf12a800-db30-4289-a7c5-8c08438f9a39" + } + } + ] + } + } + }, + "14b29ba80619727d3f275dcfd3c37353983851c9": { + "package": { + "name": "spi-master-div", + "version": "0.1", + "description": "SPI master. Realiza una transacción", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%220%200%20307.63263%20644.03694%22%20width=%22307.633%22%20height=%22644.037%22%3E%3Ctext%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu;text-align:center%22%20x=%22140.627%22%20y=%22129.697%22%20font-weight=%22400%22%20font-size=%22182.929%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20text-anchor=%22middle%22%20fill=%22#00f%22%20stroke-width=%22.448%22%3E%3Ctspan%20x=%22140.627%22%20y=%22129.697%22%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20font-weight=%22500%22%3ESPI%3C/tspan%3E%3C/text%3E%3Cg%20transform=%22matrix(2.99796%200%200%202.99796%20-38.528%20378.181)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(2.99796%200%200%202.99796%20-257.041%20330.038)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Ctext%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu;text-align:center%22%20x=%22156.495%22%20y=%22234.478%22%20font-weight=%22400%22%20font-size=%2280.156%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20text-anchor=%22middle%22%20stroke-width=%22.448%22%3E%3Ctspan%20x=%22156.495%22%20y=%22234.478%22%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20font-weight=%22500%22%3EMaster%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu;text-align:center%22%20x=%22152.361%22%20y=%22345.414%22%20font-weight=%22400%22%20font-size=%2249.505%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20text-anchor=%22middle%22%20stroke-width=%22.277%22%3E%3Ctspan%20x=%22152.361%22%20y=%22345.414%22%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20font-weight=%22500%22%3EMode%200%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu;text-align:center%22%20x=%22143.463%22%20y=%22410.306%22%20font-weight=%22400%22%20font-size=%2249.505%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20text-anchor=%22middle%22%20fill=%22green%22%20stroke-width=%22.277%22%3E%3Ctspan%20x=%22143.463%22%20y=%22410.306%22%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20font-weight=%22500%22%3E2MHZ%3C/tspan%3E%3C/text%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "1fef1090-1b10-45ae-8af9-56817418c2b7", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "pins": [ + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -160, + "y": -600 + } + }, + { + "id": "d4d72900-cc12-412e-8cbd-b464ebb23315", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": -336, + "y": -536 + } + }, + { + "id": "8eabcfcc-4cf2-431c-9d54-a6d3a889d4c5", + "type": "basic.output", + "data": { + "name": "MOSI" + }, + "position": { + "x": 648, + "y": -504 + } + }, + { + "id": "d220fe6a-8d0b-4368-90a4-9e64e21ac4c7", + "type": "basic.output", + "data": { + "name": "SCLK" + }, + "position": { + "x": 1184, + "y": -368 + } + }, + { + "id": "7d0d4266-fa31-4216-804e-b30b2620b5c5", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "sclk" + }, + "position": { + "x": 1008, + "y": -368 + } + }, + { + "id": "4d0b713a-4bdb-4528-85b2-102d42974a75", + "type": "basic.input", + "data": { + "name": "MISO", + "clock": false + }, + "position": { + "x": -336, + "y": -328 + } + }, + { + "id": "bc894699-306b-4449-b252-f228c4022079", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" + }, + "position": { + "x": 256, + "y": -248 + } + }, + { + "id": "bbf5941c-3471-413c-8d56-1757fa934fb5", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "tics-up", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -136, + "y": -216 + } + }, + { + "id": "545d789e-e3c2-48d0-a904-988abf31eebe", + "type": "basic.input", + "data": { + "name": "data", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": 40, + "y": -128 + } + }, + { + "id": "1f25057b-49f1-4e14-941d-06fba1782013", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" + }, + "position": { + "x": 976, + "y": -104 + } + }, + { + "id": "18498ba0-53b5-4b7d-8d14-e2e81be91620", + "type": "basic.output", + "data": { + "name": "SS" + }, + "position": { + "x": 1328, + "y": -80 + } + }, + { + "id": "01e87ec0-1960-494c-93ed-06eb28b46dd4", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "start" + }, + "position": { + "x": 208, + "y": -32 + } + }, + { + "id": "ad333bff-57dd-49f5-90d6-338aabea5d68", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" + }, + "position": { + "x": 560, + "y": -32 + } + }, + { + "id": "97a4f498-757a-4903-a6bf-2e9cd6bb5bcc", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "done" + }, + "position": { + "x": 992, + "y": -24 + } + }, + { + "id": "1beb3633-20e8-4eec-93c1-c691c2a54528", + "type": "basic.output", + "data": { + "name": "data", + "range": "[7:0]", + "size": 8 + }, + "position": { + "x": 856, + "y": 24 + } + }, + { + "id": "334d8697-9a27-4270-b1cd-ca12c872e40c", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "start" + }, + "position": { + "x": 992, + "y": 32 + } + }, + { + "id": "7e30b14d-d1a2-4087-9c46-45ea50c85cbb", + "type": "basic.outputLabel", + "data": { + "blockColor": "darkorange", + "name": "tics-down", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 232, + "y": 80 + } + }, + { + "id": "a2933707-07aa-42ad-9697-4212c8126b83", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "done" + }, + "position": { + "x": 536, + "y": 104 + } + }, + { + "id": "2839de00-dc8e-4f3a-9f27-2bac4dd4e2d0", + "type": "basic.output", + "data": { + "name": "busy" + }, + "position": { + "x": 320, + "y": 224 + } + }, + { + "id": "1bbcdc34-18a7-4a56-b885-176a54376152", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "sclk", + "pins": [ + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true + }, + "position": { + "x": 328, + "y": 296 + } + }, + { + "id": "6786aeb9-6e65-4b75-88b7-b23968851406", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" + }, + "position": { + "x": -40, + "y": 312 + } + }, + { + "id": "a5154572-cfe2-42a0-8ee1-8d1dd1aa704a", + "type": "basic.inputLabel", + "data": { + "blockColor": "navy", + "name": "tics-up", + "pins": [ + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 328, + "y": 352 + } + }, + { + "id": "a960b495-1e56-44aa-89a4-7d3351b574a1", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" + }, + "position": { + "x": 520, + "y": 360 + } + }, + { + "id": "a76635dd-f560-4d9c-be23-3942396b0e37", + "type": "basic.input", + "data": { + "name": "start", + "clock": false + }, + "position": { + "x": -248, + "y": 408 + } + }, + { + "id": "f64b920e-a3fc-46fc-9daa-511d15bc8a00", + "type": "basic.inputLabel", + "data": { + "blockColor": "darkorange", + "name": "tics-down", + "pins": [ + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "darkgreen" + }, + "position": { + "x": 328, + "y": 408 + } + }, + { + "id": "aa10732b-2cb9-4777-ae88-f5876d4c098a", + "type": "basic.output", + "data": { + "name": "done" + }, + "position": { + "x": 840, + "y": 432 + } + }, + { + "id": "ca10d8b8-53d3-4b15-b30a-6d9cedc38494", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "done" + }, + "position": { + "x": 536, + "y": 448 + } + }, + { + "id": "d8659fcc-5c7f-4c96-ab03-ca5e356597a9", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "done", + "pins": [ + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true + }, + "position": { + "x": 280, + "y": 472 + } + }, + { + "id": "2b190469-f173-4820-aefd-8a2c06023048", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "start", + "pins": [ + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true + }, + "position": { + "x": -104, + "y": 496 + } + }, + { + "id": "2c949c25-40a7-4ff0-aaa4-121abdf4e0c4", + "type": "basic.constant", + "data": { + "name": "DIV", + "value": "1", + "local": false + }, + "position": { + "x": 144, + "y": 184 + } + }, + { + "id": "0e958101-0db5-410b-822e-f8848864cc55", + "type": "basic.constant", + "data": { + "name": "", + "value": "1", + "local": true + }, + "position": { + "x": 1144, + "y": -144 + } + }, + { + "id": "a7eccd91-79ef-4d8a-96c6-c1fab7f17c5a", + "type": "b959c256104d1064a5ef7b38632ffb6eed3b396f", + "position": { + "x": 1144, + "y": -40 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "0efdffab-ff7a-45cc-b603-1444ca5f391e", + "type": "53847c98bfef0fe28fbef1f4f65042911f361c44", + "position": { + "x": 408, + "y": -176 + }, + "size": { + "width": 96, + "height": 160 + } + }, + { + "id": "9341edfa-714b-4eee-9da7-a866f223f928", + "type": "3bfe20ce12a1017a3d0c324d97fac7a471e413ad", + "position": { + "x": -136, + "y": -344 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "174d082c-cea8-4122-88f8-413d73605b67", + "type": "89d2342d9664348db3e70e823cacbc8eb24ea3d0", + "position": { + "x": 696, + "y": 8 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "9f40ec6c-65c0-44f7-87d3-b7edc6d68fcf", + "type": "basic.info", + "data": { + "info": "**Temporizacion del SPI**", + "readonly": true + }, + "position": { + "x": -104, + "y": 160 + }, + "size": { + "width": 216, + "height": 40 + } + }, + { + "id": "ce5f56e5-6491-4f9d-834c-bee024d5296b", + "type": "basic.info", + "data": { + "info": "**Registro de** \ndesplazamiento", + "readonly": true + }, + "position": { + "x": 424, + "y": -240 + }, + "size": { + "width": 160, + "height": 48 + } + }, + { + "id": "57639efc-3ac5-4171-81a3-af17cfc6df73", + "type": "basic.info", + "data": { + "info": "Se generan 8 pulsos de \nreloj, a 2MHZ / DIV", + "readonly": true + }, + "position": { + "x": -96, + "y": 200 + }, + "size": { + "width": 296, + "height": 72 + } + }, + { + "id": "09be62df-a1f9-4ecd-b61a-51c7895d3d5d", + "type": "basic.info", + "data": { + "info": "**Dato recibido**", + "readonly": true + }, + "position": { + "x": 704, + "y": -32 + }, + "size": { + "width": 152, + "height": 40 + } + }, + { + "id": "85e17ec1-920e-4b28-9dde-db6064b97003", + "type": "1c7dae7144d376f2ee4896fcc502a29110e2db37", + "position": { + "x": 688, + "y": 432 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "69de4686-7bec-4d48-a70a-cf101b028ba3", + "type": "basic.info", + "data": { + "info": "Activar esclavo \n(a nivel bajo)", + "readonly": true + }, + "position": { + "x": 1000, + "y": 96 + }, + "size": { + "width": 168, + "height": 56 + } + }, + { + "id": "b18e3d5d-af5e-4b7d-bfed-645878c20c67", + "type": "45f630ea95c6847db8df0598c312ef9acfa981a3", + "position": { + "x": 80, + "y": -392 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "42ebe480-ec26-413a-988a-2fc3fa984b43", + "type": "21cfcc19a4ad14c5fb5e8cfebd018ec356fe7542", + "position": { + "x": -136, + "y": -448 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "992410af-f9e7-4dba-abe3-aa76b67320ca", + "type": "basic.info", + "data": { + "info": "Captura del dato que \nllega del esclavo", + "readonly": true + }, + "position": { + "x": 80, + "y": -456 + }, + "size": { + "width": 184, + "height": 48 + } + }, + { + "id": "b634a9bb-a2b7-4e6a-9bcb-9e7c4a01e64d", + "type": "basic.info", + "data": { + "info": "En el modo 0 (CPOL=0, CPHA=0) \nSe captura en flanco de subida", + "readonly": true + }, + "position": { + "x": -176, + "y": -256 + }, + "size": { + "width": 288, + "height": 56 + } + }, + { + "id": "0e52dee9-4f0b-4936-8df7-229fbd3b4288", + "type": "basic.info", + "data": { + "info": "Los datos se sacan por \nMOSI en el flanco de \nbajada", + "readonly": true + }, + "position": { + "x": 168, + "y": 40 + }, + "size": { + "width": 200, + "height": 72 + } + }, + { + "id": "2caa42e2-da91-49b8-adde-fd731947ed91", + "type": "basic.info", + "data": { + "info": "En el tic de arranque \nse carga el registro \ncon los datos a enviar", + "readonly": true + }, + "position": { + "x": 40, + "y": -40 + }, + "size": { + "width": 208, + "height": 64 + } + }, + { + "id": "e1e31e2f-8af0-4a0f-b6b6-5f26dd3a495f", + "type": "basic.info", + "data": { + "info": "## SPI Maestro. Modo 0: CPOL=0, CPHA=0. Velocidad: 2MHZ / DIV\n\nBloque maestro de SPI", + "readonly": true + }, + "position": { + "x": 288, + "y": -584 + }, + "size": { + "width": 928, + "height": 88 + } + }, + { + "id": "e6baf5c4-3e62-472d-a050-5f27e500324a", + "type": "basic.info", + "data": { + "info": "Retrasar la señal done un ciclo \npara sincronizarlo con data", + "readonly": true + }, + "position": { + "x": 704, + "y": 352 + }, + "size": { + "width": 272, + "height": 48 + } + }, + { + "id": "231941d8-7f18-4e77-b601-b5397f14b026", + "type": "basic.info", + "data": { + "info": "En el último pulso se \ncaputra el dato, y se emite \nel tic de done", + "readonly": true + }, + "position": { + "x": 640, + "y": 176 + }, + "size": { + "width": 240, + "height": 72 + } + }, + { + "id": "755fba82-349c-44a5-ad49-f93b4e8f3fcb", + "type": "basic.info", + "data": { + "info": "Sacar la señal de \nreloj maestra", + "readonly": true + }, + "position": { + "x": 1048, + "y": -408 + }, + "size": { + "width": 184, + "height": 56 + } + }, + { + "id": "2679936a-a413-4dad-87d5-157b07445538", + "type": "basic.info", + "data": { + "info": "Generación del Slave-select \npor defecto: Al comenzar (start) se pone a 0 y \nse vuelve a poner a al finalizar (done)", + "readonly": true + }, + "position": { + "x": 968, + "y": -264 + }, + "size": { + "width": 384, + "height": 80 + } + }, + { + "id": "5b7173c5-e840-4a53-bf84-9c40388820a3", + "type": "98fc547b10d03b140df80cdb15d350bf0a307078", + "position": { + "x": 144, + "y": 304 + }, + "size": { + "width": 96, + "height": 160 + } + } + ], + "wires": [ + { + "source": { + "block": "5b7173c5-e840-4a53-bf84-9c40388820a3", + "port": "e20410a6-20e4-4a30-946b-a3b37386f5c6" + }, + "target": { + "block": "1bbcdc34-18a7-4a56-b885-176a54376152", + "port": "inlabel" + } + }, + { + "source": { + "block": "334d8697-9a27-4270-b1cd-ca12c872e40c", + "port": "outlabel" + }, + "target": { + "block": "a7eccd91-79ef-4d8a-96c6-c1fab7f17c5a", + "port": "86eb8c81-17fc-4371-bd21-51f429191f3c" + } + }, + { + "source": { + "block": "5b7173c5-e840-4a53-bf84-9c40388820a3", + "port": "ac85042f-674e-4b46-997e-615f9411c607" + }, + "target": { + "block": "d8659fcc-5c7f-4c96-ab03-ca5e356597a9", + "port": "inlabel" + } + }, + { + "source": { + "block": "97a4f498-757a-4903-a6bf-2e9cd6bb5bcc", + "port": "outlabel" + }, + "target": { + "block": "a7eccd91-79ef-4d8a-96c6-c1fab7f17c5a", + "port": "3ae2d46d-7981-497a-899f-b60bfae0f43e" + } + }, + { + "source": { + "block": "7e30b14d-d1a2-4087-9c46-45ea50c85cbb", + "port": "outlabel" + }, + "target": { + "block": "0efdffab-ff7a-45cc-b603-1444ca5f391e", + "port": "ec65c3cd-0951-4d74-bbfc-c37f87a78e66" + } + }, + { + "source": { + "block": "7d0d4266-fa31-4216-804e-b30b2620b5c5", + "port": "outlabel" + }, + "target": { + "block": "d220fe6a-8d0b-4368-90a4-9e64e21ac4c7", + "port": "in" + } + }, + { + "source": { + "block": "01e87ec0-1960-494c-93ed-06eb28b46dd4", + "port": "outlabel" + }, + "target": { + "block": "0efdffab-ff7a-45cc-b603-1444ca5f391e", + "port": "b916c09a-8848-4500-a1a0-0cc09e8f23c1" + }, + "vertices": [ + { + "x": 336, + "y": -56 + } + ] + }, + { + "source": { + "block": "a2933707-07aa-42ad-9697-4212c8126b83", + "port": "outlabel" + }, + "target": { + "block": "174d082c-cea8-4122-88f8-413d73605b67", + "port": "065ea371-8398-43b3-8341-287c234a3acb" + } + }, + { + "source": { + "block": "a76635dd-f560-4d9c-be23-3942396b0e37", + "port": "out" + }, + "target": { + "block": "2b190469-f173-4820-aefd-8a2c06023048", + "port": "inlabel" + } + }, + { + "source": { + "block": "ca10d8b8-53d3-4b15-b30a-6d9cedc38494", + "port": "outlabel" + }, + "target": { + "block": "85e17ec1-920e-4b28-9dde-db6064b97003", + "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" + } + }, + { + "source": { + "block": "d4d72900-cc12-412e-8cbd-b464ebb23315", + "port": "out" + }, + "target": { + "block": "1fef1090-1b10-45ae-8af9-56817418c2b7", + "port": "inlabel" + } + }, + { + "source": { + "block": "6786aeb9-6e65-4b75-88b7-b23968851406", + "port": "outlabel" + }, + "target": { + "block": "5b7173c5-e840-4a53-bf84-9c40388820a3", + "port": "22e541cb-f126-4123-a8f1-6ad641350de5" + } + }, + { + "source": { + "block": "ad333bff-57dd-49f5-90d6-338aabea5d68", + "port": "outlabel" + }, + "target": { + "block": "174d082c-cea8-4122-88f8-413d73605b67", + "port": "096f61b6-6d5c-4907-9512-e65b25969458" + } + }, + { + "source": { + "block": "a960b495-1e56-44aa-89a4-7d3351b574a1", + "port": "outlabel" + }, + "target": { + "block": "85e17ec1-920e-4b28-9dde-db6064b97003", + "port": "3943e194-090b-4553-9df3-88bc4b17abc2" + } + }, + { + "source": { + "block": "1f25057b-49f1-4e14-941d-06fba1782013", + "port": "outlabel" + }, + "target": { + "block": "a7eccd91-79ef-4d8a-96c6-c1fab7f17c5a", + "port": "9f09a4af-8f7a-45c3-af7b-293a244e76d9" + } + }, + { + "source": { + "block": "5b7173c5-e840-4a53-bf84-9c40388820a3", + "port": "30cb74be-ff63-4469-a9b7-9302fbdf1a2c" + }, + "target": { + "block": "a5154572-cfe2-42a0-8ee1-8d1dd1aa704a", + "port": "inlabel" + } + }, + { + "source": { + "block": "5b7173c5-e840-4a53-bf84-9c40388820a3", + "port": "616cab60-1659-4654-ba10-9f6955c07443" + }, + "target": { + "block": "f64b920e-a3fc-46fc-9daa-511d15bc8a00", + "port": "inlabel" + } + }, + { + "source": { + "block": "bbf5941c-3471-413c-8d56-1757fa934fb5", + "port": "outlabel" + }, + "target": { + "block": "b18e3d5d-af5e-4b7d-bfed-645878c20c67", + "port": "4e3677f4-ae08-4a6f-80a7-ec71cd0c24b7" + } + }, + { + "source": { + "block": "bc894699-306b-4449-b252-f228c4022079", + "port": "outlabel" + }, + "target": { + "block": "0efdffab-ff7a-45cc-b603-1444ca5f391e", + "port": "a265c13d-af7a-437b-97ae-424872381a93" + } + }, + { + "source": { + "block": "a7eccd91-79ef-4d8a-96c6-c1fab7f17c5a", + "port": "1cb167a4-9e2a-416b-803e-da7b6151eaa5" + }, + "target": { + "block": "18498ba0-53b5-4b7d-8d14-e2e81be91620", + "port": "in" + } + }, + { + "source": { + "block": "0e958101-0db5-410b-822e-f8848864cc55", + "port": "constant-out" + }, + "target": { + "block": "a7eccd91-79ef-4d8a-96c6-c1fab7f17c5a", + "port": "bf12a800-db30-4289-a7c5-8c08438f9a39" + } + }, + { + "source": { + "block": "0efdffab-ff7a-45cc-b603-1444ca5f391e", + "port": "21039c06-c932-498c-968d-879a68d66795" + }, + "target": { + "block": "8eabcfcc-4cf2-431c-9d54-a6d3a889d4c5", + "port": "in" + } + }, + { + "source": { + "block": "4d0b713a-4bdb-4528-85b2-102d42974a75", + "port": "out" + }, + "target": { + "block": "9341edfa-714b-4eee-9da7-a866f223f928", + "port": "f25e9a9e-cd4c-4025-8745-0691a99a3fb8" + } + }, + { + "source": { + "block": "0efdffab-ff7a-45cc-b603-1444ca5f391e", + "port": "90654ccb-afdd-41ec-aaf8-b9be5b51253b" + }, + "target": { + "block": "174d082c-cea8-4122-88f8-413d73605b67", + "port": "42b35cc2-aa64-4e66-be42-169958246799" + }, + "vertices": [ + { + "x": 536, + "y": 56 + } + ], + "size": 8 + }, + { + "source": { + "block": "174d082c-cea8-4122-88f8-413d73605b67", + "port": "d3e4f800-c611-4f65-88e7-8b7d2def0021" + }, + "target": { + "block": "1beb3633-20e8-4eec-93c1-c691c2a54528", + "port": "in" + }, + "size": 8 + }, + { + "source": { + "block": "545d789e-e3c2-48d0-a904-988abf31eebe", + "port": "out" + }, + "target": { + "block": "0efdffab-ff7a-45cc-b603-1444ca5f391e", + "port": "05394100-6c4a-4bd5-b479-739c16ede30c" + }, + "size": 8 + }, + { + "source": { + "block": "85e17ec1-920e-4b28-9dde-db6064b97003", + "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" + }, + "target": { + "block": "aa10732b-2cb9-4777-ae88-f5876d4c098a", + "port": "in" + } + }, + { + "source": { + "block": "5b7173c5-e840-4a53-bf84-9c40388820a3", + "port": "74de23a9-e089-4f94-b5ca-5019d2c4b6fe" + }, + "target": { + "block": "2839de00-dc8e-4f3a-9f27-2bac4dd4e2d0", + "port": "in" + } + }, + { + "source": { + "block": "d4d72900-cc12-412e-8cbd-b464ebb23315", + "port": "out" + }, + "target": { + "block": "9341edfa-714b-4eee-9da7-a866f223f928", + "port": "39873fa0-c3f5-47ef-b54b-b6b344416b25" + } + }, + { + "source": { + "block": "9341edfa-714b-4eee-9da7-a866f223f928", + "port": "7bfc506f-7a54-40a1-8d33-e78a5409b972" + }, + "target": { + "block": "b18e3d5d-af5e-4b7d-bfed-645878c20c67", + "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" + } + }, + { + "source": { + "block": "42ebe480-ec26-413a-988a-2fc3fa984b43", + "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" + }, + "target": { + "block": "b18e3d5d-af5e-4b7d-bfed-645878c20c67", + "port": "755eb5f6-70bb-4449-adb7-196ead041df1" + }, + "vertices": [ + { + "x": -8, + "y": -400 + } + ] + }, + { + "source": { + "block": "b18e3d5d-af5e-4b7d-bfed-645878c20c67", + "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" + }, + "target": { + "block": "0efdffab-ff7a-45cc-b603-1444ca5f391e", + "port": "02007399-7499-4b76-ad4f-91094344d055" + }, + "vertices": [ + { + "x": 208, + "y": -240 + } + ] + }, + { + "source": { + "block": "d4d72900-cc12-412e-8cbd-b464ebb23315", + "port": "out" + }, + "target": { + "block": "b18e3d5d-af5e-4b7d-bfed-645878c20c67", + "port": "3943e194-090b-4553-9df3-88bc4b17abc2" + } + }, + { + "source": { + "block": "2c949c25-40a7-4ff0-aaa4-121abdf4e0c4", + "port": "constant-out" + }, + "target": { + "block": "5b7173c5-e840-4a53-bf84-9c40388820a3", + "port": "2fe3339f-52d9-4dfc-8efb-45c846633fee" + } + }, + { + "source": { + "block": "a76635dd-f560-4d9c-be23-3942396b0e37", + "port": "out" + }, + "target": { + "block": "5b7173c5-e840-4a53-bf84-9c40388820a3", + "port": "47d3ce22-5d03-48dc-ad7c-819289fc8527" + } + } + ] + } + } + }, + "53847c98bfef0fe28fbef1f4f65042911f361c44": { + "package": { + "name": "Registro-desplazamiento", + "version": "0.1", + "description": "Registro de desplazamiento (izquierda) de 8 bits", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22600.51%22%20height=%22391.803%22%20viewBox=%220%200%20158.8849%20103.66459%22%3E%3Cdefs%3E%3Cmarker%20orient=%22auto%22%20id=%22a%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3C/defs%3E%3Cpath%20d=%22M63.01%2076.938L76.618%2093.61%2069.7%2073.076%22%20fill=%22#ccc%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M55.626%2068.874L46.394%2049.26l-6.45%203.724-2.07-3.583%2020.905-12.07%202.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.773L54.52%2081.807s-2.848-3.696-2.16-6.796c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22translate(-93.18%206.94)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M103.454%2076.938L117.06%2093.61l-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M96.07%2068.874L86.836%2049.26l-6.45%203.724-2.07-3.583%2020.905-12.07%202.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.773L94.964%2081.807s-2.848-3.696-2.16-6.796c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M143.141%2076.938l13.607%2016.672-6.917-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M135.757%2068.874l-9.232-19.613-6.45%203.724-2.07-3.583%2020.905-12.07%202.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.773l-23.688%2013.638s-2.848-3.696-2.16-6.796c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cpath%20d=%22M184.452%2090.227H90.37%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%225.292%22%20marker-end=%22url(#a)%22%20transform=%22translate(-48.145%20-76.529)%22/%3E%3Cg%20transform=%22translate(-93.518%20-26.741)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "a265c13d-af7a-437b-97ae-424872381a93", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 224, + "y": 648 + } + }, + { + "id": "21039c06-c932-498c-968d-879a68d66795", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 952, + "y": 680 + } + }, + { + "id": "02007399-7499-4b76-ad4f-91094344d055", + "type": "basic.input", + "data": { + "name": "sin", + "clock": false + }, + "position": { + "x": 224, + "y": 696 + } + }, + { + "id": "05394100-6c4a-4bd5-b479-739c16ede30c", + "type": "basic.input", + "data": { + "name": "d", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": 224, + "y": 744 + } + }, + { + "id": "90654ccb-afdd-41ec-aaf8-b9be5b51253b", + "type": "basic.output", + "data": { + "name": "q", + "range": "[7:0]", + "size": 8 + }, + "position": { + "x": 968, + "y": 800 + } + }, + { + "id": "b916c09a-8848-4500-a1a0-0cc09e8f23c1", + "type": "basic.input", + "data": { + "name": "load", + "clock": false + }, + "position": { + "x": 224, + "y": 800 + } + }, + { + "id": "ec65c3cd-0951-4d74-bbfc-c37f87a78e66", + "type": "basic.input", + "data": { + "name": "shift", + "clock": false + }, + "position": { + "x": 224, + "y": 856 + } + }, + { + "id": "4fef4dd0-ce33-42df-96b7-6c92c543f8eb", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 624, + "y": 544 + } + }, + { + "id": "db9bff83-fad6-439b-8d86-89e21ad7870d", + "type": "basic.code", + "data": { + "code": "//-- Numero de bits el registros de desplazamiento\nlocalparam N = 8;\n\nreg [N-1:0] q = INI;\n\nalways @(posedge clk)\n if (load)\n q <= d;\n else if (shift)\n q <= {q[N-2:0], si};\n \n//-- Sacar el bit de mayor peso por serial-out \nassign so = q[N-1];", + "params": [ + { + "name": "INI" + } + ], + "ports": { + "in": [ + { + "name": "clk" + }, + { + "name": "si" + }, + { + "name": "d", + "range": "[7:0]", + "size": 8 + }, + { + "name": "load" + }, + { + "name": "shift" + } + ], + "out": [ + { + "name": "so" + }, + { + "name": "q", + "range": "[7:0]", + "size": 8 + } + ] + } + }, + "position": { + "x": 440, + "y": 656 + }, + "size": { + "width": 464, + "height": 232 + } + } + ], + "wires": [ + { + "source": { + "block": "4fef4dd0-ce33-42df-96b7-6c92c543f8eb", + "port": "constant-out" + }, + "target": { + "block": "db9bff83-fad6-439b-8d86-89e21ad7870d", + "port": "INI" + } + }, + { + "source": { + "block": "db9bff83-fad6-439b-8d86-89e21ad7870d", + "port": "q" + }, + "target": { + "block": "90654ccb-afdd-41ec-aaf8-b9be5b51253b", + "port": "in" + }, + "size": 8 + }, + { + "source": { + "block": "a265c13d-af7a-437b-97ae-424872381a93", + "port": "out" + }, + "target": { + "block": "db9bff83-fad6-439b-8d86-89e21ad7870d", + "port": "clk" + } + }, + { + "source": { + "block": "02007399-7499-4b76-ad4f-91094344d055", + "port": "out" + }, + "target": { + "block": "db9bff83-fad6-439b-8d86-89e21ad7870d", + "port": "si" + } + }, + { + "source": { + "block": "05394100-6c4a-4bd5-b479-739c16ede30c", + "port": "out" + }, + "target": { + "block": "db9bff83-fad6-439b-8d86-89e21ad7870d", + "port": "d" + }, + "size": 8 + }, + { + "source": { + "block": "ec65c3cd-0951-4d74-bbfc-c37f87a78e66", + "port": "out" + }, + "target": { + "block": "db9bff83-fad6-439b-8d86-89e21ad7870d", + "port": "shift" + } + }, + { + "source": { + "block": "b916c09a-8848-4500-a1a0-0cc09e8f23c1", + "port": "out" + }, + "target": { + "block": "db9bff83-fad6-439b-8d86-89e21ad7870d", + "port": "load" + } + }, + { + "source": { + "block": "db9bff83-fad6-439b-8d86-89e21ad7870d", + "port": "so" + }, + "target": { + "block": "21039c06-c932-498c-968d-879a68d66795", + "port": "in" + } + } + ] + } + } + }, + "3bfe20ce12a1017a3d0c324d97fac7a471e413ad": { + "package": { + "name": "Sync", + "version": "0.1", + "description": "Sincronizar las entradas de datos con el reloj del sistema", + "author": "Juan Gonzalez-González (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22214.451%22%20height=%22214.451%22%20viewBox=%220%200%20214.45128%20214.45128%22%3E%3Ccircle%20cx=%22107.226%22%20cy=%22107.226%22%20r=%22107.226%22%20fill=%22#4d4d4d%22/%3E%3Cpath%20d=%22M107.363%2038.297c14.504.03%2029.212%204.552%2040.7%2013.5%208.077%209.303-7.312%2019.268-14.243%2010.195-20.865-12.624-50.29-8.18-65.988%2010.695-8.352%209.367-13.058%2021.866-13.003%2034.413h13.789c-7.353%2011.037-14.707%2022.066-22.06%2033.095l-22.062-33.087h13.788c-.439-29.962%2021.108-58.462%2050.032-66.221%206.212-1.701%2012.607-2.654%2019.048-2.587zm60.53%2035.85l22.063%2033.092h-13.789c.39%2030.318-21.706%2059.137-51.14%2066.487-19.862%205.547-42.32%201.5-58.645-11.19-8.329-9.459%207.274-19.328%2014.27-10.173%2020.214%2012.265%2048.524%208.375%2064.48-9.142%209.242-9.522%2014.56-22.711%2014.489-35.982h-13.789l22.062-33.092z%22%20fill=%22#fbfbc9%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "39873fa0-c3f5-47ef-b54b-b6b344416b25", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 104, + "y": 160 + } + }, + { + "id": "7bfc506f-7a54-40a1-8d33-e78a5409b972", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 688, + "y": 208 + } + }, + { + "id": "f25e9a9e-cd4c-4025-8745-0691a99a3fb8", + "type": "basic.input", + "data": { + "name": "pin", + "clock": false + }, + "position": { + "x": 104, + "y": 264 + } + }, + { + "id": "bee1f1d7-a4a5-4da3-86a6-d1a96c181f28", + "type": "basic.code", + "data": { + "code": "// Sincronizacion. Evitar \n// problema de la metaestabilidad\n\nreg d1;\nreg d2;\n\nalways @(posedge clk)\n d1 <= i;\n \nalways @(posedge clk)\n d2 <= d1;\n \nassign o = d2;", + "params": [], + "ports": { + "in": [ + { + "name": "clk" + }, + { + "name": "i" + } + ], + "out": [ + { + "name": "o" + } + ] + } + }, + "position": { + "x": 304, + "y": 136 + }, + "size": { + "width": 320, + "height": 208 + } + } + ], + "wires": [ + { + "source": { + "block": "f25e9a9e-cd4c-4025-8745-0691a99a3fb8", + "port": "out" + }, + "target": { + "block": "bee1f1d7-a4a5-4da3-86a6-d1a96c181f28", + "port": "i" + } + }, + { + "source": { + "block": "39873fa0-c3f5-47ef-b54b-b6b344416b25", + "port": "out" + }, + "target": { + "block": "bee1f1d7-a4a5-4da3-86a6-d1a96c181f28", + "port": "clk" + } + }, + { + "source": { + "block": "bee1f1d7-a4a5-4da3-86a6-d1a96c181f28", + "port": "o" + }, + "target": { + "block": "7bfc506f-7a54-40a1-8d33-e78a5409b972", + "port": "in" + } + } + ] + } + } + }, + "45f630ea95c6847db8df0598c312ef9acfa981a3": { + "package": { + "name": "Biestable-D", + "version": "0.1", + "description": "Biestable de datos (Tipo D). Cuando se recibe un tic por load se captura el dato", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22216.379%22%20height=%22279.911%22%20viewBox=%220%200%2057.25032%2074.059853%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M41.506%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M34.122%2032.088L24.89%2012.475l-6.45%203.724-2.07-3.583L37.276.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L33.017%2045.02s-2.849-3.695-2.16-6.795c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-22.665)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "3943e194-090b-4553-9df3-88bc4b17abc2", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 176, + "y": 64 + } + }, + { + "id": "755eb5f6-70bb-4449-adb7-196ead041df1", + "type": "basic.input", + "data": { + "name": "rst", + "clock": false + }, + "position": { + "x": 168, + "y": 144 + } + }, + { + "id": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 680, + "y": 200 + } + }, + { + "id": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", + "type": "basic.input", + "data": { + "name": "d", + "clock": false + }, + "position": { + "x": 168, + "y": 216 + } + }, + { + "id": "4e3677f4-ae08-4a6f-80a7-ec71cd0c24b7", + "type": "basic.input", + "data": { + "name": "load", + "clock": false + }, + "position": { + "x": 168, + "y": 296 + } + }, + { + "id": "65194b18-5d2a-41b2-bd86-01be99978ad6", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 456, + "y": 64 + } + }, + { + "id": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "type": "basic.code", + "data": { + "code": "reg q = INI;\nalways @(posedge clk)\n if (rst)\n q <= INI;\n else if (load)\n q <= d;", + "params": [ + { + "name": "INI" + } + ], + "ports": { + "in": [ + { + "name": "clk" + }, + { + "name": "rst" + }, + { + "name": "d" + }, + { + "name": "load" + } + ], + "out": [ + { + "name": "q" + } + ] + } + }, + "position": { + "x": 384, + "y": 168 + }, + "size": { + "width": 232, + "height": 128 + } + } + ], + "wires": [ + { + "source": { + "block": "3943e194-090b-4553-9df3-88bc4b17abc2", + "port": "out" + }, + "target": { + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "clk" + } + }, + { + "source": { + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "q" + }, + "target": { + "block": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", + "port": "in" + } + }, + { + "source": { + "block": "65194b18-5d2a-41b2-bd86-01be99978ad6", + "port": "constant-out" + }, + "target": { + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "INI" + } + }, + { + "source": { + "block": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", + "port": "out" + }, + "target": { + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "d" + } + }, + { + "source": { + "block": "4e3677f4-ae08-4a6f-80a7-ec71cd0c24b7", + "port": "out" + }, + "target": { + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "load" + } + }, + { + "source": { + "block": "755eb5f6-70bb-4449-adb7-196ead041df1", + "port": "out" + }, + "target": { + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "rst" + }, + "vertices": [ + { + "x": 304, + "y": 192 + } + ] + } + ] + } + } + }, + "98fc547b10d03b140df80cdb15d350bf0a307078": { + "package": { + "name": "SPI-heart-2Mhz-div", + "version": "0.1", + "description": "Señal de reloj de 8 pulsos, a 2MHZ / DIV (con divisor) ", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22227.691%22%20height=%22415.4%22%20viewBox=%220%200%2060.243259%20109.90791%22%3E%3Cpath%20d=%22M30.137%2050.683c-.726-1.25-1.853-2.474-3.987-4.332-1.156-1.006-1.859-1.565-5.863-4.658-3.138-2.425-4.704-3.77-6.519-5.602-1.813-1.83-2.879-3.289-3.793-5.19a15.321%2015.321%200%200%201-1.236-3.6c-.316-1.545-.36-2.068-.358-4.342.002-2.984.1-3.48%201.08-5.47.729-1.479%201.282-2.257%202.433-3.427%201.119-1.135%201.845-1.65%203.415-2.427%201.744-.863%203.006-1.09%205.605-1.005%202.02.065%202.759.266%204.36%201.187%202.52%201.45%204.476%203.778%205.017%205.973.088.358.18.652.203.652.023%200%20.227-.42.453-.932.77-1.744%201.485-2.809%202.62-3.903%203.477-3.353%208.96-3.959%2013.392-1.482%201.81%201.012%203.283%202.484%204.424%204.424.898%201.527%201.358%203.555%201.436%206.34.114%204.035-.624%206.832-2.589%209.812-.78%201.182-1.356%201.899-2.438%203.028-1.744%201.822-3.318%203.162-7.033%205.987-2.344%201.783-3.733%202.93-5.744%204.742-1.612%201.451-4.109%203.979-4.35%204.401-.104.185-.2.336-.21.336-.012%200-.154-.23-.318-.512z%22%20fill=%22red%22/%3E%3Ctext%20y=%2241.178%22%20x=%2261.032%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2215.216%22%20letter-spacing=%220%22%20word-spacing=%220%22%20transform=%22matrix(.99853%200%200%201.00147%20-42.66%20-34.776)%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.282%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2241.178%22%20x=%2261.032%22%20font-weight=%22700%22%20font-size=%228.695%22%3E2MHZ%3C/tspan%3E%3C/text%3E%3Cg%20transform=%22matrix(.79321%200%200%20.79321%20-31.345%2015.565)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(.79321%200%200%20.79321%20-68.009%2025.204)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Ctext%20y=%2265.333%22%20x=%2262.261%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2222.577%22%20letter-spacing=%220%22%20word-spacing=%220%22%20transform=%22matrix(.99853%200%200%201.00147%20-42.66%20-34.776)%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.419%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2265.333%22%20x=%2262.261%22%20font-weight=%22700%22%20font-size=%2212.901%22%3E#8%3C/tspan%3E%3C/text%3E%3Cg%20transform=%22matrix(.79321%200%200%20.79321%20-31.345%2039.567)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(.79321%200%200%20.79321%20-31.345%20-8.058)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "22e541cb-f126-4123-a8f1-6ad641350de5", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 208, + "y": -184 + } + }, + { + "id": "139a248b-6b06-4983-b04e-17be2f1709d7", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "pins": [ + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true + }, + "position": { + "x": 368, + "y": -184 + } + }, + { + "id": "7c308513-a8fe-4373-a407-ad4501e31734", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 296, + "y": -40 + } + }, + { + "id": "74de23a9-e089-4f94-b5ca-5019d2c4b6fe", + "type": "basic.output", + "data": { + "name": "busy" + }, + "position": { + "x": 640, + "y": -24 + } + }, + { + "id": "e20410a6-20e4-4a30-946b-a3b37386f5c6", + "type": "basic.output", + "data": { + "name": "s" + }, + "position": { + "x": 1024, + "y": 64 + } + }, + { + "id": "e8697405-e059-4e16-912f-1010b326dcbd", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 576, + "y": 88 + } + }, + { + "id": "ce94bdda-5e9c-4f97-9edf-93ffea6b5c18", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 144, + "y": 136 + } + }, + { + "id": "9114ebd7-00a3-4b23-9ede-73bf361bbb51", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -72, + "y": 144 + } + }, + { + "id": "30cb74be-ff63-4469-a9b7-9302fbdf1a2c", + "type": "basic.output", + "data": { + "name": "up" + }, + "position": { + "x": 1384, + "y": 152 + } + }, + { + "id": "8294a542-d71f-4bee-bac3-70f44381dc3a", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 1080, + "y": 184 + } + }, + { + "id": "cfa1ffdd-1a3e-433a-b0dc-8acf00d4f777", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 568, + "y": 192 + } + }, + { + "id": "47d3ce22-5d03-48dc-ad7c-819289fc8527", + "type": "basic.input", + "data": { + "name": "start", + "clock": false + }, + "position": { + "x": -64, + "y": 264 + } + }, + { + "id": "616cab60-1659-4654-ba10-9f6955c07443", + "type": "basic.output", + "data": { + "name": "down" + }, + "position": { + "x": 1400, + "y": 288 + } + }, + { + "id": "d4eba907-34c6-4c9c-8081-fcac70d1fa47", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 528, + "y": 320 + } + }, + { + "id": "ac85042f-674e-4b46-997e-615f9411c607", + "type": "basic.output", + "data": { + "name": "done" + }, + "position": { + "x": 880, + "y": 464 + } + }, + { + "id": "67f03a81-2566-41df-bc1a-893c3497e997", + "type": "basic.constant", + "data": { + "name": "Ciclos", + "value": "16", + "local": true + }, + "position": { + "x": 352, + "y": 120 + } + }, + { + "id": "2fe3339f-52d9-4dfc-8efb-45c846633fee", + "type": "basic.constant", + "data": { + "name": "DIV", + "value": "1", + "local": false + }, + "position": { + "x": 696, + "y": 152 + } + }, + { + "id": "707fc16e-0590-4624-bfda-c90266ed1045", + "type": "a20433be5bd652b5ca9dc0339207d2f694145338", + "position": { + "x": 896, + "y": 248 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "dcfb9c74-aff9-4cc1-a330-c68f91abbe3b", + "type": "basic.info", + "data": { + "info": "Con cada flanco se cambia \nla salida del reloj", + "readonly": true + }, + "position": { + "x": 992, + "y": 16 + }, + "size": { + "width": 216, + "height": 48 + } + }, + { + "id": "80ba8833-af94-493f-ad76-384e7084d6af", + "type": "basic.info", + "data": { + "info": "Generador de la \nonda cuadrada", + "readonly": true + }, + "position": { + "x": 888, + "y": 312 + }, + "size": { + "width": 152, + "height": 48 + } + }, + { + "id": "032131b8-c668-408a-9a31-0cd53d419b1b", + "type": "basic.info", + "data": { + "info": "Máquina que genera una señal de \nreloj de 2MHZ / DIV . Solo se emiten \n8 pulsos con cada activación\n\n| DIV | Frecuencia |\n|-----|-------------|\n| 1 | 2MHz |\n| 2 | 1MHz |\n| 4 | 500Khz |\n| 8 | 250Khz |\n| 16 | 125Khz |\n", + "readonly": true + }, + "position": { + "x": 792, + "y": -184 + }, + "size": { + "width": 344, + "height": 200 + } + }, + { + "id": "267ce64e-04a7-4033-bbf7-9026b5ee356b", + "type": "5acad5103b0eee0aa75565f31b355c02f09eb992", + "position": { + "x": 1216, + "y": 232 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "ee9b3343-32c5-48e3-9b55-6183fb457efc", + "type": "basic.info", + "data": { + "info": "Tic de flanco \nde subida", + "readonly": true + }, + "position": { + "x": 1400, + "y": 88 + }, + "size": { + "width": 128, + "height": 56 + } + }, + { + "id": "e16602df-0522-48f5-b301-9f731dfad506", + "type": "basic.info", + "data": { + "info": "Tic de flanco \nde bajada", + "readonly": true + }, + "position": { + "x": 1456, + "y": 224 + }, + "size": { + "width": 128, + "height": 64 + } + }, + { + "id": "7f9fd38d-5ac7-4f47-89ef-b8b38451e31e", + "type": "27b16073aeab8b2e5248b0fca9ed9876db3781b8", + "position": { + "x": 352, + "y": 216 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "7f21e87a-560b-4872-9b95-64a433e5e8f6", + "type": "b05aa7b2c554434ef1369365bd86e85e5f585e4b", + "position": { + "x": 696, + "y": 248 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "54ce920b-ce01-4389-abc4-952ce69c7adf", + "type": "1c7dae7144d376f2ee4896fcc502a29110e2db37", + "position": { + "x": 664, + "y": 464 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "9c811b56-6891-46c7-9ae3-befd103c3e22", + "type": "basic.info", + "data": { + "info": "Retrasar done un periodo porque \ncoincide con down", + "readonly": true + }, + "position": { + "x": 848, + "y": 424 + }, + "size": { + "width": 256, + "height": 48 + } + }, + { + "id": "ac2acf43-6718-4b02-bc5e-95d4aa99d213", + "type": "1c7dae7144d376f2ee4896fcc502a29110e2db37", + "position": { + "x": 128, + "y": 248 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "fa505a81-8330-41e2-9b7f-a26f6bfa43ce", + "type": "basic.info", + "data": { + "info": "Metemos un ciclo de \nretraso para que arranque con \nun ciclo más de retraso con \nrespecto a la señal ss", + "readonly": true + }, + "position": { + "x": 80, + "y": 320 + }, + "size": { + "width": 248, + "height": 96 + } + }, + { + "id": "3192a741-a6bd-47b4-abd3-dc6294a3f645", + "type": "1c7dae7144d376f2ee4896fcc502a29110e2db37", + "position": { + "x": 504, + "y": -24 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "682227c1-8e2b-41a4-a8e8-3686e4b18e23", + "type": "basic.info", + "data": { + "info": "Retrasar busy para que cuadre \ncon done", + "readonly": true + }, + "position": { + "x": 432, + "y": -88 + }, + "size": { + "width": 256, + "height": 48 + } + } + ], + "wires": [ + { + "source": { + "block": "22e541cb-f126-4123-a8f1-6ad641350de5", + "port": "out" + }, + "target": { + "block": "139a248b-6b06-4983-b04e-17be2f1709d7", + "port": "inlabel" + } + }, + { + "source": { + "block": "8294a542-d71f-4bee-bac3-70f44381dc3a", + "port": "outlabel" + }, + "target": { + "block": "267ce64e-04a7-4033-bbf7-9026b5ee356b", + "port": "2708468d-1088-4570-be63-fb0d4799a941" + } + }, + { + "source": { + "block": "ce94bdda-5e9c-4f97-9edf-93ffea6b5c18", + "port": "outlabel" + }, + "target": { + "block": "7f9fd38d-5ac7-4f47-89ef-b8b38451e31e", + "port": "cb426e3d-ace3-4a57-a74b-155caed0c14c" + } + }, + { + "source": { + "block": "cfa1ffdd-1a3e-433a-b0dc-8acf00d4f777", + "port": "outlabel" + }, + "target": { + "block": "7f21e87a-560b-4872-9b95-64a433e5e8f6", + "port": "7697c4d1-f5f8-4a1d-88af-e367fe54e4b6" + } + }, + { + "source": { + "block": "e8697405-e059-4e16-912f-1010b326dcbd", + "port": "outlabel" + }, + "target": { + "block": "707fc16e-0590-4624-bfda-c90266ed1045", + "port": "3943e194-090b-4553-9df3-88bc4b17abc2" + } + }, + { + "source": { + "block": "d4eba907-34c6-4c9c-8081-fcac70d1fa47", + "port": "outlabel" + }, + "target": { + "block": "54ce920b-ce01-4389-abc4-952ce69c7adf", + "port": "3943e194-090b-4553-9df3-88bc4b17abc2" + } + }, + { + "source": { + "block": "9114ebd7-00a3-4b23-9ede-73bf361bbb51", + "port": "outlabel" + }, + "target": { + "block": "ac2acf43-6718-4b02-bc5e-95d4aa99d213", + "port": "3943e194-090b-4553-9df3-88bc4b17abc2" + }, + "vertices": [ + { + "x": 56, + "y": 208 + } + ] + }, + { + "source": { + "block": "7c308513-a8fe-4373-a407-ad4501e31734", + "port": "outlabel" + }, + "target": { + "block": "3192a741-a6bd-47b4-abd3-dc6294a3f645", + "port": "3943e194-090b-4553-9df3-88bc4b17abc2" + } + }, + { + "source": { + "block": "707fc16e-0590-4624-bfda-c90266ed1045", + "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" + }, + "target": { + "block": "e20410a6-20e4-4a30-946b-a3b37386f5c6", + "port": "in" + } + }, + { + "source": { + "block": "707fc16e-0590-4624-bfda-c90266ed1045", + "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" + }, + "target": { + "block": "267ce64e-04a7-4033-bbf7-9026b5ee356b", + "port": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff" + }, + "vertices": [ + { + "x": 1040, + "y": 280 + } + ] + }, + { + "source": { + "block": "267ce64e-04a7-4033-bbf7-9026b5ee356b", + "port": "1c25e08e-e664-4fab-9b30-cedc1f8a3739" + }, + "target": { + "block": "30cb74be-ff63-4469-a9b7-9302fbdf1a2c", + "port": "in" + } + }, + { + "source": { + "block": "267ce64e-04a7-4033-bbf7-9026b5ee356b", + "port": "3ada5999-55ba-4c4e-9877-a3e9ed82308c" + }, + "target": { + "block": "616cab60-1659-4654-ba10-9f6955c07443", + "port": "in" + } + }, + { + "source": { + "block": "67f03a81-2566-41df-bc1a-893c3497e997", + "port": "constant-out" + }, + "target": { + "block": "7f9fd38d-5ac7-4f47-89ef-b8b38451e31e", + "port": "d0005413-fea9-4b0b-8a18-9b15d4977bc1" + }, + "vertices": [] + }, + { + "source": { + "block": "2fe3339f-52d9-4dfc-8efb-45c846633fee", + "port": "constant-out" + }, + "target": { + "block": "7f21e87a-560b-4872-9b95-64a433e5e8f6", + "port": "a0ae7ae6-94bd-4958-8871-4a00574de708" + }, + "vertices": [] + }, + { + "source": { + "block": "7f9fd38d-5ac7-4f47-89ef-b8b38451e31e", + "port": "73948305-b05b-418f-88af-11328f400cdc" + }, + "target": { + "block": "7f21e87a-560b-4872-9b95-64a433e5e8f6", + "port": "4cd7cf1f-7f82-4404-bcd9-bac9500569e0" + } + }, + { + "source": { + "block": "7f21e87a-560b-4872-9b95-64a433e5e8f6", + "port": "8c6fda76-1ba0-4ef8-a53d-7bc8a9db4454" + }, + "target": { + "block": "7f9fd38d-5ac7-4f47-89ef-b8b38451e31e", + "port": "ad4d0fc2-118e-496e-b292-c435bad20e7d" + }, + "vertices": [ + { + "x": 816, + "y": 400 + } + ] + }, + { + "source": { + "block": "7f21e87a-560b-4872-9b95-64a433e5e8f6", + "port": "8c6fda76-1ba0-4ef8-a53d-7bc8a9db4454" + }, + "target": { + "block": "707fc16e-0590-4624-bfda-c90266ed1045", + "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" + } + }, + { + "source": { + "block": "7f9fd38d-5ac7-4f47-89ef-b8b38451e31e", + "port": "49221b19-132a-4e3f-9a1f-97bcd463391b" + }, + "target": { + "block": "54ce920b-ce01-4389-abc4-952ce69c7adf", + "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" + }, + "vertices": [ + { + "x": 504, + "y": 376 + } + ] + }, + { + "source": { + "block": "54ce920b-ce01-4389-abc4-952ce69c7adf", + "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" + }, + "target": { + "block": "ac85042f-674e-4b46-997e-615f9411c607", + "port": "in" + } + }, + { + "source": { + "block": "47d3ce22-5d03-48dc-ad7c-819289fc8527", + "port": "out" + }, + "target": { + "block": "ac2acf43-6718-4b02-bc5e-95d4aa99d213", + "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" + } + }, + { + "source": { + "block": "ac2acf43-6718-4b02-bc5e-95d4aa99d213", + "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" + }, + "target": { + "block": "7f9fd38d-5ac7-4f47-89ef-b8b38451e31e", + "port": "8f591b24-0427-409f-aa6e-ca1861556cf5" + } + }, + { + "source": { + "block": "7f9fd38d-5ac7-4f47-89ef-b8b38451e31e", + "port": "6a11eaf9-4bd5-405e-81f8-44418365326b" + }, + "target": { + "block": "3192a741-a6bd-47b4-abd3-dc6294a3f645", + "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" + } + }, + { + "source": { + "block": "3192a741-a6bd-47b4-abd3-dc6294a3f645", + "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" + }, + "target": { + "block": "74de23a9-e089-4f94-b5ca-5019d2c4b6fe", + "port": "in" + } + } + ] + } + } + }, + "a20433be5bd652b5ca9dc0339207d2f694145338": { + "package": { + "name": "Biestable-T", + "version": "0.1", + "description": "Biestable de cambio (Tipo T). Cuando se recibe un tic cambia de estado", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22352.621%22%20height=%22328.166%22%20viewBox=%220%200%2093.297626%2086.827316%22%3E%3Ctext%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu%22%20x=%2242.514%22%20y=%2290.458%22%20font-weight=%22400%22%20font-size=%2232.213%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%222.013%22%20transform=%22translate(-21.167%20-56.576)%22%3E%3Ctspan%20x=%2242.514%22%20y=%2290.458%22%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20font-weight=%22500%22%3E1%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M82.577%208.301l6.424-6.173-.751%2020.271-19.854-.75%206.09-6.007s-4.672-4.922-12.93-5.005c-8.26-.084-13.265%204.588-13.265%204.588l.084-6.84-5.172-2.253s8.091-6.34%2018.853-5.84c10.761.501%2020.521%208.01%2020.521%208.01zM38.94%2054.949l-6.424%206.173.75-20.271%2019.855.75-6.09%206.007s4.672%204.922%2012.93%205.005c8.26.084%2013.264-4.588%2013.264-4.588l-.083%206.84%205.172%202.253s-8.092%206.34-18.853%205.84C48.7%2062.456%2038.94%2054.948%2038.94%2054.948z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-width=%22.529%22/%3E%3Cg%20transform=%22translate(-93.518%20-9.898)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Ctext%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu%22%20x=%2297.553%22%20y=%22107.059%22%20font-weight=%22400%22%20font-size=%2232.213%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%222.013%22%20transform=%22translate(-21.167%20-56.576)%22%3E%3Ctspan%20x=%2297.553%22%20y=%22107.059%22%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20font-weight=%22500%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M62.954%2034.353l2.22%208.819-5.217-7.525%22%20fill=%22#ccc%22%20stroke=%22#000%22%20stroke-width=%22.529%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M62.083%2029.816l-4.32-8.077%202.89-1.247-.693-1.606-9.365%204.042.693%201.606%202.73-1.178%203.142%208.675s-2.049%201.32-1.902%203.08c.146%201.76%201.032%202.095.89%202.182l10.598-4.59s-.534-1.897-1.759-2.446c-1.224-.549-2.904-.441-2.904-.441z%22%20fill=%22red%22%20stroke=%22#000%22%20stroke-width=%22.529%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "3943e194-090b-4553-9df3-88bc4b17abc2", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 200, + "y": 96 + } + }, + { + "id": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 680, + "y": 184 + } + }, + { + "id": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", + "type": "basic.input", + "data": { + "name": "T", + "clock": false + }, + "position": { + "x": 224, + "y": 200 + } + }, + { + "id": "65194b18-5d2a-41b2-bd86-01be99978ad6", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 456, + "y": 64 + } + }, + { + "id": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "type": "basic.code", + "data": { + "code": "reg q = INI;\nalways @(posedge clk)\n if (T)\n q <= ~q;", + "params": [ + { + "name": "INI" + } + ], + "ports": { + "in": [ + { + "name": "clk" + }, + { + "name": "T" + } + ], + "out": [ + { + "name": "q" + } + ] + } + }, + "position": { + "x": 384, + "y": 168 + }, + "size": { + "width": 232, + "height": 88 + } + } + ], + "wires": [ + { + "source": { + "block": "3943e194-090b-4553-9df3-88bc4b17abc2", + "port": "out" + }, + "target": { + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "clk" + } + }, + { + "source": { + "block": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", + "port": "out" + }, + "target": { + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "T" + } + }, + { + "source": { + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "q" + }, + "target": { + "block": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", + "port": "in" + } + }, + { + "source": { + "block": "65194b18-5d2a-41b2-bd86-01be99978ad6", + "port": "constant-out" + }, + "target": { + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "INI" + } + } + ] + } + } + }, + "5acad5103b0eee0aa75565f31b355c02f09eb992": { + "package": { + "name": "Detector-flancos", + "version": "0.1", + "description": "Detector de flancos de subida y bajada. Emite tics por las salidas correspondientes al detecta los flancos. Versión bloques", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22375.877%22%20height=%22399.413%22%20viewBox=%220%200%2099.450701%20105.67809%22%3E%3Cdefs%3E%3Cmarker%20orient=%22auto%22%20id=%22a%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22b%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3C/defs%3E%3Cg%20transform=%22translate(-61.727%20-50.902)%22%3E%3Cg%20fill=%22none%22%20stroke=%22#00f%22%20stroke-linecap=%22round%22%3E%3Cpath%20d=%22M76.611%2083.336l6.027%207.974-5.055%206.03%201.75%201.557M75.371%2083.53l-3.5%207.975-7.97%201.556.583%202.528%22%20stroke-width=%221.058%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M75.907%2083.53V53.588%22%20stroke-width=%223.969%22/%3E%3Cpath%20d=%22M65.118%2063.338l10.688-10.452%2010.351%2010.452%22%20stroke-width=%223.969%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cpath%20d=%22M123.88%2069.103c2.17%203.317%204.013%202.718%205.68%200%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%22.529%22%20stroke-linecap=%22round%22/%3E%3Cpath%20d=%22M132.326%2095.38V51.614h-11.225v43.497%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%221.323%22%20stroke-linecap=%22round%22/%3E%3Cellipse%20cx=%22112.489%22%20cy=%2297.866%22%20rx=%227.009%22%20ry=%222.635%22%20transform=%22rotate(-1.418)%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%22.265%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20cx=%22-140.807%22%20cy=%2291.678%22%20rx=%227.009%22%20ry=%222.635%22%20transform=%22scale(-1%201)%20rotate(-1.418)%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%22.265%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M124.8%2059.416v3.875M128.39%2059.416v3.875%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%22.529%22/%3E%3Cpath%20d=%22M85.794%2074.097h21.381%22%20fill=%22red%22%20stroke=%22red%22%20stroke-width=%222.646%22%20marker-end=%22url(#a)%22/%3E%3Cg%20transform=%22matrix(.842%200%200%20.842%2062.826%2011.41)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cpath%20d=%22M74.965%20140.485l6.027%207.974-5.055%206.03%201.75%201.557m-3.96-15.367l-3.5%207.975-7.97%201.556.582%202.528%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%221.058%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M74.695%20110.063v29.943%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%223.969%22%20stroke-linecap=%22round%22/%3E%3Cpath%20d=%22M63.906%20130.255l10.689%2010.452%2010.35-10.452%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%223.969%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M124.208%20120.573c2.17%203.317%204.013%202.718%205.68%200%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%22.529%22%20stroke-linecap=%22round%22/%3E%3Cpath%20d=%22M132.654%20146.85v-43.764H121.43v43.496%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%221.323%22%20stroke-linecap=%22round%22/%3E%3Cellipse%20cx=%22111.543%22%20cy=%22149.329%22%20rx=%227.009%22%20ry=%222.635%22%20transform=%22rotate(-1.418)%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%22.265%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20cx=%22-142.409%22%20cy=%22143.124%22%20rx=%227.009%22%20ry=%222.635%22%20transform=%22scale(-1%201)%20rotate(-1.418)%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%22.265%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M125.128%20110.887v3.874M128.718%20110.887v3.874%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%22.529%22/%3E%3Cpath%20d=%22M86.122%20125.567h21.381%22%20fill=%22red%22%20stroke=%22red%22%20stroke-width=%222.646%22%20marker-end=%22url(#b)%22/%3E%3Cg%20transform=%22matrix(.842%200%200%20.842%2063.956%2061.544)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "2708468d-1088-4570-be63-fb0d4799a941", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 152, + "y": 152 + } + }, + { + "id": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", + "type": "basic.input", + "data": { + "name": "", + "clock": false + }, + "position": { + "x": 152, + "y": 280 + } + }, + { + "id": "1c25e08e-e664-4fab-9b30-cedc1f8a3739", + "type": "basic.output", + "data": { + "name": "up" + }, + "position": { + "x": 768, + "y": 312 + } + }, + { + "id": "3ada5999-55ba-4c4e-9877-a3e9ed82308c", + "type": "basic.output", + "data": { + "name": "down" + }, + "position": { + "x": 768, + "y": 456 + } + }, + { + "id": "71397c49-5476-4a34-a914-7ec7f07fbf10", + "type": "1c7dae7144d376f2ee4896fcc502a29110e2db37", + "position": { + "x": 320, + "y": 264 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "dd46675b-cc63-4048-8a37-c684913c3514", + "type": "35f267d0df6ffcb7fc33753bc9df9cf083642cca", + "position": { + "x": 472, + "y": 264 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "5b898222-8c0c-4e10-8a92-2b8734c87693", + "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", + "position": { + "x": 624, + "y": 312 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "49c0a94e-2687-4aa4-8cba-327b2942095f", + "type": "basic.info", + "data": { + "info": "## Detector doble: flancos de subida y bajada\n\nSe detectan tanto los flancos de subida como de bajada y se emite los \ntics por sus salidas correspondientes", + "readonly": true + }, + "position": { + "x": 144, + "y": -24 + }, + "size": { + "width": 568, + "height": 80 + } + }, + { + "id": "a6ea5e17-d259-4272-8d1c-87a6a7fe3235", + "type": "basic.info", + "data": { + "info": "Señal de \nentrada", + "readonly": true + }, + "position": { + "x": 168, + "y": 248 + }, + "size": { + "width": 96, + "height": 56 + } + }, + { + "id": "d0d6c668-3c03-42f5-9244-9a0431f11a87", + "type": "basic.info", + "data": { + "info": "Reloj del \nsistema", + "readonly": true + }, + "position": { + "x": 168, + "y": 120 + }, + "size": { + "width": 96, + "height": 48 + } + }, + { + "id": "f18011f4-eb45-4f91-9716-c1d8c99f1845", + "type": "basic.info", + "data": { + "info": "Señal de entrada \nactual", + "readonly": true + }, + "position": { + "x": 312, + "y": 368 + }, + "size": { + "width": 152, + "height": 48 + } + }, + { + "id": "ab801839-c115-4e44-adb7-349586890b97", + "type": "basic.info", + "data": { + "info": "Estado de la señal \nen el instante anterior", + "readonly": true + }, + "position": { + "x": 336, + "y": 200 + }, + "size": { + "width": 232, + "height": 48 + } + }, + { + "id": "fde5c436-d382-48e7-80b5-390c05f46b9d", + "type": "basic.info", + "data": { + "info": "Si señal actual es 1 \nY la señal anterior \nes 0, es que ha llegado \nun flanco", + "readonly": true + }, + "position": { + "x": 640, + "y": 192 + }, + "size": { + "width": 200, + "height": 80 + } + }, + { + "id": "c3990bfd-57a6-4602-ab46-800486326dd6", + "type": "basic.info", + "data": { + "info": "**Retraso**: 0 \nEl retraso es cero porque hay un \ncamino de retraso 0 desde la entrada \na cada una de las salidas", + "readonly": true + }, + "position": { + "x": 176, + "y": 592 + }, + "size": { + "width": 320, + "height": 80 + } + }, + { + "id": "f55854d2-054f-4fcd-9606-ff6c7512fe11", + "type": "35f267d0df6ffcb7fc33753bc9df9cf083642cca", + "position": { + "x": 328, + "y": 472 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "30104d86-684f-44b8-864b-04b458c5843e", + "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", + "position": { + "x": 624, + "y": 456 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "a14882f1-2f2c-45be-a820-f0b1477ce5b4", + "type": "basic.info", + "data": { + "info": "Si la señal actual es 0 \npero la anterior era 1, \nha llegado un flanco \nde bajada", + "readonly": true + }, + "position": { + "x": 600, + "y": 536 + }, + "size": { + "width": 200, + "height": 80 + } + } + ], + "wires": [ + { + "source": { + "block": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", + "port": "out" + }, + "target": { + "block": "71397c49-5476-4a34-a914-7ec7f07fbf10", + "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" + } + }, + { + "source": { + "block": "2708468d-1088-4570-be63-fb0d4799a941", + "port": "out" + }, + "target": { + "block": "71397c49-5476-4a34-a914-7ec7f07fbf10", + "port": "3943e194-090b-4553-9df3-88bc4b17abc2" + } + }, + { + "source": { + "block": "71397c49-5476-4a34-a914-7ec7f07fbf10", + "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" + }, + "target": { + "block": "dd46675b-cc63-4048-8a37-c684913c3514", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "dd46675b-cc63-4048-8a37-c684913c3514", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "5b898222-8c0c-4e10-8a92-2b8734c87693", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", + "port": "out" + }, + "target": { + "block": "5b898222-8c0c-4e10-8a92-2b8734c87693", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "5b898222-8c0c-4e10-8a92-2b8734c87693", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "1c25e08e-e664-4fab-9b30-cedc1f8a3739", + "port": "in" + } + }, + { + "source": { + "block": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", + "port": "out" + }, + "target": { + "block": "f55854d2-054f-4fcd-9606-ff6c7512fe11", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "71397c49-5476-4a34-a914-7ec7f07fbf10", + "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" + }, + "target": { + "block": "30104d86-684f-44b8-864b-04b458c5843e", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "f55854d2-054f-4fcd-9606-ff6c7512fe11", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "30104d86-684f-44b8-864b-04b458c5843e", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "30104d86-684f-44b8-864b-04b458c5843e", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "3ada5999-55ba-4c4e-9877-a3e9ed82308c", + "port": "in" + } + } + ] + } + } + }, + "27b16073aeab8b2e5248b0fca9ed9876db3781b8": { + "package": { + "name": "count-4bits", + "version": "0.1", + "description": "Máquina de contar, de 4 bits", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22498.716%22%20width=%22422.648%22%3E%3Cpath%20d=%22M198.947%2079.637c-24.895%200-45.092%2020.196-45.092%2045.109%200%2024.886%2020.197%2045.126%2045.092%2045.126%2024.904%200%2044.953-20.24%2044.953-45.126%200-24.913-20.05-45.11-44.953-45.11zm90.41%2071.022l-8.128%2019.578%2014.497%2028.472%201.914%203.759-20.1%2020.1-32.98-15.575-19.578%208.04-9.937%2030.421-1.27%204.038h-28.411l-12.295-34.337-19.579-8.075-28.514%2014.462-3.76%201.88-20.091-20.083%2015.558-32.997-8.066-19.56-30.37-9.92-4.028-1.306v-28.393L108.58%2098.85l8.067-19.544-14.454-28.533-1.905-3.741%2020.065-20.066%2033.023%2015.55%2019.552-8.084%209.929-30.403L184.137%200h28.402l12.304%2034.38%2019.526%208.084%2028.524-14.471%203.794-1.906%2020.083%2020.066-15.558%2032.97%208.04%2019.588%2030.455%209.928%204.003%201.28v28.384z%22%20fill=%22#00f%22/%3E%3Crect%20rx=%2210.608%22%20ry=%2210.608%22%20y=%22266.697%22%20x=%22218.697%22%20height=%22180.846%22%20width=%22111.64%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%225.658%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%22387.839%22%20x=%22242.878%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2233.946%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%225.658%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22387.839%22%20x=%22242.878%22%20font-weight=%22700%22%20font-size=%22134.762%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M222.934%20361.162h104.934%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%228.487%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20rx=%2210.608%22%20ry=%2210.608%22%20y=%22266.697%22%20x=%2292.408%22%20height=%22180.846%22%20width=%22111.64%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%225.658%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%22387.839%22%20x=%22109.261%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2233.946%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%225.658%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22387.839%22%20x=%22109.261%22%20font-weight=%22700%22%20font-size=%22134.762%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M96.645%20361.162h104.934%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%228.487%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%20-277.4%2063.192)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%20-277.4%20211.802)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%2049.068%20210.797)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%2046.938%2063.192)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "cb426e3d-ace3-4a57-a74b-155caed0c14c", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 592, + "y": -416 + } + }, + { + "id": "9291f94d-2d9a-4c3a-b7df-4299abf84886", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "pins": [ + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true + }, + "position": { + "x": 736, + "y": -416 + } + }, + { + "id": "81fb4efb-363e-483e-b1df-c2ebda777355", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" + }, + "position": { + "x": 1416, + "y": -352 + } + }, + { + "id": "92c88f9e-7d51-4946-b0ef-088dcd8efa49", + "type": "basic.inputLabel", + "data": { + "blockColor": "red", + "name": "on", + "pins": [ + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 952, + "y": -304 + } + }, + { + "id": "6c846478-ff94-415a-b78e-03b6981bc0fe", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "rst" + }, + "position": { + "x": 1416, + "y": -288 + } + }, + { + "id": "40607400-436e-4dea-b733-8308a06fd4ef", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" + }, + "position": { + "x": 584, + "y": -224 + } + }, + { + "id": "6a11eaf9-4bd5-405e-81f8-44418365326b", + "type": "basic.output", + "data": { + "name": "Busy" + }, + "position": { + "x": 2312, + "y": -216 + } + }, + { + "id": "2438a009-c8e2-4acb-b443-90fe0ddd989d", + "type": "basic.outputLabel", + "data": { + "blockColor": "red", + "name": "on", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 2152, + "y": -216 + } + }, + { + "id": "bc6920df-ca50-4643-ad7a-7e10c29856e8", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "rst", + "pins": [ + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true + }, + "position": { + "x": 952, + "y": -192 + } + }, + { + "id": "8f591b24-0427-409f-aa6e-ca1861556cf5", + "type": "basic.input", + "data": { + "name": "start", + "clock": false + }, + "position": { + "x": 584, + "y": -136 + } + }, + { + "id": "ce3dc4bf-dbfd-4a81-97cd-3c0a0a672d89", + "type": "basic.inputLabel", + "data": { + "name": "cnt", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "navy" + }, + "position": { + "x": 1824, + "y": -120 + } + }, + { + "id": "be5cddf8-3e91-4b00-b2d0-0be80df6cc2d", + "type": "basic.outputLabel", + "data": { + "name": "cnt", + "range": "[3:0]", + "blockColor": "navy", + "size": 4 + }, + "position": { + "x": 1200, + "y": -104 + } + }, + { + "id": "9baa509b-0012-4e0e-b874-e62987258aa1", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "start", + "pins": [ + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true + }, + "position": { + "x": 944, + "y": -72 + } + }, + { + "id": "c69e492c-ad1e-46f7-8523-5e5c615f682d", + "type": "basic.outputLabel", + "data": { + "name": "cnt", + "range": "[3:0]", + "blockColor": "navy", + "size": 4 + }, + "position": { + "x": 2160, + "y": -72 + } + }, + { + "id": "a8eb520f-8b09-41ab-9146-919bf44b6078", + "type": "basic.output", + "data": { + "name": "q", + "range": "[3:0]", + "size": 4 + }, + "position": { + "x": 2312, + "y": -72 + } + }, + { + "id": "45bd338b-4745-4b06-b199-462cdaffa31d", + "type": "basic.outputLabel", + "data": { + "blockColor": "gold", + "name": "stop", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 584, + "y": -24 + } + }, + { + "id": "8a5f0c79-7347-4b35-8e27-603ebed8bf9f", + "type": "basic.outputLabel", + "data": { + "blockColor": "darkgreen", + "name": "cycle", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 1472, + "y": 16 + } + }, + { + "id": "5a3f8466-6a57-4607-939a-fb15222442a7", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "done", + "pins": [ + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true + }, + "position": { + "x": 920, + "y": 24 + } + }, + { + "id": "dddd2a78-e0f8-4688-ad63-0c41ad98894b", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "exec", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 2160, + "y": 104 + } + }, + { + "id": "73948305-b05b-418f-88af-11328f400cdc", + "type": "basic.output", + "data": { + "name": "exec" + }, + "position": { + "x": 2328, + "y": 104 + } + }, + { + "id": "c5781045-a890-4e66-8f67-80d0cb317ee6", + "type": "basic.outputLabel", + "data": { + "blockColor": "red", + "name": "on", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 1544, + "y": 136 + } + }, + { + "id": "fa7f43f2-cbb2-47e0-bcc5-32336f9cd8ed", + "type": "basic.outputLabel", + "data": { + "blockColor": "red", + "name": "on", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 648, + "y": 152 + } + }, + { + "id": "8c96c7ec-0c2f-4870-9197-46116cd1d134", + "type": "basic.inputLabel", + "data": { + "blockColor": "darkgreen", + "name": "cycle", + "pins": [ + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 1080, + "y": 168 + } + }, + { + "id": "ad4d0fc2-118e-496e-b292-c435bad20e7d", + "type": "basic.input", + "data": { + "name": "next", + "clock": false + }, + "position": { + "x": 616, + "y": 208 + } + }, + { + "id": "d8820925-23d9-4f02-8491-adf813601d08", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" + }, + "position": { + "x": 1384, + "y": 232 + } + }, + { + "id": "a756b829-42d1-4779-b42d-a9acc3800854", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "done", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 2160, + "y": 248 + } + }, + { + "id": "49221b19-132a-4e3f-9a1f-97bcd463391b", + "type": "basic.output", + "data": { + "name": "done" + }, + "position": { + "x": 2320, + "y": 248 + } + }, + { + "id": "06b60a4f-b1b1-4a91-ba10-bdb5a7515718", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "exec", + "pins": [ + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 1952, + "y": 288 + } + }, + { + "id": "f9f37a77-fd08-4506-9c1b-cc53daa19559", + "type": "basic.outputLabel", + "data": { + "blockColor": "darkgreen", + "name": "cycle", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 1384, + "y": 304 + } + }, + { + "id": "10afc859-04fd-433d-823a-4fa4721320c1", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "start" + }, + "position": { + "x": 1680, + "y": 376 + } + }, + { + "id": "2e9e9e82-c651-4a6b-9d9e-4c796699d133", + "type": "basic.inputLabel", + "data": { + "blockColor": "gold", + "name": "stop", + "pins": [ + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 1144, + "y": 376 + } + }, + { + "id": "50aa90d1-18a3-4f3c-9ca9-2d65c8664bc2", + "type": "basic.outputLabel", + "data": { + "name": "cnt", + "range": "[3:0]", + "blockColor": "navy", + "size": 4 + }, + "position": { + "x": 688, + "y": 552 + } + }, + { + "id": "d0005413-fea9-4b0b-8a18-9b15d4977bc1", + "type": "basic.constant", + "data": { + "name": "Cyc", + "value": "8", + "local": false + }, + "position": { + "x": 680, + "y": 352 + } + }, + { + "id": "a19b8bcd-a0a4-4da0-9844-5e5c0306e231", + "type": "basic.constant", + "data": { + "name": "", + "value": "1", + "local": true + }, + "position": { + "x": 1352, + "y": -208 + } + }, + { + "id": "374613cb-968d-46d1-94a4-16686e7df28d", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": true + }, + "position": { + "x": 1648, + "y": -256 + } + }, + { + "id": "d59fae1c-4ff0-418f-9a6e-440a854abd17", + "type": "438dedd956354c574afcde6f0793a7d369b2a031", + "position": { + "x": 752, + "y": -168 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "d76d4948-a798-448c-adfa-c03b511371e5", + "type": "1c7dae7144d376f2ee4896fcc502a29110e2db37", + "position": { + "x": 1544, + "y": 288 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "bd61136e-6597-4728-a8c3-141a1841150b", + "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", + "position": { + "x": 808, + "y": 168 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "7d6ed080-252a-4f1b-8ec7-0f47d7866317", + "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", + "position": { + "x": 1016, + "y": 376 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "ac8b922a-9249-49a3-8b42-a1f259ccae2b", + "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", + "position": { + "x": 1680, + "y": 272 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "28aef1aa-1cc2-4bb4-9a70-2db1962258d4", + "type": "81613874c6152f06c06ed7014bf4235900cfcc30", + "position": { + "x": 1824, + "y": 288 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "e042b05f-b116-4a58-8aee-1bf2833ecb6b", + "type": "basic.info", + "data": { + "info": "**Reloj del sistema**", + "readonly": true + }, + "position": { + "x": 680, + "y": -472 + }, + "size": { + "width": 192, + "height": 40 + } + }, + { + "id": "09056d84-24ad-48b7-89c2-0d008ca64b89", + "type": "basic.info", + "data": { + "info": "**Estado de** \n**la máquina**", + "readonly": true + }, + "position": { + "x": 760, + "y": -248 + }, + "size": { + "width": 160, + "height": 40 + } + }, + { + "id": "02ad4d4d-3335-43e1-a671-4b00fc01c8be", + "type": "basic.info", + "data": { + "info": "Máquina encendida", + "readonly": true + }, + "position": { + "x": 936, + "y": -328 + }, + "size": { + "width": 168, + "height": 40 + } + }, + { + "id": "23827b42-5be3-406c-9991-b4ad6deb74e8", + "type": "basic.info", + "data": { + "info": "Máquina apagada \n(rst = 1)", + "readonly": true + }, + "position": { + "x": 952, + "y": -232 + }, + "size": { + "width": 152, + "height": 56 + } + }, + { + "id": "8a928b2a-c1fd-4d20-ba27-2f2bb41c0d01", + "type": "basic.info", + "data": { + "info": "Tic de arranque", + "readonly": true + }, + "position": { + "x": 960, + "y": -96 + }, + "size": { + "width": 160, + "height": 40 + } + }, + { + "id": "6648005b-8565-4aa7-9dbe-692786ab5eed", + "type": "basic.info", + "data": { + "info": "Tic de fin", + "readonly": true + }, + "position": { + "x": 944, + "y": 0 + }, + "size": { + "width": 120, + "height": 32 + } + }, + { + "id": "08d8a2f1-ca1d-4bb5-84ec-2e003a76d1c1", + "type": "basic.info", + "data": { + "info": "**Contador de ciclos**", + "readonly": true + }, + "position": { + "x": 1480, + "y": -424 + }, + "size": { + "width": 224, + "height": 40 + } + }, + { + "id": "6b89fbaa-ded1-4b08-85b3-d5043665ba0f", + "type": "basic.info", + "data": { + "info": "Número de ciclos \ncontados", + "readonly": true + }, + "position": { + "x": 1824, + "y": -160 + }, + "size": { + "width": 160, + "height": 56 + } + }, + { + "id": "ce9c2faa-a5a2-43ef-a19f-8089842fa7fb", + "type": "basic.info", + "data": { + "info": "Valor \nincrementado", + "readonly": true + }, + "position": { + "x": 1496, + "y": -120 + }, + "size": { + "width": 128, + "height": 56 + } + }, + { + "id": "9749c7ec-56c0-4d88-8b20-572f154aba47", + "type": "basic.info", + "data": { + "info": "### Salidas", + "readonly": true + }, + "position": { + "x": 2152, + "y": -328 + }, + "size": { + "width": 280, + "height": 40 + } + }, + { + "id": "4c022dd4-dc38-48e8-8e23-991de83819a0", + "type": "basic.info", + "data": { + "info": "Estado de la máquina", + "readonly": true + }, + "position": { + "x": 2160, + "y": -248 + }, + "size": { + "width": 192, + "height": 40 + } + }, + { + "id": "2f5c5893-19c2-411c-b942-83e2e0355d9b", + "type": "basic.info", + "data": { + "info": "Ciclo actual", + "readonly": true + }, + "position": { + "x": 2168, + "y": -104 + }, + "size": { + "width": 152, + "height": 40 + } + }, + { + "id": "3529c7ae-8223-48c9-a5c7-1b8161eb3654", + "type": "basic.info", + "data": { + "info": "Cuenta finalizada", + "readonly": true + }, + "position": { + "x": 2160, + "y": 216 + }, + "size": { + "width": 176, + "height": 48 + } + }, + { + "id": "8d3fe74d-0b04-434f-bd0a-c966094bb54e", + "type": "basic.info", + "data": { + "info": "Ejecutar el ciclo", + "readonly": true + }, + "position": { + "x": 2168, + "y": 80 + }, + "size": { + "width": 168, + "height": 40 + } + }, + { + "id": "eae9349c-e15a-4922-8652-1849ae8af424", + "type": "basic.info", + "data": { + "info": "Número de ciclos \na contar", + "readonly": true + }, + "position": { + "x": 696, + "y": 288 + }, + "size": { + "width": 152, + "height": 56 + } + }, + { + "id": "95a0fd91-d4b4-4a8c-a326-fa00aead76a2", + "type": "basic.info", + "data": { + "info": "¿Estamos en el \nciclo k-1?", + "readonly": true + }, + "position": { + "x": 1024, + "y": 480 + }, + "size": { + "width": 144, + "height": 56 + } + }, + { + "id": "ee786c92-eee9-4192-b5cd-7ffd2cff4aa0", + "type": "basic.info", + "data": { + "info": "Solo se hace caso a la \nentrada next si la \nmáquina está encendida", + "readonly": true + }, + "position": { + "x": 648, + "y": 88 + }, + "size": { + "width": 216, + "height": 80 + } + }, + { + "id": "fdea86ef-3e4a-4419-972a-3e09c49380ab", + "type": "basic.info", + "data": { + "info": "Nuevo ciclo", + "readonly": true + }, + "position": { + "x": 920, + "y": 168 + }, + "size": { + "width": 136, + "height": 40 + } + }, + { + "id": "f1312a02-2038-404e-b9cf-5f4ee6b11012", + "type": "basic.info", + "data": { + "info": "Si es el ciclo k-1 y \nse pide un ciclo \nnuevo: Terminar", + "readonly": true + }, + "position": { + "x": 1128, + "y": 304 + }, + "size": { + "width": 208, + "height": 72 + } + }, + { + "id": "9baabc30-8c27-4b55-92e4-d59783269162", + "type": "basic.info", + "data": { + "info": "Apagar la \nmáquina", + "readonly": true + }, + "position": { + "x": 600, + "y": -64 + }, + "size": { + "width": 120, + "height": 56 + } + }, + { + "id": "b1d4db4d-86bf-41b9-8192-647537f22b35", + "type": "basic.info", + "data": { + "info": "Ciclo nuevo: \nincrementar la cuenta", + "readonly": true + }, + "position": { + "x": 1464, + "y": -32 + }, + "size": { + "width": 208, + "height": 56 + } + }, + { + "id": "6c065227-d7b8-48ea-8105-765c0ff10e5d", + "type": "basic.info", + "data": { + "info": "El tic de start se saca \npor exec para que se ejecute \nel primer ciclo", + "readonly": true + }, + "position": { + "x": 1680, + "y": 440 + }, + "size": { + "width": 248, + "height": 72 + } + }, + { + "id": "f41e1b4d-0de7-4d61-9b57-16de7ef8dc8a", + "type": "basic.info", + "data": { + "info": "Si la máquina está \napagada, las señales \nde ejecución no pueden \nsalir!", + "readonly": true + }, + "position": { + "x": 1688, + "y": 168 + }, + "size": { + "width": 208, + "height": 80 + } + }, + { + "id": "1ed4ad01-9b81-4934-84b7-804dcaec10c1", + "type": "basic.info", + "data": { + "info": "Retrasar la señal un ciclo \nmientras que se detecta si \nla máquina ha finalizado o no", + "readonly": true + }, + "position": { + "x": 1432, + "y": 360 + }, + "size": { + "width": 256, + "height": 72 + } + }, + { + "id": "55848689-05c8-4d58-b5a8-c421ff202e2d", + "type": "a3c966710f8e14f7d3464d7e89c1894dfebb86c7", + "position": { + "x": 1648, + "y": -152 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "642ea367-8f37-400d-b632-46e227ce544d", + "type": "04dcede98e726cdb028c1ef593f02f949d5f5ac6", + "position": { + "x": 1352, + "y": -104 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "3452d2fa-0c76-4021-925d-d73c3cea853f", + "type": "2e691702e123c308f561a5a93912a03de1896719", + "position": { + "x": 856, + "y": 512 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "caaa982b-20a8-426d-a546-beb213ed699f", + "type": "33e7c0abcd8b70a7af87fdb6750be0e24a796695", + "position": { + "x": 680, + "y": 448 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "6c846478-ff94-415a-b78e-03b6981bc0fe", + "port": "outlabel" + }, + "target": { + "block": "55848689-05c8-4d58-b5a8-c421ff202e2d", + "port": "a2b70876-c7c0-4208-a403-eed81e32fe5a" + }, + "vertices": [ + { + "x": 1592, + "y": -192 + } + ] + }, + { + "source": { + "block": "d59fae1c-4ff0-418f-9a6e-440a854abd17", + "port": "89a5da53-cc8b-4099-a5e3-b9cf281619b1" + }, + "target": { + "block": "bc6920df-ca50-4643-ad7a-7e10c29856e8", + "port": "inlabel" + } + }, + { + "source": { + "block": "d59fae1c-4ff0-418f-9a6e-440a854abd17", + "port": "aefe9248-3b3d-441b-9e65-33cda65b99dd" + }, + "target": { + "block": "9baa509b-0012-4e0e-b874-e62987258aa1", + "port": "inlabel" + } + }, + { + "source": { + "block": "d59fae1c-4ff0-418f-9a6e-440a854abd17", + "port": "0d991cee-b329-439b-b9e7-5712d2db539d" + }, + "target": { + "block": "92c88f9e-7d51-4946-b0ef-088dcd8efa49", + "port": "inlabel" + }, + "vertices": [ + { + "x": 888, + "y": -216 + } + ] + }, + { + "source": { + "block": "fa7f43f2-cbb2-47e0-bcc5-32336f9cd8ed", + "port": "outlabel" + }, + "target": { + "block": "bd61136e-6597-4728-a8c3-141a1841150b", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "45bd338b-4745-4b06-b199-462cdaffa31d", + "port": "outlabel" + }, + "target": { + "block": "d59fae1c-4ff0-418f-9a6e-440a854abd17", + "port": "ba15eacb-1e03-4580-932b-3231703481e5" + } + }, + { + "source": { + "block": "7d6ed080-252a-4f1b-8ec7-0f47d7866317", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "2e9e9e82-c651-4a6b-9d9e-4c796699d133", + "port": "inlabel" + } + }, + { + "source": { + "block": "d59fae1c-4ff0-418f-9a6e-440a854abd17", + "port": "3802390d-8417-4369-b93c-dda647ccb0c6" + }, + "target": { + "block": "5a3f8466-6a57-4607-939a-fb15222442a7", + "port": "inlabel" + } + }, + { + "source": { + "block": "c5781045-a890-4e66-8f67-80d0cb317ee6", + "port": "outlabel" + }, + "target": { + "block": "ac8b922a-9249-49a3-8b42-a1f259ccae2b", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "a756b829-42d1-4779-b42d-a9acc3800854", + "port": "outlabel" + }, + "target": { + "block": "49221b19-132a-4e3f-9a1f-97bcd463391b", + "port": "in" + } + }, + { + "source": { + "block": "8a5f0c79-7347-4b35-8e27-603ebed8bf9f", + "port": "outlabel" + }, + "target": { + "block": "55848689-05c8-4d58-b5a8-c421ff202e2d", + "port": "065ea371-8398-43b3-8341-287c234a3acb" + } + }, + { + "source": { + "block": "10afc859-04fd-433d-823a-4fa4721320c1", + "port": "outlabel" + }, + "target": { + "block": "28aef1aa-1cc2-4bb4-9a70-2db1962258d4", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "28aef1aa-1cc2-4bb4-9a70-2db1962258d4", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "06b60a4f-b1b1-4a91-ba10-bdb5a7515718", + "port": "inlabel" + } + }, + { + "source": { + "block": "bd61136e-6597-4728-a8c3-141a1841150b", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "8c96c7ec-0c2f-4870-9197-46116cd1d134", + "port": "inlabel" + } + }, + { + "source": { + "block": "f9f37a77-fd08-4506-9c1b-cc53daa19559", + "port": "outlabel" + }, + "target": { + "block": "d76d4948-a798-448c-adfa-c03b511371e5", + "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" + } + }, + { + "source": { + "block": "cb426e3d-ace3-4a57-a74b-155caed0c14c", + "port": "out" + }, + "target": { + "block": "9291f94d-2d9a-4c3a-b7df-4299abf84886", + "port": "inlabel" + } + }, + { + "source": { + "block": "40607400-436e-4dea-b733-8308a06fd4ef", + "port": "outlabel" + }, + "target": { + "block": "d59fae1c-4ff0-418f-9a6e-440a854abd17", + "port": "046501b8-4427-4d4d-af97-7fe807774f33" + } + }, + { + "source": { + "block": "2438a009-c8e2-4acb-b443-90fe0ddd989d", + "port": "outlabel" + }, + "target": { + "block": "6a11eaf9-4bd5-405e-81f8-44418365326b", + "port": "in" + } + }, + { + "source": { + "block": "81fb4efb-363e-483e-b1df-c2ebda777355", + "port": "outlabel" + }, + "target": { + "block": "55848689-05c8-4d58-b5a8-c421ff202e2d", + "port": "096f61b6-6d5c-4907-9512-e65b25969458" + } + }, + { + "source": { + "block": "d8820925-23d9-4f02-8491-adf813601d08", + "port": "outlabel" + }, + "target": { + "block": "d76d4948-a798-448c-adfa-c03b511371e5", + "port": "3943e194-090b-4553-9df3-88bc4b17abc2" + }, + "vertices": [ + { + "x": 1496, + "y": 280 + } + ] + }, + { + "source": { + "block": "dddd2a78-e0f8-4688-ad63-0c41ad98894b", + "port": "outlabel" + }, + "target": { + "block": "73948305-b05b-418f-88af-11328f400cdc", + "port": "in" + } + }, + { + "source": { + "block": "55848689-05c8-4d58-b5a8-c421ff202e2d", + "port": "f655c5c0-0157-4195-89ac-f92c5b3f8e0b", + "size": 4 + }, + "target": { + "block": "ce3dc4bf-dbfd-4a81-97cd-3c0a0a672d89", + "port": "inlabel" + }, + "size": 4 + }, + { + "source": { + "block": "c69e492c-ad1e-46f7-8523-5e5c615f682d", + "port": "outlabel" + }, + "target": { + "block": "a8eb520f-8b09-41ab-9146-919bf44b6078", + "port": "in", + "size": 4 + }, + "size": 4 + }, + { + "source": { + "block": "be5cddf8-3e91-4b00-b2d0-0be80df6cc2d", + "port": "outlabel" + }, + "target": { + "block": "642ea367-8f37-400d-b632-46e227ce544d", + "port": "d1ed8643-0bb2-48ac-9aca-17184aee9368", + "size": 4 + }, + "size": 4 + }, + { + "source": { + "block": "50aa90d1-18a3-4f3c-9ca9-2d65c8664bc2", + "port": "outlabel" + }, + "target": { + "block": "3452d2fa-0c76-4021-925d-d73c3cea853f", + "port": "128b8626-fc1e-4144-a837-a275b812ee83", + "size": 4 + }, + "size": 4 + }, + { + "source": { + "block": "374613cb-968d-46d1-94a4-16686e7df28d", + "port": "constant-out" + }, + "target": { + "block": "55848689-05c8-4d58-b5a8-c421ff202e2d", + "port": "f3b434e4-0c8f-4dd7-90c7-305189a807f1" + } + }, + { + "source": { + "block": "a19b8bcd-a0a4-4da0-9844-5e5c0306e231", + "port": "constant-out" + }, + "target": { + "block": "642ea367-8f37-400d-b632-46e227ce544d", + "port": "c4eedeee-32b3-41da-834c-48f3a92cbaec" + } + }, + { + "source": { + "block": "3452d2fa-0c76-4021-925d-d73c3cea853f", + "port": "0344dacc-8583-456b-b377-8cb4ab97cf94" + }, + "target": { + "block": "7d6ed080-252a-4f1b-8ec7-0f47d7866317", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "ac8b922a-9249-49a3-8b42-a1f259ccae2b", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "28aef1aa-1cc2-4bb4-9a70-2db1962258d4", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "d76d4948-a798-448c-adfa-c03b511371e5", + "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" + }, + "target": { + "block": "ac8b922a-9249-49a3-8b42-a1f259ccae2b", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "bd61136e-6597-4728-a8c3-141a1841150b", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "7d6ed080-252a-4f1b-8ec7-0f47d7866317", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "d0005413-fea9-4b0b-8a18-9b15d4977bc1", + "port": "constant-out" + }, + "target": { + "block": "caaa982b-20a8-426d-a546-beb213ed699f", + "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" + } + }, + { + "source": { + "block": "8f591b24-0427-409f-aa6e-ca1861556cf5", + "port": "out" + }, + "target": { + "block": "d59fae1c-4ff0-418f-9a6e-440a854abd17", + "port": "88176871-35ac-42bf-bf62-36c81a4b4b47" + } + }, + { + "source": { + "block": "ad4d0fc2-118e-496e-b292-c435bad20e7d", + "port": "out" + }, + "target": { + "block": "bd61136e-6597-4728-a8c3-141a1841150b", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "642ea367-8f37-400d-b632-46e227ce544d", + "port": "423ecf0e-b1de-4a7f-8bf0-032e0c1f0467" + }, + "target": { + "block": "55848689-05c8-4d58-b5a8-c421ff202e2d", + "port": "ee31ca02-b981-4474-a62e-85f20302435c" + }, + "size": 4 + }, + { + "source": { + "block": "caaa982b-20a8-426d-a546-beb213ed699f", + "port": "2da79d6d-81ed-4d5d-b4bf-113557353105" + }, + "target": { + "block": "3452d2fa-0c76-4021-925d-d73c3cea853f", + "port": "0587ffc4-0beb-4bc0-b72c-df8bde2c6bf2" + }, + "size": 4 + } + ] + } + } + }, + "438dedd956354c574afcde6f0793a7d369b2a031": { + "package": { + "name": "Machine-state", + "version": "0.1", + "description": "Biestable de almacenamiento del estado de la máquina", + "author": "Juan Gonzalez-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22404.343%22%20width=%22319.18%22%20id=%22svg4%22%3E%3Cdefs%20id=%22defs8%22%3E%3Cmarker%20id=%22TriangleOutM%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-5%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-5%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-2%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-1%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-7%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3%22%3E%3Cpath%20id=%22a%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20id=%22path898%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20id=%22TriangleOutM-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-53%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-62%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-91%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-2%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-5-3%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-3-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-5-0%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-6-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-2-2%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-9-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-1-1%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-2-8%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-7-7%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-9%22%3E%3Cpath%20id=%22a-2%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20id=%22path974%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20d=%22M124.728%2079.637c-24.895%200-45.091%2020.196-45.091%2045.109%200%2024.886%2020.196%2045.126%2045.092%2045.126%2024.904%200%2044.952-20.24%2044.952-45.126%200-24.913-20.049-45.11-44.953-45.11zm90.41%2071.022l-8.128%2019.578%2014.497%2028.472%201.914%203.759-20.1%2020.1-32.979-15.575-19.578%208.04-9.938%2030.421-1.27%204.038h-28.41L98.85%20215.155%2079.27%20207.08l-28.514%2014.462-3.759%201.88-20.092-20.083%2015.559-32.997-8.067-19.56-30.37-9.92L0%20139.555v-28.393L34.362%2098.85l8.067-19.544-14.453-28.533-1.906-3.741%2020.066-20.066%2033.022%2015.55%2019.553-8.084%209.928-30.403L109.92%200h28.401l12.304%2034.38%2019.527%208.084%2028.523-14.471%203.794-1.906%2020.083%2020.066-15.558%2032.97%208.04%2019.588%2030.456%209.928%204.003%201.28v28.384z%22%20id=%22path2%22%20fill=%22#00f%22%20stroke-width=%22.278%22/%3E%3Cg%20id=%22g992-5%22%20transform=%22matrix(3.23542%200%200%203.23542%20-54.399%20117.43)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20id=%22path992-2-62%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20id=%22path1773-2-3-1-8-9-9%22%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cstyle%20id=%22style2%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Cg%20id=%22g1022%22%20transform=%22matrix(.5585%200%200%20.5585%2076.484%2043.495)%22%3E%3Cg%20transform=%22translate(3.47%203.198)%22%20id=%22layer1%22%3E%3Cpath%20id=%22path9-3%22%20d=%22M21.358%20145.947a63.75%2063.75%200%200%200%201.152%2011.049%22%20class=%22st1%22%20fill=%22none%22%20stroke=%22#303030%22%20stroke-width=%226.776%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3Cpath%20id=%22path11%22%20d=%22M48.702%2092.116a64.784%2064.784%200%200%200-12.559%2011.68%2064.99%2064.99%200%200%200-12.69%2024.809%22%20class=%22st1%22%20fill=%22none%22%20stroke=%22#303030%22%20stroke-width=%226.776%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3Cpath%20class=%22st1%22%20id=%22line17%22%20fill=%22none%22%20stroke=%22#303030%22%20stroke-width=%226.776%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22%20d=%22M184.865%20207.591L41.298%20262.802%22/%3E%3Cpath%20d=%22M185.448%20175.07l.37.154c2.52.831%204.718%202.386%206.634%204.249%202.02%202.322%203.694%204.777%204.423%208.713%201.496%208.074-3.286%2016.05-10.95%2018.997l-1.06.408L41.298%20262.8l-.733.283c-8.003%203.077-17.551.461-21.82-6.975-3.3-5.748-2.736-12.198.418-21.613%203.155-9.414%202.86-21.856-1.644-33.55l.041.003-12.507-32.667c-12.88-33.415-.747-70.376%2027.41-90.31l-1.102-2.749c-3.685-9.583%201.14-20.438%2010.723-24.124%209.583-3.685%2020.439%201.14%2024.124%2010.723l1.654%203.239c34.881-3.556%2068.594%2015.855%2081.318%2049.33l15.24%2038.432c4.795%208.723%2011.831%2015.955%2021.028%2022.248z%22%20id=%22path21-3%22%20fill=%22#fff%22%20stroke=%22#303030%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3Cpath%20id=%22path826%22%20d=%22M64.268%20268.838l45.015-17.115s7.963%2024.296-14.427%2030.38c-22.39%206.086-30.588-13.265-30.588-13.265z%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cg%20id=%22layer3%22%3E%3Crect%20ry=%2219.847%22%20y=%223.671%22%20x=%2295.783%22%20height=%22135.189%22%20width=%22135.189%22%20id=%22rect845%22%20fill=%22#55acee%22%20stroke=%22#303030%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3C/g%3E%3Cg%20id=%22layer4%22%3E%3Cpath%20id=%22path7-6%22%20d=%22M172.724%2052.533V27.647h-9.888L144.047%2037.7l2.308%208.9%2014.833-8.076h.33v74.824H172.724V52.533z%22%20class=%22st2%22%20fill=%22#303030%22%20stroke-width=%2214.425%22/%3E%3C/g%3E%3C/g%3E%3Cg%20id=%22g992-5-7%22%20transform=%22matrix(3.23542%200%200%203.23542%20-57.653%2011.124)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20id=%22path992-2-62-5%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20id=%22path1773-2-3-1-8-9-9-3%22%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "0d991cee-b329-439b-b9e7-5712d2db539d", + "type": "basic.output", + "data": { + "name": "state" + }, + "position": { + "x": 960, + "y": 8 + } + }, + { + "id": "89a5da53-cc8b-4099-a5e3-b9cf281619b1", + "type": "basic.output", + "data": { + "name": "rst" + }, + "position": { + "x": 960, + "y": 120 + } + }, + { + "id": "046501b8-4427-4d4d-af97-7fe807774f33", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 408, + "y": 184 + } + }, + { + "id": "aefe9248-3b3d-441b-9e65-33cda65b99dd", + "type": "basic.output", + "data": { + "name": "on" + }, + "position": { + "x": 960, + "y": 256 + } + }, + { + "id": "88176871-35ac-42bf-bf62-36c81a4b4b47", + "type": "basic.input", + "data": { + "name": "start", + "clock": false + }, + "position": { + "x": 424, + "y": 288 + } + }, + { + "id": "ba15eacb-1e03-4580-932b-3231703481e5", + "type": "basic.input", + "data": { + "name": "stop", + "clock": false + }, + "position": { + "x": 424, + "y": 352 + } + }, + { + "id": "3802390d-8417-4369-b93c-dda647ccb0c6", + "type": "basic.output", + "data": { + "name": "off" + }, + "position": { + "x": 960, + "y": 360 + } + }, + { + "id": "66f5f40c-d14b-4be3-96b6-6cea8d96b738", + "type": "b959c256104d1064a5ef7b38632ffb6eed3b396f", + "position": { + "x": 600, + "y": 272 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "03835a1e-c674-4fa3-927b-0511beff772c", + "type": "c386a7076c0569a15326b30b6748ca284426424d", + "position": { + "x": 776, + "y": 272 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "de860182-e038-4198-b4a4-505dd7a6fa9e", + "type": "35f267d0df6ffcb7fc33753bc9df9cf083642cca", + "position": { + "x": 736, + "y": 120 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "42f19387-aa1f-4514-88aa-adca9bf9f03b", + "type": "basic.info", + "data": { + "info": "**Tic de apagado**", + "readonly": true + }, + "position": { + "x": 960, + "y": 344 + }, + "size": { + "width": 168, + "height": 40 + } + }, + { + "id": "eaab4880-4c6d-422f-a8ab-c06e579aa7c6", + "type": "basic.info", + "data": { + "info": "**Tic de encendido**", + "readonly": true + }, + "position": { + "x": 952, + "y": 240 + }, + "size": { + "width": 168, + "height": 40 + } + }, + { + "id": "4e85996b-c40e-4f84-bfbc-ff87d4bc425d", + "type": "basic.info", + "data": { + "info": "**Inicializar**", + "readonly": true + }, + "position": { + "x": 968, + "y": 96 + }, + "size": { + "width": 168, + "height": 40 + } + }, + { + "id": "130f81c9-ce87-47a8-b393-762b31a724fc", + "type": "basic.info", + "data": { + "info": "**Estado de la máquina**", + "readonly": true + }, + "position": { + "x": 936, + "y": -16 + }, + "size": { + "width": 168, + "height": 40 + } + } + ], + "wires": [ + { + "source": { + "block": "66f5f40c-d14b-4be3-96b6-6cea8d96b738", + "port": "1cb167a4-9e2a-416b-803e-da7b6151eaa5" + }, + "target": { + "block": "03835a1e-c674-4fa3-927b-0511beff772c", + "port": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff" + } + }, + { + "source": { + "block": "66f5f40c-d14b-4be3-96b6-6cea8d96b738", + "port": "1cb167a4-9e2a-416b-803e-da7b6151eaa5" + }, + "target": { + "block": "0d991cee-b329-439b-b9e7-5712d2db539d", + "port": "in" + }, + "vertices": [ + { + "x": 720, + "y": 144 + } + ] + }, + { + "source": { + "block": "66f5f40c-d14b-4be3-96b6-6cea8d96b738", + "port": "1cb167a4-9e2a-416b-803e-da7b6151eaa5" + }, + "target": { + "block": "de860182-e038-4198-b4a4-505dd7a6fa9e", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "de860182-e038-4198-b4a4-505dd7a6fa9e", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "89a5da53-cc8b-4099-a5e3-b9cf281619b1", + "port": "in" + } + }, + { + "source": { + "block": "88176871-35ac-42bf-bf62-36c81a4b4b47", + "port": "out" + }, + "target": { + "block": "66f5f40c-d14b-4be3-96b6-6cea8d96b738", + "port": "3ae2d46d-7981-497a-899f-b60bfae0f43e" + } + }, + { + "source": { + "block": "ba15eacb-1e03-4580-932b-3231703481e5", + "port": "out" + }, + "target": { + "block": "66f5f40c-d14b-4be3-96b6-6cea8d96b738", + "port": "86eb8c81-17fc-4371-bd21-51f429191f3c" + } + }, + { + "source": { + "block": "03835a1e-c674-4fa3-927b-0511beff772c", + "port": "1c25e08e-e664-4fab-9b30-cedc1f8a3739" + }, + "target": { + "block": "aefe9248-3b3d-441b-9e65-33cda65b99dd", + "port": "in" + } + }, + { + "source": { + "block": "03835a1e-c674-4fa3-927b-0511beff772c", + "port": "3ada5999-55ba-4c4e-9877-a3e9ed82308c" + }, + "target": { + "block": "3802390d-8417-4369-b93c-dda647ccb0c6", + "port": "in" + } + }, + { + "source": { + "block": "046501b8-4427-4d4d-af97-7fe807774f33", + "port": "out" + }, + "target": { + "block": "66f5f40c-d14b-4be3-96b6-6cea8d96b738", + "port": "9f09a4af-8f7a-45c3-af7b-293a244e76d9" + } + }, + { + "source": { + "block": "046501b8-4427-4d4d-af97-7fe807774f33", + "port": "out" + }, + "target": { + "block": "03835a1e-c674-4fa3-927b-0511beff772c", + "port": "2708468d-1088-4570-be63-fb0d4799a941" + } + } + ] + } + } + }, + "c386a7076c0569a15326b30b6748ca284426424d": { + "package": { + "name": "Detector-flancos", + "version": "0.1", + "description": "Detector de flancos de subida y bajada. Emite tic por las salidas correspondientes al detecta los flancos", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22375.877%22%20height=%22399.413%22%20viewBox=%220%200%2099.450701%20105.67809%22%3E%3Cdefs%3E%3Cmarker%20orient=%22auto%22%20id=%22a%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22b%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3C/defs%3E%3Cg%20transform=%22translate(-61.727%20-50.902)%22%3E%3Cg%20fill=%22none%22%20stroke=%22#00f%22%20stroke-linecap=%22round%22%3E%3Cpath%20d=%22M76.611%2083.336l6.027%207.974-5.055%206.03%201.75%201.557M75.371%2083.53l-3.5%207.975-7.97%201.556.583%202.528%22%20stroke-width=%221.058%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M75.907%2083.53V53.588%22%20stroke-width=%223.969%22/%3E%3Cpath%20d=%22M65.118%2063.338l10.688-10.452%2010.351%2010.452%22%20stroke-width=%223.969%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cpath%20d=%22M123.88%2069.103c2.17%203.317%204.013%202.718%205.68%200%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%22.529%22%20stroke-linecap=%22round%22/%3E%3Cpath%20d=%22M132.326%2095.38V51.614h-11.225v43.497%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%221.323%22%20stroke-linecap=%22round%22/%3E%3Cellipse%20cx=%22112.489%22%20cy=%2297.866%22%20rx=%227.009%22%20ry=%222.635%22%20transform=%22rotate(-1.418)%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%22.265%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20cx=%22-140.807%22%20cy=%2291.678%22%20rx=%227.009%22%20ry=%222.635%22%20transform=%22scale(-1%201)%20rotate(-1.418)%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%22.265%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M124.8%2059.416v3.875M128.39%2059.416v3.875%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%22.529%22/%3E%3Cpath%20d=%22M85.794%2074.097h21.381%22%20fill=%22red%22%20stroke=%22red%22%20stroke-width=%222.646%22%20marker-end=%22url(#a)%22/%3E%3Cg%20transform=%22matrix(.842%200%200%20.842%2062.826%2011.41)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cpath%20d=%22M74.965%20140.485l6.027%207.974-5.055%206.03%201.75%201.557m-3.96-15.367l-3.5%207.975-7.97%201.556.582%202.528%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%221.058%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M74.695%20110.063v29.943%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%223.969%22%20stroke-linecap=%22round%22/%3E%3Cpath%20d=%22M63.906%20130.255l10.689%2010.452%2010.35-10.452%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%223.969%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M124.208%20120.573c2.17%203.317%204.013%202.718%205.68%200%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%22.529%22%20stroke-linecap=%22round%22/%3E%3Cpath%20d=%22M132.654%20146.85v-43.764H121.43v43.496%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%221.323%22%20stroke-linecap=%22round%22/%3E%3Cellipse%20cx=%22111.543%22%20cy=%22149.329%22%20rx=%227.009%22%20ry=%222.635%22%20transform=%22rotate(-1.418)%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%22.265%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20cx=%22-142.409%22%20cy=%22143.124%22%20rx=%227.009%22%20ry=%222.635%22%20transform=%22scale(-1%201)%20rotate(-1.418)%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%22.265%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M125.128%20110.887v3.874M128.718%20110.887v3.874%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%22.529%22/%3E%3Cpath%20d=%22M86.122%20125.567h21.381%22%20fill=%22red%22%20stroke=%22red%22%20stroke-width=%222.646%22%20marker-end=%22url(#b)%22/%3E%3Cg%20transform=%22matrix(.842%200%200%20.842%2063.956%2061.544)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "2708468d-1088-4570-be63-fb0d4799a941", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 152, + "y": 160 + } + }, + { + "id": "1c25e08e-e664-4fab-9b30-cedc1f8a3739", + "type": "basic.output", + "data": { + "name": "up" + }, + "position": { + "x": 672, + "y": 160 + } + }, + { + "id": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", + "type": "basic.input", + "data": { + "name": "", + "clock": false + }, + "position": { + "x": 152, + "y": 248 + } + }, + { + "id": "3ada5999-55ba-4c4e-9877-a3e9ed82308c", + "type": "basic.output", + "data": { + "name": "down" + }, + "position": { + "x": 672, + "y": 248 + } + }, + { + "id": "49c0a94e-2687-4aa4-8cba-327b2942095f", + "type": "basic.info", + "data": { + "info": "## Detector doble: flancos de subida y bajada\n\nSe detectan tanto los flancos de subida como de bajada y se emite los \ntics por sus salidas correspondientes", + "readonly": true + }, + "position": { + "x": 144, + "y": -24 + }, + "size": { + "width": 568, + "height": 80 + } + }, + { + "id": "a6ea5e17-d259-4272-8d1c-87a6a7fe3235", + "type": "basic.info", + "data": { + "info": "Señal de \nentrada", + "readonly": true + }, + "position": { + "x": 168, + "y": 216 + }, + "size": { + "width": 96, + "height": 56 + } + }, + { + "id": "d0d6c668-3c03-42f5-9244-9a0431f11a87", + "type": "basic.info", + "data": { + "info": "Reloj del \nsistema", + "readonly": true + }, + "position": { + "x": 168, + "y": 120 + }, + "size": { + "width": 96, + "height": 48 + } + }, + { + "id": "73c2239c-1050-4d9f-ae49-0299d50982af", + "type": "basic.code", + "data": { + "code": "reg q = 0;\n\nalways @(posedge clk)\n q <= i;\n \nassign up = (~q & i); \nassign down = (q & ~i); ", + "params": [], + "ports": { + "in": [ + { + "name": "clk" + }, + { + "name": "i" + } + ], + "out": [ + { + "name": "up" + }, + { + "name": "down" + } + ] + } + }, + "position": { + "x": 336, + "y": 152 + }, + "size": { + "width": 264, + "height": 168 + } + } + ], + "wires": [ + { + "source": { + "block": "2708468d-1088-4570-be63-fb0d4799a941", + "port": "out" + }, + "target": { + "block": "73c2239c-1050-4d9f-ae49-0299d50982af", + "port": "clk" + } + }, + { + "source": { + "block": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", + "port": "out" + }, + "target": { + "block": "73c2239c-1050-4d9f-ae49-0299d50982af", + "port": "i" + } + }, + { + "source": { + "block": "73c2239c-1050-4d9f-ae49-0299d50982af", + "port": "up" + }, + "target": { + "block": "1c25e08e-e664-4fab-9b30-cedc1f8a3739", + "port": "in" + } + }, + { + "source": { + "block": "73c2239c-1050-4d9f-ae49-0299d50982af", + "port": "down" + }, + "target": { + "block": "3ada5999-55ba-4c4e-9877-a3e9ed82308c", + "port": "in" + } + } + ] + } + } + }, + "81613874c6152f06c06ed7014bf4235900cfcc30": { + "package": { + "name": "OR", + "version": "1.0.1", + "description": "Puerta OR", + "author": "Jesús Arroyo, Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22192.718%22%20width=%22383.697%22%20version=%221%22%3E%3Cpath%20d=%22M175.56%20188.718H84.527s30.345-42.538%2031.086-94.03c.743-51.49-31.821-90.294-31.821-90.294L176.109%204c46.445%201.948%20103.899%2053.44%20123.047%2093.678-32.601%2067.503-92.158%2089.79-123.596%2091.04z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2047.292h99.605M4.883%20145.168h100.981M298.57%2098.89h81.07%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20font-weight=%22400%22%20font-size=%2266.317%22%20y=%22121.28%22%20x=%22131.572%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20font-weight=%22700%22%20y=%22121.28%22%20x=%22131.572%22%3EOR%3C/tspan%3E%3C/text%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 64, + "y": 88 + } + }, + { + "id": "664caf9e-5f40-4df4-800a-b626af702e62", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 784, + "y": 152 + } + }, + { + "id": "97b51945-d716-4b6c-9db9-970d08541249", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 64, + "y": 224 + } + }, + { + "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "type": "basic.code", + "data": { + "code": "//-- Puerta OR\n\n//-- module and (input wire a, input wire b,\n//-- output wire c);\n\nassign c = a | b;\n\n//-- endmodule", + "params": [], + "ports": { + "in": [ + { + "name": "a" + }, + { + "name": "b" + } + ], + "out": [ + { + "name": "c" + } + ] + } + }, + "position": { + "x": 256, + "y": 48 + }, + "size": { + "width": 464, + "height": 272 + } + } + ], + "wires": [ + { + "source": { + "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "port": "out" + }, + "target": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "a" + } + }, + { + "source": { + "block": "97b51945-d716-4b6c-9db9-970d08541249", + "port": "out" + }, + "target": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "b" + } + }, + { + "source": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "c" + }, + "target": { + "block": "664caf9e-5f40-4df4-800a-b626af702e62", + "port": "in" + } + } + ] + } + } + }, + "a3c966710f8e14f7d3464d7e89c1894dfebb86c7": { + "package": { + "name": "Registro", + "version": "0.1", + "description": "Registro de 4 bits con entrada de reset", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22519.236%22%20height=%22279.911%22%20viewBox=%220%200%20137.38127%2074.059853%22%3E%3Cpath%20d=%22M41.506%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M34.122%2032.088L24.89%2012.475l-6.45%203.724-2.07-3.583L37.276.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L33.017%2045.02s-2.849-3.695-2.16-6.795c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22translate(-93.518%20-22.665)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M81.95%2040.152l13.607%2016.672L88.64%2036.29%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M74.566%2032.088l-9.232-19.613-6.451%203.724-2.069-3.583L77.719.546l2.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.772L73.46%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M121.637%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M114.253%2032.088l-9.232-19.613-6.45%203.724-2.07-3.583L117.407.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L113.147%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "096f61b6-6d5c-4907-9512-e65b25969458", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 80, + "y": 168 + } + }, + { + "id": "a2b70876-c7c0-4208-a403-eed81e32fe5a", + "type": "basic.input", + "data": { + "name": "rst", + "clock": false + }, + "position": { + "x": 80, + "y": 216 + } + }, + { + "id": "f655c5c0-0157-4195-89ac-f92c5b3f8e0b", + "type": "basic.output", + "data": { + "name": "q", + "range": "[3:0]", + "size": 4 + }, + "position": { + "x": 632, + "y": 248 + } + }, + { + "id": "ee31ca02-b981-4474-a62e-85f20302435c", + "type": "basic.input", + "data": { + "name": "", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": 80, + "y": 272 + } + }, + { + "id": "065ea371-8398-43b3-8341-287c234a3acb", + "type": "basic.input", + "data": { + "name": "load", + "clock": false + }, + "position": { + "x": 80, + "y": 320 + } + }, + { + "id": "f3b434e4-0c8f-4dd7-90c7-305189a807f1", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 376, + "y": 56 + } + }, + { + "id": "32106310-bfdc-41db-9a7c-2dadd5016c3f", + "type": "basic.code", + "data": { + "code": "localparam N = 4;\n\nreg [N-1:0] q = INI;\n\nalways @(posedge clk)\n if (rst)\n q <= 0;\n else\n if (load)\n q <= d;", + "params": [ + { + "name": "INI" + } + ], + "ports": { + "in": [ + { + "name": "clk" + }, + { + "name": "rst" + }, + { + "name": "d", + "range": "[3:0]", + "size": 4 + }, + { + "name": "load" + } + ], + "out": [ + { + "name": "q", + "range": "[3:0]", + "size": 4 + } + ] + } + }, + "position": { + "x": 280, + "y": 176 + }, + "size": { + "width": 288, + "height": 200 + } + } + ], + "wires": [ + { + "source": { + "block": "f3b434e4-0c8f-4dd7-90c7-305189a807f1", + "port": "constant-out" + }, + "target": { + "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", + "port": "INI" + }, + "vertices": [] + }, + { + "source": { + "block": "065ea371-8398-43b3-8341-287c234a3acb", + "port": "out" + }, + "target": { + "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", + "port": "load" + } + }, + { + "source": { + "block": "096f61b6-6d5c-4907-9512-e65b25969458", + "port": "out" + }, + "target": { + "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", + "port": "clk" + } + }, + { + "source": { + "block": "ee31ca02-b981-4474-a62e-85f20302435c", + "port": "out" + }, + "target": { + "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", + "port": "d" + }, + "size": 4 + }, + { + "source": { + "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", + "port": "q" + }, + "target": { + "block": "f655c5c0-0157-4195-89ac-f92c5b3f8e0b", + "port": "in" + }, + "size": 4 + }, + { + "source": { + "block": "a2b70876-c7c0-4208-a403-eed81e32fe5a", + "port": "out" + }, + "target": { + "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", + "port": "rst" + } + } + ] + } + } + }, + "04dcede98e726cdb028c1ef593f02f949d5f5ac6": { + "package": { + "name": "sum-1op-4bits", + "version": "0.1", + "description": "Sumador de un operando de 4 bits con una constante pasada como parámetro (No hay accarreo)", + "author": "Juan González-Gómez", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22-33.052%22%20y=%22195.572%22%20font-weight=%22400%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%224.441%22%3E%3Ctspan%20x=%22-33.052%22%20y=%22195.572%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%3E+%3C/tspan%3E%3C/text%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "d1ed8643-0bb2-48ac-9aca-17184aee9368", + "type": "basic.input", + "data": { + "name": "", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": 208, + "y": 192 + } + }, + { + "id": "423ecf0e-b1de-4a7f-8bf0-032e0c1f0467", + "type": "basic.output", + "data": { + "name": "", + "range": "[3:0]", + "size": 4 + }, + "position": { + "x": 672, + "y": 192 + } + }, + { + "id": "c4eedeee-32b3-41da-834c-48f3a92cbaec", + "type": "basic.constant", + "data": { + "name": "", + "value": "1", + "local": false + }, + "position": { + "x": 448, + "y": 96 + } + }, + { + "id": "a8d15f9d-bba5-432f-b698-17964638c83a", + "type": "basic.code", + "data": { + "code": "assign s = a + k;", + "params": [ + { + "name": "k" + } + ], + "ports": { + "in": [ + { + "name": "a", + "range": "[3:0]", + "size": 4 + } + ], + "out": [ + { + "name": "s", + "range": "[3:0]", + "size": 4 + } + ] + } + }, + "position": { + "x": 384, + "y": 192 + }, + "size": { + "width": 216, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "c4eedeee-32b3-41da-834c-48f3a92cbaec", + "port": "constant-out" + }, + "target": { + "block": "a8d15f9d-bba5-432f-b698-17964638c83a", + "port": "k" + } + }, + { + "source": { + "block": "d1ed8643-0bb2-48ac-9aca-17184aee9368", + "port": "out" + }, + "target": { + "block": "a8d15f9d-bba5-432f-b698-17964638c83a", + "port": "a" + }, + "size": 4 + }, + { + "source": { + "block": "a8d15f9d-bba5-432f-b698-17964638c83a", + "port": "s" + }, + "target": { + "block": "423ecf0e-b1de-4a7f-8bf0-032e0c1f0467", + "port": "in" + }, + "size": 4 + } + ] + } + } + }, + "2e691702e123c308f561a5a93912a03de1896719": { + "package": { + "name": "Comparador de dos operandos", + "version": "0.1", + "description": "Comparador de dos operandos de 4 bits", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22312.756%22%20height=%22168.82%22%20viewBox=%220%200%20293.20803%20158.26888%22%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22178.324%22%20y=%22457.047%22%20font-size=%2296.3%22%20transform=%22matrix(4.864%200%200%204.864%20-916.998%20-1997.335)%22%20fill=%22#00f%22%20stroke-width=%22.057%22%20font-weight=%22400%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%3E%3Ctspan%20x=%22178.324%22%20y=%22457.047%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20stroke-width=%22.206%22%3E=%3C/tspan%3E%3C/text%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "0587ffc4-0beb-4bc0-b72c-df8bde2c6bf2", + "type": "basic.input", + "data": { + "name": "", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": 152, + "y": 104 + } + }, + { + "id": "0344dacc-8583-456b-b377-8cb4ab97cf94", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 616, + "y": 160 + } + }, + { + "id": "128b8626-fc1e-4144-a837-a275b812ee83", + "type": "basic.input", + "data": { + "name": "", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": 152, + "y": 200 + } + }, + { + "id": "9c811723-c900-4ceb-9989-036b071ee3fe", + "type": "basic.code", + "data": { + "code": "assign eq = (a == b);", + "params": [], + "ports": { + "in": [ + { + "name": "a", + "range": "[3:0]", + "size": 4 + }, + { + "name": "b", + "range": "[3:0]", + "size": 4 + } + ], + "out": [ + { + "name": "eq" + } + ] + } + }, + "position": { + "x": 344, + "y": 160 + }, + "size": { + "width": 224, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "9c811723-c900-4ceb-9989-036b071ee3fe", + "port": "eq" + }, + "target": { + "block": "0344dacc-8583-456b-b377-8cb4ab97cf94", + "port": "in" + } + }, + { + "source": { + "block": "128b8626-fc1e-4144-a837-a275b812ee83", + "port": "out" + }, + "target": { + "block": "9c811723-c900-4ceb-9989-036b071ee3fe", + "port": "b" + }, + "size": 4 + }, + { + "source": { + "block": "0587ffc4-0beb-4bc0-b72c-df8bde2c6bf2", + "port": "out" + }, + "target": { + "block": "9c811723-c900-4ceb-9989-036b071ee3fe", + "port": "a" + }, + "size": 4 + } + ] + } + } + }, + "33e7c0abcd8b70a7af87fdb6750be0e24a796695": { + "package": { + "name": "Constante-4bits", + "version": "0.0.1", + "description": "Valor genérico constante (menos 1), de 4 bits. Su valor se introduce como parámetro. Por defecto vale 0", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22489.056%22%20height=%22247.927%22%20viewBox=%220%200%20458.49013%20232.43134%22%3E%3Ctext%20style=%22line-height:0%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22293.115%22%20y=%22648.344%22%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22%20transform=%22translate(-316.929%20-415.913)%22%3E%3Ctspan%20x=%22293.115%22%20y=%22648.344%22%20style=%22line-height:1.25%22%20font-size=%22335.399%22%3Ek-1%3C/tspan%3E%3C/text%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "2da79d6d-81ed-4d5d-b4bf-113557353105", + "type": "basic.output", + "data": { + "name": "k", + "range": "[3:0]", + "size": 4 + }, + "position": { + "x": 960, + "y": 248 + } + }, + { + "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "type": "basic.constant", + "data": { + "name": "", + "value": "1", + "local": false + }, + "position": { + "x": 728, + "y": 112 + } + }, + { + "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "type": "basic.code", + "data": { + "code": "assign k = VALUE-1;", + "params": [ + { + "name": "VALUE" + } + ], + "ports": { + "in": [], + "out": [ + { + "name": "k", + "range": "[3:0]", + "size": 4 + } + ] + } + }, + "position": { + "x": 672, + "y": 248 + }, + "size": { + "width": 208, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "port": "constant-out" + }, + "target": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "VALUE" + } + }, + { + "source": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "k" + }, + "target": { + "block": "2da79d6d-81ed-4d5d-b4bf-113557353105", + "port": "in" + }, + "size": 4 + } + ] + } + } + }, + "b05aa7b2c554434ef1369365bd86e85e5f585e4b": { + "package": { + "name": "timer-system-spi", + "version": "0.1", + "description": "Temporizador en tics. La señal p está activa durante los tics indicados. ov se emite un tic al finalizar", + "author": "Juan Gonzalez-Gomez (obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%220%200%20293.13756%20181.35395%22%20width=%22293.137%22%20height=%22181.354%22%3E%3Ccircle%20cx=%22149.086%22%20cy=%2290.681%22%20r=%2270.101%22%20fill=%22#fff%22/%3E%3Cpath%20d=%22M149.086%2013.679c-42.531%200-77.003%2034.472-77.003%2077.002%200%2042.531%2034.472%2077.003%2077.003%2077.003%2042.53%200%2077.003-34.472%2077.003-77.003%200-42.53-34.472-77.002-77.003-77.002zm0%20145.175c-37.673%200-68.173-30.539-68.173-68.173%200-37.633%2030.539-68.172%2068.173-68.172%2037.633%200%2068.172%2030.539%2068.172%2068.172%200%2037.634-30.538%2068.173-68.172%2068.173z%22%20fill=%22#333%22/%3E%3Cpath%20d=%22M146.772%2030.683h4.627v18.2h-4.627zM89.125%2092.965v-4.627h18.2v4.627zm62.261%2057.665h-4.627v-18.2h4.627zm57.647-62.28v4.628h-18.2V88.35zM105.044%2049.905l3.272-3.272%2012.87%2012.87-3.273%203.271zm3.28%2084.79l-3.27-3.272%2012.868-12.87%203.272%203.272zm84.774-3.265l-3.272%203.273-12.87-12.87%203.273-3.272zM189.85%2046.64l3.272%203.272-12.87%2012.87-3.271-3.272z%22%20fill=%22#333%22/%3E%3Ccircle%20cx=%22149.086%22%20cy=%2290.681%22%20r=%224.781%22%20fill=%22#333%22/%3E%3Cpath%20d=%22M149.795%2070.653l2.722.288-2.109%2019.9-2.722-.288z%22%20fill=%22#333%22/%3E%3Cpath%20d=%22M181.26%2072.129l1.276%202.423-32.859%2017.296-1.275-2.422z%22%20fill=%22#333%22/%3E%3Cpath%20d=%22M152.016%2080.386c-1.08%200-1.928.887-1.928%201.928%200%20.656.309%201.234.81%201.581l-14.691%2041.451%201.002.347%2014.691-41.45h.116c1.08%200%201.928-.888%201.928-1.929a1.934%201.934%200%200%200-1.928-1.928z%22%20fill=%22#cf000f%22/%3E%3Ctext%20y=%2244.949%22%20x=%221.863%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2269.516%22%20letter-spacing=%220%22%20word-spacing=%220%22%20transform=%22scale(.99853%201.00147)%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%221.289%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2244.949%22%20x=%221.863%22%20font-weight=%22700%22%20font-size=%2239.724%22%3Etic%3C/tspan%3E%3C/text%3E%3Cg%20transform=%22matrix(2.99796%200%200%202.99796%20-53.023%20-84.502)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(2.99796%200%200%202.99796%20-257.041%20-84.502)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Ccircle%20cx=%22248.578%22%20cy=%2244.56%22%20r=%2243.648%22%20fill=%22#ececec%22%20stroke=%22green%22%20stroke-width=%221.824%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M279.216%2057.21h-5.01V30.018h-51.31v26.98h-5.456%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%224.56%22%20stroke-linecap=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "c45dab76-9d0f-4742-9e4d-3048637d245d", + "type": "basic.output", + "data": { + "name": "p" + }, + "position": { + "x": 1984, + "y": 232 + } + }, + { + "id": "7697c4d1-f5f8-4a1d-88af-e367fe54e4b6", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 1256, + "y": 232 + } + }, + { + "id": "8c6fda76-1ba0-4ef8-a53d-7bc8a9db4454", + "type": "basic.output", + "data": { + "name": "ov" + }, + "position": { + "x": 1992, + "y": 456 + } + }, + { + "id": "4cd7cf1f-7f82-4404-bcd9-bac9500569e0", + "type": "basic.input", + "data": { + "name": "", + "clock": false + }, + "position": { + "x": 1256, + "y": 456 + } + }, + { + "id": "a0ae7ae6-94bd-4958-8871-4a00574de708", + "type": "basic.constant", + "data": { + "name": "DIV", + "value": "1", + "local": false + }, + "position": { + "x": 1616, + "y": 24 + } + }, + { + "id": "f3af4211-e3df-4710-a0a8-96a035a833a9", + "type": "basic.info", + "data": { + "info": "**Salida de pulso**", + "readonly": true + }, + "position": { + "x": 1984, + "y": 208 + }, + "size": { + "width": 176, + "height": 40 + } + }, + { + "id": "a15228f1-41aa-494c-82fb-5491bf5fcc13", + "type": "basic.info", + "data": { + "info": "**Salida de tic**", + "readonly": true + }, + "position": { + "x": 1992, + "y": 424 + }, + "size": { + "width": 152, + "height": 40 + } + }, + { + "id": "24a3b5b8-79b9-4412-b2b0-5c8c1d95d9b4", + "type": "basic.info", + "data": { + "info": "**Arranque del** \n**temporizador**", + "readonly": true + }, + "position": { + "x": 1264, + "y": 408 + }, + "size": { + "width": 144, + "height": 56 + } + }, + { + "id": "3975ec8b-af2f-4abd-a1d5-e6cd8561b22f", + "type": "basic.code", + "data": { + "code": "//--------------------------------------------\n//-- Contador de tics\n//--------------------------------------------\n\n//-- Bloque timer-system especifico para usar\n//-- con el SPI. Se combina en serie con una\n//-- maquina de contar, de forma que con DIV=1\n//-- se produce una señal de reloj de 2Mhz\n//-- DIV=2 ---> 1Mhz\n//-- DIV=4 ----> 500Khz\n//-- DIV=5 ----> 250Khz\n\n//-- Añadido con respecto al timer-system normal\nlocalparam TICS = 3 * DIV -1;\n\n//-- Calcular el numero de bits para almacenar US tics\nlocalparam CB = $clog2(TICS);\n\nreg [CB-1:0] counter = 0;\n\n//-- Overflow del contador\nwire ov;\n\n//-- Señal de reset del contador\nwire rst;\n\nalways @(posedge clk)\n if (rst)\n counter <= 0;\n else\n counter <= counter + 1;\n \n//-- Comprobar overflow\nassign ov = (counter == TICS-1);\n \n//---------------------------------------\n//-- Biestable de estado del timer\n//-- 0: Apagado \n//-- 1: Funcionando\nreg q = 0;\n\nalways @(posedge clk)\n if (start)\n q <= 1'b1;\n else if (rst)\n q<=1'b0;\n \n//-- Lógica de reset\n//En función de la entrada, el estado y \n// el overflow se inicializa el contador y \n// se habilita el corazón de tics\nassign rst = ~q | ov | start;\n\n//-- Salida de pulso\nassign p = q;\n\n//-- Salida de tic\n//-- Saca un tic cuando ha finalizado la cuenta\nassign tic = ov;\n \n", + "params": [ + { + "name": "DIV" + } + ], + "ports": { + "in": [ + { + "name": "clk" + }, + { + "name": "start" + } + ], + "out": [ + { + "name": "p" + }, + { + "name": "tic" + } + ] + } + }, + "position": { + "x": 1440, + "y": 152 + }, + "size": { + "width": 448, + "height": 448 + } + }, + { + "id": "bf8e2f6d-a1b7-4545-92e8-026b6e11d065", + "type": "basic.info", + "data": { + "info": "**Divisor**", + "readonly": true + }, + "position": { + "x": 1632, + "y": -16 + }, + "size": { + "width": 112, + "height": 40 + } + } + ], + "wires": [ + { + "source": { + "block": "3975ec8b-af2f-4abd-a1d5-e6cd8561b22f", + "port": "p" + }, + "target": { + "block": "c45dab76-9d0f-4742-9e4d-3048637d245d", + "port": "in" + } + }, + { + "source": { + "block": "3975ec8b-af2f-4abd-a1d5-e6cd8561b22f", + "port": "tic" + }, + "target": { + "block": "8c6fda76-1ba0-4ef8-a53d-7bc8a9db4454", + "port": "in" + } + }, + { + "source": { + "block": "7697c4d1-f5f8-4a1d-88af-e367fe54e4b6", + "port": "out" + }, + "target": { + "block": "3975ec8b-af2f-4abd-a1d5-e6cd8561b22f", + "port": "clk" + } + }, + { + "source": { + "block": "4cd7cf1f-7f82-4404-bcd9-bac9500569e0", + "port": "out" + }, + "target": { + "block": "3975ec8b-af2f-4abd-a1d5-e6cd8561b22f", + "port": "start" + } + }, + { + "source": { + "block": "a0ae7ae6-94bd-4958-8871-4a00574de708", + "port": "constant-out" + }, + "target": { + "block": "3975ec8b-af2f-4abd-a1d5-e6cd8561b22f", + "port": "DIV" + } + } + ] + } + } + }, + "e308ae53e30f77d7b8307a6ea2745aae28e71f3f": { + "package": { + "name": "count-04-2bits", + "version": "0.1", + "description": "Máquina de contar, de 2 bits (cuenta hasta 4 ciclos)", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22498.716%22%20width=%22422.648%22%3E%3Cpath%20d=%22M198.947%2079.637c-24.895%200-45.092%2020.196-45.092%2045.109%200%2024.886%2020.197%2045.126%2045.092%2045.126%2024.904%200%2044.953-20.24%2044.953-45.126%200-24.913-20.05-45.11-44.953-45.11zm90.41%2071.022l-8.128%2019.578%2014.497%2028.472%201.914%203.759-20.1%2020.1-32.98-15.575-19.578%208.04-9.937%2030.421-1.27%204.038h-28.411l-12.295-34.337-19.579-8.075-28.514%2014.462-3.76%201.88-20.091-20.083%2015.558-32.997-8.066-19.56-30.37-9.92-4.028-1.306v-28.393L108.58%2098.85l8.067-19.544-14.454-28.533-1.905-3.741%2020.065-20.066%2033.023%2015.55%2019.552-8.084%209.929-30.403L184.137%200h28.402l12.304%2034.38%2019.526%208.084%2028.524-14.471%203.794-1.906%2020.083%2020.066-15.558%2032.97%208.04%2019.588%2030.455%209.928%204.003%201.28v28.384z%22%20fill=%22#00f%22/%3E%3Crect%20rx=%2210.608%22%20ry=%2210.608%22%20y=%22266.697%22%20x=%22218.697%22%20height=%22180.846%22%20width=%22111.64%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%225.658%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%22387.839%22%20x=%22242.878%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2233.946%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%225.658%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22387.839%22%20x=%22242.878%22%20font-weight=%22700%22%20font-size=%22134.762%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M222.934%20361.162h104.934%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%228.487%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20rx=%2210.608%22%20ry=%2210.608%22%20y=%22266.697%22%20x=%2292.408%22%20height=%22180.846%22%20width=%22111.64%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%225.658%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%22387.839%22%20x=%22109.261%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2233.946%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%225.658%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22387.839%22%20x=%22109.261%22%20font-weight=%22700%22%20font-size=%22134.762%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M96.645%20361.162h104.934%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%228.487%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%20-277.4%2063.192)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%20-277.4%20211.802)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%2049.068%20210.797)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(3.23542%200%200%203.23542%2046.938%2063.192)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "cb426e3d-ace3-4a57-a74b-155caed0c14c", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 592, + "y": -416 + } + }, + { + "id": "9291f94d-2d9a-4c3a-b7df-4299abf84886", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "pins": [ + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true + }, + "position": { + "x": 736, + "y": -416 + } + }, + { + "id": "81fb4efb-363e-483e-b1df-c2ebda777355", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" + }, + "position": { + "x": 1416, + "y": -352 + } + }, + { + "id": "92c88f9e-7d51-4946-b0ef-088dcd8efa49", + "type": "basic.inputLabel", + "data": { + "blockColor": "red", + "name": "on", + "pins": [ + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 952, + "y": -304 + } + }, + { + "id": "6c846478-ff94-415a-b78e-03b6981bc0fe", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "rst" + }, + "position": { + "x": 1416, + "y": -288 + } + }, + { + "id": "40607400-436e-4dea-b733-8308a06fd4ef", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" + }, + "position": { + "x": 584, + "y": -224 + } + }, + { + "id": "6a11eaf9-4bd5-405e-81f8-44418365326b", + "type": "basic.output", + "data": { + "name": "Busy" + }, + "position": { + "x": 2312, + "y": -216 + } + }, + { + "id": "2438a009-c8e2-4acb-b443-90fe0ddd989d", + "type": "basic.outputLabel", + "data": { + "blockColor": "red", + "name": "on", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 2152, + "y": -216 + } + }, + { + "id": "bc6920df-ca50-4643-ad7a-7e10c29856e8", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "rst", + "pins": [ + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true + }, + "position": { + "x": 952, + "y": -192 + } + }, + { + "id": "8f591b24-0427-409f-aa6e-ca1861556cf5", + "type": "basic.input", + "data": { + "name": "start", + "clock": false + }, + "position": { + "x": 584, + "y": -136 + } + }, + { + "id": "bd54a999-6eb2-4eb1-a2f4-9924ae525a9e", + "type": "basic.inputLabel", + "data": { + "name": "cnt", + "range": "[2:0]", + "pins": [ + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "navy" + }, + "position": { + "x": 1824, + "y": -120 + } + }, + { + "id": "9e9bbee0-fcbc-4cf1-971f-096a8267abf2", + "type": "basic.outputLabel", + "data": { + "name": "cnt", + "range": "[2:0]", + "blockColor": "navy", + "oldBlockColor": "royalblue", + "size": 3 + }, + "position": { + "x": 1200, + "y": -104 + } + }, + { + "id": "9baa509b-0012-4e0e-b874-e62987258aa1", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "start", + "pins": [ + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true + }, + "position": { + "x": 944, + "y": -72 + } + }, + { + "id": "afac67ea-64eb-4387-856b-46ad5d276971", + "type": "basic.outputLabel", + "data": { + "name": "cnt", + "range": "[2:0]", + "blockColor": "navy", + "size": 3 + }, + "position": { + "x": 2160, + "y": -72 + } + }, + { + "id": "2885a59c-c338-4e79-83bc-771f79ec7d2e", + "type": "basic.output", + "data": { + "name": "n", + "range": "[2:0]", + "size": 3 + }, + "position": { + "x": 2312, + "y": -72 + } + }, + { + "id": "45bd338b-4745-4b06-b199-462cdaffa31d", + "type": "basic.outputLabel", + "data": { + "blockColor": "gold", + "name": "stop", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 584, + "y": -24 + } + }, + { + "id": "8a5f0c79-7347-4b35-8e27-603ebed8bf9f", + "type": "basic.outputLabel", + "data": { + "blockColor": "darkgreen", + "name": "cycle", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 1472, + "y": 16 + } + }, + { + "id": "5a3f8466-6a57-4607-939a-fb15222442a7", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "done", + "pins": [ + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true + }, + "position": { + "x": 920, + "y": 24 + } + }, + { + "id": "dddd2a78-e0f8-4688-ad63-0c41ad98894b", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "exec", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 2160, + "y": 104 + } + }, + { + "id": "73948305-b05b-418f-88af-11328f400cdc", + "type": "basic.output", + "data": { + "name": "exec" + }, + "position": { + "x": 2328, + "y": 104 + } + }, + { + "id": "c5781045-a890-4e66-8f67-80d0cb317ee6", + "type": "basic.outputLabel", + "data": { + "blockColor": "red", + "name": "on", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 1544, + "y": 136 + } + }, + { + "id": "fa7f43f2-cbb2-47e0-bcc5-32336f9cd8ed", + "type": "basic.outputLabel", + "data": { + "blockColor": "red", + "name": "on", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 648, + "y": 152 + } + }, + { + "id": "8c96c7ec-0c2f-4870-9197-46116cd1d134", + "type": "basic.inputLabel", + "data": { + "blockColor": "darkgreen", + "name": "cycle", + "pins": [ + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 1080, + "y": 168 + } + }, + { + "id": "ad4d0fc2-118e-496e-b292-c435bad20e7d", + "type": "basic.input", + "data": { + "name": "next", + "clock": false + }, + "position": { + "x": 616, + "y": 208 + } + }, + { + "id": "d8820925-23d9-4f02-8491-adf813601d08", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" + }, + "position": { + "x": 1384, + "y": 232 + } + }, + { + "id": "a756b829-42d1-4779-b42d-a9acc3800854", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "done", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 2160, + "y": 248 + } + }, + { + "id": "49221b19-132a-4e3f-9a1f-97bcd463391b", + "type": "basic.output", + "data": { + "name": "done" + }, + "position": { + "x": 2320, + "y": 248 + } + }, + { + "id": "06b60a4f-b1b1-4a91-ba10-bdb5a7515718", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "exec", + "pins": [ + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 1952, + "y": 288 + } + }, + { + "id": "f9f37a77-fd08-4506-9c1b-cc53daa19559", + "type": "basic.outputLabel", + "data": { + "blockColor": "darkgreen", + "name": "cycle", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 1384, + "y": 304 + } + }, + { + "id": "10afc859-04fd-433d-823a-4fa4721320c1", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "start" + }, + "position": { + "x": 1680, + "y": 376 + } + }, + { + "id": "2e9e9e82-c651-4a6b-9d9e-4c796699d133", + "type": "basic.inputLabel", + "data": { + "blockColor": "gold", + "name": "stop", + "pins": [ + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 1144, + "y": 376 + } + }, + { + "id": "5324c14f-171f-4f3c-9455-4c3252223087", + "type": "basic.outputLabel", + "data": { + "name": "cnt", + "range": "[2:0]", + "blockColor": "navy", + "oldBlockColor": "royalblue", + "size": 3 + }, + "position": { + "x": 688, + "y": 552 + } + }, + { + "id": "d0005413-fea9-4b0b-8a18-9b15d4977bc1", + "type": "basic.constant", + "data": { + "name": "N", + "value": "8", + "local": false + }, + "position": { + "x": 680, + "y": 352 + } + }, + { + "id": "a19b8bcd-a0a4-4da0-9844-5e5c0306e231", + "type": "basic.constant", + "data": { + "name": "", + "value": "1", + "local": true + }, + "position": { + "x": 1352, + "y": -208 + } + }, + { + "id": "374613cb-968d-46d1-94a4-16686e7df28d", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": true + }, + "position": { + "x": 1648, + "y": -256 + } + }, + { + "id": "d59fae1c-4ff0-418f-9a6e-440a854abd17", + "type": "438dedd956354c574afcde6f0793a7d369b2a031", + "position": { + "x": 752, + "y": -168 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "d76d4948-a798-448c-adfa-c03b511371e5", + "type": "1c7dae7144d376f2ee4896fcc502a29110e2db37", + "position": { + "x": 1544, + "y": 288 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "bd61136e-6597-4728-a8c3-141a1841150b", + "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", + "position": { + "x": 808, + "y": 168 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "7d6ed080-252a-4f1b-8ec7-0f47d7866317", + "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", + "position": { + "x": 1016, + "y": 376 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "ac8b922a-9249-49a3-8b42-a1f259ccae2b", + "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", + "position": { + "x": 1680, + "y": 272 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "28aef1aa-1cc2-4bb4-9a70-2db1962258d4", + "type": "81613874c6152f06c06ed7014bf4235900cfcc30", + "position": { + "x": 1824, + "y": 288 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "e042b05f-b116-4a58-8aee-1bf2833ecb6b", + "type": "basic.info", + "data": { + "info": "**Reloj del sistema**", + "readonly": true + }, + "position": { + "x": 680, + "y": -472 + }, + "size": { + "width": 192, + "height": 40 + } + }, + { + "id": "09056d84-24ad-48b7-89c2-0d008ca64b89", + "type": "basic.info", + "data": { + "info": "**Estado de** \n**la máquina**", + "readonly": true + }, + "position": { + "x": 760, + "y": -248 + }, + "size": { + "width": 160, + "height": 40 + } + }, + { + "id": "02ad4d4d-3335-43e1-a671-4b00fc01c8be", + "type": "basic.info", + "data": { + "info": "Máquina encendida", + "readonly": true + }, + "position": { + "x": 936, + "y": -328 + }, + "size": { + "width": 168, + "height": 40 + } + }, + { + "id": "23827b42-5be3-406c-9991-b4ad6deb74e8", + "type": "basic.info", + "data": { + "info": "Máquina apagada \n(rst = 1)", + "readonly": true + }, + "position": { + "x": 952, + "y": -232 + }, + "size": { + "width": 152, + "height": 56 + } + }, + { + "id": "8a928b2a-c1fd-4d20-ba27-2f2bb41c0d01", + "type": "basic.info", + "data": { + "info": "Tic de arranque", + "readonly": true + }, + "position": { + "x": 960, + "y": -96 + }, + "size": { + "width": 160, + "height": 40 + } + }, + { + "id": "6648005b-8565-4aa7-9dbe-692786ab5eed", + "type": "basic.info", + "data": { + "info": "Tic de fin", + "readonly": true + }, + "position": { + "x": 944, + "y": 0 + }, + "size": { + "width": 120, + "height": 32 + } + }, + { + "id": "08d8a2f1-ca1d-4bb5-84ec-2e003a76d1c1", + "type": "basic.info", + "data": { + "info": "**Contador de ciclos**", + "readonly": true + }, + "position": { + "x": 1480, + "y": -424 + }, + "size": { + "width": 224, + "height": 40 + } + }, + { + "id": "6b89fbaa-ded1-4b08-85b3-d5043665ba0f", + "type": "basic.info", + "data": { + "info": "Número de ciclos \ncontados", + "readonly": true + }, + "position": { + "x": 1824, + "y": -160 + }, + "size": { + "width": 160, + "height": 56 + } + }, + { + "id": "ce9c2faa-a5a2-43ef-a19f-8089842fa7fb", + "type": "basic.info", + "data": { + "info": "Valor \nincrementado", + "readonly": true + }, + "position": { + "x": 1496, + "y": -120 + }, + "size": { + "width": 128, + "height": 56 + } + }, + { + "id": "9749c7ec-56c0-4d88-8b20-572f154aba47", + "type": "basic.info", + "data": { + "info": "### Salidas", + "readonly": true + }, + "position": { + "x": 2152, + "y": -328 + }, + "size": { + "width": 280, + "height": 40 + } + }, + { + "id": "4c022dd4-dc38-48e8-8e23-991de83819a0", + "type": "basic.info", + "data": { + "info": "Estado de la máquina", + "readonly": true + }, + "position": { + "x": 2160, + "y": -248 + }, + "size": { + "width": 192, + "height": 40 + } + }, + { + "id": "2f5c5893-19c2-411c-b942-83e2e0355d9b", + "type": "basic.info", + "data": { + "info": "Ciclo actual", + "readonly": true + }, + "position": { + "x": 2168, + "y": -104 + }, + "size": { + "width": 152, + "height": 40 + } + }, + { + "id": "3529c7ae-8223-48c9-a5c7-1b8161eb3654", + "type": "basic.info", + "data": { + "info": "Cuenta finalizada", + "readonly": true + }, + "position": { + "x": 2160, + "y": 216 + }, + "size": { + "width": 176, + "height": 48 + } + }, + { + "id": "8d3fe74d-0b04-434f-bd0a-c966094bb54e", + "type": "basic.info", + "data": { + "info": "Ejecutar el ciclo", + "readonly": true + }, + "position": { + "x": 2168, + "y": 80 + }, + "size": { + "width": 168, + "height": 40 + } + }, + { + "id": "eae9349c-e15a-4922-8652-1849ae8af424", + "type": "basic.info", + "data": { + "info": "Número de ciclos \na contar", + "readonly": true + }, + "position": { + "x": 696, + "y": 288 + }, + "size": { + "width": 152, + "height": 56 + } + }, + { + "id": "95a0fd91-d4b4-4a8c-a326-fa00aead76a2", + "type": "basic.info", + "data": { + "info": "¿Estamos en el \nciclo k-1?", + "readonly": true + }, + "position": { + "x": 1024, + "y": 480 + }, + "size": { + "width": 144, + "height": 56 + } + }, + { + "id": "ee786c92-eee9-4192-b5cd-7ffd2cff4aa0", + "type": "basic.info", + "data": { + "info": "Solo se hace caso a la \nentrada next si la \nmáquina está encendida", + "readonly": true + }, + "position": { + "x": 648, + "y": 88 + }, + "size": { + "width": 216, + "height": 80 + } + }, + { + "id": "fdea86ef-3e4a-4419-972a-3e09c49380ab", + "type": "basic.info", + "data": { + "info": "Nuevo ciclo", + "readonly": true + }, + "position": { + "x": 920, + "y": 168 + }, + "size": { + "width": 136, + "height": 40 + } + }, + { + "id": "f1312a02-2038-404e-b9cf-5f4ee6b11012", + "type": "basic.info", + "data": { + "info": "Si es el ciclo k-1 y \nse pide un ciclo \nnuevo: Terminar", + "readonly": true + }, + "position": { + "x": 1128, + "y": 304 + }, + "size": { + "width": 208, + "height": 72 + } + }, + { + "id": "9baabc30-8c27-4b55-92e4-d59783269162", + "type": "basic.info", + "data": { + "info": "Apagar la \nmáquina", + "readonly": true + }, + "position": { + "x": 600, + "y": -64 + }, + "size": { + "width": 120, + "height": 56 + } + }, + { + "id": "b1d4db4d-86bf-41b9-8192-647537f22b35", + "type": "basic.info", + "data": { + "info": "Ciclo nuevo: \nincrementar la cuenta", + "readonly": true + }, + "position": { + "x": 1464, + "y": -32 + }, + "size": { + "width": 208, + "height": 56 + } + }, + { + "id": "6c065227-d7b8-48ea-8105-765c0ff10e5d", + "type": "basic.info", + "data": { + "info": "El tic de start se saca \npor exec para que se ejecute \nel primer ciclo", + "readonly": true + }, + "position": { + "x": 1680, + "y": 440 + }, + "size": { + "width": 248, + "height": 72 + } + }, + { + "id": "f41e1b4d-0de7-4d61-9b57-16de7ef8dc8a", + "type": "basic.info", + "data": { + "info": "Si la máquina está \napagada, las señales \nde ejecución no pueden \nsalir!", + "readonly": true + }, + "position": { + "x": 1688, + "y": 168 + }, + "size": { + "width": 208, + "height": 80 + } + }, + { + "id": "1ed4ad01-9b81-4934-84b7-804dcaec10c1", + "type": "basic.info", + "data": { + "info": "Retrasar la señal un ciclo \nmientras que se detecta si \nla máquina ha finalizado o no", + "readonly": true + }, + "position": { + "x": 1432, + "y": 360 + }, + "size": { + "width": 256, + "height": 72 + } + }, + { + "id": "2995df45-3084-41ce-ba62-1fd0b1bb5532", + "type": "3048aac04179d3c8aa21b28da9ad8bff04ce2899", + "position": { + "x": 1648, + "y": -152 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "c1eb9971-3d0e-4bc9-8db1-3037e23b178e", + "type": "b40da6e557650abc55a25a2c69a6511959dc84e2", + "position": { + "x": 856, + "y": 512 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "b9c98019-6a6f-44ba-9c4f-f0ff482f9ad2", + "type": "e81274ef9d736a1810cc627ec4f61d7016e01548", + "position": { + "x": 680, + "y": 448 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "1f7aa5c6-97f4-41c1-bee5-75a87e23bf0e", + "type": "46aa41432f266626cbc7fbbbeb9fba9fe9be3d0f", + "position": { + "x": 1352, + "y": -104 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "6c846478-ff94-415a-b78e-03b6981bc0fe", + "port": "outlabel" + }, + "target": { + "block": "2995df45-3084-41ce-ba62-1fd0b1bb5532", + "port": "a2b70876-c7c0-4208-a403-eed81e32fe5a" + }, + "vertices": [ + { + "x": 1592, + "y": -192 + } + ] + }, + { + "source": { + "block": "d59fae1c-4ff0-418f-9a6e-440a854abd17", + "port": "89a5da53-cc8b-4099-a5e3-b9cf281619b1" + }, + "target": { + "block": "bc6920df-ca50-4643-ad7a-7e10c29856e8", + "port": "inlabel" + } + }, + { + "source": { + "block": "d59fae1c-4ff0-418f-9a6e-440a854abd17", + "port": "aefe9248-3b3d-441b-9e65-33cda65b99dd" + }, + "target": { + "block": "9baa509b-0012-4e0e-b874-e62987258aa1", + "port": "inlabel" + } + }, + { + "source": { + "block": "d59fae1c-4ff0-418f-9a6e-440a854abd17", + "port": "0d991cee-b329-439b-b9e7-5712d2db539d" + }, + "target": { + "block": "92c88f9e-7d51-4946-b0ef-088dcd8efa49", + "port": "inlabel" + }, + "vertices": [ + { + "x": 888, + "y": -216 + } + ] + }, + { + "source": { + "block": "fa7f43f2-cbb2-47e0-bcc5-32336f9cd8ed", + "port": "outlabel" + }, + "target": { + "block": "bd61136e-6597-4728-a8c3-141a1841150b", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "45bd338b-4745-4b06-b199-462cdaffa31d", + "port": "outlabel" + }, + "target": { + "block": "d59fae1c-4ff0-418f-9a6e-440a854abd17", + "port": "ba15eacb-1e03-4580-932b-3231703481e5" + } + }, + { + "source": { + "block": "7d6ed080-252a-4f1b-8ec7-0f47d7866317", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "2e9e9e82-c651-4a6b-9d9e-4c796699d133", + "port": "inlabel" + } + }, + { + "source": { + "block": "d59fae1c-4ff0-418f-9a6e-440a854abd17", + "port": "3802390d-8417-4369-b93c-dda647ccb0c6" + }, + "target": { + "block": "5a3f8466-6a57-4607-939a-fb15222442a7", + "port": "inlabel" + } + }, + { + "source": { + "block": "c5781045-a890-4e66-8f67-80d0cb317ee6", + "port": "outlabel" + }, + "target": { + "block": "ac8b922a-9249-49a3-8b42-a1f259ccae2b", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "a756b829-42d1-4779-b42d-a9acc3800854", + "port": "outlabel" + }, + "target": { + "block": "49221b19-132a-4e3f-9a1f-97bcd463391b", + "port": "in" + } + }, + { + "source": { + "block": "8a5f0c79-7347-4b35-8e27-603ebed8bf9f", + "port": "outlabel" + }, + "target": { + "block": "2995df45-3084-41ce-ba62-1fd0b1bb5532", + "port": "065ea371-8398-43b3-8341-287c234a3acb" + } + }, + { + "source": { + "block": "10afc859-04fd-433d-823a-4fa4721320c1", + "port": "outlabel" + }, + "target": { + "block": "28aef1aa-1cc2-4bb4-9a70-2db1962258d4", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "28aef1aa-1cc2-4bb4-9a70-2db1962258d4", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "06b60a4f-b1b1-4a91-ba10-bdb5a7515718", + "port": "inlabel" + } + }, + { + "source": { + "block": "bd61136e-6597-4728-a8c3-141a1841150b", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "8c96c7ec-0c2f-4870-9197-46116cd1d134", + "port": "inlabel" + } + }, + { + "source": { + "block": "f9f37a77-fd08-4506-9c1b-cc53daa19559", + "port": "outlabel" + }, + "target": { + "block": "d76d4948-a798-448c-adfa-c03b511371e5", + "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" + } + }, + { + "source": { + "block": "cb426e3d-ace3-4a57-a74b-155caed0c14c", + "port": "out" + }, + "target": { + "block": "9291f94d-2d9a-4c3a-b7df-4299abf84886", + "port": "inlabel" + } + }, + { + "source": { + "block": "40607400-436e-4dea-b733-8308a06fd4ef", + "port": "outlabel" + }, + "target": { + "block": "d59fae1c-4ff0-418f-9a6e-440a854abd17", + "port": "046501b8-4427-4d4d-af97-7fe807774f33" + } + }, + { + "source": { + "block": "2438a009-c8e2-4acb-b443-90fe0ddd989d", + "port": "outlabel" + }, + "target": { + "block": "6a11eaf9-4bd5-405e-81f8-44418365326b", + "port": "in" + } + }, + { + "source": { + "block": "81fb4efb-363e-483e-b1df-c2ebda777355", + "port": "outlabel" + }, + "target": { + "block": "2995df45-3084-41ce-ba62-1fd0b1bb5532", + "port": "096f61b6-6d5c-4907-9512-e65b25969458" + } + }, + { + "source": { + "block": "d8820925-23d9-4f02-8491-adf813601d08", + "port": "outlabel" + }, + "target": { + "block": "d76d4948-a798-448c-adfa-c03b511371e5", + "port": "3943e194-090b-4553-9df3-88bc4b17abc2" + }, + "vertices": [ + { + "x": 1496, + "y": 280 + } + ] + }, + { + "source": { + "block": "dddd2a78-e0f8-4688-ad63-0c41ad98894b", + "port": "outlabel" + }, + "target": { + "block": "73948305-b05b-418f-88af-11328f400cdc", + "port": "in" + } + }, + { + "source": { + "block": "2995df45-3084-41ce-ba62-1fd0b1bb5532", + "port": "26cb5fd1-f03e-440e-add7-90181e93e0aa", + "size": 3 + }, + "target": { + "block": "bd54a999-6eb2-4eb1-a2f4-9924ae525a9e", + "port": "inlabel" + }, + "size": 3 + }, + { + "source": { + "block": "afac67ea-64eb-4387-856b-46ad5d276971", + "port": "outlabel" + }, + "target": { + "block": "2885a59c-c338-4e79-83bc-771f79ec7d2e", + "port": "in", + "size": 3 + }, + "size": 3 + }, + { + "source": { + "block": "5324c14f-171f-4f3c-9455-4c3252223087", + "port": "outlabel" + }, + "target": { + "block": "c1eb9971-3d0e-4bc9-8db1-3037e23b178e", + "port": "02895c3c-06cb-49d7-9e3f-012ee448d996", + "size": 3 + }, + "size": 3 + }, + { + "source": { + "block": "9e9bbee0-fcbc-4cf1-971f-096a8267abf2", + "port": "outlabel" + }, + "target": { + "block": "1f7aa5c6-97f4-41c1-bee5-75a87e23bf0e", + "port": "aff67440-6545-4e7f-96c7-f5cb83d6dc64", + "size": 3 + }, + "size": 3 + }, + { + "source": { + "block": "374613cb-968d-46d1-94a4-16686e7df28d", + "port": "constant-out" + }, + "target": { + "block": "2995df45-3084-41ce-ba62-1fd0b1bb5532", + "port": "f3b434e4-0c8f-4dd7-90c7-305189a807f1" + } + }, + { + "source": { + "block": "a19b8bcd-a0a4-4da0-9844-5e5c0306e231", + "port": "constant-out" + }, + "target": { + "block": "1f7aa5c6-97f4-41c1-bee5-75a87e23bf0e", + "port": "c4eedeee-32b3-41da-834c-48f3a92cbaec" + } + }, + { + "source": { + "block": "c1eb9971-3d0e-4bc9-8db1-3037e23b178e", + "port": "0344dacc-8583-456b-b377-8cb4ab97cf94" + }, + "target": { + "block": "7d6ed080-252a-4f1b-8ec7-0f47d7866317", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "ac8b922a-9249-49a3-8b42-a1f259ccae2b", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "28aef1aa-1cc2-4bb4-9a70-2db1962258d4", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "d76d4948-a798-448c-adfa-c03b511371e5", + "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" + }, + "target": { + "block": "ac8b922a-9249-49a3-8b42-a1f259ccae2b", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "bd61136e-6597-4728-a8c3-141a1841150b", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "7d6ed080-252a-4f1b-8ec7-0f47d7866317", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "d0005413-fea9-4b0b-8a18-9b15d4977bc1", + "port": "constant-out" + }, + "target": { + "block": "b9c98019-6a6f-44ba-9c4f-f0ff482f9ad2", + "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" + } + }, + { + "source": { + "block": "8f591b24-0427-409f-aa6e-ca1861556cf5", + "port": "out" + }, + "target": { + "block": "d59fae1c-4ff0-418f-9a6e-440a854abd17", + "port": "88176871-35ac-42bf-bf62-36c81a4b4b47" + } + }, + { + "source": { + "block": "ad4d0fc2-118e-496e-b292-c435bad20e7d", + "port": "out" + }, + "target": { + "block": "bd61136e-6597-4728-a8c3-141a1841150b", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "b9c98019-6a6f-44ba-9c4f-f0ff482f9ad2", + "port": "29384483-ab1f-4cd5-a1dd-7aa7aec6e2d0" + }, + "target": { + "block": "c1eb9971-3d0e-4bc9-8db1-3037e23b178e", + "port": "0867b1bc-1cc5-4707-8ddb-40d0318d237c" + }, + "size": 3 + }, + { + "source": { + "block": "1f7aa5c6-97f4-41c1-bee5-75a87e23bf0e", + "port": "b4b26cea-7b9f-4fb2-ba10-3c9c3010df55" + }, + "target": { + "block": "2995df45-3084-41ce-ba62-1fd0b1bb5532", + "port": "2bbc1f45-0a7e-42a6-abc4-84077c5507b2" + }, + "size": 3 + } + ] + } + } + }, + "3048aac04179d3c8aa21b28da9ad8bff04ce2899": { + "package": { + "name": "Registro", + "version": "0.1", + "description": "Registro de 3 bits con entrada de reset", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22519.236%22%20height=%22279.911%22%20viewBox=%220%200%20137.38127%2074.059853%22%3E%3Cpath%20d=%22M41.506%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M34.122%2032.088L24.89%2012.475l-6.45%203.724-2.07-3.583L37.276.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L33.017%2045.02s-2.849-3.695-2.16-6.795c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22translate(-93.518%20-22.665)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M81.95%2040.152l13.607%2016.672L88.64%2036.29%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M74.566%2032.088l-9.232-19.613-6.451%203.724-2.069-3.583L77.719.546l2.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.772L73.46%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M121.637%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M114.253%2032.088l-9.232-19.613-6.45%203.724-2.07-3.583L117.407.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L113.147%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "096f61b6-6d5c-4907-9512-e65b25969458", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 80, + "y": 168 + } + }, + { + "id": "a2b70876-c7c0-4208-a403-eed81e32fe5a", + "type": "basic.input", + "data": { + "name": "rst", + "clock": false + }, + "position": { + "x": 80, + "y": 216 + } + }, + { + "id": "26cb5fd1-f03e-440e-add7-90181e93e0aa", + "type": "basic.output", + "data": { + "name": "q", + "range": "[2:0]", + "size": 3 + }, + "position": { + "x": 632, + "y": 248 + } + }, + { + "id": "2bbc1f45-0a7e-42a6-abc4-84077c5507b2", + "type": "basic.input", + "data": { + "name": "", + "range": "[2:0]", + "clock": false, + "size": 3 + }, + "position": { + "x": 80, + "y": 272 + } + }, + { + "id": "065ea371-8398-43b3-8341-287c234a3acb", + "type": "basic.input", + "data": { + "name": "load", + "clock": false + }, + "position": { + "x": 80, + "y": 320 + } + }, + { + "id": "f3b434e4-0c8f-4dd7-90c7-305189a807f1", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 376, + "y": 56 + } + }, + { + "id": "32106310-bfdc-41db-9a7c-2dadd5016c3f", + "type": "basic.code", + "data": { + "code": "localparam N = 3;\n\nreg [N-1:0] q = INI;\n\nalways @(posedge clk)\n if (rst)\n q <= 0;\n else\n if (load)\n q <= d;", + "params": [ + { + "name": "INI" + } + ], + "ports": { + "in": [ + { + "name": "clk" + }, + { + "name": "rst" + }, + { + "name": "d", + "range": "[2:0]", + "size": 3 + }, + { + "name": "load" + } + ], + "out": [ + { + "name": "q", + "range": "[2:0]", + "size": 3 + } + ] + } + }, + "position": { + "x": 280, + "y": 176 + }, + "size": { + "width": 288, + "height": 200 + } + } + ], + "wires": [ + { + "source": { + "block": "f3b434e4-0c8f-4dd7-90c7-305189a807f1", + "port": "constant-out" + }, + "target": { + "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", + "port": "INI" + }, + "vertices": [] + }, + { + "source": { + "block": "065ea371-8398-43b3-8341-287c234a3acb", + "port": "out" + }, + "target": { + "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", + "port": "load" + } + }, + { + "source": { + "block": "096f61b6-6d5c-4907-9512-e65b25969458", + "port": "out" + }, + "target": { + "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", + "port": "clk" + } + }, + { + "source": { + "block": "a2b70876-c7c0-4208-a403-eed81e32fe5a", + "port": "out" + }, + "target": { + "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", + "port": "rst" + } + }, + { + "source": { + "block": "2bbc1f45-0a7e-42a6-abc4-84077c5507b2", + "port": "out" + }, + "target": { + "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", + "port": "d" + }, + "size": 3 + }, + { + "source": { + "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", + "port": "q" + }, + "target": { + "block": "26cb5fd1-f03e-440e-add7-90181e93e0aa", + "port": "in" + }, + "size": 3 + } + ] + } + } + }, + "b40da6e557650abc55a25a2c69a6511959dc84e2": { + "package": { + "name": "Comparador de dos operandos", + "version": "0.1", + "description": "Comparador de dos operandos de 3 bits", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22312.756%22%20height=%22168.82%22%20viewBox=%220%200%20293.20803%20158.26888%22%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22178.324%22%20y=%22457.047%22%20font-size=%2296.3%22%20transform=%22matrix(4.864%200%200%204.864%20-916.998%20-1997.335)%22%20fill=%22#00f%22%20stroke-width=%22.057%22%20font-weight=%22400%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%3E%3Ctspan%20x=%22178.324%22%20y=%22457.047%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20stroke-width=%22.206%22%3E=%3C/tspan%3E%3C/text%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "0867b1bc-1cc5-4707-8ddb-40d0318d237c", + "type": "basic.input", + "data": { + "name": "", + "range": "[2:0]", + "clock": false, + "size": 3 + }, + "position": { + "x": 152, + "y": 104 + } + }, + { + "id": "0344dacc-8583-456b-b377-8cb4ab97cf94", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 616, + "y": 160 + } + }, + { + "id": "02895c3c-06cb-49d7-9e3f-012ee448d996", + "type": "basic.input", + "data": { + "name": "", + "range": "[2:0]", + "clock": false, + "size": 3 + }, + "position": { + "x": 152, + "y": 200 + } + }, + { + "id": "9c811723-c900-4ceb-9989-036b071ee3fe", + "type": "basic.code", + "data": { + "code": "assign eq = (a == b);", + "params": [], + "ports": { + "in": [ + { + "name": "a", + "range": "[2:0]", + "size": 3 + }, + { + "name": "b", + "range": "[2:0]", + "size": 3 + } + ], + "out": [ + { + "name": "eq" + } + ] + } + }, + "position": { + "x": 344, + "y": 160 + }, + "size": { + "width": 224, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "9c811723-c900-4ceb-9989-036b071ee3fe", + "port": "eq" + }, + "target": { + "block": "0344dacc-8583-456b-b377-8cb4ab97cf94", + "port": "in" + } + }, + { + "source": { + "block": "02895c3c-06cb-49d7-9e3f-012ee448d996", + "port": "out" + }, + "target": { + "block": "9c811723-c900-4ceb-9989-036b071ee3fe", + "port": "b" + }, + "size": 3 + }, + { + "source": { + "block": "0867b1bc-1cc5-4707-8ddb-40d0318d237c", + "port": "out" + }, + "target": { + "block": "9c811723-c900-4ceb-9989-036b071ee3fe", + "port": "a" + }, + "size": 3 + } + ] + } + } + }, + "e81274ef9d736a1810cc627ec4f61d7016e01548": { + "package": { + "name": "Constante-3bits", + "version": "0.0.1", + "description": "Valor genérico constante (menos 1), de 3 bits. Su valor se introduce como parámetro. Por defecto vale 0", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22489.056%22%20height=%22247.927%22%20viewBox=%220%200%20458.49013%20232.43134%22%3E%3Ctext%20style=%22line-height:0%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22293.115%22%20y=%22648.344%22%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22%20transform=%22translate(-316.929%20-415.913)%22%3E%3Ctspan%20x=%22293.115%22%20y=%22648.344%22%20style=%22line-height:1.25%22%20font-size=%22335.399%22%3Ek-1%3C/tspan%3E%3C/text%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "29384483-ab1f-4cd5-a1dd-7aa7aec6e2d0", + "type": "basic.output", + "data": { + "name": "k", + "range": "[2:0]", + "size": 3 + }, + "position": { + "x": 960, + "y": 248 + } + }, + { + "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "type": "basic.constant", + "data": { + "name": "", + "value": "1", + "local": false + }, + "position": { + "x": 728, + "y": 112 + } + }, + { + "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "type": "basic.code", + "data": { + "code": "assign k = VALUE-1;", + "params": [ + { + "name": "VALUE" + } + ], + "ports": { + "in": [], + "out": [ + { + "name": "k", + "range": "[2:0]", + "size": 3 + } + ] + } + }, + "position": { + "x": 672, + "y": 248 + }, + "size": { + "width": 208, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "port": "constant-out" + }, + "target": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "VALUE" + } + }, + { + "source": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "k" + }, + "target": { + "block": "29384483-ab1f-4cd5-a1dd-7aa7aec6e2d0", + "port": "in" + }, + "size": 3 + } + ] + } + } + }, + "46aa41432f266626cbc7fbbbeb9fba9fe9be3d0f": { + "package": { + "name": "sum-1op-3bits", + "version": "0.1", + "description": "Sumador de un operando de 3 bits con una constante pasada como parámetro (No hay accarreo)", + "author": "Juan González-Gómez", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22-33.052%22%20y=%22195.572%22%20font-weight=%22400%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%224.441%22%3E%3Ctspan%20x=%22-33.052%22%20y=%22195.572%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%3E+%3C/tspan%3E%3C/text%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "aff67440-6545-4e7f-96c7-f5cb83d6dc64", + "type": "basic.input", + "data": { + "name": "", + "range": "[2:0]", + "clock": false, + "size": 3 + }, + "position": { + "x": 208, + "y": 192 + } + }, + { + "id": "b4b26cea-7b9f-4fb2-ba10-3c9c3010df55", + "type": "basic.output", + "data": { + "name": "", + "range": "[2:0]", + "size": 3 + }, + "position": { + "x": 672, + "y": 192 + } + }, + { + "id": "c4eedeee-32b3-41da-834c-48f3a92cbaec", + "type": "basic.constant", + "data": { + "name": "", + "value": "1", + "local": false + }, + "position": { + "x": 448, + "y": 96 + } + }, + { + "id": "a8d15f9d-bba5-432f-b698-17964638c83a", + "type": "basic.code", + "data": { + "code": "assign s = a + k;", + "params": [ + { + "name": "k" + } + ], + "ports": { + "in": [ + { + "name": "a", + "range": "[2:0]", + "size": 3 + } + ], + "out": [ + { + "name": "s", + "range": "[2:0]", + "size": 3 + } + ] + } + }, + "position": { + "x": 384, + "y": 192 + }, + "size": { + "width": 216, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "c4eedeee-32b3-41da-834c-48f3a92cbaec", + "port": "constant-out" + }, + "target": { + "block": "a8d15f9d-bba5-432f-b698-17964638c83a", + "port": "k" + } + }, + { + "source": { + "block": "aff67440-6545-4e7f-96c7-f5cb83d6dc64", + "port": "out" + }, + "target": { + "block": "a8d15f9d-bba5-432f-b698-17964638c83a", + "port": "a" + }, + "size": 3 + }, + { + "source": { + "block": "a8d15f9d-bba5-432f-b698-17964638c83a", + "port": "s" + }, + "target": { + "block": "b4b26cea-7b9f-4fb2-ba10-3c9c3010df55", + "port": "in" + }, + "size": 3 + } + ] + } + } + }, + "5ad97e1e35a295d0ec722addd6df97c806fc6b7c": { + "package": { + "name": "Constante-8bits", + "version": "0.0.1", + "description": "Valor genérico constante, de 8 bits. Su valor se introduce como parámetro. Por defecto vale 0", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22293.115%22%20y=%22648.344%22%20font-weight=%22400%22%20font-size=%22335.399%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22%20transform=%22translate(-316.929%20-415.913)%22%3E%3Ctspan%20x=%22293.115%22%20y=%22648.344%22%3Ek%3C/tspan%3E%3C/text%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "a9d6830d-5cc7-4f63-a068-35181d2537bc", + "type": "basic.output", + "data": { + "name": "k", + "range": "[7:0]", + "size": 8 + }, + "position": { + "x": 960, + "y": 248 + } + }, + { + "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 728, + "y": 128 + } + }, + { + "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "type": "basic.code", + "data": { + "code": "assign k = VALUE;", + "params": [ + { + "name": "VALUE" + } + ], + "ports": { + "in": [], + "out": [ + { + "name": "k", + "range": "[7:0]", + "size": 8 + } + ] + } + }, + "position": { + "x": 672, + "y": 248 + }, + "size": { + "width": 208, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "port": "constant-out" + }, + "target": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "VALUE" + } + }, + { + "source": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "k" + }, + "target": { + "block": "a9d6830d-5cc7-4f63-a068-35181d2537bc", + "port": "in" + }, + "size": 8 + } + ] + } + } + }, + "76e9bf5917324c0b23b09d1e053d27b97cd37958": { + "package": { + "name": "Mux 8 a 1 de 8 bits", + "version": "0.0.1", + "description": "Multiplexor de 8 a 1 de 8 bits", + "author": "Juan Gonzalez-Gomez (obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2246.347%22%20height=%22207.309%22%20viewBox=%220%200%2043.450559%20194.35299%22%3E%3Cpath%20d=%22M42.044%2024.123c0-8.141-3.893-15.66-10.206-19.713C25.525.358%2017.757.393%2011.473%204.5%205.189%208.61%201.349%2016.164%201.407%2024.305v145.745c-.058%208.141%203.782%2015.695%2010.066%2019.803%206.284%204.108%2014.052%204.143%2020.365.09%206.313-4.051%2010.206-11.57%2010.206-19.712z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:0%25%22%20x=%2216.068%22%20y=%2270.768%22%20font-weight=%22400%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20transform=%22translate(0%2023.677)%22%3E%3Ctspan%20x=%2216.068%22%20y=%2270.768%22%20style=%22line-height:1.25%22%20font-size=%2218.75%22%3E4%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:0%25%22%20x=%2216.018%22%20y=%2227.719%22%20font-weight=%22400%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20transform=%22translate(0%2023.677)%22%3E%3Ctspan%20x=%2216.018%22%20y=%2227.719%22%20style=%22line-height:1.25%22%20font-size=%2218.75%22%3E6%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:0%25%22%20x=%2216.068%22%20y=%22113.534%22%20font-weight=%22400%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20transform=%22translate(0%2023.677)%22%3E%3Ctspan%20x=%2216.068%22%20y=%22113.534%22%20style=%22line-height:1.25%22%20font-size=%2218.75%22%3E2%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:0%25%22%20x=%2216.068%22%20y=%22156.655%22%20font-weight=%22400%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20transform=%22translate(0%2023.677)%22%3E%3Ctspan%20x=%2216.068%22%20y=%22156.655%22%20style=%22line-height:1.25%22%20font-size=%2218.75%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:0%25%22%20x=%2216.068%22%20y=%22135.709%22%20font-weight=%22400%22%20font-size=%2212%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20transform=%22translate(0%2023.677)%22%3E%3Ctspan%20x=%2216.068%22%20y=%22135.709%22%20style=%22line-height:1.25%22%20font-size=%2218.75%22%3E1%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:0%25%22%20x=%2216.068%22%20y=%2290.928%22%20font-weight=%22400%22%20font-size=%2212%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20transform=%22translate(0%2023.677)%22%3E%3Ctspan%20x=%2216.068%22%20y=%2290.928%22%20style=%22line-height:1.25%22%20font-size=%2218.75%22%3E3%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:0%25%22%20x=%2216.018%22%20y=%2249.391%22%20font-weight=%22400%22%20font-size=%2212%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20transform=%22translate(0%2023.677)%22%3E%3Ctspan%20x=%2216.018%22%20y=%2249.391%22%20style=%22line-height:1.25%22%20font-size=%2218.75%22%3E5%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:0%25%22%20x=%2216.018%22%20y=%227.56%22%20font-weight=%22400%22%20font-size=%2212%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20transform=%22translate(0%2023.677)%22%3E%3Ctspan%20x=%2216.018%22%20y=%227.56%22%20style=%22line-height:1.25%22%20font-size=%2218.75%22%3E7%3C/tspan%3E%3C/text%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "abefa6ff-9055-4c8d-b95d-8e9fd58af84b", + "type": "basic.input", + "data": { + "name": "i7", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": -688, + "y": -200 + } + }, + { + "id": "5cd75127-0a10-468a-963b-7f1c19ddbc86", + "type": "basic.input", + "data": { + "name": "i6", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": -728, + "y": -152 + } + }, + { + "id": "54179926-212d-4ef0-a9f5-25fb87ae2a30", + "type": "basic.input", + "data": { + "name": "i5", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": -760, + "y": -96 + } + }, + { + "id": "9961181f-08e5-4dbe-b97e-8635380f3a0a", + "type": "basic.input", + "data": { + "name": "i4", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": -816, + "y": -40 + } + }, + { + "id": "fbc03b1e-bcb0-42b2-9b09-33968aa35d0f", + "type": "basic.input", + "data": { + "name": "i3", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": -704, + "y": -8 + } + }, + { + "id": "820e19c9-d979-418f-b2e5-d806fa3caca3", + "type": "basic.output", + "data": { + "name": "o", + "range": "[7:0]", + "size": 8 + }, + "position": { + "x": 0, + "y": -8 + } + }, + { + "id": "350946d5-2f29-4190-bcf2-e14e17224bb4", + "type": "basic.input", + "data": { + "name": "i2", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": -816, + "y": 24 + } + }, + { + "id": "bc9544e0-2199-4d6a-a6b9-6550b7dd7633", + "type": "basic.input", + "data": { + "name": "i1", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": -704, + "y": 80 + } + }, + { + "id": "1f4c1c55-ee75-41d6-8590-b4e650d636cc", + "type": "basic.input", + "data": { + "name": "i0", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": -816, + "y": 120 + } + }, + { + "id": "44e5ba90-81ba-4bca-9da0-20cee9c72d70", + "type": "basic.input", + "data": { + "name": "sel", + "range": "[2:0]", + "clock": false, + "size": 3 + }, + "position": { + "x": -608, + "y": 168 + } + }, + { + "id": "34e6d77b-15a8-4b7c-8c41-09e9b8d4d2be", + "type": "basic.code", + "data": { + "code": "//-- Multiplexor de 8 a 1, \n//-- de 8 bits\n\nassign o = (s == 3'h0) ? i0 :\n (s == 3'h1) ? i1 :\n (s == 3'h2) ? i2 : \n (s == 3'h3) ? i3 :\n (s == 3'h4) ? i4 :\n (s == 3'h5) ? i5 :\n (s == 3'h6) ? i6 :\n (s == 3'h7) ? i7 : \n 3'h0;\n \n\n", + "params": [], + "ports": { + "in": [ + { + "name": "i7", + "range": "[7:0]", + "size": 8 + }, + { + "name": "i6", + "range": "[7:0]", + "size": 8 + }, + { + "name": "i5", + "range": "[7:0]", + "size": 8 + }, + { + "name": "i4", + "range": "[7:0]", + "size": 8 + }, + { + "name": "i3", + "range": "[7:0]", + "size": 8 + }, + { + "name": "i2", + "range": "[7:0]", + "size": 8 + }, + { + "name": "i1", + "range": "[7:0]", + "size": 8 + }, + { + "name": "i0", + "range": "[7:0]", + "size": 8 + }, + { + "name": "s", + "range": "[2:0]", + "size": 3 + } + ], + "out": [ + { + "name": "o", + "range": "[7:0]", + "size": 8 + } + ] + } + }, + "position": { + "x": -464, + "y": -104 + }, + "size": { + "width": 352, + "height": 256 + } + } + ], + "wires": [ + { + "source": { + "block": "34e6d77b-15a8-4b7c-8c41-09e9b8d4d2be", + "port": "o" + }, + "target": { + "block": "820e19c9-d979-418f-b2e5-d806fa3caca3", + "port": "in" + }, + "size": 8 + }, + { + "source": { + "block": "bc9544e0-2199-4d6a-a6b9-6550b7dd7633", + "port": "out" + }, + "target": { + "block": "34e6d77b-15a8-4b7c-8c41-09e9b8d4d2be", + "port": "i1" + }, + "vertices": [ + { + "x": -528, + "y": 96 + } + ], + "size": 8 + }, + { + "source": { + "block": "44e5ba90-81ba-4bca-9da0-20cee9c72d70", + "port": "out" + }, + "target": { + "block": "34e6d77b-15a8-4b7c-8c41-09e9b8d4d2be", + "port": "s" + }, + "size": 3 + }, + { + "source": { + "block": "1f4c1c55-ee75-41d6-8590-b4e650d636cc", + "port": "out" + }, + "target": { + "block": "34e6d77b-15a8-4b7c-8c41-09e9b8d4d2be", + "port": "i0" + }, + "size": 8 + }, + { + "source": { + "block": "350946d5-2f29-4190-bcf2-e14e17224bb4", + "port": "out" + }, + "target": { + "block": "34e6d77b-15a8-4b7c-8c41-09e9b8d4d2be", + "port": "i2" + }, + "size": 8 + }, + { + "source": { + "block": "fbc03b1e-bcb0-42b2-9b09-33968aa35d0f", + "port": "out" + }, + "target": { + "block": "34e6d77b-15a8-4b7c-8c41-09e9b8d4d2be", + "port": "i3" + }, + "size": 8 + }, + { + "source": { + "block": "9961181f-08e5-4dbe-b97e-8635380f3a0a", + "port": "out" + }, + "target": { + "block": "34e6d77b-15a8-4b7c-8c41-09e9b8d4d2be", + "port": "i4" + }, + "size": 8 + }, + { + "source": { + "block": "abefa6ff-9055-4c8d-b95d-8e9fd58af84b", + "port": "out" + }, + "target": { + "block": "34e6d77b-15a8-4b7c-8c41-09e9b8d4d2be", + "port": "i7" + }, + "size": 8 + }, + { + "source": { + "block": "5cd75127-0a10-468a-963b-7f1c19ddbc86", + "port": "out" + }, + "target": { + "block": "34e6d77b-15a8-4b7c-8c41-09e9b8d4d2be", + "port": "i6" + }, + "vertices": [ + { + "x": -536, + "y": -80 + } + ], + "size": 8 + }, + { + "source": { + "block": "54179926-212d-4ef0-a9f5-25fb87ae2a30", + "port": "out" + }, + "target": { + "block": "34e6d77b-15a8-4b7c-8c41-09e9b8d4d2be", + "port": "i5" + }, + "vertices": [ + { + "x": -592, + "y": -56 + } + ], + "size": 8 + } + ] + } + } + }, + "917222cfe011f858474de6c5cca950f371ad3092": { + "package": { + "name": "Agregador-bus", + "version": "0.1", + "description": "Agregador de 2 buses de 4-bits a bus de 8-bits", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "57c25692-5ca1-4121-b89c-9f8df7d3a982", + "type": "basic.input", + "data": { + "name": "i1", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": 112, + "y": 144 + } + }, + { + "id": "0eaf305e-e0d9-4382-9f75-39e9d87675a9", + "type": "basic.output", + "data": { + "name": "o", + "range": "[7:0]", + "size": 8 + }, + "position": { + "x": 584, + "y": 200 + } + }, + { + "id": "e2fdbdf3-2829-4da0-be8e-767ac683d0c6", + "type": "basic.input", + "data": { + "name": "i0", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": 112, + "y": 272 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o = {i1, i0};\n", + "params": [], + "ports": { + "in": [ + { + "name": "i1", + "range": "[3:0]", + "size": 4 + }, + { + "name": "i0", + "range": "[3:0]", + "size": 4 + } + ], + "out": [ + { + "name": "o", + "range": "[7:0]", + "size": 8 + } + ] + } + }, + "position": { + "x": 296, + "y": 176 + }, + "size": { + "width": 224, + "height": 112 + } + } + ], + "wires": [ + { + "source": { + "block": "e2fdbdf3-2829-4da0-be8e-767ac683d0c6", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" + }, + "size": 4 + }, + { + "source": { + "block": "57c25692-5ca1-4121-b89c-9f8df7d3a982", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" + }, + "size": 4 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" + }, + "target": { + "block": "0eaf305e-e0d9-4382-9f75-39e9d87675a9", + "port": "in" + }, + "size": 8 + } + ] + } + } + }, + "fa0a5e4acef8e9c148dc4c7d7e8f918f565477cd": { + "package": { + "name": "Registro", + "version": "0.1", + "description": "Registro de 4 bits", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22519.236%22%20height=%22279.911%22%20viewBox=%220%200%20137.38127%2074.059853%22%3E%3Cpath%20d=%22M41.506%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M34.122%2032.088L24.89%2012.475l-6.45%203.724-2.07-3.583L37.276.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L33.017%2045.02s-2.849-3.695-2.16-6.795c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22translate(-93.518%20-22.665)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M81.95%2040.152l13.607%2016.672L88.64%2036.29%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M74.566%2032.088l-9.232-19.613-6.451%203.724-2.069-3.583L77.719.546l2.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.772L73.46%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M121.637%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M114.253%2032.088l-9.232-19.613-6.45%203.724-2.07-3.583L117.407.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L113.147%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "096f61b6-6d5c-4907-9512-e65b25969458", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 80, + "y": 176 + } + }, + { + "id": "ee31ca02-b981-4474-a62e-85f20302435c", + "type": "basic.input", + "data": { + "name": "", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": 80, + "y": 248 + } + }, + { + "id": "f655c5c0-0157-4195-89ac-f92c5b3f8e0b", + "type": "basic.output", + "data": { + "name": "q", + "range": "[3:0]", + "size": 4 + }, + "position": { + "x": 632, + "y": 248 + } + }, + { + "id": "065ea371-8398-43b3-8341-287c234a3acb", + "type": "basic.input", + "data": { + "name": "load", + "clock": false + }, + "position": { + "x": 80, + "y": 312 + } + }, + { + "id": "f3b434e4-0c8f-4dd7-90c7-305189a807f1", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 376, + "y": 56 + } + }, + { + "id": "32106310-bfdc-41db-9a7c-2dadd5016c3f", + "type": "basic.code", + "data": { + "code": "localparam N = 4;\n\nreg [N-1:0] q = INI;\n\nalways @(posedge clk)\n if (load)\n q <= d;", + "params": [ + { + "name": "INI" + } + ], + "ports": { + "in": [ + { + "name": "clk" + }, + { + "name": "d", + "range": "[3:0]", + "size": 4 + }, + { + "name": "load" + } + ], + "out": [ + { + "name": "q", + "range": "[3:0]", + "size": 4 + } + ] + } + }, + "position": { + "x": 280, + "y": 176 + }, + "size": { + "width": 288, + "height": 200 + } + } + ], + "wires": [ + { + "source": { + "block": "f3b434e4-0c8f-4dd7-90c7-305189a807f1", + "port": "constant-out" + }, + "target": { + "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", + "port": "INI" + }, + "vertices": [] + }, + { + "source": { + "block": "065ea371-8398-43b3-8341-287c234a3acb", + "port": "out" + }, + "target": { + "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", + "port": "load" + } + }, + { + "source": { + "block": "096f61b6-6d5c-4907-9512-e65b25969458", + "port": "out" + }, + "target": { + "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", + "port": "clk" + } + }, + { + "source": { + "block": "ee31ca02-b981-4474-a62e-85f20302435c", + "port": "out" + }, + "target": { + "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", + "port": "d" + }, + "size": 4 + }, + { + "source": { + "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", + "port": "q" + }, + "target": { + "block": "f655c5c0-0157-4195-89ac-f92c5b3f8e0b", + "port": "in" + }, + "size": 4 + } + ] + } + } + }, + "73403c45b7d6c8a2f38a63b138254c4d2f2449b1": { + "package": { + "name": "Separador-bus", + "version": "0.1", + "description": "Separador de bus de 8-bits en buses de 4 bits", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "bdc69e70-a23c-4f1b-99df-3cf0ed126746", + "type": "basic.output", + "data": { + "name": "o1", + "range": "[3:0]", + "size": 4 + }, + "position": { + "x": 584, + "y": 144 + } + }, + { + "id": "1f5c81aa-ebb1-4cd7-87fd-b9092de9a34f", + "type": "basic.input", + "data": { + "name": "i", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": 120, + "y": 200 + } + }, + { + "id": "e91fbbe5-8055-4686-9ff0-2894026e8306", + "type": "basic.output", + "data": { + "name": "o0", + "range": "[3:0]", + "size": 4 + }, + "position": { + "x": 584, + "y": 272 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o1 = i[7:4];\nassign o0 = i[3:0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[7:0]", + "size": 8 + } + ], + "out": [ + { + "name": "o1", + "range": "[3:0]", + "size": 4 + }, + { + "name": "o0", + "range": "[3:0]", + "size": 4 + } + ] + } + }, + "position": { + "x": 296, + "y": 176 + }, + "size": { + "width": 208, + "height": 112 + } + } + ], + "wires": [ + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" + }, + "target": { + "block": "bdc69e70-a23c-4f1b-99df-3cf0ed126746", + "port": "in" + }, + "size": 4 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" + }, + "target": { + "block": "e91fbbe5-8055-4686-9ff0-2894026e8306", + "port": "in" + }, + "size": 4 + }, + { + "source": { + "block": "1f5c81aa-ebb1-4cd7-87fd-b9092de9a34f", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 8 + } + ] } } }