diff --git a/Firmware/hdl/art_card_Top.vhd b/Firmware/hdl/art_card_Top.vhd index f846ad5..075b863 100644 --- a/Firmware/hdl/art_card_Top.vhd +++ b/Firmware/hdl/art_card_Top.vhd @@ -226,7 +226,7 @@ architecture rtl of art_card_Top is ); end component art_card_pd; - constant CST_FIRMWARE_VERSION: std_logic_vector(31 downto 0) := x"0000000E"; + constant CST_FIRMWARE_VERSION: std_logic_vector(31 downto 0) := x"0000000F"; signal eeprom_sda_out: std_logic; signal eeprom_scl_out: std_logic; diff --git a/Firmware/quartus/gold_number_art_card.hex b/Firmware/quartus/gold_number_art_card.hex index ee817a3..f16f502 100644 --- a/Firmware/quartus/gold_number_art_card.hex +++ b/Firmware/quartus/gold_number_art_card.hex @@ -10,7 +10,7 @@ :0100090030C6 :01000A0030C5 :01000B0030C4 -:01000C0045AE +:01000C0046AD :01000D0000F2 :01000E0000F1 :01000F0000F0 diff --git a/Firmware/quartus/ip/mRO50/mro50.vhd b/Firmware/quartus/ip/mRO50/mro50.vhd index 47df825..bd4c89a 100644 --- a/Firmware/quartus/ip/mRO50/mro50.vhd +++ b/Firmware/quartus/ip/mRO50/mro50.vhd @@ -419,37 +419,37 @@ begin Sending_State <= SENDING_DATA; -- automatic read of status elsif (tick_loop = '1') and (running_mro = '1') then - case preinit_cnt is - when "000" => - preinit_cnt <= "001"; - expected_resp <= CST_RESP_00B; - ptr_Rom <= CST_PTR_SET_A0; - treat <= CST_TREAT_READ_NOTHING; - Sending_State <= SENDING_DATA; - when "001" => - preinit_cnt <= "010"; - expected_resp <= CST_RESP_00B; - ptr_Rom <= CST_PTR_SET_B0; - treat <= CST_TREAT_READ_NOTHING; - Sending_State <= SENDING_DATA; - when "010" => - preinit_cnt <= "011"; - expected_resp <= CST_RESP_08B; - ptr_Rom <= CST_PTR_READ_A; - treat <= CST_TREAT_READ_A; - Sending_State <= SENDING_DATA; - when "011" => - preinit_cnt <= "100"; - expected_resp <= CST_RESP_08B; - ptr_Rom <= CST_PTR_READ_B; - treat <= CST_TREAT_READ_B; - Sending_State <= SENDING_DATA; - when others => +-- case preinit_cnt is +-- when "000" => +-- preinit_cnt <= "001"; +-- expected_resp <= CST_RESP_00B; +-- ptr_Rom <= CST_PTR_SET_A0; +-- treat <= CST_TREAT_READ_NOTHING; +-- Sending_State <= SENDING_DATA; +-- when "001" => +-- preinit_cnt <= "010"; +-- expected_resp <= CST_RESP_00B; +-- ptr_Rom <= CST_PTR_SET_B0; +-- treat <= CST_TREAT_READ_NOTHING; +-- Sending_State <= SENDING_DATA; +-- when "010" => +-- preinit_cnt <= "011"; +-- expected_resp <= CST_RESP_08B; +-- ptr_Rom <= CST_PTR_READ_A; +-- treat <= CST_TREAT_READ_A; +-- Sending_State <= SENDING_DATA; +-- when "011" => +-- preinit_cnt <= "100"; +-- expected_resp <= CST_RESP_08B; +-- ptr_Rom <= CST_PTR_READ_B; +-- treat <= CST_TREAT_READ_B; +-- Sending_State <= SENDING_DATA; +-- when others => expected_resp <= CST_RESP_60B; ptr_Rom <= CST_PTR_READ_STATUS; treat <= CST_TREAT_READ_STATUS; Sending_State <= SENDING_DATA; - end case; +-- end case; end if; end if; when SENDING_DATA => diff --git a/Firmware/quartus/output_files/art_card.sof b/Firmware/quartus/output_files/art_card.sof index 88c9d6d..ff028fa 100644 Binary files a/Firmware/quartus/output_files/art_card.sof and b/Firmware/quartus/output_files/art_card.sof differ diff --git a/Firmware/quartus/output_files/art_card_factory.sof b/Firmware/quartus/output_files/art_card_factory.sof index 88c9d6d..ff028fa 100644 Binary files a/Firmware/quartus/output_files/art_card_factory.sof and b/Firmware/quartus/output_files/art_card_factory.sof differ diff --git a/Firmware/quartus/output_files/art_card_v14_update.zip b/Firmware/quartus/output_files/art_card_v14_update.zip deleted file mode 100644 index 3424f7d..0000000 Binary files a/Firmware/quartus/output_files/art_card_v14_update.zip and /dev/null differ diff --git a/Firmware/quartus/output_files/art_card_v14_usb.zip b/Firmware/quartus/output_files/art_card_v14_usb.zip deleted file mode 100644 index 1139685..0000000 Binary files a/Firmware/quartus/output_files/art_card_v14_usb.zip and /dev/null differ diff --git a/Firmware/quartus/output_files/art_card_v15_update.zip b/Firmware/quartus/output_files/art_card_v15_update.zip new file mode 100644 index 0000000..8af13b1 Binary files /dev/null and b/Firmware/quartus/output_files/art_card_v15_update.zip differ diff --git a/Firmware/quartus/output_files/art_card_v15_usb.zip b/Firmware/quartus/output_files/art_card_v15_usb.zip new file mode 100644 index 0000000..40a74cd Binary files /dev/null and b/Firmware/quartus/output_files/art_card_v15_usb.zip differ