Emulate Docker CLI using podman. Create /etc/containers/nodocker to quiet msg. git clone https://github.com/RTimothyEdwards/open_pdks.git /project/fun/openlane/pdk_root/open_pdks Initialized empty Git repository in /project/fun/openlane/pdk_root/open_pdks/.git/ remote: Enumerating objects: 114, done. remote: Counting objects: 0% (1/114) remote: Counting objects: 1% (2/114) remote: Counting objects: 2% (3/114) remote: Counting objects: 3% (4/114) remote: Counting objects: 4% (5/114) remote: Counting objects: 5% (6/114) remote: Counting objects: 6% (7/114) remote: Counting objects: 7% (8/114) remote: Counting objects: 8% (10/114) remote: Counting objects: 9% (11/114) remote: Counting objects: 10% (12/114) remote: Counting objects: 11% (13/114) remote: Counting objects: 12% (14/114) remote: Counting objects: 13% (15/114) remote: Counting objects: 14% (16/114) remote: Counting objects: 15% (18/114) remote: Counting objects: 16% (19/114) remote: Counting objects: 17% (20/114) remote: Counting objects: 18% (21/114) remote: Counting objects: 19% (22/114) remote: Counting objects: 20% (23/114) remote: Counting objects: 21% (24/114) remote: Counting objects: 22% (26/114) remote: Counting objects: 23% (27/114) remote: Counting objects: 24% (28/114) remote: Counting objects: 25% (29/114) remote: Counting objects: 26% (30/114) remote: Counting objects: 27% (31/114) remote: Counting objects: 28% (32/114) remote: Counting objects: 29% (34/114) remote: Counting objects: 30% (35/114) remote: Counting objects: 31% (36/114) remote: Counting objects: 32% (37/114) remote: Counting objects: 33% (38/114) remote: Counting objects: 34% (39/114) remote: Counting objects: 35% (40/114) remote: Counting objects: 36% (42/114) remote: Counting objects: 37% (43/114) remote: Counting objects: 38% (44/114) remote: Counting objects: 39% (45/114) remote: Counting objects: 40% (46/114) remote: Counting objects: 41% (47/114) remote: Counting objects: 42% (48/114) remote: Counting objects: 43% (50/114) remote: Counting objects: 44% (51/114) remote: Counting objects: 45% (52/114) remote: Counting objects: 46% (53/114) remote: Counting objects: 47% (54/114) remote: Counting objects: 48% (55/114) remote: Counting objects: 49% (56/114) remote: Counting objects: 50% (57/114) remote: Counting objects: 51% (59/114) remote: Counting objects: 52% (60/114) remote: Counting objects: 53% (61/114) remote: Counting objects: 54% (62/114) remote: Counting objects: 55% (63/114) remote: Counting objects: 56% (64/114) remote: Counting objects: 57% (65/114) remote: Counting objects: 58% (67/114) remote: Counting objects: 59% (68/114) remote: Counting objects: 60% (69/114) remote: Counting objects: 61% (70/114) remote: Counting objects: 62% (71/114) remote: Counting objects: 63% (72/114) remote: Counting objects: 64% (73/114) remote: Counting objects: 65% (75/114) remote: Counting objects: 66% (76/114) remote: Counting objects: 67% (77/114) remote: Counting objects: 68% (78/114) remote: Counting objects: 69% (79/114) remote: Counting objects: 70% (80/114) remote: Counting objects: 71% (81/114) remote: Counting objects: 72% (83/114) remote: Counting objects: 73% (84/114) remote: Counting objects: 74% (85/114) remote: Counting objects: 75% (86/114) remote: Counting objects: 76% (87/114) remote: Counting objects: 77% (88/114) remote: Counting objects: 78% (89/114) remote: Counting objects: 79% (91/114) remote: Counting objects: 80% (92/114) remote: Counting objects: 81% (93/114) remote: Counting objects: 82% (94/114) remote: Counting objects: 83% (95/114) remote: Counting objects: 84% (96/114) remote: Counting objects: 85% (97/114) remote: Counting objects: 86% (99/114) remote: Counting objects: 87% (100/114) remote: Counting objects: 88% (101/114) remote: Counting objects: 89% (102/114) remote: Counting objects: 90% (103/114) remote: Counting objects: 91% (104/114) remote: Counting objects: 92% (105/114) remote: Counting objects: 93% (107/114) remote: Counting objects: 94% (108/114) remote: Counting objects: 95% (109/114) remote: Counting objects: 96% (110/114) remote: Counting objects: 97% (111/114) remote: Counting objects: 98% (112/114) remote: Counting objects: 99% (113/114) remote: Counting objects: 100% (114/114) remote: Counting objects: 100% (114/114), done. remote: Compressing objects: 2% (1/48) remote: Compressing objects: 4% (2/48) remote: Compressing objects: 6% (3/48) remote: Compressing objects: 8% (4/48) remote: Compressing objects: 10% (5/48) remote: Compressing objects: 12% (6/48) remote: Compressing objects: 14% (7/48) remote: Compressing objects: 16% (8/48) remote: Compressing objects: 18% (9/48) remote: Compressing objects: 20% (10/48) remote: Compressing objects: 22% (11/48) remote: Compressing objects: 25% (12/48) remote: Compressing objects: 27% (13/48) remote: Compressing objects: 29% (14/48) remote: Compressing objects: 31% (15/48) remote: Compressing objects: 33% (16/48) remote: Compressing objects: 35% (17/48) remote: Compressing objects: 37% (18/48) remote: Compressing objects: 39% (19/48) remote: Compressing objects: 41% (20/48) remote: Compressing objects: 43% (21/48) remote: Compressing objects: 45% (22/48) remote: Compressing objects: 47% (23/48) remote: Compressing objects: 50% (24/48) remote: Compressing objects: 52% (25/48) remote: Compressing objects: 54% (26/48) remote: Compressing objects: 56% (27/48) remote: Compressing objects: 58% (28/48) remote: Compressing objects: 60% (29/48) remote: Compressing objects: 62% (30/48) remote: Compressing objects: 64% (31/48) remote: Compressing objects: 66% (32/48) remote: Compressing objects: 68% (33/48) remote: Compressing objects: 70% (34/48) remote: Compressing objects: 72% (35/48) remote: Compressing objects: 75% (36/48) remote: Compressing objects: 77% (37/48) remote: Compressing objects: 79% (38/48) remote: Compressing objects: 81% (39/48) remote: Compressing objects: 83% (40/48) remote: Compressing objects: 85% (41/48) remote: Compressing objects: 87% (42/48) remote: Compressing objects: 89% (43/48) remote: Compressing objects: 91% (44/48) remote: Compressing objects: 93% (45/48) remote: Compressing objects: 95% (46/48) remote: Compressing objects: 97% (47/48) remote: Compressing objects: 100% (48/48) remote: Compressing objects: 100% (48/48), done. Receiving objects: 0% (1/1455) Receiving objects: 1% (15/1455) Receiving objects: 2% (30/1455) Receiving objects: 3% (44/1455) Receiving objects: 4% (59/1455) Receiving objects: 5% (73/1455) Receiving objects: 6% (88/1455) Receiving objects: 7% (102/1455) Receiving objects: 8% (117/1455) Receiving objects: 9% (131/1455) Receiving objects: 10% (146/1455) Receiving objects: 11% (161/1455) Receiving objects: 12% (175/1455) Receiving objects: 13% (190/1455) Receiving objects: 14% (204/1455) Receiving objects: 15% (219/1455) Receiving objects: 16% (233/1455) Receiving objects: 17% (248/1455) Receiving objects: 18% (262/1455) Receiving objects: 19% (277/1455) Receiving objects: 20% (291/1455) Receiving objects: 21% (306/1455) Receiving objects: 22% (321/1455) Receiving objects: 23% (335/1455) Receiving objects: 24% (350/1455) Receiving objects: 25% (364/1455) Receiving objects: 26% (379/1455) Receiving objects: 27% (393/1455) Receiving objects: 28% (408/1455) Receiving objects: 29% (422/1455) Receiving objects: 30% (437/1455) Receiving objects: 31% (452/1455) Receiving objects: 32% (466/1455) Receiving objects: 33% (481/1455) Receiving objects: 34% (495/1455) Receiving objects: 35% (510/1455) Receiving objects: 36% (524/1455) Receiving objects: 37% (539/1455) Receiving objects: 38% (553/1455) Receiving objects: 39% (568/1455) Receiving objects: 40% (582/1455) Receiving objects: 41% (597/1455) Receiving objects: 42% (612/1455) Receiving objects: 43% (626/1455) Receiving objects: 44% (641/1455) Receiving objects: 45% (655/1455) Receiving objects: 46% (670/1455) Receiving objects: 47% (684/1455) Receiving objects: 48% (699/1455) Receiving objects: 49% (713/1455) Receiving objects: 50% (728/1455) Receiving objects: 51% (743/1455) Receiving objects: 52% (757/1455) Receiving objects: 53% (772/1455) Receiving objects: 54% (786/1455) Receiving objects: 55% (801/1455), 4.76 MiB | 9.50 MiB/s Receiving objects: 56% (815/1455), 4.76 MiB | 9.50 MiB/s Receiving objects: 57% (830/1455), 4.76 MiB | 9.50 MiB/s Receiving objects: 58% (844/1455), 4.76 MiB | 9.50 MiB/s Receiving objects: 59% (859/1455), 4.76 MiB | 9.50 MiB/s Receiving objects: 60% (873/1455), 4.76 MiB | 9.50 MiB/s Receiving objects: 61% (888/1455), 4.76 MiB | 9.50 MiB/s Receiving objects: 62% (903/1455), 4.76 MiB | 9.50 MiB/s Receiving objects: 63% (917/1455), 4.76 MiB | 9.50 MiB/s Receiving objects: 64% (932/1455), 4.76 MiB | 9.50 MiB/s Receiving objects: 65% (946/1455), 4.76 MiB | 9.50 MiB/s Receiving objects: 66% (961/1455), 4.76 MiB | 9.50 MiB/s Receiving objects: 67% (975/1455), 4.76 MiB | 9.50 MiB/s Receiving objects: 68% (990/1455), 4.76 MiB | 9.50 MiB/s Receiving objects: 69% (1004/1455), 4.76 MiB | 9.50 MiB/s Receiving objects: 70% (1019/1455), 4.76 MiB | 9.50 MiB/s Receiving objects: 71% (1034/1455), 4.76 MiB | 9.50 MiB/s Receiving objects: 72% (1048/1455), 4.76 MiB | 9.50 MiB/s Receiving objects: 73% (1063/1455), 4.76 MiB | 9.50 MiB/s Receiving objects: 74% (1077/1455), 4.76 MiB | 9.50 MiB/s Receiving objects: 75% (1092/1455), 4.76 MiB | 9.50 MiB/s Receiving objects: 76% (1106/1455), 4.76 MiB | 9.50 MiB/s Receiving objects: 77% (1121/1455), 4.76 MiB | 9.50 MiB/s Receiving objects: 78% (1135/1455), 4.76 MiB | 9.50 MiB/s Receiving objects: 79% (1150/1455), 4.76 MiB | 9.50 MiB/s Receiving objects: 80% (1164/1455), 4.76 MiB | 9.50 MiB/s Receiving objects: 81% (1179/1455), 4.76 MiB | 9.50 MiB/s Receiving objects: 82% (1194/1455), 4.76 MiB | 9.50 MiB/s Receiving objects: 83% (1208/1455), 4.76 MiB | 9.50 MiB/s Receiving objects: 84% (1223/1455), 4.76 MiB | 9.50 MiB/s Receiving objects: 85% (1237/1455), 4.76 MiB | 9.50 MiB/s Receiving objects: 86% (1252/1455), 4.76 MiB | 9.50 MiB/s Receiving objects: 87% (1266/1455), 4.76 MiB | 9.50 MiB/s Receiving objects: 88% (1281/1455), 4.76 MiB | 9.50 MiB/s Receiving objects: 89% (1295/1455), 4.76 MiB | 9.50 MiB/s Receiving objects: 90% (1310/1455), 4.76 MiB | 9.50 MiB/s Receiving objects: 91% (1325/1455), 4.76 MiB | 9.50 MiB/s Receiving objects: 92% (1339/1455), 4.76 MiB | 9.50 MiB/s Receiving objects: 93% (1354/1455), 4.76 MiB | 9.50 MiB/s Receiving objects: 94% (1368/1455), 4.76 MiB | 9.50 MiB/s Receiving objects: 95% (1383/1455), 4.76 MiB | 9.50 MiB/s Receiving objects: 96% (1397/1455), 4.76 MiB | 9.50 MiB/s Receiving objects: 97% (1412/1455), 4.76 MiB | 9.50 MiB/s remote: Total 1455 (delta 64), reused 101 (delta 59), pack-reused 1341 Receiving objects: 98% (1426/1455), 4.76 MiB | 9.50 MiB/s Receiving objects: 99% (1441/1455), 4.76 MiB | 9.50 MiB/s Receiving objects: 100% (1455/1455), 4.76 MiB | 9.50 MiB/s Receiving objects: 100% (1455/1455), 5.41 MiB | 9.50 MiB/s, done. Resolving deltas: 0% (0/839) Resolving deltas: 2% (21/839) Resolving deltas: 3% (26/839) Resolving deltas: 9% (77/839) Resolving deltas: 12% (102/839) Resolving deltas: 14% (118/839) Resolving deltas: 17% (146/839) Resolving deltas: 18% (153/839) Resolving deltas: 21% (183/839) Resolving deltas: 24% (202/839) Resolving deltas: 25% (216/839) Resolving deltas: 26% (220/839) Resolving deltas: 27% (229/839) Resolving deltas: 28% (235/839) Resolving deltas: 30% (252/839) Resolving deltas: 31% (261/839) Resolving deltas: 32% (270/839) Resolving deltas: 34% (293/839) Resolving deltas: 35% (295/839) Resolving deltas: 36% (303/839) Resolving deltas: 37% (313/839) Resolving deltas: 38% (319/839) Resolving deltas: 39% (330/839) Resolving deltas: 44% (376/839) Resolving deltas: 45% (384/839) Resolving deltas: 46% (387/839) Resolving deltas: 47% (395/839) Resolving deltas: 50% (421/839) Resolving deltas: 51% (430/839) Resolving deltas: 52% (438/839) Resolving deltas: 53% (450/839) Resolving deltas: 61% (518/839) Resolving deltas: 62% (521/839) Resolving deltas: 63% (534/839) Resolving deltas: 64% (541/839) Resolving deltas: 65% (548/839) Resolving deltas: 66% (555/839) Resolving deltas: 69% (582/839) Resolving deltas: 70% (589/839) Resolving deltas: 73% (619/839) Resolving deltas: 74% (621/839) Resolving deltas: 75% (631/839) Resolving deltas: 77% (647/839) Resolving deltas: 78% (662/839) Resolving deltas: 79% (664/839) Resolving deltas: 80% (676/839) Resolving deltas: 84% (712/839) Resolving deltas: 86% (728/839) Resolving deltas: 88% (739/839) Resolving deltas: 89% (747/839) Resolving deltas: 90% (757/839) Resolving deltas: 91% (770/839) Resolving deltas: 92% (773/839) Resolving deltas: 94% (795/839) Resolving deltas: 96% (808/839) Resolving deltas: 98% (825/839) Resolving deltas: 100% (839/839) Resolving deltas: 100% (839/839), done. git clone https://github.com/google/skywater-pdk.git /project/fun/openlane/pdk_root/skywater-pdk Initialized empty Git repository in /project/fun/openlane/pdk_root/skywater-pdk/.git/ remote: Enumerating objects: 1834, done. Receiving objects: 0% (1/1834) Receiving objects: 1% (19/1834) Receiving objects: 2% (37/1834) Receiving objects: 3% (56/1834) Receiving objects: 4% (74/1834) Receiving objects: 5% (92/1834) Receiving objects: 6% (111/1834) Receiving objects: 7% (129/1834) Receiving objects: 8% (147/1834) Receiving objects: 9% (166/1834) Receiving objects: 10% (184/1834) Receiving objects: 11% (202/1834) Receiving objects: 12% (221/1834) Receiving objects: 13% (239/1834) Receiving objects: 14% (257/1834) Receiving objects: 15% (276/1834) Receiving objects: 16% (294/1834) Receiving objects: 17% (312/1834) Receiving objects: 18% (331/1834) Receiving objects: 19% (349/1834) Receiving objects: 20% (367/1834) Receiving objects: 21% (386/1834) Receiving objects: 22% (404/1834) Receiving objects: 23% (422/1834) Receiving objects: 24% (441/1834) Receiving objects: 25% (459/1834) Receiving objects: 26% (477/1834) Receiving objects: 27% (496/1834) Receiving objects: 28% (514/1834) Receiving objects: 29% (532/1834) Receiving objects: 30% (551/1834) Receiving objects: 31% (569/1834) Receiving objects: 32% (587/1834) Receiving objects: 33% (606/1834) Receiving objects: 34% (624/1834) Receiving objects: 35% (642/1834) Receiving objects: 36% (661/1834) Receiving objects: 37% (679/1834) Receiving objects: 38% (697/1834) Receiving objects: 39% (716/1834) Receiving objects: 40% (734/1834) Receiving objects: 41% (752/1834) Receiving objects: 42% (771/1834) Receiving objects: 43% (789/1834) Receiving objects: 44% (807/1834) Receiving objects: 45% (826/1834) Receiving objects: 46% (844/1834) Receiving objects: 47% (862/1834) Receiving objects: 48% (881/1834) Receiving objects: 49% (899/1834) Receiving objects: 50% (917/1834) Receiving objects: 51% (936/1834) Receiving objects: 52% (954/1834) Receiving objects: 53% (973/1834) Receiving objects: 54% (991/1834) Receiving objects: 55% (1009/1834) Receiving objects: 56% (1028/1834) Receiving objects: 57% (1046/1834) Receiving objects: 58% (1064/1834) Receiving objects: 59% (1083/1834) Receiving objects: 60% (1101/1834) Receiving objects: 61% (1119/1834) Receiving objects: 62% (1138/1834) Receiving objects: 63% (1156/1834) Receiving objects: 64% (1174/1834) Receiving objects: 65% (1193/1834) Receiving objects: 66% (1211/1834) Receiving objects: 67% (1229/1834) Receiving objects: 68% (1248/1834) Receiving objects: 69% (1266/1834) Receiving objects: 70% (1284/1834) Receiving objects: 71% (1303/1834) Receiving objects: 72% (1321/1834) Receiving objects: 73% (1339/1834) Receiving objects: 74% (1358/1834) Receiving objects: 75% (1376/1834) Receiving objects: 76% (1394/1834) Receiving objects: 77% (1413/1834) Receiving objects: 78% (1431/1834) Receiving objects: 79% (1449/1834) Receiving objects: 80% (1468/1834) Receiving objects: 81% (1486/1834) Receiving objects: 82% (1504/1834) Receiving objects: 83% (1523/1834) Receiving objects: 84% (1541/1834) Receiving objects: 85% (1559/1834) Receiving objects: 86% (1578/1834) Receiving objects: 87% (1596/1834) Receiving objects: 88% (1614/1834) Receiving objects: 89% (1633/1834) Receiving objects: 90% (1651/1834) Receiving objects: 91% (1669/1834) Receiving objects: 92% (1688/1834) Receiving objects: 93% (1706/1834) Receiving objects: 94% (1724/1834) Receiving objects: 95% (1743/1834) remote: Total 1834 (delta 0), reused 0 (delta 0), pack-reused 1834 Receiving objects: 96% (1761/1834) Receiving objects: 97% (1779/1834) Receiving objects: 98% (1798/1834) Receiving objects: 99% (1816/1834) Receiving objects: 100% (1834/1834) Receiving objects: 100% (1834/1834), 4.09 MiB, done. Resolving deltas: 0% (0/912) Resolving deltas: 1% (11/912) Resolving deltas: 2% (19/912) Resolving deltas: 5% (49/912) Resolving deltas: 6% (60/912) Resolving deltas: 7% (64/912) Resolving deltas: 9% (89/912) Resolving deltas: 10% (99/912) Resolving deltas: 11% (103/912) Resolving deltas: 12% (110/912) Resolving deltas: 13% (119/912) Resolving deltas: 14% (129/912) Resolving deltas: 15% (138/912) Resolving deltas: 16% (150/912) Resolving deltas: 17% (156/912) Resolving deltas: 24% (220/912) Resolving deltas: 25% (234/912) Resolving deltas: 26% (240/912) Resolving deltas: 27% (250/912) Resolving deltas: 28% (256/912) Resolving deltas: 29% (265/912) Resolving deltas: 30% (279/912) Resolving deltas: 31% (285/912) Resolving deltas: 32% (292/912) Resolving deltas: 33% (301/912) Resolving deltas: 34% (317/912) Resolving deltas: 35% (320/912) Resolving deltas: 36% (329/912) Resolving deltas: 37% (338/912) Resolving deltas: 38% (349/912) Resolving deltas: 39% (356/912) Resolving deltas: 40% (365/912) Resolving deltas: 41% (378/912) Resolving deltas: 42% (384/912) Resolving deltas: 44% (404/912) Resolving deltas: 46% (421/912) Resolving deltas: 48% (438/912) Resolving deltas: 50% (458/912) Resolving deltas: 51% (466/912) Resolving deltas: 52% (480/912) Resolving deltas: 53% (488/912) Resolving deltas: 54% (493/912) Resolving deltas: 55% (502/912) Resolving deltas: 58% (532/912) Resolving deltas: 61% (563/912) Resolving deltas: 66% (604/912) Resolving deltas: 68% (621/912) Resolving deltas: 71% (656/912) Resolving deltas: 72% (657/912) Resolving deltas: 73% (673/912) Resolving deltas: 74% (679/912) Resolving deltas: 83% (764/912) Resolving deltas: 84% (767/912) Resolving deltas: 85% (776/912) Resolving deltas: 94% (866/912) Resolving deltas: 95% (874/912) Resolving deltas: 96% (876/912) Resolving deltas: 98% (902/912) Resolving deltas: 99% (903/912) Resolving deltas: 100% (912/912) Resolving deltas: 100% (912/912), done. [[ -d /project/fun/openlane/pdk_root/sky130A ]] && \ (echo "Warning: A sky130A build already exists under /project/fun/openlane/pdk_root. It will be deleted first!" && \ sleep 5 && \ rm -rf /project/fun/openlane/pdk_root/sky130A) || \ true cd /project/fun/openlane/pdk_root/open_pdks && \ ./configure --with-sky130-source=/project/fun/openlane/pdk_root/skywater-pdk/libraries --with-sky130-local-path=/project/fun/openlane/pdk_root && \ cd sky130 && \ make veryclean && \ make && \ make install-local configure: Found technology directories: sky130 configure: Checking whether source path is specified for 'sky130' configure: Checking specified path for 'sky130' at /project/fun/openlane/pdk_root/skywater-pdk/libraries checking for /project/fun/openlane/pdk_root/skywater-pdk/libraries... yes configure: 'sky130' source path found at /project/fun/openlane/pdk_root/skywater-pdk/libraries configure: Checking whether local path is specified for 'sky130' configure: Checking whether distribution path is specified for 'sky130' configure: Option --with-sky130-dist-path= not specified. Local install only." readlink: missing operand Try `readlink --help' for more information. configure: Link targets set to none checking for a Python interpreter with version >= 3.4... python3 checking for python3... /usr/bin/python3 checking for python3 version... 3.6 checking for python3 platform... linux checking for python3 script directory... ${prefix}/lib/python3.6/site-packages checking for python3 extension module directory... ${exec_prefix}/lib64/python3.6/site-packages checking python3 module: distutils... yes checking for magic... /build//bin/magic configure: creating ./config.status config.status: creating ../Makefile config.status: creating ../sky130/Makefile Build configured successfully make[1]: Entering directory `/project/fun/openlane/pdk_root/open_pdks/sky130' set -f ; ../common/foundry_install.py -std_format -target `pwd`/sky130A -clean Done removing staging area. rm -f sky130A_install.log rm -f sky130A_migrate.log make[1]: Leaving directory `/project/fun/openlane/pdk_root/open_pdks/sky130' make[1]: Entering directory `/project/fun/openlane/pdk_root/open_pdks/sky130' echo "Starting sky130A PDK staging on "`date` > sky130A_install.log make tools-a make[2]: Entering directory `/project/fun/openlane/pdk_root/open_pdks/sky130' mkdir -p `pwd`/sky130A/.config rm -f `pwd`/sky130A/.config/nodeinfo.json ../common/preproc.py -DTECHNAME=sky130A -DREVISION=20200927 -DMETAL5 -DMIM -DREDISTRIBUTION -DSTAGING_PATH=`pwd` -DMAGIC_CURRENT=libs.tech/magic/current sky130.json > \ `pwd`/sky130A/.config/nodeinfo.json mkdir -p `pwd`/sky130A/libs.tech/magic mkdir -p `pwd`/sky130A/libs.tech/magic rm -f `pwd`/sky130A/libs.tech/magic/current rm -f `pwd`/sky130A/libs.tech/magic/sky130A.tech rm -f `pwd`/sky130A/libs.tech/magic/sky130A-GDS.tech rm -f `pwd`/sky130A/libs.tech/magic/sky130A.tcl rm -f `pwd`/sky130A/libs.tech/magic/sky130A-BindKeys rm -f `pwd`/sky130A/libs.tech/magic/magicrc (cd `pwd`/sky130A/libs.tech/magic ; ln -s . current) cp -rp custom/scripts/seal_ring_generator `pwd`/sky130A/libs.tech/magic/. ../common/preproc.py -DTECHNAME=sky130A -DREVISION=20200927 -DMETAL5 -DMIM -DREDISTRIBUTION -DSTAGING_PATH=`pwd` -DMAGIC_CURRENT=libs.tech/magic/current magic/sky130.tech > `pwd`/sky130A/libs.tech/magic/sky130A.tech ../common/preproc.py -DTECHNAME=sky130A -DREVISION=20200927 -DMETAL5 -DMIM -DREDISTRIBUTION -DSTAGING_PATH=`pwd` -DMAGIC_CURRENT=libs.tech/magic/current magic/sky130gds.tech > `pwd`/sky130A/libs.tech/magic/sky130A-GDS.tech ../common/preproc.py -DTECHNAME=sky130A -DREVISION=20200927 -DMETAL5 -DMIM -DREDISTRIBUTION -DSTAGING_PATH=`pwd` -DMAGIC_CURRENT=libs.tech/magic/current magic/sky130.magicrc > `pwd`/sky130A/libs.tech/magic/sky130A.magicrc ../common/preproc.py -DTECHNAME=sky130A -DREVISION=20200927 -DMETAL5 -DMIM -DREDISTRIBUTION -DSTAGING_PATH=`pwd` -DMAGIC_CURRENT=libs.tech/magic/current ../common/pdk.bindkeys > `pwd`/sky130A/libs.tech/magic/sky130A-BindKeys ../common/preproc.py -DTECHNAME=sky130A -DREVISION=20200927 -DMETAL5 -DMIM -DREDISTRIBUTION -DSTAGING_PATH=`pwd` -DMAGIC_CURRENT=libs.tech/magic/current magic/sky130.tcl > `pwd`/sky130A/libs.tech/magic/sky130A.tcl ../common/preproc.py -DTECHNAME=sky130A -DREVISION=20200927 -DMETAL5 -DMIM -DREDISTRIBUTION -DSTAGING_PATH=`pwd` -DMAGIC_CURRENT=libs.tech/magic/current ../common/pdk.tcl >> `pwd`/sky130A/libs.tech/magic/sky130A.tcl mkdir -p `pwd`/sky130A/libs.tech/qflow mkdir -p `pwd`/sky130A/libs.tech/qflow rm -f `pwd`/sky130A/libs.tech/qflow/sky130Ahd.sh rm -f `pwd`/sky130A/libs.tech/qflow/sky130Ahd.par rm -f `pwd`/sky130A/libs.tech/qflow/sky130Ahdll.sh rm -f `pwd`/sky130A/libs.tech/qflow/sky130Ahdll.par rm -f `pwd`/sky130A/libs.tech/qflow/sky130Ahs.sh rm -f `pwd`/sky130A/libs.tech/qflow/sky130Ahs.par rm -f `pwd`/sky130A/libs.tech/qflow/sky130Ahvl.sh rm -f `pwd`/sky130A/libs.tech/qflow/sky130Ahvl.par rm -f `pwd`/sky130A/libs.tech/qflow/sky130Als.sh rm -f `pwd`/sky130A/libs.tech/qflow/sky130Als.par rm -f `pwd`/sky130A/libs.tech/qflow/sky130Alp.sh rm -f `pwd`/sky130A/libs.tech/qflow/sky130Alp.par rm -f `pwd`/sky130A/libs.tech/qflow/sky130Ams.sh rm -f `pwd`/sky130A/libs.tech/qflow/sky130Ams.par rm -f `pwd`/sky130A/libs.tech/qflow/sky130Aosu.sh rm -f `pwd`/sky130A/libs.tech/qflow/sky130Aosu.par ../common/preproc.py -DTECHNAME=sky130A -DREVISION=20200927 -DMETAL5 -DMIM -DREDISTRIBUTION -DSTAGING_PATH=`pwd` -DMAGIC_CURRENT=libs.tech/magic/current -DLIBRARY=sky130_fd_sc_hd qflow/sky130.sh > \ `pwd`/sky130A/libs.tech/qflow/sky130Ahd.sh ../common/preproc.py -DTECHNAME=sky130A -DREVISION=20200927 -DMETAL5 -DMIM -DREDISTRIBUTION -DSTAGING_PATH=`pwd` -DMAGIC_CURRENT=libs.tech/magic/current -DLIBRARY=sky130_fd_sc_hdll qflow/sky130.sh > \ `pwd`/sky130A/libs.tech/qflow/sky130Ahdll.sh ../common/preproc.py -DTECHNAME=sky130A -DREVISION=20200927 -DMETAL5 -DMIM -DREDISTRIBUTION -DSTAGING_PATH=`pwd` -DMAGIC_CURRENT=libs.tech/magic/current -DLIBRARY=sky130_fd_sc_hvl qflow/sky130.sh > \ `pwd`/sky130A/libs.tech/qflow/sky130Ahvl.sh ../common/preproc.py -DTECHNAME=sky130A -DREVISION=20200927 -DMETAL5 -DMIM -DREDISTRIBUTION -DSTAGING_PATH=`pwd` -DMAGIC_CURRENT=libs.tech/magic/current -DLIBRARY=sky130_fd_sc_hs qflow/sky130.sh > \ `pwd`/sky130A/libs.tech/qflow/sky130Ahs.sh ../common/preproc.py -DTECHNAME=sky130A -DREVISION=20200927 -DMETAL5 -DMIM -DREDISTRIBUTION -DSTAGING_PATH=`pwd` -DMAGIC_CURRENT=libs.tech/magic/current -DLIBRARY=sky130_fd_sc_lp qflow/sky130.sh > \ `pwd`/sky130A/libs.tech/qflow/sky130Alp.sh ../common/preproc.py -DTECHNAME=sky130A -DREVISION=20200927 -DMETAL5 -DMIM -DREDISTRIBUTION -DSTAGING_PATH=`pwd` -DMAGIC_CURRENT=libs.tech/magic/current -DLIBRARY=sky130_fd_sc_ls qflow/sky130.sh > \ `pwd`/sky130A/libs.tech/qflow/sky130Als.sh ../common/preproc.py -DTECHNAME=sky130A -DREVISION=20200927 -DMETAL5 -DMIM -DREDISTRIBUTION -DSTAGING_PATH=`pwd` -DMAGIC_CURRENT=libs.tech/magic/current -DLIBRARY=sky130_fd_sc_ms qflow/sky130.sh > \ `pwd`/sky130A/libs.tech/qflow/sky130Ams.sh ../common/preproc.py -DTECHNAME=sky130A -DREVISION=20200927 -DMETAL5 -DMIM -DREDISTRIBUTION -DSTAGING_PATH=`pwd` -DMAGIC_CURRENT=libs.tech/magic/current -DLIBRARY=sky130_osu_sc_t18 qflow/sky130osu.sh > \ `pwd`/sky130A/libs.tech/qflow/sky130Aosu.sh ../common/preproc.py -DTECHNAME=sky130A -DREVISION=20200927 -DMETAL5 -DMIM -DREDISTRIBUTION -DSTAGING_PATH=`pwd` -DMAGIC_CURRENT=libs.tech/magic/current qflow/sky130.par > `pwd`/sky130A/libs.tech/qflow/sky130Ahd.par ../common/preproc.py -DTECHNAME=sky130A -DREVISION=20200927 -DMETAL5 -DMIM -DREDISTRIBUTION -DSTAGING_PATH=`pwd` -DMAGIC_CURRENT=libs.tech/magic/current qflow/sky130.par > `pwd`/sky130A/libs.tech/qflow/sky130Ahdll.par ../common/preproc.py -DTECHNAME=sky130A -DREVISION=20200927 -DMETAL5 -DMIM -DREDISTRIBUTION -DSTAGING_PATH=`pwd` -DMAGIC_CURRENT=libs.tech/magic/current qflow/sky130.par > `pwd`/sky130A/libs.tech/qflow/sky130Ahvl.par ../common/preproc.py -DTECHNAME=sky130A -DREVISION=20200927 -DMETAL5 -DMIM -DREDISTRIBUTION -DSTAGING_PATH=`pwd` -DMAGIC_CURRENT=libs.tech/magic/current qflow/sky130.par > `pwd`/sky130A/libs.tech/qflow/sky130Ahs.par ../common/preproc.py -DTECHNAME=sky130A -DREVISION=20200927 -DMETAL5 -DMIM -DREDISTRIBUTION -DSTAGING_PATH=`pwd` -DMAGIC_CURRENT=libs.tech/magic/current qflow/sky130.par > `pwd`/sky130A/libs.tech/qflow/sky130Ams.par ../common/preproc.py -DTECHNAME=sky130A -DREVISION=20200927 -DMETAL5 -DMIM -DREDISTRIBUTION -DSTAGING_PATH=`pwd` -DMAGIC_CURRENT=libs.tech/magic/current qflow/sky130.par > `pwd`/sky130A/libs.tech/qflow/sky130Alp.par ../common/preproc.py -DTECHNAME=sky130A -DREVISION=20200927 -DMETAL5 -DMIM -DREDISTRIBUTION -DSTAGING_PATH=`pwd` -DMAGIC_CURRENT=libs.tech/magic/current qflow/sky130.par > `pwd`/sky130A/libs.tech/qflow/sky130Als.par ../common/preproc.py -DTECHNAME=sky130A -DREVISION=20200927 -DMETAL5 -DMIM -DREDISTRIBUTION -DSTAGING_PATH=`pwd` -DMAGIC_CURRENT=libs.tech/magic/current qflow/sky130.par > `pwd`/sky130A/libs.tech/qflow/sky130Aosu.par mkdir -p `pwd`/sky130A/libs.tech/netgen mkdir -p `pwd`/sky130A/libs.tech/netgen rm -f `pwd`/sky130A/libs.tech/netgen/sky130A_setup.tcl rm -f `pwd`/sky130A/libs.tech/netgen/setup.tcl ../common/preproc.py -DTECHNAME=sky130A -DREVISION=20200927 -DMETAL5 -DMIM -DREDISTRIBUTION -DSTAGING_PATH=`pwd` -DMAGIC_CURRENT=libs.tech/magic/current netgen/sky130_setup.tcl > `pwd`/sky130A/libs.tech/netgen/sky130A_setup.tcl (cd `pwd`/sky130A/libs.tech/netgen ; ln -s sky130A_setup.tcl setup.tcl) mkdir -p `pwd`/sky130A/libs.tech/klayout mkdir -p `pwd`/sky130A/libs.tech/klayout rm -f `pwd`/sky130A/libs.tech/klayout/sky130A.lyp rm -f `pwd`/sky130A/libs.tech/klayout/sky130A.lyt ../common/preproc.py -DTECHNAME=sky130A -DREVISION=20200927 -DMETAL5 -DMIM -DREDISTRIBUTION -DSTAGING_PATH=`pwd` -DMAGIC_CURRENT=libs.tech/magic/current klayout/sky130.lyp > `pwd`/sky130A/libs.tech/klayout/sky130A.lyp ../common/preproc.py -DTECHNAME=sky130A -DREVISION=20200927 -DMETAL5 -DMIM -DREDISTRIBUTION -DSTAGING_PATH=`pwd` -DMAGIC_CURRENT=libs.tech/magic/current klayout/sky130.lyt > `pwd`/sky130A/libs.tech/klayout/sky130A.lyt mkdir -p `pwd`/sky130A/libs.tech/openlane mkdir -p `pwd`/sky130A/libs.tech/openlane mkdir -p `pwd`/sky130A/libs.tech/openlane/sky130_fd_sc_hd mkdir -p `pwd`/sky130A/libs.tech/openlane/sky130_fd_sc_hs mkdir -p `pwd`/sky130A/libs.tech/openlane/sky130_fd_sc_ls mkdir -p `pwd`/sky130A/libs.tech/openlane/sky130_fd_sc_ms mkdir -p `pwd`/sky130A/libs.tech/openlane/sky130_fd_sc_hdll mkdir -p `pwd`/sky130A/libs.tech/openlane/sky130_fd_sc_hvl mkdir -p `pwd`/sky130A/libs.tech/openlane/sky130_osu_sc_t18 rm -f `pwd`/sky130A/libs.tech/openlane/common_pdn.info rm -f `pwd`/sky130A/libs.tech/openlane/config.tcl rm -f `pwd`/sky130A/libs.tech/openlane/sky130_fd_sc_hd/config.tcl rm -f `pwd`/sky130A/libs.tech/openlane/sky130_fd_sc_hd/tracks.info rm -f `pwd`/sky130A/libs.tech/openlane/sky130_fd_sc_hd/no_synth.cells rm -f `pwd`/sky130A/libs.tech/openlane/sky130_fd_sc_hd/sky130_fd_sc_hd__fakediode_2.gds rm -f `pwd`/sky130A/libs.tech/openlane/sky130_fd_sc_hs/config.tcl rm -f `pwd`/sky130A/libs.tech/openlane/sky130_fd_sc_hs/tracks.info rm -f `pwd`/sky130A/libs.tech/openlane/sky130_fd_sc_hs/no_synth.cells rm -f `pwd`/sky130A/libs.tech/openlane/sky130_fd_sc_hdll/config.tcl rm -f `pwd`/sky130A/libs.tech/openlane/sky130_fd_sc_hdll/tracks.info rm -f `pwd`/sky130A/libs.tech/openlane/sky130_fd_sc_hdll/no_synth.cells rm -f `pwd`/sky130A/libs.tech/openlane/sky130_fd_sc_ls/config.tcl rm -f `pwd`/sky130A/libs.tech/openlane/sky130_fd_sc_ls/tracks.info rm -f `pwd`/sky130A/libs.tech/openlane/sky130_fd_sc_ls/no_synth.cells rm -f `pwd`/sky130A/libs.tech/openlane/sky130_fd_sc_ms/config.tcl rm -f `pwd`/sky130A/libs.tech/openlane/sky130_fd_sc_ms/tracks.info rm -f `pwd`/sky130A/libs.tech/openlane/sky130_fd_sc_ms/no_synth.cells rm -f `pwd`/sky130A/libs.tech/openlane/sky130_fd_sc_hvl/config.tcl rm -f `pwd`/sky130A/libs.tech/openlane/sky130_fd_sc_hvl/tracks.info rm -f `pwd`/sky130A/libs.tech/openlane/sky130_fd_sc_hvl/no_synth.cells rm -f `pwd`/sky130A/libs.tech/openlane/sky130_osu_sc_t18/config.tcl rm -f `pwd`/sky130A/libs.tech/openlane/sky130_osu_sc_t18/tracks.info ../common/preproc.py -DTECHNAME=sky130A -DREVISION=20200927 -DMETAL5 -DMIM -DREDISTRIBUTION -DSTAGING_PATH=`pwd` -DMAGIC_CURRENT=libs.tech/magic/current openlane/common_pdn.tcl > `pwd`/sky130A/libs.tech/openlane/common_pdn.tcl ../common/preproc.py -DTECHNAME=sky130A -DREVISION=20200927 -DMETAL5 -DMIM -DREDISTRIBUTION -DSTAGING_PATH=`pwd` -DMAGIC_CURRENT=libs.tech/magic/current openlane/config.tcl > `pwd`/sky130A/libs.tech/openlane/config.tcl ../common/preproc.py -DTECHNAME=sky130A -DREVISION=20200927 -DMETAL5 -DMIM -DREDISTRIBUTION -DSTAGING_PATH=`pwd` -DMAGIC_CURRENT=libs.tech/magic/current openlane/sky130_fd_sc_hd/config.tcl > `pwd`/sky130A/libs.tech/openlane/sky130_fd_sc_hd/config.tcl ../common/preproc.py -DTECHNAME=sky130A -DREVISION=20200927 -DMETAL5 -DMIM -DREDISTRIBUTION -DSTAGING_PATH=`pwd` -DMAGIC_CURRENT=libs.tech/magic/current openlane/sky130_fd_sc_hd/tracks.info > `pwd`/sky130A/libs.tech/openlane/sky130_fd_sc_hd/tracks.info ../common/preproc.py -DTECHNAME=sky130A -DREVISION=20200927 -DMETAL5 -DMIM -DREDISTRIBUTION -DSTAGING_PATH=`pwd` -DMAGIC_CURRENT=libs.tech/magic/current openlane/sky130_fd_sc_hd/no_synth.cells > `pwd`/sky130A/libs.tech/openlane/sky130_fd_sc_hd/no_synth.cells cp openlane/sky130_fd_sc_hd/sky130_fd_sc_hd__fakediode_2.gds `pwd`/sky130A/libs.tech/openlane/sky130_fd_sc_hd/sky130_fd_sc_hd__fakediode_2.gds ../common/preproc.py -DTECHNAME=sky130A -DREVISION=20200927 -DMETAL5 -DMIM -DREDISTRIBUTION -DSTAGING_PATH=`pwd` -DMAGIC_CURRENT=libs.tech/magic/current openlane/sky130_fd_sc_hs/config.tcl > `pwd`/sky130A/libs.tech/openlane/sky130_fd_sc_hs/config.tcl ../common/preproc.py -DTECHNAME=sky130A -DREVISION=20200927 -DMETAL5 -DMIM -DREDISTRIBUTION -DSTAGING_PATH=`pwd` -DMAGIC_CURRENT=libs.tech/magic/current openlane/sky130_fd_sc_hs/tracks.info > `pwd`/sky130A/libs.tech/openlane/sky130_fd_sc_hs/tracks.info ../common/preproc.py -DTECHNAME=sky130A -DREVISION=20200927 -DMETAL5 -DMIM -DREDISTRIBUTION -DSTAGING_PATH=`pwd` -DMAGIC_CURRENT=libs.tech/magic/current openlane/sky130_fd_sc_hs/no_synth.cells > `pwd`/sky130A/libs.tech/openlane/sky130_fd_sc_hs/no_synth.cells ../common/preproc.py -DTECHNAME=sky130A -DREVISION=20200927 -DMETAL5 -DMIM -DREDISTRIBUTION -DSTAGING_PATH=`pwd` -DMAGIC_CURRENT=libs.tech/magic/current openlane/sky130_fd_sc_ms/config.tcl > `pwd`/sky130A/libs.tech/openlane/sky130_fd_sc_ms/config.tcl ../common/preproc.py -DTECHNAME=sky130A -DREVISION=20200927 -DMETAL5 -DMIM -DREDISTRIBUTION -DSTAGING_PATH=`pwd` -DMAGIC_CURRENT=libs.tech/magic/current openlane/sky130_fd_sc_ms/tracks.info > `pwd`/sky130A/libs.tech/openlane/sky130_fd_sc_ms/tracks.info ../common/preproc.py -DTECHNAME=sky130A -DREVISION=20200927 -DMETAL5 -DMIM -DREDISTRIBUTION -DSTAGING_PATH=`pwd` -DMAGIC_CURRENT=libs.tech/magic/current openlane/sky130_fd_sc_ms/no_synth.cells > `pwd`/sky130A/libs.tech/openlane/sky130_fd_sc_ms/no_synth.cells ../common/preproc.py -DTECHNAME=sky130A -DREVISION=20200927 -DMETAL5 -DMIM -DREDISTRIBUTION -DSTAGING_PATH=`pwd` -DMAGIC_CURRENT=libs.tech/magic/current openlane/sky130_fd_sc_ls/config.tcl > `pwd`/sky130A/libs.tech/openlane/sky130_fd_sc_ls/config.tcl ../common/preproc.py -DTECHNAME=sky130A -DREVISION=20200927 -DMETAL5 -DMIM -DREDISTRIBUTION -DSTAGING_PATH=`pwd` -DMAGIC_CURRENT=libs.tech/magic/current openlane/sky130_fd_sc_ls/tracks.info > `pwd`/sky130A/libs.tech/openlane/sky130_fd_sc_ls/tracks.info ../common/preproc.py -DTECHNAME=sky130A -DREVISION=20200927 -DMETAL5 -DMIM -DREDISTRIBUTION -DSTAGING_PATH=`pwd` -DMAGIC_CURRENT=libs.tech/magic/current openlane/sky130_fd_sc_ls/no_synth.cells > `pwd`/sky130A/libs.tech/openlane/sky130_fd_sc_ls/no_synth.cells ../common/preproc.py -DTECHNAME=sky130A -DREVISION=20200927 -DMETAL5 -DMIM -DREDISTRIBUTION -DSTAGING_PATH=`pwd` -DMAGIC_CURRENT=libs.tech/magic/current openlane/sky130_fd_sc_hdll/config.tcl > `pwd`/sky130A/libs.tech/openlane/sky130_fd_sc_hdll/config.tcl ../common/preproc.py -DTECHNAME=sky130A -DREVISION=20200927 -DMETAL5 -DMIM -DREDISTRIBUTION -DSTAGING_PATH=`pwd` -DMAGIC_CURRENT=libs.tech/magic/current openlane/sky130_fd_sc_hdll/tracks.info > `pwd`/sky130A/libs.tech/openlane/sky130_fd_sc_hdll/tracks.info ../common/preproc.py -DTECHNAME=sky130A -DREVISION=20200927 -DMETAL5 -DMIM -DREDISTRIBUTION -DSTAGING_PATH=`pwd` -DMAGIC_CURRENT=libs.tech/magic/current openlane/sky130_fd_sc_hdll/no_synth.cells > `pwd`/sky130A/libs.tech/openlane/sky130_fd_sc_hdll/no_synth.cells ../common/preproc.py -DTECHNAME=sky130A -DREVISION=20200927 -DMETAL5 -DMIM -DREDISTRIBUTION -DSTAGING_PATH=`pwd` -DMAGIC_CURRENT=libs.tech/magic/current openlane/sky130_fd_sc_hvl/config.tcl > `pwd`/sky130A/libs.tech/openlane/sky130_fd_sc_hvl/config.tcl ../common/preproc.py -DTECHNAME=sky130A -DREVISION=20200927 -DMETAL5 -DMIM -DREDISTRIBUTION -DSTAGING_PATH=`pwd` -DMAGIC_CURRENT=libs.tech/magic/current openlane/sky130_fd_sc_hvl/tracks.info > `pwd`/sky130A/libs.tech/openlane/sky130_fd_sc_hvl/tracks.info ../common/preproc.py -DTECHNAME=sky130A -DREVISION=20200927 -DMETAL5 -DMIM -DREDISTRIBUTION -DSTAGING_PATH=`pwd` -DMAGIC_CURRENT=libs.tech/magic/current openlane/sky130_fd_sc_hvl/no_synth.cells > `pwd`/sky130A/libs.tech/openlane/sky130_fd_sc_hvl/no_synth.cells ../common/preproc.py -DTECHNAME=sky130A -DREVISION=20200927 -DMETAL5 -DMIM -DREDISTRIBUTION -DSTAGING_PATH=`pwd` -DMAGIC_CURRENT=libs.tech/magic/current openlane/sky130_osu_sc_t18/config.tcl > `pwd`/sky130A/libs.tech/openlane/sky130_osu_sc_t18/config.tcl ../common/preproc.py -DTECHNAME=sky130A -DREVISION=20200927 -DMETAL5 -DMIM -DREDISTRIBUTION -DSTAGING_PATH=`pwd` -DMAGIC_CURRENT=libs.tech/magic/current openlane/sky130_osu_sc_t18/tracks.info > `pwd`/sky130A/libs.tech/openlane/sky130_osu_sc_t18/tracks.info make[2]: Leaving directory `/project/fun/openlane/pdk_root/open_pdks/sky130' make vendor-a make[2]: Entering directory `/project/fun/openlane/pdk_root/open_pdks/sky130' # Modify the LEF files to update hs and ms libraries # Install device subcircuits from vendor files set -f ; ../common/foundry_install.py -std_format -source /project/fun/openlane/pdk_root/skywater-pdk/libraries -target `pwd`/sky130A \ -ngspice sky130_fd_pr/latest/models/* \ filter=custom/scripts/rename_models.py \ |& tee -a sky130A_install.log Installing in target (staging) directory /project/fun/openlane/pdk_root/open_pdks/sky130/sky130A Magic version 8.2 available on the system. Diagnostic: installing to ngspice. Completed installation of vendor files. # Install base device library from vendor files set -f ; ../common/foundry_install.py -std_format -source /project/fun/openlane/pdk_root/skywater-pdk/libraries -target `pwd`/sky130A \ -gds %l/latest/cells/*/*.gds compile-only \ -cdl %l/latest/cells/*/*.cdl compile-only \ -lef %l/latest/cells/*/*.magic.lef compile-only \ -spice %l/latest/cells/*/*.spice filter=custom/scripts/rename_cells.py \ -library primitive sky130_fd_pr |& tee -a sky130A_install.log Installing in target (staging) directory /project/fun/openlane/pdk_root/open_pdks/sky130/sky130A Magic version 8.2 available on the system. Install option: gds Collecting files from /project/fun/openlane/pdk_root/skywater-pdk/libraries/sky130_fd_pr/latest/cells/*/*.gds Files to install: Diagnostic: Sorting files with /project/fun/openlane/pdk_root/open_pdks/common/sort_pdkfiles.py Only one file ([]); ignoring "compile" option. Install option: cdl Collecting files from /project/fun/openlane/pdk_root/skywater-pdk/libraries/sky130_fd_pr/latest/cells/*/*.cdl Files to install: Diagnostic: Sorting files with /project/fun/openlane/pdk_root/open_pdks/common/sort_pdkfiles.py Diagnostic: Creating consolidated CDL library /project/fun/openlane/pdk_root/open_pdks/sky130/sky130A/libs.ref/sky130_fd_pr/cdl/sky130_fd_pr.cdl Only one file ([]); ignoring "compile" option. Install option: lef Collecting files from /project/fun/openlane/pdk_root/skywater-pdk/libraries/sky130_fd_pr/latest/cells/*/*.magic.lef Files to install: Diagnostic: Sorting files with /project/fun/openlane/pdk_root/open_pdks/common/sort_pdkfiles.py Diagnostic: Creating consolidated LEF library sky130_fd_pr.lef Only one file ([]); ignoring "compile" option. Install option: spice Collecting files from /project/fun/openlane/pdk_root/skywater-pdk/libraries/sky130_fd_pr/latest/cells/*/*.spice Files to install: Diagnostic: Sorting files with /project/fun/openlane/pdk_root/open_pdks/common/sort_pdkfiles.py Completed installation of vendor files. Migrating GDS files to layout. Searching for supported devices in PDK script /project/fun/openlane/pdk_root/open_pdks/sky130/sky130A/libs.tech/magic/sky130A.tcl. PDK library is sky130 Getting GDS file list from /project/fun/openlane/pdk_root/open_pdks/sky130/sky130A/libs.ref/sky130_fd_pr/gds. Creating magic generation script to generate magic database files. No source for abstract views: Abstract views not made. Running magic to create magic database files. Magic 8.3 revision 64 - Compiled on Fri Oct 9 12:13:18 UTC 2020. Starting magic under Tcl interpreter Using the terminal as the console. Using NULL graphics device. Processing system .magicrc file Sourcing design .magicrc for technology sky130A ... 2 Magic internal units = 1 Lambda Input style sky130: scaleFactor=2, multiplier=2 Scaled tech values by 2 / 1 to match internal grid scaling Loading sky130A Device Generator Menu ... Using technology "sky130A", version 20200927 Creating new cell Creating new cell Creating new cell Creating new cell Creating new cell Creating new cell Creating new cell Creating new cell Creating new cell Creating new cell Writing all magic database files Writing 'sky130_fd_pr__cap_vpp_08p6x07p8_m1m2_lishield' Writing 'sky130_fd_pr__cap_vpp_11p5x11p7_m1m2m3m4_shieldl1m5' Writing 'sky130_fd_pr__cap_vpp_11p5x11p7_m1m2_noshield' Writing 'sky130_fd_pr__rf_test_coil1' Writing 'sky130_fd_pr__rf_test_coil2' Writing 'sky130_fd_pr__rf_npn_05v5_W1p00L2p00' Writing 'sky130_fd_pr__rf_test_coil3' Writing 'sky130_fd_pr__cap_vpp_04p4x04p6_m1m2_lishield' Writing 'sky130_fd_pr__pnp_05v5_W3p40L3p40' Writing 'sky130_fd_pr__rf_npn_05v5_W1p00L1p00' Writing '(UNNAMED)' Must specify name for cell (UNNAMED). Done. Error message output from magic: File sky130_fd_pr__rf_npn_05v5_W1p00L1p00.mag couldn't be read No such file or directory File sky130_fd_pr__rf_npn_05v5_W1p00L2p00.mag couldn't be read No such file or directory File sky130_fd_pr__pnp_05v5_W3p40L3p40.mag couldn't be read No such file or directory File sky130_fd_pr__rf_test_coil1.mag couldn't be read No such file or directory File sky130_fd_pr__rf_test_coil2.mag couldn't be read No such file or directory File sky130_fd_pr__rf_test_coil3.mag couldn't be read No such file or directory File sky130_fd_pr__cap_vpp_11p5x11p7_m1m2m3m4_shieldl1m5.mag couldn't be read No such file or directory File sky130_fd_pr__cap_vpp_11p5x11p7_m1m2_noshield.mag couldn't be read No such file or directory File sky130_fd_pr__cap_vpp_08p6x07p8_m1m2_lishield.mag couldn't be read No such file or directory File sky130_fd_pr__cap_vpp_04p4x04p6_m1m2_lishield.mag couldn't be read No such file or directory Can't write file named '(UNNAMED)' Migrating LEF files to layout. Warning: No LEF files found in /project/fun/openlane/pdk_root/open_pdks/sky130/sky130A/libs.ref/sky130_fd_pr/lef # Custom: Add "short" resistor model to the r+c models file cat ./custom/models/short.spice >> \ `pwd`/sky130A/libs.tech/ngspice/sky130_fd_pr__model__r+c.model.spice # Install custom additions to I/O pad library set -f ; ../common/foundry_install.py -std_format -source ./custom -target `pwd`/sky130A \ -verilog %l/verilog/*.v \ -cdl %l/cdl/*.cdl \ -gds %l/gds/*.gds \ -lef %l/lef/*.lef compile-only rename=sky130_ef_io \ -library general sky130_fd_io |& tee -a sky130A_install.log Installing in target (staging) directory /project/fun/openlane/pdk_root/open_pdks/sky130/sky130A Magic version 8.2 available on the system. Install option: verilog Collecting files from ./custom/sky130_fd_io/verilog/*.v Files to install: ./custom/sky130_fd_io/verilog/sky130_ef_io.v Install:./custom/sky130_fd_io/verilog/sky130_ef_io.v to /project/fun/openlane/pdk_root/open_pdks/sky130/sky130A/libs.ref/sky130_fd_io/verilog/sky130_ef_io.v Diagnostic: Sorting files with /project/fun/openlane/pdk_root/open_pdks/common/sort_pdkfiles.py Install option: cdl Collecting files from ./custom/sky130_fd_io/cdl/*.cdl Files to install: ./custom/sky130_fd_io/cdl/sky130_ef_io.cdl Install:./custom/sky130_fd_io/cdl/sky130_ef_io.cdl to /project/fun/openlane/pdk_root/open_pdks/sky130/sky130A/libs.ref/sky130_fd_io/cdl/sky130_ef_io.cdl Diagnostic: Sorting files with /project/fun/openlane/pdk_root/open_pdks/common/sort_pdkfiles.py Install option: gds Collecting files from ./custom/sky130_fd_io/gds/*.gds Files to install: ./custom/sky130_fd_io/gds/sky130_ef_io.gds Install:./custom/sky130_fd_io/gds/sky130_ef_io.gds to /project/fun/openlane/pdk_root/open_pdks/sky130/sky130A/libs.ref/sky130_fd_io/gds/sky130_ef_io.gds Diagnostic: Sorting files with /project/fun/openlane/pdk_root/open_pdks/common/sort_pdkfiles.py Install option: lef Renaming file to: sky130_ef_io Collecting files from ./custom/sky130_fd_io/lef/*.lef Files to install: ./custom/sky130_fd_io/lef/sky130_ef_io__com_bus_slice_10um.lef ./custom/sky130_fd_io/lef/sky130_ef_io__com_bus_slice_1um.lef ./custom/sky130_fd_io/lef/sky130_ef_io__com_bus_slice_20um.lef ./custom/sky130_fd_io/lef/sky130_ef_io__com_bus_slice_5um.lef . . . ./custom/sky130_fd_io/lef/sky130_ef_io__vssa_hvc_pad.lef ./custom/sky130_fd_io/lef/sky130_ef_io__vssa_lvc_pad.lef ./custom/sky130_fd_io/lef/sky130_ef_io__vssd_hvc_pad.lef ./custom/sky130_fd_io/lef/sky130_ef_io__vssd_lvc_pad.lef ./custom/sky130_fd_io/lef/sky130_ef_io__vssio_hvc_pad.lef (18 files total) Install:./custom/sky130_fd_io/lef/sky130_ef_io__com_bus_slice_10um.lef to /project/fun/openlane/pdk_root/open_pdks/sky130/sky130A/libs.ref/sky130_fd_io/lef/sky130_ef_io__com_bus_slice_10um.lef Install:./custom/sky130_fd_io/lef/sky130_ef_io__com_bus_slice_1um.lef to /project/fun/openlane/pdk_root/open_pdks/sky130/sky130A/libs.ref/sky130_fd_io/lef/sky130_ef_io__com_bus_slice_1um.lef Install:./custom/sky130_fd_io/lef/sky130_ef_io__com_bus_slice_20um.lef to /project/fun/openlane/pdk_root/open_pdks/sky130/sky130A/libs.ref/sky130_fd_io/lef/sky130_ef_io__com_bus_slice_20um.lef Install:./custom/sky130_fd_io/lef/sky130_ef_io__com_bus_slice_5um.lef to /project/fun/openlane/pdk_root/open_pdks/sky130/sky130A/libs.ref/sky130_fd_io/lef/sky130_ef_io__com_bus_slice_5um.lef Install:./custom/sky130_fd_io/lef/sky130_ef_io__corner_pad.lef to /project/fun/openlane/pdk_root/open_pdks/sky130/sky130A/libs.ref/sky130_fd_io/lef/sky130_ef_io__corner_pad.lef Install:./custom/sky130_fd_io/lef/sky130_ef_io__gpiov2_pad.lef to /project/fun/openlane/pdk_root/open_pdks/sky130/sky130A/libs.ref/sky130_fd_io/lef/sky130_ef_io__gpiov2_pad.lef Install:./custom/sky130_fd_io/lef/sky130_ef_io__vccd_hvc_pad.lef to /project/fun/openlane/pdk_root/open_pdks/sky130/sky130A/libs.ref/sky130_fd_io/lef/sky130_ef_io__vccd_hvc_pad.lef Install:./custom/sky130_fd_io/lef/sky130_ef_io__vccd_lvc_pad.lef to /project/fun/openlane/pdk_root/open_pdks/sky130/sky130A/libs.ref/sky130_fd_io/lef/sky130_ef_io__vccd_lvc_pad.lef Install:./custom/sky130_fd_io/lef/sky130_ef_io__vdda_hvc_pad.lef to /project/fun/openlane/pdk_root/open_pdks/sky130/sky130A/libs.ref/sky130_fd_io/lef/sky130_ef_io__vdda_hvc_pad.lef Install:./custom/sky130_fd_io/lef/sky130_ef_io__vdda_lvc_pad.lef to /project/fun/openlane/pdk_root/open_pdks/sky130/sky130A/libs.ref/sky130_fd_io/lef/sky130_ef_io__vdda_lvc_pad.lef Install:./custom/sky130_fd_io/lef/sky130_ef_io__vddio_hvc_pad.lef to /project/fun/openlane/pdk_root/open_pdks/sky130/sky130A/libs.ref/sky130_fd_io/lef/sky130_ef_io__vddio_hvc_pad.lef Install:./custom/sky130_fd_io/lef/sky130_ef_io__vddio_lvc_pad.lef to /project/fun/openlane/pdk_root/open_pdks/sky130/sky130A/libs.ref/sky130_fd_io/lef/sky130_ef_io__vddio_lvc_pad.lef Install:./custom/sky130_fd_io/lef/sky130_ef_io__vssa_hvc_pad.lef to /project/fun/openlane/pdk_root/open_pdks/sky130/sky130A/libs.ref/sky130_fd_io/lef/sky130_ef_io__vssa_hvc_pad.lef Install:./custom/sky130_fd_io/lef/sky130_ef_io__vssa_lvc_pad.lef to /project/fun/openlane/pdk_root/open_pdks/sky130/sky130A/libs.ref/sky130_fd_io/lef/sky130_ef_io__vssa_lvc_pad.lef Install:./custom/sky130_fd_io/lef/sky130_ef_io__vssd_hvc_pad.lef to /project/fun/openlane/pdk_root/open_pdks/sky130/sky130A/libs.ref/sky130_fd_io/lef/sky130_ef_io__vssd_hvc_pad.lef Install:./custom/sky130_fd_io/lef/sky130_ef_io__vssd_lvc_pad.lef to /project/fun/openlane/pdk_root/open_pdks/sky130/sky130A/libs.ref/sky130_fd_io/lef/sky130_ef_io__vssd_lvc_pad.lef Install:./custom/sky130_fd_io/lef/sky130_ef_io__vssio_hvc_pad.lef to /project/fun/openlane/pdk_root/open_pdks/sky130/sky130A/libs.ref/sky130_fd_io/lef/sky130_ef_io__vssio_hvc_pad.lef Install:./custom/sky130_fd_io/lef/sky130_ef_io__vssio_lvc_pad.lef to /project/fun/openlane/pdk_root/open_pdks/sky130/sky130A/libs.ref/sky130_fd_io/lef/sky130_ef_io__vssio_lvc_pad.lef Diagnostic: Sorting files with /project/fun/openlane/pdk_root/open_pdks/common/sort_pdkfiles.py Diagnostic: Creating consolidated LEF library sky130_fd_io.lef New file is: /project/fun/openlane/pdk_root/open_pdks/sky130/sky130A/libs.ref/sky130_fd_io/lef/sky130_fd_io.lef Compile-only: Removing individual LEF files Renaming sky130_fd_io.lef to sky130_ef_io.lef Completed installation of vendor files. Migrating GDS files to layout. Getting GDS file list from /project/fun/openlane/pdk_root/open_pdks/sky130/sky130A/libs.ref/sky130_fd_io/gds. Creating magic generation script to generate magic database files. Running magic to create magic database files. Magic 8.3 revision 64 - Compiled on Fri Oct 9 12:13:18 UTC 2020. Starting magic under Tcl interpreter Using the terminal as the console. Using NULL graphics device. Processing system .magicrc file Sourcing design .magicrc for technology sky130A ... 2 Magic internal units = 1 Lambda Input style sky130: scaleFactor=2, multiplier=2 Scaled tech values by 2 / 1 to match internal grid scaling Loading sky130A Device Generator Menu ... Using technology "sky130A", version 20200927 Warning: Calma reading is not undoable! I hope that's OK. Library written using GDS-II Release 3.0 Library name: sky130_ef_io Reading "sky130_ef_io__vssa_hvc_pad". Cell definition sky130_fd_io__overlay_vssa_hvc does not exist! Cell definition sky130_fd_io__top_ground_hvc_wpad does not exist! Reading "sky130_ef_io__vssd_hvc_pad". Cell definition sky130_fd_io__overlay_vssd_hvc does not exist! Reading "sky130_ef_io__vssd_lvc_pad". Cell definition sky130_fd_io__overlay_vssd_lvc does not exist! Cell definition sky130_fd_io__top_ground_lvc_wpad does not exist! Reading "sky130_ef_io__vssio_lvc_pad". Cell definition sky130_fd_io__overlay_vssio_lvc does not exist! Reading "sky130_ef_io__vssa_lvc_pad". Cell definition sky130_fd_io__overlay_vssa_lvc does not exist! Reading "sky130_ef_io__vssio_hvc_pad". Cell definition sky130_fd_io__overlay_vssio_hvc does not exist! Reading "sky130_ef_io__corner_pad". Cell definition sky130_fd_io__corner_bus_overlay does not exist! Reading "sky130_ef_io__com_bus_slice_20um". Reading "sky130_ef_io__com_bus_slice_10um". Reading "sky130_ef_io__com_bus_slice_5um". Reading "sky130_ef_io__com_bus_slice_1um". Reading "sky130_ef_io__vccd_lvc_pad". Cell definition sky130_fd_io__overlay_vccd_lvc does not exist! Cell definition sky130_fd_io__top_power_lvc_wpad does not exist! Reading "sky130_ef_io__vdda_hvc_pad". Cell definition sky130_fd_io__overlay_vdda_lvc does not exist! Cell definition sky130_fd_io__top_power_hvc_wpadv2 does not exist! Reading "sky130_ef_io__vdda_lvc_pad". Reading "sky130_ef_io__vddio_hvc_pad". Cell definition sky130_fd_io__overlay_vddio_hvc does not exist! Reading "sky130_ef_io__vccd_hvc_pad". Cell definition sky130_fd_io__overlay_vccd_hvc does not exist! Reading "sky130_ef_io__vddio_lvc_pad". Cell definition sky130_fd_io__overlay_vddio_lvc does not exist! Reading "sky130_ef_io__gpiov2_pad". Cell definition sky130_fd_io__top_gpiov2 does not exist! Cell definition sky130_fd_io__overlay_gpiov2 does not exist! Annotating cells from LEF Reading LEF data from file /project/fun/openlane/pdk_root/open_pdks/sky130/sky130A/libs.ref/sky130_fd_io/lef/sky130_ef_io.lef. This action cannot be undone. LEF read: Processed 6701 lines. Annotating cells from CDL/SPICE Cell sky130_ef_io__vdda_hvc_pad port order was modified. Cell sky130_ef_io__vdda_lvc_pad port order was modified. Cell sky130_ef_io__vddio_hvc_pad port order was modified. Cell sky130_ef_io__vddio_lvc_pad port order was modified. Cell sky130_ef_io__vccd_hvc_pad port order was modified. Cell sky130_ef_io__vccd_lvc_pad port order was modified. Cell sky130_ef_io__vssa_hvc_pad port order was modified. Cell sky130_ef_io__vssa_lvc_pad port order was modified. Cell sky130_ef_io__vssio_hvc_pad port order was modified. Cell sky130_ef_io__vssio_lvc_pad port order was modified. Cell sky130_ef_io__vssd_hvc_pad port order was modified. Cell sky130_ef_io__vssd_lvc_pad port order was modified. Cell sky130_ef_io__corner_pad port order was modified. Cell sky130_ef_io__com_bus_slice in netlist has not been loaded. Cell sky130_ef_io__com_bus_slice_1um port order was modified. Cell sky130_ef_io__com_bus_slice_5um port order was modified. Cell sky130_ef_io__com_bus_slice_10um port order was modified. Cell sky130_ef_io__com_bus_slice_20um port order was modified. Cell sky130_ef_io__gpiov2_pad port order was modified. Writing all magic database files Writing 'sky130_ef_io__vssd_hvc_pad' Writing 'sky130_fd_io__overlay_vccd_hvc' Writing 'sky130_ef_io__com_bus_slice_10um' Processing timestamp mismatches: sky130_fd_io__overlay_vccd_hvc. Writing 'sky130_fd_io__top_power_hvc_wpadv2' Writing 'sky130_ef_io__com_bus_slice_5um' Processing timestamp mismatches: sky130_fd_io__top_power_hvc_wpadv2. Writing 'sky130_fd_io__overlay_vssa_lvc' Writing 'sky130_fd_io__top_gpiov2' Processing timestamp mismatches: sky130_fd_io__overlay_vssa_lvc. Writing 'sky130_ef_io__vddio_lvc_pad' Processing timestamp mismatches: sky130_fd_io__top_gpiov2. Writing 'sky130_ef_io__vssio_lvc_pad' Writing 'sky130_fd_io__overlay_vddio_lvc' Writing 'sky130_fd_io__overlay_vssd_lvc' Processing timestamp mismatches: sky130_fd_io__overlay_vddio_lvc. Writing 'sky130_ef_io__com_bus_slice_1um' Processing timestamp mismatches: sky130_fd_io__overlay_vssd_lvc. Writing 'sky130_fd_io__overlay_vdda_lvc' Writing 'sky130_fd_io__corner_bus_overlay' Processing timestamp mismatches: sky130_fd_io__overlay_vdda_lvc. Writing 'sky130_ef_io__vssio_hvc_pad' Processing timestamp mismatches: sky130_fd_io__corner_bus_overlay. Writing 'sky130_ef_io__vddio_hvc_pad' Magic error: writing out-of-date timestamp for sky130_ef_io__vddio_hvc_pad. Writing 'sky130_fd_io__overlay_vssa_hvc' Writing 'sky130_fd_io__overlay_vssio_lvc' Processing timestamp mismatches: sky130_fd_io__overlay_vssa_hvc. Writing 'sky130_fd_io__top_power_lvc_wpad' Processing timestamp mismatches: sky130_fd_io__overlay_vssio_lvc. Writing 'sky130_ef_io__com_bus_slice_20um' Processing timestamp mismatches: sky130_fd_io__top_power_lvc_wpad. Writing 'sky130_fd_io__overlay_vddio_hvc' Writing 'sky130_ef_io__vccd_lvc_pad' Processing timestamp mismatches: sky130_fd_io__overlay_vddio_hvc. Magic error: writing out-of-date timestamp for sky130_ef_io__vccd_lvc_pad. Writing 'sky130_ef_io__corner_pad' Magic error: writing out-of-date timestamp for sky130_ef_io__corner_pad. Writing 'sky130_fd_io__top_ground_lvc_wpad' Writing 'sky130_fd_io__overlay_vssd_hvc' Processing timestamp mismatches: sky130_fd_io__top_ground_lvc_wpad. Writing 'sky130_ef_io__vssa_lvc_pad' Processing timestamp mismatches: sky130_fd_io__overlay_vssd_hvc. Magic error: writing out-of-date timestamp for sky130_ef_io__vssa_lvc_pad. Writing 'sky130_ef_io__vccd_hvc_pad' Magic error: writing out-of-date timestamp for sky130_ef_io__vccd_hvc_pad. Writing 'sky130_fd_io__overlay_vssio_hvc' Writing 'sky130_ef_io__vdda_lvc_pad' Processing timestamp mismatches: sky130_fd_io__overlay_vssio_hvc. Magic error: writing out-of-date timestamp for sky130_ef_io__vdda_lvc_pad. Writing 'sky130_ef_io__vssa_hvc_pad' Magic error: writing out-of-date timestamp for sky130_ef_io__vssa_hvc_pad. Writing 'sky130_fd_io__top_ground_hvc_wpad' Writing 'sky130_ef_io__gpiov2_pad' Processing timestamp mismatches: sky130_fd_io__top_ground_hvc_wpad. Magic error: writing out-of-date timestamp for sky130_ef_io__gpiov2_pad. Writing 'sky130_ef_io__vssd_lvc_pad' Magic error: writing out-of-date timestamp for sky130_ef_io__vssd_lvc_pad. Writing 'sky130_fd_io__overlay_vccd_lvc' Writing 'sky130_ef_io__vdda_hvc_pad' Processing timestamp mismatches: sky130_fd_io__overlay_vccd_lvc. Magic error: writing out-of-date timestamp for sky130_ef_io__vdda_hvc_pad. Writing 'sky130_fd_io__overlay_gpiov2' Writing '(UNNAMED)' Must specify name for cell (UNNAMED). Processing timestamp mismatches: sky130_fd_io__overlay_gpiov2. Done. Error message output from magic: Error while reading cell "sky130_ef_io__vssa_hvc_pad" (byte position 227530): Cell "sky130_fd_io__overlay_vssa_hvc" is used but not defined in this file. Error while reading cell "sky130_ef_io__vssa_hvc_pad" (byte position 227530): Cell "sky130_fd_io__top_ground_hvc_wpad" is used but not defined in this file. CIF file read warning: CIF style sky130: units rescaled by factor of 5 / 1 Error while reading cell "sky130_ef_io__vssd_hvc_pad" (byte position 227530): Cell "sky130_fd_io__overlay_vssd_hvc" is used but not defined in this file. Error while reading cell "sky130_ef_io__vssd_lvc_pad" (byte position 227530): Cell "sky130_fd_io__overlay_vssd_lvc" is used but not defined in this file. Error while reading cell "sky130_ef_io__vssd_lvc_pad" (byte position 227530): Cell "sky130_fd_io__top_ground_lvc_wpad" is used but not defined in this file. Error while reading cell "sky130_ef_io__vssio_lvc_pad" (byte position 227530): Cell "sky130_fd_io__overlay_vssio_lvc" is used but not defined in this file. Error while reading cell "sky130_ef_io__vssa_lvc_pad" (byte position 227530): Cell "sky130_fd_io__overlay_vssa_lvc" is used but not defined in this file. Error while reading cell "sky130_ef_io__vssio_hvc_pad" (byte position 227530): Cell "sky130_fd_io__overlay_vssio_hvc" is used but not defined in this file. Error while reading cell "sky130_ef_io__corner_pad" (byte position 227530): Cell "sky130_fd_io__corner_bus_overlay" is used but not defined in this file. Error while reading cell "sky130_ef_io__vccd_lvc_pad" (byte position 227530): Cell "sky130_fd_io__overlay_vccd_lvc" is used but not defined in this file. Error while reading cell "sky130_ef_io__vccd_lvc_pad" (byte position 227530): Cell "sky130_fd_io__top_power_lvc_wpad" is used but not defined in this file. Error while reading cell "sky130_ef_io__vdda_hvc_pad" (byte position 227530): Cell "sky130_fd_io__overlay_vdda_lvc" is used but not defined in this file. Error while reading cell "sky130_ef_io__vdda_hvc_pad" (byte position 227530): Cell "sky130_fd_io__top_power_hvc_wpadv2" is used but not defined in this file. Error while reading cell "sky130_ef_io__vddio_hvc_pad" (byte position 227530): Cell "sky130_fd_io__overlay_vddio_hvc" is used but not defined in this file. Error while reading cell "sky130_ef_io__vccd_hvc_pad" (byte position 227530): Cell "sky130_fd_io__overlay_vccd_hvc" is used but not defined in this file. Error while reading cell "sky130_ef_io__vddio_lvc_pad" (byte position 227530): Cell "sky130_fd_io__overlay_vddio_lvc" is used but not defined in this file. Error while reading cell "sky130_ef_io__gpiov2_pad" (byte position 227530): Cell "sky130_fd_io__top_gpiov2" is used but not defined in this file. Error while reading cell "sky130_ef_io__gpiov2_pad" (byte position 227530): Cell "sky130_fd_io__overlay_gpiov2" is used but not defined in this file. Error while reading cell "sky130_ef_io__gpiov2_pad" (byte position 227530): cell sky130_fd_io__overlay_vccd_hvc was used but not defined. Error while reading cell "sky130_ef_io__gpiov2_pad" (byte position 227530): cell sky130_fd_io__top_power_hvc_wpadv2 was used but not defined. Error while reading cell "sky130_ef_io__gpiov2_pad" (byte position 227530): cell sky130_fd_io__top_gpiov2 was used but not defined. Error while reading cell "sky130_ef_io__gpiov2_pad" (byte position 227530): cell sky130_fd_io__overlay_vssa_lvc was used but not defined. Error while reading cell "sky130_ef_io__gpiov2_pad" (byte position 227530): cell sky130_fd_io__overlay_vddio_lvc was used but not defined. Error while reading cell "sky130_ef_io__gpiov2_pad" (byte position 227530): cell sky130_fd_io__overlay_vssd_lvc was used but not defined. Error while reading cell "sky130_ef_io__gpiov2_pad" (byte position 227530): cell sky130_fd_io__overlay_vdda_lvc was used but not defined. Error while reading cell "sky130_ef_io__gpiov2_pad" (byte position 227530): cell sky130_fd_io__corner_bus_overlay was used but not defined. Error while reading cell "sky130_ef_io__gpiov2_pad" (byte position 227530): cell sky130_fd_io__overlay_vssa_hvc was used but not defined. Error while reading cell "sky130_ef_io__gpiov2_pad" (byte position 227530): cell sky130_fd_io__top_power_lvc_wpad was used but not defined. Error while reading cell "sky130_ef_io__gpiov2_pad" (byte position 227530): cell sky130_fd_io__overlay_vssio_lvc was used but not defined. Error while reading cell "sky130_ef_io__gpiov2_pad" (byte position 227530): cell sky130_fd_io__overlay_vddio_hvc was used but not defined. Error while reading cell "sky130_ef_io__gpiov2_pad" (byte position 227530): cell sky130_fd_io__top_ground_lvc_wpad was used but not defined. Error while reading cell "sky130_ef_io__gpiov2_pad" (byte position 227530): cell sky130_fd_io__overlay_vssd_hvc was used but not defined. Error while reading cell "sky130_ef_io__gpiov2_pad" (byte position 227530): cell sky130_fd_io__overlay_vssio_hvc was used but not defined. Error while reading cell "sky130_ef_io__gpiov2_pad" (byte position 227530): cell sky130_fd_io__top_ground_hvc_wpad was used but not defined. Error while reading cell "sky130_ef_io__gpiov2_pad" (byte position 227530): cell sky130_fd_io__overlay_vccd_lvc was used but not defined. Error while reading cell "sky130_ef_io__gpiov2_pad" (byte position 227530): cell sky130_fd_io__overlay_gpiov2 was used but not defined. Annotating port orders from /project/fun/openlane/pdk_root/open_pdks/sky130/sky130A/libs.ref/sky130_fd_io/cdl/sky130_ef_io.cdl File sky130_fd_io__overlay_vccd_hvc contained format error "sky130_fd_io__overlay_vccd_hvc" has a zero timestamp; it should be written out to establish a correct timestamp. File sky130_fd_io__top_power_hvc_wpadv2 contained format error "sky130_fd_io__top_power_hvc_wpadv2" has a zero timestamp; it should be written out to establish a correct timestamp. File sky130_fd_io__overlay_vssa_lvc contained format error "sky130_fd_io__overlay_vssa_lvc" has a zero timestamp; it should be written out to establish a correct timestamp. File sky130_fd_io__top_gpiov2 contained format error "sky130_fd_io__top_gpiov2" has a zero timestamp; it should be written out to establish a correct timestamp. File sky130_fd_io__overlay_vddio_lvc contained format error "sky130_fd_io__overlay_vddio_lvc" has a zero timestamp; it should be written out to establish a correct timestamp. File sky130_fd_io__overlay_vssd_lvc contained format error "sky130_fd_io__overlay_vssd_lvc" has a zero timestamp; it should be written out to establish a correct timestamp. File sky130_fd_io__overlay_vdda_lvc contained format error "sky130_fd_io__overlay_vdda_lvc" has a zero timestamp; it should be written out to establish a correct timestamp. File sky130_fd_io__corner_bus_overlay contained format error "sky130_fd_io__corner_bus_overlay" has a zero timestamp; it should be written out to establish a correct timestamp. File sky130_fd_io__overlay_vssa_hvc contained format error "sky130_fd_io__overlay_vssa_hvc" has a zero timestamp; it should be written out to establish a correct timestamp. File sky130_fd_io__overlay_vssio_lvc contained format error "sky130_fd_io__overlay_vssio_lvc" has a zero timestamp; it should be written out to establish a correct timestamp. File sky130_fd_io__top_power_lvc_wpad contained format error "sky130_fd_io__top_power_lvc_wpad" has a zero timestamp; it should be written out to establish a correct timestamp. File sky130_fd_io__overlay_vddio_hvc contained format error "sky130_fd_io__overlay_vddio_hvc" has a zero timestamp; it should be written out to establish a correct timestamp. File sky130_fd_io__top_ground_lvc_wpad contained format error "sky130_fd_io__top_ground_lvc_wpad" has a zero timestamp; it should be written out to establish a correct timestamp. File sky130_fd_io__overlay_vssd_hvc contained format error "sky130_fd_io__overlay_vssd_hvc" has a zero timestamp; it should be written out to establish a correct timestamp. File sky130_fd_io__overlay_vssio_hvc contained format error "sky130_fd_io__overlay_vssio_hvc" has a zero timestamp; it should be written out to establish a correct timestamp. File sky130_fd_io__top_ground_hvc_wpad contained format error "sky130_fd_io__top_ground_hvc_wpad" has a zero timestamp; it should be written out to establish a correct timestamp. File sky130_fd_io__overlay_vccd_lvc contained format error "sky130_fd_io__overlay_vccd_lvc" has a zero timestamp; it should be written out to establish a correct timestamp. File sky130_fd_io__overlay_gpiov2 contained format error "sky130_fd_io__overlay_gpiov2" has a zero timestamp; it should be written out to establish a correct timestamp. Can't write file named '(UNNAMED)' Migrating LEF files to layout. Generating conversion script to create magic databases from LEF Running magic to create magic databases from LEF Magic 8.3 revision 64 - Compiled on Fri Oct 9 12:13:18 UTC 2020. Starting magic under Tcl interpreter Using the terminal as the console. Using NULL graphics device. Processing system .magicrc file Sourcing design .magicrc for technology sky130A ... 2 Magic internal units = 1 Lambda Input style sky130: scaleFactor=2, multiplier=2 Scaled tech values by 2 / 1 to match internal grid scaling Loading sky130A Device Generator Menu ... Using technology "sky130A", version 20200927 Reading LEF data from file /project/fun/openlane/pdk_root/open_pdks/sky130/sky130A/libs.ref/sky130_fd_io/lef/sky130_ef_io.lef. This action cannot be undone. LEF read: Processed 6701 lines. Annotating cells from CDL/SPICE Cell sky130_ef_io__vdda_hvc_pad port order was modified. Cell sky130_ef_io__vdda_lvc_pad port order was modified. Cell sky130_ef_io__vddio_hvc_pad port order was modified. Cell sky130_ef_io__vddio_lvc_pad port order was modified. Cell sky130_ef_io__vccd_hvc_pad port order was modified. Cell sky130_ef_io__vccd_lvc_pad port order was modified. Cell sky130_ef_io__vssa_hvc_pad port order was modified. Cell sky130_ef_io__vssa_lvc_pad port order was modified. Cell sky130_ef_io__vssio_hvc_pad port order was modified. Cell sky130_ef_io__vssio_lvc_pad port order was modified. Cell sky130_ef_io__vssd_hvc_pad port order was modified. Cell sky130_ef_io__vssd_lvc_pad port order was modified. Cell sky130_ef_io__corner_pad port order was modified. Cell sky130_ef_io__com_bus_slice in netlist has not been loaded. Cell sky130_ef_io__com_bus_slice_1um port order was modified. Cell sky130_ef_io__com_bus_slice_5um port order was modified. Cell sky130_ef_io__com_bus_slice_10um port order was modified. Cell sky130_ef_io__com_bus_slice_20um port order was modified. Cell sky130_ef_io__gpiov2_pad port order was modified. Writing 'sky130_ef_io__vssd_hvc_pad' Writing 'sky130_ef_io__com_bus_slice_10um' Writing 'sky130_ef_io__com_bus_slice_5um' Writing 'sky130_ef_io__vssio_lvc_pad' Writing 'sky130_ef_io__vddio_lvc_pad' Writing 'sky130_ef_io__com_bus_slice_1um' Writing 'sky130_ef_io__vssio_hvc_pad' Writing 'sky130_ef_io__vddio_hvc_pad' Writing 'sky130_ef_io__com_bus_slice_20um' Writing 'sky130_ef_io__vccd_lvc_pad' Writing 'sky130_ef_io__corner_pad' Writing 'sky130_ef_io__vssa_lvc_pad' Writing 'sky130_ef_io__vccd_hvc_pad' Writing 'sky130_ef_io__vssa_hvc_pad' Writing 'sky130_ef_io__vdda_lvc_pad' Writing 'sky130_ef_io__gpiov2_pad' Writing 'sky130_ef_io__vssd_lvc_pad' Writing 'sky130_ef_io__vdda_hvc_pad' Writing '(UNNAMED)' Must specify name for cell (UNNAMED). Done. Error message output from magic: Annotating port orders from /project/fun/openlane/pdk_root/open_pdks/sky130/sky130A/libs.ref/sky130_fd_io/cdl/sky130_ef_io.cdl Can't write file named '(UNNAMED)' Annotating files in /project/fun/openlane/pdk_root/open_pdks/sky130/sky130A/libs.ref/sky130_fd_io/maglef Migrating CDL netlists to SPICE. Running (in /project/fun/openlane/pdk_root/open_pdks/sky130/sky130A/libs.ref/sky130_fd_io/spice): /project/fun/openlane/pdk_root/open_pdks/common/cdl2spi.py /project/fun/openlane/pdk_root/open_pdks/sky130/sky130A/libs.ref/sky130_fd_io/cdl/sky130_ef_io.cdl /project/fun/openlane/pdk_root/open_pdks/sky130/sky130A/libs.ref/sky130_fd_io/spice/sky130_ef_io.spice *cdl2spi.py: 0 errors, 0 warnings # Install SkyWater I/O pad library set -f ; ../common/foundry_install.py -std_format -source /project/fun/openlane/pdk_root/skywater-pdk/libraries -target `pwd`/sky130A \ -spice %l/latest/cells/*/*.spice compile-only \ sort=custom/scripts/sort_pdkfiles.py \ -cdl %l/latest/cells/*/*.cdl ignore=topography compile-only \ sort=custom/scripts/sort_pdkfiles.py \ -lef %l/latest/cells/*/*.magic.lef compile-only \ sort=custom/scripts/sort_pdkfiles.py \ -doc %l/latest/cells/*/*.pdf \ -lib %l/latest/timing/*.lib \ -gds %l/latest/cells/*/*.gds compile-only \ sort=custom/scripts/sort_pdkfiles.py \ -verilog %l/latest/cells/*/*.*.v \ -verilog %l/latest/cells/*/*.v exclude=*.*.v \ compile-only filter=custom/scripts/inc_verilog.py \ sort=custom/scripts/sort_pdkfiles.py \ -library general sky130_fd_io |& tee -a sky130A_install.log Installing in target (staging) directory /project/fun/openlane/pdk_root/open_pdks/sky130/sky130A Magic version 8.2 available on the system. Install option: spice Sorting files with script custom/scripts/sort_pdkfiles.py Collecting files from /project/fun/openlane/pdk_root/skywater-pdk/libraries/sky130_fd_io/latest/cells/*/*.spice Files to install: Diagnostic: Creating consolidated SPICE library /project/fun/openlane/pdk_root/open_pdks/sky130/sky130A/libs.ref/sky130_fd_io/spice/sky130_fd_io.spice Only one file ([]); ignoring "compile" option. Install option: cdl Sorting files with script custom/scripts/sort_pdkfiles.py Collecting files from /project/fun/openlane/pdk_root/skywater-pdk/libraries/sky130_fd_io/latest/cells/*/*.cdl Files to install: Diagnostic: Creating consolidated CDL library /project/fun/openlane/pdk_root/open_pdks/sky130/sky130A/libs.ref/sky130_fd_io/cdl/sky130_fd_io.cdl Only one file ([]); ignoring "compile" option. Install option: lef Sorting files with script custom/scripts/sort_pdkfiles.py Collecting files from /project/fun/openlane/pdk_root/skywater-pdk/libraries/sky130_fd_io/latest/cells/*/*.magic.lef Files to install: Diagnostic: Creating consolidated LEF library sky130_fd_io.lef Only one file ([]); ignoring "compile" option. Install option: doc Collecting files from /project/fun/openlane/pdk_root/skywater-pdk/libraries/sky130_fd_io/latest/cells/*/*.pdf Files to install: Diagnostic: Sorting files with /project/fun/openlane/pdk_root/open_pdks/common/sort_pdkfiles.py Install option: lib Collecting files from /project/fun/openlane/pdk_root/skywater-pdk/libraries/sky130_fd_io/latest/timing/*.lib Files to install: Diagnostic: Sorting files with /project/fun/openlane/pdk_root/open_pdks/common/sort_pdkfiles.py Install option: gds Sorting files with script custom/scripts/sort_pdkfiles.py Collecting files from /project/fun/openlane/pdk_root/skywater-pdk/libraries/sky130_fd_io/latest/cells/*/*.gds Files to install: Only one file ([]); ignoring "compile" option. Install option: verilog Collecting files from /project/fun/openlane/pdk_root/skywater-pdk/libraries/sky130_fd_io/latest/cells/*/*.*.v Files to install: Diagnostic: Sorting files with /project/fun/openlane/pdk_root/open_pdks/common/sort_pdkfiles.py Install option: verilog Excluding files: *.*.v Sorting files with script custom/scripts/sort_pdkfiles.py Collecting files from /project/fun/openlane/pdk_root/skywater-pdk/libraries/sky130_fd_io/latest/cells/*/*.v Files to install: Diagnostic: Creating consolidated verilog library sky130_fd_io.v Diagnostic: Reading sorted verilog file list. Only one file ([]); ignoring "compile" option. Completed installation of vendor files. Migrating GDS files to layout. Getting GDS file list from /project/fun/openlane/pdk_root/open_pdks/sky130/sky130A/libs.ref/sky130_fd_io/gds. Creating magic generation script to generate magic database files. Running magic to create magic database files. Magic 8.3 revision 64 - Compiled on Fri Oct 9 12:13:18 UTC 2020. Starting magic under Tcl interpreter Using the terminal as the console. Using NULL graphics device. Processing system .magicrc file Sourcing design .magicrc for technology sky130A ... 2 Magic internal units = 1 Lambda Input style sky130: scaleFactor=2, multiplier=2 Scaled tech values by 2 / 1 to match internal grid scaling Loading sky130A Device Generator Menu ... Using technology "sky130A", version 20200927 Warning: Calma reading is not undoable! I hope that's OK. Library written using GDS-II Release 3.0 Library name: sky130_ef_io Reading "sky130_ef_io__vssa_hvc_pad". Cell definition sky130_fd_io__overlay_vssa_hvc does not exist! Cell definition sky130_fd_io__top_ground_hvc_wpad does not exist! Reading "sky130_ef_io__vssd_hvc_pad". Cell definition sky130_fd_io__overlay_vssd_hvc does not exist! Reading "sky130_ef_io__vssd_lvc_pad". Cell definition sky130_fd_io__overlay_vssd_lvc does not exist! Cell definition sky130_fd_io__top_ground_lvc_wpad does not exist! Reading "sky130_ef_io__vssio_lvc_pad". Cell definition sky130_fd_io__overlay_vssio_lvc does not exist! Reading "sky130_ef_io__vssa_lvc_pad". Cell definition sky130_fd_io__overlay_vssa_lvc does not exist! Reading "sky130_ef_io__vssio_hvc_pad". Cell definition sky130_fd_io__overlay_vssio_hvc does not exist! Reading "sky130_ef_io__corner_pad". Cell definition sky130_fd_io__corner_bus_overlay does not exist! Reading "sky130_ef_io__com_bus_slice_20um". Reading "sky130_ef_io__com_bus_slice_10um". Reading "sky130_ef_io__com_bus_slice_5um". Reading "sky130_ef_io__com_bus_slice_1um". Reading "sky130_ef_io__vccd_lvc_pad". Cell definition sky130_fd_io__overlay_vccd_lvc does not exist! Cell definition sky130_fd_io__top_power_lvc_wpad does not exist! Reading "sky130_ef_io__vdda_hvc_pad". Cell definition sky130_fd_io__overlay_vdda_lvc does not exist! Cell definition sky130_fd_io__top_power_hvc_wpadv2 does not exist! Reading "sky130_ef_io__vdda_lvc_pad". Reading "sky130_ef_io__vddio_hvc_pad". Cell definition sky130_fd_io__overlay_vddio_hvc does not exist! Reading "sky130_ef_io__vccd_hvc_pad". Cell definition sky130_fd_io__overlay_vccd_hvc does not exist! Reading "sky130_ef_io__vddio_lvc_pad". Cell definition sky130_fd_io__overlay_vddio_lvc does not exist! Reading "sky130_ef_io__gpiov2_pad". Cell definition sky130_fd_io__top_gpiov2 does not exist! Cell definition sky130_fd_io__overlay_gpiov2 does not exist! Annotating cells from LEF Reading LEF data from file /project/fun/openlane/pdk_root/open_pdks/sky130/sky130A/libs.ref/sky130_fd_io/lef/sky130_ef_io.lef. This action cannot be undone. LEF read: Processed 6701 lines. Annotating cells from CDL/SPICE Cell sky130_ef_io__vdda_hvc_pad port order was modified. Cell sky130_ef_io__vdda_lvc_pad port order was modified. Cell sky130_ef_io__vddio_hvc_pad port order was modified. Cell sky130_ef_io__vddio_lvc_pad port order was modified. Cell sky130_ef_io__vccd_hvc_pad port order was modified. Cell sky130_ef_io__vccd_lvc_pad port order was modified. Cell sky130_ef_io__vssa_hvc_pad port order was modified. Cell sky130_ef_io__vssa_lvc_pad port order was modified. Cell sky130_ef_io__vssio_hvc_pad port order was modified. Cell sky130_ef_io__vssio_lvc_pad port order was modified. Cell sky130_ef_io__vssd_hvc_pad port order was modified. Cell sky130_ef_io__vssd_lvc_pad port order was modified. Cell sky130_ef_io__corner_pad port order was modified. Cell sky130_ef_io__com_bus_slice in netlist has not been loaded. Cell sky130_ef_io__com_bus_slice_1um port order was modified. Cell sky130_ef_io__com_bus_slice_5um port order was modified. Cell sky130_ef_io__com_bus_slice_10um port order was modified. Cell sky130_ef_io__com_bus_slice_20um port order was modified. Cell sky130_ef_io__gpiov2_pad port order was modified. Writing all magic database files Writing 'sky130_ef_io__vssd_hvc_pad' Writing 'sky130_fd_io__overlay_vccd_hvc' Writing 'sky130_ef_io__com_bus_slice_10um' Processing timestamp mismatches: sky130_fd_io__overlay_vccd_hvc. Writing 'sky130_fd_io__top_power_hvc_wpadv2' Writing 'sky130_ef_io__com_bus_slice_5um' Processing timestamp mismatches: sky130_fd_io__top_power_hvc_wpadv2. Writing 'sky130_fd_io__overlay_vssa_lvc' Writing 'sky130_fd_io__top_gpiov2' Processing timestamp mismatches: sky130_fd_io__overlay_vssa_lvc. Writing 'sky130_ef_io__vddio_lvc_pad' Processing timestamp mismatches: sky130_fd_io__top_gpiov2. Writing 'sky130_ef_io__vssio_lvc_pad' Writing 'sky130_fd_io__overlay_vddio_lvc' Writing 'sky130_fd_io__overlay_vssd_lvc' Processing timestamp mismatches: sky130_fd_io__overlay_vddio_lvc. Writing 'sky130_ef_io__com_bus_slice_1um' Processing timestamp mismatches: sky130_fd_io__overlay_vssd_lvc. Writing 'sky130_fd_io__overlay_vdda_lvc' Writing 'sky130_fd_io__corner_bus_overlay' Processing timestamp mismatches: sky130_fd_io__overlay_vdda_lvc. Writing 'sky130_ef_io__vssio_hvc_pad' Processing timestamp mismatches: sky130_fd_io__corner_bus_overlay. Writing 'sky130_ef_io__vddio_hvc_pad' Magic error: writing out-of-date timestamp for sky130_ef_io__vddio_hvc_pad. Writing 'sky130_fd_io__overlay_vssa_hvc' Writing 'sky130_fd_io__overlay_vssio_lvc' Processing timestamp mismatches: sky130_fd_io__overlay_vssa_hvc. Writing 'sky130_fd_io__top_power_lvc_wpad' Processing timestamp mismatches: sky130_fd_io__overlay_vssio_lvc. Writing 'sky130_ef_io__com_bus_slice_20um' Processing timestamp mismatches: sky130_fd_io__top_power_lvc_wpad. Writing 'sky130_fd_io__overlay_vddio_hvc' Writing 'sky130_ef_io__vccd_lvc_pad' Processing timestamp mismatches: sky130_fd_io__overlay_vddio_hvc. Magic error: writing out-of-date timestamp for sky130_ef_io__vccd_lvc_pad. Writing 'sky130_ef_io__corner_pad' Magic error: writing out-of-date timestamp for sky130_ef_io__corner_pad. Writing 'sky130_fd_io__top_ground_lvc_wpad' Writing 'sky130_fd_io__overlay_vssd_hvc' Processing timestamp mismatches: sky130_fd_io__top_ground_lvc_wpad. Writing 'sky130_ef_io__vssa_lvc_pad' Processing timestamp mismatches: sky130_fd_io__overlay_vssd_hvc. Magic error: writing out-of-date timestamp for sky130_ef_io__vssa_lvc_pad. Writing 'sky130_ef_io__vccd_hvc_pad' Magic error: writing out-of-date timestamp for sky130_ef_io__vccd_hvc_pad. Writing 'sky130_fd_io__overlay_vssio_hvc' Writing 'sky130_ef_io__vdda_lvc_pad' Processing timestamp mismatches: sky130_fd_io__overlay_vssio_hvc. Magic error: writing out-of-date timestamp for sky130_ef_io__vdda_lvc_pad. Writing 'sky130_ef_io__vssa_hvc_pad' Magic error: writing out-of-date timestamp for sky130_ef_io__vssa_hvc_pad. Writing 'sky130_fd_io__top_ground_hvc_wpad' Writing 'sky130_ef_io__gpiov2_pad' Processing timestamp mismatches: sky130_fd_io__top_ground_hvc_wpad. Magic error: writing out-of-date timestamp for sky130_ef_io__gpiov2_pad. Writing 'sky130_ef_io__vssd_lvc_pad' Magic error: writing out-of-date timestamp for sky130_ef_io__vssd_lvc_pad. Writing 'sky130_fd_io__overlay_vccd_lvc' Writing 'sky130_ef_io__vdda_hvc_pad' Processing timestamp mismatches: sky130_fd_io__overlay_vccd_lvc. Magic error: writing out-of-date timestamp for sky130_ef_io__vdda_hvc_pad. Writing 'sky130_fd_io__overlay_gpiov2' Writing '(UNNAMED)' Must specify name for cell (UNNAMED). Processing timestamp mismatches: sky130_fd_io__overlay_gpiov2. Done. Error message output from magic: Error while reading cell "sky130_ef_io__vssa_hvc_pad" (byte position 227530): Cell "sky130_fd_io__overlay_vssa_hvc" is used but not defined in this file. Error while reading cell "sky130_ef_io__vssa_hvc_pad" (byte position 227530): Cell "sky130_fd_io__top_ground_hvc_wpad" is used but not defined in this file. CIF file read warning: CIF style sky130: units rescaled by factor of 5 / 1 Error while reading cell "sky130_ef_io__vssd_hvc_pad" (byte position 227530): Cell "sky130_fd_io__overlay_vssd_hvc" is used but not defined in this file. Error while reading cell "sky130_ef_io__vssd_lvc_pad" (byte position 227530): Cell "sky130_fd_io__overlay_vssd_lvc" is used but not defined in this file. Error while reading cell "sky130_ef_io__vssd_lvc_pad" (byte position 227530): Cell "sky130_fd_io__top_ground_lvc_wpad" is used but not defined in this file. Error while reading cell "sky130_ef_io__vssio_lvc_pad" (byte position 227530): Cell "sky130_fd_io__overlay_vssio_lvc" is used but not defined in this file. Error while reading cell "sky130_ef_io__vssa_lvc_pad" (byte position 227530): Cell "sky130_fd_io__overlay_vssa_lvc" is used but not defined in this file. Error while reading cell "sky130_ef_io__vssio_hvc_pad" (byte position 227530): Cell "sky130_fd_io__overlay_vssio_hvc" is used but not defined in this file. Error while reading cell "sky130_ef_io__corner_pad" (byte position 227530): Cell "sky130_fd_io__corner_bus_overlay" is used but not defined in this file. Error while reading cell "sky130_ef_io__vccd_lvc_pad" (byte position 227530): Cell "sky130_fd_io__overlay_vccd_lvc" is used but not defined in this file. Error while reading cell "sky130_ef_io__vccd_lvc_pad" (byte position 227530): Cell "sky130_fd_io__top_power_lvc_wpad" is used but not defined in this file. Error while reading cell "sky130_ef_io__vdda_hvc_pad" (byte position 227530): Cell "sky130_fd_io__overlay_vdda_lvc" is used but not defined in this file. Error while reading cell "sky130_ef_io__vdda_hvc_pad" (byte position 227530): Cell "sky130_fd_io__top_power_hvc_wpadv2" is used but not defined in this file. Error while reading cell "sky130_ef_io__vddio_hvc_pad" (byte position 227530): Cell "sky130_fd_io__overlay_vddio_hvc" is used but not defined in this file. Error while reading cell "sky130_ef_io__vccd_hvc_pad" (byte position 227530): Cell "sky130_fd_io__overlay_vccd_hvc" is used but not defined in this file. Error while reading cell "sky130_ef_io__vddio_lvc_pad" (byte position 227530): Cell "sky130_fd_io__overlay_vddio_lvc" is used but not defined in this file. Error while reading cell "sky130_ef_io__gpiov2_pad" (byte position 227530): Cell "sky130_fd_io__top_gpiov2" is used but not defined in this file. Error while reading cell "sky130_ef_io__gpiov2_pad" (byte position 227530): Cell "sky130_fd_io__overlay_gpiov2" is used but not defined in this file. Error while reading cell "sky130_ef_io__gpiov2_pad" (byte position 227530): cell sky130_fd_io__overlay_vccd_hvc was used but not defined. Error while reading cell "sky130_ef_io__gpiov2_pad" (byte position 227530): cell sky130_fd_io__top_power_hvc_wpadv2 was used but not defined. Error while reading cell "sky130_ef_io__gpiov2_pad" (byte position 227530): cell sky130_fd_io__top_gpiov2 was used but not defined. Error while reading cell "sky130_ef_io__gpiov2_pad" (byte position 227530): cell sky130_fd_io__overlay_vssa_lvc was used but not defined. Error while reading cell "sky130_ef_io__gpiov2_pad" (byte position 227530): cell sky130_fd_io__overlay_vddio_lvc was used but not defined. Error while reading cell "sky130_ef_io__gpiov2_pad" (byte position 227530): cell sky130_fd_io__overlay_vssd_lvc was used but not defined. Error while reading cell "sky130_ef_io__gpiov2_pad" (byte position 227530): cell sky130_fd_io__overlay_vdda_lvc was used but not defined. Error while reading cell "sky130_ef_io__gpiov2_pad" (byte position 227530): cell sky130_fd_io__corner_bus_overlay was used but not defined. Error while reading cell "sky130_ef_io__gpiov2_pad" (byte position 227530): cell sky130_fd_io__overlay_vssa_hvc was used but not defined. Error while reading cell "sky130_ef_io__gpiov2_pad" (byte position 227530): cell sky130_fd_io__top_power_lvc_wpad was used but not defined. Error while reading cell "sky130_ef_io__gpiov2_pad" (byte position 227530): cell sky130_fd_io__overlay_vssio_lvc was used but not defined. Error while reading cell "sky130_ef_io__gpiov2_pad" (byte position 227530): cell sky130_fd_io__overlay_vddio_hvc was used but not defined. Error while reading cell "sky130_ef_io__gpiov2_pad" (byte position 227530): cell sky130_fd_io__top_ground_lvc_wpad was used but not defined. Error while reading cell "sky130_ef_io__gpiov2_pad" (byte position 227530): cell sky130_fd_io__overlay_vssd_hvc was used but not defined. Error while reading cell "sky130_ef_io__gpiov2_pad" (byte position 227530): cell sky130_fd_io__overlay_vssio_hvc was used but not defined. Error while reading cell "sky130_ef_io__gpiov2_pad" (byte position 227530): cell sky130_fd_io__top_ground_hvc_wpad was used but not defined. Error while reading cell "sky130_ef_io__gpiov2_pad" (byte position 227530): cell sky130_fd_io__overlay_vccd_lvc was used but not defined. Error while reading cell "sky130_ef_io__gpiov2_pad" (byte position 227530): cell sky130_fd_io__overlay_gpiov2 was used but not defined. Annotating port orders from /project/fun/openlane/pdk_root/open_pdks/sky130/sky130A/libs.ref/sky130_fd_io/cdl/sky130_ef_io.cdl Can't write file named '(UNNAMED)' Migrating LEF files to layout. Generating conversion script to create magic databases from LEF Running magic to create magic databases from LEF Magic 8.3 revision 64 - Compiled on Fri Oct 9 12:13:18 UTC 2020. Starting magic under Tcl interpreter Using the terminal as the console. Using NULL graphics device. Processing system .magicrc file Sourcing design .magicrc for technology sky130A ... 2 Magic internal units = 1 Lambda Input style sky130: scaleFactor=2, multiplier=2 Scaled tech values by 2 / 1 to match internal grid scaling Loading sky130A Device Generator Menu ... Using technology "sky130A", version 20200927 Reading LEF data from file /project/fun/openlane/pdk_root/open_pdks/sky130/sky130A/libs.ref/sky130_fd_io/lef/sky130_ef_io.lef. This action cannot be undone. LEF read: Processed 6701 lines. Annotating cells from CDL/SPICE Cell sky130_ef_io__vdda_hvc_pad port order was modified. Cell sky130_ef_io__vdda_lvc_pad port order was modified. Cell sky130_ef_io__vddio_hvc_pad port order was modified. Cell sky130_ef_io__vddio_lvc_pad port order was modified. Cell sky130_ef_io__vccd_hvc_pad port order was modified. Cell sky130_ef_io__vccd_lvc_pad port order was modified. Cell sky130_ef_io__vssa_hvc_pad port order was modified. Cell sky130_ef_io__vssa_lvc_pad port order was modified. Cell sky130_ef_io__vssio_hvc_pad port order was modified. Cell sky130_ef_io__vssio_lvc_pad port order was modified. Cell sky130_ef_io__vssd_hvc_pad port order was modified. Cell sky130_ef_io__vssd_lvc_pad port order was modified. Cell sky130_ef_io__corner_pad port order was modified. Cell sky130_ef_io__com_bus_slice in netlist has not been loaded. Cell sky130_ef_io__com_bus_slice_1um port order was modified. Cell sky130_ef_io__com_bus_slice_5um port order was modified. Cell sky130_ef_io__com_bus_slice_10um port order was modified. Cell sky130_ef_io__com_bus_slice_20um port order was modified. Cell sky130_ef_io__gpiov2_pad port order was modified. Writing 'sky130_ef_io__vssd_hvc_pad' Writing 'sky130_ef_io__com_bus_slice_10um' Writing 'sky130_ef_io__com_bus_slice_5um' Writing 'sky130_ef_io__vssio_lvc_pad' Writing 'sky130_ef_io__vddio_lvc_pad' Writing 'sky130_ef_io__com_bus_slice_1um' Writing 'sky130_ef_io__vssio_hvc_pad' Writing 'sky130_ef_io__vddio_hvc_pad' Writing 'sky130_ef_io__com_bus_slice_20um' Writing 'sky130_ef_io__vccd_lvc_pad' Writing 'sky130_ef_io__corner_pad' Writing 'sky130_ef_io__vssa_lvc_pad' Writing 'sky130_ef_io__vccd_hvc_pad' Writing 'sky130_ef_io__vssa_hvc_pad' Writing 'sky130_ef_io__vdda_lvc_pad' Writing 'sky130_ef_io__gpiov2_pad' Writing 'sky130_ef_io__vssd_lvc_pad' Writing 'sky130_ef_io__vdda_hvc_pad' Writing '(UNNAMED)' Must specify name for cell (UNNAMED). Done. Error message output from magic: Annotating port orders from /project/fun/openlane/pdk_root/open_pdks/sky130/sky130A/libs.ref/sky130_fd_io/cdl/sky130_ef_io.cdl Can't write file named '(UNNAMED)' Annotating files in /project/fun/openlane/pdk_root/open_pdks/sky130/sky130A/libs.ref/sky130_fd_io/maglef # Remove the base verilog files which have already been included into # the libraries rm -f `pwd`/sky130A/libs.ref/sky130_fd_io/verilog/*.*.v # Install all SkyWater digital standard cells. set -f ; ../common/foundry_install.py -std_format -source /project/fun/openlane/pdk_root/skywater-pdk/libraries -target `pwd`/sky130A \ -techlef %l/latest/tech/*.tlef \ -spice %l/latest/cells/*/*.spice compile-only \ sort=custom/scripts/sort_pdkfiles.py \ -cdl %l/latest/cells/*/*.cdl ignore=topography compile-only \ sort=custom/scripts/sort_pdkfiles.py \ -lef %l/latest/cells/*/*.magic.lef compile-only \ sort=custom/scripts/sort_pdkfiles.py \ -doc %l/latest/cells/*/*.pdf \ -lib %l/latest/timing/*.lib \ -gds %l/latest/cells/*/*.gds compile-only \ sort=custom/scripts/sort_pdkfiles.py \ -verilog %l/latest/models/*/*.v exclude=*.*.v compile-only \ rename=primitives filter=custom/scripts/inc_verilog.py \ sort=custom/scripts/sort_pdkfiles.py \ -verilog %l/latest/cells/*/*.*.v \ -verilog %l/latest/cells/*/*.v exclude=*.*.v,primitives.v \ compile-only filter=custom/scripts/inc_verilog.py \ sort=custom/scripts/sort_pdkfiles.py \ -library digital sky130_fd_sc_hd \ -library digital sky130_fd_sc_hdll \ -library digital sky130_fd_sc_hvl \ -library digital sky130_fd_sc_hs \ -library digital sky130_fd_sc_ls \ -library digital sky130_fd_sc_ms \ -library digital sky130_fd_sc_lp |& tee -a sky130A_install.log Installing in target (staging) directory /project/fun/openlane/pdk_root/open_pdks/sky130/sky130A Magic version 8.2 available on the system. Install option: techlef Collecting files from /project/fun/openlane/pdk_root/skywater-pdk/libraries/sky130_fd_sc_hd/latest/tech/*.tlef Files to install: Diagnostic: Sorting files with /project/fun/openlane/pdk_root/open_pdks/common/sort_pdkfiles.py Collecting files from /project/fun/openlane/pdk_root/skywater-pdk/libraries/sky130_fd_sc_hdll/latest/tech/*.tlef Files to install: Diagnostic: Sorting files with /project/fun/openlane/pdk_root/open_pdks/common/sort_pdkfiles.py Collecting files from /project/fun/openlane/pdk_root/skywater-pdk/libraries/sky130_fd_sc_hvl/latest/tech/*.tlef Files to install: Diagnostic: Sorting files with /project/fun/openlane/pdk_root/open_pdks/common/sort_pdkfiles.py Collecting files from /project/fun/openlane/pdk_root/skywater-pdk/libraries/sky130_fd_sc_hs/latest/tech/*.tlef Files to install: Diagnostic: Sorting files with /project/fun/openlane/pdk_root/open_pdks/common/sort_pdkfiles.py Collecting files from /project/fun/openlane/pdk_root/skywater-pdk/libraries/sky130_fd_sc_ls/latest/tech/*.tlef Files to install: Diagnostic: Sorting files with /project/fun/openlane/pdk_root/open_pdks/common/sort_pdkfiles.py Collecting files from /project/fun/openlane/pdk_root/skywater-pdk/libraries/sky130_fd_sc_ms/latest/tech/*.tlef Files to install: Diagnostic: Sorting files with /project/fun/openlane/pdk_root/open_pdks/common/sort_pdkfiles.py Collecting files from /project/fun/openlane/pdk_root/skywater-pdk/libraries/sky130_fd_sc_lp/latest/tech/*.tlef Files to install: Diagnostic: Sorting files with /project/fun/openlane/pdk_root/open_pdks/common/sort_pdkfiles.py Install option: spice Sorting files with script custom/scripts/sort_pdkfiles.py Collecting files from /project/fun/openlane/pdk_root/skywater-pdk/libraries/sky130_fd_sc_hd/latest/cells/*/*.spice Files to install: Diagnostic: Creating consolidated SPICE library /project/fun/openlane/pdk_root/open_pdks/sky130/sky130A/libs.ref/sky130_fd_sc_hd/spice/sky130_fd_sc_hd.spice Only one file ([]); ignoring "compile" option. Collecting files from /project/fun/openlane/pdk_root/skywater-pdk/libraries/sky130_fd_sc_hdll/latest/cells/*/*.spice Files to install: Diagnostic: Creating consolidated SPICE library /project/fun/openlane/pdk_root/open_pdks/sky130/sky130A/libs.ref/sky130_fd_sc_hdll/spice/sky130_fd_sc_hdll.spice Only one file ([]); ignoring "compile" option. Collecting files from /project/fun/openlane/pdk_root/skywater-pdk/libraries/sky130_fd_sc_hvl/latest/cells/*/*.spice Files to install: Diagnostic: Creating consolidated SPICE library /project/fun/openlane/pdk_root/open_pdks/sky130/sky130A/libs.ref/sky130_fd_sc_hvl/spice/sky130_fd_sc_hvl.spice Only one file ([]); ignoring "compile" option. Collecting files from /project/fun/openlane/pdk_root/skywater-pdk/libraries/sky130_fd_sc_hs/latest/cells/*/*.spice Files to install: Diagnostic: Creating consolidated SPICE library /project/fun/openlane/pdk_root/open_pdks/sky130/sky130A/libs.ref/sky130_fd_sc_hs/spice/sky130_fd_sc_hs.spice Only one file ([]); ignoring "compile" option. Collecting files from /project/fun/openlane/pdk_root/skywater-pdk/libraries/sky130_fd_sc_ls/latest/cells/*/*.spice Files to install: Diagnostic: Creating consolidated SPICE library /project/fun/openlane/pdk_root/open_pdks/sky130/sky130A/libs.ref/sky130_fd_sc_ls/spice/sky130_fd_sc_ls.spice Only one file ([]); ignoring "compile" option. Collecting files from /project/fun/openlane/pdk_root/skywater-pdk/libraries/sky130_fd_sc_ms/latest/cells/*/*.spice Files to install: Diagnostic: Creating consolidated SPICE library /project/fun/openlane/pdk_root/open_pdks/sky130/sky130A/libs.ref/sky130_fd_sc_ms/spice/sky130_fd_sc_ms.spice Only one file ([]); ignoring "compile" option. Collecting files from /project/fun/openlane/pdk_root/skywater-pdk/libraries/sky130_fd_sc_lp/latest/cells/*/*.spice Files to install: Diagnostic: Creating consolidated SPICE library /project/fun/openlane/pdk_root/open_pdks/sky130/sky130A/libs.ref/sky130_fd_sc_lp/spice/sky130_fd_sc_lp.spice Only one file ([]); ignoring "compile" option. Install option: cdl Sorting files with script custom/scripts/sort_pdkfiles.py Collecting files from /project/fun/openlane/pdk_root/skywater-pdk/libraries/sky130_fd_sc_hd/latest/cells/*/*.cdl Files to install: Diagnostic: Creating consolidated CDL library /project/fun/openlane/pdk_root/open_pdks/sky130/sky130A/libs.ref/sky130_fd_sc_hd/cdl/sky130_fd_sc_hd.cdl Only one file ([]); ignoring "compile" option. Collecting files from /project/fun/openlane/pdk_root/skywater-pdk/libraries/sky130_fd_sc_hdll/latest/cells/*/*.cdl Files to install: Diagnostic: Creating consolidated CDL library /project/fun/openlane/pdk_root/open_pdks/sky130/sky130A/libs.ref/sky130_fd_sc_hdll/cdl/sky130_fd_sc_hdll.cdl Only one file ([]); ignoring "compile" option. Collecting files from /project/fun/openlane/pdk_root/skywater-pdk/libraries/sky130_fd_sc_hvl/latest/cells/*/*.cdl Files to install: Diagnostic: Creating consolidated CDL library /project/fun/openlane/pdk_root/open_pdks/sky130/sky130A/libs.ref/sky130_fd_sc_hvl/cdl/sky130_fd_sc_hvl.cdl Only one file ([]); ignoring "compile" option. Collecting files from /project/fun/openlane/pdk_root/skywater-pdk/libraries/sky130_fd_sc_hs/latest/cells/*/*.cdl Files to install: Diagnostic: Creating consolidated CDL library /project/fun/openlane/pdk_root/open_pdks/sky130/sky130A/libs.ref/sky130_fd_sc_hs/cdl/sky130_fd_sc_hs.cdl Only one file ([]); ignoring "compile" option. Collecting files from /project/fun/openlane/pdk_root/skywater-pdk/libraries/sky130_fd_sc_ls/latest/cells/*/*.cdl Files to install: Diagnostic: Creating consolidated CDL library /project/fun/openlane/pdk_root/open_pdks/sky130/sky130A/libs.ref/sky130_fd_sc_ls/cdl/sky130_fd_sc_ls.cdl Only one file ([]); ignoring "compile" option. Collecting files from /project/fun/openlane/pdk_root/skywater-pdk/libraries/sky130_fd_sc_ms/latest/cells/*/*.cdl Files to install: Diagnostic: Creating consolidated CDL library /project/fun/openlane/pdk_root/open_pdks/sky130/sky130A/libs.ref/sky130_fd_sc_ms/cdl/sky130_fd_sc_ms.cdl Only one file ([]); ignoring "compile" option. Collecting files from /project/fun/openlane/pdk_root/skywater-pdk/libraries/sky130_fd_sc_lp/latest/cells/*/*.cdl Files to install: Diagnostic: Creating consolidated CDL library /project/fun/openlane/pdk_root/open_pdks/sky130/sky130A/libs.ref/sky130_fd_sc_lp/cdl/sky130_fd_sc_lp.cdl Only one file ([]); ignoring "compile" option. Install option: lef Sorting files with script custom/scripts/sort_pdkfiles.py Collecting files from /project/fun/openlane/pdk_root/skywater-pdk/libraries/sky130_fd_sc_hd/latest/cells/*/*.magic.lef Files to install: Diagnostic: Creating consolidated LEF library sky130_fd_sc_hd.lef Only one file ([]); ignoring "compile" option. Collecting files from /project/fun/openlane/pdk_root/skywater-pdk/libraries/sky130_fd_sc_hdll/latest/cells/*/*.magic.lef Files to install: Diagnostic: Creating consolidated LEF library sky130_fd_sc_hdll.lef Only one file ([]); ignoring "compile" option. Collecting files from /project/fun/openlane/pdk_root/skywater-pdk/libraries/sky130_fd_sc_hvl/latest/cells/*/*.magic.lef Files to install: Diagnostic: Creating consolidated LEF library sky130_fd_sc_hvl.lef Only one file ([]); ignoring "compile" option. Collecting files from /project/fun/openlane/pdk_root/skywater-pdk/libraries/sky130_fd_sc_hs/latest/cells/*/*.magic.lef Files to install: Diagnostic: Creating consolidated LEF library sky130_fd_sc_hs.lef Only one file ([]); ignoring "compile" option. Collecting files from /project/fun/openlane/pdk_root/skywater-pdk/libraries/sky130_fd_sc_ls/latest/cells/*/*.magic.lef Files to install: Diagnostic: Creating consolidated LEF library sky130_fd_sc_ls.lef Only one file ([]); ignoring "compile" option. Collecting files from /project/fun/openlane/pdk_root/skywater-pdk/libraries/sky130_fd_sc_ms/latest/cells/*/*.magic.lef Files to install: Diagnostic: Creating consolidated LEF library sky130_fd_sc_ms.lef Only one file ([]); ignoring "compile" option. Collecting files from /project/fun/openlane/pdk_root/skywater-pdk/libraries/sky130_fd_sc_lp/latest/cells/*/*.magic.lef Files to install: Diagnostic: Creating consolidated LEF library sky130_fd_sc_lp.lef Only one file ([]); ignoring "compile" option. Install option: doc Collecting files from /project/fun/openlane/pdk_root/skywater-pdk/libraries/sky130_fd_sc_hd/latest/cells/*/*.pdf Files to install: Diagnostic: Sorting files with /project/fun/openlane/pdk_root/open_pdks/common/sort_pdkfiles.py Collecting files from /project/fun/openlane/pdk_root/skywater-pdk/libraries/sky130_fd_sc_hdll/latest/cells/*/*.pdf Files to install: Diagnostic: Sorting files with /project/fun/openlane/pdk_root/open_pdks/common/sort_pdkfiles.py Collecting files from /project/fun/openlane/pdk_root/skywater-pdk/libraries/sky130_fd_sc_hvl/latest/cells/*/*.pdf Files to install: Diagnostic: Sorting files with /project/fun/openlane/pdk_root/open_pdks/common/sort_pdkfiles.py Collecting files from /project/fun/openlane/pdk_root/skywater-pdk/libraries/sky130_fd_sc_hs/latest/cells/*/*.pdf Files to install: Diagnostic: Sorting files with /project/fun/openlane/pdk_root/open_pdks/common/sort_pdkfiles.py Collecting files from /project/fun/openlane/pdk_root/skywater-pdk/libraries/sky130_fd_sc_ls/latest/cells/*/*.pdf Files to install: Diagnostic: Sorting files with /project/fun/openlane/pdk_root/open_pdks/common/sort_pdkfiles.py Collecting files from /project/fun/openlane/pdk_root/skywater-pdk/libraries/sky130_fd_sc_ms/latest/cells/*/*.pdf Files to install: Diagnostic: Sorting files with /project/fun/openlane/pdk_root/open_pdks/common/sort_pdkfiles.py Collecting files from /project/fun/openlane/pdk_root/skywater-pdk/libraries/sky130_fd_sc_lp/latest/cells/*/*.pdf Files to install: Diagnostic: Sorting files with /project/fun/openlane/pdk_root/open_pdks/common/sort_pdkfiles.py Install option: lib Collecting files from /project/fun/openlane/pdk_root/skywater-pdk/libraries/sky130_fd_sc_hd/latest/timing/*.lib Files to install: Diagnostic: Sorting files with /project/fun/openlane/pdk_root/open_pdks/common/sort_pdkfiles.py Collecting files from /project/fun/openlane/pdk_root/skywater-pdk/libraries/sky130_fd_sc_hdll/latest/timing/*.lib Files to install: Diagnostic: Sorting files with /project/fun/openlane/pdk_root/open_pdks/common/sort_pdkfiles.py Collecting files from /project/fun/openlane/pdk_root/skywater-pdk/libraries/sky130_fd_sc_hvl/latest/timing/*.lib Files to install: Diagnostic: Sorting files with /project/fun/openlane/pdk_root/open_pdks/common/sort_pdkfiles.py Collecting files from /project/fun/openlane/pdk_root/skywater-pdk/libraries/sky130_fd_sc_hs/latest/timing/*.lib Files to install: Diagnostic: Sorting files with /project/fun/openlane/pdk_root/open_pdks/common/sort_pdkfiles.py Collecting files from /project/fun/openlane/pdk_root/skywater-pdk/libraries/sky130_fd_sc_ls/latest/timing/*.lib Files to install: Diagnostic: Sorting files with /project/fun/openlane/pdk_root/open_pdks/common/sort_pdkfiles.py Collecting files from /project/fun/openlane/pdk_root/skywater-pdk/libraries/sky130_fd_sc_ms/latest/timing/*.lib Files to install: Diagnostic: Sorting files with /project/fun/openlane/pdk_root/open_pdks/common/sort_pdkfiles.py Collecting files from /project/fun/openlane/pdk_root/skywater-pdk/libraries/sky130_fd_sc_lp/latest/timing/*.lib Files to install: Diagnostic: Sorting files with /project/fun/openlane/pdk_root/open_pdks/common/sort_pdkfiles.py Install option: gds Sorting files with script custom/scripts/sort_pdkfiles.py Collecting files from /project/fun/openlane/pdk_root/skywater-pdk/libraries/sky130_fd_sc_hd/latest/cells/*/*.gds Files to install: Only one file ([]); ignoring "compile" option. Collecting files from /project/fun/openlane/pdk_root/skywater-pdk/libraries/sky130_fd_sc_hdll/latest/cells/*/*.gds Files to install: Only one file ([]); ignoring "compile" option. Collecting files from /project/fun/openlane/pdk_root/skywater-pdk/libraries/sky130_fd_sc_hvl/latest/cells/*/*.gds Files to install: Only one file ([]); ignoring "compile" option. Collecting files from /project/fun/openlane/pdk_root/skywater-pdk/libraries/sky130_fd_sc_hs/latest/cells/*/*.gds Files to install: Only one file ([]); ignoring "compile" option. Collecting files from /project/fun/openlane/pdk_root/skywater-pdk/libraries/sky130_fd_sc_ls/latest/cells/*/*.gds Files to install: Only one file ([]); ignoring "compile" option. Collecting files from /project/fun/openlane/pdk_root/skywater-pdk/libraries/sky130_fd_sc_ms/latest/cells/*/*.gds Files to install: Only one file ([]); ignoring "compile" option. Collecting files from /project/fun/openlane/pdk_root/skywater-pdk/libraries/sky130_fd_sc_lp/latest/cells/*/*.gds Files to install: Only one file ([]); ignoring "compile" option. Install option: verilog Excluding files: *.*.v Renaming file to: primitives Sorting files with script custom/scripts/sort_pdkfiles.py Collecting files from /project/fun/openlane/pdk_root/skywater-pdk/libraries/sky130_fd_sc_hd/latest/models/*/*.v Files to install: Diagnostic: Creating consolidated verilog library sky130_fd_sc_hd.v Diagnostic: Reading sorted verilog file list. Only one file ([]); ignoring "compile" option. Traceback (most recent call last): File "../common/foundry_install.py", line 972, in if os.path.isfile(targname): NameError: name 'targname' is not defined # Remove the base verilog files which have already been included into # the libraries rm -f `pwd`/sky130A/libs.ref/sky130_fd_sc_hd/verilog/*.*.v rm -f `pwd`/sky130A/libs.ref/sky130_fd_sc_hdll/verilog/*.*.v rm -f `pwd`/sky130A/libs.ref/sky130_fd_sc_hvl/verilog/*.*.v rm -f `pwd`/sky130A/libs.ref/sky130_fd_sc_hs/verilog/*.*.v rm -f `pwd`/sky130A/libs.ref/sky130_fd_sc_ms/verilog/*.*.v rm -f `pwd`/sky130A/libs.ref/sky130_fd_sc_ls/verilog/*.*.v rm -f `pwd`/sky130A/libs.ref/sky130_fd_sc_lp/verilog/*.*.v # Install OSU digital standard cells. set -f ; ../common/foundry_install.py -std_format -source /project/fun/openlane/pdk_root/skywater-pdk/libraries -target `pwd`/sky130A \ -techlef %l/latest/lef/sky130_osu_sc.tlef rename=sky130_osu_sc_t18.tlef \ -cdl %l/latest/cdl/*.cdl ignore=topography compile-only \ -lef %l/latest/lef/*.lef compile-only \ -lib %l/latest/lib/*.lib \ -gds %l/latest/gds/*.gds compile-only \ -library digital sky130_osu_sc_t18 |& tee -a sky130A_install.log Installing in target (staging) directory /project/fun/openlane/pdk_root/open_pdks/sky130/sky130A Magic version 8.2 available on the system. Install option: techlef Renaming file to: sky130_osu_sc_t18.tlef Collecting files from /project/fun/openlane/pdk_root/skywater-pdk/libraries/sky130_osu_sc_t18/latest/lef/sky130_osu_sc.tlef Files to install: Diagnostic: Sorting files with /project/fun/openlane/pdk_root/open_pdks/common/sort_pdkfiles.py Install option: cdl Collecting files from /project/fun/openlane/pdk_root/skywater-pdk/libraries/sky130_osu_sc_t18/latest/cdl/*.cdl Files to install: Diagnostic: Sorting files with /project/fun/openlane/pdk_root/open_pdks/common/sort_pdkfiles.py Diagnostic: Creating consolidated CDL library /project/fun/openlane/pdk_root/open_pdks/sky130/sky130A/libs.ref/sky130_osu_sc_t18/cdl/sky130_osu_sc_t18.cdl Only one file ([]); ignoring "compile" option. Install option: lef Collecting files from /project/fun/openlane/pdk_root/skywater-pdk/libraries/sky130_osu_sc_t18/latest/lef/*.lef Files to install: Diagnostic: Sorting files with /project/fun/openlane/pdk_root/open_pdks/common/sort_pdkfiles.py Diagnostic: Creating consolidated LEF library sky130_osu_sc_t18.lef Only one file ([]); ignoring "compile" option. Install option: lib Collecting files from /project/fun/openlane/pdk_root/skywater-pdk/libraries/sky130_osu_sc_t18/latest/lib/*.lib Files to install: Diagnostic: Sorting files with /project/fun/openlane/pdk_root/open_pdks/common/sort_pdkfiles.py Install option: gds Collecting files from /project/fun/openlane/pdk_root/skywater-pdk/libraries/sky130_osu_sc_t18/latest/gds/*.gds Files to install: Diagnostic: Sorting files with /project/fun/openlane/pdk_root/open_pdks/common/sort_pdkfiles.py Only one file ([]); ignoring "compile" option. Completed installation of vendor files. Migrating GDS files to layout. Getting GDS file list from /project/fun/openlane/pdk_root/open_pdks/sky130/sky130A/libs.ref/sky130_osu_sc_t18/gds. Creating magic generation script to generate magic database files. No source for abstract views: Abstract views not made. Running magic to create magic database files. Magic 8.3 revision 64 - Compiled on Fri Oct 9 12:13:18 UTC 2020. Starting magic under Tcl interpreter Using the terminal as the console. Using NULL graphics device. Processing system .magicrc file Sourcing design .magicrc for technology sky130A ... 2 Magic internal units = 1 Lambda Input style sky130: scaleFactor=2, multiplier=2 Scaled tech values by 2 / 1 to match internal grid scaling Loading sky130A Device Generator Menu ... Using technology "sky130A", version 20200927 Annotating cells from LEF Annotating cells from CDL/SPICE Writing all magic database files Writing '(UNNAMED)' Must specify name for cell (UNNAMED). Done. Error message output from magic: Can't write file named '(UNNAMED)' Migrating LEF files to layout. Warning: No LEF files found in /project/fun/openlane/pdk_root/open_pdks/sky130/sky130A/libs.ref/sky130_osu_sc_t18/lef Migrating CDL netlists to SPICE. make[2]: Leaving directory `/project/fun/openlane/pdk_root/open_pdks/sky130' echo "Ended sky130A PDK staging on "`date` >> sky130A_install.log make[1]: Leaving directory `/project/fun/openlane/pdk_root/open_pdks/sky130' make[1]: Entering directory `/project/fun/openlane/pdk_root/open_pdks/sky130' echo "Starting SKY130 PDK migration on "`date` > sky130A_migrate.log ../common/staging_install.py -std_format -source `pwd`/sky130A \ -target /project/fun/openlane/pdk_root/sky130A \ -link_from none |& tee -a sky130A_migrate.log Installing in target directory /project/fun/openlane/pdk_root/sky130A Removing files from target Copying staging files to target Done. Changing local path references from /project/fun/openlane/pdk_root/open_pdks/sky130/sky130A to /project/fun/openlane/pdk_root/sky130A Part 1: Tools magic (1 substitution) qflow (8 substitutions) Part 2: Libraries sky130_fd_pr sky130_fd_io mag (19 substitutions) maglef (19 substitutions) sky130_fd_sc_hd sky130_fd_sc_hdll sky130_fd_sc_hvl sky130_fd_sc_hs sky130_fd_sc_ls sky130_fd_sc_ms sky130_fd_sc_lp sky130_osu_sc_t18 Removing temporary files from destination. Done with PDK migration. echo "Ended SKY130 PDK migration on "`date` >> sky130A_migrate.log make[1]: Leaving directory `/project/fun/openlane/pdk_root/open_pdks/sky130'