{"payload":{"header_redesign_enabled":false,"results":[{"id":"579326219","archived":false,"color":"#C1F12E","followers":20,"has_funding_file":false,"hl_name":"Reconfigurable-Computing/Xilinx-FPGA-PCIe-XDMA-Tutorial","hl_trunc_description":"Xilinx FPGA PCIe 保姆级教程 ——基于 PCIe XDMA IP核","language":"Batchfile","mirror":false,"owned_by_organization":true,"public":true,"repo":{"repository":{"id":579326219,"name":"Xilinx-FPGA-PCIe-XDMA-Tutorial","owner_id":106517215,"owner_login":"Reconfigurable-Computing","updated_at":"2022-12-17T10:24:58.705Z","has_issues":true}},"sponsorable":false,"topics":["fpga","xilinx","mpeg2","pcie","xdma","mpeg2-encoder","pcie-xdma","dma-subsystem-for-pcie"],"type":"Public","help_wanted_issues_count":0,"good_first_issue_issues_count":0,"starred_by_current_user":false}],"type":"repositories","page":1,"page_count":1,"elapsed_millis":72,"errors":[],"result_count":1,"facets":[],"protected_org_logins":[],"topics":null,"query_id":"","logged_in":false,"sign_up_path":"/signup?source=code_search_results","sign_in_path":"/login?return_to=https%3A%2F%2Fgithub.com%2Fsearch%3Fq%3Drepo%253AReconfigurable-Computing%252FXilinx-FPGA-PCIe-XDMA-Tutorial%2B%2Blanguage%253ABatchfile","metadata":null,"warn_limited_results":false,"csrf_tokens":{"/Reconfigurable-Computing/Xilinx-FPGA-PCIe-XDMA-Tutorial/star":{"post":"ocAy9vExSkdAvVE7fOCpTV_Bnl1piOgBELQCz4CUEacj63g92ctEEWAYEs5hp24K_Az3glAi6SA1iibCGRHMqw"},"/Reconfigurable-Computing/Xilinx-FPGA-PCIe-XDMA-Tutorial/unstar":{"post":"7PwKsuO_lhiPzxtqI7EydSEY0uOVfgQIvnXVSNnyGoQu2ta1aFRZQjXgS7cNjNBRL5V_S1ZdP3A6j2Zy1rUUww"},"/sponsors/batch_deferred_sponsor_buttons":{"post":"dVLThaR515YeuKNrHW4b_Rin0GueDwFQW-aD3n-GLtxQWLAh-oSJAc0YB7NS2sU52AAjBEjtJNJERSHLZmZehw"}}},"title":"Repository search results"}