{"payload":{"header_redesign_enabled":false,"results":[{"id":"606357474","archived":false,"color":"#555555","followers":3,"has_funding_file":false,"hl_name":"UtkarshVerma/stm32-hal-blink-minimal","hl_trunc_description":"A minimal project to blink an LED on the STM32 Bliue Pill using the Make build system.","language":"C","mirror":false,"owned_by_organization":false,"public":true,"repo":{"repository":{"id":606357474,"name":"stm32-hal-blink-minimal","owner_id":31820255,"owner_login":"UtkarshVerma","updated_at":"2023-02-27T10:37:13.690Z","has_issues":true}},"sponsorable":false,"topics":[],"type":"Public","help_wanted_issues_count":0,"good_first_issue_issues_count":0,"starred_by_current_user":false}],"type":"repositories","page":1,"page_count":1,"elapsed_millis":70,"errors":[],"result_count":1,"facets":[],"protected_org_logins":[],"topics":null,"query_id":"","logged_in":false,"sign_up_path":"/signup?source=code_search_results","sign_in_path":"/login?return_to=https%3A%2F%2Fgithub.com%2Fsearch%3Fq%3Drepo%253AUtkarshVerma%252Fstm32-hal-blink-minimal%2B%2Blanguage%253AC","metadata":null,"csrf_tokens":{"/UtkarshVerma/stm32-hal-blink-minimal/star":{"post":"e6LWYgZAAoM8zL7d9TK-4lF9bNkz6Sw5sLnvLpCmtCasLJrV3_yc9ooE-3bz6wBNPh2DtrjyFhEGBEia9vzMyg"},"/UtkarshVerma/stm32-hal-blink-minimal/unstar":{"post":"ITsSAhVFI0K89qascO7AGzBYi1IRRXnMi6T5wU6E2yko9j0bclz3bvtaiDhMukFemhkvfGjsET4gLMNgUvdKlw"},"/sponsors/batch_deferred_sponsor_buttons":{"post":"nuncB9y6rYbWvZKaUIOezEy5Oat6lWwY4TYMGhfVyS5_EdlzPs1dK6Ap2pM51DPk0tY3AYb6dtQrei9CuE0NYg"}}},"title":"Repository search results"}