From 62c904db092f64ac8c334af48c35eb8b8c96edb4 Mon Sep 17 00:00:00 2001 From: Unai Martinez-Corral Date: Sun, 23 Apr 2023 18:38:51 +0100 Subject: [PATCH] Release 4.7.0 --- docs/news.d/+2896a1e3.feature.rst | 1 - docs/news.d/+758b9e47.deprecation.rst | 1 - docs/news.d/+84eedd22.misc.rst | 1 - docs/news.d/+953d1904.doc.rst | 1 - docs/news.d/+9775342d.doc.rst | 1 - docs/news.d/+cba27423.deprecation.rst | 1 - docs/news.d/356.bugfix.rst | 1 - docs/news.d/44.feature.rst | 1 - docs/news.d/559.deprecation.rst | 2 - docs/news.d/573.bugfix.rst | 1 - docs/news.d/576.doc.rst | 1 - docs/news.d/621.bugfix.rst | 1 - docs/news.d/642.bugfix.rst | 1 - docs/news.d/754.deprecation.rst | 1 - docs/news.d/757.deprecation.rst | 2 - docs/news.d/757.doc.rst | 1 - docs/news.d/767.feature.rst | 1 - docs/news.d/768.bugfix.rst | 1 - docs/news.d/769.bugfix.rst | 1 - docs/news.d/771.feature.rst | 1 - docs/news.d/773.bugfix.rst | 1 - docs/news.d/774.bugfix.rst | 1 - docs/news.d/777.deprecation.rst | 2 - docs/news.d/778.deprecation.rst | 2 - docs/news.d/779.doc.rst | 1 - docs/news.d/780.deprecation.rst | 1 - docs/news.d/781.bugfix.rst | 1 - docs/news.d/782.bugfix.rst | 1 - docs/news.d/786.bugfix.rst | 1 - docs/news.d/790.deprecation.rst | 1 - docs/news.d/792.bugfix.rst | 1 - docs/news.d/794.bugfix.rst | 1 - docs/news.d/797.bugfix.rst | 1 - docs/news.d/801.doc.rst | 1 - docs/news.d/810.doc.rst | 1 - docs/news.d/813.bugfix.rst | 1 - docs/news.d/815.feature.rst | 1 - docs/news.d/816.doc.rst | 1 - docs/news.d/819.bugfix.rst | 1 - docs/news.d/820.bugfix.rst | 1 - docs/news.d/821.doc.rst | 1 - docs/news.d/823.doc.rst | 1 - docs/news.d/825.bugfix.rst | 1 - docs/news.d/826.bugfix.rst | 1 - docs/news.d/827.deprecation.rst | 1 - docs/news.d/830.feature.rst | 1 - docs/news.d/832.doc.rst | 1 - docs/news.d/834.doc.rst | 1 - docs/news.d/835.feature.rst | 1 - docs/news.d/838.bugfix.rst | 1 - docs/news.d/840.bugfix.rst | 1 - docs/news.d/845.bugfix.rst | 1 - docs/news.d/849.doc.rst | 1 - docs/news.d/850.doc.rst | 1 - docs/news.d/852.bugfix.rst | 1 - docs/news.d/854.bugfix.rst | 1 - docs/news.d/855.doc.rst | 1 - docs/news.d/856.bugfix.rst | 1 - docs/news.d/858.bugfix.rst | 1 - docs/news.d/868.misc.rst | 1 - docs/news.d/870.misc.rst | 1 - docs/news.d/872.feature.rst | 1 - docs/news.d/874.doc.rst | 1 - docs/news.d/875.feature.rst | 1 - docs/news.d/883.feature.rst | 1 - docs/news.d/887.doc.rst | 1 - docs/news.d/887.feature.rst | 1 - docs/news.d/888.doc.rst | 1 - docs/news.d/898.bugfix.rst | 1 - docs/news.d/900.feature.rst | 1 - docs/news.d/901.bugfix.rst | 1 - docs/news.d/903.feature.rst | 1 - docs/news.d/904.feature.rst | 1 - docs/news.d/908.feature.rst | 1 - docs/news.d/911.misc.rst | 1 - docs/news.d/912.doc.rst | 1 - docs/news.d/914.feature.rst | 1 - docs/news.d/917.misc.rst | 1 - docs/news.d/918.misc.rst | 2 - docs/news.d/920.misc.rst | 1 - docs/news.d/921.misc.rst | 2 - docs/news.d/926.feature.rst | 1 - docs/news.d/927.feature.rst | 1 - docs/news.d/930.feature.rst | 1 - docs/release_notes/4.7.0.rst | 77 +++++++++++++++++++++++++++ vunit/about.py | 2 +- 86 files changed, 78 insertions(+), 91 deletions(-) delete mode 100644 docs/news.d/+2896a1e3.feature.rst delete mode 100644 docs/news.d/+758b9e47.deprecation.rst delete mode 100644 docs/news.d/+84eedd22.misc.rst delete mode 100644 docs/news.d/+953d1904.doc.rst delete mode 100644 docs/news.d/+9775342d.doc.rst delete mode 100644 docs/news.d/+cba27423.deprecation.rst delete mode 100644 docs/news.d/356.bugfix.rst delete mode 100644 docs/news.d/44.feature.rst delete mode 100644 docs/news.d/559.deprecation.rst delete mode 100644 docs/news.d/573.bugfix.rst delete mode 100644 docs/news.d/576.doc.rst delete mode 100644 docs/news.d/621.bugfix.rst delete mode 100644 docs/news.d/642.bugfix.rst delete mode 100644 docs/news.d/754.deprecation.rst delete mode 100644 docs/news.d/757.deprecation.rst delete mode 100644 docs/news.d/757.doc.rst delete mode 100644 docs/news.d/767.feature.rst delete mode 100644 docs/news.d/768.bugfix.rst delete mode 100644 docs/news.d/769.bugfix.rst delete mode 100644 docs/news.d/771.feature.rst delete mode 100644 docs/news.d/773.bugfix.rst delete mode 100644 docs/news.d/774.bugfix.rst delete mode 100644 docs/news.d/777.deprecation.rst delete mode 100644 docs/news.d/778.deprecation.rst delete mode 100644 docs/news.d/779.doc.rst delete mode 100644 docs/news.d/780.deprecation.rst delete mode 100644 docs/news.d/781.bugfix.rst delete mode 100644 docs/news.d/782.bugfix.rst delete mode 100644 docs/news.d/786.bugfix.rst delete mode 100644 docs/news.d/790.deprecation.rst delete mode 100644 docs/news.d/792.bugfix.rst delete mode 100644 docs/news.d/794.bugfix.rst delete mode 100644 docs/news.d/797.bugfix.rst delete mode 100644 docs/news.d/801.doc.rst delete mode 100644 docs/news.d/810.doc.rst delete mode 100644 docs/news.d/813.bugfix.rst delete mode 100644 docs/news.d/815.feature.rst delete mode 100644 docs/news.d/816.doc.rst delete mode 100644 docs/news.d/819.bugfix.rst delete mode 100644 docs/news.d/820.bugfix.rst delete mode 100644 docs/news.d/821.doc.rst delete mode 100644 docs/news.d/823.doc.rst delete mode 100644 docs/news.d/825.bugfix.rst delete mode 100644 docs/news.d/826.bugfix.rst delete mode 100644 docs/news.d/827.deprecation.rst delete mode 100644 docs/news.d/830.feature.rst delete mode 100644 docs/news.d/832.doc.rst delete mode 100644 docs/news.d/834.doc.rst delete mode 100644 docs/news.d/835.feature.rst delete mode 100644 docs/news.d/838.bugfix.rst delete mode 100644 docs/news.d/840.bugfix.rst delete mode 100644 docs/news.d/845.bugfix.rst delete mode 100644 docs/news.d/849.doc.rst delete mode 100644 docs/news.d/850.doc.rst delete mode 100644 docs/news.d/852.bugfix.rst delete mode 100644 docs/news.d/854.bugfix.rst delete mode 100644 docs/news.d/855.doc.rst delete mode 100644 docs/news.d/856.bugfix.rst delete mode 100644 docs/news.d/858.bugfix.rst delete mode 100644 docs/news.d/868.misc.rst delete mode 100644 docs/news.d/870.misc.rst delete mode 100644 docs/news.d/872.feature.rst delete mode 100644 docs/news.d/874.doc.rst delete mode 100644 docs/news.d/875.feature.rst delete mode 100644 docs/news.d/883.feature.rst delete mode 100644 docs/news.d/887.doc.rst delete mode 100644 docs/news.d/887.feature.rst delete mode 100644 docs/news.d/888.doc.rst delete mode 100644 docs/news.d/898.bugfix.rst delete mode 100644 docs/news.d/900.feature.rst delete mode 100644 docs/news.d/901.bugfix.rst delete mode 100644 docs/news.d/903.feature.rst delete mode 100644 docs/news.d/904.feature.rst delete mode 100644 docs/news.d/908.feature.rst delete mode 100644 docs/news.d/911.misc.rst delete mode 100644 docs/news.d/912.doc.rst delete mode 100644 docs/news.d/914.feature.rst delete mode 100644 docs/news.d/917.misc.rst delete mode 100644 docs/news.d/918.misc.rst delete mode 100644 docs/news.d/920.misc.rst delete mode 100644 docs/news.d/921.misc.rst delete mode 100644 docs/news.d/926.feature.rst delete mode 100644 docs/news.d/927.feature.rst delete mode 100644 docs/news.d/930.feature.rst create mode 100644 docs/release_notes/4.7.0.rst diff --git a/docs/news.d/+2896a1e3.feature.rst b/docs/news.d/+2896a1e3.feature.rst deleted file mode 100644 index 48668e72a..000000000 --- a/docs/news.d/+2896a1e3.feature.rst +++ /dev/null @@ -1 +0,0 @@ -Introduce new class ``LibraryList`` and add method to get list of libraries from VUnit object. diff --git a/docs/news.d/+758b9e47.deprecation.rst b/docs/news.d/+758b9e47.deprecation.rst deleted file mode 100644 index 46712c79d..000000000 --- a/docs/news.d/+758b9e47.deprecation.rst +++ /dev/null @@ -1 +0,0 @@ -[JSON-for-VHDL] Bump to ``95e848b8``. diff --git a/docs/news.d/+84eedd22.misc.rst b/docs/news.d/+84eedd22.misc.rst deleted file mode 100644 index e68a01bf8..000000000 --- a/docs/news.d/+84eedd22.misc.rst +++ /dev/null @@ -1 +0,0 @@ -Add utilities to create HTML from code snippets and VUnit logs. diff --git a/docs/news.d/+953d1904.doc.rst b/docs/news.d/+953d1904.doc.rst deleted file mode 100644 index 95aa8e074..000000000 --- a/docs/news.d/+953d1904.doc.rst +++ /dev/null @@ -1 +0,0 @@ -Add blog post on VUnit's relation to other frameworks. diff --git a/docs/news.d/+9775342d.doc.rst b/docs/news.d/+9775342d.doc.rst deleted file mode 100644 index 9de4e8152..000000000 --- a/docs/news.d/+9775342d.doc.rst +++ /dev/null @@ -1 +0,0 @@ -Add blog post on FPGA World 2022 in Stockholm. diff --git a/docs/news.d/+cba27423.deprecation.rst b/docs/news.d/+cba27423.deprecation.rst deleted file mode 100644 index 08aa36bca..000000000 --- a/docs/news.d/+cba27423.deprecation.rst +++ /dev/null @@ -1 +0,0 @@ -Python 3.6 was EOL in Dec 2021; use Python 3.7 as the minimum tested version. diff --git a/docs/news.d/356.bugfix.rst b/docs/news.d/356.bugfix.rst deleted file mode 100644 index b1ef4ad05..000000000 --- a/docs/news.d/356.bugfix.rst +++ /dev/null @@ -1 +0,0 @@ -[JSON-for-VHDL] Fix invalid XML characters in the example. diff --git a/docs/news.d/44.feature.rst b/docs/news.d/44.feature.rst deleted file mode 100644 index 4dcc28d63..000000000 --- a/docs/news.d/44.feature.rst +++ /dev/null @@ -1 +0,0 @@ -Add NVC simulator support. diff --git a/docs/news.d/559.deprecation.rst b/docs/news.d/559.deprecation.rst deleted file mode 100644 index 60e155c68..000000000 --- a/docs/news.d/559.deprecation.rst +++ /dev/null @@ -1,2 +0,0 @@ -Add ``compile_builtins`` deprecation warning. -Use ``add_vhdl_builtins`` and/or ``add_verilog_builtins`` instead. diff --git a/docs/news.d/573.bugfix.rst b/docs/news.d/573.bugfix.rst deleted file mode 100644 index ee4f5b01e..000000000 --- a/docs/news.d/573.bugfix.rst +++ /dev/null @@ -1 +0,0 @@ -Fix AXI Stream slave back to back transfers. diff --git a/docs/news.d/576.doc.rst b/docs/news.d/576.doc.rst deleted file mode 100644 index 567ef42a0..000000000 --- a/docs/news.d/576.doc.rst +++ /dev/null @@ -1 +0,0 @@ -Add timing diagrams to the Check Library user guide. diff --git a/docs/news.d/621.bugfix.rst b/docs/news.d/621.bugfix.rst deleted file mode 100644 index 091798a4b..000000000 --- a/docs/news.d/621.bugfix.rst +++ /dev/null @@ -1 +0,0 @@ -[Riviera-PRO] Fix for RUNTIME_0232 and RUNTIME_022 messages. diff --git a/docs/news.d/642.bugfix.rst b/docs/news.d/642.bugfix.rst deleted file mode 100644 index 479f0aeca..000000000 --- a/docs/news.d/642.bugfix.rst +++ /dev/null @@ -1 +0,0 @@ -Fix delta cycle race conditions. diff --git a/docs/news.d/754.deprecation.rst b/docs/news.d/754.deprecation.rst deleted file mode 100644 index 4ff8c53bc..000000000 --- a/docs/news.d/754.deprecation.rst +++ /dev/null @@ -1 +0,0 @@ -[OSVVM] Bump to 2022.04. diff --git a/docs/news.d/757.deprecation.rst b/docs/news.d/757.deprecation.rst deleted file mode 100644 index 60e155c68..000000000 --- a/docs/news.d/757.deprecation.rst +++ /dev/null @@ -1,2 +0,0 @@ -Add ``compile_builtins`` deprecation warning. -Use ``add_vhdl_builtins`` and/or ``add_verilog_builtins`` instead. diff --git a/docs/news.d/757.doc.rst b/docs/news.d/757.doc.rst deleted file mode 100644 index 6afcaa3e4..000000000 --- a/docs/news.d/757.doc.rst +++ /dev/null @@ -1 +0,0 @@ -Add ``add_vhdl_builtins`` and ``add_verilog_builtins``. diff --git a/docs/news.d/767.feature.rst b/docs/news.d/767.feature.rst deleted file mode 100644 index b5bad5a37..000000000 --- a/docs/news.d/767.feature.rst +++ /dev/null @@ -1 +0,0 @@ -Skip addition of built-in dependencies (OSVVM and/or JSON-for-VHDL) if the library is added previously. diff --git a/docs/news.d/768.bugfix.rst b/docs/news.d/768.bugfix.rst deleted file mode 100644 index 3c94a2fcc..000000000 --- a/docs/news.d/768.bugfix.rst +++ /dev/null @@ -1 +0,0 @@ -Skip non-generic OSVVM packages when the simulator supports generics. diff --git a/docs/news.d/769.bugfix.rst b/docs/news.d/769.bugfix.rst deleted file mode 100644 index 3c94a2fcc..000000000 --- a/docs/news.d/769.bugfix.rst +++ /dev/null @@ -1 +0,0 @@ -Skip non-generic OSVVM packages when the simulator supports generics. diff --git a/docs/news.d/771.feature.rst b/docs/news.d/771.feature.rst deleted file mode 100644 index b5bad5a37..000000000 --- a/docs/news.d/771.feature.rst +++ /dev/null @@ -1 +0,0 @@ -Skip addition of built-in dependencies (OSVVM and/or JSON-for-VHDL) if the library is added previously. diff --git a/docs/news.d/773.bugfix.rst b/docs/news.d/773.bugfix.rst deleted file mode 100644 index 202de29dc..000000000 --- a/docs/news.d/773.bugfix.rst +++ /dev/null @@ -1 +0,0 @@ -Fix location preprocessor casing bug. diff --git a/docs/news.d/774.bugfix.rst b/docs/news.d/774.bugfix.rst deleted file mode 100644 index 202de29dc..000000000 --- a/docs/news.d/774.bugfix.rst +++ /dev/null @@ -1 +0,0 @@ -Fix location preprocessor casing bug. diff --git a/docs/news.d/777.deprecation.rst b/docs/news.d/777.deprecation.rst deleted file mode 100644 index 60e155c68..000000000 --- a/docs/news.d/777.deprecation.rst +++ /dev/null @@ -1,2 +0,0 @@ -Add ``compile_builtins`` deprecation warning. -Use ``add_vhdl_builtins`` and/or ``add_verilog_builtins`` instead. diff --git a/docs/news.d/778.deprecation.rst b/docs/news.d/778.deprecation.rst deleted file mode 100644 index 60e155c68..000000000 --- a/docs/news.d/778.deprecation.rst +++ /dev/null @@ -1,2 +0,0 @@ -Add ``compile_builtins`` deprecation warning. -Use ``add_vhdl_builtins`` and/or ``add_verilog_builtins`` instead. diff --git a/docs/news.d/779.doc.rst b/docs/news.d/779.doc.rst deleted file mode 100644 index b2590d468..000000000 --- a/docs/news.d/779.doc.rst +++ /dev/null @@ -1 +0,0 @@ -Add section Overview, including a diagram. diff --git a/docs/news.d/780.deprecation.rst b/docs/news.d/780.deprecation.rst deleted file mode 100644 index 4ff8c53bc..000000000 --- a/docs/news.d/780.deprecation.rst +++ /dev/null @@ -1 +0,0 @@ -[OSVVM] Bump to 2022.04. diff --git a/docs/news.d/781.bugfix.rst b/docs/news.d/781.bugfix.rst deleted file mode 100644 index bf3c3b7be..000000000 --- a/docs/news.d/781.bugfix.rst +++ /dev/null @@ -1 +0,0 @@ -Support detecting and failing on ambiguous direct entity instantiations. diff --git a/docs/news.d/782.bugfix.rst b/docs/news.d/782.bugfix.rst deleted file mode 100644 index a410d9e7e..000000000 --- a/docs/news.d/782.bugfix.rst +++ /dev/null @@ -1 +0,0 @@ -[Vivado] Add flag ``fail_on_non_hdl_files``. diff --git a/docs/news.d/786.bugfix.rst b/docs/news.d/786.bugfix.rst deleted file mode 100644 index a410d9e7e..000000000 --- a/docs/news.d/786.bugfix.rst +++ /dev/null @@ -1 +0,0 @@ -[Vivado] Add flag ``fail_on_non_hdl_files``. diff --git a/docs/news.d/790.deprecation.rst b/docs/news.d/790.deprecation.rst deleted file mode 100644 index 4ff8c53bc..000000000 --- a/docs/news.d/790.deprecation.rst +++ /dev/null @@ -1 +0,0 @@ -[OSVVM] Bump to 2022.04. diff --git a/docs/news.d/792.bugfix.rst b/docs/news.d/792.bugfix.rst deleted file mode 100644 index efefec121..000000000 --- a/docs/news.d/792.bugfix.rst +++ /dev/null @@ -1 +0,0 @@ -Fix parsing of port type starting with signal. diff --git a/docs/news.d/794.bugfix.rst b/docs/news.d/794.bugfix.rst deleted file mode 100644 index f602378bd..000000000 --- a/docs/news.d/794.bugfix.rst +++ /dev/null @@ -1 +0,0 @@ -Fix false pass. diff --git a/docs/news.d/797.bugfix.rst b/docs/news.d/797.bugfix.rst deleted file mode 100644 index fee1aa523..000000000 --- a/docs/news.d/797.bugfix.rst +++ /dev/null @@ -1 +0,0 @@ -Fix axi_lite_master wait behaviour if idle. diff --git a/docs/news.d/801.doc.rst b/docs/news.d/801.doc.rst deleted file mode 100644 index 296488698..000000000 --- a/docs/news.d/801.doc.rst +++ /dev/null @@ -1 +0,0 @@ -Improve documentation for ``pre_config`` and ``post_check``. diff --git a/docs/news.d/810.doc.rst b/docs/news.d/810.doc.rst deleted file mode 100644 index 2ead61afb..000000000 --- a/docs/news.d/810.doc.rst +++ /dev/null @@ -1 +0,0 @@ -Improve help of CLI option ``--clean``. diff --git a/docs/news.d/813.bugfix.rst b/docs/news.d/813.bugfix.rst deleted file mode 100644 index 479f0aeca..000000000 --- a/docs/news.d/813.bugfix.rst +++ /dev/null @@ -1 +0,0 @@ -Fix delta cycle race conditions. diff --git a/docs/news.d/815.feature.rst b/docs/news.d/815.feature.rst deleted file mode 100644 index e32be67a6..000000000 --- a/docs/news.d/815.feature.rst +++ /dev/null @@ -1 +0,0 @@ -Add method to get list of libraries from VUnit object. diff --git a/docs/news.d/816.doc.rst b/docs/news.d/816.doc.rst deleted file mode 100644 index d0a0cd0f6..000000000 --- a/docs/news.d/816.doc.rst +++ /dev/null @@ -1 +0,0 @@ - Added LibraryList. diff --git a/docs/news.d/819.bugfix.rst b/docs/news.d/819.bugfix.rst deleted file mode 100644 index 0a6e32ad8..000000000 --- a/docs/news.d/819.bugfix.rst +++ /dev/null @@ -1 +0,0 @@ -Handle PermissionError while listing available simulators. diff --git a/docs/news.d/820.bugfix.rst b/docs/news.d/820.bugfix.rst deleted file mode 100644 index 0a6e32ad8..000000000 --- a/docs/news.d/820.bugfix.rst +++ /dev/null @@ -1 +0,0 @@ -Handle PermissionError while listing available simulators. diff --git a/docs/news.d/821.doc.rst b/docs/news.d/821.doc.rst deleted file mode 100644 index ceec3dac4..000000000 --- a/docs/news.d/821.doc.rst +++ /dev/null @@ -1 +0,0 @@ -Fix typos. diff --git a/docs/news.d/823.doc.rst b/docs/news.d/823.doc.rst deleted file mode 100644 index 567ef42a0..000000000 --- a/docs/news.d/823.doc.rst +++ /dev/null @@ -1 +0,0 @@ -Add timing diagrams to the Check Library user guide. diff --git a/docs/news.d/825.bugfix.rst b/docs/news.d/825.bugfix.rst deleted file mode 100644 index 091798a4b..000000000 --- a/docs/news.d/825.bugfix.rst +++ /dev/null @@ -1 +0,0 @@ -[Riviera-PRO] Fix for RUNTIME_0232 and RUNTIME_022 messages. diff --git a/docs/news.d/826.bugfix.rst b/docs/news.d/826.bugfix.rst deleted file mode 100644 index efefec121..000000000 --- a/docs/news.d/826.bugfix.rst +++ /dev/null @@ -1 +0,0 @@ -Fix parsing of port type starting with signal. diff --git a/docs/news.d/827.deprecation.rst b/docs/news.d/827.deprecation.rst deleted file mode 100644 index 4ff8c53bc..000000000 --- a/docs/news.d/827.deprecation.rst +++ /dev/null @@ -1 +0,0 @@ -[OSVVM] Bump to 2022.04. diff --git a/docs/news.d/830.feature.rst b/docs/news.d/830.feature.rst deleted file mode 100644 index 40eac7f24..000000000 --- a/docs/news.d/830.feature.rst +++ /dev/null @@ -1 +0,0 @@ -Make ``dict_t`` type generic. diff --git a/docs/news.d/832.doc.rst b/docs/news.d/832.doc.rst deleted file mode 100644 index ceec3dac4..000000000 --- a/docs/news.d/832.doc.rst +++ /dev/null @@ -1 +0,0 @@ -Fix typos. diff --git a/docs/news.d/834.doc.rst b/docs/news.d/834.doc.rst deleted file mode 100644 index 88e42b2f5..000000000 --- a/docs/news.d/834.doc.rst +++ /dev/null @@ -1 +0,0 @@ -Clarify that ``VUNIT_SIMULATOR`` is set to ``modelsim`` when using Questa. diff --git a/docs/news.d/835.feature.rst b/docs/news.d/835.feature.rst deleted file mode 100644 index 40eac7f24..000000000 --- a/docs/news.d/835.feature.rst +++ /dev/null @@ -1 +0,0 @@ -Make ``dict_t`` type generic. diff --git a/docs/news.d/838.bugfix.rst b/docs/news.d/838.bugfix.rst deleted file mode 100644 index 27b20ce6e..000000000 --- a/docs/news.d/838.bugfix.rst +++ /dev/null @@ -1 +0,0 @@ -[ModelSim/Questa] Workaround for compilation bug. diff --git a/docs/news.d/840.bugfix.rst b/docs/news.d/840.bugfix.rst deleted file mode 100644 index 27b20ce6e..000000000 --- a/docs/news.d/840.bugfix.rst +++ /dev/null @@ -1 +0,0 @@ -[ModelSim/Questa] Workaround for compilation bug. diff --git a/docs/news.d/845.bugfix.rst b/docs/news.d/845.bugfix.rst deleted file mode 100644 index b1ef4ad05..000000000 --- a/docs/news.d/845.bugfix.rst +++ /dev/null @@ -1 +0,0 @@ -[JSON-for-VHDL] Fix invalid XML characters in the example. diff --git a/docs/news.d/849.doc.rst b/docs/news.d/849.doc.rst deleted file mode 100644 index 296488698..000000000 --- a/docs/news.d/849.doc.rst +++ /dev/null @@ -1 +0,0 @@ -Improve documentation for ``pre_config`` and ``post_check``. diff --git a/docs/news.d/850.doc.rst b/docs/news.d/850.doc.rst deleted file mode 100644 index 88e42b2f5..000000000 --- a/docs/news.d/850.doc.rst +++ /dev/null @@ -1 +0,0 @@ -Clarify that ``VUNIT_SIMULATOR`` is set to ``modelsim`` when using Questa. diff --git a/docs/news.d/852.bugfix.rst b/docs/news.d/852.bugfix.rst deleted file mode 100644 index a4802aa87..000000000 --- a/docs/news.d/852.bugfix.rst +++ /dev/null @@ -1 +0,0 @@ -Support generics with explicit constant declaration. diff --git a/docs/news.d/854.bugfix.rst b/docs/news.d/854.bugfix.rst deleted file mode 100644 index a4802aa87..000000000 --- a/docs/news.d/854.bugfix.rst +++ /dev/null @@ -1 +0,0 @@ -Support generics with explicit constant declaration. diff --git a/docs/news.d/855.doc.rst b/docs/news.d/855.doc.rst deleted file mode 100644 index 2ead61afb..000000000 --- a/docs/news.d/855.doc.rst +++ /dev/null @@ -1 +0,0 @@ -Improve help of CLI option ``--clean``. diff --git a/docs/news.d/856.bugfix.rst b/docs/news.d/856.bugfix.rst deleted file mode 100644 index fee1aa523..000000000 --- a/docs/news.d/856.bugfix.rst +++ /dev/null @@ -1 +0,0 @@ -Fix axi_lite_master wait behaviour if idle. diff --git a/docs/news.d/858.bugfix.rst b/docs/news.d/858.bugfix.rst deleted file mode 100644 index ee4f5b01e..000000000 --- a/docs/news.d/858.bugfix.rst +++ /dev/null @@ -1 +0,0 @@ -Fix AXI Stream slave back to back transfers. diff --git a/docs/news.d/868.misc.rst b/docs/news.d/868.misc.rst deleted file mode 100644 index 4ef16949f..000000000 --- a/docs/news.d/868.misc.rst +++ /dev/null @@ -1 +0,0 @@ -Replace ``inspect.getargspec`` method, removed in Python 3.11. diff --git a/docs/news.d/870.misc.rst b/docs/news.d/870.misc.rst deleted file mode 100644 index 28ce9936e..000000000 --- a/docs/news.d/870.misc.rst +++ /dev/null @@ -1 +0,0 @@ -Test support on Python 3.11. diff --git a/docs/news.d/872.feature.rst b/docs/news.d/872.feature.rst deleted file mode 100644 index 160c61940..000000000 --- a/docs/news.d/872.feature.rst +++ /dev/null @@ -1 +0,0 @@ -Add support for byte enable to Avalon slave. diff --git a/docs/news.d/874.doc.rst b/docs/news.d/874.doc.rst deleted file mode 100644 index 80af794ec..000000000 --- a/docs/news.d/874.doc.rst +++ /dev/null @@ -1 +0,0 @@ -Improve documentation of ``check_enabled``. diff --git a/docs/news.d/875.feature.rst b/docs/news.d/875.feature.rst deleted file mode 100644 index 40543a20c..000000000 --- a/docs/news.d/875.feature.rst +++ /dev/null @@ -1 +0,0 @@ -[Active-HDL] Enable VHDL-2019. diff --git a/docs/news.d/883.feature.rst b/docs/news.d/883.feature.rst deleted file mode 100644 index 91e78b064..000000000 --- a/docs/news.d/883.feature.rst +++ /dev/null @@ -1 +0,0 @@ -Add high-level event synchronization mechanism. diff --git a/docs/news.d/887.doc.rst b/docs/news.d/887.doc.rst deleted file mode 100644 index 50f933fc0..000000000 --- a/docs/news.d/887.doc.rst +++ /dev/null @@ -1 +0,0 @@ -Added Identity package user guide. diff --git a/docs/news.d/887.feature.rst b/docs/news.d/887.feature.rst deleted file mode 100644 index 5f88f2d83..000000000 --- a/docs/news.d/887.feature.rst +++ /dev/null @@ -1 +0,0 @@ -Add Identity package. diff --git a/docs/news.d/888.doc.rst b/docs/news.d/888.doc.rst deleted file mode 100644 index 50f933fc0..000000000 --- a/docs/news.d/888.doc.rst +++ /dev/null @@ -1 +0,0 @@ -Added Identity package user guide. diff --git a/docs/news.d/898.bugfix.rst b/docs/news.d/898.bugfix.rst deleted file mode 100644 index fbdcc0328..000000000 --- a/docs/news.d/898.bugfix.rst +++ /dev/null @@ -1 +0,0 @@ -Detect GHDL backend with newer GCC|LLVM. diff --git a/docs/news.d/900.feature.rst b/docs/news.d/900.feature.rst deleted file mode 100644 index a3454f596..000000000 --- a/docs/news.d/900.feature.rst +++ /dev/null @@ -1 +0,0 @@ -Add phases for better runtime control. diff --git a/docs/news.d/901.bugfix.rst b/docs/news.d/901.bugfix.rst deleted file mode 100644 index fbdcc0328..000000000 --- a/docs/news.d/901.bugfix.rst +++ /dev/null @@ -1 +0,0 @@ -Detect GHDL backend with newer GCC|LLVM. diff --git a/docs/news.d/903.feature.rst b/docs/news.d/903.feature.rst deleted file mode 100644 index a4324a9e9..000000000 --- a/docs/news.d/903.feature.rst +++ /dev/null @@ -1 +0,0 @@ -[VHDL-2019] Support interface lists with trailing comma. diff --git a/docs/news.d/904.feature.rst b/docs/news.d/904.feature.rst deleted file mode 100644 index 4dcc28d63..000000000 --- a/docs/news.d/904.feature.rst +++ /dev/null @@ -1 +0,0 @@ -Add NVC simulator support. diff --git a/docs/news.d/908.feature.rst b/docs/news.d/908.feature.rst deleted file mode 100644 index 72d62df1d..000000000 --- a/docs/news.d/908.feature.rst +++ /dev/null @@ -1 +0,0 @@ -[VHDL-2019] Add method ``supports_vhdl_call_paths`` to the simulator interface. diff --git a/docs/news.d/911.misc.rst b/docs/news.d/911.misc.rst deleted file mode 100644 index 9ed1fc3c2..000000000 --- a/docs/news.d/911.misc.rst +++ /dev/null @@ -1 +0,0 @@ -[CI] Add workflow_dispatch. diff --git a/docs/news.d/912.doc.rst b/docs/news.d/912.doc.rst deleted file mode 100644 index b85d08c04..000000000 --- a/docs/news.d/912.doc.rst +++ /dev/null @@ -1 +0,0 @@ -Change theme to `furo `__. diff --git a/docs/news.d/914.feature.rst b/docs/news.d/914.feature.rst deleted file mode 100644 index 4dcc28d63..000000000 --- a/docs/news.d/914.feature.rst +++ /dev/null @@ -1 +0,0 @@ -Add NVC simulator support. diff --git a/docs/news.d/917.misc.rst b/docs/news.d/917.misc.rst deleted file mode 100644 index 0d49b795a..000000000 --- a/docs/news.d/917.misc.rst +++ /dev/null @@ -1 +0,0 @@ -[CI] Add NVC jobs. diff --git a/docs/news.d/918.misc.rst b/docs/news.d/918.misc.rst deleted file mode 100644 index 4b9d37940..000000000 --- a/docs/news.d/918.misc.rst +++ /dev/null @@ -1,2 +0,0 @@ -Improved release notes by adding sections using `towncrier `__ to aid in finding -relevant information about releases and updated contributing guide with instructions. diff --git a/docs/news.d/920.misc.rst b/docs/news.d/920.misc.rst deleted file mode 100644 index 2d2577143..000000000 --- a/docs/news.d/920.misc.rst +++ /dev/null @@ -1 +0,0 @@ -[CI] Forks push the docs to branch gh-pages (so it's published at ``.github.io/vunit``). diff --git a/docs/news.d/921.misc.rst b/docs/news.d/921.misc.rst deleted file mode 100644 index 4b9d37940..000000000 --- a/docs/news.d/921.misc.rst +++ /dev/null @@ -1,2 +0,0 @@ -Improved release notes by adding sections using `towncrier `__ to aid in finding -relevant information about releases and updated contributing guide with instructions. diff --git a/docs/news.d/926.feature.rst b/docs/news.d/926.feature.rst deleted file mode 100644 index 4dcc28d63..000000000 --- a/docs/news.d/926.feature.rst +++ /dev/null @@ -1 +0,0 @@ -Add NVC simulator support. diff --git a/docs/news.d/927.feature.rst b/docs/news.d/927.feature.rst deleted file mode 100644 index 4dcc28d63..000000000 --- a/docs/news.d/927.feature.rst +++ /dev/null @@ -1 +0,0 @@ -Add NVC simulator support. diff --git a/docs/news.d/930.feature.rst b/docs/news.d/930.feature.rst deleted file mode 100644 index 4dcc28d63..000000000 --- a/docs/news.d/930.feature.rst +++ /dev/null @@ -1 +0,0 @@ -Add NVC simulator support. diff --git a/docs/release_notes/4.7.0.rst b/docs/release_notes/4.7.0.rst new file mode 100644 index 000000000..eb4f52e20 --- /dev/null +++ b/docs/release_notes/4.7.0.rst @@ -0,0 +1,77 @@ + +Bug Fixes +~~~~~~~~~ + +- [JSON-for-VHDL] Fix invalid XML characters in the example. (:vunit_issue:`356`, :vunit_issue:`845`) +- Fix AXI Stream slave back to back transfers. (:vunit_issue:`573`, :vunit_issue:`858`) +- [Riviera-PRO] Fix for RUNTIME_0232 and RUNTIME_022 messages. (:vunit_issue:`621`, :vunit_issue:`825`) +- Fix delta cycle race conditions. (:vunit_issue:`642`, :vunit_issue:`813`) +- Skip non-generic OSVVM packages when the simulator supports generics. (:vunit_issue:`768`, :vunit_issue:`769`) +- Fix location preprocessor casing bug. (:vunit_issue:`773`, :vunit_issue:`774`) +- Support detecting and failing on ambiguous direct entity instantiations. (:vunit_issue:`781`) +- [Vivado] Add flag ``fail_on_non_hdl_files``. (:vunit_issue:`782`, :vunit_issue:`786`) +- Fix parsing of port type starting with signal. (:vunit_issue:`792`, :vunit_issue:`826`) +- Fix false pass. (:vunit_issue:`794`) +- Fix axi_lite_master wait behaviour if idle. (:vunit_issue:`797`, :vunit_issue:`856`) +- Handle PermissionError while listing available simulators. (:vunit_issue:`819`, :vunit_issue:`820`) +- [ModelSim/Questa] Workaround for compilation bug. (:vunit_issue:`838`, :vunit_issue:`840`) +- Support generics with explicit constant declaration. (:vunit_issue:`852`, :vunit_issue:`854`) +- Detect GHDL backend with newer GCC|LLVM. (:vunit_issue:`898`, :vunit_issue:`901`) + + +Deprecations +~~~~~~~~~~~~ + +- Add ``compile_builtins`` deprecation warning. + Use ``add_vhdl_builtins`` and/or ``add_verilog_builtins`` instead. (:vunit_issue:`559`, :vunit_issue:`757`, :vunit_issue:`777`, :vunit_issue:`778`) +- [OSVVM] Bump to 2022.04. (:vunit_issue:`754`, :vunit_issue:`780`, :vunit_issue:`790`, :vunit_issue:`827`) +- Python 3.6 was EOL in Dec 2021; use Python 3.7 as the minimum tested version. +- [JSON-for-VHDL] Bump to ``95e848b8``. + + +Documentation +~~~~~~~~~~~~~ + +- Add timing diagrams to the Check Library user guide. (:vunit_issue:`576`, :vunit_issue:`823`) +- Add ``add_vhdl_builtins`` and ``add_verilog_builtins``. (:vunit_issue:`757`) +- Add section Overview, including a diagram. (:vunit_issue:`779`) +- Improve documentation for ``pre_config`` and ``post_check``. (:vunit_issue:`801`, :vunit_issue:`849`) +- Improve help of CLI option ``--clean``. (:vunit_issue:`810`, :vunit_issue:`855`) +- Added LibraryList. (:vunit_issue:`816`) +- Fix typos. (:vunit_issue:`821`, :vunit_issue:`832`) +- Clarify that ``VUNIT_SIMULATOR`` is set to ``modelsim`` when using Questa. (:vunit_issue:`834`, :vunit_issue:`850`) +- Improve documentation of ``check_enabled``. (:vunit_issue:`874`) +- Added Identity package user guide. (:vunit_issue:`887`, :vunit_issue:`888`) +- Change theme to `furo `__. (:vunit_issue:`912`) +- Add blog post on FPGA World 2022 in Stockholm. +- Add blog post on VUnit's relation to other frameworks. + + +Features +~~~~~~~~ + +- Add NVC simulator support. (:vunit_issue:`44`, :vunit_issue:`904`, :vunit_issue:`914`, :vunit_issue:`926`, :vunit_issue:`927`, :vunit_issue:`930`) +- Skip addition of built-in dependencies (OSVVM and/or JSON-for-VHDL) if the library is added previously. (:vunit_issue:`767`, :vunit_issue:`771`) +- Add method to get list of libraries from VUnit object. (:vunit_issue:`815`) +- Make ``dict_t`` type generic. (:vunit_issue:`830`, :vunit_issue:`835`) +- Add support for byte enable to Avalon slave. (:vunit_issue:`872`) +- [Active-HDL] Enable VHDL-2019. (:vunit_issue:`875`) +- Add high-level event synchronization mechanism. (:vunit_issue:`883`) +- Add Identity package. (:vunit_issue:`887`) +- Add phases for better runtime control. (:vunit_issue:`900`) +- [VHDL-2019] Support interface lists with trailing comma. (:vunit_issue:`903`) +- [VHDL-2019] Add method ``supports_vhdl_call_paths`` to the simulator interface. (:vunit_issue:`908`) +- Introduce new class ``LibraryList`` and add method to get list of libraries from VUnit object. + + +Miscellaneous +~~~~~~~~~~~~~ + +- Replace ``inspect.getargspec`` method, removed in Python 3.11. (:vunit_issue:`868`) +- Test support on Python 3.11. (:vunit_issue:`870`) +- [CI] Add workflow_dispatch. (:vunit_issue:`911`) +- [CI] Add NVC jobs. (:vunit_issue:`917`) +- Improved release notes by adding sections using `towncrier `__ to aid in finding + relevant information about releases and updated contributing guide with instructions. (:vunit_issue:`918`, :vunit_issue:`921`) +- [CI] Forks push the docs to branch gh-pages (so it's published at ``.github.io/vunit``). (:vunit_issue:`920`) +- Add utilities to create HTML from code snippets and VUnit logs. diff --git a/vunit/about.py b/vunit/about.py index ed89dbfcc..ddf8243de 100644 --- a/vunit/about.py +++ b/vunit/about.py @@ -69,4 +69,4 @@ def version(): return VERSION -VERSION = "4.6.1rc0" +VERSION = "4.7.0"