Skip to content
This repository has been archived by the owner on Nov 4, 2021. It is now read-only.

Issues: YosysHQ/fpga-toolchain

Author
Filter by author
Label
Filter by label
Use alt + click/return to exclude labels
or + click/return for logical OR
Projects
Filter by project
Milestones
Filter by milestone
Assignee
Filter by who’s assigned
Sort

Issues list

No new releases for Windows
#78 opened Apr 30, 2021 by pcossutta
Adding Project Apicula
#73 opened Feb 2, 2021 by ArsenioDev
Nextpnr GUI support
#68 opened Jan 1, 2021 by MartinGeisse
Builds that run over midnight fail
#61 opened Oct 13, 2020 by edbordin
Add yosys-symbiflow-plugins support
#52 opened Sep 11, 2020 by mithro
add ARM cross builds?
#46 opened Jul 27, 2020 by edbordin
enable TCL in yosys?
#43 opened Jul 25, 2020 by edbordin
tools for IDE support
#24 opened May 24, 2020 by edbordin
build python from source?
#19 opened May 21, 2020 by edbordin
windows ftdi driver situation
#14 opened May 14, 2020 by edbordin
code signing for OS X
#12 opened May 10, 2020 by edbordin
Add verilator?
#7 opened May 2, 2020 by edbordin
ProTip! Type g p on any issue or pull request to go back to the pull request listing page.