diff --git a/vhdl/Makefile b/vhdl/Makefile index 44e57d4..298148c 100644 --- a/vhdl/Makefile +++ b/vhdl/Makefile @@ -7,7 +7,7 @@ OBJS = vhdl_parser.tab.o vhdl_lexer.o vhdl_frontend.o preproc.o vhdl.so: vhdl_parser.tab.o vhdl_lexer.o vhdl_frontend.o preproc.o $(CXX) $(CXXFLAGS) $(LDFLAGS) -shared -o $@ $^ $(LDLIBS) - cd test; ./runtests + $(MAKE) test vhdl_parser.tab.cc: vhdl_parser.y def.h bison -d -r all -b vhdl_parser $< @@ -18,6 +18,10 @@ vhdl_parser.tab.h: vhdl_parser.tab.cc vhdl_lexer.cc: vhdl_lexer.l def.h vhdl_parser.tab.h flex -d -i -o $@ $< +.PHONY: test +test: + cd test; ./runtests + clean: rm -f *.d *.o vhdl.so vhdl_lexer.cc vhdl_parser.output vhdl_parser.tab.cc vhdl_parser.tab.h