diff --git a/Makefile b/Makefile index 268884f..bc10f84 100644 --- a/Makefile +++ b/Makefile @@ -22,4 +22,4 @@ compile_%: # TODO: Get gtkwave visualizaiton show_%: %.vcd %.gtkw - gtkwave $^ \ No newline at end of file + gtkwave $^ diff --git a/README.md b/README.md index cf91abf..83c5ddc 100644 --- a/README.md +++ b/README.md @@ -313,7 +313,11 @@ RET ; end of kernel # Simulation -tiny-gpu is setup to simulate the execution of both of the above kernels. Before simulating, you'll need to install [iverilog](https://steveicarus.github.io/iverilog/usage/installation.html) and [cocotb](https://docs.cocotb.org/en/stable/install.html). +tiny-gpu is setup to simulate the execution of both of the above kernels. Before simulating, you'll need to install [iverilog](https://steveicarus.github.io/iverilog/usage/installation.html) and [cocotb](https://docs.cocotb.org/en/stable/install.html): + +- Install Verilog compilers with `brew install icarus-verilog` and `pip3 install cocotb` +- Download the latest version of sv2v from https://github.com/zachjs/sv2v/releases, unzip it and put the binary in $PATH. +- Run `mkdir build` in the root directory of this repository. Once you've installed the pre-requisites, you can run the kernel simulations with `make test_matadd` and `make test_matmul`.