Skip to content

Commit

Permalink
Browse files Browse the repository at this point in the history
ad9361/all/system_constr.xdc: remove manual clock definition
Having a clock assigned manually to the clk output pin of the axi_ad9361
let the Vivado timing engine to not ignore the clock insertion delay when
analyzing paths between clk_0 and the manually created clock that has
the same source (clk_0), resulting in timing failure.
  • Loading branch information
ronagyl authored and AndreiGrozav committed Apr 12, 2019
1 parent bd79a00 commit 4b13274
Show file tree
Hide file tree
Showing 7 changed files with 0 additions and 7 deletions.
Expand Up @@ -38,5 +38,4 @@ set_property -dict {PACKAGE_PIN J15 IOSTANDARD LVCMOS18} [get_ports tx_gnd[1]
# clocks

create_clock -name rx_clk -period 8 [get_ports rx_clk_in]
create_clock -name ad9361_clk -period 8 [get_pins i_system_wrapper/system_i/axi_ad9361/clk]

Expand Up @@ -38,5 +38,4 @@ set_property -dict {PACKAGE_PIN A17 IOSTANDARD LVDS} [get_ports tx_data_o
# clocks

create_clock -name rx_clk -period 4 [get_ports rx_clk_in_p]
create_clock -name ad9361_clk -period 4 [get_pins i_system_wrapper/system_i/axi_ad9361/clk]

Expand Up @@ -38,5 +38,4 @@ set_property -dict {PACKAGE_PIN L20 IOSTANDARD LVCMOS25} [get_ports tx_gnd[1]
# clocks

create_clock -name rx_clk -period 8 [get_ports rx_clk_in]
create_clock -name ad9361_clk -period 8 [get_pins i_system_wrapper/system_i/axi_ad9361/clk]

Expand Up @@ -38,5 +38,4 @@ set_property -dict {PACKAGE_PIN G20 IOSTANDARD LVDS_25} [get_ports tx_data_o
# clocks

create_clock -name rx_clk -period 8 [get_ports rx_clk_in_p]
create_clock -name ad9361_clk -period 8 [get_pins i_system_wrapper/system_i/axi_ad9361/clk]

1 change: 0 additions & 1 deletion projects/fmcomms5/zc702/system_constr.xdc
Expand Up @@ -132,7 +132,6 @@ set_property -dict {PACKAGE_PIN U11 IOSTANDARD LVCMOS25} [get_ports gpio_ca

create_clock -name rx_0_clk -period 5.00 [get_ports rx_clk_in_0_p]
create_clock -name rx_1_clk -period 5.00 [get_ports rx_clk_in_1_p]
create_clock -name ad9361_clk -period 5.00 [get_pins i_system_wrapper/system_i/axi_ad9361_0/clk]

# gpio (pmods)

Expand Down
1 change: 0 additions & 1 deletion projects/fmcomms5/zc706/system_constr.xdc
Expand Up @@ -132,4 +132,3 @@ set_property -dict {PACKAGE_PIN AK30 IOSTANDARD LVCMOS25} [get_ports gpio_ca

create_clock -name rx_0_clk -period 4.00 [get_ports rx_clk_in_0_p]
create_clock -name rx_1_clk -period 4.00 [get_ports rx_clk_in_1_p]
create_clock -name ad9361_clk -period 4.00 [get_pins i_system_wrapper/system_i/axi_ad9361_0/clk]
1 change: 0 additions & 1 deletion projects/fmcomms5/zcu102/system_constr.xdc
Expand Up @@ -132,4 +132,3 @@ set_property -dict {PACKAGE_PIN R12 IOSTANDARD LVCMOS18} [get_ports gpio_ca

create_clock -name rx_0_clk -period 4.00 [get_ports rx_clk_in_0_p]
create_clock -name rx_1_clk -period 4.00 [get_ports rx_clk_in_1_p]
create_clock -name ad9361_clk -period 4.00 [get_pins i_system_wrapper/system_i/axi_ad9361_0/clk]

0 comments on commit 4b13274

Please sign in to comment.