{"payload":{"feedbackUrl":"https://github.com/orgs/community/discussions/53140","repo":{"id":72641214,"defaultBranch":"master","name":"plutosdr-fw","ownerLogin":"analogdevicesinc","currentUserCanPush":false,"isFork":false,"isEmpty":false,"createdAt":"2016-11-02T13:12:46.000Z","ownerAvatar":"https://avatars.githubusercontent.com/u/2465527?v=4","public":true,"private":false,"isOrgOwned":true},"refInfo":{"name":"","listCacheKey":"v0:1706000859.0","currentOid":""},"activityList":{"items":[{"before":"0359a0b9a474567ab658619f3edf53ac65594f5a","after":"4b4203580ff5777fa4ccb555d6e20ee609b92754","ref":"refs/heads/master","pushedAt":"2024-01-29T13:48:38.000Z","pushType":"pr_merge","commitsCount":1,"pusher":{"login":"bia1708","name":"Bianca Maria Popu","path":"/bia1708","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/89767911?s=80&v=4"},"commit":{"message":"CI/status_rule: Add edge-case\n\nPluto firmware jobs show the following errors:\n- TOPDIR: command not found\n- BASE_DIR: command not found\n- CONFIG_DIR: command not found\nThese shouldn't be treated as errors, since the build finalizes\nsuccessfully. This commit modifies the `status_rule` file so that they\nshow up as warnings.\n\nSigned-off-by: bia1708 ","shortMessageHtmlLink":"CI/status_rule: Add edge-case"}},{"before":"e9a06198015b35ee5283bed20f3d9136416a3a97","after":"866954693208b870d4f4028037cf27b4f880a645","ref":"refs/heads/status-rule","pushedAt":"2024-01-26T14:11:46.000Z","pushType":"force_push","commitsCount":0,"pusher":{"login":"bia1708","name":"Bianca Maria Popu","path":"/bia1708","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/89767911?s=80&v=4"},"commit":{"message":"CI/status_rule: Add edge-case\n\nPluto firmware jobs show the following errors:\n- TOPDIR: command not found\n- BASE_DIR: command not found\n- CONFIG_DIR: command not found\nThese shouldn't be treated as errors, since the build finalizes\nsuccessfully. This commit modifies the `status_rule` file so that they\nshow up as warnings.\n\nSigned-off-by: bia1708 ","shortMessageHtmlLink":"CI/status_rule: Add edge-case"}},{"before":"8441652cbb01d3d5839f57fd2294761b85538e8e","after":"e9a06198015b35ee5283bed20f3d9136416a3a97","ref":"refs/heads/status-rule","pushedAt":"2024-01-23T12:20:51.000Z","pushType":"force_push","commitsCount":0,"pusher":{"login":"bia1708","name":"Bianca Maria Popu","path":"/bia1708","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/89767911?s=80&v=4"},"commit":{"message":"CI/status_rule: Add edge-case\n\nPluto firmware jobs show the following errors:\n- TOPDIR: command not found\n- BASE_DIR: command not found\n- CONFIG_DIR: command not found\nThese shouldn't be treated as errors, since the build finalizes\nsuccessfully. This commit modifies the `status_rule` file so that they\nshow up as warnings.\n\nSigned-off-by: bia1708 ","shortMessageHtmlLink":"CI/status_rule: Add edge-case"}},{"before":"b94f1ce18edd78a4a197da1b2fabfa3f01f23bfa","after":"8441652cbb01d3d5839f57fd2294761b85538e8e","ref":"refs/heads/status-rule","pushedAt":"2024-01-23T12:00:38.000Z","pushType":"force_push","commitsCount":0,"pusher":{"login":"bia1708","name":"Bianca Maria Popu","path":"/bia1708","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/89767911?s=80&v=4"},"commit":{"message":"CI/status_rule: Add edge-case\n\nPluto firmware jobs show the following errors:\n- TOPDIR: command not found\n- BASE_DIR: command not found\n- CONFIG_DIR: command not found\nThese shouldn't be treated as errors, since the build finalizes\nsuccessfully. This commit modifies the `status_rule` file so that they\nshow up as warnings.\n\nSigned-off-by: bia1708 ","shortMessageHtmlLink":"CI/status_rule: Add edge-case"}},{"before":"b88c3b47203e9b47c5ad3927ac2e70827b40e1e6","after":"b94f1ce18edd78a4a197da1b2fabfa3f01f23bfa","ref":"refs/heads/status-rule","pushedAt":"2024-01-23T11:41:02.000Z","pushType":"force_push","commitsCount":0,"pusher":{"login":"bia1708","name":"Bianca Maria Popu","path":"/bia1708","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/89767911?s=80&v=4"},"commit":{"message":"CI/status_rule: Add edge-case\n\nSigned-off-by: bia1708 ","shortMessageHtmlLink":"CI/status_rule: Add edge-case"}},{"before":null,"after":"b88c3b47203e9b47c5ad3927ac2e70827b40e1e6","ref":"refs/heads/status-rule","pushedAt":"2024-01-23T09:07:39.000Z","pushType":"branch_creation","commitsCount":0,"pusher":{"login":"bia1708","name":"Bianca Maria Popu","path":"/bia1708","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/89767911?s=80&v=4"},"commit":{"message":"CI/status_rule: Add edge-case\n\nSigned-off-by: bia1708 ","shortMessageHtmlLink":"CI/status_rule: Add edge-case"}},{"before":"ea3d810769739ccd436e9cbb3cf5a227272b91a2","after":"0359a0b9a474567ab658619f3edf53ac65594f5a","ref":"refs/heads/master","pushedAt":"2023-11-17T08:40:31.000Z","pushType":"push","commitsCount":5,"pusher":{"login":"mhennerich","name":"Michael Hennerich","path":"/mhennerich","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/1142945?s=80&v=4"},"commit":{"message":"PlutoSDR: Prepare for v0.38 release\n\nBranches:\nlinux : 2022_R2\nu-boot-xlnx : pluto\nhdl : plutosdr-fw-v038_m2k-fw-v032\nbuildroot : master\n\nSubmodule update:\n\nSubmodule buildroot 6011c61..f70f4af:\n > package: libiio: libiio.mk: Update to tip of libiio-v0 branch\n > configs/zynq_pluto_defconfig: Add libgpiod and tools\n\nSubmodule hdl e5660db...1978df2:\n > axi_dac_interpolate: Improve the ctrl logic\n > m2k: Remove dac last_sample_hold control\n > pluto: Enable phaser integration\n > axi_ad7606x: Add the correct IP's name\n > projects/cn0501: Removed CN0501 project\n > Remove daq3/kcu105 from the current release\n > cn0561: Fixed critical warning during make (#1165)\n > project_xilinx.tcl: Fix the regex expression for Kria KV260 evaluation board\n > ad_fmclidar1_ebz: Remove support\n > Add git CODEOWNERS and PULL_REQUEST_TEMPLATE files\n > Add copyright & license to .sh, .yml, .pl files. Edit Makefile for KV260\n > Add/edit copyright and license for .v, .sv files\n > check_guideline.py: Change copyright format checker\n > LICENSE_*: Update the year and format of the copyright\n > axi_dmac: Fix constraints coverage and empty to list warnings\n > Rename pluto_ng to jupiter_sdr plus RevB updates\n > docs: Add HDL PR process documentation\n > ad9082/vck190: Add initial design\n > ad9081/vck190/system_project: Change the default profile\n > ad9081: Proper reset sequence for versal transceivers\n > adrv9001_zcu102: Reduce allowed clock skew for rx*_dclkout in lvds_constr.xdc\n > m2k: Fix CW for slave segments without an address space\n > template_kv260: Add template design for kv260 evaluation board\n > projects: 9009: system_project.tcl: List configs as comments\n > ad9209: Initial vck190 design\n > ad9081: Parameters and header update\n > ad9081/common: Added ad9081_fmc.txt\n > ad9081: Versal transceiver update\n > py script & action: Update used repos version\n > vcu118: Improve Microblaze Cache Performance for a better timing closure\n > vcu128_system_bd.tcl: Additional microblaze interrupt for VCU128\n > project-xilinx.mk: Update folders and files from make clean\n > library.mk: Update folders and files from make clean\n > kc705 vc707: Increase linear flash capacity\n > adi_project_xilinx.tcl: Add matlab env variables\n > add: softspan support in adc_channel regmap (#1081)\n > cn0561:de10nano: Updated Quartus version to 22.1Std (#1116)\n > ad_data_out: Revert change (issue) inserted in commit 075ee05189cb285d66a35496c7eb9b044c380b11\n > docs/FMC_eval_board_template: Update instructions\n > Quartus: Updated to Quartus Pro 22.4 (#1107)\n > Quartus: Updated to Quartus Standard 22.1 (#1108)\n > Update ad_data_in &_out (#1060)\n > cn0561_de10nano: Initial commit\n > cn0540_de10nano: Update system_top, cleanup\n > spi_engine_offload: Update hw.tcl\n > axi_pwm_gen: Update timing constraints, hw.tcl and sdc files.\n > ad7606x_fmc: Fix up_cpack2 module's SAMPLE_DATA_WIDTH parameter\n > axi_ad7606x: Fix data width and order of ADC channels\n > adi_xilinx_device_info_enc: Enlarge detection\n > Check guidelines. Remove redundancies * Removed empty/commented lines * Regenerated Makefiles * Removed redundancies adc channels data width * Set data width 32-bit: max resolution and CRC header\n > projects/ad7134_fmc: Update bd SPIE hierarchy, spi trigger, ODR * Updated bd spi hierarchy, see library/spi_engine.tcl * Enabled ext_clk for PWM to use 96 MHz spi clk * Modified PWM channels used: - ch1: ODR - 850 ns period, 130 ns high time \t ==> max fODR = 1.18 MHz - ch0: trigger - 850 ns period, 30 phase shift ==> 10 ns between falling ODR rising DCLK * Changed spi offload trigger signal: - replaced edge detect,sync_bits IPs with PWM trigger\n > projects/cn0561: Update design: spi trigger, ODR, spi hierch * Enabled ext_clk for PWM to use 96 MHz spi clk * Modified PWM channels used: - ch1: ODR - 850 ns period, 130 ns high time \t ==> max fODR = 1.18 MHz - ch0: trigger - 850 ns period, 30 phase shift ==> 10 ns between falling ODR rising DCLK * Changed spi offload trigger signal: - replaced edge detect,sync_bits IPs with PWM trigger * Updated bd SPIE hierarchy, see library/spi_engine.tcl\n > projects/ad4134_fmc: Initial commit add support\n > SPI Engine: Update spi_engine.tcl\n > projects/cn0579/de10nano: Fix Critical Warnings\n > library/axi_pwm_gen: Assign correct reg value to offset_0\n > adi_project_intel.tcl: bugfix for ad_project_dir (#1101)\n > projects/cn0579: Initial commit for Coraz7s and DE10Nano\n > check_guideline.py: Add execute permissions\n > docs/regmap: Updates on regmap text files to match the Wiki page updates.\n > vmk180_system_bd.tcl: Fix issue with PMC_I2C_PERIPHERAL\n > adi_env.tcl: Update Vivado version to 2022.2\n > ad7606x: Fix system_top module's gpio instances and add missing adc_serpar,_refsel pins\n > library/axi_ad7768: Data valid signal updates\n > projects/*/a10gx: Support for A10GX carrier is discontinued.\n > adi_board.tcl: Support multiple common channels connections between different TX adxcvr's and util_xcvr\n < fmcadc5: Fix issue created by modifying ad_disconnect\n > axi_pwm_gen: add: intel support (#1080)\n > projects/adrv9009zu11eg: Fix lane swap on tx1_c when used with fmcomms8\n < projects/adrv9009zu11eg: Fix lane swap on tx1_c when used with fmcomms8\n > library/common/util_pulse_gen: Fix for unupdateable registers\n > library & projects: Update Makefiles\n > projects/adrv9009zu11eg: JESD support for fmcomms8\n > projects/adrv9009zu11eg: JESD support for adrv2crr_fmc\n > adrv9009/zc706: Add clkgen div to match the desired freq\n > projects/adrv9009: JESD support for 1, 2 TX_L and 1 RX/ORX_L\n > projects/fmcomms8: Interconnect m_axi port for rx_xcvr\n < scripts: adi_board: Update ad_disconnect procedure\n < ad9081: vck190: Update default profile\n > axi_adrv9001/intel: Add dummy parameter IODELAY_ENABLE in adrv9001_rx\n > vcu118_system_bd.tcl: Additional microblaze interrupt for VCU118\n > ad7606x_fmc: Initial commit\n > axi_ad7606x: Initial commit\n > Update IPs based on up_adc_common changes\n > up_adc_common: Update custom RD/WR mechanism\n > intel/adi_jesd204: Enable master clock generation block for S10 H-Tile\n > projects/fmcomms8: JESD support for 2, 4 TX_L and RX/ORX_L\n > library: Cosmetic changes for modules that use ad_serdes_*\n > ad_serdes: Add features and update their instances in /library\n > ad4110: Initial commit\n > docs/regmap: Added the regmap file for the generic TDD controller\n > ad9081_fmca_ebz_x_band: Integrate the new TDD in project\n > ad9081_fmca_ebz: Integrate the new TDD in project\n > library/axi_tdd: Add generic TDD engine\n > library/scripts: Add SV support for Intel boards\n > projects: Fix intermitent timing violation on a10soc\n < projects: Fix intermitent timing violation on a10soc\n > ad469x: Use axi_pwm_gen; clean-up\n > axi_ad7768: modified adc_format values and crc_err flag has to be RW1C\n > Updated the makefiles to build the projects in subdirectories based on the build parameters.\n > adi_board: Connnect phy_en_char_align only for 8B10B encoding\n\nSubmodule linux 3eae700...e14e351:\n > iio: adc: ltc2387: Fix the conversion signal phase\n > configs: zynq_pluto_defconfig: Enable IPv6 support and disable GCC plugins\n > arch: arm: zynq-pluto*.dts*: Update device tree to reflect phasor\n > arch: arm: zynq_pluto_defconfig: Add the phasor related drivers\n > iio: jesd204: Kconfig: IIO fakedev driver does not depend on JESD204\n > iio: adc: ad9208: fix possible '-Wuninitialized'\n > iio: adc: ad9371: fix possible ' -Wuninitialized'\n > iio: adc: ad9467: fix 'vref_mask' uninitialized usage\n > iio: adc: ad6676: Properly declare the header guard\n > iio: frequency: adf4371: fix possible '-Wuninitialized'\n > iio: buffer: industrialio-buffer-dmaengine: fix bad 'devres_free()'\n > iio: industrialio-buffer: fix possible '-Wuninitialized'\n > iio: adc: ad9361: Export pl_intf_clk reflecing interface PL clock rate\n > Fix frequency comparison in case of truncation\n > dts: xilinx: adi-ad9081-fmc-ebz.dtsi: Eliminate common ad9081 include\n > dts: zynq-zc706-adv7511-ad9081: axi_data_offload devices and enable TX\n > iio: adc: ad9081: Fix dts parsing\n > Add imply config attribute for ADI TDD engine core\n > arch: arm64: boot: dts: Add example adi-axi-tdd device tree\n > Documentation: ABI: testing: Add adi-axi-tdd sysfs description\n > drivers: misc: adi-axi-tdd: Add TDD engine\n > dt-bindings: misc: adi,axi-tdd: Add device-tree binding for TDD engine\n > iio: adc: adaq4224: Fix AD4030 of_match\n > clk: ad9545: fix driver probe when R-divider is zero\n > net:ethernet:adi:adin1110: Fix uninitialized variable\n > spi: axi-spi-engine: fix use after free after timeout\n > iio: adc: adrv9002: add carrier support to debugfs\n > iio: adc: adrv9002: support giving the carrier in devicetree\n > arch: arm64: dts: adrv9002: enable dpd\n > arch: arm: dts: adrv9002: enable dpd\n > dt-bindings: iio: adrv9002: add dpd defines\n > iio: adc: adrv9002: add dpd debugfs support\n > iio: adc: adrv9002: add digital predistortion support\n > iio: adc: adrv9002: make use of __sysfs_match_string()\n > iio: adc: adrv9002: add initial_calibrations attribute\n > iio: adc: adrv9002: properly handle LO frequency change\n > iio: adc: adrv9002: Improve ADRV9002_{RX|TX}_EN macros\n > iio: adc: adrv9002: rearrange 'adrv9002_attr_show'\n > iio: adc: adrv9002: rearrange 'adrv9002_attr_store'\n > iio: adc: adrv9002: add helper to enable/disable a port\n > iio: adc: adrv9002: constify adrv9002_axi_interface_enable()\n > iio: adc: adrv9002: update to the new API\n > iio: adc: adrv9002: api: fix mixed declarations and code warning\n > iio: adc: adrv9002: api: fix unused variable warning\n > iio: adc: adrv9002: api: don't include and \n > firmware: Update firmware for adrv9002\n > iio: adc: adrv9002: Update API to 68.8.1\n > hwmon: (axi-fan-control) Fix possible NULL pointer dereference\n > arch: arm: boot: dts: Rename dt-node to align with DTSpec\n > arch: arm: boot: dts: Add support for data-lines and reset gpio\n > arch: arm: boot: dts: Add support for zed with ad7768-4 and axi_adc\n > arch: arm: boot: dts: Add support for coraz7s and cn0579\n > arch: arm: boot: dts: Add support for cn0579 and de10nano\n > iio: adc: ad7768: compute sampling frequencies dynamically\n > iio: adc: ad7768: Added support for data-lines configuration\n > iio: adc: ad7768: Enable crc\n > iio: adc: ad7768: Add support for AD7768-4\n > iio: adc: ad7768: Added reset gpio\n > dt-bindings: iio: adc: ad7768: convert bindings to YAML\n > drivers: iio: adc: cf_axi_adc.h: Add missing reg\n > iio: adc: ad7768: add missing available scan masks\n > dts: adi-adrv9009.dtsi: Set ORX JESD204 Par M=2, F=2 and chanORXen=ORX1\n > clk: ad9545: improve ad9545_get_r_div() and ad9545_set_r_div()\n > clk: ad9545: properly check R-divider\n > clk: ad9545: properly set stability timer register\n > clk: ad9545: replace ad95452 with ad9545\n > clk: ad9545: fix error message for adi,pll-source\n > clk: ad9545: fix APLL rate ranges\n > clk: ad9545: fix AD9545_DPLL_MAX_FRAC and AD9545_DPLL_MAX_MOD\n > clk: ad9545: fix APLL M-divider minimal limit\n > clk: ad9545: properly check if output clocks are being used\n > dt-binding: ad9545: fix for dt-binding-check\n > iio: frequency: ad9783: fix ad9780 and ad9781 channel ref\n > iio: adc: adrv9009: minor comment change\n > iio: adc: adrv9009: make jesd clocks optional\n > iio: adc: adrv9009: simplify jesd clock probe\n > iio: adc: adrv9009: add has_obs() helper\n > iio: adc: adrv9009: check validity of the link_clk pointer\n > clk: fix clk_dump syntax error\n > iio: adc: ad4630: fix pm runtime unbalanced refcounts\n > iio: adc: ad9081: Update API v1.5.0\n > hwmon: max31827: Match of_match_table.compatible with binding\n > arm64: dts: zynqmp-pluto-ng -> zynqmp-jupiter-sdr\n > bindings: iio: frequency: adi,ltc6952.yaml: Sync with driver updates\n > iio: frequency: ltc6952: Add jesd204-fsm support\n > iio: frequency: ltc6952: Fix status string text and use proper macro\n > iio: frequency: ltc6952: Add LTC6953 support\n > iio: adc: adrv9002: fix ORx port detection\n > jesd204: remove useless 'state_ops' check\n > iio: adc: ad7192: Fix internal/external clock selection\n > iio: adc: ad7192: Fix null ad7192_state pointer access\n > iio: adc: ad7192: Change \"shorted\" channels to differential\n > arm64: dts: zynqmp-pluto-ng add eeprom\n > Kconfig.adi: imply MAX31827\n > hwmon: max31827: add MAX31827 driver\n > dt-bindings: hwmon: add MAX31827\n > iio: frequency: adf5355: drop mlock usage\n > iio: frequency: ad9739a: drop mlock usage\n > iio: frequency: ad9172: drop mlock usage\n > iio: frequency: ad9122: drop mlock usage\n > iio: frequency: cf_axi_dds: drop mlock usage\n > drivers: iio: Add imply config attribute for ADI Pulsar ADCs\n > arch: arm: boot: Add Pulsar example dts\n > dt-bindings: iio: adc: add pulsar\n > drivers: iio: adc: ad_pulsar: Add support for pulsar ADC's\n > Add MathWorks drivers to CI ignore list\n > Add MathWorks memory drivers to defconfigs\n > drivers/misc/mathworks: Merge shared memory IIO drivers with 5.10\n > Merge with Analog Devices 2021_R1 branch\n > arch: arm64: boot: dts: xilinx: versal-vck190-reva-ad9081: Include Xilinx's vck190 dts file and add specific bootargs\n > arch: arm64: boot: dts: xilinx: versal-vck190-reva: Remove duplicate dts file\n > arch: arm64: boot: dts: xilinx: versal-vmk180-reva: Remove duplicate dts file\n > iio: adc: adrv9002: build adrv9001_radio.c\n > iio: adc: adrv9002: remove unused variable\n > firmware: Update firmware for adrv9002\n > iio: adc: adrv9002: Update API to 68.5.0\n > ad9739a-fmc.dts: Change node name to LPC\n > arch: arm: dts: Add ZC706 + AD9082-FMCA-EBZ support\n > arch: arm: dts: Updates for the CN0501 on Coraz7s device tree\n > CI:make file executable\n > CI: update upload condition\n > dts: zynq-zc706-adv7511-ad9136-fmc-ebz.dts: Fix TXEN GPIO assignments\n > arch: arm64: boot: dts: xilinx: versal-vck190-reva-ad9081: Format to match the other vck190 dts\n > arch: arm64: boot: dts: xilinx: versal-vck190-reva-ad9082: Add default mode\n > arch: arm64: boot: dts: xilinx: versal-vck190-reva-ad9081-204c-txmode23-rxmode25: Add new mode\n > arch: arm64: boot: dts: xilinx: versal-vck190-reva-ad9081: Change default modes\n > iio: adc: ad4630: move test pattern to debugfs\n > ci: dtb_build_test_exceptions: remove ad9136 devicetree\n > arch: arm: dts: ad9136-fmc-ebz: add proper file header\n > arch: arm: dts: adi-ad9136-fmc-ebz: move to JESD framework\n > iio: jesd204: axi_jesd204_rx: add optional sysref_clk\n > iio: jesd204: axi_jesd204_tx: add optional sysref_clk\n > arch: arm: dts: adi-xilinx-dac-fmc: fix core dumps\n > iio: adc: ad9361: remove THIS_MODULE from spi_driver\n > iio: adc: ad9361: convert probe to device managed calls\n > iio: adc: ad9361: make sure clkin is disabled\n > iio: adc: cf_axi_adc_core: fix segfault on unbind\n > iio: adc: cf_axi_adc_core: unregister the IIO device\n > iio: adc: cf_axi_adc_core: make sure dt nodes are released\n > iio: adc: ad9631: make sure dt nodes are released\n > ci: make sure versal dts are built\n > arch: arm64: dts: versal-ad9081: fix devicetree\n > iio: frequency: ad9528: Improve devicetree parsing and error handling\n > iio: adc: ad9081: Optimize RX only power consumption\n > arm64: dts: zynqmp-pluto-ng: Reassign psgtr lanes\n > arch: arm64: zynqmp-pluto-ng.dts: add fan gpio hogs\n > arch: arm64: zynqmp-pluto-ng.dts: add port muxes\n > iio: adrv9002: support selecting between TXA and TXB\n > iio: adrv9002: add support for port muxes\n > iio: adrv9002: add devm_fwnode_gpiod_get_optional()\n > iio: adrv9002: move to devm_fwnode_gpiod_get()\n > iio: adc: adrv9002: fix -Wdiscarded-qualifiers warning\n > iio: adc: ad9371: Make AuxDAC scale writeable\n > iio: adc: ad9371: Fix AuxDAC write raw erroneous return value\n > CI: add git sha to SwDownloads files\n > dts: zynqmp-adrv9009-zu11eg: Update 204.8MHz base band rate example\n > iio: frequency: hmc7044: Option to skip jesd204-fsm SYSREF freq calculation\n > iio: adc: ad400x: drop mlock usage\n > iio: adc: ad6676: drop mlock usage\n > iio: adc: ad7173: drop mlock usage\n > iio: adc: ad738x: drop mlock usage\n > iio: adc: ad9081: drop mlock usage\n > iio: adc: ad9208: drop mlock usage\n > iio: adc: ad9467: drop mlock usage\n > iio: adc: ad9680: drop mlock usage\n > iio: adc: ad_adc: drop mlock usage\n > iio: adc: admc_adc: drop mlock usage\n > iio: adc: admc_ctrl: drop mlock usage\n > iio: adc: admc_speed: drop mlock usage\n > iio: adc: ad9371: drop mlock usage\n > iio: adc: ad9361: drop mlock usage\n > iio: adc: adrv9009: drop mlock usage\n > iio: adc: axi_adc_core: drop mlock usage\n > iio: dac: cf_axi_dds: Protect against unimplemented callbacks\n > README.md: Update to xilinx v2022.2 tag\n > drivers: ad9361: fix fast lock addressing\n > arch: arm: configs: update rpi defconfigs\n > clk: adi: clk-ad9545: Prevent divide by zero in ad9545_pll_set_rate()\n > arm64: dts: Add another adrv9009-zu11eg sample profile\n > azure-pipelines-rpi: add triggers for rpi-5.15.y\n > arch: arm: config: add ADI rpi defconfigs\n > iio: buffer: support multiple high speed buffers per device\n > ci: update ubuntu to 22.04\n > arch: microblaze: configs: update all ADI defconfigs\n > arch: arm64: configs: update all ADI defconfigs\n > arch: arm: configs: update all ADI defconfigs\n > Merge tag 'xilinx-v2022.2' of https://github.com/Xilinx/linux-xlnx.git\n > iio: adc: adrv9009: Fix gain table load support\n > iio: adc: ad9081: Disable background calibration during SPO sweep\n > iio: adc: ad9081: Rerun jesd204 calibration after power down\n < ci: don't fail ci build over changed defconfig\n < ci: add new internal function to setup git account\n < arch: arm: configs: explicitly disable gcc-plugins\n > ci: don't fail ci build over changed defconfig\n > ci: add new internal function to setup git account\n > arch: arm: configs: explicitly disable gcc-plugins\n > iio: kconfig.adi: imply max31865\n > iio: temperature: max31865: Make use of device properties\n > iio: max31865: Use sysfs_emit()\n > iio: temperature: Add MAX31865 RTD Support\n > iio: adc: adrv9002: dynamically export valid interface gain values\n < microblaze: dts: Update compatible xlnx,axi-iic-2.1\n > microblaze: dts: Update compatible xlnx,axi-iic-2.1\n < arch: arm64: adrv9009-zu11eg: Update hmc7044_ext\n > arch: arm64: adrv9009-zu11eg: Update hmc7044_ext\n > arch: arm64: dts: add zynqmp-zcu102-rev10-ad9694 dts\n > iio: adc: ad9208: Add custom config for ad9694\n < iio: adc: adrv9002: fix mixed declarations and code in the API\n < iio: adc: adrv9002: fix unused variable warning in the API\n < iio: adc: adrv9002: fix api usage of 'printf()'\n < firmware: Update firmware for adrv9002\n < iio: adc: adrv9002: Update API to 68.0.6\n > drivers: iio: dac: ad5592r: add gpio_chip names\n > iio: adc: cf_axi_adc_core: Fix masklenght initialization\n > iio: adc: adrv9002: fix mixed declarations and code in the API\n > iio: adc: adrv9002: fix unused variable warning in the API\n > iio: adc: adrv9002: fix api usage of 'printf()'\n > firmware: Update firmware for adrv9002\n > iio: adc: adrv9002: Update API to 68.0.6\n > net: ethernet: adi: adin1110: Fix multicast offloading\n < iio: adc: ad7124: fix sequencer support\n > iio: adc: ad7124: fix sequencer support\n < iio: adc: ad4630: reset averaging on test_pattern mode\n < iio: adc: ad4630: add missing test_pattern channel\n > iio: adc: ad4630: reset averaging on test_pattern mode\n > iio: adc: ad4630: add missing test_pattern channel\n > arch: arm: boot: dts: fix ad4630 hdl tag\n < arch: arm: boot: dts: fix ad4630 hdl tag\n < iio: kconfig.adi: imply AD4630\n < arch: arm: boot: dts: Add ad4630-24 dts\n < Documentation: ABI: testing: Add ad4630 sysfs description\n < drivers: iio: adc: add support for ad4630\n < dt-bindings: iio: adc: Add docs for ad4630\n > iio: kconfig.adi: imply AD4630\n > arch: arm: boot: dts: Add ad4630-24 dts\n > Documentation: ABI: testing: Add ad4630 sysfs description\n > drivers: iio: adc: add support for ad4630\n > dt-bindings: iio: adc: Add docs for ad4630\n < hwmon: (ltc2947) fix temperature scaling\n < iio: dac: ltc2688: fix voltage scale read\n > hwmon: (ltc2947) fix temperature scaling\n > iio: dac: ltc2688: fix voltage scale read\n < arch: arm: boot: dts: Fix cn0506 socfpga DT\n < net: ethernet: stmicro: stmmac_main: Fix mode mask\n < net: phy: adin: add support for clock output\n < dt-bindings: net: adin: document phy clock output properties\n > arch: arm64: boot: dts: Add devicetree for adrv9009zu11eg_fmcomms8\n > arch: arm64: boot: dts: Add devicetree for adrv9009zu11eg_fmcomms8\n > arch: arm64: boot: dts: Add devicetree for fmcomms8\n > iio: adc: ad9081: Update SYSREF input config function\n > iio: adc: ad9081: API Version 1.4.0\n > arch: arm: boot: dts: Fix cn0506 socfpga DT\n > net: ethernet: stmicro: stmmac_main: Fix mode mask\n > net: phy: adin: add support for clock output\n > dt-bindings: net: adin: document phy clock output properties\n > arch: arm: boot: dts: Add devicetree for adrv9009 new JESD mode\n < arch: arm: dts: arria10_adrv9002: Devices names inconsistency\n > arch: arm64: boot: dts: Add devicetree for adrv9009zu11eg\n > arch: arm64: boot: dts: Add devicetree for adrv9009 new JESD mode\n > arch: arm64: boot: dts: Add devicetree for adrv9009 new JESD mode\n > ci: don't timeout on checkpatch job\n > arch: arm: dts: arria10_adrv9002: Devices names inconsistency\n < arch: arm: dts: add devicetree for ADAQ8092\n < drivers: iio: Kconfig.adi: add ADAQ8092\n < iio:adc:adaq8092: add support for ADAQ8092\n < dt-bindings: iio: adc: add ADAQ8092 doc\n > arch: arm: dts: add devicetree for ADAQ8092\n > drivers: iio: Kconfig.adi: add ADAQ8092\n > iio:adc:adaq8092: add support for ADAQ8092\n > dt-bindings: iio: adc: add ADAQ8092 doc\n < iio: frequency: ad9172: Fix jesd204-fsm final state transition\n > iio: frequency: ad9172: Fix jesd204-fsm final state transition\n < media: xilinx: xilinx-hdmirxss: fix 64bit division on 32bit archs\n < clkk: clk-xlnx-clock-wizard-v: fix 64bit division on 32bit archs\n < clk: clk-xlnx-clock-wizard: fix 64bit division on 32bit archs\n < include: linux: remoteproc: fix 'da_to_va()' type\n < pwm: pwm-sti: fix 64bit division on 32bit architectures\n < iio: adc: adi-axi-adc: fix compilation\n < spi: spi-bcm2835: fix compilation\n < usb: phy: phy-ulpi.c: sync with upstream\n < clk: clk-xlnx-clock-wizard-v: fix missing bitfield include\n < clk: clk-xlnx-clock-wizard: fix missing bitfield include\n < Kconfig.adi: imply AD74115\n < iio: addac: ad74115: remove unused ad74115_dac_slew_rate_hz_tbl\n < iio: addac: add AD74115 driver\n < dt-bindings: iio: addac: add AD74115\n < iio: addac: ad74413r: add support for reset-gpio\n < dt-bindings: iio: ad74413r: add optional reset-gpios\n < iio: addac: ad74413r: add spi_device_id table\n < watchdog: dw_wdt: stop on reboot\n < watchdog: stop wdd when watchdog hw running in reboot_notifier\n < iio: adc: adrv9002: add spi id_table support\n < iio: adc: adrv9002: add myself as MODULE_AUTHOR\n < iio: adc: adrv9002: move OF specific code to it's own file\n < iio: adc: adrv9002: Re-order function declarations\n < iio: adc: adrv9002: always select CF_AXI_ADC\n < iio: adc: adrv9002: constify where possible\n < iio: adc: adrv9002: add helper to get hop table\n < iio: adc: adrv9002: add helper to set carrier frequency\n < iio: adc: adrv9002: add helper to get/set hardware gain\n < iio: adc: adrv9002: improve IIO read/write() callbacks code flow\n < iio: adc: adrv9002: remove meaningless '__maybe_unused'\n < iio: adc: adrv9002: add macro to wrap api calls\n < iio: adc: adrv9002: drop custom, repeated macros\n < iio: adc: adrv9002: small improvement on profile read\n < iio: adc: adrv9002: remove sysfs bin attrs size check\n < iio: adc: adrv9002: add comment on why 'hop_tbl' is static\n < iio: adc: adrv9002: fix possible data race\n < iio: adc: adrv9002: properly return error code\n < iio: adc: adrv9002: properly set gain table type\n < net: usb: smsc95xx: fix external PHY reset\n < drivers: iio: accel: Add support for ADXL359 device\n < dt-bindings: iio: accel: Add docs for ADXL359\n < drivers: iio: accel: Use warning if invalid device id is detected\n < iio: adc: ad4130: depend on GPIOLIB\n < Documentation: ad4130: format list of in_voltage-voltage_filter_mode_available modes\n < iio: adc: ad4130: Fix spelling mistake \"diffreential\" -> \"differential\"\n < iio: temperature: ltc2983: support more parts\n < dt-bindings: iio: temperature: ltc2983: support more parts\n < dt-bindings: iio: temperature: ltc2983: use generic node name in example\n < dt-bindings: iio: temperature: ltc2983: describe broken mux delay property\n < dt-bindings: iio: temperature: ltc2983: refine descriptions\n < dt-bindings: iio: temperature: ltc2983: change default excitation for custom thermistors\n < dt-bindings: iio: temperature: ltc2983: require 4 wire rtd for current rotate\n < dt-bindings: iio: temperature: ltc2983: require custom sensor tables\n < dt-bindings: iio: temperature: ltc2983: describe matrix items\n < dt-bindings: iio: temperature: ltc2983: remove qutations from phandle ref\n < dt-bindings: iio: temperature: ltc2983: use hex for sensor address\n < dt-bindings: iio: temperature: ltc2983: add default values\n < iio: temperature: ltc2983: make bulk write buffer DMA-safe\n < iio: temperature: ltc2983: allocate iio channels once\n < dt-bindings: iio: adi,ltc2983: Fix 64-bit property sizes\n < iio: temperature: ltc2983: Make use of device properties\n < iio: temperature: ltc2983: Use single error path to put OF node\n < iio: temperature: ltc2983: Don't hard code defined constants in messages\n < iio: ltc2983: fail probe if no channels are given\n < iio: ltc2983: add support for optional reset gpio\n < iio: ltc2983: fix device probe\n < net: ethernet: adi: adin1110: Fix notifiers\n < Kconfig.adi: imply AD4130\n < iio: adc: ad4130: add AD4130 driver\n < dt-bindings: iio: adc: add AD4130\n < dt-bindings: net: adin1110: Document reset\n < net: ethernet: adi: adin1110: add reset GPIO\n < net: ethernet: adi: adin1110: Fix SPI transfers\n < net: ethernet: adi: adin1110: Add check in netdev_event\n > media: xilinx: xilinx-hdmirxss: fix 64bit division on 32bit archs\n > clkk: clk-xlnx-clock-wizard-v: fix 64bit division on 32bit archs\n > clk: clk-xlnx-clock-wizard: fix 64bit division on 32bit archs\n > include: linux: remoteproc: fix 'da_to_va()' type\n > pwm: pwm-sti: fix 64bit division on 32bit architectures\n > iio: adc: adi-axi-adc: fix compilation\n > spi: spi-bcm2835: fix compilation\n > usb: phy: phy-ulpi.c: sync with upstream\n > clk: clk-xlnx-clock-wizard-v: fix missing bitfield include\n > clk: clk-xlnx-clock-wizard: fix missing bitfield include\n < drivers: jesd204 :jesd204-core: copy_link_params() sysref param fix\n > drivers: jesd204 :jesd204-core: copy_link_params() sysref param fix\n < iio: adis: add '__adis_enable_irq()' implementation Add '__adis_enable_irq()' implementation which is the unlocked version of 'adis_enable_irq()'. Call '__adis_enable_irq()' instead of 'adis_enable_irq()' from '__adis_intial_startup()' to keep the expected unlocked functionality.\n > Kconfig.adi: imply AD74115\n > iio: addac: ad74115: remove unused ad74115_dac_slew_rate_hz_tbl\n > iio: addac: add AD74115 driver\n > dt-bindings: iio: addac: add AD74115\n > iio: addac: ad74413r: add support for reset-gpio\n > dt-bindings: iio: ad74413r: add optional reset-gpios\n > iio: addac: ad74413r: add spi_device_id table\n > iio: addac: ad74413r: fix integer promotion bug in ad74413_get_input_current_offset()\n < iio: addac: ad74413r: fix integer promotion bug in ad74413_get_input_current_offset()\n > iio: adis: add '__adis_enable_irq()' implementation Add '__adis_enable_irq()' implementation which is the unlocked version of 'adis_enable_irq()'. Call '__adis_enable_irq()' instead of 'adis_enable_irq()' from '__adis_intial_startup()' to keep the expected unlocked functionality.\n > CI: do not exit on dt_binding_check error\n > watchdog: dw_wdt: stop on reboot\n > watchdog: stop wdd when watchdog hw running in reboot_notifier\n > iio: adc: adrv9002: add spi id_table support\n > iio: adc: adrv9002: add myself as MODULE_AUTHOR\n > iio: adc: adrv9002: move OF specific code to it's own file\n > iio: adc: adrv9002: Re-order function declarations\n > iio: adc: adrv9002: always select CF_AXI_ADC\n > iio: adc: adrv9002: constify where possible\n > iio: adc: adrv9002: add helper to get hop table\n > iio: adc: adrv9002: add helper to set carrier frequency\n > iio: adc: adrv9002: add helper to get/set hardware gain\n > iio: adc: adrv9002: improve IIO read/write() callbacks code flow\n > iio: adc: adrv9002: remove meaningless '__maybe_unused'\n > iio: adc: adrv9002: add macro to wrap api calls\n > iio: adc: adrv9002: drop custom, repeated macros\n > iio: adc: adrv9002: small improvement on profile read\n > iio: adc: adrv9002: remove sysfs bin attrs size check\n > iio: adc: adrv9002: add comment on why 'hop_tbl' is static\n > iio: adc: adrv9002: fix possible data race\n > iio: adc: adrv9002: properly return error code\n > iio: adc: adrv9002: properly set gain table type\n > CI: run dt_binding_check against upstream tree\n > net: usb: smsc95xx: fix external PHY reset\n > drivers: iio: accel: Add support for ADXL359 device\n > dt-bindings: iio: accel: Add docs for ADXL359\n > drivers: iio: accel: Use warning if invalid device id is detected\n > iio: adc: ad4130: depend on GPIOLIB\n > Documentation: ad4130: format list of in_voltage-voltage_filter_mode_available modes\n > iio: adc: ad4130: Fix spelling mistake \"diffreential\" -> \"differential\"\n > iio: temperature: ltc2983: support more parts\n > dt-bindings: iio: temperature: ltc2983: support more parts\n > dt-bindings: iio: temperature: ltc2983: use generic node name in example\n > dt-bindings: iio: temperature: ltc2983: describe broken mux delay property\n > dt-bindings: iio: temperature: ltc2983: refine descriptions\n > dt-bindings: iio: temperature: ltc2983: change default excitation for custom thermistors\n > dt-bindings: iio: temperature: ltc2983: require 4 wire rtd for current rotate\n > dt-bindings: iio: temperature: ltc2983: require custom sensor tables\n > dt-bindings: iio: temperature: ltc2983: describe matrix items\n > dt-bindings: iio: temperature: ltc2983: remove qutations from phandle ref\n > dt-bindings: iio: temperature: ltc2983: use hex for sensor address\n > dt-bindings: iio: temperature: ltc2983: add default values\n > iio: temperature: ltc2983: make bulk write buffer DMA-safe\n > iio: temperature: ltc2983: allocate iio channels once\n > dt-bindings: iio: adi,ltc2983: Fix 64-bit property sizes\n > iio: temperature: ltc2983: Make use of device properties\n > iio: temperature: ltc2983: Use single error path to put OF node\n > iio: temperature: ltc2983: Don't hard code defined constants in messages\n > iio: ltc2983: fail probe if no channels are given\n > iio: ltc2983: add support for optional reset gpio\n > iio: ltc2983: fix device probe\n < arch: arm: boot: dts: Add devicetree for ltc2387\n < drivers: iio: adc: Add support for LTC2387\n < dt-bindings: iio: adc: Add docs for ltc2387\n > drivers: nvmem: adi_axi_sysid: add support for different memory sizes\n > arch: arm: boot: dts: Add devicetree for ltc2387\n > drivers: iio: adc: Add support for LTC2387\n > dt-bindings: iio: adc: Add docs for ltc2387\n < arm: dts: Add ad9083_a10soc Development Kit support\n > arch: arm64: boot: dts: update stingray HDL tags\n < arch: arm64: boot: dts: update stingray HDL tags\n > net: ethernet: adi: adin1110: Fix notifiers\n > Kconfig.adi: imply AD4130\n > iio: adc: ad4130: add AD4130 driver\n > dt-bindings: iio: adc: add AD4130\n > dt-bindings: net: adin1110: Document reset\n > net: ethernet: adi: adin1110: add reset GPIO\n > arm: dts: Add ad9083_a10soc Development Kit support\n > net: ethernet: adi: adin1110: Fix SPI transfers\n > net: ethernet: adi: adin1110: Add check in netdev_event\n\nSigned-off-by: Michael Hennerich ","shortMessageHtmlLink":"PlutoSDR: Prepare for v0.38 release"}},{"before":"183c0f4c9ab35b4aef0864f3cfa686e67bcf55c9","after":"ea3d810769739ccd436e9cbb3cf5a227272b91a2","ref":"refs/heads/master","pushedAt":"2023-11-03T13:31:19.000Z","pushType":"push","commitsCount":1,"pusher":{"login":"mhennerich","name":"Michael Hennerich","path":"/mhennerich","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/1142945?s=80&v=4"},"commit":{"message":"Makefile: Switch to buildroot Linaro toolchain\n\nDue to incompatibility between the AMD/Xilinx GCC toolchain supplied\nwith Vivado/Vitis and Buildroot.\nThis project switched to Buildroot external\nToolchain: Linaro GCC 7.3-2018.05 7.3.1\n\nhttps://releases.linaro.org/components/toolchain/binaries/7.3-2018.05/arm-linux-gnueabihf/\n\nThis toolchain is used to build: Buildroot, Linux and u-boot\n\nSigned-off-by: Michael Hennerich ","shortMessageHtmlLink":"Makefile: Switch to buildroot Linaro toolchain"}},{"before":"1077d0b78d723d33ab37172cad4d218ea568e8b6","after":"183c0f4c9ab35b4aef0864f3cfa686e67bcf55c9","ref":"refs/heads/master","pushedAt":"2023-10-11T12:24:36.000Z","pushType":"pr_merge","commitsCount":2,"pusher":{"login":"mhennerich","name":"Michael Hennerich","path":"/mhennerich","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/1142945?s=80&v=4"},"commit":{"message":"Merge pull request #90 from analogdevicesinc/fix-legal_info_html\n\nscripts/legal_info_html: Fix HTTP 301 Moved Permanently issue with li…","shortMessageHtmlLink":"Merge pull request #90 from analogdevicesinc/fix-legal_info_html"}},{"before":null,"after":"fc1494dc424570b935659210640110095ab5e3c7","ref":"refs/heads/fix-legal_info_html","pushedAt":"2023-10-11T11:46:13.000Z","pushType":"branch_creation","commitsCount":0,"pusher":{"login":"mhennerich","name":"Michael Hennerich","path":"/mhennerich","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/1142945?s=80&v=4"},"commit":{"message":"scripts/legal_info_html: Fix HTTP 301 Moved Permanently issue with libxml2\n\nThe script checks for thw presence of the download sources.\nWhen checking libxml2 ,HTTP 301 is returned with a relative location/path\non the same host. In fact it just complains about a missing '/' in the\nURL. Assuming the location doesn't contain a protocol stop and validate\nthe original URL.\n\n\n$ curl -IsS https://download.gnome.org/sources/libxml2/2.10\nHTTP/2 301\nserver: nginx/1.24.0\ncontent-type: text/html\nlocation: /sources/libxml2/2.10/\n\n\n$ curl -IsS https://download.gnome.org/sources/libxml2/2.10/\nHTTP/2 200\n\nSigned-off-by: Michael Hennerich ","shortMessageHtmlLink":"scripts/legal_info_html: Fix HTTP 301 Moved Permanently issue with li…"}},{"before":"a160eb6adc67e7a3fabe3df874f0d137a4e0abaa","after":"d0a7cdbf3ed799065245283917572f3f6a93b900","ref":"refs/heads/dev_phaser_pr","pushedAt":"2023-09-27T10:47:26.000Z","pushType":"force_push","commitsCount":0,"pusher":{"login":"podgori","name":null,"path":"/podgori","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/97441364?s=80&v=4"},"commit":{"message":"PlutoSDR: Update hdl and linux submodules\n\nSigned-off-by: Ionut Podgoreanu ","shortMessageHtmlLink":"PlutoSDR: Update hdl and linux submodules"}},{"before":null,"after":"a160eb6adc67e7a3fabe3df874f0d137a4e0abaa","ref":"refs/heads/dev_phaser_pr","pushedAt":"2023-09-22T12:36:32.000Z","pushType":"branch_creation","commitsCount":0,"pusher":{"login":"podgori","name":null,"path":"/podgori","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/97441364?s=80&v=4"},"commit":{"message":"PlutoSDR: Update hdl and linux submodules\n\nSigned-off-by: Ionut Podgoreanu ","shortMessageHtmlLink":"PlutoSDR: Update hdl and linux submodules"}},{"before":"5ee983bda822273c914e3c0f539ef3038105c590","after":"0970e3abc933af7a8eedd01f018e510bca2e21d8","ref":"refs/heads/dev_phaser","pushedAt":"2023-08-02T21:25:58.000Z","pushType":"force_push","commitsCount":0,"pusher":{"login":"podgori","name":null,"path":"/podgori","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/97441364?s=80&v=4"},"commit":{"message":"PlutoSDR: Update hdl and linux submodules","shortMessageHtmlLink":"PlutoSDR: Update hdl and linux submodules"}},{"before":"6991157a14378992f30406b46e0af018f9658484","after":"5ee983bda822273c914e3c0f539ef3038105c590","ref":"refs/heads/dev_phaser","pushedAt":"2023-08-01T10:01:02.000Z","pushType":"force_push","commitsCount":0,"pusher":{"login":"podgori","name":null,"path":"/podgori","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/97441364?s=80&v=4"},"commit":{"message":"PlutoSDR: Update hdl and linux submodules","shortMessageHtmlLink":"PlutoSDR: Update hdl and linux submodules"}},{"before":"738f92077e13e8196832d1c936a5c2114f860278","after":"6991157a14378992f30406b46e0af018f9658484","ref":"refs/heads/dev_phaser","pushedAt":"2023-05-31T09:27:31.273Z","pushType":"force_push","commitsCount":0,"pusher":{"login":"podgori","name":null,"path":"/podgori","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/97441364?s=80&v=4"},"commit":{"message":"PlutoSDR: Update hdl and linux submodules","shortMessageHtmlLink":"PlutoSDR: Update hdl and linux submodules"}},{"before":"980ba97277cf5d3baba4adcdc9322fb6f3916df0","after":"1077d0b78d723d33ab37172cad4d218ea568e8b6","ref":"refs/heads/master","pushedAt":"2023-05-25T13:32:00.254Z","pushType":"force_push","commitsCount":0,"pusher":{"login":"SRaus","name":"Stefan Raus","path":"/SRaus","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/55098522?s=80&v=4"},"commit":{"message":"Adding rule file for console parsing in Jenkins\n\nThis file was added for console parsing used in Jenkins job for\ndetecting warnings and errors.\n\nSigned-off-by: Andreea Andrisan ","shortMessageHtmlLink":"Adding rule file for console parsing in Jenkins"}},{"before":"445d6e5b213ad17da93ce7a0f2d6e0b412fd733d","after":"980ba97277cf5d3baba4adcdc9322fb6f3916df0","ref":"refs/heads/master","pushedAt":"2023-05-25T06:38:00.588Z","pushType":"pr_merge","commitsCount":2,"pusher":{"login":"AAndrisa","name":"Andreea Andrisan","path":"/AAndrisa","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/128392912?s=80&v=4"},"commit":{"message":"Merge pull request #86 from analogdevicesinc/dev_parser\n\nAdding rule file for console parsing in Jenkins","shortMessageHtmlLink":"Merge pull request #86 from analogdevicesinc/dev_parser"}},{"before":"b33c6399433f4f15248c08ad16e95263bda83d14","after":"1077d0b78d723d33ab37172cad4d218ea568e8b6","ref":"refs/heads/dev_parser","pushedAt":"2023-05-18T09:01:48.837Z","pushType":"force_push","commitsCount":0,"pusher":{"login":"AAndrisa","name":"Andreea Andrisan","path":"/AAndrisa","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/128392912?s=80&v=4"},"commit":{"message":"Adding rule file for console parsing in Jenkins\n\nThis file was added for console parsing used in Jenkins job for\ndetecting warnings and errors.\n\nSigned-off-by: Andreea Andrisan ","shortMessageHtmlLink":"Adding rule file for console parsing in Jenkins"}},{"before":null,"after":"b33c6399433f4f15248c08ad16e95263bda83d14","ref":"refs/heads/dev_parser","pushedAt":"2023-05-12T09:21:27.959Z","pushType":"branch_creation","commitsCount":0,"pusher":{"login":"AAndrisa","name":"Andreea Andrisan","path":"/AAndrisa","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/128392912?s=80&v=4"},"commit":{"message":"Adding file with rules for console parsing in Jenkins\n\nThis file was added for console parsing used in Jenkink job for\ndetecting warnings and errors.\n\nSigned-off-by: Andreea Andrisan ","shortMessageHtmlLink":"Adding file with rules for console parsing in Jenkins"}},{"before":"ff2f480efc01de7f5c57b0b2702d5998acf26374","after":"445d6e5b213ad17da93ce7a0f2d6e0b412fd733d","ref":"refs/heads/master","pushedAt":"2023-03-20T10:18:02.986Z","pushType":"push","commitsCount":1,"pusher":{"login":"mhennerich","name":"Michael Hennerich","path":"/mhennerich","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/1142945?s=80&v=4"},"commit":{"message":"PlutoSDR: Prepare for v0.37 release\n\nSubmodule buildroot 0081bbc..0d5db4a:\n > board: pluto: S23udc: Advertise USB MaxPower 4mA in some cases\n > board: pluto|m2k: Add hook in init.d to run user application code\n > board/pluto/S40network: Fix typo in udhcpd.conf\n\nSigned-off-by: Michael Hennerich ","shortMessageHtmlLink":"PlutoSDR: Prepare for v0.37 release"}},{"before":"e5b65eb9c91f9cd74063f332317076b41dde182b","after":"ff2f480efc01de7f5c57b0b2702d5998acf26374","ref":"refs/heads/master","pushedAt":"2023-03-08T13:27:29.675Z","pushType":"pr_merge","commitsCount":2,"pusher":{"login":"mhennerich","name":"Michael Hennerich","path":"/mhennerich","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/1142945?s=80&v=4"},"commit":{"message":"Merge pull request #81 from analogdevicesinc/add-ci\n\nAdd script for ci","shortMessageHtmlLink":"Merge pull request #81 from analogdevicesinc/add-ci"}},{"before":"14ea862dca407a4b4660647d1376b07f0e5c2f43","after":"0136a17b70e7f786ea29d96aa43513be0b024877","ref":"refs/heads/add-ci","pushedAt":"2023-03-07T14:27:25.060Z","pushType":"force_push","commitsCount":0,"pusher":{"login":"ccraluca","name":"Groza Raluca","path":"/ccraluca","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/82503380?s=80&v=4"},"commit":{"message":"Add script for ci.\n\nSigned-off-by: Raluca Groza ","shortMessageHtmlLink":"Add script for ci."}},{"before":"6e143164aa28b9fe69b200b50eecc9d8ca5515e8","after":"e5b65eb9c91f9cd74063f332317076b41dde182b","ref":"refs/heads/master","pushedAt":"2023-03-07T14:20:32.165Z","pushType":"push","commitsCount":1,"pusher":{"login":"mhennerich","name":"Michael Hennerich","path":"/mhennerich","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/1142945?s=80&v=4"},"commit":{"message":"Makefile: Fix sysroot warnings\n\nExclude unused folders.\n\nSigned-off-by: Michael Hennerich ","shortMessageHtmlLink":"Makefile: Fix sysroot warnings"}}],"hasNextPage":false,"hasPreviousPage":false,"activityType":"all","actor":null,"timePeriod":"all","sort":"DESC","perPage":30,"cursor":"djE6ks8AAAAD69-sXwA","startCursor":null,"endCursor":null}},"title":"Activity · analogdevicesinc/plutosdr-fw"}