diff --git a/t/CompileTestLib.pm b/t/CompileTestLib.pm new file mode 100644 index 0000000..72e3855 --- /dev/null +++ b/t/CompileTestLib.pm @@ -0,0 +1,11 @@ +module t::CompileTestLib; + +sub compile_test_lib($name) is export { + my $o = $*VM; + my $so = $*VM; + my $c_line = "$*VM -c $*VM$name$o $*VM t/$name.c"; + my $l_line = "$*VM $*VM $*VM " ~ + "$*VM $*VM$name$so $name$o"; + shell($c_line); + shell($l_line); +}