{"payload":{"header_redesign_enabled":false,"results":[{"id":"677516019","archived":false,"color":"#b2b7f8","followers":6,"has_funding_file":false,"hl_name":"arsalanjabbari/RISCV-CPU-Design","hl_trunc_description":"In this project, you will be tasked with implementing pipeline registers and connecting all the modules you've created so far to build a …","language":"Verilog","mirror":false,"owned_by_organization":false,"public":true,"repo":{"repository":{"id":677516019,"name":"RISCV-CPU-Design","owner_id":93816830,"owner_login":"arsalanjabbari","updated_at":"2023-08-14T06:03:59.872Z","has_issues":true}},"sponsorable":false,"topics":["risc-v","multicycle-processor","cpu-design"],"type":"Public","help_wanted_issues_count":0,"good_first_issue_issues_count":0,"starred_by_current_user":false}],"type":"repositories","page":1,"page_count":1,"elapsed_millis":73,"errors":[],"result_count":1,"facets":[],"protected_org_logins":[],"topics":null,"query_id":"","logged_in":false,"sign_up_path":"/signup?source=code_search_results","sign_in_path":"/login?return_to=https%3A%2F%2Fgithub.com%2Fsearch%3Fq%3Drepo%253Aarsalanjabbari%252FRISCV-CPU-Design%2B%2Blanguage%253AVerilog","metadata":null,"csrf_tokens":{"/arsalanjabbari/RISCV-CPU-Design/star":{"post":"Unk8gNp9rWD1fOlZkBJS-9yYZ0RaTCfFYvJmmGwBuH-uxQpNw-UyXSxRxfsL-p2dWQFhADW39LCwBFBbU4zP1w"},"/arsalanjabbari/RISCV-CPU-Design/unstar":{"post":"M70HNgYgsVroih0RK-DOapVwMt8tDTlVdeqmxkHw3fFNi5der5dXiS_he1994mW5RbZq8CjgVwyUrZkFLkDLJA"},"/sponsors/batch_deferred_sponsor_buttons":{"post":"DltwyWHErFzX7I8Gy658kR-4vwSMQtJ6X4zLm2YBa6azjDdpWZnR0o4VzU6PRu7lwHnnBHU3HpQ01ub8QV8Z7A"}}},"title":"Repository search results"}